PMID- 29350488
TI - Fulminant adenovirus hepatitis with adenovirus-associated esophagitis
complicating malignant lymphoma.
PMID- 29350489
TI - Bioderived DNA Nanomachines for Potential Uses in Biosensing, Diagnostics, and
Therapeutic Applications.
AB - Beside its genomic properties, DNA is also recognized as a novel material in the
field of nanoengineering. The specific bonding of base pairs can be used to
direct the assembly of highly structured materials with specific nanoscale
features such as periodic 2D arrays, 3D nanostructures, assembly of
nanomaterials, and DNA nanomachines. In recent years, a variety of DNA
nanomachines are developed because of their many potential applications in
biosensing, diagnostics, and therapeutic applications. In this review, the fuel
powered motors and secondary structure motors, whose working mechanisms are
inspired or derived from natural phenomena and nanomachines, are discussed. The
combination of DNA motors with other platforms is then discussed. In each section
of these motors, their mechanisms and their usage in the biomedical field are
described. Finally, it is believed that these DNA-based nanomachines and hybrid
motifs will become an integral point-of-care diagnostics and smart, site-specific
therapeutic delivery.
PMID- 29350490
TI - Chronic exposure to high doses of selenium in the first trimester of pregnancy:
Case report and brief literature review.
AB - BACKGROUND: Obstetricians usually prescribe supplements during pregnancy without
actual indication. The use of selenium during pregnancy has increased, due to its
function in several antioxidant mechanisms. CASE: A pregnant woman received
200,000 micrograms (MUg) per day of a selenium galenic formulation, since
gestational week (g.w.) 7 to 12, due to a prescription error. The patient
experienced nausea, vomiting, hand and foot paresthesia, followed by fatigue,
loss of fingernails and hair. The woman was referred to our Fetal and Maternal
Medicine Unit for surveillance. The mother's blood selenium levels went back to
normal 13 weeks after arrest and the baby was born at term, without
complications. Clinical evaluation and imaging studies were normal at one month
of age. CONCLUSION: This is the first case of severe chronic selenium
intoxication during the first trimester of pregnancy. In the present case, no
consequences of congenital defects or pregnancy complications occurred. However,
since vitamins, minerals, and food supplements may be harmful and prescription
errors occur, obstetricians should avoid prescribing supplements in the absence
of maternal deficiency.
PMID- 29350491
TI - Reproductive toxic impact of subchronic treatment with combined butylparaben and
triclosan in weanling male rats.
AB - The effect of treatment with combined butylparaben and triclosan on male gonadal
toxicity in weanling rats was investigated. All treated groups experienced
atrophy in the ventral prostate and seminal vesicle, likewise significant
depletion in the number and motility of sperm. Given individually or combined
butylparaben and triclosan, significantly decreased testosterone, luteinizing
hormone, and follicle-stimulating hormone levels. Individual treatment with
tested compounds caused significant elevation in the E2 level, whereas combined
treatment did not alter the E2 level. Testicular DNA damage was recorded in all
treated groups. Moreover, the testicular malondialdehyde level was significantly
elevated, along with a significant decrease in catalase enzyme activity in all
treated groups. Superoxide dismutase enzyme activity was significantly decreased
in the butylparaben-treated group, increased in the triclosan-treated group, and
nonsignificantly changed the butylparaben-triclosan-treated group. The combined
treatment produced an endocrine disturbance with a concomitant induction of
testicular oxidative stress, which may represent a common mechanism of endocrine
disruptor-mediated dysfunction.
PMID- 29350492
TI - Clinical use of brain magnetic resonance imaging for neurological symptoms in
people living with HIV.
PMID- 29350494
TI - Sleep disruption in caregivers of pediatric stem cell recipients.
AB - Parents/caregivers of hospitalized patients are at risk of sleep disruption. We
performed a cross-sectional quantitative and qualitative evaluation of sleep in
parents/caregivers of children undergoing hematopoietic stem cell transplant
(HSCT; n = 17). Additionally, we explored the frequency of room entries for
hospitalized patients undergoing HSCT (n = 189 nights). Twelve caregivers (71%)
demonstrated significant sleep disturbance, 12 (71%) described sleep quality as
poor, 15 (88%) averaged < 6 hours of sleep per night, 14 (82%) awakened at least
four times per night. Patient rooms were entered a median of 12 times per night
(interquartile range 10-15). Intervention studies to improve caregiver sleep
during hospitalization are needed.
PMID- 29350493
TI - Bleeding and thrombotic complications of pediatric liver transplant.
AB - BACKGROUND: Pediatric patients undergoing liver transplant are at significant
risk for bleeding and thrombotic complications due to the complex nature of
rebalanced hemostasis in patients with liver disease. METHODS/OBJECTIVES: We
reviewed records of 92 pediatric liver and multivisceral transplant cases at Duke
University Medical Center between January 2009 and December 2015. The goal was to
define the nature and incidence of bleeding and thrombotic complications in this
cohort and define potential risk factors. RESULTS: There were 24 major bleeding
events in 19 transplants (incidence 20.7%) and 30 thrombotic events in 23
transplants (incidence 25%). Five of the 10 retransplantations were for vascular
thrombotic complications. Thirty-day mortality was 4.9%, and three of these four
deaths were due to vascular thrombosis. No bleeding events led to
retransplantation or mortality. Prophylactic aspirin was associated with
decreased risk of thrombosis without increased bleeding. Prophylactic heparin did
not increase bleeding risk. Laboratory assays predicted events poorly, apparently
failing to capture the nuanced and dynamic interplay between pro- and
anticoagulant factors in the posttransplant patient. CONCLUSIONS: Both bleeding
and thrombosis are frequent in this population, but only thrombotic complications
contributed to retransplantation and mortality. A standardized approach to
coagulation testing and antithrombotic therapy may be useful in predicting and
reducing adverse outcomes. Alternative approaches to monitoring hemostasis need
to be prospectively investigated in this complex patient population.
PMID- 29350495
TI - The Current Landscape of 3D In Vitro Tumor Models: What Cancer Hallmarks Are
Accessible for Drug Discovery?
AB - Cancer prognosis remains a lottery dependent on cancer type, disease stage at
diagnosis, and personal genetics. While investment in research is at an all-time
high, new drugs are more likely to fail in clinical trials today than in the
1970s. In this review, a summary of current survival statistics in North America
is provided, followed by an overview of the modern drug discovery process,
classes of models used throughout different stages, and challenges associated
with drug development efficiency are highlighted. Then, an overview of the cancer
hallmarks that drive clinical progression is provided, and the range of available
clinical therapies within the context of these hallmarks is categorized.
Specifically, it is found that historically, the development of therapies is
limited to a subset of possible targets. This provides evidence for the
opportunities offered by novel disease-relevant in vitro models that enable
identification of novel targets that facilitate interactions between the tumor
cells and their surrounding microenvironment. Next, an overview of the models
currently reported in literature is provided, and the cancer biology they have
been used to explore is highlighted. Finally, four priority areas are suggested
for the field to accelerate adoption of in vitro tumour models for cancer drug
discovery.
PMID- 29350496
TI - Venoocclusive disease due to chemotherapy for pediatric acute lymphoblastic
leukemia is associated with increased levels of plasminogen-activator inhibitor
1.
AB - We describe three cases of sinusoidal obstruction syndrome/venoocclusive disease
(SOS) in pediatric patients with acute lymphoblastic leukemia (ALL). All three
episodes occurred during or just after the induction or reinduction phase of
treatment based on prednisone/dexamethasone, vincristine, daunorubicin, and
pegylated-l-asparaginase. SOS episodes were categorized as mild/moderate and
resolved in 7, 10, and 16 days using supportive measures or defibrotide therapy.
In all three episodes, the clinical diagnosis of SOS was associated with a
significant increase in plasminogen-activator inhibitor-1 (PAI-1) that reduced
with patient clinical improvement. PAI-1 warrants study as a diagnostic marker
for SOS in ALL.
PMID- 29350497
TI - Sensitive and rapid determination of pyrethroids in human blood by gas
chromatography-tandem mass spectrometry with ultrasound-assisted dispersive
liquid-liquid microextraction.
AB - In this study, a sensitive and fast procedure of ultrasonic-assisted dispersive
liquid-liquid microextraction (UADLLME) coupled with gas chromatography-tandem
mass spectrometry (GC-MS/MS) for the determination of major pyrethroid pesticides
(permethrin, tetramethrin, bifenthrin, fenvalerate, flucythrinate, fluvalinate,
fenpropathrin, deltamethrin, and cyhalothrin) in blood samples was developed.
Response surface methodology (RSM) combined with Box-Behnken design (BBD) and
ANOVA function was used to optimize key factors affecting the extraction
efficiency of UADLLME procedure. Target compounds were analyzed by GC-MS/MS.
Under the optimal conditions, good linearity (R2 >0.99) was achieved for all the
analytes in the concentration range of 0.5 to 100 MUg L-1 . The recoveries for
spiked samples at 3 concentration levels were between 70.2 and 91.8%, with
relative standard deviations (RSD) lower than 10%. Very low limits of detection
(LODs) and limits of quantification (LOQs) ranging from 0.01 to 0.1 MUg L-1 and
from 0.03 to 0.3 MUg L-1 were achieved. This method was successfully applied to
the determination of low concentration of pyrethroids in blood samples from real
forensic cases. High sensitivity, fast determination, simplicity in operation,
small sample volume, and low usage of organic solvents are the advantages of this
method. This methodology is of important value for sensitive and quick
determination of residue pesticides and metabolites, study of residue pesticides
behavior in human body, as well as application in real forensic cases.
PMID- 29350498
TI - Glioma-Targeted Delivery of a Theranostic Liposome Integrated with Quantum Dots,
Superparamagnetic Iron Oxide, and Cilengitide for Dual-Imaging Guiding Cancer
Surgery.
AB - Herein, a theranostic liposome (QSC-Lip) integrated with superparamagnetic iron
oxide nanoparticles (SPIONs) and quantum dots (QDs) and cilengitide (CGT) into
one platform is constructed to target glioma under magnetic targeting (MT) for
guiding surgical resection of glioma. Transmission electron microscopy and X-ray
photoelectron spectroscopy confirm the complete coencapsulation of SPIONs and QDs
in liposome. Besides, CGT is also effectively encapsulated into the liposome with
an encapsulation efficiency of ~88.9%. QSC-Lip exhibits a diameter of 100 +/-
1.24 nm, zeta potential of -17.10 +/- 0.11 mV, and good stability in several
mediums. Moreover, each cargo shows a biphasic release pattern from QSC-Lip, a
rapid initial release within initial 10 h followed by a sustained release.
Cellular uptake of QSC-Lip is significantly enhanced by C6 cells under MT. In
vivo dual-imaging studies show that QSC-Lip not only produces an obvious negative
contrast enhancement effect on glioma by magnetic resonance imaging but also
makes tumor emitting fluorescence under MT. The dual-imaging of QSC-Lip guides
the accurate resection of glioma by surgery. Besides, CGT is also specifically
distributed to glioma after administration of QSC-Lip under MT, resulting in an
effective inhibition of tumors. The integrated liposome may be a potential
carrier for theranostics of tumor.
PMID- 29350499
TI - The importance of developing atherosclerosis in pseudoexfoliation glaucoma.
AB - Background/Aim: Pseudoexfoliation syndrome (XPS) is an age-related systemic
disorder characterized by increased production and accumulation of elastic
microfibrillar material in different tissues of the body: skin, connective tissue
portions of visceral organs, periphery blood vessels and the eye, as well. The
aim of our study was to determine the significance of atherosclerotic changes in
the carotid arteries in the development of XFS and pseudoexfoliation glaucoma
(XFG). Methods: The study included 120 patients - 40 patients per each of the
three defined groups: XFS group, XFG group and age- and sex-matched control
subjects (control group) without XFG. Blood samples were collected from the
patients before cataract surgery. Serum levels of total cholesterol, low-density
lipoprotein - LDL, high density lipoprotein - HDL and triglycerides were analyzed
by standard laboratory techniques. Standard ultrasonography of the carotid blood
vessels was performed in all the participants. Results: Lipid's profile was
disturbed in the patients with XFS and XFG with statistical significance p
control group (p < 0.01). Systolic and diastolic pressure was elevated in the
patients with XFS and XFG (p < 0.01). Resistance index was increased in the
patients with XFG (p < 0.01). Intima-media thickness was prolonged in patients
with XFG (p < 0.01). Conclusion: A disturbed lipid profile with elevated
resistancy index and intima-media thickness and increased systolic and diastolic
pressure were compulsory findings in patients with developed XFG. So, these
factors could be considered as risk. It seems to be difficult to inhibit the
process of pseudoexfolation production in the whole body, but it appears that
with proper therapy (antihypertnesive, cardiotoncs, etc.) and adequate
nourishing, the process of XFG development could be interrupted.
PMID- 29350500
TI - Global Advisory Committee on Vaccine Safety, 6-7 December 2017.
PMID- 29350501
TI - Creatinine-modified Child-Turcotte-Pugh score is a good predictor of a short-term
survival in patients with bleeding from esophageal varices.
AB - Background/Aim: Bleeding from esophageal varices is a significant factor in
mortality of patients with terminal liver cirrhosis. This complication is a major
health problem for recipients on the list for liver transplant. In that regard,
studying predictors of variceal bleeding episode is very important. Also, it is
important to find the best survival predictor among prognostic scores. The aim of
the study was to compare validity of prognostic scores in assessment of survival
in hospital-treated patients after bleeding from esophageal varices, and to
compare validity of baseline Child-Turcotte-Pugh (CTP) and Modul for End-stage
Liver Disease (MELD) scores with CTP creatinine modified (CTP-crea) I and II
scores in assessment of survival in patients within a long-term follow-up period
after the episode of bleeding from esophageal varices. Methods: The study
included a total of 126 patients suffering from terminal liver cirrhosis submited
to testing CTP score score I and II, MELD score, MELD Na score, integrated MELD
score, MELD sodium (MESO) index, United Kingdom Model for End-Stage Liver Disease
(UKELD) score and updated MELD score. Results: Patients with bleeding from
esophageal varices most often had CTP score rank C (46,9%). CTP score rank B had
37.5% patients, while the smallest percentage of patients had CTP rank A, 15.6%
of them. Patients who have values of CTP score higher than 10.50 and bleeding
from esophagus, have 3.2 times higher chance for death outcome compared to other
patients. Patients who have values of CTP-crea I score higher than 10.50 and
bleeding from esophagus, have 3.1 times higher chance for death out-come than
other patients. Patients who have values of CTP-crea II score higher than 11.50
and bleeding from esophagus, have 3,7 times higher chance for death outcome
compared to other patients. Conclusion: Survival of patients with bleeding from
esophageal varices in the short-term follow up can be predicted by following CTP
score and creatinine modified CTP scores. Patients with bleeding from esophageal
varices who have CTP score and CTP-crea I score higher than 10.5 and CTP-crea II
score higher than 11.5, have statistically significantly higher risk from
mortality within one-month follow-up compared to patients with bleeding from
esophageal varices who have lower numerical values of scores of the CTP group.
PMID- 29350502
TI - The influence of Dupuytren's disease fingers contracture degree on surgical
treatment outcome.
AB - Background/Aim: Dupuytren's disease is a progressive disease of the palmar and
digital fascial structures, with functional limitations. There are no clear
recommendations about the optimal time of surgical repair, concerning the hand
impairment. The aim of our study was to investigate the relation between finger's
contracture degree and success of surgical treatment of the Dupuytren's disease.
Methods: This prospective analysis included 60 patients operated on due to
Dupuytren's contracture. According to preoperative contracture degree of proximal
interphalangeal (PIP) and metacarpophalangeal (MCP) joint, patients were divided
into three groups: the group 1: < 15 degrees , the group 2: 15-30 degrees and
the group 3: > 30 degrees . All the patients underwent operation of partial
palmar fasciectomy. Postoperative improvement was expressed with contracture
reduction INDEX. Results: There were 60 patients with 85 fingers affected. The
groups 1, 2 and 3 had 22 (37%), 37 (62%) and 26 (43%) fingers with MCP
contracture and 32 (37.4%), 24 (28.2%) and 29 (34.1%) fingers with PIP
contracture, respectively. Postoperative contractures of MCP joint in these
groups were 0, 0.135 degrees and 5 degrees , and of PIP joint 0, 2.08 degrees
and 16.89 degrees , respectively. After six months all MCP contractures resolved,
while PIP joint contracture in the group 3 remained 13.62 degrees . The reduction
INDEX was 98.85%, 97.62% and 75.52% in the groups 1, 2 and 3, respectively. There
was a statistically significant difference in the INDEX value between the groups
(p = 0.0001). Conclusion: The degree of PIP joint contracture is related to the
outcome of surgical treatment of Dupuytren's disease. Optimal results are
achieved when contracture degree is between 15 degrees and 30 degrees . Surgical
treatment of MCP joint contracture is successful regardless of the preoperative
joint contracture degree.
PMID- 29350503
TI - Presence of histopathological premalignant lesions and infection caused by high
risk genotypes of human papillomavirus in patients with suspicious cytological
and colposcopy results: A prospective study.
AB - Background/Aim: In patients with premalignant cervical lesions, human
papillomavirus (HPV) infection, at any moment, may be spontaneously eliminated,
or may persist or transform cervical epithelium from a lower to a higher degree.
Due to that, it is necessary to wisely select the patients who are at high risk
of cancer development. The aim of the study was to establish the interdependence
between a suspicious Papanicolaou (Pap) test and colposcopy with the infection
caused by high-risk genotypes of human papillomavirus and the presence of
premalignant cervical lesions. Methods: This prospective study used cytological,
colposcopy, real-time polymerase chain reaction (PCR) of high-risk genotypes of
human papillomavirus and histopathological analysis of cervical biopsy specimen.
Out of 2,578 female patients sent to cytological analyses in Clinical Center of
Montenegro, during 2012, 2013 and 2014, the study included 80 women who had to
submit their biopsy specimens due to a suspicious Pap test and atypical
colposcopy results. Results: In the group of 80 (3.1%; n = 80/2,578) of the
selected female patients with suspicious Pap test and colposcopy, 2/3 or 56 (70%)
of them had cervicitis, and 1/3 or 24 (30%) had cervical intraepithelial
neoplasia. The most common type in cervical intraepithelial neoplasia was HPV16
in 8 female patients, ie 61.53% out of the number of infected, or 33.33% out of
the total number of premalignant lesions Conclusion: Patients with suspicious
Papanicolaou test, colposcopy results and infection which is caused by high-risk
HPV infection (HPV 16 in particular) often have premalignant cervical lesions. In
these cases, histopathological confirmation of lesions is mandatory, since it
serves as a definitive diagnostic procedure.
PMID- 29350504
TI - Age-related changes of superoxide dismutase activity in patients with
schizophrenia.
AB - Background/Aim: Superoxide dismutase (SOD) is the critical enzyme in the
detoxification of superoxide radicals because those are the first species
produced in the majority of biological free radical producing reactions.
Inconsistent data are present about SOD activity in patients with schizophrenia.
Numerous studies have shown that SOD has been elevated in chronic schizophrenic
patients. However, decreased SOD activity was found in neuroleptic naive, first
episode schizophrenic patients, in chronic-medicated patients and in chronic
unmedicated patients. The aim of this study was to examine which of the following
factors including age, gender, the onset of the disease, the duration, the number
of episodes, heredity, psychopathologic symptoms and drug treatment could affect
erythrocyte SOD activity in patients with schizophrenia. Methods: This study
included 68 consecutive patients with schizophrenia (29 males and 39 females)
ranging in age from 18 to 61 years, divided into two age groups (<34 years and
>34 years). SOD activity was measured in erythrocyte hemolyzates by Ransod
commercially available test. Results: In the group of patients younger than 34
years SOD levels were significantly higher (1381+/-273 U/gHb, p=0.038) compared
to the levels of the older group (1231+/-206 U/gHb). Gender and heredity did not
induce any significant difference in SOD activity between younger and older
subgroups. A significant difference in enzyme activity was found between the
younger and older subgroups having the onset of the disease after 24 years of age
(1408+/-217 U/gHb vs. 1252+/-213 U/gHb, p=0.031). The patients of the younger
group who had more than one psychotic episode had significantly higher SOD
activity (1492+/-298 U/gHb; p=0.009) than those who had only one episode (1256+/
177 U/gHb), as well as than the older subgroup with more than one episode (1253+/
231 U/gHb; p=0.014). Although the duration of the disease did not induce any
significant difference in enzyme activity between younger and older subgroups, a
significant negative correlation was obtained between SOD activity and the
duration of the disease (r=-0.511, p<0.01). No significant differences were found
in SOD activity between the subgroups with different PANSS scores. First
generation antipsychotics were associated with elevated enzyme activity in both
groups. Simultaneous treatment of patients with first generation antipsychotics
and second generation antipsychotics induced a significant decrease in SOD
activity in the younger group. Conclusion: Our results show that erythrocyte SOD
activity is increased in the early phase of schizophrenia and that depends on age
of onset of the disease, the number of psychotic episodes, the duration of the
disease and medical treatment.
PMID- 29350505
TI - Bridging the digital divide: mobile access to personal health records among
patients with diabetes.
AB - OBJECTIVES: Some patients lack regular computer access and experience a digital
divide that causes them to miss internet-based health innovations. The diffusion
of smartphones has increased internet access across the socioeconomic spectrum,
and increasing the channels through which patients can access their personal
health records (PHRs) could help bridge the divide in PHR use. We examined PHR
use through a computer-based Web browser or mobile device. STUDY DESIGN: Cross
sectional historical cohort analysis. METHODS: Among adult patients in the
diabetes registry of an integrated healthcare delivery system, we studied the
devices used to access their PHR during 2016. RESULTS: Among 267,208 patients
with diabetes, 68.1% used the PHR in 2016; 60.6% of all log-ins were via computer
and 39.4% were via mobile device. Overall, 63.9% used it from both a computer and
mobile device, 29.6% used only a computer, and 6.5% used only a mobile device.
After adjustment, patients who were black, Hispanic, or Asian; lived in lower
socioeconomic status (SES) neighborhoods; or had lower engagement were all
significantly more likely to use the PHR only from a mobile device (P <.05).
Patients using the PHR only via mobile device used it less frequently.
CONCLUSIONS: Mobile-ready PHRs may increase access among patients facing a
digital divide in computer use, disproportionately reaching racial/ethnic
minorities and lower SES patients. Nonetheless, even with a mobile-optimized and
app-accessible PHR, differences in PHR use by race/ethnicity and SES remain.
Continued efforts are needed to increase equitable access to PHRs among patients
with chronic conditions.
PMID- 29350506
TI - Electronic health record "super-users" and "under-users" in ambulatory care
practices.
AB - OBJECTIVES: This study explored variation in the extent of use of electronic
health record (EHR)-based health information technology (IT) functionalities
across US ambulatory care practices. Use of health IT functionalities in
ambulatory care is important for delivering high-quality care, including that
provided in coordination with multiple practitioners. STUDY DESIGN: We used data
from the 2014 Healthcare Information and Management Systems Society Analytics
survey. The responses of 30,123 ambulatory practices with an operational EHR were
analyzed to examine the extent of use of EHR-based health IT functionalities for
each practice. METHODS: We created a novel framework for classifying ambulatory
care practices employing 7 domains of health IT functionality. Drawing from the
survey responses, we created a composite "use" variable indicating the extent of
health IT functionality use across these domains. "Super-user" practices were
defined as having near-full employment of the 7 domains of health IT
functionalities and "under-users" as those with minimal or no use of health IT
functionalities. We used multivariable logistic regression to investigate how the
odds of super-use and under-use varied by practice size, type, urban or rural
location, and geographic region. RESULTS: Seventy-three percent of practices were
not using EHR technologies to their full capability, and nearly 40% were
classified as under-users. Under-user practices were more likely to be of smaller
size, situated in the West, and located outside a metropolitan area. CONCLUSIONS:
To achieve the broader benefits of the EHR and health IT, health systems and
policy makers need to identify and address barriers to full use of health IT
functionalities.
PMID- 29350507
TI - Hospital participation in Meaningful Use and racial disparities in readmissions.
AB - OBJECTIVES: To measure the impact of hospital participation in Meaningful Use
(MU) on disparities in 30-day readmissions associated with race. STUDY DESIGN: A
retrospective cohort study that compared the likelihood of 30-day readmission for
Medicare beneficiaries discharged from hospitals participating in Stage 1 of MU
with the likelihood of readmission for beneficiaries concurrently discharged from
hospitals that were not participating in the initiative. METHODS: Inpatient
claims for 2,414,205 Medicare beneficiaries from Florida, New York, and
Washington State were used as the primary data source. The study period (2009
2013) included at least 2 years of baseline data prior to each hospital
initiating participation in MU. Estimates were derived with linear regression
models that included hospital and time fixed effects. By including both hospital
and time fixed effects, estimates were based on discharges from the same hospital
in the same time period. RESULTS: MU participation among hospitals was not
associated with a statistically significant change in readmissions for the
broader Medicare population (percentage points [PP], 0.6; 95% CI, -0.2 to 1.4),
but hospitals' participation in the initiative was associated with a lower
likelihood of readmission for African American beneficiaries (PP, -0.9; 95% CI,
1.5 to -0.4). CONCLUSIONS: Hospital participation in MU reduced disparities in 30
day readmissions for African American Medicare beneficiaries.
PMID- 29350508
TI - The health information technology special issue: has IT become a mandatory part
of health and healthcare?
AB - The 7th annual Health Information Technology (IT) issue provides a window into
how health IT tools are working well, how they may not be working as intended,
and what we can do to continue making progress toward optimal use of technology
to accomplish our shared goals: better health, better care experience, and lower
per capita cost.
PMID- 29350509
TI - Measuring overuse with electronic health records data.
AB - OBJECTIVES: To measure overuse of low-value care using electronic health record
(EHR) data and manual chart review and to evaluate whether certain low-value
services are better captured using EHR data. STUDY DESIGN: We implemented
algorithms to extract performance on 13 Choosing Wisely-identified healthcare
services using EHR data at a large physician practice group between 2011 and
2013. METHODS: We calculated rates of overuse using automated EHR extracts. We
manually reviewed the charts for 200 cases of overuse for each measure to
determine if they had clinical risk factors that could explain use of the low
value service and then calculated adjusted rates of overuse. We explored trends
in overuse for each low-value service in the 3-year duration using logistic
regression. RESULTS: Unadjusted rates of overuse ranged from 0.2% to 92%.
Automated EHR extracts and manual chart review identified explanatory risk
factors for most measures, although the magnitude varied: for some measures (eg,
bone densitometry exam for women younger than 65 years), manual chart review did
not identify many additional risks (3.0%). In contrast, in patients who had sinus
computed tomography or an antibiotic prescription for uncomplicated acute
rhinosinusitis, manual chart review identified more explanatory risk factors
(22.5%) than the automated EHR extract (9.5%). Adjusted rates of overuse ranged
from 0.2% to 61.9%. Eight services demonstrated a statistically significant
decrease in overuse over 3 years, while 1 increased significantly. CONCLUSIONS:
The use of EHR data, both extracted and manually abstracted, provides an
opportunity to more accurately and reliably identify overuse of low-value
healthcare services.
PMID- 29350510
TI - Electronic sharing of diagnostic information and patient outcomes.
AB - OBJECTIVES: Hospital sharing of electronic health record (EHR) diagnostic data
has the potential to improve communication across providers and improve patient
outcomes. However, implementing EHR systems can be difficult for hospitals. This
study uses Hospital Compare (HC) and American Hospital Association (AHA) Annual
Information Technology Survey data to estimate the association between sharing
EHR data and patient outcomes. STUDY DESIGN: Descriptive and multivariate linear
regression analyses. METHODS: This study links 2 years of HC data on 30-day
patient mortality and readmissions for heart failure (HF) and pneumonia with 2
years of AHA data. The sample was restricted to hospitals included in both years
in both sets of data. We estimated the associations between sharing EHR
diagnostic data and patient outcomes with a multivariate linear regression
analysis. Results were adjusted by hospital characteristics from the AHA annual
survey. RESULTS: Hospitals' sharing of radiology report data with hospitals
within their system was associated with significantly lower mortality scores for
pneumonia (-0.22; P <.01). Conversely, hospital sharing of radiology report data
with hospitals outside their system was associated with significantly higher HF
mortality scores (0.26; P <.01). We found qualitatively similar results with
sharing laboratory results through EHRs. CONCLUSIONS: Hospital sharing of EHR
data with providers within their system is associated with better patient
mortality, whereas sharing data with providers outside their system is associated
with worsened patient mortality. Improving communication between hospitals using
different EHR systems may be more crucial than simply expanding data sharing.
PMID- 29350511
TI - A cost-effectiveness analysis of cardiology eConsults for Medicaid patients.
AB - OBJECTIVES: To evaluate the cost-effectiveness of electronic consultations
(eConsults) for cardiology compared with traditional face-to-face consults. STUDY
DESIGN: Cost-effectiveness analysis for a subset of Medicaid-insured patients in
a cluster-randomized trial of eConsults versus the traditional face-to-face
consultation process in a statewide federally qualified health center. METHODS: A
total of 369 Medicaid patients were referred for cardiology consultations by
primary care providers who were randomly assigned to use either eConsults or
their usual face-to-face referral process. Primary care providers in the eConsult
arm transmitted consults to cardiologists using a secure peer-to-peer
communication platform in an electronic health record. Intention-to-treat
analysis was used to assess the total cost of care and cost across 7 categories:
inpatient, outpatient, emergency department, pharmacy, labs, cardiac procedures,
and "all other." Costs are from the payer's perspective. RESULTS: Six months
after the cardiology consult, patients in the eConsult group had significantly
lower mean unadjusted total costs by $655 per patient, or lower mean costs by
$466 per patient when adjusted for non-normality, compared with those in the face
to-face arm. The eConsult group had a significantly lower cost by $81 per patient
in the outpatient cardiac procedures category. CONCLUSIONS: These findings
suggest that eConsults are associated with total cost savings to payers due
principally to reductions in the cost of cardiac outpatient procedures.
PMID- 29350512
TI - Electronic health record problem lists: accurate enough for risk adjustment?
AB - OBJECTIVES: To determine whether comorbidity information derived from electronic
health record (EHR) problem lists is accurate. STUDY DESIGN: Retrospective cohort
study of 1596 men diagnosed with prostate cancer between 1998 and 2004 at 2
Southern California Veterans Affairs Medical Centers with long-term follow-up.
METHODS: We compared EHR problem list-based comorbidity assessment with manual
review of EHR free-text notes in terms of sensitivity and specificity for
identification of major comorbidities and Charlson Comorbidity Index (CCI)
scores. We then compared EHR-based CCI scores with free-text-based CCI scores in
prediction of long-term mortality. RESULTS: EHR problem list-based comorbidity
assessment had poor sensitivity for detecting major comorbidities: myocardial
infarction (8%), cerebrovascular disease (32%), diabetes (46%), chronic
obstructive pulmonary disease (42%), peripheral vascular disease (31%), liver
disease (1%), and congestive heart failure (23%). Specificity was above 94% for
all comorbidities. Free-text-based CCI scores were predictive of long-term other
cause mortality, whereas EHR problem list-based scores were not. CONCLUSIONS:
Inaccuracies in EHR problem list-based comorbidity data can lead to incorrect
determinations of case mix. Such data should be validated prior to application to
risk adjustment.
PMID- 29350513
TI - Racial/ethnic variation in devices used to access patient portals.
AB - OBJECTIVES: We examined racial/ethnic variation in the devices used by patients
to access medical records through an online patient portal. STUDY DESIGN:
Retrospective, cross-sectional analysis. METHODS: Using data from 318,700 adults
enrolled in an integrated delivery system between December 2012 and November
2013, we examined: 1) online patient portal use that directly engages the
electronic health record and 2) portal use over desktops/laptops only, mobile
devices only, or both device types. The primary covariate was race/ethnicity (non
Hispanic white, black, Hispanic, and Asian). Other covariates included age, sex,
primary language, and neighborhood-level income and education. Portal use and
devices used were assessed with multiple and multinomial logistic models,
respectively. RESULTS: From December 2012 to November 2013, 56% of enrollees used
the patient portal. Of these portal users, 62% used desktops/laptops only, 6%
used mobile devices only, and 32% used both desktops/laptops and mobile devices.
Black, Hispanic, and Asian enrollees had significantly lower odds of portal use
than whites. Black and Hispanic portal users also were significantly more likely
to use mobile devices only (relative risk ratio, 1.73 and 1.44, respectively) and
both device types (1.21 and 1.07, respectively) than desktops/laptops only
compared with whites. CONCLUSIONS: Although racial/ethnic minority enrollees were
less likely to access the online patient portal overall, a greater proportion of
black and Hispanic users accessed the patient portal with mobile devices than did
non-Hispanic white users. The rapid spread of mobile devices among racial/ethnic
minorities may help reduce variation in online patient portal use. Mobile device
use may represent an opportunity for healthcare organizations to further engage
black and Hispanic enrollees in online patient portal use.
PMID- 29350514
TI - Hospitalized patients' and family members' preferences for real-time, transparent
access to their hospital records.
AB - OBJECTIVES: To better understand patient satisfaction and perceived engagement
with traditional hospital-based communication and to elicit patient preferences
for health information technologies that would lead to improved satisfaction and
engagement. STUDY DESIGN: We performed a mixed-methods study involving
qualitative interviews followed by a survey of hospitalized patients and their
family members at a single large academic medical center. METHODS: We conducted
semi-structured interviews with 41 patients and surveyed 267 patients or family
members to elicit their perspectives on satisfaction with traditional hospital
communication methods, information needed to more fully engage in the patients'
medical care, and potential solutions for improved hospital-based communication.
RESULTS: Qualitative interviews revealed patients' and family members'
dissatisfaction with current hospital-based communication methods. They would
prefer more information, in more flexible forms, with real-time digital access
and the ability to share within their social and healthcare networks.
Quantitative results from the survey supported these premises, with at least the
majority of the 267 patients surveyed agreeing across each survey question.
Furthermore, participants identified a "communications point person" as the
individual who organizes, understands, and communicates about the patient's care,
who was often a family member not available at the bedside during daily rounds.
Potential solutions included improved transparency about hospital processes,
creating systems that allow patients and family to help coordinate and double
check their own health-related communications, and delivering hospital-based
communications through digital media. CONCLUSIONS: These study findings provide
empiric evidence to hospital decision-makers regarding patient and family
preferences for 21st-century hospital-based communication systems.
PMID- 29350515
TI - Rapid One-Pot Microwave Synthesis of Mixed-Linker Hybrid Zeolitic-Imidazolate
Framework Membranes for Tunable Gas Separations.
AB - The relatively slow and complex fabrication processes of polycrystalline metal
organic framework (MOF) membranes often times restrict their way to
commercialization, despite their potential for molecular separation applications.
Herein, we report a rapid one-pot microwave synthesis of mixed-linker hybrid
zeolitic-imidazolate framework (ZIF) membranes consisting of 2-methylimidazolate
(ZIF-8 linker) and benzimidazolate (ZIF-7 linker) linkers, termed ZIF-7-8
membranes. The fast-volumetric microwave heating in conjunction with a unique
counter diffusion of metal and linker solutions enabled unprecedented rapid
synthesis of well-intergrown ZIF-7-8 membranes in ~90 s, the fastest MOF membrane
preparation up to date. Furthermore, we were able to tune the molecular sieving
properties of the ZIF-7-8 membranes by varying the benzimidazole-to-2
methylimidazole (bIm-to-mIm) linker ratio in the hybrid frameworks. The tuning of
their molecular sieving properties led to the systematic change in the permeance
and selectivity of various small gases. The unprecedented rapid synthesis of well
intergrown ZIF-7-8 membranes with tunable molecular sieving properties is an
important step forward for the commercial gas separation applications of ZIF
membranes.
PMID- 29350516
TI - Time-Dependent Density Functional Theory for Open Systems and Its Applications.
AB - Photovoltaic devices, electrochemical cells, catalysis processes, light emitting
diodes, scanning tunneling microscopes, molecular electronics, and related
devices have one thing in common: open quantum systems where energy and matter
are not conserved. Traditionally quantum chemistry is confined to isolated and
closed systems, while quantum dissipation theory studies open quantum systems.
The key quantity in quantum dissipation theory is the reduced system density
matrix. As the reduced system density matrix is an O(M! * M!) matrix, where M is
the number of the particles of the system of interest, quantum dissipation theory
can only be employed to simulate systems of a few particles or degrees of
freedom. It is thus important to combine quantum chemistry and quantum
dissipation theory so that realistic open quantum systems can be simulated from
first-principles. We have developed a first-principles method to simulate the
dynamics of open electronic systems, the time-dependent density functional theory
for open systems (TDDFT-OS). Instead of the reduced system density matrix, the
key quantity is the reduced single-electron density matrix, which is an N * N
matrix where N is the number of the atomic bases of the system of interest. As
the dimension of the key quantity is drastically reduced, the TDDFT-OS can thus
be used to simulate the dynamics of realistic open electronic systems and
efficient numerical algorithms have been developed. As an application, we apply
the method to study how quantum interference develops in a molecular transistor
in time domain. We include electron-phonon interaction in our simulation and show
that quantum interference in the given system is robust against nuclear vibration
not only in the steady state but also in the transient dynamics. As another
application, by combining TDDFT-OS with Ehrenfest dynamics, we study current
induced dissociation of water molecules under scanning tunneling microscopy and
follow its time dependent dynamics. Given the rapid development in ultrafast
experiments with atomic resolution in recent years, time dependent simulation of
open electronic systems will be useful to gain insight and understanding of such
experiments. This Account will mainly focus on the practical aspects of the TDDFT
OS method, describing the numerical implementation and demonstrating the method
with applications.
PMID- 29350517
TI - Cellphone-Enabled Microwell-Based Microbead Aggregation Assay for Portable
Biomarker Detection.
AB - Quantitative biomarker detection methods featured with rapidity, high accuracy,
and label-free are demonstrated for the development of point-of-care (POC)
technologies or "beside" diagnostics. Microbead aggregation via protein-specific
linkage provides an effective approach for selective capture of biomarkers from
the samples, and can directly readout the presence and amount of the targets.
However, sensors or microfluidic analyzers that can accurately quantify the
microbead aggregation are scared. In this work, we demonstrate a microwell-based
microbeads analyzing system, by which online manipulations of microbeads
including trapping, arraying, and rotations can be realized, providing a series
of microfluidic approaches to layout the aggregated microbeads for further
convenient characterizations. Prostate specific antigen is detected using the
proposed system, demonstrating the limit of detection as low as 0.125 ng/mL (3.67
pM). A two-step reaction kinetics model is proposed for the first time to explain
the dynamic process of microbeads aggregation. The developed microbeads
aggregation analysis system has the advantages of label-free detection, high
throughput, and low cost, showing great potential for portable biomarker
detection.
PMID- 29350518
TI - Development of Self-Healing d-Gluconic Acetal-Based Supramolecular Ionogels for
Potential Use as Smart Quasisolid Electrochemical Materials.
AB - Formation of supramolecular ionic liquid (IL) gels (ionogels) induced by low
molecular-mass gelators (LMMGs) is an efficient strategy to confine ILs, and the
negligible influence of LMMGs on the electrochemical properties of ILs makes
ionogels ideal quasisolid electrochemical materials. Furthermore, the stimuli
responsive and self-healing characters of the supramolecular gel can be utilized
for the potential development of smart electrochemical materials. However, the
poor mechanical properties of supramolecular ionogels reported so far limit their
practical applications. Herein, we investigated a series of efficient d-gluconic
acetal-based gelators (Gn, PG16, and B8) that can harden a wide variety of ILs at
low concentrations. It was shown that both alkyl chain length and the number of
hydrogen bonding sites of a certain gelator, as well as the nature of the IL
anion, significantly influenced the gelation abilities. The resulting ionogels
were thermally reversible, and most of them were stable at room temperature.
Interestingly, a PG16-based supramolecular ionogel showed rapid self-healing
properties upon mechanical damage. Furthermore, the PG16-based ionogel
demonstrated unprecedented performances including the favorable ionic
conductivity, excellent mechanical strength, and enhanced viscoelasticity, which
make it a great self-healing electrochemical material. The ionogel formation
mechanism was proposed based on the analysis of Fourier transform infrared,
1HNMR, and X-ray diffraction, indicating that a combination of hydrogen bonding,
pi-pi stacking, and interactions between alkyl chains was responsible for the
self-assembly of gelators in ILs. Overall, our present studies on exploring the
structure-property relationship of gelators for the formation of practically
useful supramolecular ionogels shed light for future development of more
functionalized ionogels.
PMID- 29350519
TI - Surface Functionalization for a Nontextured Liquid-Infused Surface with Enhanced
Lifetime.
AB - Liquid-infused surfaces (LISs) are a new class of self-cleaning surfaces having
superior properties compared to other self-cleaning surfaces. One challenge
regarding these is the eventual washing away or drainage of the lubricant,
limiting their longevity. Presented here is a surface functionalization strategy
to compatibilize the lubricant and surface, enhancing the ability of the
lubricant to remain on the surface even during washing. The strategy used here is
the grafting of a layer of polydimethylsiloxane (PDMS) to the surface, which
stabilizes a layer of silicone oil. The effectiveness of this layer is studied as
a function of PDMS molecular weight. The stable liquid layer can exist even in
the absence of texture on the surface that is generally used to "lock" the
lubricant in place. This strategy is shown to be effective on both flat and
textured surfaces. One advantage of a flat surface is that the composite
liquid/solid surface can be studied using optical techniques such as
ellipsometry, which are difficult to employ in the presence of a rough solid
surface. This method of surface compatibilization shows an enhanced lifetime when
used on textured surfaces as well. This is a promising strategy for the enhanced
longevity of LISs required for real-world applications.
PMID- 29350520
TI - One-Step Synthesis of Co-Doped In2O3 Nanorods for High Response of Formaldehyde
Sensor at Low Temperature.
AB - Uniform and monodisperse Co-doped In2O3 nanorods were fabricated by a facile and
environmentally friendly hydrothermal strategy that combined the subsequent
annealing process, and their morphology, structure, and formaldehyde (HCHO) gas
sensing performance were investigated systematically. Both pure and Co-doped
In2O3 nanorods had a high specific surface area, which could offer abundant
reaction sites to gas molecular diffusion and improve the response of the gas
sensor. Results revealed that the In2O3/1%Co nanorods exhibited a higher response
of 23.2 for 10 ppm of HCHO than that of the pure In2O3 nanorods by 4.5 times at
130 degrees C. More importantly, the In2O3/1%Co nanorods also presented
outstanding selectivity and long-term stability. The superior gas sensing
properties were mainly attributed to the incorporation of Co, which suggested the
important role of the amount of oxygen vacancies and adsorbed oxygen in enhancing
HCHO sensing performance of In2O3 sensors.
PMID- 29350521
TI - Facile and Highly Effective Synthesis of Controllable Lattice Sulfur-Doped
Graphene Quantum Dots via Hydrothermal Treatment of Durian.
AB - Recently, the biomass "bottom-up" approach for the synthesis of graphene quantum
dots (GQDs) has attracted broad interest because of the outstanding features,
including low-cost, rapid, and environmentally friendly nature. However, the low
crystalline quality of products, substitutional doping with heteroatoms in
lattice, and ambiguous reaction mechanism strongly challenge the further
development of this technique. Herein, we proposed a facile and effective
strategy to prepare controllable sulfur (S) doping in GQDs, occurring in a
lattice substitution manner, by hydrothermal treatment of durian with platinum
catalyst. S atoms in GQDs are demonstrated to exist in the thiophene structure,
resulting in good optical and chemical stabilities, as well as ultrahigh quantum
yield. Detailed mechanism of the hydrothermal reaction progress was investigated.
High-efficiency reforming cyclization provided by platinum was evidenced by the
coexistence of diversified sp2-fused heterocyclic compounds and thiophene
derivatives. Moreover, we also demonstrated that saccharides in durian with small
molecular weight (<1000 Da) is the main carbon source for the forming GQDs.
Because of the desulfurizing process, controllable photoluminescence properties
could be achieved in the as-prepared GQDs via tuning doping concentrations.
PMID- 29350522
TI - Chemical Design of Both a Glutathione-Sensitive Dimeric Drug Guest and a Glucose
Derived Nanocarrier Host to Achieve Enhanced Osteosarcoma Lung Metastatic
Anticancer Selectivity.
AB - Although nanomedicines have been pursued for nearly 20 years, fundamental
chemical strategies that seek to optimize both the drug and drug carrier together
in a concerted effort remain uncommon yet may be powerful. In this work, two
block polymers and one dimeric prodrug molecule were designed to be coassembled
into degradable, functional nanocarriers, where the chemistry of each component
was defined to accomplish important tasks. The result is a poly(ethylene glycol)
(PEG)-protected redox-responsive dimeric paclitaxel (diPTX)-loaded cationic
poly(d-glucose carbonate) micelle (diPTX@CPGC). These nanostructures showed
tunable sizes and surface charges and displayed controlled PTX drug release
profiles in the presence of reducing agents, such as glutathione (GSH) and
dithiothreitol (DTT), thereby resulting in significant selectivity for killing
cancer cells over healthy cells. Compared to free PTX and diPTX, diPTX@CPGC
exhibited improved tumor penetration and significant inhibition of tumor cell
growth toward osteosarcoma (OS) lung metastases with minimal side effects both in
vitro and in vivo, indicating the promise of diPTX@CPGC as optimized anticancer
therapeutic agents for treatment of OS lung metastases.
PMID- 29350524
TI - Development of a Multiplexed Microsphere PCR for Culture-Free Detection and Gram
Typing of Bacteria in Human Blood Samples.
AB - Bloodstream infection is a significant clinical problem, particularly in
vulnerable patient groups such as those undergoing chemotherapy and bone marrow
transplantation. Clinical diagnostics for suspected bloodstream infection remain
centered around blood culture (highly variable timing, in the order of hours to
days to become positive), and empiric use of broad-spectrum antibiotics is
therefore employed for patients presenting with febrile neutropenia. Gram-typing
provides the first opportunity to target therapy (e.g., combinations containing
vancomycin or teicoplanin for Gram-positives; piperacillin-tazobactam or a
carbapenem for Gram-negatives); however, current approaches require blood
culture. In this study, we describe a multiplexed microsphere-PCR assay with flow
cytometry readout, which can distinguish Gram-positive from Gram-negative
bacterial DNA in a 3.5 h time period. The combination of a simple assay design
(amplicon-dependent release of Gram-type specific Cy3-labeled oligonucleotides)
and the Luminex-based readout (for quantifying each specific Cy3-labeled
sequence) opens opportunities for further multiplexing. We demonstrate the
feasibility of detecting common Gram-positive and Gram-negative organisms after
spiking whole bacteria into healthy human blood prior to DNA extraction. Further
development of DNA extraction methods is required to reach detection limits
comparable to blood culture.
PMID- 29350525
TI - Microporous Luminescent Metal-Organic Framework for a Sensitive and Selective
Fluorescence Sensing of Toxic Mycotoxin in Moldy Sugarcane.
AB - Food contamination by toxic mycotoxins not only causes a considerable loss in
economy, but importantly poses a huge threat to human health through accidental
ingestion. Hence, it is an ongoing and imperative need to develop a convenient,
cost-effective method for the detection of the mycotoxin-infected agricultural
commodities. To this end, we herein fabricated a novel metal-organic framework
derived composite material that displays a strong solid-state emission in the
visible region, by attaching a frequently used fluorescent label, fluorescein
isothiocyanate (FITC), via guest adsorption. Significantly, owing to the inherent
pH-responsive conformational changes of FITC, the resulting composite material
provides, to the best of our knowledge, the first example of the sensitive and
selective fluorescence sensing toward 3-nitropropionic acid, which, as a major
naturally occurring mycotoxin in moldy sugarcane, has been closely linked to
poisoning episodes in human beings and animals.
PMID- 29350523
TI - Amphiphilic pi-Allyliridium C,O-Benzoates Enable Regio- and Enantioselective
Amination of Branched Allylic Acetates Bearing Linear Alkyl Groups.
AB - The first examples of amphiphilic reactivity in the context of enantioselective
catalysis are described. Commercially available pi-allyliridium C,O-benzoates,
which are stable to air, water and SiO2 chromatography, and are well-known to
catalyze allyl acetate-mediated carbonyl allylation, are now shown to catalyze
highly chemo-, regio- and enantioselective substitutions of branched allylic
acetates bearing linear alkyl groups with primary amines.
PMID- 29350526
TI - Folding Graphene Film Yields High Areal Energy Storage in Lithium-Ion Batteries.
AB - We show that a high energy density can be achieved in a practical manner with
freestanding electrodes without using conductive carbon, binders, and current
collectors. We made and used a folded graphene composite electrode designed for a
high areal capacity anode. The traditional thick graphene composite electrode,
such as made by filtering graphene oxide to create a thin film and reducing it
such as through chemical or thermal methods, has sluggish reaction kinetics.
Instead, we have made and tested a thin composite film electrode that was folded
several times using a water-assisted method; it provides a continuous electron
transport path in the fold regions and introduces more channels between the
folded layers, which significantly enhances the electron/ion transport kinetics.
A fold electrode consisting of SnO2/graphene with high areal loading of 5 mg cm-2
has a high areal capacity of 4.15 mAh cm-2, well above commercial graphite anodes
(2.50-3.50 mAh cm-2), while the thickness is maintained as low as ~20 MUm. The
fold electrode shows stable cycling over 500 cycles at 1.70 mA cm-2 and improved
rate capability compared to thick electrodes with the same mass loading but
without folds. A full cell of fold electrode coupled with LiCoO2 cathode was
assembled and delivered an areal capacity of 2.84 mAh cm-2 after 300 cycles. This
folding strategy can be extended to other electrode materials and rechargeable
batteries.
PMID- 29350527
TI - Shuttle Suppression by Polymer-Sealed Graphene-Coated Polypropylene Separator.
AB - "Shuttle effect" of lithium polysulfides (LiPS) leads to a poor performance and a
short cycle life of the Li-S battery, thus limiting their practical application.
We demonstrate here that after coating polypropylene (PP) separator with a
continuous monolayer graphene, the shuttle effect can be significantly suppressed
by limiting the passage of long-chain LiPS. The graphene/PP separator can be
further modified by sealing the big holes or pores on graphene with in situ
polymerized nylon-66 via an interfacial polymerization reaction between diamine
and adipoyl chloride supplied by the aqueous and oil phase, respectively, from
each side of the membrane. With this engineered membrane, an initial specific
capacity of 1128.4 mAh g-1 at 0.05C is achieved after test in a coin cell, higher
than that of 983.2 mAh g-1 with pristine PP, along with increased Coulombic
efficiency from 96.0 to 99.9% and enhanced cycling durability. Molecular dynamics
simulations attest that the nanopores with appropriate size and structure are
effective in acting as a "sieve" to selectively allow only Li+ ions to pass
through but prevent LiPS from migrating to the anode, consequently alleviating
the shuttle effect. Our method provides a facile solution toward the mitigated
shuttle effect and eventually contributes to the high performance of Li-S
battery.
PMID- 29350528
TI - Proton and Carbon-13 Dynamic Nuclear Polarization of Methylated beta
Cyclodextrins.
AB - 1H and 13C dynamic nuclear polarizations have been studied in 13C-enriched beta
cyclodextrins doped with (2,2,6,6-tetramethylpiperidin-1-yl)oxyl free radical. 1H
and 13C polarizations raised above 7.5 and 7%, respectively, and for both nuclear
species, the transfer of polarization from the electron spins appears to be
consistent with a thermal mixing scenario for a concentration of 9 13C nuclei per
molecule. When the concentration is increased to 21 13C nuclei per molecule, a
decrease in the spin-lattice relaxation and polarization buildup rates is
observed. This reduction is associated with the bottleneck effect induced by the
decrease in the number of electron spins per nucleus when both the nuclear spin
lattice relaxation and the polarization occur through the electron non-Zeeman
reservoir. 13C nuclear spin-lattice relaxation has been studied in the 1.8-340 K
range, and the effects of internal molecular motions and of the free radicals on
the relaxation are discussed. 13C hyperpolarization performances and room
temperature spin-lattice relaxation times show that these are promising materials
for future biomedical applications.
PMID- 29350529
TI - Colorimetric DNAzyme Biosensor for Convenience Detection of Enterotoxin B
Harboring Staphylococcus aureus from Food Samples.
AB - In the present study, a colorimetric DNAzymes biosensor strategy was devised in
combination with immunomagnetic separation for rapid and easy detection of
enterotoxin B harboring Staphylococcus aureus from food and clinical samples. The
method employs immunocapture of S. aureus and amplification of seb gene by
DNAzyme complementary sequence integrated forward primer and with specific
reverse primer. The DNAzyme sequence integrated dsDNA PCR products when treated
with hemin and TMB (3,3',5,5'-tetramethylbenzidine) in the presence of H2O2
produce colorimetric signal. A linear relationship of optical signal with the
initial template of seb was obtained which could be monitored by visually or
spectrophotrometrically for qualitative and quantitative detection. The limit of
detection for the assay was approximately 102 CFU/mL of seb gene harboring
target. This method is convenient compared to gel based and ELISA systems.
Further, spiking studies and analysis on natural samples emphasized the
robustness and applicability of developed method. Altogether, the established
assay could be a reliable alternative, low-cost, viable detection tool for the
routine investigation of seb from food and clinical sources.
PMID- 29350530
TI - Structural Variation and Microrheological Properties of a Homogeneous
Polysaccharide from Wheat Germ.
AB - A novel polysaccharide (WGP) was purified from crude wheat germ polysaccharide by
Sephacryl S-500HRgel filtration. The molecular weight of WGP was determined as
4.89 * 106 Da and consisted of arabinose, xylose, glucose, and galactose.
Methylation analysis and 1D/2D nuclear magnetic resonance was used to analyze the
structural characterization of WGP. WGP was mainly a backbone composed of (1 ->
4)-linked-beta-d-Xylp (19.01%) and (1 -> 3, 4)-linked-beta-d-Xylp (26.27%)
residues, which was branched of (1 -> 5)-linked alpha-l-Araf (28.09%) and (1 ->
3,6)-linked beta-d-Galp (12.11%) with beta-d-Glcp (14.52%) as terminal unit. The
calculated values of Turbiscan stability indexes suggested that WGP (0.1-0.5
mg/mL) is a stable system. Microrheology results showed that WGP can form gel
behavior when the concentration of WGP ranges from 0.1 to 3 mg/mL. Results of in
vitro assays showed that WGP could cause the proliferation of RAW264.7
macrophages, upregulating the release of TNF-alpha and IL-8 in the lymphocytes.
PMID- 29350531
TI - On the Electronic Structure of Cu Chlorophyllin and Its Breakdown Products: A
Carbon K-Edge X-ray Absorption Spectroscopy Study.
AB - Using near-edge X-ray absorption fine structure (NEXAFS) spectroscopy, the carbon
backbone of sodium copper chlorophyllin (SCC), a widely used chlorophyll
derivative, and its breakdown products are analyzed to elucidate their electronic
structure and physicochemical properties. Using various sample preparation
methods and complementary spectroscopic methods (including UV/Vis, X-ray
photoelectron spectroscopy), a comprehensive insight into the SCC breakdown
process is presented. The experimental results are supported by density
functional theory calculations, allowing a detailed assignment of characteristic
NEXAFS features to specific C bonds. SCC can be seen as a model system for the
large group of porphyrins; thus, this work provides a novel and detailed
description of the electronic structure of the carbon backbone of those molecules
and their breakdown products. The achieved results also promise prospective
optical pump/X-ray probe investigations of dynamic processes in chlorophyll
containing photosynthetic complexes to be analyzed more precisely.
PMID- 29350532
TI - Design of Exceptionally Strong Organic Superbases Based on Aromatic Pnictogen
Oxides: Computational DFT Analysis of the Oxygen Basicity in the Gas Phase and
Acetonitrile Solution.
AB - DFT B3LYP calculations convincingly showed that aromatic pnictogen oxides offer
scaffolds suitable for tailoring powerful organic superbases exhibiting
exceptional oxygen basicity in both the gas phase and polar aprotic acetonitrile
solution. With their protonation enthalpies and pKa values, they surpass the
basicity of classical proton sponges and related nitrogen bases. The most potent
system is provided with two arsenic oxide moieties on the phenanthrene framework
assisted by the two phosphazeno groups in the para-position to both basic
centers. With its proton affinity PA = 300.5 kcal mol-1, the latter system breaks
the gas-phase hyperbasicity threshold of 300 kcal mol-1, while its pKa = 54.8
promotes it as an unprecedented superbase in acetonitrile. The origin of such a
dramatic basicity enhancement is traced to a fine interplay between (a) steric
repulsions of the two negatively charged oxygens destabilizing a neutral base,
(b) favorable intramolecular [O-H...O]- hydrogen bonding in conjugate acids, and
(c) efficient cationic resonance upon protonation supported by the electron
donating substituents. Given the growing interest in highly basic compounds
together with related basic catalysts and metal complexing agents, we hope that
the results presented here will open a new avenue of research in these fields and
direct attention toward utilizing aromatic pnictogen oxides in designing improved
organic materials.
PMID- 29350533
TI - Development of Pickering Emulsions Stabilized by Gliadin/Proanthocyanidins Hybrid
Particles (GPHPs) and the Fate of Lipid Oxidation and Digestion.
AB - This work attempted to engineer emulsions' interface using the special affinity
between proline-rich gliadin and proanthocyanidins (PA), to develop surfactant
free antioxidant Pickering emulsions with digestive-resistant properties. This
binding interaction between gliadin and PA benefited the interfacial adsorption
of the particles to corn oil droplets. Pickering droplets as building units
assembled into an interconnected three-dimensional network structure, giving the
emulsions viscoelasticity and ultrastability. Oxidative markers in Pickering
emulsions were periodically monitored under thermally accelerated storage. Lipid
digestion and oxidation fates were characterized using in vitro gastrointestinal
(GI) models. The interfacial membrane constructed by antioxidant particles served
as a valid barrier against lipid oxidation and digestion, in a PA dose-dependent
manner. Briefly, lipid oxidation under storage and simulated GI tract was
retarded. Free fatty acid (FFA) fraction released decreased by 55% from 87.9%
(bulk oil) to 39.5% (Pickering emulsion), implying engineering interfacial
architecture potentially benefited to fight obesity. This study opens a facile
strategy to tune lipid oxidation and digestion profiles through the cooperation
of the Pickering principle and the interfacial delivery of antioxidants.
PMID- 29350534
TI - Discovery of Tetrahydroisoquinoline-Containing CXCR4 Antagonists with Improved in
Vitro ADMET Properties.
AB - CXCR4 is a seven-transmembrane receptor expressed by hematopoietic stem cells and
progeny, as well as by >=48 different cancers types. CXCL12, the only chemokine
ligand of CXCR4, is secreted within the tumor microenvironment, providing
sanctuary for CXCR4+ tumor cells from immune surveillance and chemotherapeutic
elimination by (1) stimulating prosurvival signaling and (2) recruiting CXCR4+
immunosuppressive leukocytes. Additionally, distant CXCL12-rich niches attract
and support CXCR4+ metastatic growths. Accordingly, CXCR4 antagonists can
potentially obstruct CXCR4-mediated prosurvival signaling, recondition the CXCR4+
leukocyte infiltrate from immunosuppressive to immunoreactive, and inhibit CXCR4+
cancer cell metastasis. Current small molecule CXCR4 antagonists suffer from poor
oral bioavailability and off-target liabilities. Herein, we report a series of
novel tetrahydroisoquinoline-containing CXCR4 antagonists designed to improve
intestinal absorption and off-target profiles. Structure-activity relationships
regarding CXCR4 potency, intestinal permeability, metabolic stability, and
cytochrome P450 inhibition are presented.
PMID- 29350535
TI - Contact Charge Electrophoresis: Fundamentals and Microfluidic Applications.
AB - Contact charge electrophoresis (CCEP) uses steady electric fields to drive the
oscillatory motion of conductive particles and droplets between two or more
electrodes. In contrast to traditional forms of electrophoresis and
dielectrophoresis, CCEP allows for rapid and sustained particle motions driven by
low-power dc voltages. These attributes make CCEP a promising mechanism for
powering active components for mobile microfluidic technologies. This Feature
Article describes our current understanding of CCEP as well as recent strategies
to harness it for applications in microfluidics and beyond.
PMID- 29350536
TI - Diagnostic accuracy of functional, imaging and biochemical tests for patients
presenting with chest pain to the emergency department: A systematic review and
meta-analysis.
AB - BACKGROUND: Non-invasive ischaemia tests and biomarkers are widely adopted to
rule out acute coronary syndrome in the emergency department. Their diagnostic
accuracy has yet to be precisely defined. METHODS: Medline, Cochrane Library
CENTRAL, EMBASE and Biomed Central were systematically screened (start date 1
September 2016, end date 1 December 2016). Prospective studies (observational or
randomised controlled trial) comparing functional/imaging or biochemical tests
for patients presenting with chest pain to the emergency department were
included. RESULTS: Overall, 77 studies were included, for a total of 49,541
patients (mean age 59.9 years). Fast and six-hour highly sensitive troponin T
protocols did not show significant differences in their ability to detect acute
coronary syndromes, as they reported a sensitivity and specificity of 0.89 (95%
confidence interval 0.79-0.94) and 0.84 (0.74-0.9) vs 0.89 (0.78-0.94) and 0.83
(0.70-0.92), respectively. The addition of copeptin to troponin increased
sensitivity and reduced specificity, without improving diagnostic accuracy. The
diagnostic value of non-invasive tests for patients without troponin increase was
tested. Coronary computed tomography showed the highest level of diagnostic
accuracy (sensitivity 0.93 (0.81-0.98) and specificity 0.90 (0.93-0.94)), along
with myocardial perfusion scintigraphy (sensitivity 0.85 (0.77-0.91) and
specificity 0.92 (0.83-0.96)). Stress echography was inferior to coronary
computed tomography but non-inferior to myocardial perfusion scintigraphy, while
exercise testing showed the lower level of diagnostic accuracy. CONCLUSIONS: Fast
and six-hour highly sensitive troponin T protocols provide an overall similar
level of diagnostic accuracy to detect acute coronary syndrome. Among the non
invasive ischaemia tests for patients without troponin increase, coronary
computed tomography and myocardial perfusion scintigraphy showed the highest
sensitivity and specificity.
PMID- 29350537
TI - Cartilage Morphological and Histological Findings After Reconstruction of the
Glenoid With an Iliac Crest Bone Graft.
AB - BACKGROUND: The J-bone graft is presumably representative of iliac crest bone
grafts in general and allows anatomic glenoid reconstruction in cases of bone
defects due to recurrent traumatic anterior shoulder dislocations. As a side
effect, these grafts have been observed to be covered by some soft, cartilage
like tissue when arthroscopy has been indicated after such procedures. PURPOSE:
To evaluate the soft tissue covering of J-bone grafts by use of magnetic
resonance imaging (MRI) and histological analysis. STUDY DESIGN: Case series;
Level of evidence, 4. METHODS: Patients underwent MRI at 1 year after the J-bone
graft procedures. Radiological data were digitally processed and evaluated by
segmentation of axial images. Independent from the MRI analysis, 2 biopsy
specimens of J-bone grafts were harvested for descriptive histological analysis.
RESULTS: Segmentation of the images revealed that all grafts were covered by soft
tissue. This layer had an average thickness of 0.87 mm compared with 1.96 mm at
the adjacent native glenoid. Of the 2 biopsy specimens, one exhibited evident
hyaline-like cartilage and the other presented patches of chondrocytes embedded
in a glycosaminoglycan-rich extracellular matrix. CONCLUSION: J-bone grafts are
covered by soft tissue that can differentiate into fibrous and potentially
hyaline cartilage. This feature may prove beneficial for delaying the onset of
dislocation arthropathy of the shoulder.
PMID- 29350538
TI - Potential Second-Harmonic Ghost Bands in Fourier Transform Infrared (FT-IR)
Difference Spectroscopy of Proteins.
AB - Fourier transform infrared (FT-IR) difference absorption spectroscopy is a common
method for studying the structural and dynamical aspects behind protein function.
In particular, the 2800-1800 cm-1 spectral range has been used to obtain
information about internal (deuterated) water molecules, as well as site-specific
details about cysteine residues and chemically modified and artificial amino
acids. Here, we report on the presence of ghost bands in cryogenic light-induced
FT-IR difference spectra of the protein bacteriorhodopsin. The presence of these
ghost bands can be particularly problematic in the 2800-1900 cm-1 region, showing
intensities similar to O-D vibrations from water molecules. We demonstrate that
they arise from second harmonics from genuine chromophore bands located in the
1400-850 cm-1 region, generated by double-modulation artifacts caused from
reflections of the IR beam at the sample and at the cryostat windows back to the
interferometer (inter-reflections). The second-harmonic ghost bands can be
physically removed by placing an optical filter of suitable cutoff in the beam
path, but at the cost of losing part of the multiplexing advantage of FT-IR
spectroscopy. We explored alternatives to the use of optical filters. Tilting the
cryostat windows was effective in reducing the intensity of the second harmonic
artifacts but tilting the sample windows was not, presumably by their close
proximity to the focal point of the IR beam. We also introduce a simple numerical
post-processing approach that can partially, but not fully, correct for second
harmonic ghost bands in FT-IR difference spectra.
PMID- 29350540
TI - Analytical Methods to Distinguish the Positive and Negative Spectra of Mineral
and Environmental Elements Using Deep Ablation Laser-Induced Breakdown
Spectroscopy (LIBS).
AB - Environments affect mineral surfaces, and the surface contamination or alteration
can provide potential information to understanding their regional environments.
However, when investigating mineral surfaces, mineral and environmental elements
appear mixed in data. This makes it difficult to determine their atomic
compositions independently. In this research, we developed four analytical
methods to distinguish mineral and environmental elements into positive and
negative spectra based on depth profiling data using laser-induced breakdown
spectroscopy (LIBS). The principle of the methods is to utilize how intensity
varied with depth for creating a new spectrum. The methods were applied to five
mineral samples exposed to four environmental conditions including seawater,
crude oil, sulfuric acid, and air as control. The proposed methods are then
validated by applying the resultant spectra to principal component analysis and
data were classified by the environmental conditions and atomic compositions of
mineral. By applying the methods, the atomic information of minerals and
environmental conditions were successfully inferred in the resultant spectrum.
PMID- 29350539
TI - Effectiveness and safety of CT-guided percutaneous radiofrequency ablation of
adrenal metastases.
AB - OBJECTIVE: The imaging-guided percutaneous radiofrequency (RF) ablation of
adrenal metastases is a relatively new treatment procedure, compared to the more
widespread application of the technique for the treatment of liver and renal
cancers. The present study aims to evaluate the safety and efficacy of the CT
guided percutaneous RF ablation of adrenal metastases in a cohort of patients.
METHODS: 33 patients with 38 adrenal metastases who received percutaneous CT
guided RF ablation between 2012 to 2015 were retrospectively reviewed. The
average diameter of the treated adrenal metastases was 3.0 +/- 1.6 cm. The
treatment outcomes, including presence of residual tumours, technical success
rate, recurrence rate, and complications, were evaluated. Patients were followed
up for every 3 months to monitor the progression of the disease. RESULTS:
Postoperative CT images showed the lack of tumour enhancement in 30 tumours
(30/38 tumours, technical success rate = 78.9%), and residual disease was found
in 7 tumours (7/37 tumours, 18.9%). The rate of residual disease was
significantly lower in the group with tumour size <3 cm than the group with
tumour size >=3 cm (p = 0.025). The severe complication rate was 4.3%, and the
mild complication rate was 48%, with intraoperative hypertensive crisis as the
most frequently observed complication (27.3%). The follow-up data showed that
76.3% of patients had recurrence-free survival in 27.4 months. CONCLUSION: The
current study demonstrated that radiofrequency ablation is a relatively safe and
effective treatment for controlling adrenal metastases, especially for patients
with tumour size <3 cm. Advances in knowledge: Surgical resection of the adrenal
metastases was advocated as one of the treatment options for patients. The
present study showed that radiofrequency ablation is a relatively safe and
effective treatment for controlling adrenal metastases.
PMID- 29350541
TI - Using Cell Phone Technology to Investigate a Deliberate Bacillus anthracis
Release Scenario.
AB - Unusual biological events and outbreaks require rapid epidemiologic investigation
and contact tracing procedures, allowing optimal handling of resources.
Currently, these are resource intensive, time consuming, and extremely complex,
requiring large teams of trained and prepared personnel. The goal of this study
was to determine whether a technological alternative to the classic systems,
based on the use of mobile phones and a unique algorithm, could perform a
complete epidemiologic investigation in a setting of a bioterrorism scenario. The
system was tested with 32 volunteers during a bioterrorism simulation drill, with
quantitative assessment of key outcome measures: perform a complete analysis of
the scenario, determine the fundamental biological attributes of the scenario,
distinguish between related and unrelated cases, and identify possible exposed
people among a known group of participants. The system fully achieved the
objectives in just under 5 hours from the beginning of the simulation with only 3
false-positive "exposed" participants, while identifying all 11 true-positive
"exposed" participants (overall accuracy of 85%). We find the system advantageous
over currently used tools in a way that could be integrated in conjunction with
current outbreak epidemiologic investigation tools and syndromic surveillance
efforts to shorten the response time of national authorities in handling adverse
biological events.
PMID- 29350542
TI - Preliminary investigation of social interactions and feeding behavior in captive
group-housed Tasmanian devils (Sarcophilus Harrisii).
AB - As the number of Tasmanian devils (Sarcophilus harrisii) in captivity increases,
an understanding of captive social dynamics and behavior is becoming increasingly
important. In the wild, devils are solitary, although sometimes, they congregate
to feed on a large carcass. However, it is common to house devils in groups as a
form of social enrichment. This study investigated how behavior at feeding time
of captive Tasmanian devils varied in groups of different sizes. Observations
were made of individually housed devils and devils in groups of two, three, five,
and six, when presented with a carcass on which to feed. Total feeding duration
ranged from 6.5 to 47.4 minutes per observation period (70 minutes). There was no
significant interaction between feeding duration and group size during the
experiment. Feeding duration varied daily and depended on carcass size. Social
housing of Tasmanian devils enabled them to display dyadic and agonistic
behaviors during feeding. Observing behaviors and learning from the outcomes of
these interactions can improve husbandry techniques. Creating a captive
environment that encourages natural behaviors may enhance survival in the wild
following translocation.
PMID- 29350543
TI - A Publicly Available Landscape Analysis Tool for Biodefense Policy.
PMID- 29350544
TI - Erratum.
PMID- 29350545
TI - Sensitive Multiplex Real-time RT-qPCR Assay for the Detection of Filoviruses.
AB - Filoviruses are important etiological agents of emergent diseases with high
mortality rates. Traditionally, filovirus fever diseases have primarily been a
burden of African countries; however, global interconnectedness has increased the
probability of the worldwide spread of filoviruses. Therefore, national
healthcare organizations need tools for managing filovirus risk, including
diagnostic kits based on real-time reverse transcription PCR (RT-qPCR), as this
is the most suitable method for diagnosing filovirus fever diseases. Here we
describe a real-time RT-qPCR assay for filovirus detection. This assay is a
further development of our previously reported EBOV (Zaire)-Fl kit. Two sets
(FiloA-Fl and FiloB-Fl) of real-time RT-qPCR assays for the detection of
filoviruses were developed and evaluated using armored RNA phage particles (ARs)
as positive controls. The limit of detection of the assay was 5x102 copies/ml of
the AR-positive control for the FiloA-Fl set and 5x103 copies/ml of the AR
positive control for the FiloB-Fl set. Our assay provides a rapid and sensitive
tool for detecting filoviruses. The high specificity and sensitivity of the assay
make it useful for clinical and epidemiologic investigations in the field of
filovirus fever diseases and their etiological agents.
PMID- 29350546
TI - 'We're like a gang, we stick together': experiences of ventricular assist device
communities.
AB - BACKGROUND: Ventricular assist devices (VADs) are a relatively new development in
the management of advanced heart failure. In the UK, VAD recipients comprise a
unique group of less than 200 patients. This is the first paper to explore the
experience of VAD communities, the extent to which communities are developed
around the device, and how these influence the experience of living with the VAD.
METHODS: Qualitative interviews were conducted with 20 VAD recipients (implanted
as a bridge to transplantation), 11 interviews also included the VAD recipients'
partners. Interpretive phenomenology was employed as the theoretical basis
guiding the analysis of the interviews. RESULTS: Four key themes emerged from the
data: the existence of VAD communities; experiential knowledge and understanding;
social comparisons; and the impacts of deaths within the VAD community. Many of
the interviewees valued the VAD communities and the relationships they had formed
with fellow recipients. The beneficial impacts of the VAD communities included
offering recently implanted patients a realistic view of what to expect from life
with a VAD; this could aid them in accepting and adapting to the changes imparted
by the device. However, negative impacts of the VAD communities were also
reported, in particular following deaths within the group, which were a source of
distress for many of the interviewees. CONCLUSIONS: In general, the VAD
communities appeared to be a beneficial source of support for the majority of
interviewees. Consideration should be given to how these communities could be
supported by clinicians.
PMID- 29350547
TI - Regulation of Autophagy by Hepatitis C Virus for Its Replication.
AB - Macroautophagy, hereafter autophagy, is a catabolic process that is important for
maintaining cellular homeostasis. It can also be used by cells to remove
intracellular microbial pathogens. However, the studies on hepatitis C virus
(HCV) in recent years indicated that this virus could regulate this cellular
pathway and use it to enhance its replication. HCV could temporally control the
autophagic flux and use the autophagic membranes for the assembly of its RNA
replication complex. In this report, we will discuss the biogenesis of
autophagosomes induced by HCV and how HCV uses this autophagic pathway for its
RNA replication.
PMID- 29350548
TI - Use of helical tomotherapy in locally advanced and/or metastatic breast cancer
for locoregional treatment.
AB - OBJECTIVE: Helical tomotherapy (HT) is a new promising tool whose use remains to
be studied. This work assesses its impact for local irradiation in terms of side
effects, as well as tumour control in locally advanced (LABC) and metastatic
breast cancer (MBC). METHODS: We retrospectively reviewed data of 66 patients
with LABC and MBC. Patients received standard fractionated radiotherapy by HT,
with or without concurrent systemic treatment. RESULTS: The median age was 60
years (28-77). The median follow-up of the population was 35.9 months (10.6
95.8). For 91% of patients, HT was concomitant with systemic treatments. Three
patients experienced grade 3 skin toxicity and all had concurrent 5FU
vinorelbine. One patient who was receiving concurrent treatment with trastuzumab
pertuzumab had a decreased left ventricular ejection fraction by 14%. No late
cardiac or lung toxicity was observed. A clinical benefit was observed in 75% of
cases. At 2 months after HT, we observed tumour regression in 7/8 patients, as
following: 1 complete, 4 partial responses, and 2 stable disease. The median
survival for MBC group was 64.4 months (42.6-65.8) and 21.1 (6.1-36.1) months for
LABC. CONCLUSION: This study suggests that the use of HT is well tolerated and
feasible with a multimodal strategy that includes concurrent systemic treatments
for patients with LABC and MBC. Advances in knowledge: The survival of LABC and
MBC increases and new safe tools are needed to determine optimal strategies of
treatment. To our knowledge, this is the first paper describing the use of HT for
this population.
PMID- 29350549
TI - Abiraterone acetate and its use in the treatment of metastatic prostate cancer: a
review.
AB - Abiraterone acetate, which targets enzymatic complexes playing a central role in
steroidogenesis, demonstrated to increase survival significantly in both chemo
naive and docetaxel pretreated, becoming one of the drugs of choice for
metastatic castration-resistant prostate cancer. More recently, this agent in
combination to androgen deprivation therapy demonstrated to be efficacious also
in metastatic castration-sensitive prostate cancer. The present review is aimed
to outline the clinical development of abiraterone acetate, the pivotal trials
which led to its approval for the clinical practice, new evidence about its
efficacy in metastatic castration-sensitive prostate cancer, its place in the
therapeutic landscape of prostate cancer and future directions of development.
PMID- 29350550
TI - Discrimination of Single Living Rat Pancreatic alpha, beta, delta, and Pancreatic
Polypeptide (PP) Cells Using Raman Spectroscopy.
AB - Primary pancreatic alpha, beta, delta, and pancreatic polypeptide (PP) cells are
reliable cell models for diabetes research. However, the separation and
purification of these cells in living conditions remains an obstacle for
researchers. The interaction of visible light with cellular molecules can produce
Raman scattering, which can be analyzed to obtain cellular intrinsic molecular
fingerprints. It has been speculated that primary pancreatic alpha, beta, delta,
and PP cells can be identified and separated from each other according to their
spectral differences. To test this hypothesis, Raman spectra detection was
performed on rat islet cells. Single islet cells identified by Raman scattering
under living conditions were verified using immunohistochemistry. Thus, Raman
data were acquired from a pure line of islet cells as a training sample and then
used to establish the discriminant function. Then, using the principal component
analysis-linear discriminate analysis (PCA-LDA) method, the four types of islet
cells could be identified and discriminated by Raman spectroscopy. This study
provides a label-free and noninvasive method for discriminating islet cell types
in a randomly distributed mixed islet cell population via their physical
properties rather than by using antibodies or fluorescence labeling.
PMID- 29350551
TI - Hip and Knee Kinematics and Kinetics During Landing Tasks After Anterior Cruciate
Ligament Reconstruction: A Systematic Review and Meta-Analysis.
AB - OBJECTIVE: To evaluate the current evidence concerning kinematic and kinetic
strategies adopted during dynamic landing tasks by patients with anterior
cruciate ligament reconstruction (ACLR). DATA SOURCES: PubMed, Web of Science.
STUDY SELECTION: Original research articles that evaluated kinematics or
kinetics (or both) during a landing task in those with a history of ACLR were
included. DATA EXTRACTION: Methodologic quality was assessed using the modified
Downs and Black checklist. Means and standard deviations for knee or hip (or
both) kinematics and kinetics were used to calculate Cohen d effect sizes and
corresponding 95% confidence intervals between the injured limb of ACLR
participants and contralateral or healthy matched limbs. Data were further
stratified by landing tasks, either double- or single-limb landing. A random
effects-model meta-analysis was used to calculate pooled effect sizes and 95%
confidence intervals. DATA SYNTHESIS: The involved limbs of ACLR patients
demonstrated clinically and significantly lower knee-extension moments during
double-legged landing compared with healthy contralateral limbs and healthy
control limbs (Cohen d range = -0.81 to -1.23) and decreased vertical ground
reaction forces when compared with healthy controls, regardless of task (Cohen d
range = -0.39 to -1.75). CONCLUSIONS: During single- and double-legged landing
tasks, individuals with ACLR demonstrated meaningful reductions in injured-limb
knee-extension moments and vertical ground reaction forces. These findings
indicate potential unloading of the injured limb after ACLR, which may have
significant implications for secondary ACL injury and long-term joint health.
PMID- 29350552
TI - Role Strain, Part 2: Perceptions Among Athletic Trainers Employed in the
Professional Practice Setting.
AB - CONTEXT: Athletic trainers (ATs) employed in the professional sport setting
(ATPSSs) demonstrate moderate to high degrees of role strain. The experiences and
perceptions of these ATs provide insight regarding the sources of role strain as
well as ways to reduce it. OBJECTIVE: To investigate the perceptions of ATPSSs
regarding role strain. DESIGN: Qualitative study. PATIENTS OR OTHER
PARTICIPANTS: From a purposeful sampling of 389 ATs employed in the 5 major
sport leagues (Major League Baseball, Major League Soccer, National Basketball
Association, National Football League, and National Hockey League), we identified
34 participants willing to participate in phone interviews. Data Collection and
Procedures: Semistructured phone interviews. Inductive data analysis was based
on a grounded theory approach. Credibility was addressed with member checks and a
peer debriefing. RESULTS: Three first-order emergent themes materialized from
the data: (1) sources of role strain, (2) consequences of role strain, and (3)
strategies to alleviate role strain in ATPSSs. Participants described the
antecedents of role strain as emerging from the competing expectations of the
professional athlete, the organization, and the sport league. Consequences of
role strain included effects on direct patient care and work-life imbalance.
Improving organizational factors such as inadequate staffing and poor
communication within the organization were strategies described by participants
for decreasing role strain in the professional sports setting. CONCLUSIONS: Our
participants discussed experiencing role strain, which was facilitated by trying
to meet the competing demands placed on them with limited time and often with an
inadequate support staff. Participant role strain affected health care and
contributed to work-life imbalance. Participants described changing the
organizational factors that contributed to role strain as a strategy to alleviate
the perceived stress.
PMID- 29350553
TI - Role Strain, Part 1: Experiences of Athletic Trainers Employed in the
Professional Sports Setting.
AB - CONTEXT: The demands and expectations of athletic trainers employed in
professional sports settings (ATPSSs) have increased over the years. Meeting
these demands and expectations may predispose the athletic trainer to workplace
stress and ultimately role strain. OBJECTIVE: To investigate the concept of
role strain among ATPSSs. DESIGN: Sequential, explanatory mixed-methods study
consisting of 2 phases: (1) population role-strain survey and (2) personal
interviews. PATIENTS OR OTHER PARTICIPANTS: From a purposeful sampling of 389
athletic trainers employed in the 5 major sports leagues (Major League Baseball,
Major League Soccer, National Basketball Association, National Football League,
and National Hockey League), 152 individuals provided usable data (39% response
rate). MAIN OUTCOME MEASURE(S): A previously validated and reliable role-strain
survey using a 5-point Likert scale (1 = never, 5 = nearly all the time) was
administered. Measures of central tendency were used to identify the presence and
degree of role strain; inferential statistics were calculated using analysis of
variance to determine group differences in overall role strain and its
subcomponents. RESULTS: More than half of the participants (53.9%) experienced
a moderate to high degree of role strain. Interrole conflict (2.99 +/- 0.77) and
role overload (2.91 +/- 0.75) represented the most prominent components of role
strain. Differences existed by sport leagues and employment. CONCLUSIONS: Role
strain existed at moderate to high levels (mean Role Strain Score > 2.70) among
ATPSSs. Interrole conflict and role overload contributed the most to overall role
strain. The ATPSSs experienced role strain to a higher degree than reported in
other settings.
PMID- 29350554
TI - Quadriceps Neuromuscular Function and Jump-Landing Sagittal-Plane Knee
Biomechanics After Anterior Cruciate Ligament Reconstruction.
AB - CONTEXT: Aberrant biomechanics may affect force attenuation at the knee during
dynamic activities, potentially increasing the risk of sustaining a knee injury
or hastening the development of osteoarthritis after anterior cruciate ligament
reconstruction (ACLR). Impaired quadriceps neuromuscular function has been
hypothesized to influence the development of aberrant biomechanics. OBJECTIVE:
To determine the association between quadriceps neuromuscular function (strength,
voluntary activation, and spinal-reflex and corticomotor excitability) and
sagittal-plane knee biomechanics during jump landings in individuals with ACLR.
DESIGN: Cross-sectional study. SETTING: Research laboratory. PATIENTS OR
OTHER PARTICIPANTS: Twenty-eight individuals with unilateral ACLR (7 men, 21
women; age = 22.4 +/- 3.7 years, height = 1.69 +/- 0.10 m, mass = 69.4 +/- 10.1
kg, time postsurgery = 52 +/- 42 months). MAIN OUTCOME MEASURE(S): We
quantified quadriceps spinal-reflex excitability via the Hoffmann reflex
normalized to maximal muscle response (H : M ratio), corticomotor excitability
via active motor threshold, strength as knee-extension maximal voluntary
isometric contraction (MVIC), and voluntary activation using the central
activation ratio (CAR). In a separate session, sagittal-plane kinetics (peak
vertical ground reaction force [vGRF] and peak internal knee-extension moment)
and kinematics (knee-flexion angle at initial contact, peak knee-flexion angle,
and knee-flexion excursion) were collected during the loading phase of a jump
landing task. Separate bivariate associations were performed between the
neuromuscular and biomechanical variables. RESULTS: In the ACLR limb, greater
MVIC was associated with greater peak knee-flexion angle ( r = 0.38, P = .045)
and less peak vGRF ( r = -0.41, P = .03). Greater CAR was associated with greater
peak internal knee-extension moment (rho = -0.38, P = .045), and greater H : M
ratios were associated with greater peak vGRF ( r = 0.45, P = .02). CONCLUSIONS:
Greater quadriceps MVIC and CAR may provide better energy attenuation during a
jump-landing task. Individuals with greater peak vGRF in the ACLR limb possibly
require greater spinal-reflex excitability to attenuate greater loading during
dynamic movements.
PMID- 29350555
TI - Outcomes of the Latarjet Procedure Compared With Bankart Repair for Recurrent
Traumatic Anterior Shoulder Instability.
AB - : Reference/Citation: An VV, Sivakumar BS, Phan K, Trantalis J. A systematic
review and meta-analysis of clinical and patient-reported outcomes following two
procedures for recurrent traumatic anterior instability of the shoulder: Latarjet
procedure vs. Bankart repair. J Shoulder Elbow Surg. 2016;25(5):853-863. CLINICAL
QUESTION: Are clinical and patient-reported outcomes different between the
Latarjet and Bankart repair stabilization procedures when performed for recurrent
traumatic anterior shoulder instability? DATA SOURCES: Ovid MEDLINE, PubMed,
Cochrane databases, American College of Physicians Journal Club, and Database of
Abstracts of Review of Effectiveness were searched up to June 2015. The search
terms used were Bankart AND Latarjet OR Bristow. STUDY SELECTION: Criteria used
to include studies that (1) were written in English; (2) compared the outcomes of
any Latarjet procedure (Bristow-Latarjet, coracoid transfer, or modified Bristow)
with Bankart repair (anatomic); (3) reported a minimum of 1 outcome of
recurrence, redislocation, revision, or patient-reported outcome measure; and (4)
reported original data. DATA EXTRACTION: Data presented in any format (text,
table, figure) were extracted from all included studies. The quality of each
study was assessed using the Strengthening the Reporting of Observational Studies
in Epidemiology (STROBE) checklist. Summary statistics were reported as relative
risks and weighted mean differences. Fixed-effects (the assumed treatment effect
was the same across studies) and random-effects (variations in treatment effect
were assumed among studies) models were tested. Heterogeneity between trials was
assessed using the chi2 statistic, and the amount (percentage) of variation
across studies due to heterogeneity was calculated using the I 2 statistic.
Forest plots were used to present pooled results. MAIN RESULTS: After the
initial search, 245 articles were identified. After we applied the inclusion
criteria, a total of 8 studies reporting on 795 patients (Latarjet = 379, Bankart
= 416) were included in this review. Using the National Health and Medical
Research Council's level of evidence, the authors scored 7 of the studies at
level III and 1 study at level II. All Latarjet procedures were performed using
an open technique, whereas the Bankart procedure was performed open in 6 studies
and arthroscopically in 2 studies. The demographics of the patients (age,
proportion of males to females, proportion with surgery on the dominant side, and
proportion of revisions) were similar between the 2 surgical procedures. Four
groups reported that patients who underwent the Latarjet procedure had fewer
recurrences than patients in the Bankart repair group (11.6% versus 21.1%,
respectively), irrespective of whether the Bankart was performed open or
arthroscopically. Similarly, 4 groups observed that the Latarjet procedure
resulted in fewer postsurgical redislocations (5.0%) than the Bankart (9.5%)
procedure, irrespective of whether the repair was open or arthroscopic. The
authors of 7 studies noted no differences between the 2 procedures in revision
rates (Latarjet: 3.4%, Bankart: 4.5%), and 8 studies demonstrated no differences
in complications requiring reoperation (Latarjet: 5.0%, Bankart: 3.1%).
Investigators in 7 studies used the Rowe score to measure patient-reported
satisfaction and function; patients who underwent the Latarjet procedure reported
better Rowe scores postsurgically than patients who underwent the Bankart repair
(scores: 79.0 and 85.4, respectively). Researchers in 4 studies reported a loss
of external-rotation range of motion, which was less in the Latarjet (11.5
degrees ) compared with the Bankart (20.9 degrees ) procedure. Of the 5 groups
that reported return to function, a trend suggested that a greater proportion of
patients who underwent the Latarjet procedure returned to work, sport, and
throwing activities compared with those who underwent the Bankart repair.
CONCLUSIONS: The Latarjet procedure produced fewer recurrences, better patient
reported outcomes, and less restricted external-rotation motion than the Bankart
repair.
PMID- 29350556
TI - Rehabilitation of a Young Athlete With Extension-Based Low Back Pain Addressing
Motor-Control Impairments and Central Sensitization.
AB - OBJECTIVE: To describe the conservative management of a young athlete with
extension-based (EB) low back pain (LBP). BACKGROUND: We present the case of a
15-year-old female high school gymnast with a 4-year history of EB LBP. Magnetic
resonance imaging revealed a healed spondylolysis and significant atrophy with
fatty infiltrate of the lumbar multifidi muscles (LMM). She had several courses
of outpatient orthopaedic rehabilitation that focused on core muscle
strengthening (improving activation and strength of the LMM and transversus
abdominus muscle in a neutral pelvic position) without long-lasting improvement.
She was unable to tolerate higher levels of training or compete. DIFFERENTIAL
DIAGNOSIS: The LMM are rich in muscle spindles and provide continuous feedback
to the central nervous system about body position. Atrophy and fatty infiltrate
of the LMM can compromise neuromuscular function and contribute to dysfunctional
movement patterns that place a greater demand on lumbar spine structures. Ongoing
motor-control impairments perpetuate nociceptive input, leading to central
sensitization. TREATMENT: The athlete had difficulty controlling trunk
extension during sport-specific activities; she moved early and to a greater
extent in the lumbar spine. The aim of the treatment was to teach the athlete how
to control her tendency to overload her lumbar spine when bending backward, thus
reducing nociceptive input from lumbar spine structures and desensitizing the
nervous system. UNIQUENESS: Treating EB LBP by addressing motor-control
impairments and cognitive-affective factors as opposed to core strengthening.
CONCLUSIONS: Activity modification, bracing, and traditional core-strengthening
exercises may not be the most appropriate treatment for athletes experiencing EB
LBP. Addressing cognitive-affective factors in addition to correcting maladaptive
motor behavior and moving in a pain-free range reduces nociceptive input,
desensitizes the nervous system, and allows athletes to gain control over their
pain.
PMID- 29350557
TI - Omega-3 Polyunsaturated Fatty Acids and Their Health Benefits.
AB - Omega-3 polyunsaturated fatty acids (PUFAs) include alpha-linolenic acid (ALA;
18:3 omega-3), stearidonic acid (SDA; 18:4 omega-3), eicosapentaenoic acid (EPA;
20:5 omega-3), docosapentaenoic acid (DPA; 22:5 omega-3), and docosahexaenoic
acid (DHA; 22:6 omega-3). In the past few decades, many epidemiological studies
have been conducted on the myriad health benefits of omega-3 PUFAs. In this
review, we summarized the structural features, properties, dietary sources,
metabolism, and bioavailability of omega-3 PUFAs and their effects on
cardiovascular disease, diabetes, cancer, Alzheimer's disease, dementia,
depression, visual and neurological development, and maternal and child health.
Even though many health benefits of omega-3 PUFAs have been reported in the
literature, there are also some controversies about their efficacy and certain
benefits to human health.
PMID- 29350558
TI - Synbiotics for Improved Human Health: Recent Developments, Challenges, and
Opportunities.
AB - Research on combining pro- and prebiotics as synbiotics to enhance human and
animal health has accelerated in the past 10 years, including many clinical
trials that have assessed a diverse range of synbiotic formulations. In this
review, we summarize these studies as well as the commercial applications of
synbiotics that are available. In particular, we critically assess the claimed
health benefits of synbiotic applications and the ecological and therapeutic
factors to consider when designing synbiotics and discuss the implications of
these concepts for future research in this field.
PMID- 29350559
TI - Visualizing 3D Food Microstructure Using Tomographic Methods: Advantages and
Disadvantages.
AB - X-ray micro-computed tomography (micro-CT) provides the unique ability to capture
intact internal microstructure data without significant preparation of the
sample. The fundamentals of micro-CT technology are briefly described along with
a short introduction to basic image processing, quantitative analysis, and
derivative computational modeling. The applications and limitations of micro-CT
in industries such as meat, dairy, postharvest, and bread/confectionary are
discussed to serve as a guideline to the plausibility of utilizing the technique
for detecting features of interest. Component volume fractions, their respective
size/shape distributions, and connectivity, for example, can be utilized for
product development, manufacturing process tuning and/or troubleshooting. In
addition to determining structure-function relations, micro-CT can be used for
foreign material detection to further ensure product quality and safety. In most
usage scenarios, micro-CT in its current form is perfectly adequate for
determining microstructure in a wide variety of food products. However, in low
contrast and low-stability samples, emphasis is placed on the shortcomings of the
current systems to set realistic expectations for the intended users.
PMID- 29350560
TI - Formation, Structure, and Functionality of Interfacial Layers in Food Emulsions.
AB - Emulsions, i.e., the dispersion of liquid droplets in a nonmiscible liquid phase,
are overwhelmingly present in food products. In such systems, both liquid phases
(generally, oil and water) are separated by a narrow region, the oil-water
interface. Despite the fact that this interface is very thin (in the nanometer
range), it represents a large surface area and controls to a great extent the
physicochemical stability of emulsions. This review provides an overview of the
aspects that govern the composition, structure, and mechanical properties of
interfaces in food emulsions, taking into account the complexity of such systems
(presence of numerous surface-active molecules, influence of processing steps,
and dynamic evolution due to chemical changes). We also review methods that have
conventionally, or recently, been used to study liquid-liquid interfaces at
various scales. Finally, we focus on the link between interfacial properties and
the physical, chemical, and digestive stability of emulsions at different levels
and point out trends to control stability via interfacial engineering.
PMID- 29350561
TI - Recent Past, Present, and Future of the Food Microbiome.
AB - Sequencing technologies have deeply changed our approach to the study of food
microbial communities. This review describes recent exploitations of high
throughput sequencing applications to improve our knowledge of food microbial
consortia. In the past 10 years, target amplicon sequencing has become routinely
used in many food microbiology laboratories, providing a detailed picture of food
associated microbiota. Metagenomics and metatranscriptomics approaches are still
underexploited in food microbial ecology, despite their potential to uncover the
functionality of complex communities. In a near future, sequencing technologies
will surely advance our understanding of how to effectively use the invaluable
microbial resources to improve food quality and safety.
PMID- 29350562
TI - Enzymes in Lipid Modification.
AB - This article reviews the application of enzymes in lipid modification. Lipases
are the most established biocatalysts used for the synthesis of structured
triacylglycerols, fats, and margarine and for the release of flavoring fatty
acids for food applications. In addition, the various enzymes, such as P450
monooxygenases, hydratases, lipoxygenases, and certain lyases, used for
oxyfunctionalization and the phospholipases used for degumming are covered. Basic
aspects of enzyme catalysis and the modern tools used for their discovery and
improvement by protein engineering provide insight into how suitable biocatalysts
can be identified and optimized for an application. In addition to isolated
enzymes, whole-cell engineered microorganisms are also used for lipid
modification. Thus, the polyunsaturated fatty acid EPA (eicosapentaenoic acid)
can be produced in a yeast using sugar as a renewable resource.
PMID- 29350563
TI - Dietary Advanced Glycosylation End-Products (dAGEs) and Melanoidins Formed
through the Maillard Reaction: Physiological Consequences of their Intake.
AB - The main purpose of this review is to clarify whether the consumption of food
rich in melanoidins and dietary advanced glycosylation end-products (dAGEs) is
harmful or beneficial for human health. There are conflicting results on their
harmful effects in the literature, partly due to a methodological issue in how
dAGEs are determined in food. Melanoidins have positive functions particularly
within the gastrointestinal tract, whereas the intake of dAGEs has controversial
physiological consequences. Most of the in vivo intervention trials were done
comparing boiled versus roasted diet (low and high dAGE, respectively). However,
these studies can be biased by different lipid oxidation and by different calorie
density of foods in the two conditions. The attraction that humans have to cooked
foods is linked to the benefits they have had during mankind's evolution. The
goal for food technologists is to design low-energy-dense products that can
satisfy humans' attraction to rewarding cooked foods.
PMID- 29350564
TI - Role of MAdCAM-1-Expressing High Endothelial Venule-Like Vessels in Colitis
Induced in Mice Lacking Sulfotransferases Catalyzing L-Selectin Ligand
Biosynthesis.
AB - Ulcerative colitis (UC) is a chronic inflammatory disease histologically
characterized by diffuse mononuclear cell infiltrates in colonic mucosa. These
inflammatory cells are considered to be recruited via high endothelial venule
(HEV)-like vessels displaying mucosal addressin cell adhesion molecule 1 (MAdCAM
1), the ligand for alpha4beta7 integrin, and/or peripheral lymph node addressin
(PNAd), an L-selectin ligand. 6- O-sulfation of N-acetylglucosamine in the
carbohydrate moiety of PNAd is catalyzed exclusively by N-acetylglucosamine-6- O
sulfotransferase 1 (GlcNAc6ST-1) and GlcNAc6ST-2. To determine the role of 6- O
sulfation of N-acetylglucosamine on HEV-like vessels in UC, we used a chronic
dextran sulfate sodium-induced colitis model using mice deficient in both
GlcNAc6ST-1 and GlcNAc6ST-2. We found that more inflammatory cells, with
expression of tumor necrosis factor alpha, were infiltrated in double knockout
mouse colitis compared with that in wild-type mice. Moreover, the number of
MAdCAM-1-positive vessels was increased in double knockout mouse colitis, and
these vessels were bound by E-selectin*IgM chimeras that bind to unsulfated
sialyl Lewis X (sLeX). These findings suggest that interactions between MAdCAM-1
and alpha4beta7 integrin and/or unsulfated sLeX and L-selectin may become a
dominant mechanism for inflammatory cell recruitment in the absence of 6-sulfo
sLeX and contribute to more severe colitis phenotypes seen in double knockout
mice.
PMID- 29350565
TI - Safety and efficacy of denosumab in osteoporotic patients previously treated with
other medications: a systematic review and meta-analysis.
AB - INTRODUCTION: Denosumab is a monoclonal antibody that received approval by the
FDA for the treatment of osteoporosis in 2010. Available higher level research
evidence concerns the treatment of patients that have not received any anti
osteoporotic medication in the past. Further investigation is warranted, since
clinicians often face the challenge of administering the most efficacious drug in
patients, pretreated with other medications. Areas covered: We conducted a
systematic review and meta-analysis to evaluate the efficacy and safety of
denosumab compared to other active anti-osteoporotic agents in patients formerly
receiving other treatments. We searched MEDLINE, EMBASE, CENTRAL, the
metaRegister of Controlled Trials (mRCT) and clinicaltrials.gov up to April 2017
to identify eligible trials in patients with primary osteoporosis. Expert
opinion: Our meta-analysis included 6 Randomised Controlled Trials encompassing
2968 patients formerly treated with anti-osteoporotic medications. Quantitative
data synthesis demonstrated superiority of denosumab in augmenting Bone Mineral
Density in all skeletal sites studied compared to controls [treatment difference
in total hip: 1.59% (95% CI 1.01, 2.17)], whereas the overall incidence of
serious adverse events was not increased (OR 1.12, 95% CI 0.85 to 1.47, p =
0.42). Future research geared towards the fracture incidence, quality of life and
patient reported outcomes is warranted.
PMID- 29350566
TI - ABP 501 for the treatment of rheumatoid arthritis.
AB - INTRODUCTION: Rheumatoid arthritis (RA) is an autoimmune disease, which has a
negative impact on the ability to perform activities daily. Tumour necrosis
factor alpha (TNF) is a cytokine with diverse cellular effects, and a key
regulator of the inflammatory response. ABP 501 is a biosimilar to adalimumab, a
TNF inhibitor. Areas covered: In this review, we examined ABP 501, as a
biosimilar candidate to adalimumab in the treatment of RA focusing on the
available data. Current data indicate that ABP 501 is a highly similar
alternative to adalimumab in terms of safety, efficacy, tolerability and
immunogenicity. ABP 501 has already been approved by health authorities in Europe
and the United States of America, as a subcutaneous (s.c.) therapy option for the
treatment of patients with RA, but also for the full spectrum approved for its
bio-originator adalimumab. Expert opinion: Current body of evidence suggests that
all biologic activities have been demonstrated to be equivalent between ABP 501
and the originator, including binding rates and affinity to TNF, and also the
effector functions such as antibody-dependent cell-mediated cytotoxicity (ADCC).
Therefore, it is fully expected to have same efficacy and safety in all
indications.
PMID- 29350567
TI - Pretreatment of ferulic acid attenuates inflammation and oxidative stress in a
rat model of lipopolysaccharide-induced acute respiratory distress syndrome.
AB - Acute respiratory distress syndrome (ARDS) is a fatal clinical condition that can
be caused by pulmonary and non-pulmonary diseases. Oxidative stress and
inflammation play key roles in the development of ARDS. In this study, we
investigated whether ferulic acid (FA), an anti-oxidant, was beneficial for
prophylaxis of ARDS. We established an ARDS rat model using lipopolysaccharide
(LPS) administration. Lung injury was assessed by lung wet/dry ratio and broncho
alveolar lavage fluid (BALF) analysis. Hematoxylin and eosin staining was
performed to evaluate the histological changes of the lungs. Enzyme-linked
immunosorbent assay (ELISA) and immunoblotting were performed to detect proteins
in BALF and lung tissue, respectively. Pulmonary function was determined by
testing the oxygen level in BALF. FA pretreatment significantly alleviated LPS
induced pulmonary histological changes. FA reversed LPS-induced changes of lung
wet/dry ratio, total protein in BALF, P(A-a)O2, and PaO2/FiO2. In addition, LPS
dramatically up-regulated the secretion of interleukin (IL)-1beta, IL-6, tumor
necrosis factor (TNF)-alpha, and IL-10 in BALF ( P < 0.01). However, pretreatment
of FA significantly improved LPS-induced inflammation. We found that FA indeed
reduced oxidative stress in the lungs by testing malondialdehyde level,
myeloperoxidase level, and total anti-oxidant capacity. We also proved that FA
inactivated multiple mitogen-activated protein kinase signaling pathways in the
lungs. In conclusion, FA alleviated LPS-induced ARDS through its anti
inflammatory and anti-oxidant activities.
PMID- 29350568
TI - ABP 980: promising trastuzumab biosimilar for HER2-positive breast cancer.
AB - INTRODUCTION: Approval of the HER2-targeted antibody trastuzumab dramatically
improved outcomes for patients with HER2-positive breast cancer. Multiple
trastuzumab biosimilars, including ABP 980, are in clinical development.
Biosimilars are not identical to the reference biologic, but exhibit equivalence
and safety in analytical and clinical studies. Areas covered: A brief
introduction to trastuzumab, overview of trastuzumab biosimilars, and detailed
review of ABP 980 preclinical and clinical studies are included. We searched
PubMed and 2016-2017 ASCO and ESMO conference proceedings for 'ABP 980' or
'trastuzumab biosimilar'. 'ABP 980 and breast cancer' or 'trastuzumab biosimilar
and breast cancer' were used to search clinicaltrials.gov for phase III trials.
Analytical studies of ABP 980 pharmacokinetics (PK) or pharmacodynamics (PD),
phase I studies of ABP 980 safety and PK/PD, and phase III studies of clinical
efficacy vs trastuzumab are included. Expert opinion: Questions remain regarding
long-term impact of biosimilars on overall healthcare costs, insurance coverage
of multiple approved biosimilars, and extensive clinical safety and efficacy
follow-up. By producing a competitive market, trastuzumab biosimilars are
anticipated to improve access to standard of care therapies, although real-world
evidence remains to be obtained. Increased global access to HER2-targeted therapy
may eventually alter the landscape of breast cancer and survival rates.
PMID- 29350569
TI - Practice pearl: liraglutide and cardiovascular and renal events in type 2
diabetes.
AB - Review of: Marso S, Daniels G, Brown-Frandsen K, et al. Liraglutide and
Cardiovascular Outcomes in Type 2 Diabetes. N Engl J Med 2016; 375: 311-322. Mann
J, Orsted D, Brown-Frandsen K, et al. Liraglutide and Renal Outcomes in Type 2
Diabetes. N Engl J Med 2017; 377: 839-848. This comprehensive research project,
LEADER, led to two reports, one focusing on the effect of liraglutide on
cardiovascular events, and the second one reporting on the renal effects on the
same study population. The study group included 9340 patients with type 2
diabetes. Patients were required to have type 2 diabetes and an age 50 with a
previous cardiovascular problem or chronic heart failure, or an age of 60 with at
least one cardiovascular risk factor. Patients were randomized to 1.8 mg (or the
maximum tolerated dose) of liraglutide, or placebo. The median follow up was 3.8
years. The primary cardiovascular outcome, a combined endpoint of death from
cardiovascular causes, nonfatal myocardial infarction, and nonfatal stroke, was
seen in 13% (608 of 4668 patients) treated with liraglutide versus 14.9 % (694 of
4672 patients) in the placebo patients (HR 0.87; 95% confidence interval [CI]
0.78 to 0.97; P = 0.01 for superiority). Death from cardiovascular disease and
death from any cause were also lower in the liraglutide group. The rates for
nonfatal myocardial infarction, nonfatal stroke, and hospitalization for heart
failure were not significantly reduced. In the renal report, the renal outcome
was reduced in the liraglutide versus the placebo group (268 of 4668 versus 337
out of 4672 in the placebo group; HR 0.78; CI 0.67 to 0.92; p = 0.003). This
improvement was mainly driven by a lower rate of the new onset of persistent
macroalbuminuria in the liraglutide patients (161 vs 215 patients; HR 0.74; 95%
CI, 0.60 to 0.91; p = 0.004), while the rates of other renal adverse events were
similar in both groups. When taken together these two reports are the first data
to show that the glucagon-like peptide 1 (GLP-1) analogue liraglutide can reduce
cardiovascular events and halt progression to macroalbuminuria in patients with
Type 2 diabetes.
PMID- 29350570
TI - Benefits of Laparoscopic Approach for Resection of Liver Tumors in Cirrhotic
Patients.
AB - INTRODUCTION: Liver resection in cirrhotic patients is associated with increased
morbidity and mortality. The objective of this study was to compare short-term
results of laparoscopic resection (LR) and open surgery (OS) for minor liver
resection in patients with hepatocellular carcinoma (HCC) hepatocellularcarcinoma
on nontumor cirrhotic liver (HCC/F4) and patients with colorectal cancer liver
metastases (CRLMs) colorectal liver metastases on healthy liver (CRLM/F0).
MATERIALS AND METHODS: Between January 2005 and December 2014, all patients
undergoing liver resection (n = 754) were included in this study. Liver
resections for cholangiocarcinoma or benign tumor, major liver resection (>=3
segments), HCC on healthy liver, CRLM on cirrhotic liver, and liver resection
with technically difficult accessibility (segments I, VII, and VIII) were
excluded. The primary endpoint of the study was a validated composite endpoint
(CEP), which included specific liver surgery complications (Clavien >=III),
allowing comparison of the postoperative course after LR versus OR for HCC/F4
patients and CRLM/F0 patients using propensity score (PS) analysis. Secondary
endpoints were major postoperative morbidity according to the Clavien-Dindo
classification (>=III) and intensive care unit (ICU) length of hospital stay
(LOS) and overall LOS. The test group was defined as HCC/F4 patients operated by
LR, and the control group was defined as HCC/F4 patients and CRLM/F0 patients
operated by OS and CRLM/F0 patient operated by LR. RESULTS: Sixty patients
(38.7%) underwent LR and 95 patients (61.3%) underwent OS. Surgery was performed
for CRLM in 93 patients (60%) and for HCC in 62 patients (40%). No difference was
demonstrated between HCC/F4 patients and CRLM/F0 patients in the LR group in
terms of the CEP (7% versus 18.1%; P = .23), while a significant difference for
the CEP was observed between HCC/F4 patients and CRLM/F0 patients after OS (50%
versus 21%; P = .021). A higher rate of CEP was observed for HCC/F4 patients
operated by OS compared to HCC/F4 patients operated by LR (50% versus 7.8%; P =
.009). No significant difference in Clavien-Dindo score >=III was observed
between HCC/F4 patients and CRLM/F0 patients operated by LR (10% versus 4.5%; P =
.98). A higher postoperative ascites rate was observed for HCC/F4 patients
operated by OS compared to CRLM/F0 patients operated by OS (25% versus 2.8%; P =
.006). This difference was no longer observed when HCC/F4 patients were compared
to CRLM/F0 operated by LR (7.8% versus 2.8%; P = .09). The postoperative
mortality rate was 1.8% and was not correlated with nontumor liver or surgical
approach. A shorter LOS was observed for HCC/F4 patients operated by LR compared
to HCC/F4 patients operated by OS (7.53 versus 17.13; P = .011). CONCLUSION: The
laparoscopic approach for malignant liver tumor is associated with a lower
specific complication rate. LR for HCC/F4 could eliminate excess morbidity and
decrease LOS in patients with cirrhotic liver.
PMID- 29350571
TI - Intimate partner violence perpetration corresponds to a dorsal-ventral gradient
in medial PFC reactivity to interpersonal provocation.
AB - Intimate partner violence (IPV) perpetration is often preceded by perceived
interpersonal provocations such as slights, insults, and rejections. Yet the
neural mechanisms that link provocation to IPV remain unclear. In the context of
interactions with strangers, the medial prefrontal cortex (MPFC) has been
repeatedly shown to respond to provocation, with more dorsal activation
associated with more aggressive reactions and more ventral activation associated
with less aggressive reactions. We used functional brain imaging to test whether
this dorsal-ventral MPFC reactivity gradient would also correlate with greater
aggression towards an unexamined target: intimate partners. To do so, 61
undergraduates (27.87% male, age range: 18-22) reported whether they had ever
committed various acts of IPV perpetration (e.g., punching, hitting, shoving) and
then were repeatedly provoked by a stranger while undergoing functional MRI
(fMRI) scanning. Individuals with a disproportionately dorsal, rather than
ventral, MPFC response were more likely to have perpetrated IPV and had
perpetrated more kinds of IPV, even when controlling for gender. These findings
provide further evidence that the dorsal-ventral MPFC gradient is a critical,
biological indicator of whether an individual is more or less likely to react
aggressively and suggest new avenues for understanding and potentially preventing
IPV perpetration.
PMID- 29350572
TI - Semantic language deficit developing following herpes simplex encephalitis:
reorganization "cannibalising" language centers?
AB - Herpes simplex virus encephalitis (HSVE) commonly presents with severe amnesia
due to virus-mediated destruction of key regions in the temporal lobes, although
language and executive impairment has been described. Little is known however of
the long-term cognitive changes in these patients, including changes that may
happen with cortical reorganization. We describe a patient with HSVE who
presented with a highly unusual late-onset language syndrome, which may reflect
distal cortical changes after her original injury.
PMID- 29350573
TI - Feasibility and Acceptability of Screening for Adverse Childhood Experiences in
Prenatal Care.
AB - INTRODUCTION: Adverse childhood experiences (ACEs) are common among pregnant
women and contribute to increased risk for negative perinatal outcomes, yet few
clinicians screen prenatal patients for ACEs. The purpose of this study was to
evaluate the feasibility and acceptability of screening for ACEs in standard
prenatal care. METHODS: We evaluated a 4-month pilot (March 2016-June 2016) to
screen pregnant women (at ~14-23 weeks of gestation) for ACEs and resiliency in
two Kaiser Permanente Northern California medical centers (N = 480). We examined
the acceptability of the screening to patients through telephone surveys (N =
210) and to clinicians through surveys and focus groups (N = 26). RESULTS: Most
eligible patients (78%) were screened. Patients who received the screening were
significantly more likely to be non-Hispanic White, Asian, or of "Other" or
"Unknown" race/ethnicity than African American or Hispanic race/ethnicity (p =
0.02). Among those screened, 88% completed the questionnaires; 54% reported 0
ACEs, 28% reported 1-2 ACEs, and 18% reported >=3 ACEs. Most patients were
somewhat or very comfortable completing the questionnaires (91%) and discussing
ACEs with their clinician (93%), and strongly or somewhat strongly agreed that
clinicians should ask their prenatal patients about ACEs (85%). Clinicians
reported significant pre- to postpilot increases in comfort discussing ACEs,
providing education, and offering resources (ps < 0.01). Clinicians' willingness
to screen for ACEs was contingent on adequate training, streamlined workflows,
inclusion of resilience screening, and availability of mental health, parenting,
and social work resources. CONCLUSION: ACEs screening as part of standard
prenatal care is feasible and generally acceptable to patients. Women's health
clinicians are willing to screen patients for ACEs when appropriately trained and
adequate behavioral health referral resources are available.
PMID- 29350574
TI - Dr. William Gerald Dyer.
PMID- 29350575
TI - The neural substrates of improved phonological processing following successful
treatment in a case of phonological alexia and agraphia.
AB - Phonological deficits are common in aphasia after left-hemisphere stroke, and can
have significant functional consequences for spoken and written language. While
many individuals improve through treatment, the neural substrates supporting
improvements are poorly understood. We measured brain activation during
pseudoword reading in an individual through two treatment phases. Improvements
were associated with greater activation in residual left dorsal language regions
and bilateral regions supporting attention and effort. Gains were maintained,
while activation returned to pre-treatment levels. This case demonstrates the
neural support for improved phonology after damage to critical regions and that
improvements may be maintained without markedly increased effort.
PMID- 29350576
TI - Posterior reversible encephalopathy syndrome in stroke-prone spontaneously
hypertensive rats on high-salt diet.
AB - Stroke-prone spontaneously hypertensive rats (SHRSP) on high-salt diet are
characterized by extremely high arterial pressures, and have been endorsed as a
model for hypertensive small vessel disease and vascular cognitive impairment.
However, rapidly developing malignant hypertension is a well-known cause of
posterior reversible encephalopathy syndrome (PRES) in humans, associated with
acute neurological deficits, seizures, vasogenic cerebral edema and
microhemorrhages. In this study, we aimed to examine the overlap between human
PRES and SHRSP on high-salt diet. In SHRSP, arterial blood pressure progressively
increased after the onset of high-salt diet and seizure-like signs emerged within
three to five weeks. MRI revealed progressive T2-hyperintense lesions suggestive
of vasogenic edema predominantly in the cortical watershed and white matter
regions. Histopathology confirmed severe blood-brain barrier disruption, white
matter vacuolization and microbleeds that were more severe posteriorly.
Hematological data suggested a thrombotic microangiopathy as a potential
underlying mechanism. Unilateral common carotid artery occlusion protected the
ipsilateral hemisphere from neuropathological abnormalities. Notably, all MRI and
histopathological abnormalities were acutely reversible upon switching to regular
diet and starting antihypertensive treatment. Altogether our data suggest that
SHRSP on high-salt diet recapitulates the neurological, histopathological and
imaging features of human PRES rather than chronic progressive small vessel
disease.
PMID- 29350577
TI - Serial Palliative Performance Scale Assessment in a University General Hospital:
A Pilot Study.
AB - BACKGROUND: Serial Palliative Performance Scale (PPS) assessments may predict
functional decline and prognosis in cancer and noncancer patients and help with
end-of-life decision making. OBJECTIVE: To evaluate the functional status of
using serial PPS assessments of patients being assisted in collaboration with the
palliative care team (PCT). DESIGN: Prospective cohort pilot study. MEASUREMENTS:
The sample consisted of 64 cancer and noncancer inpatients being assisted in
collaboration with the PCT during the period from 2012 to 2016 (included 12
months). Patients' PPS scores were assessed in three sequential stages:
prehospital, first PCT assessment, and outcome (discharge, transference to
another unit or death). Functional performance was classified in categories as
stable (PPS scores between 70% and 100%), transitional (PPS scores between 40%
and 60%), and end of life (PPS scores between 10% and 30%). RESULTS: The mean PPS
score during the three assessment stages (respectively, 60.5%, 38.9%, and 25.9%)
was significantly different (p < 0.001). Cancer patients had higher PPS scores
than noncancer patients; however, both groups exhibited a functional decline
along the hospital stay. In both groups there was a negative correlation between
the time frame between the different assessment stages and PPS scores
(respectively, Pearson -0.4 and -0.6; p < 0.01). The survival curve of the first
palliative assessment stage demonstrated earlier death in patients in the end-of
life category. CONCLUSION: Serial PPS assessments are feasible and predicted
functional decline in cancer and noncancer patients in this sample. Cancer
patients exhibited higher initial functional scores but both cancer and noncancer
patients declined in functionality along hospitalization. Earlier deaths occurred
in the terminal PPS category than in the transitional PPS category.
PMID- 29350578
TI - The impact of gender on asthma in the daily clinical practice.
AB - OBJECTIVES: It is up-to-date to consider the potential gender impact on a
disease. There are few data about gender difference in asthma. Therefore, the
present cross-sectional study tested this hypothesis in a real-life setting to
investigate possible difference between genders. METHODS: This study was cross
sectional, considering 554 consecutive outpatients suspected of asthma, who were
referred for a first specialist visit. Clinical and functional parameters were
evaluated. RESULTS: Females with asthma could have a worse perception of asthma
control, assessed by asthma control test (ACT), and more anxiety than asthmatic
males. However, there was no difference regarding asthma control grading, asthma
severity, and asthma medication use between genders; the differences in lung
function were without clinical relevance. CONCLUSIONS: In the daily clinical
practice, it is relevant to consider gender in the management of asthma.
PMID- 29350580
TI - Development and Evaluation of a Yoga Intervention Program for Parkinson's
Disease.
AB - Preliminary research indicates that yoga could be a valuable tool for people
suffering from Parkinson's disease (PD). However, little has been published about
the process by which the yoga interventions were designed and evaluated. This
study elaborates on the process of developing and testing a bi-weekly, 12-week
yoga program to determine its safety and feasibility for people with PD. The lead
yoga teacher used input from a focused literature review to design an initial
draft of the intervention program. This draft was reviewed by a group of yoga
experts ( n = 6) to develop the final intervention program. This 12-week
intervention was implemented in 19 participants with PD (mean age 63 +/- 8, range
49-75) via twice-weekly yoga classes. Through this comprehensive development
process, a series of 24 individual 1-hour yoga sequences was created. These
sequences included yoga postures (asana), breathing techniques (pranayama), and
mindfulness meditation principles specifically chosen to address concerns unique
to the PD population. The feasibility of the program was supported with excellent
attendance: 90% of participants attended > 75% of the classes, with four
participants attending 100%. No adverse events were reported. This development
process produced a safe and enjoyable yoga program specific for the needs of
people with PD. However, this methodology could serve as a template for future
studies on how to develop safe and effective yoga interventions for other
populations.
PMID- 29350579
TI - Evaluation of respiratory motion-corrected cone-beam CT at end expiration in
abdominal radiotherapy sites: a prospective study.
AB - BACKGROUND: Cone beam computed tomography (CBCT) for radiotherapy image guidance
suffers from respiratory motion artifacts. This limits soft tissue visualization
and localization accuracy, particularly in abdominal sites. We report on a
prospective study of respiratory motion-corrected (RMC)-CBCT to evaluate its
efficacy in localizing abdominal organs and improving soft tissue visibility at
end expiration. MATERIAL AND METHODS: In an IRB approved study, 11 patients with
gastroesophageal junction (GEJ) cancer and five with pancreatic cancer underwent
a respiration-correlated CT (4DCT), a respiration-gated CBCT (G-CBCT) near end
expiration and a one-minute free-breathing CBCT scan on a single treatment day.
Respiration was recorded with an external monitor. An RMC-CBCT and an uncorrected
CBCT (NC-CBCT) were computed from the free-breathing scan, based on a respiratory
model of deformations derived from the 4DCT. Localization discrepancy was
computed as the 3D displacement of the GEJ region (GEJ patients), or gross tumor
volume (GTV) and kidneys (pancreas patients) in the NC-CBCT and RMC-CBCT relative
to their positions in the G-CBCT. Similarity of soft-tissue features was measured
using a normalized cross correlation (NCC) function. RESULTS: Localization
discrepancy from the end-expiration G-CBCT was reduced for RMC-CBCT compared to
NC-CBCT in eight of eleven GEJ cases (mean +/- standard deviation, respectively,
0.21 +/- 0.11 and 0.43 +/- 0.28 cm), in all five pancreatic GTVs (0.26 +/- 0.21
and 0.42 +/- 0.29 cm) and all ten kidneys (0.19 +/- 0.13 and 0.51 +/- 0.25 cm).
Soft-tissue feature similarity around GEJ was higher with RMC-CBCT in nine of
eleven cases (NCC =0.48 +/- 0.20 and 0.43 +/- 0.21), and eight of ten kidneys
(0.44 +/- 0.16 and 0.40 +/- 0.17). CONCLUSIONS: In a prospective study of motion
corrected CBCT in GEJ and pancreas, RMC-CBCT yielded improved organ visibility
and localization accuracy for gated treatment at end expiration in the majority
of cases.
PMID- 29350581
TI - Characteristics of Yoga Practice and Predictors of Practice Frequency.
AB - Yoga is a globally popular mind-body practice used for health. The objective of
this study was to characterize yoga practice and factors associated with
frequency of practice. Yoga practitioners were sent invitations via email to
participate in an online survey. Yoga characteristics and other sociodemographics
were collected. Data from 309 consenting respondents were analyzed for patterns
in practice characteristics (yoga techniques, location of practice, method of
instruction, teacher status, and yoga type). Associations between characteristics
of practice and yoga practice frequency were computed. The following
characteristics were then analyzed as predictors of practice frequency in a
regression model: location of practice, method of instruction, teacher status,
yoga type, and techniques. Most respondents reported use of all three yoga
techniques (movements, breathing, and meditation) and practiced an average of 4.5
+/- 1.9 days a week. Key characteristics such as location of practice, method of
instruction, and frequency significantly differed by teacher status and by yoga
type (Viniyoga practitioners vs. other yoga styles). In our regression model,
being a yoga teacher, being taught a one-on-one yoga practice by someone else,
and regular use of movement were positively associated with increased practice
frequency. Our analyses provide insight into how yoga factors such as teacher
status, method of instruction, and use of tools relate to practice frequency.
Understanding the relationships between practice characteristics and practice
frequency may allow for the improved implementation of yoga for health.
PMID- 29350582
TI - The repeated name penalty effect in children's natural reading: Evidence from eye
tracking.
AB - We report data from an eye tracking experiment on the repeated name penalty
effect in 9-year-old children and young adults. The repeated name penalty effect
is informative for the study of children's reading because it allows conclusions
about children's ability to direct attention to discourse-level processing cues
during reading. We presented children and adults simple three-sentence stories
with a single referent, which was referred to by an anaphor-either a pronoun or a
repeated name-downstream in the text. The anaphor was either near or far from the
antecedent. We found a repeated name penalty effect in early processing for
children as well as adults, suggesting that beginning readers are already
susceptible to discourse-level expectations of anaphora during reading.
Furthermore, children's reading was more influenced by the distance of anaphor
and antecedent than adults', which we attribute to differences in reading fluency
and the resulting cognitive load during reading.
PMID- 29350583
TI - Morphological structure mediates the notional meaning of gender marking: Evidence
from the gender-congruency effect in Hebrew speech production.
AB - This study investigated the gender-congruency effect of animate nouns in Hebrew.
The Picture-Word Interference paradigm was used to manipulate gender congruency
between target pictures and spoken distractors. Naming latency revealed an
inhibitory gender-congruency effect, as naming the pictures took longer in the
presence of a gender-congruent distractor than with a distractor from a different
gender category. The inhibitory effect was demonstrated for feminine
(morphologically marked) nouns, across two stimulus-onset asynchronies (SOAs)
(Experiments 1a and 1b), and masculine (morphologically unmarked) nouns
(Experiment 2). The same pattern was observed when participants had to produce
bare nouns (Experiment 1) or gender-marked noun phrases (Experiment 3). The
inhibitory pattern of the effect resembles previous findings of bare nouns in a
subset of Romance languages, including Italian and Spanish. These findings add to
previous research which investigated the gender-congruency effect of inanimate
nouns, where no effect of gender-congruent words was found. The results are
discussed in relation to the null effect previously found for inanimate nouns.
The comparison of the present and previous studies is motivated by a common
linguistic distinction between animate and inanimate nouns in Hebrew, which
ascribes grammatical gender specifications to derivational structures (for
inanimate nouns) versus inflectional structures (for animate nouns). Given the
difference in the notional meaning of gender specification for animate and
inanimate nouns, the case of Hebrew exemplifies how language-specific
characteristics, such as rich morphological structures, can be used by the
linguistic system to express conceptual distinctions at the form-word level.
PMID- 29350584
TI - Developing standard operating procedures for gene drive research in disease
vector mosquitoes.
AB - Numerous arthropod species represent potential targets for gene-drive-based
population suppression or replacement, including those that transmit diseases,
damage crops, or act as deleterious invasive species. Containment measures for
gene drive research in arthropods have been discussed in the literature, but the
importance of developing safe and effective standard operating procedures (SOPs)
for these types of experiments has not been adequately addressed. Concisely
written SOPs link safe work practices, containment measures, institutional
training, and research-specific protocols. Here we discuss information to be
considered by principal investigators, biosafety officers, and institutional
biosafety committees as they work together to develop SOPs for experiments
involving gene drive in arthropods, and describe various courses of action that
can be used to maintain the effectiveness of SOPs through evaluation and
revision. The information provided herein will be especially useful to
investigators and regulatory personnel who may lack extensive experience working
with arthropods under containment conditions.
PMID- 29350585
TI - Isolation of active coagulant protein from the seeds of Strychnos potatorum - a
potential water treatment agent.
AB - The application of natural coagulants for decentralized water treatment is
gaining importance as a part of global sustainable initiative. This study focuses
on the isolation of active coagulation components responsible for water
clarification with respect to Strychnos potatorum seeds. The active coagulant
components, protein and polysaccharide, were successfully isolated, dialysed and
subjected to gel permeation chromatography. The polysaccharide was isolated with
the saline extraction method and characterized using FTIR and NMR spectroscopy.
Protein was precipitated with 80% ammonium sulphate solution combined with
dialysis and gel permeation chromatography using Sephadex G-50. SDS-PAGE revealed
that the isolated protein has a molecular weight of 12 kDa. The small-scale
coagulation assay suggests that the protein fraction has superior coagulation
activity than the isolated polysaccharide residue. The active coagulant fractions
reported in this study would be helpful in deploying cheaper and simple methods
in scaling up the coagulant fraction from these seeds acting as a potential water
treatment agent.
PMID- 29350586
TI - Mass media representations of the evidence as a possible deterrent to
recommending exercise for the treatment of depression: Lessons five years after
the extraordinary case of TREAD-UK.
AB - Exercise or physical activity are recommended options within stepped-care
treatment models for depression. However, few physicians present these options to
patients, in part because of the impression that the supporting evidence is weak
or inconsistent. We speculate that the coocurrence of "counter-messaging" and
deficient critical appraisal may lead to such impressions. We focus on TREAD-UK
(ISRCTN16900744), the largest trial to investigate "whether physical activity can
be an effective treatment for depression within primary care". In media
statements, researchers declared that exercise was ineffective in lowering
depression. We examined (a) the results of the trial, critiques, and rejoinders,
(b) the impact on internet searches, and (c) whether TREAD-UK was critically
appraised, as reflected in citing articles. We show that the results of TREAD-UK
were misrepresented. The media campaign resulted in a fourfold increase in
relevant internet searches. Of articles characterising the results, 57% adopted
the interpretation that exercise failed to lower depression, whereas only 17%
were critiques. We identify similarities to media portrayals of the OPERA
(ISRCTN43769277), DEMO (NCT00103415), and DEMO-II trials (NCT00695552). We note a
disconcerting trend of media campaigns that misrepresent the effects of exercise
on depression and call for increased scrutiny in peer reviewing both pre- and
post-publication.
PMID- 29350587
TI - Recent advances in 3D bioprinting for the regeneration of functional cartilage.
AB - The field of regeneration for functional cartilage has progressed tremendously.
Conventional approaches for regenerating the damaged tissue based on integrated
manufacturing are limited by their inability to produce precise and customized
biomimetic tissues. On the other hand, 3D bioprinting is a promising technique
with increased versatility because it can co-deliver cells and biomaterials with
proper compositions and spatial distributions. In the present article, we review
recent progress in the complete 3D printing process involved in functional
cartilage regeneration, including printing techniques, biomaterials and cells. We
also discuss the combination of 3D in vivo hybrid bioprinting with spheroids,
gene delivery strategies and zonal cartilage design as a future direction of
cartilage regeneration research.
PMID- 29350588
TI - Arguments against the role of cortical spreading depression in migraine.
AB - Cortical spreading depression (CSD) is a wave of increased electrocortical
activity and vasodilation, followed by sustained decreased activity and prolonged
vasoconstriction. Although the discovery of CSD has been ascribed to Leao, rather
than vasoconstriction, he only observed a depression of neural activity combined
with vasodilation, with much weaker stimulation than used by his followers. There
is a longstanding belief that CSD underlies migraine aura, with its positive
symptoms such as mosaic patterns and its negative symptoms such as scotoma, and a
similar propagation speed and vasoreaction pattern. However, there are many
arguments against this theory. CSD is difficult to evoke in man, and
electroencephalography (EEG) readings are not flattened during migraine (as
opposed to EEG during CSD). Moreover, in contrast to CSD, migraine can occur
bilaterally, and is not accompanied by a disrupted blood-brain barrier, increased
cerebral metabolism, or cerebral cell swelling. Calcitonin gene-related peptide,
which is thought to be characteristic of migraine pain, is increased in the blood
from the external jugular vein during migraine in humans, but not during CSD in
cats or rats. Moreover, CSD does not explain the appearance of premonitory
symptoms or allodynia, long before the actual onset of aura. In addition, there
is a variation in the pain mechanisms of migraine and CSD, and in their reaction
to transcranial magnetic stimulation and several pharmacologic interventions.
Finally, the origin of putative CSD in migraine is currently unknown.
PMID- 29350589
TI - Epidemiological Investigation and Genotype of Chlamydia Exposure in Pigeons in
Three Provinces in Northern China.
AB - Chlamydia is considered as one of the most widely prevalent zoonotic pathogens.
It can spread from infected birds to human beings through direct or indirect
contact with fecal shedding of Chlamydia. However, data concerning prevalence and
genotypes of Chlamydia in pigeons are limited. In the present study, a total of
963 serum samples was collected from Jilin Province, Liaoning Province, and Inner
Mongolia Autonomous Region (IMAR) in China between August 2015 and December 2016
and the seroprevalence for Chlamydia was analyzed by indirect hemagglutination
assay test. The seroprevalence of Chlamydia was 20.4% (215/963) in total, at the
cutoff 1:16, with the titers of 1:16 in 109, 1:64 in 49, 1:256 in 38, and 1:1024
in 18. Samples from all six administrative cities were detected Chlamydia
seropositive, ranging from 19.0% to 25.0%. Adult pigeons (23.5%) have a
significant higher seroprevalence than juveniles (15.2%). Four PCR-positive
samples represented Chlamydia psittaci genotype B. This is the first report of
Chlamydia infection in pigeons in Liaoning Province and IMAR. The occurrence of
C. psittaci genotype B in the droppings of pigeons suggests potential
environmental contamination with C. psittaci and may raise a public health
concern.
PMID- 29350590
TI - Human genetics and molecular mechanisms of vein of Galen malformation.
AB - Vein of Galen malformations (VOGMs) are rare developmental cerebrovascular
lesions characterized by fistulas between the choroidal circulation and the
median prosencephalic vein. Although the treatment of VOGMs has greatly benefited
from advances in endovascular therapy, including technical innovation in
interventional neuroradiology, many patients are recalcitrant to procedural
intervention or lack accessibility to specialized care centers, highlighting the
need for improved screening, diagnostics, and therapeutics. A fundamental
obstacle to identifying novel targets is the limited understanding of VOGM
molecular pathophysiology, including its human genetics, and the lack of an
adequate VOGM animal model. Herein, the known human mutations associated with
VOGMs are reviewed to provide a framework for future gene discovery. Gene
mutations have been identified in 2 Mendelian syndromes of which VOGM is an
infrequent but associated phenotype: capillary malformation-arteriovenous
malformation syndrome ( RASA1) and hereditary hemorrhagic telangiectasia ( ENG
and ACVRL1). However, these mutations probably represent only a small fraction of
all VOGM cases. Traditional genetic approaches have been limited in their ability
to identify additional causative genes for VOGM because kindreds are rare,
limited in patient number, and/or seem to have sporadic inheritance patterns,
attributable in part to incomplete penetrance and phenotypic variability. The
authors hypothesize that the apparent sporadic occurrence of VOGM may frequently
be attributable to de novo mutation or incomplete penetrance of rare transmitted
variants. Collaboration among treating physicians, patients' families, and
investigators using next-generation sequencing could lead to the discovery of
novel genes for VOGM. This could improve the understanding of normal vascular
biology, elucidate the pathogenesis of VOGM and possibly other more common
arteriovenous malformation subtypes, and pave the way for advances in the
diagnosis and treatment of patients with VOGM.
PMID- 29350591
TI - Clip ligation for ruptured intracranial aneurysm in a child with Loeys-Dietz
syndrome: case report.
AB - The authors present the case of a pediatric patient with Loeys-Dietz syndrome
(LDS) who underwent craniotomy for clip ligation of a ruptured intracranial
aneurysm. To the authors' knowledge, this is the youngest reported patient with
LDS who has been treated for a ruptured intracranial aneurysm. The patient
presented with aneurysmal subarachnoid hemorrhage even though the results of
surveillance screening were negative, and the aneurysm arose from the wall of the
parent artery away from an arterial branch point. She was treated with open clip
ligation and recovered well. The authors review the other reported cases of
treated intracranial aneurysms in patients with LDS.
PMID- 29350592
TI - Geographic proximity to specialized pediatric neurosurgical care in the
contiguous United States.
AB - OBJECTIVE Absent from an analysis of supply is consideration of the geographic
distribution of pediatric neurosurgeons. Several patient socioeconomic metrics
are known to be associated with outcome in pediatric neurosurgical diseases, such
as hydrocephalus. The purpose of this study was to determine current geographic
proximity to pediatric neurosurgical care using professional society databases.
This study also sought to establish how socioeconomic factors are related to
distance to care, using federal government-collected data. METHODS A list of
currently practicing American Board of Pediatric Neurological Surgery (ABPNS)
certified neurosurgeons was compiled (ABPNS group). A separate list of practicing
members of the Joint Pediatric Section (JPS) of the American Association of
Neurological Surgeons/Congress of Neurological Surgeons was prepared (JPS group).
Current primary practice locations were collected from each professional society
database for each ABPNS or JPS neurosurgeon and were charted using ArcGIS mapping
software (ESRI, version 10.3) on a United States Census Bureau map. The straight
distance from the centroid of each zip code tabulation area (ZCTA) to the nearest
neurosurgeon was determined by group type of neurosurgeon (ABPNS vs ABPNS + JPS).
ZCTA-level data on demographic and socioeconomic factors were acquired from the
American Community Survey, including data in children and young adults (0-18 or 0
24 years old) and the general population. These data were compared by distance to
care and by groups of neurosurgeons (Pearson's chi-square analysis; the threshold
of significance was set at 0.05). RESULTS Three hundred fifty-five practicing
neurosurgeons providing pediatric care were located, of whom 215 surgeons were
certified by the ABPNS and 140 were JPS members only. The analysis showed that 1
pediatric neurosurgeon is in practice for every 289,799 persons up to the age of
24 years. The average distance between a ZCTA and the nearest pediatric
neurosurgeon is 63.3 miles (SE 0.3, range 0.0-499.7 miles). Geographic analysis
showed that 27.1% of children live farther than 60 miles from an ABPNS-certified
neurosurgeon and 19.7% from either an ABPNS-certified neurosurgeon or a JPS
member. ZCTAs with children who live farther than 60 miles from a neurosurgeon
providing pediatric care had a marginally higher rate of uninsured children, a
higher percentage of families with children living below the federal poverty
level, and a higher proportion of persons living in rural areas compared with
ZCTAs with children who live within 60 miles of care (p < 0.005 for each
finding). CONCLUSIONS The results of this study indicate that there is
considerable variation in proximity to pediatric neurosurgical subspecialty care
by geographic region. In addition, there is a relationship between distance to
neurosurgical care and socioeconomic indicators. Optimization of access to
pediatric neurosurgical care may involve strategies to overcome long geographic
distances, particularly in rural and underserved areas. Such areas may have
disproportionately lower socioeconomic levels, which may further limit access to
care and affect outcomes. Both the total number of pediatric neurosurgeons per
pediatric population and their geographic distribution could be important in
determining appropriate subspecialty supply factors (e.g., the number of
accredited pediatric neurosurgical fellowship training programs), as well as
being important drivers of neurosurgical patient outcomes.
PMID- 29350593
TI - The natural history of complete spinal cord injury: a pooled analysis of 1162
patients and a meta-analysis of modern data.
AB - OBJECTIVE The natural history of complete spinal cord injury (SCI) is poorly
studied. The classically quoted rate of improvement or conversion for patients
with American Spinal Injury Association (ASIA) grade A (ASIA A) injuries is 15%
20%; however, data supporting this rate are very limited. In this paper, the
authors conducted a meta-analysis of modern data reporting on ASIA A patients and
evaluated factors affecting the natural history of the disease. METHODS The
authors conducted a systematic literature review of all randomized clinical
trials (RCTs) and observational studies of patients with traumatic SCI. The
Embase, MEDLINE, PubMed, Scopus, CINAHL, and Cochrane databases were reviewed for
all studies reporting on SCI and published after 1992. A meta-analysis was
conducted using the DerSimonian and Laird (random-effects) model with a summary
odds ratio analysis. RESULTS Eleven RCTs and 9 observational studies were
included in the final analysis. Overall, the 20 included studies reported on 1162
patients with ASIA A injuries. The overall conversion rate was 28.1%, with 327 of
1162 patients improving to at least ASIA B. The overall rate of conversion noted
in cervical spine injuries was 33.3%, whereas that in thoracic injuries was
30.6%. Patients undergoing early surgery had a higher rate of conversion (46.1%)
than patients undergoing late surgery (25%) (OR 2.31, 95% CI 1.08-4.96, p =
0.03). CONCLUSIONS The overall rate of conversion of ASIA A SCIs from pooled data
of prospective trials and observational series is 28.1%. This rate of conversion
is higher than what is reported in the literature. Early surgery is predictive of
a higher conversion rate. However, there are not enough data to provide
conclusions pertaining to the efficacy of biological and medical therapies.
PMID- 29350594
TI - Determinants of perioperative transfusion risk in patients with adult spinal
deformity.
AB - OBJECTIVE To determine predictors of perioperative allogeneic packed red blood
cell (pRBC) transfusion requirement (total units transfused) in patients with
adult spinal deformity (ASD). METHODS The authors retrospectively analyzed
records of patients aged 18 years or older who underwent surgical correction of
ASD that involved 4 or more spinal levels by the same spine surgeon between 2010
and 2016. Data regarding patient characteristics, comorbidities, surgical
factors, and perioperative transfusions (up to 10 days after surgery) were
analyzed using a linear regression model. Significance was set at p < 0.05.
RESULTS The authors analyzed 165 patients (118 women) with a mean (+/- SD) age of
61 +/- 12 years. Three-column osteotomies were associated with a mean
intraoperative transfusion volume of 1.74 additional units of pRBCs. Each unit of
intraoperatively salvaged blood used was associated with a mean 0.39-U increase
in postoperative transfusion volume (p = 0.031). Every unit of allogeneic blood
transfused intraoperatively was associated with a mean 0.23-U decrease in
postoperative transfusion volume (p = 0.001). A preoperative hemoglobin
concentration of 11.5 g/dl or more was associated with significantly fewer units
transfused intraoperatively; a preoperative hemoglobin concentration of 14.0 g/dl
or more was associated with fewer units transfused postoperatively. A history of
smoking and intraoperative antifibrinolytic use were associated with increased
and decreased numbers of units transfused postoperatively, respectively.
CONCLUSIONS Effective blood management is key to perioperative care of patients
with ASD. Three-column osteotomies were associated with a greater number of units
of blood transfused. When considering postoperative transfusion requirements,
surgeons should note that intraoperative blood salvage might be inferior to
intraoperative allogeneic blood transfusion. Using antifibrinolytics and
increasing the preoperative hemoglobin concentration to 11.5 g/dl or more are
strategies for decreasing the need for perioperative transfusion. A history of
smoking is a risk factor for postoperative transfusion requirement (total units
transfused).
PMID- 29350595
TI - Motor network recovery in patients with chronic spinal cord compression: a
longitudinal study following decompression surgery.
AB - OBJECTIVE The authors used functional MRI to assess cortical reorganization of
the motor network after chronic spinal cord compression and to characterize the
plasticity that occurs following surgical intervention. METHODS A 3-T MRI scanner
was used to acquire functional images of the brain in 22 patients with reversible
cervical spinal cord compression and 10 control subjects. Controls performed a
finger-tapping task on 3 different occasions (baseline, 6-week follow-up, and 6
month follow-up), whereas patients performed the identical task before surgery
and again 6 weeks and 6 months after spinal decompression surgery. RESULTS After
surgical intervention, an increased percentage blood oxygen level-dependent
signal and volume of activation was observed within the contralateral and
ipsilateral motor network. The volume of activation of the contralateral primary
motor cortex was associated with functional measures both at baseline (r = 0.55,
p < 0.01) and 6 months after surgery (r = 0.55, p < 0.01). The percentage blood
oxygen level-dependent signal of the ipsilateral supplementary motor area 6
months after surgery was associated with increased function 6 months after
surgery (r = 0.48, p < 0.01). CONCLUSIONS Plasticity of the contralateral and
ipsilateral motor network plays complementary roles in maintaining neurological
function in patients with spinal cord compression and may be critical in the
recovery phase following surgery.
PMID- 29350596
TI - Enhancement of antitumor activity by using 5-ALA-mediated sonodynamic therapy to
induce apoptosis in malignant gliomas: significance of high-intensity focused
ultrasound on 5-ALA-SDT in a mouse glioma model.
AB - OBJECTIVE High invasiveness of malignant gliomas frequently causes early local
recurrence of the tumor, resulting in extremely poor outcome. To control such
recurrence, novel therapies targeted toward infiltrating glioma cells around the
tumor border are required. Here, the authors investigated the antitumor activity
of sonodynamic therapy (SDT) combined with a sonosensitizer, 5-aminolevulinic
acid (5-ALA), on malignant gliomas to explore the possibility for clinical use of
5-ALA-mediated SDT (5-ALA-SDT). METHODS In vitro cytotoxicity of 5-ALA-SDT was
evaluated in U87 and U251 glioma cells and in U251Oct-3/4 glioma stemlike cells.
Treatment-related apoptosis was analyzed using flow cytometry and TUNEL staining.
Intracellular reactive oxygen species (ROS) were measured and the role of ROS in
treatment-related cytotoxicity was examined by analysis of the effect of
pretreatment with the radical scavenger edaravone. Effects of 5-ALA-SDT with high
intensity focused ultrasound (HIFU) on tumor growth, survival of glioma
transplanted mice, and histological features of the mouse brains were
investigated. RESULTS The 5-ALA-SDT inhibited cell growth and changed cell
morphology, inducing cell shrinkage, vacuolization, and swelling. Flow cytometric
analysis and TUNEL staining indicated that 5-ALA-SDT induced apoptotic cell death
in all gliomas. The 5-ALA-SDT generated significantly higher ROS than in the
control group, and inhibition of ROS generation by edaravone completely
eliminated the cytotoxic effects of 5-ALA-SDT. In the in vivo study, 5-ALA-SDT
with HIFU greatly prolonged survival of the tumor-bearing mice compared with that
of the control group (p < 0.05). Histologically, 5-ALA-SDT produced mainly
necrosis of the tumor tissue in the focus area and induced apoptosis of the tumor
cells in the perifocus area around the target of the HIFU-irradiated field. The
proliferative activity of the entire tumor was markedly decreased. Normal brain
tissues around the ultrasonic irradiation field of HIFU remained intact.
CONCLUSIONS The 5-ALA-SDT was cytotoxic toward malignant gliomas. Generation of
ROS by the SDT was thought to promote apoptosis of glioma cells. The 5-ALA-SDT
with HIFU induced tumor necrosis in the focus area and apoptosis in the perifocus
area of the HIFU-irradiated field, whereas the surrounding brain tissue remained
normal, resulting in longer survival of the HIFU-treated mice compared with that
of untreated mice. These results suggest that 5-ALA-SDT with HIFU may present a
less invasive and tumor-specific therapy, not only for a tumor mass but also for
infiltrating tumor cells in malignant gliomas.
PMID- 29350597
TI - Letter to the Editor. Rendering unto Caesar: mini-pterional and mini
orbitozygomatic approaches.
PMID- 29350598
TI - Diffusion tensor imaging and ventricle volume quantification in patients with
chronic shunt-treated hydrocephalus: a matched case-control study.
AB - OBJECTIVE The object of this study was to use diffusion tensor imaging (DTI) and
tract-based spatial statistics (TBSS) to characterize the long-term effects of
hydrocephalus and shunting on white matter integrity and to investigate the
relationship of ventricular size and alterations in white matter integrity with
headache and quality-of-life outcome measures. METHODS Patients with shunt
treated hydrocephalus and age- and sex-matched healthy controls were recruited
into the study and underwent anatomical and DTI imaging on a 3-T MRI scanner. All
patients were clinically stable, had undergone CSF shunt placement before 2 years
of age, and had a documented history of complaints of headaches. Outcome was
scored based on the Headache Disability Inventory and the Hydrocephalus Outcome
Questionnaire. Fractional anisotropy (FA) and other DTI-based measures (axial,
radial, and mean diffusivity; AD, RD, and MD, respectively) were extracted in the
corpus callosum and internal capsule with manual region-of-interest delineation
and in other regions with TBSS. Paired t-tests, corrected with a 5% false
discovery rate, were used to identify regions with significant differences
between patients and controls. Within the patient group, linear regression models
were used to investigate the relationship between FA or ventricular volume and
outcome, as well as the effect of shunt-related covariates. RESULTS Twenty-one
hydrocephalus patients and 21 matched controls completed the study, and their
data were used in the final analysis. The authors found significantly lower FA
for patients than for controls in 20 of the 48 regions, mostly posterior white
matter structures, in periventricular as well as more distal tracts. Of these 20
regions, 17 demonstrated increased RD, while only 5 showed increased MD and 3
showed decreased AD. No areas of increased FA were observed. Higher FA in
specific periventricular white matter tracts, tending toward FA in controls, was
associated with increased ventricular size, as well as improved clinical outcome.
CONCLUSIONS The study shows that TBSS-based DTI is a sensitive technique for
elucidating changes in white matter structures due to hydrocephalus and chronic
CSF shunting and provides preliminary evidence that DTI may be a valuable tool
for tailoring shunt procedures to monitor ventricular size following shunting and
achieve optimal outcome, as well as for guiding the development of alternate
therapies for hydrocephalus.
PMID- 29350599
TI - Prognostic factors for progression in atypical meningioma.
AB - OBJECTIVE The optimal adjuvant management for atypical meningiomas remains
controversial. The aim of this study was to review long-term outcomes to identify
potential prognostic factors for disease progression. METHODS From August 1992 to
August 2013, 70 patients with atypical meningioma were treated at the authors'
institution. Pathology revision was performed based on WHO 2007 criteria.
Patients with multiple tumors, neurofibromatosis Type 2, or inadequate imaging
follow-up were not eligible. The authors performed pre- and postoperative serial
measurements of tumor volume from MRI. Age, sex, tumor location, bone
involvement, brain invasion, mitotic figures, preoperative disease volume, extent
of resection, tumor growth rates, use of adjuvant postoperative radiation therapy
(PORT), and residual tumor volume at the time of radiation therapy (RT) were
assessed by univariate and multivariate analysis to determine their potential
impact on disease progression. RESULTS Forty patients (57%) underwent gross-total
resection (GTR) and 30 (43%) underwent subtotal resection (STR). PORT was
delivered to 12 patients (30%) with a GTR and in only 4 (13%) with an STR. The 5
year progression-free survival (PFS) rate for patients in the GTR group with or
without PORT was 100% and 54.1%, respectively (p = 0.0058). PFS for patients in
the STR group with or without PORT was 75% and 0%, respectively (p = 0.0026). On
multivariate analysis, STR and PORT were the only independent significant
prognostic factors for disease progression with hazard ratios of 5.4873 (95% CI
2.19-13.72, p = 0.0003) and 0.0464 (95% CI 0.0059-0.364, p = 0.0035),
respectively. Based on Youden's index statistic, a cutoff residual tumor volume
of more than 8.76 cm3 at the time of RT was associated with worse PFS (13.6% vs
56%, p = 0.0079). Before receiving RT, the median relative and absolute growth
rates and tumor doubling time for patients were 124.2%/year, 4.8 cm3/year, and
1.67 years, respectively. These indices changed after RT to 0.245%/year, -0.09
cm3/year, and -0.005 year, respectively (p < 0.05). CONCLUSIONS In atypical
meningioma, the use of PORT is associated with improved PFS even in patients who
undergo GTR. Patients with residual tumor volume larger than 8.76 cm3 have an
increased risk of disease progression and should be considered for early RT.
PMID- 29350600
TI - Long-term outcome of pallidal stimulation for Meige syndrome.
AB - OBJECTIVE Meige syndrome is characterized by blepharospasm and varied
subphenotypes of craniocervical dystonia. Current literature on pallidal surgery
for Meige syndrome is limited to case reports and a few small-scale studies. The
authors investigated the clinical outcomes of deep brain stimulation (DBS) of the
globus pallidus internus (GPi) in patients with Meige syndrome. METHODS Sixteen
patients who underwent GPi DBS at the Tokyo Women's Medical University Hospital
between 2002 and 2015 were included in this study. Burke-Fahn-Marsden Dystonia
Rating Scale (BFMDRS) movement subscale (BFMDRS-M) scores (range 0-120) obtained
at the following 3 time points were included in this analysis: before surgery, 3
months after surgery, and at the most recent follow-up evaluation. RESULTS The
patients' mean age (+/- SD) at symptom onset was 46.7 +/- 10.1 years, and the
mean disease duration at the time of the authors' initial evaluation was 5.9 +/-
4.1 years. In 12 patients, the initial symptom was blepharospasm, and the other 4
patients presented with cervical dystonia. The mean postoperative follow-up
period was 66.6 +/- 40.7 months (range 13-150 months). The mean total BFMDRS-M
scores at the 3 time points were 16.3 +/- 5.5, 5.5 +/- 5.6 (66.3% improvement, p
< 0.001), and 6.7 +/- 7.3 (58.9% improvement, p < 0.001). CONCLUSIONS The results
indicate long-term efficacy for GPi DBS for the majority of patients with Meige
syndrome.
PMID- 29350601
TI - Brain tissue Abeta42 levels are linked to shunt response in idiopathic normal
pressure hydrocephalus.
AB - OBJECTIVE The authors conducted a study to test if the cortical brain tissue
levels of soluble amyloid beta (Abeta) reflect the propensity of cortical Abeta
aggregate formation and may be an additional factor predicting surgical outcome
following idiopathic normal pressure hydrocephalus (iNPH) treatment. METHODS
Highly selective ELISAs (enzyme-linked immunosorbent assays) were used to
quantify soluble Abeta40, Abeta42, and neurotoxic Abeta oligomers/protofibrils,
associated with Abeta aggregation, in cortical biopsy samples obtained in
patients with iNPH (n = 20), sampled during ventriculoperitoneal (VP) shunt
surgery. Patients underwent pre- and postoperative (3-month) clinical assessment
with a modified iNPH scale. The preoperative CSF biomarkers and the levels of
soluble and insoluble Abeta species in cortical biopsy samples were analyzed for
their association with a favorable outcome following the VP shunt procedure,
defined as a >= 5-point increase in the iNPH scale. RESULTS The brain tissue
levels of Abeta42 were negatively correlated with CSF Abeta42 (Spearman's r =
0.53, p < 0.05). The Abeta40, Abeta42, and Abeta oligomer/protofibril levels in
cortical biopsy samples were higher in patients with insoluble cortical Abeta
aggregates (p < 0.05). The preoperative CSF Abeta42 levels were similar in
patients responding (n = 11) and not responding (n = 9) to VP shunt treatment at
3 months postsurgery. In contrast, the presence of cortical Abeta aggregates and
high brain tissue Abeta42 levels were associated with a poor outcome following VP
shunt treatment (p < 0.05). CONCLUSIONS Brain tissue measurements of soluble
Abeta species are feasible. Since high Abeta42 levels in cortical biopsy samples
obtained in patients with iNPH indicated a poor surgical outcome, tissue levels
of Abeta species may be associated with the clinical response to shunt treatment.
PMID- 29350602
TI - A novel approach to 32-channel peripheral nervous system myelin imaging in vivo,
with single axon resolution.
AB - OBJECTIVE Intravital spectral imaging of the large, deeply situated nerves in the
rat peripheral nervous system (PNS) has not been well described. Here, the
authors have developed a highly stable platform for performing imaging of the
tibial nerve in live rodents, thus allowing the capture of high-resolution, high
magnification spectral images requiring long acquisition times. By further
exploiting the qualities of the topically applied myelin dye Nile red, this
technique is capable of visualizing the detailed microenvironment of peripheral
nerve demyelination injury and recovery, while allowing us to obtain images of
exogenous Schwann cell myelination in a living animal. METHODS The authors caused
doxorubicin-induced focal demyelination in the tibial nerves of 25 Thy-1 GFP
rats, of which 2 subsets (n = 10 each) received either BFP-labeled SKP-SCs or SCs
to the zone of injury. Prior to acquiring images of myelin recovery in these
nerves, a tibial nerve window was constructed using a silicone hemitube, a fast
drying silicone polymer, and a small coverslip. This construct was then affixed
to a 3D-printed nerve stage, which in turn was affixed to an external
fixation/microscope stage device. Myelin visualization was facilitated by the
topical application of Nile red. RESULTS The authors reliably demonstrated
intravital peripheral nerve myelin imaging with micron-level resolution and
magnification, and minimal movement artifact. The detailed microenvironment of
nerve remyelination can be vividly observed, while exogenously applied Schwann
cells and skin-derived precursor Schwann cells can be seen myelinating axons.
CONCLUSIONS Topically applied Nile red enables intravital study of myelin in the
living rat PNS. Furthermore, the use of a tibial nerve window facilitates stable
intravital peripheral nerve imaging, making possible high-definition spectral
imaging with long acquisition times.
PMID- 29350603
TI - Decision tree analysis in subarachnoid hemorrhage: prediction of outcome
parameters during the course of aneurysmal subarachnoid hemorrhage using decision
tree analysis.
AB - OBJECTIVE The aim of this study was to create prediction models for outcome
parameters by decision tree analysis based on clinical and laboratory data in
patients with aneurysmal subarachnoid hemorrhage (aSAH). METHODS The database
consisted of clinical and laboratory parameters of 548 patients with aSAH who
were admitted to the Neurocritical Care Unit, University Hospital Zurich. To
examine the model performance, the cohort was randomly divided into a derivation
cohort (60% [n = 329]; training data set) and a validation cohort (40% [n = 219];
test data set). The classification and regression tree prediction algorithm was
applied to predict death, functional outcome, and ventriculoperitoneal (VP) shunt
dependency. Chi-square automatic interaction detection was applied to predict
delayed cerebral infarction on days 1, 3, and 7. RESULTS The overall mortality
was 18.4%. The accuracy of the decision tree models was good for survival on day
1 and favorable functional outcome at all time points, with a difference between
the training and test data sets of < 5%. Prediction accuracy for survival on day
1 was 75.2%. The most important differentiating factor was the interleukin-6 (IL
6) level on day 1. Favorable functional outcome, defined as Glasgow Outcome Scale
scores of 4 and 5, was observed in 68.6% of patients. Favorable functional
outcome at all time points had a prediction accuracy of 71.1% in the training
data set, with procalcitonin on day 1 being the most important differentiating
factor at all time points. A total of 148 patients (27%) developed VP shunt
dependency. The most important differentiating factor was hyperglycemia on
admission. CONCLUSIONS The multiple variable analysis capability of decision
trees enables exploration of dependent variables in the context of multiple
changing influences over the course of an illness. The decision tree currently
generated increases awareness of the early systemic stress response, which is
seemingly pertinent for prognostication.
PMID- 29350604
TI - Letter to the Editor. Is preoperative hypoalbuminemia really a risk factor
associated with acute kidney injury and mortality after brain tumor surgery?
PMID- 29350605
TI - In situ administration of abciximab for thrombus resolution during intracranial
bypass surgery: case report.
AB - Abciximab is a glycoprotein IIb/IIIa receptor antagonist that functions to
prevent platelet aggregation, thus reducing thrombus initiation and propagation.
It has been widely used during percutaneous endovascular interventions, such as
aneurysm coil embolization, angioplasty, atherectomy, and stent placement, as
both a preventative and a salvage therapy. The use of abciximab in cardiac and
neurosurgical procedures has been associated with a reduced incidence of ischemic
complications and a decreased need for repeated intervention. In these settings,
abciximab has been delivered transarterially via a microcatheter or infused
intravenously for systemic administration. The authors describe novel in situ
delivery of abciximab as an agent to dissolve "white clots," which are composed
primarily of platelets, during an intracranial superficial temporal artery to
middle cerebral artery bypass in a 28-year-old woman with severe intracranial
occlusive disease. Abciximab was able to resolve multiple platelet-based clots
after unsuccessful attempts with conventional clot dispersal techniques, such as
heparinized saline, tissue plasminogen activator, mechanical passage of a wire
through the vessel lumen, and multiple takedowns and re-anastomosis. After
abciximab was administered, patency was demonstrated intraoperatively using
indocyanine green dye and confirmed postoperatively at 1 and 10 months via CT
angiography. The in situ use of abciximab as an agent to disperse a thrombus
during intracranial bypass surgery is novel and has not previously been described
in the literature, and serves as an additional tool during intracranial vessel
bypass surgery.
PMID- 29350607
TI - Shaping the future of science publishing: The evolution of metrics.
PMID- 29350608
TI - Acute transverse myelitis following scrub typhus: A case report and review of the
literature.
AB - Context Scrub typhus is an acute febrile disease caused by Orientia
tsutsugamushi. The disease can usually involve the lungs, heart, liver, spleen
and brain through hematogenous dissemination. However, very rarely, acute
transverse myelitis in the spinal cord develops from scrub typhus. We present a
case of acute transverse myelitis following scrub typhus with a review of the
literature. Findings A 66-year-old male visited a hospital for general myalgia,
mild headache, and fever in October. He was noted to have thick, black papule
skin on his abdomen, which was highly suggestive of scrub typhus. To confirm the
diagnosis, O. tsutsugamushi antibody titers were examined and detected highly in
serum by an indirect fluorescence antibody assay. Doxycycline, the standard
treatment for scrub typhus, was administered. However, after seven days of
treatment, he rapidly developed weakness in the right leg, paresthesia in both
lower limbs, and voiding difficulty. Spinal magnetic resonance imaging (MRI)
revealed lesions with high signal intensity involving the spinal cord at the
thoracolumbar junction. Paraparesis gradually improved following steroid pulse
therapy for five days. At one-year follow-up, he could walk without cane.
Conclusions Orientia tsutsugamushi causes scrub typhus, which can affect not only
the brain, but also the spinal cord. Although acute transverse myelitis develops
rarely from scrub typhus, this should be considered as differential diagnosis in
patients of fever with neurological deficit in endemic areas.
PMID- 29350610
TI - Research edition.
PMID- 29350609
TI - Addressing food wastage in the framework of the UN Sustainable Development Goals.
PMID- 29350611
TI - To Do No Harm: Humanitarian Aid in Conflict Demands Political Engagement.
AB - Humanitarian aid in settings of conflict has always been fraught with challenges.
In the absence of political engagement, however, manipulation by state
authorities, however, have the potential to pervert aid intervention to inflict
harm. South Sudan exemplifies how states may abuse the humanitarian response to
retreat from public responsibility, divert funds to further violence and conflict
and dictate the distribution of aid. Recent trends toward nationalist policies in
the West that favor disengagement and limited military strikes have the very
effect of allowing this abuse to transform humanitarian aid into a tool for harm.
(Disaster Med Public Health Preparedness. 2018;12:567-568).
PMID- 29350612
TI - The Effects of Betaine on the Nuclear Fractal Dimension, Chromatin Texture, and
Proliferative Activity in Hepatocytes in Mouse Model of Nonalcoholic Fatty Liver
Disease.
AB - The effects of betaine on hepatocytes chromatin architecture changes were
examined by using fractal and gray-level co-occurrence matrix (GLCM) analysis in
methionine/choline-deficient (MCD) diet-induced, nonalcoholic fatty liver disease
(NAFLD). Male C57BL/6 mice were divided into groups: (1) Control: standard diet;
(2) BET: standard diet and betaine supplementation through drinking water
(solution 1.5%); (3) MCD group: MCD diet for 6 weeks; (4) MCD+BET: fed with MCD
diet + betaine for 6 weeks. Liver tissue was collected for histopathology,
immunohistochemistry, and determination of fractal dimension and GLCM parameters.
MCD diet induced diffuse micro- and macrovesicular steatosis accompanied with
increased Ki67-positive hepatocyte nuclei. Steatosis and Ki67 immunopositivity
were less prominent in the MCD+BET group compared with the MCD group. Angular
second moment (ASM) and inverse difference moment (IDM) (textural homogeneity
markers) were significantly increased in the MCD+BET group versus the MCD group
(p<0.001), even though no difference between the MCD and the control group was
evident. Heterogeneity parameters, contrast, and correlation were significantly
increased in the MCD group versus the control (p<0.001). On the other hand,
betaine treatment significantly reduced correlation, contrast, and entropy
compared with the MCD group (p<0.001). Betaine attenuated MCD diet-induced NAFLD
by reducing fat accumulation and inhibiting hepatocyte proliferation. Betaine
supplementation increased nuclear homogeneity and chromatin complexity with
reduction of entropy, contrast, and correlation.
PMID- 29350613
TI - FGF mediated MAPK and PI3K/Akt Signals make distinct contributions to
pluripotency and the establishment of Neural Crest.
AB - Early vertebrate embryos possess cells with the potential to generate all
embryonic cell types. While this pluripotency is progressively lost as cells
become lineage restricted, Neural Crest cells retain broad developmental
potential. Here, we provide novel insights into signals essential for both
pluripotency and neural crest formation in Xenopus. We show that FGF signaling
controls a subset of genes expressed by pluripotent blastula cells, and find a
striking switch in the signaling cascades activated by FGF signaling as cells
lose pluripotency and commence lineage restriction. Pluripotent cells display and
require Map Kinase signaling, whereas PI3 Kinase/Akt signals increase as
developmental potential is restricted, and are required for transit to certain
lineage restricted states. Importantly, retaining a high Map Kinase/low Akt
signaling profile is essential for establishing Neural Crest stem cells. These
findings shed important light on the signal-mediated control of pluripotency and
the molecular mechanisms governing genesis of Neural Crest.
PMID- 29350614
TI - LIPG signaling promotes tumor initiation and metastasis of human basal-like
triple-negative breast cancer.
AB - Current understanding of aggressive human basal-like triple-negative breast
cancer (TNBC) remains incomplete. In this study, we show endothelial lipase
(LIPG) is aberrantly overexpressed in basal-like TNBCs. We demonstrate that LIPG
is required for in vivo tumorigenicity and metastasis of TNBC cells. LIPG
possesses a lipase-dependent function that supports cancer cell proliferation and
a lipase-independent function that promotes invasiveness, stemness and
basal/epithelial-mesenchymal transition features of TNBC. Mechanistically, LIPG
executes its oncogenic function through its involvement in interferon-related
DTX3L-ISG15 signaling, which regulates protein function and stability by
ISGylation. We show that DTX3L, an E3-ubiquitin ligase, is required for
maintaining LIPG protein levels in TNBC cells by inhibiting proteasome-mediated
LIPG degradation. Inactivation of LIPG impairs DTX3L-ISG15 signaling, indicating
the existence of DTX3L-LIPG-ISG15 signaling. We further reveal LIPG-ISG15
signaling is lipase-independent. We demonstrate that DTX3L-LIPG-ISG15 signaling
is essential for malignancies of TNBC cells. Targeting this pathway provides a
novel strategy for basal-like TNBC therapy.
PMID- 29350615
TI - Chimeras could help in the fight against leptospirosis.
AB - Understanding the structure of an antigen can guide the design of improved
antigen-based vaccines.
PMID- 29350617
TI - Adolescents and e-cigarettes: Objects of concern may appear larger than they are.
PMID- 29350616
TI - A disassembly-driven mechanism explains F-actin-mediated chromosome transport in
starfish oocytes.
AB - While contraction of sarcomeric actomyosin assemblies is well understood, this is
not the case for disordered networks of actin filaments (F-actin) driving diverse
essential processes in animal cells. For example, at the onset of meiosis in
starfish oocytes a contractile F-actin network forms in the nuclear region
transporting embedded chromosomes to the assembling microtubule spindle. Here, we
addressed the mechanism driving contraction of this 3D disordered F-actin network
by comparing quantitative observations to computational models. We analyzed 3D
chromosome trajectories and imaged filament dynamics to monitor network behavior
under various physical and chemical perturbations. We found no evidence of myosin
activity driving network contractility. Instead, our observations are well
explained by models based on a disassembly-driven contractile mechanism. We
reconstitute this disassembly-based contractile system in silico revealing a
simple architecture that robustly drives chromosome transport to prevent
aneuploidy in the large oocyte, a prerequisite for normal embryonic development.
PMID- 29350619
TI - Growth and lattice dynamics of ultrathin BaO films on Pt(0 0 1).
AB - We report on the surface phonons of long-range ordered BaO thin films grown on
Pt(0 0 1). In the thickness range between 4 and 28 ML, we find unstrained BaO(0 0
1)-([Formula: see text]) bulk-like terminated films which coincide with a Pt(0 0
1)-c([Formula: see text]) lattice periodicity. The dipole-active lattice
vibrations were determined using high-resolution electron energy loss
spectroscopy. For all BaO film thicknesses, a single Fuchs-Kliewer phonon
polariton is observed. Its intensity increases and its frequency softens with
increasing film thickness. These thickness-dependent properties and the spectral
shape are quantitatively discussed on the basis of dielectric theory, where a
proper modeling requires three components: the dielectric response of the BaO
film itself, the plasmonic response of the metallic substrate, and a weak damping
due to a defect-induced doping within the oxide film. For a full description,
also the quantization of the phonon wavevector due to the confinement within the
film of finite thickness has to be taken into account.
PMID- 29350620
TI - Trions in bulk and monolayer materials: Faddeev equations and hyperspherical
harmonics.
AB - The negatively T - and positively T + charged trions in bulk and monolayer
semiconductors are studied in the effective mass approximation within the
framework of a potential model. The binding energies of trions in various
semiconductors are calculated by employing the Faddeev equation with the Coulomb
potential in 3D configuration space. Results of calculations of the binding
energies for T - are consistent with previous computational studies, while the T
+ is unbound for all considered cases. The binding energies of trions in
monolayer semiconductors are calculated using the method of hyperspherical
harmonics by employing the Keldysh potential. It is shown that 2D T - and T +
trions are bound and the binding energy of the positive trion is always greater
than for the negative trion due to the heavier effective mass of holes. Our
calculations demonstrate that screening effects play an important role in the
formation of bound states of trions in 2D semiconductors.
PMID- 29350618
TI - A call to end the epidemic of adolescent E-cigarette use.
PMID- 29350621
TI - Electrodeposited binder-free NiCo2O4@carbon nanofiber as a high performance anode
for lithium ion batteries.
AB - Binder-free nickel cobaltite on a carbon nanofiber (NiCo2O4@CNF) anode for
lithium ion batteries was prepared via a two-step procedure of electrospinning
and electrodeposition. The CNF was obtained by annealing electrospun poly
acrylonitrile (PAN) in nitrogen (N2). The NiCo2O4 nanostructures were then grown
on the CNF by electrodeposition, followed by annealing in air. Experimental
results showed that vertically aligned NiCo2O4 nanosheets had uniformly grown on
the surface of the CNF, forming an interconnected network. The NiCo2O4@CNF
possessed considerable lithium storage capacity and cycling stability. It
exhibited a high reversible capacity of 778 mAhg-1 after 300 cycles at a current
density of 0.25 C (1 C = 890 mAg-1) with an average capacity loss rate of 0.05%
per cycle. The NiCo2O4@CNF had considerable rate capacities, delivering a
capacity of 350 mAhg-1 at a current density of 2.0 C. The outstanding
electrochemical performance can be mainly attributed to the following: (1) The
nanoscale structure of NiCo2O4 could not only shorten the diffusion path of
lithium ions and electrons but also increase the specific surface area, providing
more active sites for electrochemical reactions. (2) The CNF with considerable
mechanical strength and electrical conductivity could function as an anchor for
the NiCo2O4 nanostructure and ensure an efficient electron transfer. (3) The
porous structure resulted in a high specific surface area and an effective buffer
for the volume changes during the repeated charge-discharge processes. Compared
with a conventional hydrothermal method, electrodeposition could significantly
simplify the preparation of NiCo2O4, with a shorter preparation period and lower
energy consumption. This work provides an alternative strategy to obtain a high
performance anode for lithium ion batteries.
PMID- 29350622
TI - Beyond HRV: attractor reconstruction using the entire cardiovascular waveform
data for novel feature extraction.
AB - : Advances in monitoring technology allow blood pressure waveforms to be
collected at sampling frequencies of 250-1000 Hz for long time periods. However,
much of the raw data are under-analysed. Heart rate variability (HRV) methods, in
which beat-to-beat interval lengths are extracted and analysed, have been
extensively studied. However, this approach discards the majority of the raw
data. OBJECTIVE: Our aim is to detect changes in the shape of the waveform in
long streams of blood pressure data. APPROACH: Our approach involves extracting
key features from large complex data sets by generating a reconstructed attractor
in a three-dimensional phase space using delay coordinates from a window of the
entire raw waveform data. The naturally occurring baseline variation is removed
by projecting the attractor onto a plane from which new quantitative measures are
obtained. The time window is moved through the data to give a collection of
signals which relate to various aspects of the waveform shape. MAIN RESULTS: This
approach enables visualisation and quantification of changes in the waveform
shape and has been applied to blood pressure data collected from conscious
unrestrained mice and to human blood pressure data. The interpretation of the
attractor measures is aided by the analysis of simple artificial waveforms.
SIGNIFICANCE: We have developed and analysed a new method for analysing blood
pressure data that uses all of the waveform data and hence can detect changes in
the waveform shape that HRV methods cannot, which is confirmed with an example,
and hence our method goes 'beyond HRV'.
PMID- 29350623
TI - Exploring the role of genome and structural ions in preventing viral capsid
collapse during dehydration.
AB - Even though viruses evolve mainly in liquid milieu, their horizontal transmission
routes often include episodes of dry environment. Along their life cycle, some
insect viruses, such as viruses from the Dicistroviridae family, withstand
dehydrated conditions with presently unknown consequences to their structural
stability. Here, we use atomic force microscopy to monitor the structural changes
of viral particles of Triatoma virus (TrV) after desiccation. Our results
demonstrate that TrV capsids preserve their genome inside, conserving their
height after exposure to dehydrating conditions, which is in stark contrast with
other viruses that expel their genome when desiccated. Moreover, empty capsids
(without genome) resulted in collapsed particles after desiccation. We also
explored the role of structural ions in the dehydration process of the virions
(capsid containing genome) by chelating the accessible cations from the external
solvent milieu. We observed that ion suppression helps to keep the virus height
upon desiccation. Our results show that under drying conditions, the genome of
TrV prevents the capsid from collapsing during dehydration, while the structural
ions are responsible for promoting solvent exchange through the virion wall.
PMID- 29350624
TI - Conductive bridge random access memory characteristics of SiCN based transparent
device due to indium diffusion.
AB - In this work, the transparent bipolar resistive switching characteristics of a
SiCN-based ITO/SiCN/AZO structure due to In diffusion from ITO is studied. The
SiCN based device is found to be 80% transparent in the visible wavelength
region. This device, with AZO as both top and bottom electrodes, does not show
any RRAM property due to deposition of the high quality O2-free SiCN film.
Replacing the AZO top electrode with ITO in this device results in good resistive
switching (RS) characteristics with a high on/off ratio and long retention.
Replacing the SiCN film with ZrO2 also results in excellent RS characteristics
due to the formation of an oxygen vacancies filament inside the ZrO2 film. A
resistance ratio of on/off is found to be higher in the SiCN based device
compared to that of the ZrO2 device. Diffusion of In from ITO into the SiCN film
on application of high positive voltage during forming can be attributed to the
occurrence of RS in the device, which is confirmed by the analyses of energy
dispersive spectroscopy and secondary-ion mass spectrometry. This study shows a
pathway for the fabrication of CBRAM based transparent devices for non-volatile
memory application.
PMID- 29350625
TI - Dynamically tunable interface states in 1D graphene-embedded photonic crystal
heterostructure.
AB - Optical interface states exhibit promising applications in nonlinear photonics,
low-threshold lasing, and surface-wave assisted sensing. However, the further
application of interface states in configurable optics is hindered by their
limited tunability. Here, we demonstrate a new approach to generate dynamically
tunable and angle-resolved interface states using graphene-embedded photonic
crystal (GPC) heterostructure device. By combining the GPC structure design with
in situ electric doping of graphene, a continuously tunable interface state can
be obtained and its tuning range is as wide as the full bandgap. Moreover, the
exhibited tunable interface states offer a possibility to study the
correspondence between space and time characteristics of light, which is beyond
normal incident conditions. Our strategy provides a new way to design
configurable devices with tunable optical states for various advanced optical
applications such as beam splitter and dynamically tunable laser.
PMID- 29350626
TI - High mobility In0.75Ga0.25As quantum wells in an InAs
phonon lattice.
AB - InGaAs based devices are great complements to silicon for CMOS, as they provide
an increased carrier saturation velocity, lower operating voltage and reduced
power dissipation. In this work we show that
In0.75Ga0.25As quantum wells
with a high mobility, 15 000 to 20 000 cm2/V.s at ambient temperature, show
an InAs-like phonon with an energy of 28.8 meV, frequency of 232 cm-1 that
dominates the polar-optical mode scattering from ~
70 K to 300 K. The
optical phonon frequency is insensitive to the carrier density modulated with a
surface
gate or LED illumination. We model the electron scattering
mechanisms as a function of temperature and
identify mechanisms that limit
the electron mobility in In0.75Ga0.25As quantum wells. Background impurity
scattering starts to dominate for temperatures <100 K. In the high mobility
In0.75Ga0.25As quantum well,
GaAs-like phonons do not couple to the electron
gas unlike the case of In0.53Ga0.47As quantum wells.
PMID- 29350627
TI - Franck Condon shift assessment in 2D MoS2.
AB - Optical spectroscopy (OS) techniques are often coupled with first-principles
density functional theoretical (DFT) calculations for determining the precise
influence of defects on the electronic and structural properties of two
dimensional (2D) TMDs. Such calculations are carried out presuming there is
little or no effect of vibrational transitions on the observed electronic
spectrum. However, if the effect of change in vibrational energy [Franck Condon
(FC) shift] associated with such a transition is large, it could possibly lead to
a different origin for the observed peak. One such instance is the attribution of
the 0.75 eV cathodoluminescence peak by Fabbri et. al. [Nat. Commun. 7, 13044
(2016)]. to an optical transition from an S vacancy level in the band gap, under
the assumption that the FC shift is negligible. Here, by first principles
constrained DFT calculations using hybrid HSE06 functional we show that this
combined prediction of OS and DFT calculations is valid for 2D MoS2 since the FC
shift associated with electronic transitions from a sulfur vacancy is, indeed,
small ~28 meV. Based on our calculations we conclude that it is reasonable to
make a direct connection between DFT calculations and optical spectroscopy
techniques in this material, hence, establishing a one to one relation between
defect related emission bands and electronic transitions from the defect levels.
PMID- 29350628
TI - Inkjet printing and electrical characterisation of DNA - templated cadmium
sulfide nanowires.
AB - Cadmium sulfide can be templated on ?-DNA molecules to form an aqueous dispersion
of CdS/?-DNA nanowires. Subsequent addition of ethylene glycol to 50% v/v is
sufficient to formulate an ink suitable for printing using piezoelectric drop-on
demand technology. Printed droplet arrays show a coffee-ring morphology of
individual deposits by fluorescence and Raman microscopy, but upon increasing the
number of layers of printed material by repeated printing over each droplet, the
dry deposit approaches closer to a disc shape. It is also possible to print
parallel tracks by reducing the droplet separation in the array until
neighbouring droplets overlap before they dry. The droplets coalesce to form a
strip of width roughly equal to the diameter of the droplets. Evaporation-driven
capillary flow sends the nanowires to the edges of the strip and when dry they
form parallel tracks of CdS/?-DNA nanowire bundles. Both droplets and tracks were
printed onto Pt-on-glass interdigitated microelectrodes (10 ?m width, 10 ?m gap).
The current-voltage characteristics of these two-terminal devices were
approximately ohmic, but with some hysteresis. The conductance increased with
temperature as a simple activated process with activation energies of 0.57 +/-
0.02 eV (tracks) and 0.39 +/- 0.02 eV (droplets). The impedance spectra of the
printed films were consistent with hopping between CdS grains.
PMID- 29350629
TI - Conductive films of silver nanoparticles as novel susceptors for induction
welding of thermoplastic composites.
AB - In the present work, a conductive film of silver nanoparticles (nAg) as a novel
heating element type, called susceptor, was developed and tested for induction
welding of carbon fiber/polyphenylene sulfide (CF/PPS) thermoplastic composites,
i.e., unidirectional pre-impregnated 16 plies of CF/PPS compression-molded in a
quasi-isotropic stacking sequence. The nAg were synthesized, dispersed in
deionized (DI) water and casted onto a pure PPS film, resulting in a conductive
film upon the evaporation of DI water and thermal post-annealing. The thermal
annealing at 250 degrees C significantly (by 7 orders) decreased the film's
electrical resistivity from 9.4 * 103 down to 3.1 * 10-4 Omega cm. The new
susceptors led to fast heating rates in induction welding when compared to the
standard stainless steel mesh susceptors under similar welding conditions. Lap
shear mechanical testing revealed that the apparent lap shear strength (LSS) is
sensitive to the susceptors' resistivity and the input current. A relatively high
LSS value was achieved for the specimens welded using the new susceptors which
exceeded the value of those welded using stainless steel mesh susceptors (28.3
MPa compared to 20 MPa). The weld interface and specimens' cross-section
observation revealed that the nAg were dispersed and embedded into the resin upon
welding. This study contains preliminary results that show high potential of
nanoparticles as effective susceptors to further improve the mechanical
performance of the joints in welding of thermoplastic composites.
PMID- 29350630
TI - GaAs quantum dots in a GaP nanowire photodetector.
AB - We report the structural, optical and electrical properties of GaAs quantum dots
(QDs) embedded along GaP nanowires. The GaP nanowires contained p-i-n junctions
with 15 consecutively grown GaAs QDs within the intrinsic region. The nanowires
were grown by molecular beam epitaxy using the self-assisted vapor-liquid-solid
process. The crystal structure of the NWs alternated between twinned ZB and WZ as
the composition along the NW alternated between the GaP barriers and the GaAs
QDs, respectively, leading to a polytypic structure with a periodic modulation of
the NW sidewall facets. Photodetector devices containing QDs showed absorption
beyond the bandgap of GaP in comparison to nanowires without QDs. Voltage
dependent measurements suggested a field emission process of carriers from the
QDs.
PMID- 29350631
TI - Competition and coexistence of polar and non-polar states in Sr1-x Ca x TiO3: an
investigation using pressure dependent Raman spectroscopy.
AB - The competition and cooperation between ferroelectric and anti-ferro-distortion
(AFD) instabilities are studied using pressure dependent Raman spectroscopy on
polycrystalline powder samples of Sr1-x Ca x TiO3(x = 0.0, 0.06, 0.25, 0.35).
For x = 0.0 composition, a broad polar mode is detected in the Raman spectra
above 6 GPa, while for x = 0.06 composition, the polar modes appear well above
9 GPa where the AFD modes showed strong suppression. In x = 0.25 and 0.35
composition, the application of small pressure resulted in the appearance of
strong AFD modes suppressing the polar modes. At elevated pressures, re-entrant
polar modes are observed along with the broad AFD modes and some new peaks are
also observed, signifying the lowering of local symmetry. The reappearance of
polar modes is found to be related to pressure induced symmetry disorder at local
level, suggesting its electronic origin. The re-entrant polar modes observed at
higher pressure values are found to be significantly broad and asymmetric in
nature, signifying the development of ferroelectric micro regions/nano domains
coexisting with AFD. The lower symmetry at local length scale provides a
conducive atmosphere for coexisting AFD and FE instabilities.
PMID- 29350632
TI - Defect-selective dry etching for quick and easy probing of hexagonal boron
nitride domains.
AB - In this study, we demonstrate a new method to selectively etch the point defects
or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in
situ on copper substrates using hydrogen and argon gases. The initial quality of
the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis
absorption spectroscopy, atomic force microscopy, and transmission electron
microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the
same quality of samples and it was found that etching with hydrogen starts from
the point defects and grows epitaxially, which helps in confirming crystalline
orientations. However, etching with argon is sensitive to line defects
(boundaries) and helps in visualizing the domain size. Finally, based on this
defect-selective dry etching technique, it could be visualized that the domains
of a polycrystalline hBN monolayer merged together with many parts, even with
those that grew from a single nucleation seed.
PMID- 29350633
TI - Diagnostic imaging and intervention of the musculoskeletal system: state of the
art.
AB - The study of the musculoskeletal system has always been one of the most important
application for diagnostic imaging in radiology (1-4).
PMID- 29350634
TI - MRI of popliteo-meniscal fasciculi of the knee: a pictorial review.
AB - The popliteomeniscal fascicules (PMFs) provide the attachment of the lateral
meniscus to the popliteus musculotendinous region, forming the floor and the roof
the popliteal hiatus. In the second half of 1900's, some anatomic studies claim
the important function of the PMF as stabilizers of the lateral meniscus; these
anatomical structures work in conjunction with the popliteus musculotendinous
unit to prevent excessive lateral meniscal movement and possible meniscus
subluxation. A correct diagnosis of the PMFs pathology is crucial to establish
the suitable surgical treatment for each patient. MRI is a well-established
imaging technique in the musculoskeletal system and the frequency of recognition
of normal PMF in the normal knees is high in almost all MRI studies. At day, the
gold standard for diagnosis is the arthroscopic evaluation that allows the direct
visualization of the popliteo-meniscal ligaments at popliteal hiatus and
evaluation of lateral meniscal movements. For this reason if unstable condition
of meniscus was suspected, arthroscopic observation with probing into the
popliteo-meniscal fascicle area is essential for the identification of the
fascicle tears. Despite many treatments have being proposed in literature since
now there is high recurrence of knee locking after repair and it is fundamental
to develop new surgical techniques in order to achieve better outcome.
PMID- 29350635
TI - Magnetic Resonance Imaging correlates of benign and malignant alterations of the
spinal bone marrow.
AB - BACKGROUND AND AIM OF THE WORK: Bone marrow (BM) abnormalities in the spine are a
common, sometimes unexpected, finding on Magnetic Resonance Imaging (MRI), which
is the most sensitive imaging modality to evaluate the marrow, and their
interpretation can be difficult for the unexperienced radiologist. In this
review, the MRI appearance of normal age-related BM changes, as well as the
imaging features of benign and malignant diseases, are presented. DISCUSSION: A
large variety of BM signal alterations has been identified and described,
including normal variants, BM reconversion, degenerative changes, infections,
spondyloarthritis and osteonecrosis, trauma, neoplastic lesions (both primary or
metastatic), post-radiation and chemotherapy sequelae. CONCLUSIONS: Knowledge of
normal age-related BM appearance, normal variants and patterns of involvement in
focal and diffuse bone diseases is essential, together with clinical and
laboratory data, to narrow the list of the possible differential diagnoses. The
radiologist should be familiar with these signal changes, as they can sometimes
be discovered incidentally. In this context, it is equally important not to
attribute pathological significance to benign alterations and to promptly detect
signs of malignant diseases.
PMID- 29350636
TI - Imaging of pediatric foot disorders.
AB - Infants and children undergo imaging studies to evaluate a wide variety of
congenital and acquired disorders. Imaging protocols have to consider the
patient's comfort, level of anxiety, and smaller size. The first imaging study is
usually made with plain radiographs. The routine radiographic examination of the
foot includes the anteroposterior (AP), lateral, and oblique projections.
Magnetic Resonance Imaging (RMI) provides excellent anatomic detail of cartilage,
vasculature and soft tissue thanks to superior soft tissue contrast and spatial
resolution, so is valuable in many cases. According to the clinical and objective
signs, guided by the radiographs images, we can be oriented to perform Computed
Tomography (CT), CT imaging or MRI imaging. CT imaging is useful to observe the
bones but it has the disadvantage of using radiation and doesn't adequately
define the bone's non-ossified portions. On the contrary, MRI imaging is very
useful in identifying the cartilaginous parts and vascular and soft tissues,
thanks to its superior contrast and spatial resolution. Finally, it is important
to orientate the diagnostic process keeping in mind the clinical sign of the
patient and to use the most appropriate diagnostic technique.
PMID- 29350637
TI - Clinical utility of eco-color-power Doppler ultrasonography and contrast enhanced
magnetic resonance imaging for interpretation and quantification of joint
synovitis: a review.
AB - With the introduction of new biologics such as anti-TNF-alpha antibodies and
other therapies in the treatment of inflammatory arthritis, capable of halting
joint destruction and functional disability, there are new pressures on
diagnostic and prognostic imaging. Early demonstration of pre-erosive
inflammatory features and monitoring of the long-term effects of treatment are
becoming increasingly important. Early detection of synovitis offers advantages
in terms of allowing early instigation of therapy and may allow the
identification of those patients displaying more aggressive disease who might
benefit from early intervention with expensive DMARD therapy. Advanced imaging
techniques such as ultrasound (US) and magnetic resonance imaging (MRI) have
focussed on the demonstration and quantification of synovitis and allow early
diagnosis of inflammatory arthropathies such as rheumatoid arthritis (RA) and
psoriatic arthritis (PsA). Synovitis represents a potential surrogate measure of
disease activity that can be monitored using either MRI or US; the techniques
have, generally, focused on monitoring synovial volume or quality as assessed by
its vascularity. However to achieve these goals, standardisation and validation
of US and MRI are required to ensure accurate diagnosis, reproducibility and
reliability. Each modality has different strengths and weaknesses and levels of
validation. This article aims to increase the awareness of radiologists and
rheumatologists about this field and to encourage them to participate and
contribute to the ongoing development of these modalities. Without this
collaboration, it is unlikely that these modalities will reach their full
potential in the field of rheumatological imaging. This review is in two parts.
The first part addresses the role of US and colour or power Doppler sonography
(PDUS) in the detection and monitoring of synovitis in inflammatory
arthropathies. The second part will look at advanced MR imaging and Dynamic
contrast-enhanced MRI techniques and in particular how they are applied to the
monitoring of the disease process.
PMID- 29350638
TI - Weight-bearing MRI of the knee: a review of advantages and limits.
AB - Standard knee imaging with MRI is usually performed with patient in recumbent
position under non-weight-bearing conditions. Recently, magnetic resonance
imaging systems to scan the knee joint under weight bearing conditions has been
proposed as an approach to improve the clinical utility of musculoskeletal MRI.
Imaging under loading can be useful to understand the natural motion behavior of
the knee joint and to identify conditions that are challenging to diagnose by
using standard position. We reviewed the literature on weight-bearing MR imaging
of the knee to describe the current state of use of such MRI technologies,
evaluating the advantages and the potential limitations of these technologies.
PMID- 29350639
TI - Dynamic MRI in the evaluation of the spine: state of the art.
AB - INTRODUCTION: Degenerative disease of the spine is a generic term encompassing a
wide range of different disease processes, which leads to spinal instability;
traumatic/neoplastic events can accelerate this aging process. Therefore, the
dynamic nature of the spine and its mobility across multiple segments is
difficult to depict with any single imaging modality. METHODS: A review of PubMed
databases for articles published about kMRI in patients with cervical and lumbar
spinal desease was performed. We focused on the physiopathological changes in the
transition from supine to upright position in spine instability. DISCUSSION:
Until a few years ago, X-ray was the only imaging modality for the spine in the
upright position. Of the imaging techniques currently available, MRI provides the
greatest range of information and the most accurate delineation of soft-tissue
and osseous structures. Conventional MRI examinations of the spine usually are
performed in supine position, in functional rest, but the lumbar spine
instability is often shown only by upright standing. This can result in negative
findings, even in the presence of symptoms. Regardless, the final result is
distorted. To overcome this limitation, Kinetic MRI (kMRI) can image patients in
a weight-bearing position and in flexed and extended positions, thus revealing
abnormalities that are missed by traditional MRI studies. CONCLUSION: Despite
some limitations, the upright MRI can be a complementary investigation to the
traditional methods when there are negative results in conventional MRI in
symptomatic patients or when surgical therapy is scheduled.
PMID- 29350640
TI - Scapular fractures: a common diagnostic pitfall.
AB - Scapular fractures are one of the most difficult fractures to diagnose on
radiographs. Detection can be challenging because of the obscuration by the
overlying structures or incomplete imaging due to difficult patient
collaboration. Familiarity with imaging characteristics of these abnormalities
will allow radiologists to better diagnose and characterize scapular fractures.
Three-dimensional computed tomographic scans are considered the gold standard for
scapular diagnoses. Treatment strategies differ depending on the type of scapular
fractures, but the site and degree of displacement will determine whether
surgical intervention should be considered. Complications can occur in fractures
that are undiagnosed or improperly evaluated. The purpose of this article is to
describe imaging features of traumatic scapular injury, and discuss the role of
diagnostic imaging in clinical decision making after shoulder trauma.
PMID- 29350641
TI - Traumatic fractures in adults: missed diagnosis on plain radiographs in the
Emergency Department.
AB - Radiography remains the imaging standard for fracture detection after trauma. The
radiographic diagnosis of most fractures and dislocations poses little difficulty
to radiologists: however, occasionally these injuries are quite subtle or even
impossible to detect on radiographs. Missed diagnoses of fracture potentially
have important consequences for patients, clinicians, and radiologists.
Radiologists play a pivot role in the diagnostic assessment of the trauma
patients: emergency radiologists who are more practiced at seeking out and
discerning traumatic fractures can provide an invaluable service to their
clinical colleagues by ensuring that patients do not endure delayed diagnoses.
This is a narrative review article aims to highlight the spectrum of fractures in
adults potentially missed on plain radiographs, the causes of error in diagnosis
of fractures in the emergency setting and the key elements to reduce misdiagnosis
of fractures.
PMID- 29350642
TI - Acute and overuse elbow trauma: radio-orthopaedics overview.
AB - The correct management of acute, subacute and overuse-related elbow pathologies
represents a challenging diagnostic and therapeutic problem. While major trauma
frequently requires a rapid surgical intervention, subluxation and minor trauma
allow taking more time for diagnostics and planning the correct elective
treatment after careful clinical and radiological investigation. In these
conditions, communication between orthopaedic surgeon and radiologist allow to
create a detailed radiology report, tailored to the patient's and surgeon's needs
and optimal to plan proper management. Imaging technique as X-Ray, CT, US, MRI,
CTA and MRA all belong to the radiologist's portfolio in elbow diagnostics.
Detailed knowledge of elbow pathology and its classification and of the
possibilities and limits of each imaging technique is of crucial importance to
reach the correct diagnosis efficiently. The aim of this review is to present the
most frequent elbow pathologies and suggest a suitable diagnostic approach for
each of them.
PMID- 29350643
TI - Calcaneal fractures: radiological and CT evaluation and classification systems.
AB - BACKGROUND AND AIM OF THE WORK: The calcaneus, the more lower bone of the body,
has the task of supporting the axial load from the weight of the body. Calcaneal
fractures represent about 1-2% of all fractures and 60% of the tarsal bones
fractures. The articular involvement has been associated with a poor functional
outcome. The aim of this work is to describe the radiologic evaluation, the
classification systems, the morphological preoperative diagnostic imaging
features of calcaneal fractures, highlighting the correlation with the choice of
treatment and predictive capacity for the fracture surgical outcome. METHODS: A
PubMed search was performed for the terms Imaging calcaneus fracture, selecting
articles in English language, published in the last two years, where
preoperatively diagnostic imaging of fractures of the calcaneus are described.
Case reports have not been included. RESULTS: We have collected a number of data
that provide important help in preoperative evaluation of calcaneal fractures,
such as the new classification system created by Harnroongroj et al, the
association of calcaneal fractures with fractures of other bone structures or
soft tissue impairment, the use of calcaneotalar ratio in assessing the length of
heel. CONCLUSIONS: These data suggest an approach geared to the specific choice
of treatment and to improving patient outcomes.
PMID- 29350644
TI - Talar fractures: radiological and CT evaluation and classification systems.
AB - INTRODUCTION: The talus is the second largest bone of the foot. It is fundamental
to ensure normal ankle-foot movements as it connects the leg and the foot. Talar
fractures are usually due to high energy traumas (road accidents, high level
falls). They are not common as they account for 3-5% of ankle and foot fractures
and 0.85% of all body fractures. However, talar fractures not correctly diagnosed
and treated can lead to avascular necrosis of the astragalus, pseudoarthrosis,
early osteoarthrisis and ankle instability, declining the quality of life of
patients. METHODS: A PubMed search was performed using the terms "talus" "talus
AND radiology", "talar fractures", and "talar fractures classification",
selecting articles published in the last 98 years. We selected articles about pre
treatment and post-surgery talar fractures diagnostic imaging. We also selected
articles about talar fractures complications and traumatic talar dislocations.
Case reports have not been included. AIM OF THE WORK: to describe radiological
evaluations, classification systems, and biomechanical patterns involved in talar
fractures. Also we will briefly describe talar fractures complications and
treatment option and strategies. CONCLUSIONS: This work suggests a radiological
approach aimed to classify talar fractures and guide treatment strategies,
improving patient outcomes.
PMID- 29350645
TI - Developments in the management of bone metastases with interventional radiology.
AB - Interventional radiology has known an exponential growth in the last years.
Technological advances of the last decades, have made it possible to use new
treatments on a larger scale, with safe and effective results. They could be
considered as palliative treatments for painful lesions but also curative
procedures, as single treatment or specially in combination with other techniques
(surgery, radiation and oncology therapies, etc.).The main diffuse techniques are
those of thermal ablation that destroy the target lesion through the heat;
however there are also endovascular therapies that destroy the target tissue
thanks to devascularization. Finally the is also the possibility to stabilize
pathological fractures or impending fractures. In this paper all the most diffuse
and effective techniques are reviewed and also a discussion of the main
indications is done, with an analisys of the success and complications rates.
PMID- 29350646
TI - Radiofrequency ablation of osteoid osteoma.
AB - Osteoid osteoma is a benign bone neoplasm with a reported incidence of 2-3% among
all bone primary tumors. Although it is a small and benign lesion, it is often
cause of patient complaint and discomfort. It is generally characterized by a
long lasting, unremitting pain that typically exacerbates at night, often leading
to sleep deprivation and functional limitation of the skeletal segment involved,
with a significant reduction of patient daily life activities and consequent
worsening of the overall quality of life. Over decades, complete surgical
resection has represented the only curative treatment for symptomatic patients.
In the last years, new percutaneous ablation techniques, especially
radiofrequency ablation, have been reported to be a safe and effective
alternative to classical surgery, with a low complication and recurrence rate,
and a significant reduction in hospitalization cost and duration. The aim of this
article is to provide an overview about the radiofrequency thermal ablation
procedure in the treatment of osteoid osteoma.
PMID- 29350647
TI - Rotator cuff calcific tendinopathy: from diagnosis to treatment.
AB - Rotator cuff calcific tendinopathy (RCCT) is a very common condition caused by
the presence of calcific deposits in the rotator cuff (RC) or in the subacromial
subdeltoid (SASD) bursa when calcification spreads around the tendons. The
pathogenetic mechanism of RCCT is still unclear. It seems to be related to cell
mediated disease in which metaplastic transformation of tenocytes into
chondrocytes induces calcification inside the tendon of the RC. RCCT is a
frequent finding in the RC that may cause significant shoulder pain and
disability. It can be easily diagnosed with imaging studies as conventional
radiography (CR) or ultrasound (US). Conservative management of RCCT usually
involves rest, physical therapy, and oral NSAIDs administration. Imaging-guided
treatments are currently considered minimally-invasive, yet effective methods to
treat RCCT with about 80% success rate. Surgery remains the most invasive
treatment option in chronic cases that fail to improve with other less invasive
approaches.
PMID- 29350648
TI - Imaging of metabolic bone disease.
AB - Osteoporosis is the most important metabolic bone disease, with a wide
distribution among the elderly. It is characterized by low bone mass and micro
architectural deterioration of bone tissue, leading to enhanced bone fragility
and a consequent increase in fracture risk. Identify bone weakening with an
appropriate and accurate use of diagnostic imaging is of critical importance in
the diagnosis and follow-up of osteoporotic patients. The aim of this review is
to evaluate the detection rates of the different imaging modalities in the
evaluation of bone strength, in the assessment of fracture risk and in the
management of fragility fractures.
PMID- 29350649
TI - Magnetic Resonance Imaging in degenerative disease of the lumbar spine: Fat
Saturation technique and contrast medium.
AB - PURPOSE: To examine both anterior and posterior elements of the lumbar spine in
patients with low back pain using MRI T2-weighted sequences with Fat Saturation
(FS) and contrast enhanced T1-weighted sequences with FS. MATERIALS AND METHODS:
Two thousand eight hundred and twenty (2820) patients (1628 male, 1192 female,
mean age 54) presenting low back pain underwent MRI standard examination
(Sagittal T1w TSE and T2w TSE, axial T1 SE) with the addition of sagittal and
axial T2w Fat Sat (FS) sequences. Among all the patients, 987 (35%) have been
studied adding Contrast Enhanced (CE) T1w FS sequences after administration of
contrast medium. RESULTS: Among 987 patients studied with contrast medium, we
found: active-inflammatory intervertebral osteochondrosis in 646 (65%) patients;
degenerative-inflammatory changes in facet joints (facet joint effusion,
synovitis, synovial cysts) in 462 (47%); spondylolysis in 69 (7%); degenerative
inflammatory changes of the flava, interspinous and supraspinous ligaments in 245
(25%); inflammatory changes of posterior perispinal muscles in 84 (8%) patients.
CONCLUSIONS: In patients with suspected no-disc-related low back pain, the
implementation of T2w FS and CE T1w FS sequences to the standard MR protocol
could allow a better identification of degenerative-inflammatory changes more
likely associated to the pain.
PMID- 29350650
TI - Clinical and instrumental assessment of herniated discs after nucleoplasty: a
preliminary study.
AB - BACKGROUND AND AIM: The therapy for low back pain boasts different approaches;
one of these is nucleoplasty. We wanted to assess the effectiveness of
nucleoplasty both by clinical response both by MR imaging evaluation, including
even extrusions larger than one third of the spinal canal. METHODS: Fifty-seven
patients were treated with nucleoplasty in our hospital, 11 of these patients
accepted both clinical and MRI evaluation after six months from treatment. The
clinical evaluation was performed with Visual Analogue Scale (VAS) of pain,
scored before and after the procedure. MRI evaluation consisted of analysing some
imaging parameters of disc protrusions before and after the treatment. RESULTS:
In 10 out of 11 (91%) patients, VAS was reduced and only 1 out of 11 (9%) had the
same pain after procedure. The mean of decrease of VAS score was 64%. In our
population 8/11 (72%) patients had a herniation larger than 1/3 of the sagittal
diameter of spinal canal and 100% of them had an improvement with a mean VAS
reduction value of 75%. With MRI evaluation, the mean percentage of expulsion
before and after treatment was respectively 40% and 34%. The expulsion decreased
in 7/13 discs, remained equal in 4/13, and increased in 2/13 discs. Among the 9
larger protrusions, 3 didn't change, 6 reduced with a decrease mean value of 13%.
Other MRI parameters didn't change significantly. CONCLUSIONS: Our preliminary
experience supports the success of coblation on pain relief, aiming to show
progressively that this treatment is suitable even in case of great extrusions,
which are generally treated only with surgical approach. It's not clear the
usefulness of MRI control yet, even if in most of cases we could have found a
certain reduction of expulsion degree.
PMID- 29350651
TI - Birth of a new Journal section on Emergency Medicine.
AB - Not available.
PMID- 29350652
TI - Complications associated with brachioplasty: a literature review.
AB - BACKGROUND: Paralleling the growth of bariatric surgery, the demand for post
bariatric body-contouring surgery is increasing. Weight loss is the main cause,
although not the only one, that drives patients to arm lift surgery. Several
surgical techniques have been proposed over the years. Our aim was to consider
the complications and outcomes according to the performed technique, through a
wide review of the literature. METHODS: A search on PubMed/Medline was performed
using "brachioplasty", "upper arm lifting", and "techniques" as key words.
Embase, Medline (OvidSP), Web of Science, Scopus, PubMed publisher, Cochrane, and
Google Scholar were searched as well. As inclusion criteria, we selected the
clinical studies describing techniques of brachioplasty. We excluded the papers
in which complications related to brachioplasty were not specified. We also
excluded literature-review articles. RESULTS: We found 27 studies from 1995 to
2015. Overall, 1065 patients were treated. Different techniques were applied.
Complications were observed in 308/1065 patients (28.9%). The most frequent
complications were hypertrophic scarring, seroma and hematoma. Surgical revision
rate ranged from 0 to 21 percent. Nerve damage occurred in a modest percentage of
patients (16/1065, 1.5%). No major complications, such as thromboembolism and
sepsis, were observed. CONCLUSIONS: Brachioplasty is a safe surgical procedure.
All the brachioplasty techniques showed positive outcomes, in term of patients'
satisfaction and clinical results. Nevertheless, minor complications occurred in
a high percentage of patients, regardless the performed surgical procedure.
Patients should be informed about the possible formation of hypertrophic scars
and nerve injuries.
PMID- 29350653
TI - The law on artificial insemination: an italian anomaly.
AB - The law on medically assisted procreation in Italy, from its entering into force,
has undergone numerous amendments. This has been due to the fact that those
citizens, directly affected by its imposed prohibitions, have not given in,
bringing their requests before the courts, both nationally and internationally.
Over the years, the courts through numerous rulings have significantly changed a
law clearly incapable of protecting the rights of those involved. Currently Italy
has an acceptable law on M.A.P. which is the result of the strong willing of
citizens affected by problems of sterility or infertility. The aim of this paper
is to present an historical summary of the troubled path which the issue, from
every perspective, has faced and is still facing today. As well, it will document
how, in Italy, the case-law and, therefore, the law's interpretation and
application by the judges have contributed, in the end, to shaping a positive
legislation.
PMID- 29350654
TI - Autolytic debridement and management of bacterial load with an occlusive
hydroactive deressing impregnated with polyhexamethylene biguanide.
AB - BACKGROUND: Debridement and control of bacterial load are key-points of wound
care. The aim of this study is to evaluate the effectiveness of autolytic
debridement and management of bacterial load (bioburden) of an occlusive hydro
active dressing impregnated with polyhexamethylene biguanide (PHMB). METHODS: We
used an occlusive dressing of hydro-active for leg ulcers' treatment (TenderWet
plus(r) - Hartmann). Inclusion criteria were: presence of a fibrinous ulcer with
multiple etiopathogenesis, in absence of clinical infection, no hypersecretion
and diameter within 5 cm. Swab for bacterial bioburden control were made at start
of the study, after 3 and 7 days. We made also a measurement of the amount of
granulation tissue and of pain with VAS scale; bacterial bioburden control inside
the dressing was made too. RESULTS: 28 leg ulcers were treated (12 venous, 5
diabetic, 8 thraumatic, 3 mixed) in 25 patients. The ulcer has been completely
covered with fibrin in almost all cases and the bacterial load was represented
mainly by Staphylococcus aureus (80% of the cases) with a load within
10.000UFC/plate in 81,5% of the cases. Control at 3 and 7 days have shown the
appearance of granulaton tissue up to 67,8% of the wound surface. Bacterial
bioburden (load) remained constant in 50% of the cases, decreased in 15%,
increased in 35% of the cases. CONCLUSION: TenderWet-plus(r) has proven to be
effective and quick in autolytic debridement and at the same time be able to
handle the bacterial load in most cases. It can then be used for home treatment.
PMID- 29350655
TI - BRASS score and complex discharge: a pilot study
AB - AIMS: A highly functional continuity of patient care, which is linked to the
reduction of the risk of long-term hospitalization, above all for 'at-risk'
patients. Research into an objective, reliable instrument for redirecting
individual results to organizational aims to extend the entire country, is a
fundamental step to move from a reactive assistance approach to a pro-active one.
METHODS: An observational and descriptive retrospective study was carried out
July - November 2014 in two Italian state hospitals, completing the BRASS Index
within 48/72 hours of admission. RESULTS: The study group consisted of 122
inpatients. A correlation presented itself, albeit low (n=0.05191), between age
and the number of 'revolving door' admissions; a medium correlation (n=0.485131)
between age and risk band (according to BRASS). CONCLUSIONS: The BRASS Index is
straightforward and swift, and can prove a valuable tool in directing nurses'
attention to those patients most at risk of prolonged hospitalization.
PMID- 29350656
TI - Italian version of Dyspnoea-12: cultural-linguistic validation, quantitative and
qualitative content validity study.
AB - BACKGROUND: Dyspnoea-12 is a valid and reliable scale to assess dyspneic symptom,
considering its severity, physical and emotional components. However, it is not
available in Italian version due to it was not yet translated and validated. For
this reason, the aim of this study was to develop an Italian version Dyspnoea-12,
providing a cultural and linguistic validation, supported by the quantitative and
qualitative content validity. METHODS: This was a methodological study, divided
into two phases: phase one is related to the cultural and linguistic validation,
phase two is related to test the quantitative and qualitative content validity.
Linguistic validation followed a standardized translation process. Quantitative
content validity was assessed computing content validity ratio (CVR) and index (I
CVIs and S-CVI) from expert panellists response. Qualitative content validity was
assessed by the narrative analysis on the answers of three open-ended questions
to the expert panellists, aimed to investigate the clarity and the pertinence of
the Italian items. RESULTS: The translation process found a good agreement in
considering clear the items in both the six involved bilingual expert translators
and among the ten voluntary involved patients. CVR, I-CVIs and S-CVI were
satisfactory for all the translated items. CONCLUSIONS: This study has
represented a pivotal step to use Dyspnoea-12 amongst Italian patients. Future
researches are needed to deeply investigate the Italian version of Dyspnoea-12
construct validity and its reliability, and to describe how dyspnoea components
(i.e. physical and emotional) impact the life of patients with cardiorespiratory
diseases.
PMID- 29350657
TI - An ICET- A survey on Hypoparathyroidism in Patients with Thalassaemia Major and
Intermedia: A preliminary report.
AB - Hypoparathyroidism (HPT) is a rare disease with leading symptoms of hypocalcemia,
associated with high serum phosphorus levels and absent or inappropriately low
levels of parathyroid hormone (PTH). In patients with thalassemias it is mainly
attributed to transfusional iron overload, and suboptimal iron chelation therapy.
The main objectives of this survey were to provide data on the prevalence,
demographic and clinical features of HPT in thalassemia major (TM) and intermedia
(TI) patients living in different countries, and to assess its impact in clinical
medical practice. A questionnaire was sent to all Thalassemia Centres
participating to the International Network of Clinicians for Endocrinopathies in
Thalassemia and Adolescence Medicine (ICET-A) Network.Seventeen centers, treating
a total of 3023 TM and 739 TI patients, participated to the study. HPT was
reported in 206 (6.8%) TM patients and 33 (4.4%) TI patients. In general, ages
ranged from 10.5 to 57 years for the TM group and from 20 to 54 years for the TI
group. Of the 206 TM patients and 33 TI patients with HPT, 117 (48.9%) had a
serum ferritin level >2.500 ng/ml (54.3% TM and 15.1% TI patients) at the last
observation. Hypocalcemia varied in its clinical presentation from an
asymptomatic biochemical abnormality to a life-threatening condition, requiring
hospitalization. Calcium and vitamin D metabolites are currently the cornerstone
of therapy in HPT. In TM patients, HPT was preceded or followed by other
endocrine and non-endocrine complications. Growth retardation and hypogonadism
were the most common complications (53.3% and 67.4%, respectively). Although
endocrine complications were more common in patients with TM, non-transfused or
infrequently transfused patients with TI suffered a similar spectrum of
complications but at a lower rate than their regularly transfused counterparts.In
conclusion, although a large international registry would help to better define
the prevalence, comorbidities and best treatment of HPT, through the result of
this survey we hope to give a clearer understanding of the burden of this disease
and its unmet needs. HPT requires lifelong therapy with vitamin D or metabolites
and is often associated with complications and comorbidities.Therefore, it is
important for endocrinologists and other physicians, who care for these patients,
to be aware of recent advances of this disorder.
PMID- 29350658
TI - Experience of Oesophageal atresia management in a tertiary Bangladeshi hospital.
AB - OBJECTIVES: Background and aim: This study has been conducted to determine the
clinical presentations and surgical outcome of patients with OA admitted in a
tertiary level hospital in Bangladesh. METHODS: A prospective analysis was
conducted for 32 patients with confirmed OA between July 2007 and June 2015. Of
them surgical correction was done in 25 cases. The other 7, in whom surgery could
not be done, were excluded from the study. RESULTS: Of the 25 cases, that had
been operated, 15 were full term and 52% were more than 48 hours old. Type-C OA
with TOF was the commonest type (96%). 24 cases were operated through Right
Thoracotomy. Of them 52% were done by Single Lung (left) ventilation. 'Division
of the fistula' with 'end to end anastomosis' was done in all of them. Only 1
patient had a Gastric pull up surgery. Of the 25 cases, 16 survived (64%). In
follow up all the patients were found thriving and showed no problem in
swallowing. CONCLUSION: Our study which shows 64% survival is a great achievement
for us but not satisfactory in international standard. However, the experience
and encouragement that we are gathering, will guide us to achieve better result
in near future.
PMID- 29350659
TI - Thiopental is better than propofol for electroconvulsive therapy.
AB - BACKGROUND AND AIM OF THE WORK: electroconvulsive therapy is a psychiatric
procedure requiring general anesthesia. The choice of the hypnotic agent is
important because the success of the intervention is associated to the occurrence
and duration of motor convulsion. However, all available anesthetic agents have
anti-convulsant activity. We compared the effect of thiopental and propofol on
seizures. METHODS: We designed a retrospective study at Mood Disorders Unit of a
teaching Hospital. Fifty-six consecutive patients undergoing electroconvulsive
therapy were enrolled. Patients received fentanyl followed by either thiopental
or propofol. We evaluated the incidence and the duration of seizure after
electric stimulus at the first session of electroconvulsive therapy for each
patient. Adverse perioperative effects were recorded. RESULTS: Patients were 60+/
12.1 years old and 64% was female. There was a statistically significant higher
number of patients who had motor convulsion activity in the thiopental group when
compared to the propofol group (25 vs 13, p=0.023). Seizure duration was
statistically significant longer in the thiopental group than in the propofol
group (35 sec vs 11 sec, p=0.046). No hemodynamic instability, oxygen
desaturation episodes, prolonged recovery time from anesthesia and adverse
effects related to anesthesia were recorded. CONCLUSIONS: Thiopental induction
has a favourable effect on seizure when compared to propofol in patients
undergoing electroconvulsive therapy.
PMID- 29350660
TI - Rest 2D speckle tracking echocardiography may be a sensitive but nonspecific test
for detection of significant coronary artery disease.
AB - AIMS: To detect the significance of coronary artery disease in the patients, who
are suspected, was assumed to be one of the advantages of 2D STE, as a novel non
invasive imaging modality with acceptable accuracy. METHODS: In this study, 216
patients who were suspected to have coronary artery disease and candidate for
coronary angiography, underwent rest two-dimensional speckle tracking
echocardiography (2D STE) and negative global systolic longitudinal strain was
evaluated. RESULTS: Negative global systolic left ventricular longitudinal strain
under 18% in rest 2D-STE was significantly prevalent in patients with significant
CAD in coronary angiography (P value<0.0001).Our results revealed sensitivity of
91.1%, specificity of 63.0%, positive predictive value of 80.4%, negative
predictive value of 81.0% and accuracy of 80.5% for rest 2D-STE in detection of
significant CAD. CONCLUSION: Here in we showed that rest Two-dimensional speckle
tracking echocardiography could be a sensitive but nonspecific imaging modality
to determine significant coronary artery disease. Future studies with large size
will reveal more detailed findings.
PMID- 29350661
TI - Retrospective analysis of the effects of a highly standardized mixture of
Berberis aristata, Silybum marianum, and monacolins K and KA in diabetic patients
with dyslipidemia.
AB - BACKGROUND: Berberine, an alkaloid with both glucose- and cholesterol-lowering
action, is also characterized by an anti-diarrheal effect. Consequently,
berberine-based therapies are recommended for diabetic patients with irritable
bowel syndrome (IBS) or gut discomfort caused by metformin. AIM: As the anti
glycemic and cholesterol-lowering action of berberine is improved by co
administration with P-glycoprotein inhibitors and naturally derived statins, we
have analyzed the effect of the food supplement Berberol(r)K (hereafter referred
to as BSM) containing, berberine, silymarin, and a highly standardized red yeast
rice containing monacolins K and KA in the ratio 1:1 but no secondary monacolins,
dehydromonacolins, or citrinin (MonakopureTM-K20). METHODS: We retrospectively
evaluated the effects of BSM in 59 diabetic patients with dyslipidemia and
compared the results to those obtained in patients without treatment. Enrolled
subjects had a diagnosis of IBS (and diarrhea), had diarrhea caused by metformin,
or were statin intolerant. RESULTS: After 6 months of BSM treatment, significant
reductions of approximately 5%, 23%, 31%, and 20% were observed in glycated
hemoglobin (HbA1c), total cholesterol (TC), low density lipoprotein-cholesterol
(LDL), and triglyceride (TG) levels, respectively, and only five of the 31
treated subjects reported diarrhea compared with 22 of the 28 untreated patients.
Regarding safety, treatment with BSM did not significant modify creatine
phosphokinase (CPK), creatine, aspartate aminotransferase (AST) or alanine
aminotransferase (ALT). CONCLUSION: BSM is a safe and effective food supplement
likely useful as add-on therapy in diabetic subjects with dyslipidemia,
especially if they are statin intolerant or with diarrhea caused by IBS or
metformin.
PMID- 29350662
TI - High protein intake in human/maternal milk fortification for <=1250 gr infants:
intrahospital growth and neurodevelopmental outcome at two years.
AB - BACKGROUND AND AIM OF THE STUDY: Extrauterine growth restriction and failure to
thrive remain a major problem in Extremely Low Birth Weight infants. Nutritional
support in preterm babies has the objective to improve the achieve rate of growth
similar to those of the fetus in utero at the equivalent gestational age. The aim
of the study was to evaluate feeding tolerance, intrahospital growth,
neurological outcome and anthropometric data until 24 months of corrected age
(mca) from different protein intake assumed by preterm babies <1250 g during
their stay in NICU. METHODS: The study evaluates auxological/neurodevelopmental
outcomes until 24 months of corrected age (mca) in preterm infants with different
protein intake (control group-CG: 3,5g Kg?1 perday; intervention group-PSG: 4,8g
Kg?1 per day). RESULTS: PSG group showed a significant higher length growth at 9
mca (p 0,04) and hearing/language score of Griffiths Mental Development Score
(GMDS) at 12 (p 0,03) and 18 mca (p<0,05) comparing with CG. PSG-ELBW preterms
showed an higher intrahospital head circumference (p 0,02) and length growth rate
(p 0,04), greater Performance (p 0,04) and Hearing/Language (p 0,03) scores of
GMDS at 3 and 12 mca. PSG-SGA preterms showed significantly higher scores in GMDS
scores at 18 and 24 mca except for the locomotor domain. CONCLUSIONS:
Supplemental enteral proteins lead to benefits of reduced postnatal growth
restriction and better neurological outcome in preterm infants <1000 g and in
those SGA <1250 g.
PMID- 29350663
TI - Effectiveness of a tailored medical support to overcome the barriers to
education, treatment and good metabolic control in children with type-1 diabetes
from ethnic minorities.
AB - AIM: To analyze the effectiveness of a tailored medical support to help children
from ethnic minorities to achieve the same good metabolic control of
autochthonous peers with type-1 diabetes (T1D). METHODS: Children <10 years of
age belonging to ethnic minority (EM) families (Group 1) were compared with
autochthonous peers (Group 2) who received the diagnosis of T1D in 2014-2016. The
Protocol for minorities included other than the standard protocol: booklets
translated in ethnic minority languages; weekly visits at home or at school;
family-guides; clinic visits supported by professional interpreters. After twelve
months of this approach, parents of ethnic minority children answered a short
questionnaire concerning satisfaction about educational tools for diabetes
management. RESULTS: From 1st January 2014 to December 31st 2016, 72 children
received the diagnosis of T1D at the University Children Hospital of Parma,
Italy. Nineteen children belonged to an EM family (26.38%), and were included in
the Group 1. Twenty-one autochthonous peers were randomly recruited for the Group
2. T1D was diagnosed at the same mean age in Group 1 (5.2+/-2.2) and in Group 2
patients (5.7+/-2.4). Metabolic derangements at diagnosis were more severe in
Group 1 than in Group 2 patients. However, patients of both Groups showed a
similar decrease in HbA1c levels during the first 3 and 6 months post diagnosis.
Patients did not differ in mean insulin doses at discharge and at follow up. The
calls to the emergency toll-free telephone number were more numerous from the
parents from Group 1 than from the parents of Group 2. Total cost to implement
the tailored protocol in Group 1 was higher of 87% compared with the standard
protocol used for Group 2 patients. Great majority of parents reported to be
satisfied with the provided diabetes education program. CONCLUSIONS: The results
of this study suggested that children from EM families can achieve the same good
metabolic control of autochthonous peers with T1D, providing a cost-effective
tailored support to their family members.
PMID- 29350664
TI - Synovial cysts of the hip.
AB - BACKGROUND: Synovial cysts of the hip are relatively rare lesions comparing to
other joints. Patients are usually asymptomatic, but in some cases symptoms such
as pain and/or compression of vessels or nerve could be present. Purpose of the
study was to define clinical features and optimal management of synovial cyst of
the hip joint through an accurate review of the literature. METHODS: We present
three consecutive cases treated with three different therapeutic strategies:
surgical excision, wait-and-see and needle aspiration. An accurate review of the
literature has been performed to identify patients who had been treated for
synovial cyst of the hip. RESULTS: Due to the rarity of the disease, there are no
significant data in literature supporting the gold standard of treatment.
Treatment of the synovial cyst depends on their size, symptoms and comorbidities.
CONCLUSIONS: Most of the Authors recommend surgical treatment for symptomatic
synovial cysts and needle aspiration as an option treatment in asymptomatic
patients without vessel or nerve compression. In patients that referred symptoms
in correspondence with the hip joint, not strictly related with radiograph
findings, a CT or MRI examinations should be performed to exclude possible
differential diagnosis.
PMID- 29350665
TI - Laparoscopic gastric bypass with remnant gastrectomy in a super-super obese
patient with gastric metaplasia: a surgical hazard?
AB - The endoscopic inaccessibility of the gastric remnant after Roux-en-Y gastric
bypass (RYGBP) for morbid obesity represents an important issue for patients with
familiar history of gastric cancer (GC) or affected by premalignant lesions, such
as intestinal metaplasia. If a different bariatric procedure is contraindicated,
RYGBP with remnant gastrectomy represents a reasonable alternative, significantly
reducing the risk of GC but potentially increasing postoperative morbidity. For
this reason, only few cases have been reported in the recent Literature and none
regarding a super-super obese patient. We present the case of a 55-year-old super
super obese man with a family history of GC and antral gastritis with extensive
intestinal metaplasia at preoperative upper endoscopy, who underwent laparoscopic
RYGBP with remnant gastrectomy.
PMID- 29350666
TI - Melanoma in situ mimicking a Lichen planus-like keratosis.
AB - The incidence of melanoma has steadily increased over the past three decades.
Melanoma in situ (MIS), defined as melanoma that is limited to the epidermis,
contributes to a disproportionately high percentage of this rising incidence.
Amelanotic melanoma presents as an erythematous macule or plaque and may
initially be misdiagnosed as an inflammatory disorder. We report a case of
amelonatic MIS raised on non-sun-exposed skin, inducing a lichen planus-like
keratosis as inflammatory reaction, which clinically masked the melanoma.
PMID- 29350667
TI - The Schmidt Syndrome.
AB - Addison's disease (AD) is a rare endocrine condition related to adrenal
insufficiency. Autoimmune adrenalitis is commonly associated with autoimmune
diseases. Autoimmune Addison's Disease (AAD) describes Autoimmune Polyendocrine
Syndrome (APS) in 60% of patients with an important immunitary pathogenesis
imprinting. We describes a case of Autoimmune Polyendocrine Syndrome
charachterize by adrenal insufficiency and thyroid disease (Schmidt Syndrome). In
this case report, Addison's disease had a slow onset in absence of the typical
weight loss. In our considerations this is due to the concomitant hypothyroidism
that masked some typical signs and also limited acute presentation.
PMID- 29350668
TI - Concealed lung anatomy in Botticelli's masterpieces the primavera and the birth
of venus.
AB - Sandro Botticelli was one of the most esteemed painters and draughtsmen among
Renaissance artists. Under the patronage of the De' Medici family, he was active
in Florence during the flourishing of the Renaissance trend towards the
reclamation of lost medical and anatomical knowledge of ancient times through the
dissection of corpses. Combining the typical attributes of the elegant courtly
style with hallmarks derived from the investigation and analysis of classical
templates, he left us immortal masterpieces, the excellence of which
incomprehensibly waned and was rediscovered only in the 1890s. Few know that it
has already been reported that Botticelli concealed the image of a pair of lungs
in his masterpiece, The Primavera. The present investigation provides evidence
that Botticelli embedded anatomic imagery of the lung in another of his major
paintings, namely, The Birth of Venus. Both canvases were most probably
influenced and enlightened by the neoplatonic philosophy of the humanist
teachings in the De' Medici's circle, and they represent an allegorical
celebration of the cycle of life originally generated by the Divine Wind or
Breath. This paper supports the theory that because of the anatomical knowledge
to which he was exposed, Botticelli aimed to enhance the iconographical meaning
of both the masterpieces by concealing images of the lung anatomy within them.
PMID- 29350669
TI - An Osteological Museum inside the Varese Medical School? A proposal from the
Medical, Antropological and Paleopatological point of view.
AB - Not available.
PMID- 29350670
TI - Medical Humanities. Recognition and reorganization within the Italian University.
AB - Not available.
PMID- 29350671
TI - Visits for alcohol-related problems in a large urban Emergency Department.
Results of a 15-year survey.
AB - Alcohol abuse is associated with a high burden of morbidity and mortality.
Nevertheless, definitive epidemiological data on alcohol-related visits in the
emergency department (ED) is substantially lacking. This study was aimed to
analyze the epidemiological patterns and temporal trends of alcohol-related
visits in the local ED, and assessing the healthcare burden of cases needing
hospital admission. All patients visited for alcohol-related problems between the
years 2002-2016 were anonymously identified from the hospital database. All cases
were classified according to the main cause leading to ED, age and gender, time
of presentation, disposition. Overall, 8014 cases were identified (2249 women and
5765 men). Women were younger than men. The overall trend during the study period
was characterized by a constant increase in the number of alcohol-related ED
admissions. A significant number of subjects had multiple alcohol-related visits.
A total number of 747 patients were visited twice, 259 three times and 107 four
times. A mostly nocturnal pattern of ED presentation was observed in both
genders, peaking between midnight and 2 AM, and an increased number of visits was
recorded during the weekends. The vast majority of patients (64%) could be
discharged within 6 hours, but a considerable number needed longer observation
and treatment in the ED. Overall, 7551 patients were discharged from the ED,
whilst 462 patients needed hospital admission, 179 for traumatic injuries and 283
for non-traumatic causes. The decreasing age of subjects admitted to the ED with
alcohol-related problems should now be regarded as a public healthcare issue.
PMID- 29350672
TI - Rebounds after discharge from the emergency department for community-acquired
pneumonia: focus on the usefulness of severity scoring systems.
AB - BACKGROUND: Community-acquired pneumonia (CAP) is common cause of hospital
admission and leading cause of morbidity and mortality. Severity scoring systems
are used to predict risk profile, outcome and mortality, and to help decisions
about management strategies. Aim of the work and Methods: To critically analyze
pneumonia "rebound" cases, once discharged from the emergency department (ED) and
afterwards admitted. We conducted an observational clinical study in the acute
setting of a university teaching hospital, prospectively analyzing, in a 1 year
period, demographic, medical, clinical and laboratory data, and the outcome.
RESULTS: 249 patients were discharged home with diagnosis of CAP; 80 cases
(32.1%) resulted in the high-intermediate risk class according to CURB-65 or CRB
65. Twelve patients (4.8%) presented to the ED twice and were then admitted. At
their first visit 5 were in the high-intermediate risk group; just 4 of them were
in the non-low risk group at the time of their admission. The rebound cohort
showed some peculiar abnormalities in laboratory parameters (coagulation and
renal function) and severe chest X-rays characteristics. None died in 30 days.
CONCLUSIONS: The power of CURB-65 to correctly predict mortality for CAP patients
discharged home from the ED is not confirmed by our results; careful clinical
judgement seems to be irreplaceable in the management process. Many patients with
a high-intermediate risk according to CURB-65 can be safely treated as
outpatients, according to adequate welfare conditions; we identified a subgroup
of cases that should worth a special attention and, therefore, a brief
observation period in the ED before the final decision to safely discharge or
admit.
PMID- 29350673
TI - Glyphosate ingestion causing multiple organ failure: a near-fatal case report.
AB - A 55 years old man self-presented to our Emergency Department (ED) reporting an
attempted suicide by cutting the left forearm veins and ingesting approximately
200 mL of an herbicide (Myrtos(r), containing 36% of glyphosate as isopropylamine
salt). Laboratory tests showed metabolic acidosis. Hydration with normal saline
and alkalinization with sodium bicarbonate was started according to suggestion of
the poison control center, since an antidote was unavailable. Cardiorespiratory
condition gradually worsened, so that non-invasive positive pressure ventilation
(NIPPV) was applied and infusion of fluids was established. Nevertheless, the
patient deteriorated and he needed to be transferred to the Intensive Care Unit
(ICU), where he underwent orotracheal intubation and invasive mechanical
ventilation. Noradrenaline and adrenaline were infused and fluid resuscitation
with crystalloids was incremented. An esophagogastroduodenoscopy (EGD) showed
diffuse mucosal erosions of upper digestive tract. No signs of visceral
perforation were found during ICU stay. In the following days, the clinical
conditions improved and a new EGD showed marked improvement of erosive lesions.
After 12 days of ICU stay, the patient was extubated and then transferred to the
Psychiatric Unit, in good clinical conditions. Gliphosate ingestion is associated
with rapid development of multiple organ failure (MOF). Since an effective
antidote is unavailable, major attention should be placed to aggressive life
support care and careful monitoring of complications.
PMID- 29350674
TI - Takotsubo cardiomyopathy in an ultra-centenarian woman.
AB - A 101 years-old woman was admitted to our Emergency Department (ED) for acute
dyspnea which onset nearly two hours before presentation. She had been on
hydrochlorothyazyde-amiloride therapy due to mild hypertension. No other relevant
features were present in the clinical history. The old lady had never been
admitted to the hospital, and she was still living alone. A few days before
hospital admission, one of the daughters became ill, so that a caregiver was paid
for assisting her 12/24. This new circumstance was reluctantly accepted by the
old lady. At ED presentation the patient was dyspnoic but alert. The
electrocardiogram showed a marked elevation of the ST segment in V2-V6 leads. The
echocardiogram showed the typical apical ballooning, characteristic of takotsubo
cardiomyopathy. Blood test only showed a significant increase of cardiac troponin
I. Considering the very good conditions of the patient, a coronary angiography
was performed, that demonstrated a coronary tree totally free of lesions, thus
confirming the clinical suspicion of takotsubo syndrome. The patient was admitted
to the Coronary Care Unit, where she had a very good clinical course, and was
discharged on day 6th after presentation. After one month of follow-up the
clinical course was uneventful and the lady remained in good clinical and
lifestyle conditions as before presenting to the ED. This unique case attests
that takotsubo cardiomyopathy can be also observed at extreme ages, and should
hence be considered in the differential diagnosis of acute dyspnea and chest pain
in extremely elderly patients.
PMID- 29350675
TI - Cerebellar metastasis with the cavity of both components of lung adenosquamous
cell carcinoma.
PMID- 29350676
TI - An increase in high-density lipoprotein cholesterol concentration after
initiation of insulin treatment is dose-dependent in newly diagnosed type 1
diabetes. The results of the InLipoDiab1 study.
PMID- 29350678
TI - PIK3R3 regulates PPARalpha expression to stimulate fatty acid beta-oxidation and
decrease hepatosteatosis.
AB - Phosphatidylinositol 3-kinase (PI3K) signaling plays an important role in the
regulation of cellular lipid metabolism and non-alcoholic fatty liver disease
(NAFLD). However, little is known about the role of the regulatory subunits of
PI3K in lipid metabolism and NAFLD. In this study, we characterized the
functional role of PIK3R3 in fasting-induced hepatic lipid metabolism. In this
study, we showed that the overexpression of PIK3R3 promoted hepatic fatty acid
oxidation via PIK3R3-induced expression of PPARalpha, thus improving the fatty
liver phenotype in high-fat diet (HFD)-induced mice. By contrast, hepatic PIK3R3
knockout in normal mice led to increased hepatic TG levels. Our study also showed
that PIK3R3-induced expression of PPARalpha was dependent on HNF4alpha. The novel
PIK3R3-HNF4alpha-PPARalpha signaling axis plays a significant role in hepatic
lipid metabolism. As the activation of PIK3R3 decreased hepatosteatosis, PIK3R3
can be considered a promising novel target for developing NAFLD and metabolic
syndrome therapies.
PMID- 29350677
TI - Critical effects of long non-coding RNA on fibrosis diseases.
AB - The expression or dysfunction of long non-coding RNAs (lncRNAs) is closely
related to various hereditary diseases, autoimmune diseases, metabolic diseases
and tumors. LncRNAs were also recently recognized as functional regulators of
fibrosis, which is a secondary process in many of these diseases and a primary
pathology in fibrosis diseases. We review the latest findings on lncRNAs in
fibrosis diseases of the liver, myocardium, kidney, lung and peritoneum. We also
discuss the potential of disease-related lncRNAs as therapeutic targets for the
clinical treatment of human fibrosis diseases.
PMID- 29350679
TI - Proangiogenic functions of an RGD-SLAY-containing osteopontin icosamer peptide in
HUVECs and in the postischemic brain.
AB - Osteopontin (OPN) is a phosphorylated glycoprotein secreted into body fluids by
various cell types. OPN contains arginine-glycine-aspartate (RGD) and serine
leucine-alanine-tyrosine (SLAY) motifs that bind to several integrins and mediate
a wide range of cellular processes. In the present study, the proangiogenic
effects of a 20-amino-acid OPN peptide (OPNpt20) containing RGD and SLAY motifs
were examined in human umbilical vein endothelial cells (HUVECs) and in a rat
focal cerebral ischemia model. OPNpt20 exerted robust proangiogenic effects in
HUVECs by promoting proliferation, migration and tube formation. These effects
were significantly reduced in OPNpt20-RAA (RGD->RAA)-treated cells, but only
slightly reduced in OPNpt20-SLAA (SLAY->SLAA)-treated cells. Interestingly, a
mutant peptide without both motifs failed to induce these proangiogenic
processes, indicating that the RGD motif is crucial and that SLAY also has a
role. In OPNpt20-treated HUVEC cultures, AKT and ERK signaling pathways were
activated, but activation of these pathways and tube formation were suppressed by
anti-alphavbeta3 antibody, indicating that OPNpt20 stimulates angiogenesis via
the alphavbeta3-integrin/AKT and ERK pathways. The proangiogenic function of
OPNpt20 was further confirmed in a rat middle cerebral artery occlusion model.
Total vessel length and vessel densities were markedly greater in OPNpt20-treated
ischemic brains, accompanied by induction of proangiogenic markers. Together,
these results demonstrate that the 20-amino-acid OPN peptide containing RGD and
SLAY motifs exerts proangiogenic effects, wherein both motifs have important
roles, and these effects appear to contribute to the neuroprotective effects of
this peptide in the postischemic brain.
PMID- 29350680
TI - Effects of microRNA-135a on the epithelial-mesenchymal transition, migration and
invasion of bladder cancer cells by targeting GSK3beta through the Wnt/beta
catenin signaling pathway.
AB - This study investigated the effects of microRNA-135a (miR-135a) targeting of
glycogen synthase kinase 3beta (GSK3beta) on the epithelial-mesenchymal
transition (EMT), migration and invasion of bladder cancer (BC) cells by
mediating the Wnt/beta-catenin signaling pathway. BC and adjacent normal tissues
were collected from 165 BC patients. Western blotting and quantitative real-time
PCR were used to detect the expression of GSK3beta, beta-catenin, cyclinD1, E
cadherin, vimentin and miR-135a in BC tissues and cells. Cells were assigned to
blank, negative control (NC), miR-135a mimics, miR-135a inhibitors, small
interfering RNA (siRNA)-GSK3beta or miR-135a inhibitors+siRNA-GSK3beta groups.
miR-135a, beta-catenin, cyclinD1 and vimentin expression increased, while
GSK3beta and E-cadherin expression decreased in BC tissues compared with adjacent
normal tissues. Compared with the blank and NC groups, the expression of miR
135a, beta-catenin, cyclinD1 and vimentin was higher, and cell proliferation,
migration, invasion and tumor growth were increased in the miR-135a mimics and
siRNA-GSK3beta groups. These groups showed an opposite trend in GSK3beta and E
cadherin expression and cell apoptosis. The miR-135a inhibitors group was
inversely correlated with the blank and NC groups. It was concluded that miR-135a
accelerates the EMT, invasion and migration of BC cells by activating the
Wnt/beta-catenin signaling pathway through the downregulation of GSK3beta
expression.
PMID- 29350681
TI - AAV-9 mediated phosphatase-1 inhibitor-1 overexpression improves cardiac
contractility in unchallenged mice but is deleterious in pressure-overload.
AB - The downregulation of beta-adrenergic receptors (beta-AR) and decreased cAMP
dependent protein kinase activity in failing hearts results in decreased
phosphorylation and inactivation of phosphatase-inhibitor-1 (I-1), a distal
amplifier element of beta-adrenergic signaling, leading to increased protein
phosphatase 1 activity and dephosphorylation of key phosphoproteins, including
phospholamban. Downregulated and hypophosphorylated I-1 likely contributes to
beta-AR desensitization; therefore its modulation is a promising approach in
heart failure treatment. Aim of our study was to assess the effects of adeno
associated virus serotype 9 (AAV9) - mediated cardiac-specific expression of
constitutively active inhibitor-1 (I-1c) and to investigate whether I-1c is able
to attenuate the development of heart failure in mice subjected to transverse
aortic constriction (TAC). 6-8 week old C57BL/6 N wild-type mice were subjected
to banding of the transverse aorta (TAC). Two days later 2.8 * 1012 AAV-9 vector
particles harbouring I-1c cDNA under transcriptional control of a human troponin
T-promoter (AAV9/I-1c) were intravenously injected into the tail vein of these
mice (n=12). AAV9 containing a Renilla luciferase reporter (AAV9/hRluc) was used
as a control vector (n=12). Echocardiographic analyses were performed weekly to
evaluate cardiac morphology and function. 4 weeks after TAC pressure- volume
measurements were performed and animals were sacrificed for histological and
molecular analyses. Both groups exhibited progressive contractile dysfunction and
myocardial remodeling. Surprisingly, echocardiographic assessment and
histological analyses showed significantly increased left ventricular hypertrophy
in AAV9/I-1c treated mice compared to AAV9/hRluc treated controls as well as
reduced contractility. Pressure-volume loops revealed significantly impaired
contractility after AAV9/I-1c treatment. At the molecular level, hearts of AAV9/I
1c treated TAC mice showed a hyperphosphorylation of the SR Ca2+-ATPase inhibitor
phospholamban. In contrast, expression of AAV9/I-1c in unchallenged animals
resulted in selective enhancement of phospholamban phosphorylation and augmented
cardiac contractility. Our data suggest that AAV9-mediated cardiac-specific
overexpression of I-1c, previously associated with enhanced calcium cycling,
improves cardiac contractile function in unchallenged animals but failed to
protect against cardiac remodeling induced by hemodynamic stress questioning the
use of I-1c as a potential strategy to treat heart failure in conditions with
increased afterload.
PMID- 29350682
TI - Conditional replication of oncolytic viruses based on detection of oncogenic
mRNA.
AB - Recently, split intein-based detection sensors for RNA have been developed that
can target custom sequences in a modular fashion. If multi-region, multi-sample
sequencing were to be applied to a patient's cancer, truncal (that is, earliest
occurring) mutations could be identified and could serve as targets for these
sense-and-respond modules. The next step would be to utilize an effective vector
for treatment. A hyper-virulent herpes simplex virus could possibly serve as this
vector, with concurrent immunosuppression to allow for unhindered infection of
the tumor regions. Given that, the oncogenic mutations could serve as molecular
'kindling', with exogenous small molecule-mediated enhancement of replication
after initial seeding of tumors and metastases. Then, a toxin may be induced to
destroy the cancer entirely, ideally with a bystander effect.
PMID- 29350684
TI - East of England regional retinopathy of prematurity service: lessons from the
first year.
PMID- 29350683
TI - CCAT1 stimulation of the symmetric division of NSCLC stem cells through
activation of the Wnt signalling cascade.
AB - Non-small cell lung cancer (NSCLC) is the leading cause of cancer-related
mortalities worldwide, yet this condition remains a poorly understood malignancy,
and the subgroup of cancer stem cells (CSCs) leading to therapeutic resistance
and adverse prognosis have not been well studied. CSCs frequently undergo
symmetric division, which facilitates expansion of the stem cell pool,
contributing to long-term relapse and therapy failure. CCAT1 could act as a miRNA
sponge to influence downstream genes; however, its roles in NSCLC stem cell are
unclear. We first identified activation of Wnt signalling in NSCLC. Analysis of
the clinical data from a public database showed a significant decrease of the Wnt
signalling repressor Let-7c. Using biological and informatics analyses, we
hypothesized that CCAT1 stimulated the main factors of the Wnt signalling
pathway, of which the three most deregulated genes were further confirmed by
western blotting. Axitinib, a Wnt signalling inhibitor, effectively stimulated
asymmetric division, similar to Let-7c. CCAT1 inhibition decreased the ratio of
symmetric division of stem cells, and both Let-7c and Axitinib significantly
abolished CCAT1 induction of symmetric division by inhibiting Wnt signalling.
Restoration of Let-7c blocked the CCAT1 effects, forming the CCAT1/Let-7c/Wnt
regulatory axis to control the division of lung cancer stem cells. Stimulation of
stem cells to divide asymmetrically by delivering Let-7c or suppressive Axitinib
could represent prospective strategies for curing lung cancer patients.
PMID- 29350685
TI - Re-orchestration of blood flow by micro-circulations.
AB - Haemoglobin Video Imaging (HVI) demonstrates conjunctival and episcleral blood
flow in man with the resolution of a single erythrocyte. A new method for
establishing vessel hierarchy in micro-circulations is described, which
recognises either delivery or drainage vessels and references vessel order to the
capillary. These tools have been used to characterise blood flow.Anterior ciliary
arteries show pulsatile variation in diameter. The episcleral arterial circle
that they supply has functional apices with pulsatile flow reversal. Perfusion
fields overlap: a single delivery vessel may project to many drainage vessels and
vice-versa. Some vascular pathways remained inactive throughout a 1 min
angiogram.Small conjunctival delivery vessels have laminar flow, but advancing
luminal constrictions are often observed within the blood column. Laminar flow is
lost in low-order drainage vessels where erythrocytes aggregate, but quickly
recovers, new striae being added to the blood column at each confluence. Aqueous
forms a discrete column, which centralises in episcleral drainage vessels.There
is strong evidence that the luminal constrictions in small delivery vessels
propel blood by peristalsis: they form spontaneously, remote from bifurcations; a
single vessel may have multiple constrictions; they truly narrow the lumen,
rarely contributing volume to post-capillary venules; they can proceed faster
than the vessel contents; they never enter the drainage system; the trailing
edges of erythrocyte boluses usually taper. They are rhythmically aligned with
cardiac systole.While blood is transported to the periphery by the heart, it is
actively transferred through tissues by peristalsis in small delivery vessels.
PMID- 29350686
TI - Fuchs endothelial corneal dystrophy and macular drusen: evidence for coincidence?
PMID- 29350687
TI - Intraocular currents, Bernoulli's principle and non-drainage scleral buckling for
rhegmatogenous retinal detachment.
AB - For many years, it is not fully understood how non-drainage scleral buckling
surgery brings about spontaneous reattachment of the detached retina when retinal
breaks remain open at the end of surgery. Various explanations have been put
forward, but none more interesting than the effect of fluid currents associated
with eye movements. One such explanation involved the physics of the Bernoulli's
principle. Daniel Bernoulli was an eighteenth century Swiss mathematician and he
described an equation based on the conservation of energy. The sum of pressure
energy, potential energy and kinetic energy remains constant. Bernoulli's
equation usually applies to closed system such as the flow of fluid through
pipes. When fluid flows through a constriction, the speed of fluid increases, the
kinetic energy increases. If there was no change in elevation (potential energy),
then the increase in kinetic energy must be accompanied by a decrease in pressure
energy. In ophthalmic surgery, the Bernoulli's effect is the basis for venturi
pumps that drive vitrectomy and phacoemulsification machines. This essay expounds
on how Bernoulli's effect might be relevant to scleral buckling for retinal
detachment repair. In the era when vitrectomy is increasing the primary surgical
operation for retinal detachment, the pervasive advice is to emphasise the
importance of patient adopting head posture and remaining still postoperatively.
The exception is non-drainage scleral buckling surgery. Early postoperative
mobilisation may be vital to achieve reattachment.
PMID- 29350688
TI - Laws of physics help explain capillary non-perfusion in diabetic retinopathy.
AB - The purpose is to use laws of physics to elucidate the mechanisms behind
capillary non-perfusion in diabetic retinopathy. In diabetic retinopathy, loss of
pericytes weakens capillary walls and the vessel dilates. A dilated capillary has
reduced resistance to flow, therefore increased flow in that vessel and decreased
in adjoining capillaries. A preferential shunt vessel is thus formed from the
dilated capillary and the adjacent capillaries become non-perfused. We apply the
laws of Laplace and Hagen-Poiseuille to better understand the phenomena that lead
to capillary non-perfusion. These laws of physics can give a foundation for
physical or mathematical models to further elucidate this field of study. The law
of Laplace predicts that a weaker vessel wall will dilate, assuming constant
transmural pressure. The Hagen-Poiseuille equation for flow and the Ostwald-de
Waele relationship for viscosity predict that a dilated vessel will receive a
higher portion of the fluid flow than the adjoining capillaries. Viscosity will
decrease in the dilated vessel, furthering the imbalance and resulting in a patch
of non-perfused capillaries next to the dilated 'preferential' shunt vessel.
Physical principles support or inspire novel hypotheses to explain poorly
understood phenomena in ophthalmology. This thesis of pericyte death and
capillary remodelling, which was first proposed by Cogan and Kuwabara, already
agrees with histological and angiographical observations in diabetic retinopathy.
We have shown that it is also supported by classical laws of physics.
PMID- 29350689
TI - Safety of intravitreal injection of ranibizumab in early pregnancy: a series of
three cases.
AB - PurposeRanibizumab is used in the treatment of choroidal neovascularization
(CNV). Although systemic exposure to ranibizumab is low after ocular
administration, its mechanism of action must be regarded as potentially
teratogenic and embryo-fetotoxic. Women are advised to wait 3 months after the
last dose of treatment with ranibizumab before conceiving. Little is known about
the fetal side-effects of this drug.MethodsThree pregnant women were treated with
ranibizumab. One patient had idiopathic CNV.ResultsAfter receiving injections at
10 and 21 weeks after her last menstrual period (LMP), she gave birth to a
healthy child. The second patient had myopic choroidal neovascularization (mCNV)
and was treated by a single injection at 17 weeks post LMP. She gave birth to a
healthy child after an uneventful pregnancy. The third patient had CNV secondary
to a punctuate inner choroiditis. The injection was performed at 8 weeks post
LMP. This patient presented a cholestasis of pregnancy at 36 weeks post LMP and
gave birth at 38 weeks post LMP to a child that did not present any
malformations.ConclusionsThis case series describes three women who underwent
intravitreal ranibizumab treatment during pregnancy without showing any
obstetric, embryofetal or neonatal complications.
PMID- 29350690
TI - Fluidics and rheology in phaco surgery: what matters and what is the hype?
AB - Rheology can be defined as the study of materials flow behaviour under applied
deformation forces (stresses). Inside the eye during cataract surgery, transient
or reversible deformation (elasticity) and permanent or irreversible deformation
(flow) can both apply. Although the fluidics of modern phaco machines is an
important part of this talk, there are a number of issues relating to flow and
fluids in the eye during cataract surgery, which are unrelated to the machine,
which can hinder or help the operating surgeon in removing a cataract. In
addition, coping with the numerous complications, which can occur in the eye at
the time of surgery, relies on an understanding of how fluidics and rheology can
help to control the pressurised eye and bring the surgery to a successful
conclusion.
PMID- 29350691
TI - A novel mutation (LEU396ARG) in OPA1 is associated with a severe phenotype in a
large dominant optic atrophy pedigree.
PMID- 29350692
TI - Control and optimisation of fluid flow in glaucoma drainage device surgery.
AB - Avoidance of hypotony in the early post-operative phase following glaucoma
drainage device surgery is paramount, if serious complications are to be avoided.
Current strategies of preventing early hypotony are either a valve mechanism, as
those found in Ahmed Glaucoma Valve or flow restrictor as used in Baerveldt or
Molteno implants. The Ahmed glaucoma valve has a pre-tensioned valve which opens
and closes at the pre-determined level of intra-ocular pressure. Although the
opening and closing pressure can be slightly inconsistent, coinciding with the
change of material used in the plate construction, the reliability appears to
have improved in recent years. Flow restrictor method, such as vicryl tie and
Supramid suture stenting, used in non-valved implants utilised the Poissuille's
equation in predicting the pressure gradient across the tube and thereby avoiding
the risk of hypotony. Newer generations of glaucoma drainage devices such as Xen,
Microshunt, and eyeWatch all use the same principle. However, most of these do
not address the issue of unnecessary residual flow resistance once the bleb
resistance has formed around the drainage tube or plate except for the EyeWatch
device.
PMID- 29350693
TI - Colorectal Cancer: A Collaborative Approach to Improve Education and Screening in
a Rural Population?.
AB - BACKGROUND: Colorectal cancer (CRC) is the third most commonly diagnosed cancer
and second leading cause of cancer death for men and women in the United States.
Although early detection and diagnosis greatly affect survival rates, only about
half of the U.S. population participates in screening.?. OBJECTIVES: The purpose
of this project was to implement community-based CRC education and screening.
Outcomes included CRC knowledge, CRC screening kit return rate, and rate of
positive screening results. ?. METHODS: Partnering with a community hospital, CRC
educational sessions and free screening opportunities were provided for 193 local
city government employees. CRC knowledge was assessed before and after education
with the Knowledge Assessment Survey. A paired t test indicated significant
improvement in mean CRC knowledge.?. FINDINGS: More than half of the participants
elected to take home fecal immunochemical test kits. Of the 29 participants who
submitted their screening kits for evaluation, eight had positive results and
received referral recommendations. All participants were notified of their
screening results. The community-based CRC project was effective in improving CRC
knowledge and screening participation.
PMID- 29350694
TI - Collaborative Practice Model: Improving the Delivery of Bad News.
AB - Ideal bad news delivery requires skilled communication and team support. The
literature has primarily focused on patient preferences, impact on care
decisions, healthcare roles, and communication styles, without addressing
systematic implementation. This article describes how an interdisciplinary team,
led by advanced practice nurses, developed and implemented a collaborative
practice model to deliver bad news on a unit that had struggled with
inconsistencies. Using evidence-based practices, the authors explored current
processes, role perceptions and expectations, and perceived barriers to
developing the model, which is now the standard of care and an example of
interprofessional team collaboration across the healthcare system. This model for
delivering bad news can be easily adapted to meet the needs of other clinical
units.?.
PMID- 29350695
TI - Prechemotherapy Education: Reducing Patient Anxiety Through Nurse-Led Teaching
Sessions?.
AB - BACKGROUND: Patients with cancer experience stress surrounding diagnosis and
treatment. Many cancer centers employ a nurse-led education session to alleviate
patient anxiety and confusion.?. OBJECTIVES: The goal was to evaluate the effect
of a nurse-led chemotherapy teaching session on patients' knowledge, anxiety, and
preparedness for ?cancer-directed therapy.?. METHODS: After discussing treatment
with their oncologist, participants completed a survey assessing their perceived
understanding of various treatment topics. After, they underwent a teaching
session with an oncology nurse. The survey was readministered when patients
returned for their first and second treatment cycles.?. FINDINGS: Significant
increases were observed in patients' understanding of their treatment schedule,
potential adverse effects, and antiemetic medication regimen by the first cycle
of therapy and a reduction in treatment-related anxiety by the second cycle of
therapy.
PMID- 29350696
TI - Cancer Anorexia and Cachexia: Screening in an Ambulatory Infusion Service and
Nutrition Consultation?.
AB - BACKGROUND: Cancer anorexia-cachexia syndrome compromises physical function and
nutritional and emotional well-being. Systematic screening followed by nutrition
referral for appropriate interventions is rare.?. OBJECTIVES: The purpose of this
study was to pilot a screening process followed by nutritional assessment and
intervention when warranted for patients with lung malignancies.?. METHODS: Adult
patients with lung malignancies were invited to complete the 12-item
Anorexia/Cachexia Scale (A/CS-12) on the day of chemotherapy initiation in
ambulatory infusion. Those who scored at a preset threshold were referred to
nutrition services for a comprehensive assessment and intervention plan. Those
who scored better than the threshold completed the A/CS-12 at each infusion visit
for as many as 16 weeks. ?. FINDINGS: 90 participants enrolled, and 46 scored in
a moderate-to-severe-risk category; of those, 42 were referred to nutrition
services.
PMID- 29350697
TI - Antithrombotic Therapy: Evaluation of the Safety of Performing Core Needle Biopsy
of the Breast Without Suspending Medication?.
AB - BACKGROUND: Patients are increasingly presenting for outpatient breast biopsy
while taking medically necessary antithrombotic therapy. Cessation of this
medication prior to biopsy increases patients' risk of vascular complications. ?.
OBJECTIVES: This article evaluates the safety of performing core needle biopsies
of the breast in patients without suspending prescription antithrombotic therapy.
?. METHODS: In this retrospective chart review study, patients continued
prescription antithrombotic therapy prior to and including the day of biopsy.
Follow-up telephone assessment, relying on patient self-report, was completed on
the same or next business day. The chart review included report of bleeding as a
postprocedure complication.?. FINDINGS: None of the 42 women who completed core
needle biopsy of the breast while on antithrombotic therapy reported
postdischarge bleeding, and 2 reported hematoma, supporting the safety of
continuing antithrombotic therapy in patients who undergo core needle biopsy of
the breast.
PMID- 29350698
TI - Identification and Management: Sinusoidal Obstruction Syndrome/Veno-Occlusive
Disease Eelated to Hematopoietic Stem Cell Transplantation?.
AB - BACKGROUND: Sinusoidal obstruction syndrome (SOS), also called hepatic veno
occlusive disease (VOD), is a potentially life-threatening complication of
hematopoietic stem cell transplantation (HSCT) that affects about 1 in 7 patients
undergoing this procedure. SOS/VOD is caused by the conditioning regimens
administered prior to HSCT; in some cases, SOS/VOD results from chemotherapy
alone. SOS/VOD usually develops within three weeks following HSCT; however, it
can have later onset. ?. OBJECTIVES: Clearly understanding how SOS/VOD develops
may support prompt detection and treatment when the condition arises.?. METHODS:
Research on identification and management of SOS/VOD is summarized, and data from
clinical trials are reviewed.?. FINDINGS: This article describes the syndrome,
risk factors, signs and symptoms, and appropriate supportive care and treatment.
The authors also offer some practical tips for detecting SOS/VOD and providing
patient care, as well as the latest information on treating and preventing this
condition.
PMID- 29350699
TI - Medical Cannabis: The Oncology Nurse's Role in Patient Education About the
Effects of Marijuana on Cancer Palliation?.
AB - BACKGROUND: Cannabis, also known as marijuana, is legal either medicinally or
recreationally in 29 states and the District of Columbia, with a majority of the
U.S. adult population now living in states where cannabis is legal for medicinal
use. As an advocate for patient autonomy and informed choice, the oncology nurse
has an ethical responsibility to educate patients about and support their use of
cannabis for palliation.?. OBJECTIVES: This article aims to discuss the human
endocannabinoid system as a basis for better understanding the palliative and
curative nature of cannabis as a medicine, as well as review cannabis delivery
methods and the emerging role of the oncology nurse in this realm.?. METHODS:
This article examines the literature and uses a theoretical-conceptual method to
explore the oncology nurse's role in supporting the use of medicinal cannabis by
patients with cancer. ?. FINDINGS: The oncology nurse can play a pivotal role in
supporting patients' use of cannabis for palliation.
PMID- 29350700
TI - As a New Nurse Myself, How Can I Become a Mentor to New Nurse Colleagues?
AB - I recently earned my baccalaureate nursing degree and passed the nursing boards.
Soon, I hope to practice as a clinical oncology nurse. As a new RN, I frequently
reflect on the people and situations that have influenced my growth so far as a
nurse. I have been fortunate to work with many clinical mentors who have been
pivotal in this regard. I realize that many small gestures from my mentors have
been instrumental in helping me develop my competencies and build my confidence
in practice. ?.
PMID- 29350701
TI - Genetic Testing: How Genetics and Genomics Can Affect Healthcare Disparities?.
AB - Advances in oncology care have transformed treatment approaches as genetics and
genomics analyses promote implementation of personalized medicine. Genetics and
genomics research in TP53 have demonstrated that some mutations are prevalent in
minority populations. This has implications on personalized treatment approaches,
particularly in early disease stages. The purpose of this article is to describe
oncology nurses' role in applying these findings in practice to reduce
disparities observed in cancer and survivorship care.?.
PMID- 29350702
TI - Focus on Communities.
AB - The opportunity for oncology nursing to have a broader influence in clinical care
has never been more in sync with the times, buoyed by breakthroughs in
diagnostics, precision treatments, and broader applications of interprofessional
care. However, that influence is still encumbered by inequities in cancer care
delivery to marginalized or underserved patients here in the United States and
globally.
PMID- 29350703
TI - Cancer Prevention and Detection: Application Across the Cancer Trajectory?.
AB - Oncology nurses have many opportunities to implement cancer prevention and early
detection recommendations across the cancer trajectory. Understanding the
principles that guide the recommendations is important to providing comprehensive
oncology care; many readily available resources can assist oncology nurses with
this education. Guidelines for the prevention and early detection of malignancy
are based on a comprehensive cancer risk assessment that includes past medical
history, lifestyle factors, family history, and, in some cases, genetic testing.
?.
PMID- 29350704
TI - Caregiver Cafe: Providing Education and Support to Family Caregivers of Patients
With Cancer?.
AB - BACKGROUND: The many burdens faced by caregivers of patients with cancer are well
documented. Caregivers are asked to perform procedures, make assessments,
coordinate care, and communicate with healthcare providers at an increasingly
complex level. A caregiver quality improvement project, in the form of a
Caregiver Cafe, was instituted at a National Cancer Institute-designated
comprehensive cancer center.?. OBJECTIVES: The objectives of the cafe are to (a)
provide respite and a place for caregivers to relax and be nurtured, (b) provide
a place for caregivers to meet and support each other, (c) provide answers to
caregiver questions, and (d) recommend appropriate caregiver resources.?.
METHODS: The weekly Caregiver Cafe is led by an advanced practice nurse, and the
format varies depending on the needs of the caregivers who attend.?. FINDINGS:
Caregivers have verbalized the importance of the cafe in helping them cope with
their loved ones' cancers and treatments, and many attend on a regular basis. The
Caregiver Cafe provides support and information and a place to get away from it
all.
PMID- 29350705
TI - Direct-to-Consumer Genetic Testing: Helping Patients Make Informed Choices?.
AB - Using direct-to-consumer genetic testing (DTCGT), individuals can order a genetic
test, collect and submit a saliva sample, and obtain results about their genetic
risk for a variety of traits and health conditions without involving a healthcare
provider. Potential benefits of DTCGT include personal control over genetic
information and health management decisions, whereas potential risks include
misinterpretation of results, psychosocial distress, and lack of informed
consent. Oncology nurses can provide education, support, and advocacy to enable
patients to truly understand the positives and negatives associated with DTCGT.?.
PMID- 29350707
TI - Symptom Self-Management: Strategies Used by Older Adults Receiving Treatment for
Cancer?.
AB - BACKGROUND: Older adults are at high risk for cancer treatment-related symptoms
but often accept them as inevitable. This may have a negative impact on patient
outcomes.?. OBJECTIVES: The purpose of this study is to examine symptom self
management strategies used by older adults receiving cancer treatment, determine
the effectiveness of these strategies, and examine relationships between symptom
self-management and demographic and treatment characteristics.?. METHODS: 100
adults aged 65 years or older from a community cancer center participated in this
descriptive, exploratory study. Demographic, treatment, and self-management data
were collected using an investigator-developed tool. Symptom presence was
collected using part 1 of the Symptom Representation Questionnaire.?. FINDINGS:
Participants reported an average of 7.15 symptoms and 3.36 self-management
strategies used at home. Taste changes were managed most, followed by fatigue and
bowel changes. On average, strategies used to manage symptoms were moderately
effective.
PMID- 29350706
TI - Symptom Burden and Self-Advocacy: Exploring the Relationship Among Female Cancer
Survivors?.
AB - BACKGROUND: Although patient self-advocacy is a critical component of patient
centered care, the association between symptom burden and self-?advocacy has
received little attention. ?. OBJECTIVES: This analysis evaluates the degree to
which self-advocacy is associated with symptom burden among women with a history
of cancer. ?. METHODS: Participants completed online or paper questionnaires.
Descriptive statistics and ordinary least squares regression models were used to
analyze the association between the three dimensions of self-advocacy and two
dimensions of symptom burden. FINDINGS: Participants reported moderate levels of
symptom burden. Fatigue, disturbed sleep, and memory problems were most common.
Informed decision making was positively associated with symptom burden and
participants' burden across the three most severe symptoms. Effective
communication was negatively associated with total symptom burden and the degree
to which symptoms interfered with daily life.
PMID- 29350708
TI - Sleep-Wake Disturbance: A Systematic Review of Evidence-Based Interventions for
Management in Patients With Cancer.
AB - BACKGROUND: New or worsening sleep-wake disturbance (SWD) can occur throughout
the cancer trajectory.?. OBJECTIVES: The purpose of this article is to critically
review available empirical evidence supporting the efficacy of interventions for
SWD, highlighting new evidence since the 2006 and 2009 Putting Evidence Into
Practice (PEP) SWD publications.?. METHODS: A systematic review of studies
published from 2009-2017 was conducted to identify effective interventions for
cancer-related SWD. The PEP weight of evidence classification schema was used to
categorize the strength of evidence.?. FINDINGS: Cognitive behavioral
intervention/approach is the only intervention that is recommended for practice.
Mindfulness-based stress reduction and exercise interventions are likely to be
effective but require more evidence. Pharmacologic interventions, relaxation,
imagery, meditation, acupuncture, yoga, massage, and psychoeducation have
insufficient evidence.
PMID- 29350709
TI - Pricks of Conscience: A Needle-Phobic Patient's Experience of Compassionate
Nursing Care ?.
AB - An oncology nurse's warmth, humor, and compassionate care have helped even the
odds in my wife's decade-long battle with incurable cancer. Needle phobia and a
complex chemotherapy regimen have made for a challenging course of treatment.
However, working closely with us, my wife's care team has given us back the
control that can so easily ebb with remission and relapse. ?.
PMID- 29350710
TI - Exercise Intervention: Attrition, Compliance, Adherence, and Progression
Following Hematopoietic Stem Cell Transplantation?.
AB - BACKGROUND: Exercise is widely touted as an effective intervention to optimize
health and well-being after high-dose chemotherapy and hematopoietic stem cell
transplantation. ?. OBJECTIVES: This article reports attrition, compliance,
adherence, and progression from the strength training arm of the single-blind
randomized, controlled trial Strength Training to Enhance Early Recovery (STEER).
?. METHODS: 37 patients were randomized to the intervention and participated in a
structured strength training program introduced during hospitalization and
continued for six weeks after release. Research staff and patients maintained
exercise logs to document compliance, adherence, and progression. ?. FINDINGS: No
patients left the study because of burden. Patients were compliant with
completion of exercise sessions, and their adherence was high; they also
progressed on their exercise prescription. Because STEER balances intervention
effectiveness with patient burden, the findings support the likelihood of
successful translation into clinical practice.
PMID- 29350711
TI - Precision Coordination: The Growing Role of the Nurse Coordinator in the Era of
Personalized Medicine?.
AB - Personalized medicine is expected to positively change the treatment of cancer,
but early identification of patients who are most likely to benefit requires an
integrated effort from interprofessional care providers. Centering care around a
patient's needs is the main task for a nurse coordinator, who is considered the
core person for communication among all interprofessional care providers. This
article describes a perspective on the nurse coordinator role as implemented in
the lung cancer clinic at King Abdulaziz Medical City in Riyadh, Saudi Arabia.?.
PMID- 29350712
TI - Vaccination for Human Papillomavirus: Immunization Practices in the U.S. Military
AB - Human papillomavirus (HPV) is the most common sexually transmitted infection and
is a leading etiology for cancer. The Advisory Committee on Immunization
Practices (ACIP) recommends routine vaccination of males and females aged 11-26
years. Studies suggest that U.S. military service members have higher HPV
incidence rates and lower vaccination rates compared to the national average.
Although the U.S. military enforces many recommended vaccines, the HPV vaccine
fails to make the list.
PMID- 29350713
TI - Hyponatremia and SIADH: A Case Study for Nursing Consideration.
AB - The leading cause of hyponatremia in patients with cancer is syndrome of
inappropriate antidiuretic hormone secretion (SIADH); this oncologic emergency
requires immediate intervention. Left untreated, it can result in increased
mortality and morbidity. A sodium level less than 135 meq/L is an electrolyte
irregularity and defined as hyponatremia. It is extremely critical that oncology
nurses are knowledgeable and able to evaluate and determine when patients are in
fluid and electrolyte crisis. Nurses should be aware of the specific cancers and
treatments that put patients at risk for developing hyponatremia. This article
presents a case study for nursing consideration.
PMID- 29350714
TI - Health Literacy: Exploring Nursing Challenges to Providing Support and
Understanding
AB - BACKGROUND: As patient advocates, oncology nurses must attend to varying levels
of health literacy among patients and families. However, little is known about
nurses' experiences and comfort with health literacy assessment and providing
health literacy support.?. OBJECTIVES: The purpose of this study is to explore
nurse communication and patient health literacy.?. METHODS: A cross-sectional
survey design (N = 74) was used to explore nurse communication challenges with
low-literacy patients and to measure nurses' frequency of assisting with patient
literacy needs, perceived degree of difficulty communicating with low-literacy
populations, and perceived comfort with health literacy support.?. FINDINGS: A
majority of the nurses reported communication challenges with patients who spoke
English as a second language. Oncology nurses did not identify patient
communication behaviors that indicated low health literacy. Nurses were least
comfortable identifying low-literacy patients and assessing a patient's health
literacy level. More experienced nurses reported more difficulty with low
literacy populations than less experienced nurses. Providing health literacy
support to patients should be a core nursing skill.
PMID- 29350715
TI - Simulation of KTP Laser-Based Zenker Diverticulotomy with a Porcine Model and
Laryngeal Dissection Station.
AB - Zenker's diverticulum is a rare cause of progressive dysphagia that is treated
surgically. KTP laser-based diverticulotomy is one effective treatment.
Developing a simulation model is helpful for rare conditions. Pigs have a natural
hypopharyngeal pouch similar to a diverticulum. We present a model for performing
rigid endoscopic KTP laser diverticulotomy in a porcine model using a laryngeal
dissection station. Eleven pigs were examined to confirm presence of the
hypopharyngeal pouch. A specimen was mounted on the modified laryngeal dissection
station and a KTP laser-based diverticulotomy was performed. Novel aspects
include use of the laryngeal dissection station and application of the model for
simulating rigid endoscopic KTP laser diverticulotomy. This model allows trainees
to practice equipment setup, positioning of the laryngoscope to isolate the
cricopharyngeal bar, tissue handling, laser safety techniques, and use of the KTP
laser through the laryngoscope under microscopic visualization.
PMID- 29350716
TI - Three-dimensional multiscale fiber matrices: development and characterization for
increased HepG2 functional maintenance for bio-artificial liver application.
AB - The development of a cell-growth substrate that provides a nature-like
microenvironment, promotes cell adhesion, and maintains the cells' functional
activities is a research focus in the field of tissue engineering. In the present
study, three-dimensional micro-nano multiscale fiber-based substrates were
developed by depositing biocompatible polycaprolactone (PCL)/PCL-Chitosan (C)/PCL
C-Gelatin (G) electrospun nanofibers (NFs) on the outer surface of hollow fiber
membranes (HFMs) in one step. A comparison study with regard to physico-chemical
characterization, hemocompatibility, cytotoxicity, and cellular functionality was
performed with the developed matrices. The PCL-C-G NFs-deposited HFMs-based
matrix showed superior hemocompatibility for blood-contact applications. The
cytotoxicity of these matrices was found to be minimal. HepG2 cells exhibited an
exceptionally robust adherence and proliferated growth on the matrix with the
formation of characteristic multi-cellular spheroids. Furthermore, cell
functional activities such as albumin secretion, urea synthesis, and cytochrome
P450 specific activity were measured for the developed matrices. The developed
three-dimensional multiscale fibers-based matrix can be a potential membrane for
bioreactor and bio-artificial liver applications.
PMID- 29350717
TI - Fluoride-ion-binding promoted photoinduced charge separation in a self-assembled
C60 alkyl cation bound bis-crown ether-oxoporphyrinogen supramolecule.
AB - A bis-crown ether-oxoporphyrinogen was newly synthesized and self-assembled
concurrently with C60 alkyl ammonium cations at the crown ether sites and F-
anions (through hydrogen bonding) at the oxoporphyrinogen core. Ultrafast
photoinduced charge transfer processes within the donor-acceptor conjugate were
promoted by fluoride ion binding and this was established using various
spectroscopic methods and transient absorption studies.
PMID- 29350718
TI - Energy-efficient electrolytic hydrogen production assisted by coupling urea
oxidation with a pH-gradient concentration cell.
AB - An unprecedented asymmetric-electrolyte electrolyzer is proposed using an acidic
cathode for the hydrogen evolution reaction (HER) and an alkaline anode for the
urea oxidation reaction (UOR), which significantly decreases the electrical
energy required for electrolytic hydrogen production.
PMID- 29350719
TI - Ordered WO3-x nanorods: facile synthesis and their electrochemical properties for
aluminum-ion batteries.
AB - In this work, we have synthesized ordered WO3 nanorods via a facile hydrothermal
process. And the series WO3-x nanorods with oxygen vacancies are obtained via a
subsequent thermal reduction process. The formation mechanisms of WO3-x nanorods
with different oxygen vacancies are proposed. And the electrochemical results
reveal that the WO3-x nanorods exhibit the improved specific capacity due to the
oxygen vacancies caused by the thermal reduction. More importantly, the reaction
mechanism of the WO3-x nanorods as cathodes for aluminum-ion batteries has been
proved.
PMID- 29350721
TI - Complex molecular systems: a frontier of molecular science.
PMID- 29350722
TI - Chemical decontamination of iPS cell-derived neural cell mixtures.
AB - This report describes the design and evaluation of phosphorylated 7-ethyl-10
hydroxycamptothecin (SN38-P), which selectively eliminates tumor-forming
proliferative stem cells, including human induced pluripotent stem cells (hiPSCs)
and neural stem cells, from iPSC-derived neural cell mixtures. Results of the
present study demonstrate that simple phosphorylation of an anticancer drug can
provide a safe, cost-effective, and chemically-defined tool for decontaminating
hiPSC-derived neuron.
PMID- 29350723
TI - Hot off the press.
AB - A personal selection of 32 recent papers is presented covering various aspects of
current developments in bioorganic chemistry and novel natural products such as
illisimonin A from Illicium simonsii.
PMID- 29350724
TI - Effects of point defects on the magnetoelectronic structures of MXenes from first
principles.
AB - "MXene", a new class of two dimensional materials, has attracted considerable
research interest due to its unusual chemical bonding pattern as well as
promising technological applications. Like other 2D materials, very recently,
these classes of materials were also found to be prone to structural defects,
thus altering the electronic and transport properties of the host. Using
extensive first-principles based simulations, we investigated the structural and
magnetoelectronic (i.e., magnetic and electronic) behaviour of the most probable
point defects in these MXene systems, such as single vacancies and Schottky type
double vacancies. Defect formation energies appeared to be strongly dependent
upon local chemical bonding and the nature of reconstruction. Moreover, this
layered material exhibited prominent metal to semiconductor or semiconductor to
metal transition depending upon the type of the system or the defect. Moreover, a
few of the defective MXenes become magnetic in nature due to the presence of
unpaired electrons in the spin split d-orbitals. Thus, it is evident that
intrinsic point defects in MXene can emerge as a potential tool to modulate the
properties of 2D layered MXenes towards promising device applications.
PMID- 29350725
TI - Effects of chemically heterogeneous nanoparticles on polymer dynamics: insights
from molecular dynamics simulations.
AB - The dispersion of solid nanoparticles within polymeric materials is widely used
to enhance their performance. Many scientific and technological aspects of the
resulting polymer nanocomposites have been studied, but the role of the
structural and chemical heterogeneity of the nanoparticles has just started to be
appreciated. For example, simulations of polymer films on planar heterogeneous
surfaces revealed unexpected, non-monotonic activation energy to diffusion on
varying the surface composition. Motivated by these intriguing results, here we
simulate via molecular dynamics a different, fully three-dimensional system, in
which the heterogeneous nanoparticles are incorporated in a polymer melt. The
nanoparticles are roughly spherical assemblies of strongly and weakly attractive
sites, in fractions of f and 1 - f, respectively. We show that the polymer
diffusion is still characterized by a non-monotonic dependence of the activation
energy on f. The comparison with the case of homogeneous nanoparticles clarifies
that the effect of the heterogeneity increases on approaching the polymer glass
transition.
PMID- 29350726
TI - 3D assembly of Ti3C2-MXene directed by water/oil interfaces.
AB - MXene is an emerging class of 2D materials exfoliated from ternary carbide and
nitride ceramics. The exfoliation process, which is an acid etching approach,
functionalizes the MXene surface with -OH, -O and -F groups. These functional
groups offer significant opportunities for tuning the colloidal properties of the
MXene nanoblocks; importantly, this tunability points the way towards a facile
route for assembling these nanoblocks into 3D architectures that are in demand
for many applications. This route, presented for the first time here, uses
water/oil interfaces for assembling Ti3C2-MXene in 3D architectures. It shows
that cetyl trimethylammonium bromide (CTAB) can be used to tune the hydrophilic
hydrophobic balance of Ti3C2-MXene via the interaction of positively charged
N(CH3)3 and -O groups on the MXene surface. Crucially, it is found that this
interaction can be controlled via the hydrogen ion concentration in the aqueous
phase. Stable oil-in-water emulsions are the only product when the aqueous phase
is neutral or basic. This understanding led us to fabricate a high internal phase
Pickering emulsion with more than 70 vol% oil droplets and also a solid porous
monolith based on this emulsion template.
PMID- 29350727
TI - 'One-pot' sequential enzymatic modification of synthetic glycolipids in vesicle
membranes.
AB - beta(1,4)-Galactosyltransferase (beta4Gal-T1) and T. cruzi trans-sialidase (TcTS)
have been used in a 'one-pot' cascade to provide vesicles (liposomes) with a
trisaccharide coating. These soluble enzymes catalysed the transfer of galactose
then sialic acid onto a synthetic N-acetylglucolipid embedded in the bilayers.
Clustering of this substrate into microdomains increased the rate of sialylated
lipid production, showing that an increase in beta4Gal-T1 activity is carried
through the enzymatic cascade. These coatings modulated cell recognition.
Hepatocellular carcinoma cells took up vesicles modified by beta4Gal-T1 alone
more extensively than sialylated vesicles produced by 'one-pot' sequential
enzymatic modification.
PMID- 29350729
TI - In situ mechanical resonance behaviour of pristine and defective zinc blende GaAs
nanowires.
AB - The structural versatility of semiconducting gallium arsenide (GaAs) nanowires
(NWs) provides an exciting direction for the engineering of their mechanical and
dynamic properties. However, the dynamic behaviour of GaAs NWs remains
unexplored. In this study, comprehensive in situ mechanical resonance tests were
conducted to explore the dynamic behaviour of pristine and defective zinc blende
GaAs NWs. The effects of stacking faults (SFs), amorphous shell, NW tapering and
end-mass particles were investigated. The quality factors (QFs) of the GaAs NWs
were found to be predominately governed by surface effects, which increased
linearly with the volume to surface area ratio. Interestingly, SFs were found not
to influence the QFs. To extract the mechanical properties, the Euler-Bernoulli
beam theory was modified, to incorporate the core-shell model, NW tapering and
end-mass particles. It was found that the core-shell model accurately predicts
the mechanical properties of the pristine GaAs NWs, which exhibit significant
stiffening at radii below 50 nm. Conversely, the mechanical properties of the
defective NWs were influenced by the presence of SFs, causing a wide variance in
the Young's modulus. Apart from establishing an understanding of the resonance
behaviour of GaAs NWs, this research provides guidance for the design of NWs for
their applications in dynamic nanomechanical devices with tailorable dynamic
properties.
PMID- 29350728
TI - Dual chain extension effect and antibacterial properties of biomolecules
interleaved within LDH dispersed into PBS by in situ polymerization.
AB - Nanocomposites based on poly(butylene succinate) (PBS) and hydrotalcite-type
anionic clays (HTs) organo-modified with biomolecules characterized by
antibacterial and/or antioxidant activities, such as l-ascorbic acid (ASA),
phloretic acid (HPP), l-tyrosine (TYR) and l-tryptophan (TRP), have been prepared
by in situ polymerization. From XRD analysis and rheology experiments in a molten
polymer state, intercalated HT hybrid platelets acting here as a hybrid filler
are found to be well dispersed into polymers while providing a chain extension
effect on PBS. Moreover, the molecules, when hosted within a HT interlayer gap,
do preserve their pristine antibacterial activity, both in HT and in the
resulting PBS composites. In particular, under the experimental conditions
tested, HT/ASA and HT/TYR present the best combination of both properties (chain
extension effect and antibacterial), especially versus E. coli as high as 90 and
97% of inhibition, respectively, using 2.5 wt% hybrid filler only. These findings
open future applications for PBS associated with the hybrid HT filler as
multifunctional materials in active packaging applications.
PMID- 29350730
TI - Three dimensional porous SiC for lithium polysulfide trapping.
AB - One of the key issues in lithium sulfur batteries is the fast capacity fade
induced by the lithium polysulfide (LiPS) migration. Hence, a series of three
dimensional (3D) porous SiC materials with active sp2 Si atoms have been designed
for lithium polysulfide entrapping in Li-S batteries. The ZGM-SiC-1 and AGM-SiC-3
have been confirmed to be thermodynamically and dynamically stable from the
formation energy and phonon dispersion spectrum, meanwhile showing good
mechanical properties. The moderate band gaps suggest fast electron transport
during the charge-discharge cycles of the Li-S batteries, especially in ZGM-SiC
1. The 3D porous ZGM-SiC-1 and AGM-SiC-3 display strong affinity to S8 and LiPS
with the direct Si-S and Li-C interactions, which are comparable to the case of
the N doped carbon host. As compared to the 3D pristine graphene monoliths and
two dimensional SiC nanosheet, the entrapping of LiPS in the 3D porous SiC host
is much stronger (ca. 2.5 eV to 3.5 eV), which is effective in inhibiting the
shutter effect in Li-S batteries. Most interestingly, the extraordinarily strong
adsorption of the S8 molecule indicates high sulfur loading in the 3D porous SiC
host.
PMID- 29350731
TI - The oxidation of sulfur(iv) by reaction with iron(iii): a critical review and
data analysis.
AB - The dependences on ionic strength of the hydrolysis constants of Fe3+ and of the
first dissociation constant of sulfurous acid are briefly reviewed. The data are
needed to derive from apparent stability constants reported in the literature the
stability constants for the three iron-sulfito complexes defined by the
equilibria (c1) FeOH2+ + HSO3- = FeSO3+ + H2O, (c2) FeSO3+ + HSO3- = Fe(SO3)2- +
H+, (c3a) Fe(SO3)2- + HSO3- = Fe(SO3)3H2-, where Kc1 = 1982 +/- 518 dm3 mol-1,
Kc2 = 0.72 +/- 0.08, Kc3a = 189 +/- 9 dm3 mol-1 (ionic strength MU = 0.1 mol dm
3). The rapid formation of these complexes is followed by a slower decomposition
leading to the formation of SO3- radicals; the associated rate coefficients are
k1 = 0.19 s-1, k1a ~ 0.04 s-1, and k1b ~ 0.08 s-1, respectively. The subsequent
reaction leads to dithionate and sulfate as products. Overall rates and product
yields from a variety of studies of the slow reaction are found to be consistent
with a mechanism, in which the production of dithionate occurs mainly by the
reaction of SO3- with FeSO3+ and that of sulfate by the reaction of SO3- with
FeOH2+ and/or Fe3+. The role of copper as a catalyst is also analyzed. Rate
coefficients for individual reactions are estimated from the data at low pH (MU =
1.0 mol dm-3) under conditions where the 1 : 1-complex is prevalent. They are
extrapolated to lower ionic strengths for an analysis of the data obtained at
higher pH to explore conditions when reactions of the higher complexes become
important. The overall rate and the product yields of the reaction depend
critically on the pH, the initial ratio of S(iv) to Fe(iii) and the ionic
strength of the solution.
PMID- 29350732
TI - Complete amplitude and phase control of light using broadband holographic
metasurfaces.
AB - Reconstruction of light profiles with amplitude and phase information, called
holography, is an attractive optical technology with various significant
applications such as three-dimensional imaging and optical data storage.
Subwavelength spatial control of both amplitude and phase of light is an
essential requirement for an ideal hologram. However, traditional holographic
devices suffer from their restricted capabilities of incomplete modulation in
both amplitude and phase of visible light; this results in sacrifice of optical
information and undesirable occurrences of critical noises in holographic images.
Herein, we have proposed a novel metasurface that is capable of completely
controlling both the amplitude and phase profiles of visible light independently
with subwavelength spatial resolution. The full, continuous, and broadband
control of both amplitude and phase was achieved using X-shaped meta-atoms based
on the expanded concept of the Pancharatnam-Berry phase. The first experimental
demonstrations of the complete complex-amplitude holograms with subwavelength
definition at visible wavelengths were achieved, and excellent performances with
a remarkable signal-to-noise ratio as compared to those of traditional phase-only
holograms were obtained. Extraordinary control capability with versatile
advantages of our metasurface paves a way to an ideal holography, which is
expected to be a significant advancement in the field of optical holography and
metasurfaces.
PMID- 29350733
TI - A catalyst-free intermolecular trans-iodoalkylation of alkynes.
AB - We report the first catalyst-free and trans-selective iodoalkylation reaction of
alkynes with a series of alpha-carbonyl compounds. This unprecedented three
component iodoalkylation reaction is enabled by using
(iodoethynyl)trimethylsilane as a radical initiator and iodide source. The 1,2
difunctionalization affords alkenyl iodides, which are versatile building blocks
for the construction of tri-substituted alkene derivatives.
PMID- 29350734
TI - A nearly perfect spin filter and a spin logic gate based on a porphyrin/graphene
hybrid material.
AB - Although a lot of theoretical studies have designed perfect spin filters using
inorganic/organic/organometallic materials, their fabrication methods are not
experimentally feasible. This dilemma could be solved by a recent experiment,
where porphyrins have been covalently coupled to graphene edges in a precise
manner (Y. He et al., Nat. Chem., 2017, 9, 33-38). In particular, experimental
results confirmed that the intrinsic features of porphyrins for metallation are
preserved after dehydrogenative coupling to graphene edges, paving the way for
realizing synthesizable spintronic devices. Inspired by this work, we report new
M-porphyrin/graphene (M = Cr, Mn, Fe, and Co) hybrid systems with tunable
functionalities on the basis of nonequilibrium Green's functions in combination
with density functional theory. The Mn-porphyrin/graphene hybrid system exhibits
an extremely high spin polarization coefficient in a parallel magnetic
configuration. Our results also confirm that the magnetic configuration plays an
important role in realizing a high-performance spin filter. The interesting spin
transport properties in the parallel and antiparallel magnetic configurations
also make the hybrid system a suitable candidate for realizing the AND logic
operation.
PMID- 29350740
TI - Full-dimensional analytical potential energy surface describing the gas-phase Cl
+ C2H6 reaction and kinetics study of rate constants and kinetic isotope effects.
AB - Within the Born-Oppenheimer approximation a full-dimensional analytical potential
energy surface, PES-2017, was developed for the gas-phase hydrogen abstraction
reaction between the chlorine atom and ethane, which is a nine body system. This
surface presents a valence-bond/molecular mechanics functional form dependent on
60 parameters and is fitted to high-level ab initio calculations. This reaction
presents little exothermicity, -2.30 kcal mol-1, with a low height barrier, 2.44
kcal mol-1, and intermediate complexes in the entrance and exit channels. We
found that the energetic description was strongly dependent on the ab initio
level used and it presented a very flat topology in the entrance channel, which
represents a theoretical challenge in the fitting process. In general, PES-2017
reproduces the ab initio information used as input, which is merely a test of
self-consistency. As a first test of the quality of the PES-2017, a theoretical
kinetics study was performed in the temperature range 200-1400 K using two
approaches, i.e. the variational transition-state theory and quasi-classical
trajectory calculations, with spin-orbit effects. The rate constants show
reasonable agreement with experiments in the whole temperature range, with the
largest differences at the lowest temperatures, and this behaviour agrees with
previous theoretical studies, thus indicating the inherent difficulties in the
theoretical simulation of the kinetics of the title reaction. Different sources
of error were analysed, such as the limitations of the PES and theoretical
methods, recrossing effects, and the tunnelling effect, which is negligible in
this reaction, and the manner in which the spin-orbit effects were included in
this non-relativistic study. We found that the variation of spin-orbit coupling
along the reaction path, and the influence of the reactivity of the excited
Cl(2P1/2) state, have relative importance, but do not explain the whole
discrepancy. Finally, the activation energy and the kinetics isotope effects
reproduce the experimental information.
PMID- 29350741
TI - Hybrid nanowires and nanoparticles of WO3 in a carbon aerogel for supercapacitor
applications.
AB - In the field of electrochemical energy storage, incorporation of metal oxides
into porous carbon has attracted significant attention. Since each advantage of
nanoparticles and nanowires of metal oxide has been distinguished for
supercapacitor applications, a combination of the advantages of both structures
together can meet a capacitive synergy. In this study, WO3 nanowires and
nanoparticles were first incorporated into a carbon aerogel (CA) simultaneously
via a facile and one-pot route. A comparative study on the capacitive properties
of this novel hybrid structure and single nanoparticles in CA was conducted. The
introduction of WO3 nanowires with diameter <40 nm provided an additional pair of
redox peaks and improved the specific capacitance by 50% and the rate capacity by
61%. The composite within the hybrid nanowires and nanoparticles exhibits an
excellent cycling stability of only 2% decay in specific capacitance detected at
50 mV s-1 for 1000 cycles. The individual contribution of nanowires and
nanoparticles to the enhanced capacitance has been discussed, and the enhanced
capacitive properties can be ascribed to the hybrid structure better for charge
transport during the electrochemical process. More importantly, this route can be
extended to incorporate nanowires of other metal oxides into mesoporous carbon,
and enhanced capacitive properties can be expected.
PMID- 29350742
TI - Direct observation of the topological spin configurations mediated by the
substitution of rare-earth element Y in MnNiGa alloy.
AB - The evolution of topological magnetic domains microscopically correlates the
dynamic behavior of memory units in spintronic application. Nanometric bubbles
with variation of spin configurations have been directly observed in a
centrosymmetric hexagonal magnet (Mn0.5Ni0.5)65(Ga1-yYy)35 (y = 0.01) using
Lorentz transmission electron microscopy. Magnetic bubbles instead of biskyrmions
are generated due to the enhancement of quality factor Q caused by the
substitution of rare-earth element Y. Furthermore, the bubble density and
diversified spin configurations are systematically manipulated via combining the
electric current with perpendicular magnetic fields. The magnetic bubble lattice
at zero field is achieved after the optimized manipulation.
PMID- 29350743
TI - Facile preparation of carbon-dot-supported nanoflowers for efficient photothermal
therapy of cancer cells.
AB - Herein, novel self-assembled red-emissive C-dots@Au nanoflowers were developed,
and they demonstrated efficient photothermal properties under 750 nm laser
irradiation. Moreover, C-dots@Au nanoflowers showed the ability of simultaneous
photoacoustic and fluorescence imaging. Our study may provide a novel strategy
for the efficient phototherapy of cancer cells based on C-dots.
PMID- 29350744
TI - Preventing Mesh Pore Collapse by Designing Mesh Pores With Auxetic Geometries: A
Comprehensive Evaluation Via Computational Modeling.
AB - Pelvic organ prolapse (POP) meshes are exposed to predominately tensile loading
conditions in vivo that can lead to pore collapse by 70-90%, decreasing overall
porosity and providing a plausible mechanism for the contraction/shrinkage of
mesh observed following implantation. To prevent pore collapse, we proposed to
design synthetic meshes with a macrostructure that results in auxetic behavior,
the pores expand laterally, instead of contracting when loaded. Such behavior can
be achieved with a range of auxetic structures/geometries. This study utilized
finite element analysis (FEA) to assess the behavior of mesh models with eight
auxetic pore geometries subjected to uniaxial loading to evaluate their potential
to allow for pore expansion while simultaneously providing resistance to tensile
loading. Overall, substituting auxetic geometries for standard pore geometries
yielded more pore expansion, but often at the expense of increased model
elongation, with two of the eight auxetics not able to maintain pore expansion at
higher levels of tension. Meshes with stable pore geometries that remain open
with loading will afford the ingrowth of host tissue into the pores and improved
integration of the mesh. Given the demonstrated ability of auxetic geometries to
allow for pore size maintenance (and pore expansion), auxetically designed meshes
have the potential to significantly impact surgical outcomes and decrease the
likelihood of major mesh-related complications.
PMID- 29350745
TI - TiO2 nanoparticles can selectively bind CXCL8 impacting on neutrophil chemotaxis.
AB - The interaction between TiO2 nanoparticles (NPs) and inflammatory cytokines,
including CXCL8, a clinically relevant pro-inflammatory chemokine was
investigated. TiO2 is present in tissues adjacent to failing implanted Ti
(titanium) devices. TiO2 NPs were shown to bind to CXCL8 in vitro, causing
perturbation of quantification of CXCL8 by ELISA, in both simple and complex
protein panels, in a dose-dependent manner. Binding between TiO2 NPs and CXCL8
was demonstrated by protein gel electrophoresis. TiO2 NPs were also shown to
inactivate the chemoattractant property of CXCL8 in a dose-dependent manner,
suggesting that the binding between TiO2 NPs and CXCL8 is likely to be clinically
relevant. The results of this study disputed the applicability of detection of
CXCL8 by ELISA in systems where TiO2 NPs were present. Clinically, the disruption
of chemotaxis of neutrophils in response to CXCL8 in the presence of TiO2 might
mean a hampered immune response to inflammation in tissues containing TiO2 NPs.
PMID- 29350746
TI - Positive view and increased likely uptake of follow-up testing with analysis of
cell-free fetal DNA as alternative to invasive testing among Danish pregnant
women.
AB - INTRODUCTION: The aim of this study was to investigate the attitude (view, likely
uptake and preferred strategy) towards cell-free fetal DNA (cfDNA) testing among
pregnant women before a first-trimester risk assessment for trisomy 21
(unselected women) and after obtaining a high risk. MATERIAL AND METHODS:
Unselected and high-risk women attending first-trimester screening
(Rigshospitalet, Copenhagen University Hospital) were invited to fill out the
questionnaire Antenatal testing for Down syndrome as an online survey. RESULTS:
The survey included 203 unselected and 50 high-risk women (response rates of
74.8% and 84.7%, respectively). Nearly all considered cfDNA testing a positive
development in antenatal care, and 97.2% would like it to be offered. Offering
cfDNA testing as an alternative to invasive testing would increase the uptake of
follow-up testing compared with invasive testing alone (98.8% vs. 90.7%, p <
0.001). Women who would only accept follow up by cfDNA testing were more likely
to continue an affected pregnancy (30.0% vs. 3.6%, p < 0.001) or have doubts
about termination (50.0% vs. 32.1%, p < 0.001). CONCLUSIONS: Offering cfDNA
testing would likely increase the uptake of follow-up testing without a
corresponding rise in the termination rate of affected fetuses as some women test
for information only. However, both unselected and high-risk women had
overwhelmingly positive views underlining attention to avoid routinization.
PMID- 29350747
TI - Low semen quality and experiences of masculinity and family building.
AB - INTRODUCTION: Infertility is a concern for men and women. There is limited
knowledge on how male factor infertility affects the couple in fertility
treatment. The aim of this study was to explore how severe male factor
infertility affects men's sense of masculinity, the couple's relationship and
intentions about family formation. MATERIAL AND METHODS: Semi-structured
qualitative interview study at the Fertility Clinic at Copenhagen University
Hospital, Hvidovre, Denmark. Ten men with very poor semen quality initiating
fertility treatment were interviewed between November 2014 and May 2015. Data
were analyzed using qualitative content analysis. RESULTS: Three themes were
identified: "Threatened masculinity", "Being the strong one: impact on the
couple" and "Consideration of family building options: a chapter not willing to
start". The men felt that they could not fulfill their role as a man. Some
couples had conflicts and discussions because the women in general wanted to talk
more about infertility than the men. The men focused on having a biological
child. They wanted to focus on achieving biological parenthood and postpone
consideration of other family building options such as adoption or the use of
semen donation in order to become a parent. CONCLUSIONS: The consequence of
severe male factor infertility was a threatened sense of masculinity. Fertility
specialists and nurses should recognize the impact of male infertility and create
space to give their patients an opportunity to verbalize their concerns and
questions related to male factor infertility and the different challenges that
the couple faces during the fertility treatment.
PMID- 29350748
TI - Protective Effect of Chitosan Oligosaccharides Against Cyclophosphamide-Induced
Immunosuppression and Irradiation Injury in Mice.
AB - : Chitosan oligosaccharides (COS), hydrolyzed products of chitosan, was found to
display various biological activities. Herein, we assessed the immunostimulatory
activity of COS both in in vitro and in vivo studies. In vitro cytotoxicity
studies to murine macrophage RAW264.7 revealed that COS is safe even at the
maximum tested concentration of 1000 MUg/mL. It also stimulates the production of
nitric oxide (NO) and tumor necrosis factor (TNF-alpha) and enhances the
phagocytosis in COS-stimulated RAW264.7. We have shown that the COS could
significantly (P < 0.05) restore the reduced immune organs indices, phagocytic
index, lymphocyte proliferation, natural killer cell activity, and antioxidant
enzyme activities in a cyclophosphamide-induced immunosuppressed mice model. COS
can also improve the survival rate in irradiation injury mice and significantly
(P < 0.05) increased the spleen indices and up-regulates the CD4+/CD8+ ratio in
splenocytes. In sum, the aforementioned results suggest that COS might has the
potential to be used as an immunostimulatory agent in patients with immune
dysfunctions or be a model for functional food development. PRACTICAL
APPLICATION: COS might has the potential to be used as an immunostimulatory agent
in patients with immune dysfunctions or be a model for functional food
development.
PMID- 29350749
TI - Quality Characteristics of a Low-Fat Beef Patty Enriched by Polyunsaturated Fatty
Acids and Vitamin D3.
AB - : Olive and linseed oils have high contents of oleic acid and n-3 fatty acids
(FA), respectively. Vitamin D3 , an essential nutrient, is in low contents in
meat. This study investigated the potential application of olive and linseed
oils' mixture as a backfat replacer, and vitamin D3 as a supplement, in order to
develop a product enriched by polyunsaturated FAs and vitamin D3 . Two treatments
were manufactured: conventional (C: 0% emulsion, 0 MUg vitamin D3 /100 g product)
and modified (M: 10.9% emulsion/, 8.3 MUg vitamin D3 /100 g product). The quality
characteristics and cooking effects on the FA and vitamin D3 contents were
assessed. The sensory properties of cooked patties were not affected by olive and
linseed oils' mixture (P > 0.05). The instrumental textural parameters were lower
in cooked M patties (P < 0.01), except springiness (P = 0.766) that was not
affected by formulation. The contents of alpha-linoleic acid in M patty were 19
fold higher than those from C patty. The contents of n-3 and n-6 were higher in M
patty (P < 0.05) than in C patty. Although cooking decreased the content of
vitamin D3 in M patty (6.7 compared with 5.2 MUg/100 g product), considerable
increments were achieved compared to C patty. PRACTICAL APPLICATION: There is an
increasing demand of consumers for healthier meat products; therefore, the
improvement of their nutritional profile without negatively affecting quality
characteristics is key factor for meat sector. This study emphasizes the
feasibility of using the combination of olive and linseed oils' mixture and
vitamin D3 to yield new meat products with high contents of polyunsaturated fatty
acids and vitamin D3 . The effectiveness of combination of oils mixture and
vitamin D3 tested in this study is proven and the high contribution of vitamin D3
and some fatty acids of nutritional interest identified.
PMID- 29350751
TI - Review of the Comparative Susceptibility of Microbial Species to
Photoinactivation Using 380-480 nm Violet-Blue Light.
AB - Antimicrobial violet-blue light is an emerging technology designed for enhanced
clinical decontamination and treatment applications, due to its safety, efficacy
and ease of use. This systematized review was designed to compile the current
knowledge on the antimicrobial efficacy of 380-480 nm light on a range of health
care and food-related pathogens including vegetative bacteria, bacterial
endospores, fungi and viruses. Data were compiled from 79 studies, with the
majority focussing on wavelengths in the region of 405 nm. Analysis indicated
that Gram-positive and Gram-negative vegetative bacteria are the most susceptible
organisms, while bacterial endospores, viruses and bacteriophage are the least.
Evaluation of the dose required for a 1 log10 reduction of key bacteria compared
to population, irradiance and wavelength indicated that microbial titer and light
intensity had little effect on the dose of 405 nm light required; however, linear
analysis indicated organisms exposed to longer wavelengths of violet-blue light
may require greater doses for inactivation. Additional research is required to
ensure this technology can be used effectively, including: investigating
inactivation of multidrug-resistant organisms, fungi, viruses and protozoa;
further knowledge about the photodynamic inactivation mechanism of action; the
potential for microbial resistance; and the establishment of a standardized
exposure methodology.
PMID- 29350750
TI - The Hepatoprotective Effect of Selenium-Enriched Yeast and Gum Arabic Combination
on Carbon Tetrachloride-Induced Chronic Liver Injury in Rats.
AB - : The antioxidant and anti-inflammatory effects of selenium-enriched yeast (SY)
and Gum Arabic (GA) have been reported. This study aimed to determine the
hepatoprotective effect of SY and GA combination on carbon tetrachloride (CCl4 )
induced chronic liver injury in rats and to explore their synergistic mechanisms
of action. Forty adult male Wistar rats randomly allotted to 5 groups: (A) worked
as control, (B) was administered CCl4 , (C-E) were fed daily by GA, SY, and GA+SY
respectively after mixing with basal diet, following CCl4 -intoxication. GA and
SY combination significantly ameliorated CCl4 -induced reduction in serum total
protein with elevation in aspartate transaminase (AST) and alanine transaminase
(ALT) in addition to restoring the histopathological changes and hepatic content
of hydroxyproline. GA and SY combination was also effective in reducing lipid
peroxidation (MDA), consistent with an increase in total antioxidant capacity (T
AOC), glutathione (GSH), superoxide dismutase (SOD) activities, indicating the
suppression of liver oxidative stress. Furthermore, liver inflammation was
ameliorated by GA and SY combination through inhibition of nuclear factor-kappa
(NF-kappaB), tumor necrosis factor-alpha (TNF-alpha), cyclooxygenase-2(COX-2),
monocyte chemotactic protein-1 (MCP-1), and toll-like receptor 4(TLR-4) over
expression in the liver. Moreover, the up-regulation of proliferating cell
nuclear antigen (PCNA) expression by GA and SY combination enhanced the
regeneration of liver tissue after CCl4 -administration. The expression of
Collagen1, alpha-smooth muscle actin (alpha-SMA), and transforming growth factor
beta1 (TGFbeta1), was obviously ameliorated by GA and SY combination, suggesting
the amelioration of profibrotic response of the liver. Taken together, our
current study suggests that GA and SY combination exhibit a significant
hepatoprotective activity, which more efficient than GA or SY alone. PRACTICAL
APPLICATION: Chronic liver diseases are the serious health problems, which
increase the morbidity and mortality in the world today. Selenium-enriched yeast
(SY) and Gum Arabic (GA) combination might be potential dietary agents could
obviously ameliorate chronic liver damage, higher than GA and SY alone. They act
to suppress the inflammation and inhibit the profibrotic response as well as
support the liver regeneration.
PMID- 29350752
TI - Importance of Applying Condiments in a Commonly Consumed Food System for
Understanding the Association Between Familiarity and Sensory Drivers of Liking:
A Study Focused on Doenjang.
AB - : Doenjang, a Korean traditional fermented soybean paste, is one of the most
essential condiments in Korean cuisine. Condiments are rarely consumed as it is,
and are generally applied to other foods. The objective of this study was to
understand how sensory drivers of liking of Doenjang would be affected according
to food forms in which it is evaluated: the original paste form compared with a
normally consumed soup form, and to understand the association of familiarity of
evaluated food form. Descriptive analysis and consumer acceptability test was
performed in 2 consumption forms: the original paste form and the Doenjang soup
from. For consumer liking test, elderly consumers who have more experience to
traditional Deonjang were compared to the young in their response to Doenjang
paste and soup. The descriptive analysis results showed that the characteristic
sensory features of the Deonjang samples were little affected based on the food
system in which it was evaluated. However, when the paste was applied in soup,
the intensities of the characteristic sensory features were reduced.
Acceptability and familiarity of traditional type Doenjang samples for the young
and for the elderly consumers were very similar in paste, but it differed when
the samples were evaluated in soup. Thus, expectation difference between the
young and the elderly was better revealed in soup, a more common food form
consumed in practice. The results of this study indicate the importance of
understanding sensory drivers of liking for a condiment such as Doenjang in their
commonly consumed forms. PRACTICAL APPLICATION: Compared to the original
condiment form, expectation difference between the young and the elderly were
better revealed in Deonjang soup, a food form normally consumed in practice.
Thus, the results of this study reinforced the importance of investigating
sensory drivers of liking for a condiment in a food form that is normally
consumed in practice for accurate understanding on consumer preference.
PMID- 29350753
TI - Impact of relaxation of the one-child policy on maternal mortality in Guangzhou,
China.
AB - OBJECTIVE: To assess the impact of the one-child policy in China on maternal
mortality. METHODS: The present retrospective study included maternal death data
from Guangdong, China, from January 1, 2006, to December 31, 2015; data from 2013
were excluded because they were not available. Maternal deaths were divided into
legal and illegal pregnancies based on adherence to the one-child policy. The
maternal mortality ratio (MMR) was compared between the groups, temporal trends
in the MMR were examined, and comparisons were made of the causes of death and
access to maternity care. RESULTS: The final analysis included 847 520 live
deliveries and 383 maternal deaths. The MMR among legal pregnancies declined
moderately from 18.5 deaths per 100 000 live deliveries in 2006 to 12.2 deaths
per 100 000 live deliveries in 2015 (P=0.029), whereas the MMR among illegal
pregnancies declined dramatically from 1268.4 deaths per 100 000 live deliveries
to 177.5 deaths per 100 000 live deliveries (P<0.001). The proportion of
avoidable maternal deaths decreased and access to quality maternity care improved
among illegal pregnancies during the study period. CONCLUSIONS: Maternal
mortality among illegal pregnancies declined with relaxation of the one-child
policy in China.
PMID- 29350754
TI - Neurocognitive differences between inpatients and outpatients with
symptomatically nonremitted schizophrenia: A cross-sectional study.
AB - PURPOSE: We aimed to examine whether neurocognition in individuals with
nonremitted schizophrenia differed between inpatients and outpatients. DESIGN AND
METHODS: We recruited 59 inpatients and 41 patients with nonremitted
schizophrenia (assessed with the Andreason criteria), and neurocognitive
functions were compared between both, controlling for demographics, psychiatric
symptoms. FINDINGS: Outpatients with nonremitted schizophrenia performed better
on tests of processing speed, visual attention, and working memory than did
inpatients with nonremitted. PRACTICE IMPLICATIONS: To facilitate discharge for
nonremitted inpatients, nursing could focus on processing speed, e.g., arranging
the environment such that patients do not need quick responses.
PMID- 29350755
TI - Microbial and Chemical Shelf-Life of Vacuum Steam-Pasteurized Whole Flaxseed and
Milled Flaxseed.
AB - : Flaxseed is an oilseed with many health benefits. Flaxseed may be consumed raw
or in processed form. In the raw form, there is a potential for microbial
contamination. Several pasteurization methods have been used to reduce microbial
contamination. However, such treatments may affect chemical properties of foods.
In this study, vacuum steam-pasteurization was conducted on whole flaxseed and
milled flaxseed using 4 different conditions (3 min at 75 degrees C, 3 min at 90
degrees C, 9 min at 90 degrees C, and 3 min at 105 degrees C). Microbial and
chemical shelf-life was monitored for 28 wk (36 wk for aerobic plate counts).
Significant reduction (P < 0.05) in microbial counts (total aerobic plate counts,
and yeast and mold counts) occurred after pasteurization and during storage of
both whole flaxseed and milled flaxseed. Although both the moisture content and
aw increased after pasteurization, they were similar to the unpasteurized samples
during storage. Peroxide value, free fatty acid, headspace volatiles, fatty acid
profiles, oil content, and secoisolariciresinol diglucoside (SDG) content were
chemical indices measured. Only small changes were observed in the chemical
indices after vacuum steam-pasteurization for both pasteurized whole flaxseed and
milled flaxseed as compared to the unpasteurized flaxseed at most instances.
Vacuum steam-pasteurization can be used as a safe alternative for the microbial
reduction of low-moisture products, such as flaxseed, without significantly
affecting chemical stability. PRACTICAL APPLICATION: Vacuum steam-pasteurization
can be effectively used for the treatment of whole flaxseed and milled flaxseed
to reduce spoilage microorganisms, such as total aerobes and yeasts and molds. In
addition, this pasteurization method had minimal effects on several chemical
shelf-life parameters with positive impact on SDG of the processed flaxseed.
PMID- 29350756
TI - Cytocompatibility of Biodentine and iRoot FS with human periodontal ligament
cells: an in vitro study.
AB - AIM: To evaluate the cytocompatibility of Biodentine and iRoot FS with human
periodontal ligament cells (hPDLCs). METHODOLOGY: Human periodontal ligament
cells were characterized by flow cytometry and immunocytochemical analysis. Human
periodontal ligament cell adhesion was assessed by scanning electron microscopy
at day 3; proliferation by live/dead assay at days 1, 3 and 7; and osteogenic
differentiation by alkaline phosphatase (ALP) activity staining, ALP
quantification analysis and qRT-PCR at days 7 and 14. Data were analysed with
anova and independent sample t-tests with SPSS 21.0. RESULTS: Both iRoot FS and
Biodentine increased the adhesion of hPDLCs at day 3. Compared to Biodentine,
iRoot FS positively increased hPDLC proliferation on days 3 (P = 0.03) and 7 (P =
0.00). Osteogenic marker ALP was observed consistently in all samples, with iRoot
FS having significantly higher ALP activity at day 14 (P = 0.00). Compared with
Biodentine, iRoot FS significantly increased the mRNA level of ALP, COL1 and
Runx2, and OCN increased only on day 14 (P < 0.05). CONCLUSIONS: iRoot FS had a
positive effect on the adhesion, proliferation and biomineralization of hPDLCs
compared with Biodentine.
PMID- 29350757
TI - Hepatoprotective Effects of Sophoricoside against Fructose-Induced Liver Injury
via Regulating Lipid Metabolism, Oxidation, and Inflammation in Mice.
AB - : The dried fruit of Sophora japonica L. is a traditional Chinese herb tea rich
in sophoricoside that is an isoflavone glycoside. The aim of current study was to
investigate the hepatic protective effect of sophoricoside in high fructose (HF)
diet fed mice. Healthy male mice were fed 30% fructose water and treated 80 and
160 mg/kg.bw sophoricoside continuously for 8 wk. Our data showed that
administration of sophoricoside at 80 and 160 mg/kg.bw observably decreased the
body weight and liver weight in HF-fed mice. It was found that the treatment of
sophoricoside decreased the hepatic cholesterol and triglyceride levels, and
serum low-density lipoprotein-cholesterol and apolipoprotein-B levels, and
elevated the serum high-density lipoprotein-cholesterol and apolipoprotein-A1
levels. Moreover, the administration of sophoricoside decreased the HF-caused
elevations of hepatic malonaldehyde, interleukin-1 and tumor necrosis factor
alpha levels, while increased the HF-induced decreases of hepatic superoxide
dismutase and glutathione peroxidase activities. Meanwhile, serum aspartate
aminotransferase, alanine aminotransferase, and alkaline phosphatase activities
were reduced by treatment of sophoricoside in HF-fed mice. Histopathology of
hematoxylin and eosin (H&E) and oil red O staining of liver tissues also
confirmed the beneficial effects of sophoricoside against liver injury induced by
HF-diet in mice. These findings indicated that sophoricoside may be a novel
natural isoflavone for alleviating HF-induced liver injury. PRACTICAL
APPLICATION: Fruit of Sophora japonica L. is a traditional herb tea and it
recently becomes popular in China. Sophoricoside is an isoflavone glycoside
(Genistein-4'-O-beta-d-glucopyranoside) isolated from S. japonical L, and it
possessed differential effects on the body health. The ingestion of sophoricoside
or sophora fruit tea may be a novel strategy to prevent non-alcoholic fatty liver
disease.
PMID- 29350758
TI - Obesity and Pediatric Drug Development.
AB - There is a lack of dosing guidelines for use in obese children. Moreover, the
impact of obesity on drug safety and clinical outcomes is poorly defined. The
paucity of information needed for the safe and effective use of drugs in obese
patients remains a problem, even after drug approval. To assess the current
incorporation of obesity as a covariate in pediatric drug development, the
pediatric medical and clinical pharmacology reviews under the Food and Drug
Administration (FDA) Amendments Act of 2007 and the FDA Safety and Innovation Act
(FDASIA) of 2012 were reviewed for obesity studies. FDA labels were also reviewed
for statements addressing obesity in pediatric patients. Forty-five drugs studied
in pediatric patients under the FDA Amendments Act were found to have statements
and key words in the medical and clinical pharmacology reviews and labels related
to obesity. Forty-four products were identified similarly with pediatric studies
under FDASIA. Of the 89 product labels identified, none provided dosing
information related to obesity. The effect of body mass index on drug
pharmacokinetics was mentioned in only 4 labels. We conclude that there is little
information presently available to provide guidance related to dosing in obese
pediatric patients. Moving forward, regulators, clinicians, and the
pharmaceutical industry should consider situations in drug development in which
the inclusion of obese patients in pediatric trials is necessary to facilitate
the safe and effective use of new drug products in the obese pediatric
population.
PMID- 29350761
TI - Laser-Assisted Endoscopic Stapedectomy: A Prospective Study.
AB - Objective To improve the techniques required to perform a stapedotomy without
prosthesis (stapedioplasty). Study Design New infrared lasers were evaluated for
potential use in otological surgery in guinea pigs. A prospective human trial of
34 primary stapes operations using the Argon ion laser was performed, with 11
stapedioplasties and 23 conventional stapedotomies as controls. Methods Laser
tissue interactions were evaluated for temporal bone and live guinea pig tissues,
measuring crater histology and labyrinthine temperature elevations. Patients
undergoing stapedioplasty had Argon ion laser cuts with endoscopic assistance
made in the anterior crus and footplate to mobilize the posterior segment of the
stapes while the anterior portion remained fixed. Results Diode laser (808-nm)
vaporization craters and temperature elevations in the vestibule were suitable
for clinical use. Overall, stapedioplasty patients' hearing was improved with air
bone gap closure to a mean of 8.3 dB (SD +/- 9.8 dB). Conclusions Patients with
anterior footplate otosclerosis are candidates for stapedioplasty preserving the
annular ring and stapes tendon and eliminating prosthesis complications. High
resolution small endoscopes, coupled with Argon ion or diode lasers promise to
improve stapes visualization, enhancing the ability to perform minimally invasive
surgery on the stapes footplate.
PMID- 29350759
TI - Extreme rainfall affects assembly of the root-associated fungal community.
AB - Global warming is resulting in increased frequency of weather extremes. Root
associated fungi play important roles in terrestrial biogeochemical cycling
processes, but the way in which they are affected by extreme weather is unclear.
Here, we performed long-term field monitoring of the root-associated fungus
community of a short rotation coppice willow plantation, and compared community
dynamics before and after a once in 100 yr rainfall event that occurred in the UK
in 2012. Monitoring of the root-associated fungi was performed over a 3-yr period
by metabarcoding the fungal internal transcribed spacer (ITS) region. Repeated
soil testing and continuous climatic monitoring supplemented community data, and
the relative effects of environmental and temporal variation were determined on
the root-associated fungal community. Soil saturation and surface water were
recorded throughout the early growing season of 2012, following extreme rainfall.
This was associated with a crash in the richness and relative abundance of
ectomycorrhizal fungi, with each declining by over 50%. Richness and relative
abundance of saprophytes and pathogens increased. We conclude that extreme
rainfall events may be important yet overlooked determinants of root-associated
fungal community assembly. Given the integral role of ectomycorrhizal fungi in
biogeochemical cycles, these events may have considerable impacts upon the
functioning of terrestrial ecosystems.
PMID- 29350762
TI - Occurrence of Ochratoxins, Fumonisin B2 , Aflatoxins (B1 and B2 ), and Other
Secondary Fungal Metabolites in Dried Date Palm Fruits from Egypt: A Mini-Survey.
AB - : This study was conducted to investigate the natural co-occurrence of 295 fungal
and bacterial metabolites in 28 samples of dried date palm fruits collected from
different shops distributed in Assiut Governorate, Upper Egypt in 2016.
Extraction and quantification of the target analytes were done using the "dilute
and shoot" approach followed by liquid chromatography-tandem mass spectrometry
(LC-MS/MS) analysis. In total, 30 toxic fungal metabolites were detected. Among
these metabolites, 4 types of ochratoxins including ochratoxin type A and B were
quantified in 3 samples (11%) with a contamination range from 1.48 to 6070 MUg/kg
for ochratoxin A and from 0.28 to 692 MUg/kg for ochratoxin B. In addition,
fumonisin B2 was observed in 2 (7%) samples with contamination levels ranging
from 4.99 to 16.2 MUg/kg. The simultaneous detection of fumonisin B2 in the same
contaminated samples with ochratoxins indicates the fungal attack by Aspergillus
niger species during storage. Only 1 sample was contaminated with aflatoxin B1
(14.4 MUg/kg) and B2 (2.44 MUg/kg). The highest maximum concentration (90400
MUg/kg) was for kojic acid that contaminated 43% of the samples. To the best of
the authors' knowledge, this is the first report of the natural co-occurrence of
fumonisin B2 and ochratoxin A and B in addition to a wide range of other fungal
metabolites in date palm fruits. PRACTICAL APPLICATION: Mycotoxins are secondary
metabolites produced by different fungi. These metabolites pose a potential risk
on human health since they contaminate many food commodities. Among these, date
palm fruits which are an integral part of diet in several countries. Therefore,
detection of mycotoxins is a prerequisite to insure the safety of food. Here,
different types of mycotoxins have been detected in levels that may have health
hazard.
PMID- 29350764
TI - The Protective Effect of Antarctic Krill Oil on Cognitive Function by Inhibiting
Oxidative Stress in the Brain of Senescence-Accelerated Prone Mouse Strain 8
(SAMP8) Mice.
AB - : Alzheimer's disease (AD) is a common neurodegenerative disorder, and oxidative
stress plays a vital role in its progression. Antarctic krill oil (AKO) is rich
in polyunsaturated fatty acids, which has various biological activities, such as
improving insulin sensitivity, alleviating inflammation and ameliorating
oxidative stress. In this study, the protective effect of AKO against AD were
investigated in senescence-accelerated prone mouse strain 8 (SAMP8) mice. Results
showed that treatment with AKO could effectively ameliorate learning and memory
deficits and ease the anxiety in SAMP8 mice by Morris water maze, Barnes maze
test and open-field test. Further analysis indicated that AKO might reduce beta
amyloid (Abeta) accumulation in hippocampus through decreasing the contents of
malondialdehyde (MDA) and 7,8-dihydro-8-oxoguanine (8-oxo-G), increasing the
superoxide dismutase (SOD) and glutathione peroxidase (GSH-Px) activities in the
brain of SAMP8 mice. PRACTICAL APPLICATION: The results of Morris water maze,
Barnes maze test and open-field test indicated that Antarctic krill oil (AKO)
improved the cognitive function and anxiety of SAMP8 mice. AKO reduced the
Abeta42 level in hippocampus of SAMP8 mice. AKO ameliorated oxidative stress in
brain rather than in serum and liver of SAMP8 mice.
PMID- 29350765
TI - Probability and correlates of nicotine dependence among smokers with and without
major depressive disorder: Results from the national epidemiology survey on
alcohol and related conditions.
AB - PURPOSE: This study aims to use data from a nationally representative survey to
examine the correlates of nicotine dependence among smokers with and without
major depressive disorder. METHODS: Data were drawn from the Wave 1 of the
National Epidemiology Survey on Alcohol and Related Conditions (NESARC, 2001
2002). Logistic regression analyses taking into account the complex sampling
design were used to examine the correlates of nicotine dependence. RESULTS: The
typical correlates of nicotine dependence (odds > 1.44 or 1/1.44, effect size (d)
> .2) were age, race, employment, weight, and the interaction effects of gender
with age of first use, age at onset of daily smoking, and quantity of smoking.
CONCLUSION AND PRACTICE IMPLICATIONS: This study will increase psychiatric
nurses' understanding and awareness regarding the correlates of nicotine
dependence.
PMID- 29350763
TI - Molecular allergen profiling in horses by microarray reveals Fag e 2 from
buckwheat as a frequent sensitizer.
AB - BACKGROUND: Companion animals are also affected by IgE-mediated allergies, but
the eliciting molecules are largely unknown. We aimed at refining an allergen
microarray to explore sensitization in horses and compare it to the human IgE
reactivity profiles. METHODS: Custom-designed allergen microarray was produced on
the basis of the ImmunoCAP ISAC technology containing 131 allergens. Sera from 51
horses derived from Europe or Japan were tested for specific IgE reactivity. The
included horse patients were diagnosed for eczema due to insect bite
hypersensitivity, chronic coughing, recurrent airway obstruction and urticaria or
were clinically asymptomatic. RESULTS: Horses showed individual IgE-binding
patterns irrespective of their health status, indicating sensitization. In
contrast to European and Japanese human sensitization patterns, frequently
recognized allergens were Aln g 1 from alder and Cyn d 1 from Bermuda grass,
likely due to specific respiratory exposure around paddocks and near the ground.
The most prevalent allergen for 72.5% of the tested horses (37/51) was the 2S
albumin Fag e 2 from buckwheat, which recently gained importance not only in
human but also in horse diet. CONCLUSION: In line with the One Health concept,
covering human health, animal health and environmental health, allergen
microarrays provide novel information on the allergen sensitization patterns of
the companion animals around us, which may form a basis for allergen-specific
preventive and therapeutic concepts.
PMID- 29350766
TI - Untangling the complexity of limb-girdle muscular dystrophies.
AB - The limb-girdle muscular dystrophies (LGMDs) are a group of genetically
heterogeneous, autosomal inherited muscular dystrophies with a childhood to adult
onset, manifesting with hip- and shoulder-girdle muscle weakness. When the term
LGMD was first conceptualized in 1954, it was thought to be a single entity.
Currently, there are 8 autosomal dominant (LGMD1A-1H) and 26 autosomal recessive
(LGMD2A-2Z) variants according to the Online Mendelian Inheritance in Man
database. In addition, there are other genetically identified muscular
dystrophies with an LGMD phenotype not yet classified as LGMD. This highlights
the entanglement of LGMDs, which represents an area in continuous expansion.
Herein we aim to simplify the complexity of LGMDs by subgrouping them on the
basis of the underlying defective protein and impaired function. Muscle Nerve 58:
167-177, 2018.
PMID- 29350767
TI - Revealing potential functions of VBNC bacteria in polycyclic aromatic
hydrocarbons biodegradation.
AB - : The bioremediation of polycyclic aromatic hydrocarbon (PAH)-contaminated sites
is not running smoothly, because of the lower activity of PAH-degrading bacteria
in actual bioremediation applications. The phenomenon of "viable but
nonculturable" (VBNC) state may be a main limiting factor for their poor
biodegradation capabilities of PAHs. Due to their abilities of entering into the
VBNC state, most of bacterial populations with PAH-degradation potential remain
unculturable. Resuscitation of VBNC bacteria will enhance the degradation
capability of indigenous bacteria which will eventually obtain their better
capabilities in environmental bioremediation. Although evidences have been
presented indicating that resuscitation of VBNC bacteria in polychlorinated
biphenyl (PCB)-contaminated environments not only significantly enhanced PCB
degradation, but also obtained novel highly efficient PCB-degrading bacteria,
scanty information is available on the VBNC bacteria in PAH-contaminated sites.
VBNC bacteria, as a vast majority of potential microbial resource could be the
repository of novel highly efficient PAH-biodegraders. Therefore, studies need to
be done on resuscitation of VBNC bacteria to overcome key bottlenecks in
bioremediation of PAH-contaminated sites. This mini-review provides a new insight
into the potential functions of VBNC bacteria in PAHs biodegradation.
SIGNIFICANCE AND IMPACT OF THE STUDY: As the vast majority microbial resource,
viable but nonculturable (VBNC) bacteria, which showed their potential functions
in polycyclic aromatic hydrocarbons (PAHs) biodegradation, can be of great
significance in environmental bioremediation. It is therefore important to
resuscitate VBNC bacteria for their better capabilities. Meanwhile, preventing
the indigenous functional community from entering into the VBNC state will also
maintain the high activity of PAH-degrading bacteria in actual bioremediation
applications. Undoubtedly, much more work needs to be done to reveal indigenous
micro-organisms in the VBNC state from the perspective of environmental
functions.
PMID- 29350768
TI - Diet affects gut microbiota and modulates hospitalization risk differentially in
an international cirrhosis cohort.
AB - : The relative ranking of cirrhosis-related deaths differs between high-/middle
income countries. Gut microbiome is affected in cirrhosis and is related to diet.
Our aim was to determine the effect of differing dietary habits on gut microbiota
and clinical outcomes. Outpatient compensated/decompensated patients with
cirrhosis and controls from Turkey and the United States underwent dietary and
stool microbiota analysis. Patients with cirrhosis were followed till 90-day
hospitalizations. Shannon diversity and multivariable determinants (Cox and
binary logistic) of microbial diversity and hospitalizations were studied
within/between groups. Two hundred ninety-six subjects (157 U.S.: 48 controls, 59
compensated, 50 decompensated; 139 Turkey: 46 controls, 50 compensated, 43
decompensated) were included. Patients with cirrhosis between cohorts had similar
Model for End-Stage Liver Disease (MELD) scores. American patients with cirrhosis
had more men, greater rifaximin/lactulose use, and higher hepatitis C/alcohol
etiologies. Coffee intake was higher in Americans whereas tea, fermented milk,
and chocolate intake were higher in Turkey. The entire Turkish cohort had a
significantly higher microbial diversity than Americans, which did not change
between their controls and patients with cirrhosis. In contrast, microbial
diversity changed in the U.S.-based cohort and was the lowest in decompensated
patients. Coffee, tea, vegetable, chocolate, and fermented milk intake predicted
a higher diversity whereas MELD score, lactulose use, and carbonated beverage use
predicted a lower microbial diversity. The Turkish cohort had a lower risk of 90
day hospitalizations. On Cox and binary logistic regression, microbial diversity
was protective against 90-day hospitalizations, along with coffee/tea, vegetable,
and cereal intake. CONCLUSION: In this study of patients with cirrhosis and
healthy controls from the United States and Turkey, a diet rich in fermented
milk, vegetables, cereals, coffee, and tea is associated with a higher microbial
diversity. Microbial diversity was associated with an independently lower risk of
90-day hospitalizations. (Hepatology 2018;68:234-247).
PMID- 29350769
TI - Myotilinopathy unmasked by statin treatment: A case report.
PMID- 29350770
TI - The effects of work factors on nurses' job satisfaction, quality of care and
turnover intentions in oncology.
AB - AIMS: We examined the effects of perceived supervisor support, value congruence
and hospital nurse staffing on nurses' job satisfaction through the satisfaction
of the three psychological needs for autonomy, competence and relatedness. Then,
we examined the links between job satisfaction and quality of care as well as
turnover intentions from the workplace. BACKGROUND: There is growing interest in
the relationships between work factors and nurses' job satisfaction. However,
minimal research has investigated the effects of perceived supervisor support,
value congruence and staffing on nurses' job satisfaction and the psychological
mechanisms by which these factors lead to positive outcomes. DESIGN: A cross
sectional questionnaire was distributed in 11 oncology units between September
2015 - February 2016. METHOD: Data were collected from a sample of 144 French
nurses who completed measures of perceived supervisor support, value congruence,
staffing adequacy, psychological need satisfaction, job satisfaction, quality of
care and turnover intentions. RESULTS: The hypothesized model was tested with
path analyses. Results revealed that psychological need satisfaction partially
mediated the effects of perceived supervisor support, value congruence and
hospital nurse staffing on job satisfaction. Moreover, job satisfaction was
positively associated with quality of care and negatively linked to turnover
intentions. CONCLUSION: Overall, these findings provide insight into the
influence of perceived supervisor support, value congruence and staffing on
nurses' attitudes and behaviours.
PMID- 29350771
TI - Recombinant relaxin protects liver transplants from ischemia damage by hepatocyte
glucocorticoid receptor: From bench-to-bedside.
AB - : Hepatic ischemia-reperfusion injury (IRI) represents a major risk factor of
early graft dysfunction and acute/chronic rejection as well as a key obstacle to
expanding the donor pool in orthotopic liver transplantation (OLT). Although
glucocorticoid receptor (GR) signaling may enhance cytoprotective programs,
clinical use of glucocorticoid is limited because of adverse effects, whereas
clinical relevance of GR-facilitated cytoprotection in OLT remains unknown. We
aimed to evaluate the significance of hepatic GR in clinical OLT and verify the
impact of recombinant human relaxin (rhRLX), which may function as a GR agonist
in a tissue/disease-specific manner. Fifty-one OLT patients were recruited under
an institutional research board (IRB) protocol. Liver biopsies were collected
after cold storage (presurgery) and 2 hours postreperfusion (before abdominal
closure), followed by western blotting-assisted hepatic analyses. Forty-three
percent of OLTs failed to increase GR perioperatively under surgical stress. Post
/pre-GR ratios at postoperative day 1 correlated negatively with serum aspartate
aminotransferase (AST)/cleaved caspase-3 and positively with B-cell lymphoma
extra large (Bcl-xL)/B-cell lymphoma 2 (Bcl-2) levels. In a murine OLT model with
extended (18-hour) cold storage, treatment with rhRLX ameliorated ischemia
reperfusion (IR) damage and improved survival while up-regulating hepatocyte GR
and Bcl-xL/Bcl-2 expression in OLT. rhRLX-induced GR suppressed hepatocyte high
mobility group box 1 (HMGB1) translocation/release, accompanied by decreased Toll
like receptor 4 (TLR4)/receptor for advanced glycation end products (RAGE),
suppressed interleukin 1 beta (IL1beta), chemokine (C-C motif) ligand 2 (CCL2), C
X-C motif chemokine (CXCL)10, tumor necrosis factor alpha (TNFalpha), CXCL1, and
CXCL2 levels, and attenuated neutrophil/macrophage accumulation in OLT.
Inhibition of GR in hepatocyte culture and in OLT diminished rhRLX-mediated
cytoprotection. CONCLUSION: This translational study underscores the role of
rhRLX-GR signaling as a regulator of hepatocellular protection against IR stress
in OLT. In the context of a recent phase III clinical trial demonstrating
positive outcomes of rhRLX in patients with acute heart failure, studies on rhRLX
for the management of IRI in OLT recipients are warranted. (Hepatology
2018;68:258-273).
PMID- 29350772
TI - Arsenite-induced histone H3 modification and its effects on EGR1 and FOS
expression in HeLa cells.
AB - It is evident that trivalent arsenicals do not have mutagenicity, but they are
human carcinogens. Recently, epigenetic modification has been considered as one
of the important causes of arsenical carcinogenicity. Here we examined global
histone H3 modification by trivalent inorganic arsenite (iAs(III)) and its
contribution to gene expression in HeLa cells. iAs(III) induced histone H3K9
dimethylation (H3K9me2) and trimethylation (H3K9me3), histone H3S10
phosphorylation (H3S10p), histone H3T11 phosphorylation (H3T11p) and histone
H3K9S10 trimethyl-phosphorylation (H3K9me3S10p). Among these modifications,
H3S10p, H3T11p and H3K9me3S10p were observed as a punctate signal in interphase
cells, which seems to associate with remodeling of the chromatin structure at the
specific locus. A chromatin immunoprecipitation assay was performed to examine
histone H3 modifications around the FOS, EGR1 and IL8 promoters, as previous
studies revealed some relation between histone H3 modification and induction of
these genes. iAs(III) increased H3S10p and H3K9me3S10p in the FOS promoter around
the SRE/ELK1 binding site (-400 to -200) and CRE-binding site (-50). In contrast,
histone H3 around the EGR1 promoter of SRE/CRE-binding site (-200 to -50) was
modified to H3S10p and H3K9me3S10p by iAs(III). Reporter gene assays with
deletion mutants of the FOS and EGR1 promoters revealed that the around SRE/ELK1
site is important for iAs(III)-mediated FOS induction, and SRE/CRE site for EGR1
induction. Collectively, these results demonstrate that iAs(III) induces histone
H3 modifications around the transcription factor binding sites of the FOS and
EGR1 promoter, and these modifications seem to be important in transcriptional
activation of these genes.
PMID- 29350773
TI - Effect of titanium dioxide nanoparticles on glucose homeostasis after oral
administration.
AB - As food additives, titanium dioxide nanoparticles (TiO2 NPs) have been widely
used in various products that are usually simultaneously consumed with a high
content of sugar, thus necessitating research on the effect of TiO2 NPs on
glucose homeostasis. We conducted an animal study to explore the effect of orally
administrated TiO2 NPs on glucose absorption and metabolism in rats at 0, 2, 10
and 50 mg kg-1 body weight day-1 for 30 and 90 days. The results showed that oral
exposure to TiO2 NPs caused a slight and temporary hypoglycemic effect in rats at
30 days post-exposure but recovered at 90 days post-exposure. Decreased levels of
intestinal glucose absorption and increased levels of hepatic glucose metabolism
may be responsible for the hypoglycemic effect. Remodeling of the villi in the
small intestine that decreased the surface area available for glucose absorption
and increased levels of hepatic glucose uptake, utilization and storage related
to hepatocellular injury are supposed to be the mechanisms. Our results
demonstrated that dietary intake of TiO2 NPs as food additives could affect the
absorption and metabolism of glucose.
PMID- 29350774
TI - Hepatitis B virus surface gene pre-S2 mutant as a high-risk serum marker for
hepatoma recurrence after curative hepatic resection.
AB - : Chronic hepatitis B virus (HBV) infection is the major cause of hepatocellular
carcinoma (HCC). The pre-S2 mutant large HBV surface antigen (LHBS) is highly
associated with HCC. This study analyzed the expression of the large form of
surface protein in tumors and evaluated the LHBS with mutations within the pre-S2
region as a high-risk recurrence marker in HCC patients after curative hepatic
resection. By analyses using immunohistochemical staining (n = 12) and western
blotting (n = 22), the HBV surface protein, which is mainly comprised of the
major form of HBV surface antigen, was greatly diminished in the tumors. However,
LHBS was not significantly decreased in tumorous regions, suggesting that LHBS
maintains its expression in cancer development. A cohort of 175 patients with HBV
related HCC who underwent curative hepatic resection was analyzed for pre-S gene
mutations using Pre-S Gene Chip. Results of the multivariate regression analysis
showed that the serum pre-S2 mutant level and the American Joint Committee on
Cancer stage were the two main independent high-risk factors for recurrence. A
Cox proportional hazards analysis also revealed a prediction model, which
indicated the recurrence-free survival rate along with the time after surgery;
this was developed and further validated in an independent HCC cohort. Receiver
operating characteristic curve analysis revealed that the model showed close
sensitivities in the main and validation cohorts (area under the curve values,
0.741 and 0.704, respectively). CONCLUSION: Unlike the major HBV surface antigen,
LHBS is mostly expressed in the tumorous regions of HBV-induced HCC, indicating
that it plays a unique role in tumor progression; the relative level of pre-S2
mutant in serum is, independently of tumor stage, an important high-risk marker
for HCC recurrence after primary hepatic resection. (Hepatology 2018).
PMID- 29350775
TI - Randomized trial of transcranial direct current stimulation for poststroke
dysphagia.
AB - OBJECTIVE: We evaluated whether transcranial direct current stimulation (tDCS) is
able to enhance dysphagia rehabilitation following stroke. Besides relating
clinical effects with neuroplastic changes in cortical swallowing processing, we
aimed to identify factors influencing treatment success. METHODS: In this double
blind, randomized study, 60 acute dysphagic stroke patients received
contralesional anodal (1mA, 20 minutes) or sham tDCS on 4 consecutive days.
Swallowing function was thoroughly assessed before and after the intervention
using the validated Fiberoptic Endoscopic Dysphagia Severity Scale (FEDSS) and
clinical assessment. In 10 patients, swallowing-related brain activation was
recorded applying magnetoencephalography before and after the intervention. Voxel
based statistical lesion pattern analysis was also performed. RESULTS: Study
groups did not differ according to demographic data, stroke characteristics, or
baseline dysphagia severity. Patients treated with tDCS showed greater
improvement in FEDSS than the sham group (1.3 vs 0.4 points, mean difference =
0.9, 95% confidence interval [CI] = 0.4-1.4, p < 0.0005). Functional recovery was
accompanied by a significant increase of activation (p < 0.05) in the
contralesional swallowing network after real but not sham tDCS. Regarding
predictors of treatment success, for every hour earlier that treatment was
initiated, there was greater improvement on the FEDSS (adjusted odds ratio =
0.99, 95% CI = 0.98-1.00, p < 0.05) in multivariate analysis. Stroke location in
the right insula and operculum was indicative of worse response to tDCS (p <
0.05). INTERPRETATION: Application of tDCS over the contralesional swallowing
motor cortex supports swallowing network reorganization, thereby leading to
faster rehabilitation of acute poststroke dysphagia. Early treatment initiation
seems beneficial. tDCS may be less effective in right-hemispheric insulo
opercular stroke. Ann Neurol 2018;83:328-340.
PMID- 29350776
TI - The existential experience of everyday life with systemic lupus erythematosus.
AB - AIM: To explore from the perspective of women the nature of basic existential
conditions while living with systemic lupus erythematosus. BACKGROUND: Systemic
lupus erythematosus has an unpredictable disease course and is documented to
cause an existential rearrangement of life. The significance of changes in
existential conditions and related experiences are unclear in the context of
nursing and women with systemic lupus erythematosus. DESIGN: A qualitative design
guided by Van Manen's hermeneutic-phenomenological methodology. METHOD:
Individual in-depth interviews with 15 women diagnosed with systemic lupus
erythematosus and of various ages, disease durations and severities were
undertaken from September 2013 - October 2015. Data were analysed following van
Manen's phenomenological approach and using drawing as an interpretive tool.
FINDINGS: The main existential experience was interpreted as a person "moving
with the waves of systemic lupus erythematosus" constituted by the themes
"oscillating between presence and absence of systemic lupus erythematosus,"
"recognizing space and bodily possibilities and limitations" and "being enriched
through relationships and activities." When systemic lupus erythematosus was
flaring, well-being was threatened and a laborious time to escape the feeling of
a setback-in-life persisted long after the disease was medically under control.
CONCLUSION: Daily life with systemic lupus erythematosus is conditioned by a
prominent need to be in existential motion, related to the absence and presence
of systemic lupus erythematosus. The experience of a setback-in-life by illness
might challenge well-being and indicates that periods of disease flares or
disturbing symptoms are critical time points to provide support.
PMID- 29350777
TI - The pathology of lumbosacral lipomas: macroscopic and microscopic disparity have
implications for embryogenesis and mode of clinical deterioration.
AB - AIMS: Lumbosacral lipomas (LSL) are congenital disorders of the terminal spinal
cord region that have the potential to cause significant spinal cord dysfunction
in children. They are of unknown embryogenesis with variable clinical
presentation and natural history. It is unclear whether the spinal cord
dysfunction reflects a primary developmental dysplasia or whether it occurs
secondarily to mechanical traction (spinal cord tethering) with growth. While
different anatomical subtypes are recognised and classified according to
radiological criteria, these subtypes correlate poorly with clinical prognosis.
We have undertaken an analysis of surgical specimens in order to describe the
spectrum of histological changes that occur and have correlated the histology
with the anatomical type of LSL to determine if there are distinct histological
subtypes. METHODS AND RESULTS: The histopathology was reviewed of 64 patients who
had undergone surgical resection of LSL. The presence of additional tissues and
cell types were recorded. LSLs were classified from pre-operative magnetic
resonance imaging (MRI) scans according to Chapman classification. Ninety-five
per cent of the specimens consisted predominantly of mature adipocytes with all
containing thickened bands of connective tissue and peripheral nerve fibres, 91%
of samples contained ectatic blood vessels with thickened walls, while 22%
contained central nervous system (CNS) glial tissue. Additional tissue was
identified of both mesodermal and neuroectodermal origin. CONCLUSIONS: Our
analysis highlights the heterogeneity of tissue types within all samples, not
reflected in the nomenclature. The diversity of tissue types, consistent across
all subtypes, challenges currently held notions regarding the embryogenesis of
LSLs and the assumption that clinical deterioration is due simply to tethering.
PMID- 29350778
TI - Re: Folate status and autism spectrum disorders.
PMID- 29350779
TI - Studying Infant Feeding and Growth: Timing is Everything.
PMID- 29350780
TI - An evolutionary concept analysis of futility in health care.
AB - AIM: To report a concept analysis of futility in health care. BACKGROUND: Each
member of the healthcare team: the physician, the nurse, the patient, the family
and all others involved perceive futility differently. The current evidence and
knowledge in regard to futility in health care manifest a plethora of
definitions, meanings and interpretations without consensus. DESIGN: Concept
analysis. DATA SOURCES: Databases searched included Medline, Cumulative Index of
Nursing and Allied Health Literature, Academic Search Premier, Cochrane Database
of Systematic Reviews and PsycINFO. Search terms included "futil*," "concept
analysis," "concept," "inefficacious," "non-beneficial," "ineffective" and
"fruitless" from 1935-2016 to ensure a historical perspective of the concept. A
total of 106 articles were retained to develop the concept. METHODS: Rogers'
evolutionary concept analysis was used to evaluate the concept of futility from
ancient medicine to the present. RESULTS: Seven antecedents (the patient/family
autonomy, surrogate decision-making movement, the patient-family/physician
relationship, physician authority, legislation and court rulings, catastrophic
events and advancing medical technology) lead to four major attributes
(quantitative, physiologic, qualitative, and disease-specific). Ultimately,
futile care could lead to consequences such as litigation, advancing technology,
increasing healthcare costs, rationing, moral distress and ethical dilemmas.
CONCLUSION: Futility in health care demonstrates components of a cyclical process
and a consensus definition is proposed. A framework is developed to clarify the
concept and articulate relationships among attributes, antecedents and
consequences. Further testing of the proposed definition and framework are
needed.
PMID- 29350781
TI - Effects of work environment and job characteristics on the turnover intention of
experienced nurses: The mediating role of work engagement.
AB - AIM: To assess turnover intention among experienced nurses and explore the
effects of work environment, job characteristics and work engagement on turnover
intention. BACKGROUND: The nursing shortage is an urgent concern in China. A high
turnover rate of experienced nurses could have serious effects on the quality of
care, costs and the efficiency of hospitals. It is crucial to explore the
predictors of turnover intention and develop strategies tailored to experienced
nurses. DESIGN: A descriptive, cross-sectional survey design. METHODS: A total of
778 experienced nurses from seven hospitals was surveyed on their work
engagement, job characteristics, work environment and turnover intention in March
May 2017. Structural equation modelling was used to test a theoretical model and
the hypotheses. RESULTS: The results showed that 35.9% of experienced nurses had
high-level turnover intention. The final model explained 50% of the variance in
experienced nurses' turnover intention and demonstrated that: (1) work
environment was positively associated with higher work engagement and lower
turnover intention and work engagement partially mediated the relationship
between work environment and turnover intention; and (2) job characteristics were
positively related to higher work engagement and lower turnover intention and
work engagement fully mediated the relationship between job characteristics and
turnover intention. CONCLUSIONS: The study confirms the intrinsic and extrinsic
motivators on work engagement posited by job demands-resources model. Theory
driven strategies to improve work environment, enhance job characteristics and
promote wok engagement are needed to address the nursing shortage and high
turnover intention among experienced nurses.
PMID- 29350782
TI - Realism and Pragmatism in a mixed methods study.
AB - AIM: A discussion of how adopting a Realist rather than Pragmatist methodology
affects the conduct of mixed methods research. BACKGROUND: Mixed methods
approaches are now extensively employed in nursing and other healthcare research.
At the same time, realist methodology is increasingly used as philosophical
underpinning of research in these areas. However, the standard philosophical
underpinning of mixed methods research is Pragmatism, which is generally
considered incompatible or at least at odds with Realism. This paper argues that
Realism can be used as the basis of mixed methods research and that doing so
carries advantages over using Pragmatism. A mixed method study into patient
handover reports is used to illustrate how Realism affected its design and how it
would have differed had a Pragmatist approach been taken. DESIGN: Discussion
Paper. DATA SOURCES: Philosophers Index; Google Scholar. IMPLICATIONS FOR
NURSING: Those undertaking mixed methods research should consider the use of
Realist methodology with the addition of some insights from Pragmatism to do with
the start and end points of enquiry. CONCLUSION: Realism is a plausible
alternative methodology for those undertaking mixed methods studies.
PMID- 29350783
TI - Malignant mesothelioma in situ.
AB - AIMS: The existence of malignant mesothelioma in situ (MIS) is often postulated,
but there are no accepted morphological criteria for making such a diagnosis.
METHODS AND RESULTS: Here we report two cases that appear to be true MIS on the
basis of in-situ genomic analysis. In one case the patient had repeated
unexplained pleural unilateral effusions. Two thoracoscopies 9 months apart
revealed only visually normal pleura. Biopsies from both thoracoscopies showed
only a single layer of mildly reactive mesothelial cells. However, these cells
had lost BRCA1-associated protein 1 (BAP1) and showed loss of cyclin-dependent
kinase inhibitor 2 (CDKN2A) (p16) by fluorescence in-situ hybridisation (FISH).
NF2 was not deleted by FISH but 28% of the mesothelial cells showed hyperploidy.
Six months after the second biopsy the patient has persisting effusions but no
evidence of pleural malignancy on imaging. The second patient presented with
ascites and minimal omental thickening on imaging, but no visual evidence of
tumour at laparoscopy. Omental biopsy showed a single layer of minimally atypical
mesothelial cells with rare tiny foci of superficial invasion of fat. BAP1
immunostain showed loss of nuclear BAP1 in all the surface mesothelial cells and
the invasive cells. There was CDKN2A deletion, but no deletion of NF2 by FISH.
CONCLUSIONS: These cases show that morphologically bland single-layered surface
mesothelial proliferations with molecular alterations seen previously only in
invasive malignant mesotheliomas exist, and presumably represent malignant MIS.
More cases are need to understand the frequency of such changes and the time
course over which invasive tumour develops.
PMID- 29350784
TI - Novel cardiovascular magnetic resonance oxygenation approaches in understanding
pathophysiology of cardiac diseases.
AB - Cardiovascular magnetic resonance imaging (CMR) permits accurate phenotyping of
many cardiac diseases. CMR's inherent advantages are its non-invasive nature,
lack of ionizing radiation and high accuracy and reproducibility. Furthermore, it
is able to assess many aspects of cardiac anatomy, structure and function.
Specifically, it can characterize myocardial tissue, myocardial function,
myocardial mass, myocardial blood flow/perfusion, irreversible and reversible
injury, all with a high degree of accuracy and reproducibility. Hence, CMR is a
powerful tool in clinical and pre-clinical research. In recent years there have
been novel advances in CMR myocardial tissue characterization. Oxygenation
sensitive CMR (OS-CMR) is a novel non-invasive, contrast independent technique
that permits direct quantification of myocardial tissue oxygenation, both at rest
and during stress. In this review, we will address the principles of the OS-CMR
technique, its recent advances and summarize the studies in the effects of
oxygenation on cardiac diseases.
PMID- 29350785
TI - The monocyte Fcgamma receptors FcgammaRI/gamma and FcgammaRIIA differ in their
interaction with Syk and with Src-related tyrosine kinases.
AB - There are important differences in signaling between the Fc receptor for
immunoglobulin G (IgG) FcgammaRIIA, which uses the Ig tyrosine-activating motif
(ITAM) within its own cytoplasmic domain, and FcgammaRI, which transmits signals
by means of an ITAM located within the cytoplasmic domain of its associated gamma
chain. For example, in transfected epithelial cells and COS-1 cells, FcgammaRIIA
mediates phagocytosis of IgG-coated red blood cells more efficiently than does
FcgammaRI/gamma, and enhancement of phagocytosis by Syk kinase is more pronounced
for FcgammaRI/gamma than for FcgammaRIIA. In addition, structure/function studies
indicate that the gamma-chain ITAM and the FcgammaRIIA ITAM have different
requirements for mediating the phagocytic signal. To study the differences
between FcgammaRIIA and FcgammaRI/gamma, we examined the interaction of
FcgammaRIIA and the FcgammaRI/gamma chimera FcgammaRI-gamma-gamma (extracellular
domain-transmembrane domain-cytoplasmic domain) with Syk kinase and with the Src
related tyrosine kinases (SRTKs) Hck and Lyn in transfected COS-1 cells. Our data
indicate that FcgammaRIIA interacts more readily with Syk than does FcgammaRI
gamma-gamma and suggest that one consequence may be the greater phagocytic
efficiency of FcgammaRIIA compared with FcgammaRI/gamma. Furthermore, individual
SRTKs affect the efficiency of phagocytosis differently for FcgammaRI-gamma-gamma
and FcgammaRIIA and also influence the ability of these receptors to interact
with Syk kinase. Taken together, the data suggest that differences in signaling
by FcgammaRIIA and FcgammaRI-gamma-gamma are related in part to interaction with
Syk and Src kinases and that individual SRTKs play different roles in FcgammaR
mediated phagocytosis.
PMID- 29350786
TI - Multiple biological defects caused by calycosin-7-O-beta-d-glucoside in the
nematode Caenorhabditis elegans are associated with the activation of oxidative
damage.
AB - Calycosin-7-O-beta-d-glucoside (CG) is an important active isoflavone compound in
Radix Astragali that has many bioactivities. However, the toxicological effects
and related toxicological mechanism of CG have been rarely documented. The
purpose of the present study was to evaluate the toxicity effects of CG on the
model organism Caenorhabditis elegans. Some characteristics of the nematode,
including lifespan, movement behavior and reproductive capacity, were used to
detect the toxic effects of CG on C. elegans. The results showed that CG could
shorten the lifespan of C. elegans by up to 25.3% and severely damage the
movement of N2 larvae compared with the control group. Moreover, CG could prolong
the generation times and reduce the brood sizes. Furthermore, CG promoted the
formation of reactive oxygen species (ROS), which caused oxidative stress,
increased the mRNA expression of sod-1, sod-2, sod-3, sod-5, ctl-1, ctl-2 and ctl
3, and induced the antioxidant enzymes activities of superoxide dismutase and
catalase to scavenge free radicals. However, antioxidant treatment experiments
showed that Trolox could reduce the level of ROS caused by CG to the normal state
of the control. These results suggested that the generation and elimination of
ROS could not restore normal homeostasis in C. elegans treated by CG. These
findings indicated that the activation of oxidative damage is one of the most
important toxic mechanisms of CG in C. elegans.
PMID- 29350787
TI - Fathers' experiences with their preterm babies admitted to neonatal intensive
care unit: A multi-method study.
AB - AIM: The aim of this study was to investigate fathers' emotional experiences of
their infant's preterm birth and subsequent stay in neonatal intensive care unit.
BACKGROUND: When a baby is born preterm, there is also the premature interruption
of the process of preparation for fatherhood. As a result, the impact on fathers
of the preterm birth can bring negative consequences for the development of
father-infant relationship. DESIGN: A multi-method approach was used which
included ethnographic observation, semi-structured interviews with fathers, a
self-report questionnaire and clinical information between September 2015-March
2017. METHOD: Data were analysed using a mixed-method: a thematic analysis of
data from the interviews and quantitative analyses to detect possible clusters of
fathers' emotional experiences and associations between clusters and fathers'
and/or infants' characteristics. RESULTS: Two clusters were identified. The
"fathers-of-preterm-infants" touched their baby as soon as they were given the
opportunity and without fear that they would harm their infant. They were struck
by their baby's physical appearance. In contrast, the "preterm fathers" preferred
not to touch their baby when first given the chance, for fear of
breaking/damaging/infecting her/him. They were struck both by the baby's physical
appearance and by the technology/equipment around her/him and were afraid that
their infant would die. All the "fathers-of-preterm-infants", but only just over
half of the "preterm fathers", were actively engaged in their infant's care.
Clusters were associated with the infant's gestational age. CONCLUSION: Fathers
of preterm infants should receive personalized support specifically addressed to
them and based on the infant's gestational age.
PMID- 29350788
TI - Reliability and validity of the Atopic Dermatitis Symptom Score (ADSS).
AB - BACKGROUND: We have developed the Atopic Dermatitis Symptom Score (ADSS) by which
patients or parents can easily assess and record AD symptoms on a daily basis in
a smartphone application. The aim of this study was to evaluate the reliability
and validity of the ADSS. METHODS: We enrolled 307 children and adolescents with
AD. Parents or caregivers were asked to record daily symptoms of the patients
(itching, sleep disturbance, erythema, dryness, oozing, and edema) using a scale
of 0-4. Statistical analyses consisted of the test-retest reliability, concurrent
validity, minimal clinically important difference (MCID), responsiveness, floor
or ceiling effects, and screening accuracy. Receiver-operating characteristic
analyses were conducted to evaluate the ADSS cutoff point for predicting severe
AD (SCORing AD [SCORAD] >=40). RESULTS: Test-retest reliability between daytime
and night-time ADSS was good (intraclass correlation coefficient, 0.82 [95% CI:
0.70-0.90]). An increase in ADSS was significantly associated with an increase in
SCORAD (r = 0.64, P < .0001) (concurrent validity). The MCID was 4.1 points for
the ADSS. There was a significant association between changes in ADSS and SCORAD
(r = 0.56, P < .0001), indicating good responsiveness. At the optimal ADSS cutoff
value of 7.0, sensitivity, specificity, and positive and negative predictive
values were 88.4%, 78.6%, 21.1%, and 99.1%, respectively (screening accuracy).
CONCLUSIONS: The ADSS can be a useful tool for self-assessment of skin symptoms
in children with AD.
PMID- 29350789
TI - TCR modifications that enhance chain pairing in gene-modified T cells can augment
cross-reactivity and alleviate CD8 dependence.
AB - T cell receptor (TCR) gene-modified T cells are a promising immunotherapy but
require refinement to improve clinical responses and limit off-target toxicities.
A variety of TCR and gene-delivery vector modifications have been developed to
enhance introduced TCR expression and limit introduced/endogenous TCR chain
mispairing, improving target antigen recognition and minimizing mispairing
induced cross-reactivity. Using our well-characterized HCV1406 TCR, we previously
compared the impact of various chain pairing enhancing modifications on TCR
expression and cognate antigen recognition. HCV1406 TCR is also natively cross
reactive against naturally occurring altered peptide ligands (APLs), which was
shown to be dependent on high TCR surface density. In this report, we observed in
a Jurkat model that absent TCR chain pairing competition alleviated CD8-dependent
APL recognition and induced novel cross-reactivity of HCV1406 TCR. We then
compared chain pairing enhancing modifications' effects on TCR cross-reactivity
in Jurkat and T cells, showing C-terminal leucine zippers and constant region
murinization alleviated CD8 dependence and induced novel APL recognition. While
modifications enhancing TCR chain pairing intend to avoid cross-reactivity by
limiting mispairing with the endogenous TCR, these data suggest they may also
enhance natural cross-reactivity and reduce dependence on CD8. These observations
have significant implications on the design/implementation of TCR gene-modified T
cells.
PMID- 29350790
TI - Outcomes and complications of Baerveldt glaucoma drainage implants for the
treatment of uveitis-related glaucoma.
PMID- 29350792
TI - FcgammaRIIIB stimulation promotes beta1 integrin activation in human neutrophils.
AB - The molecular stimuli involved in receptor-induced integrin activation are still
poorly defined. We have investigated the role of receptors for the Fc portion of
immunoglobulin G molecules (FcgammaR) on activation of integrins in human
neutrophils. Cross-linking of FcgammaRIIA induced an increase in surface
expression of beta2 integrins but had no effect on beta1 integrins. In contrast,
cross-linking of FcgammaRIIIB not only increased beta2 integrins on the cell
surface but also induced beta1 integrin activation, as indicated by an increase
in binding to fibronectin and the appearance of an activation epitope detected by
the monoclonal antibody 15/7. The FcgammaRIIIB-induced increase of beta2
integrins required Src-family tyrosine kinases, Syk kinase, and
phosphatidylinositol-3 kinase (PI-3K), as the corresponding, specific inhibitors,
PP2, Piceatannol, and LY294002, completely blocked it. Contrary to this,
FcgammaRIIIB-indued beta1 integrin activation was not blocked by PP2 or LY294002.
It was, however, enhanced by Piceatannol. After FcgammaRIIIB cross-linking,
colocalization of FcgammaRIIIB and active beta1 integrins was detected on the
neutrophil membrane. These data show, for the first time, that cross-linking of
FcgammaRIIIB induces an inside-out signaling pathway that leads to beta1 integrin
activation. This activation is independent of Src-family kinases, and PI-3K and
may be induced in part by the interaction of FcgammaRIIIB with beta1 integrins.
PMID- 29350793
TI - Thymocyte stimulation by anti-TCR-beta, but not by anti-TCR-alpha, leads to
induction of developmental transcription program.
AB - Anti-T cell receptor (aTCR) antibody (Ab) stimulation of T cells results in TCR
down-modulation and T cell activation. Differences in the effect of anti-alpha
chain and beta-chain Ab have been reported on thymocytes. Anti-beta-chain Ab but
not anti-alpha-chain reagents cause long-term TCR down-modulation. However, both
types of Ab result in TCR cross-linking and activate early steps in signal
transduction. In this study, we show that TCR iternalization and calcium flux,
hallmarks of T cell activation, are similar with aValpha and aVbeta treatment.
Therefore, we have compared the gene expression profiles of preselection
thymocytes stimulated with these reagents. We find that aValpha treatment does
not cause any significant change in gene expression compared with control culture
conditions. In contrast, aVbeta stimulation results in numerous changes in gene
expression. The alterations of expression of genes known to be expressed in
thymocytes are similar to changes caused by positive thymic selection, suggesting
that the expression of some of the genes without known roles in thymocyte
development and of novel genes whose expression is found to be altered may also
be involved in this process.
PMID- 29350791
TI - Comparison of outpatient medically attended and community-level influenza-like
illness-New York City, 2013-2015.
AB - BACKGROUND: Surveillance of influenza-like illness (ILI) in the United States is
primarily conducted through medical settings despite a significant burden of non
medically attended ILI. OBJECTIVES: To assess consistency between surveillance
for respiratory viruses in outpatient and community settings using ILI
surveillance from the Centers for Disease Control and Prevention Influenza
Incidence Surveillance Project (IISP) and the Mobile Surveillance for Acute
Respiratory Infections (ARI) and Influenza-Like Illness in the Community (MoSAIC)
Study. METHODS: The Influenza Incidence Surveillance Project conducts ILI
surveillance in 3 primary care clinics in New York City, and MoSAIC conducts
community-based ILI/ARI surveillance through text messaging among a cohort of New
York City residents. Both systems obtain respiratory specimens from participants
with ILI/ARI and test for multiple pathogens. We conducted a retrospective review
of ILI cases in IISP and MoSAIC from January 2013 to May 2015 with descriptive
analyses of clinical and laboratory data. RESULTS: Five-hundred twelve MoSAIC and
669 IISP participants met an ILI criteria (fever with cough or sore throat) and
were included. Forty percent of MoSAIC participants sought care; the majority
primary care. Pathogens were detected in 63% of MoSAIC and 70% of IISP cases. The
relative distribution of influenza and other respiratory viruses detected was
similar; however, there were statistically significant differences in the
frequency that were not explained by care seeking. CONCLUSIONS: Outpatient and
community-based surveillance in the one found similar timing and relative
distribution of respiratory viruses, but community surveillance in a single
neighborhood may not fully capture the variations in ILI etiology that occur more
broadly.
PMID- 29350794
TI - The role of electrodiagnosis with long exercise test in mcardle disease.
AB - INTRODUCTION: In this study we evaluated the role of an electrodiagnostic
provocative test (long exercise test) in McArdle disease. METHODS: Twenty-five
McArdle patients and 2 control groups underwent an electrodiagnostic protocol
with long exercise test (LET), consisting of recording the compound muscle action
potential (CMAP) before and after 5 minutes of isometric contraction. RESULTS:
The LET disclosed a postexercise decrease in CMAP amplitude in 23 of 25 McArdle
patients. The immediate and long-lasting decrease differentiated McArdle patients
from controls. Patients with a normal LET demonstrated milder symptoms and/or
residual myophosphorylase activity. DISCUSSION: The LET is a sensitive, safe, and
noninvasive provocative test that may guide clinicians toward molecular analysis
of the myophosphorylase gene. The abnormalities observed on LET point toward
complex biochemical mechanisms determined by the absence of myophosphorylase,
beyond simple glycolytic blockade (ionic pump dysfunction, sarcolemmal
inexcitability). The normal LET in patients with milder symptoms indicates a
relationship of the LET with clinical severity, thus identifying it as a
potential outcome measure. Muscle Nerve, 2018.
PMID- 29350795
TI - Phacoemulsification and primary implantation with bag-in-the-lens intraocular
lens in children with unilateral and bilateral cataract.
AB - PURPOSE: To report outcome in a paediatric cohort with cataract extraction and
implantation of bag-in-the-lens intraocular lens (BIL-IOL). METHODS: Children
younger than 16 years of age subjected to phacoemulsification with primary
implantation of BIL-IOL during 2009 through 2013 were analysed retrospectively.
Exclusion criteria were uveitis or <=6 months of follow-up. RESULTS: In total,
109 eyes of 84 children were included; 40 unilateral and 44 bilateral cataracts.
For all eyes, median age at surgery was 2.5 years (range 2 weeks to 14.1 years)
and 16 children (24 eyes) were <=6 weeks. Coexisting systemic disease was more
common in children with bilateral cataract (24 patients, 54.5%) compared to
unilateral cataract (6 patients, 15.0%, p < 0.0001). Ocular comorbidity was more
common in unilateral cataracts; n = 14 eyes (35.0%) compared to bilateral
cataracts; n = 10 eyes (14.5%; p = 0.017). Median follow-up was 2.8 years (range
7 months to 5.8 years). During the follow-up period, 15 (13.8%) eyes developed
glaucoma and five (4.6%) eyes required treatment for visual axis opacification
(VAO). Corrected distance visual acuity (CDVA) for bilateral cataracts at last
follow-up was 0.42 +/- 0.45 (logMAR; mean+/-SD) with 35 (55.6%) eyes attaining a
CDVA of >=0.5 (dec). For unilateral cataracts mean CDVA was significantly poorer;
0.67 +/- 0.51 (p = 0.010) with 15 (37.5%) eyes attaining a CDVA of >=0.5.
CONCLUSION: For children having cataract surgery with primary implantation of BIL
IOL, VAO is rare and visual outcome overall good. Unilateral cataracts are
associated with a higher proportion of ocular comorbidity and poorer visual
outcome.
PMID- 29350796
TI - "Risk management" is a verb.
AB - To optimally demonstrate the value of risk management, our actions must show the
benefits. The American Society for Healthcare Risk Management (ASHRM) board needs
to provide support through tools and resources. ASHRM members must show through
their actions the value of risk management. And ASHRM members need to show the
organization where actions and activities should be focused in the future.
Actions show the value of enterprise risk management.
PMID- 29350798
TI - Catastrophic events: Are you prepared?
PMID- 29350797
TI - Case law update.
PMID- 29350799
TI - Platelet binding to monocytes increases the adhesive properties of monocytes by
up-regulating the expression and functionality of beta1 and beta2 integrins.
AB - Human monocytes adhere to activated platelets, resulting in the formation of
platelet-monocyte complexes (PMC). Complex formation depends on the interaction
between platelet-displayed P-selectin and the specific ligand for P-selectin on
leukocytes, P-selectin glycoprotein ligand-1 (PSGL-1). We have recently shown
that monocytes within PMC have increased adhesive capacity to the activated
endothelium. To better understand the effect of platelet binding on the capacity
of monocytes to adhere to activated endothelium, the P-selectin-PSGL-1
interaction-induced changes in integrin functionality were studied. The binding
of platelets to monocytes via P-selectin-PSGL-1 interactions was shown to
increase expression and activity of alpha4 beta1 and alphaM beta2 integrin, with
a concomitant decrease in L-selectin expression. Furthermore, the binding of
platelets to monocytes resulted in increased monocyte adhesion to intercellular
adhesion molecule-1, vascular cell adhesion molecule-1, and fibronectin. Platelet
binding was also responsible for an increase in monocyte transendothelial
migration. Similar effects were observed after engagement of PSGL-1 with specific
antibodies or with P-selectin immunoglobulin protein. Our data suggest that
platelets, by binding via P-selectin to PSGL-1 on monocytes, induce up-regulation
and activation of beta1 and beta2 integrins and increased adhesion of monocytes
to activated endothelium. Hence, monocytes within PMC are in a higher state of
activation and may have, therefore, an increased atherogenic capacity.
PMID- 29350800
TI - Acquisition, remission, and persistence of eczema, asthma, and rhinitis in
children.
AB - BACKGROUND: Allergic sensitization is associated with eczema, asthma, and
rhinitis. However, it is unknown whether and how allergic sensitization is
associated over time with acquisition, remission, and persistence of these
diseases and their comorbidity. OBJECTIVE: To gain a better understanding of
factors including allergic sensitization transitions that influence the temporal
pattern of asthma, eczema, and rhinitis and their comorbidity during childhood.
METHODS: In the Isle of Wight birth cohort, information on allergic sensitization
to common allergens was collected at ages 4, 10, and 18 years along with asthma,
rhinitis, and eczema status determined by clinical diagnosis. Logistic
regressions were used to estimate subsequent and concurrent odds ratios of
diseases transition with allergic sensitization transition status as the main
independent variable. Two transition periods were considered, 4 to 10 years of
age and 10 to 18 years of age. RESULTS: The odds of new diagnosis of allergic
disease (no-yes) was increased among subjects with acquired or persistent
allergic sensitization to common allergens compared to subjects with no
sensitization (acquisition of sensitization odds ratio [OR]=3.22, P < .0001;
persistence of sensitization, OR=6.33, P < .0001). The odds of remission of
allergic diseases (yes-no) was lower among subjects with acquired or sustained
allergic sensitization (acquisition, OR=0.18, P = .0001; persistence, OR=0.085, P
< .0001), compared to subjects not sensitized. Subjects with acquired or
persistent allergic sensitization were also had higher odds for persistence of
disease (yes-yes) than subjects not sensitized (acquisition, OR=5.49, P = .0001;
persistence, OR=11.79, P < .0001). CONCLUSION: Transition of allergic
sensitizations to common allergens is a prognostic factor for subsequent or
concurrent transition of eczema, asthma, and rhinitis. Prevention or reduction in
allergic sensitization has a potential to lead to remission of these conditions.
PMID- 29350801
TI - Interview with Dr. Stephen J. McSorley and Ms. Aparna Srinivasan regarding
Pivotal Advance: Secondary exposure to LPS suppresses CD4+ T cells and
exacerbates murine typhoid.
PMID- 29350802
TI - Cellular reprogramming: A new way to understand aging mechanisms.
AB - Increased life expectancy, due to the rise in life quality and the decline in
mortality rates, is leading to a society in which the population aged 60 and over
is growing more rapidly than the entire population. Although various models and
model organisms have been employed to investigate the mechanism of aging, induced
pluripotent stem cells (iPSCs) are useful candidates to study human aging and age
related human diseases. This work discusses how iPSCs can be used as an
alternative to the model organisms such as yeast, Caenorhabditis elegans,
Drosophila melanogaster, or the mouse. The main focus is the reprogramming
technology of somatic cells which is thought to provide an important perspective
for rejuvenation strategies. The effects and relationships between aging and cell
reprogramming are discussed, and studies related to aging and cell reprogramming
are critically reviewed. We believe that for future studies, different parameters
and detailed quantitative experiments should be performed in order to clearly
understand the effect of aging on human cell reprogramming with respect to
programming efficiency and differentiation capacity. This way, new insights will
be provided to prevent or even reverse the aging process. WIREs Dev Biol 2018,
7:e308. doi: 10.1002/wdev.308 This article is categorized under: Adult Stem
Cells, Tissue Renewal, and Regeneration > Stem Cells and Aging Adult Stem Cells,
Tissue Renewal, and Regeneration > Regeneration Adult Stem Cells, Tissue Renewal,
and Regeneration > Stem Cells and Disease.
PMID- 29350803
TI - Thrombectomy outcomes for acute stroke patients with anterior circulation tandem
lesions: a clinical registry and an update of a systematic review with meta
analysis.
AB - BACKGROUND AND PURPOSE: Although mechanical thrombectomy (MT) appears to be
superior in stroke patients with extracranial carotid disease (ECD) compared to
thrombolysis alone, the impact of emergent carotid stenting during MT remains
unclear. The MT outcomes were assessed in anterior stroke patients with ECD,
especially when combined with carotid stenting. METHODS: A retrospective analysis
of our registry was performed and an update of a systematic review and meta
analysis of MT studies with or without stenting for anterior circulation stroke
with ECD published between November 2010 and April 2017 was conducted. RESULTS:
In our registry, 46 patients with ECD underwent MT. In the meta-analysis
including 13 primary studies plus our prospective registry data (590 patients in
total), the successful reperfusion rate (modified thrombolysis in cerebral
infarction score >=2b) reached 75% [95% confidence interval (CI) 69%-81%]. The
rate of symptomatic intracranial haemorrhage (sICH) was 8% (95% CI 6%-11%), 90
day favourable outcome was achieved in 50% (95% CI 42%-59%) and mortality rate
was 16% (95% CI 11%-22%). When using carotid stenting, rates of successful
reperfusion, sICH, 90-day favourable outcome and mortality were 80% (95% CI 73%
87%), 7% (95% CI 4%-12%), 53% (95% CI 43%-62%) and 14% (95% CI 9%-19%),
respectively. CONCLUSIONS: Our data report an association between acute stenting
and successful reperfusion rates in stroke patients with tandem lesion treated
with MT. Further studies are warranted to determine the intracranial bleeding
risk after MT and stenting according to the antiplatelet therapy.
PMID- 29350805
TI - Is this primip a nullip? The daily abuse of language in obstetrics.
PMID- 29350806
TI - Effect of boldine, secoboldine, and boldine methine on angiotensin II-induced
neurtrophil recruitment in vivo.
AB - Angiotensin-II (Ang-II) has inflammatory activity and is involved in different
diseases associated with the cardiovascular system. This study has evaluated the
effect of boldine (B), and two phenanthrene alkaloids semisynthesized by us,
secoboldine (SB) and boldine methine (BM), on Ang-II-induced neutrophil
recruitment. Intraperitoneal administration of 1 nM Ang-II induced significant
neutrophil accumulation, which was maximal at 4-8 h. BM inhibited neutrophil
infiltration into the peritoneal cavity at 4 h and 8 h by 73% and 77%,
respectively, SB at 8 h by 55%, and B had no effect on this response. Although BM
inhibited the release of cytokine-inducible neutrophil
chemoattractant/keratinocyte-derived chemokine, macrophage inflammatory protein-2
(MIP-2), and platelet-activating factor (PAF) elicited by Ang-II, SB only reduced
the release of MIP-2 after 4 h of its administration. Sixty-minute superfusion of
the rat mesentery with 1 nM Ang-II induced a significant increase in the
leukocyte-endothelial cell interactions and P-selectin up-regulation, which were
inhibited by 1 MUM BM and SB. The generation of reactive oxygen species (ROS) in
endothelial cells stimulated with Ang-II was inhibited significantly by the three
alkaloids tested. BM also diminished Ang-II-induced interleukin-8 release from
endothelial cells and blocked the PAF receptor on human neutrophils
(concentration of the compound needed to produce 50% inhibition value: 28.2 MUM).
Therefore, BM is a potent inhibitor of Ang-II-induced neutrophil accumulation in
vivo. This effect appears to be mediated through inhibition of CXC chemokine and
PAF release, ROS scavenging activity, and blockade of the PAF receptor. Thus, it
may have potential therapeutic interest for the control of neutrophil recruitment
that occurs in inflammation associated with elevated levels of Ang-II.
PMID- 29350808
TI - Errata.
PMID- 29350807
TI - MAIT cells and viruses.
AB - Mucosal associated invariant T cells (MAIT cells) bear a T cell receptor (TCR)
that specifically targets microbially derived metabolites. Functionally, they
respond to bacteria and yeasts, which possess the riboflavin pathway, essential
for production of such metabolites and which are presented on MR1. Viruses cannot
generate these ligands, so a priori, they should not be recognized by MAIT cells
and indeed this is true when considering recognition through the TCR. However,
MAIT cells are distinctive in another respect, since they respond quite
sensitively to non-TCR signals, especially in the form of inflammatory cytokines.
Thus, a number of groups have shown that virus infection can be "sensed" by MAIT
cells and a functional response invoked. Since MAIT cells are abundant in humans,
especially in tissues such as the liver, the question has arisen as to whether
this TCR-independent MAIT cell triggering by viruses plays any role in vivo. In
this review, we will discuss the evidence for this phenomenon and some common
features which emerge across different recent studies in this area.
PMID- 29350810
TI - Errata.
PMID- 29350809
TI - CTLA4, T cell function, and long term immunity: an interview with Dr. Mark K.
Slifka.
PMID- 29350811
TI - Ascorbate deficiency results in impaired neutrophil apoptosis and clearance and
is associated with up-regulation of hypoxia-inducible factor 1alpha.
AB - Some cells, including neutrophils, accumulate high intracellular ascorbate
concentrations, which suggests that they have an important function in these
cells. In this study we have used L-gulono-gamma-lactone oxidase (Gulo)-/- mice,
which are unable to synthesize ascorbate, to generate ascorbate-deficient
neutrophils and have used these to investigate the effect of ascorbate on
neutrophil function. Peritoneal neutrophils from ascorbate-deficient animals had
normal morphology and respiratory burst activity but failed to undergo
spontaneous apoptosis, determined by morphology and the surface expression of
phosphatidylserine. Initially, there was increased cell survival, but death
eventually occurred by necrosis within 48 h. Neutrophils persisted in
thioglycollate-induced inflammation in Gulo-/R mice with the later appearance of
necrotic cells, suggesting that apoptosis was also affected in vivo. Also,
ascorbate-deficient neutrophils were not recognized by macrophages in an in vitro
assay for phagocytosis, providing further evidence for defective apoptosis and
clearance. Neutrophils from Gulo-/- mice had elevated levels of hypoxia-inducible
factor (HIF)-1alpha, a transcription factor regulated by Fe2+ -dependent
hydroxylases which require ascorbate for optimal activity. HIF-1alpha has been
shown previously to inhibit neutrophil apoptosis under hypoxic conditions. Our
results suggest that in ascorbate deficiency, up-regulation of HIF-1alpha blocks
neutrophil apoptosis under normoxic conditions and that this represents a novel
and important function for vitamin C in inflammatory cells.
PMID- 29350812
TI - A unified framework of plant adaptive strategies to drought: Crossing scales and
disciplines.
AB - Plant adaptation to drought has been extensively studied at many scales from
ecology to molecular biology across a large range of model species. However, the
conceptual frameworks underpinning the definition of plant strategies, and the
terminology used across the different disciplines and scales are not analogous.
'Drought resistance' for instance refers to plant responses as different as the
maintenance of growth and productivity in crops, to the survival and recovery in
perennial woody or grassland species. Therefore, this paper aims to propose a
unified conceptual framework of plant adaptive strategies to drought based on a
revised terminology in order to enhance comparative studies. Ecological
strategies encapsulate plant adaptation to multidimensional variation in resource
variability but cannot account for the dynamic and short-term responses to
fluctuations in water availability. Conversely, several plant physiological
strategies have been identified along the mono-dimensional gradient of water
availability in a given environment. According to a revised terminology,
dehydration escape, dehydration avoidance, dehydration tolerance, dormancy, and
desiccation tolerance are clearly distinguishable. Their sequential expression is
expressed as water deficit increases while cavitation tolerance is proposed here
to be a major hydraulic strategy underpinning adaptive responses to drought of
vascular plants. This continuum of physiological strategies can be interpreted in
the context of the ecological trade-off between water-acquisition vs. water
conservation, since growth maintenance is associated with fast water use under
moderate drought while plant survival after growth cessation is associated with
slow water use under severe drought. Consequently, the distinction between
'drought resistance' and 'drought survival', is emphasized as crucial to ensure a
correct interpretation of plant strategies since 'knowing when not to grow' does
not confer 'drought resistance' but may well enhance 'drought survival'. This
framework proposal should improve cross-fertilization between disciplines to help
tackle the increasing worldwide challenges that drought poses to plant
adaptation.
PMID- 29350814
TI - IL-5-mediated eosinophil survival requires inhibition of GSK-3 and correlates
with beta-catenin relocalization.
AB - Interleukin (IL)-5 is a hematopoietic cytokine able to regulate differentiation,
survival, and effector functions of eosinophils. It binds specifically to its
receptor, which is composed of a cytokine-specific alpha-chain and a beta-chain
shared with the receptors for IL-3 and the granulocyte macrophage-colony
stimulating factor. The molecular mechanisms by which IL-5 modulates eosinophil
survival remain unclear. In this study, we demonstrate that IL-5 withdrawal
induces eosinophil apoptosis through a mitochondria-dependent pathway,
independently of Fas receptor activation. The lipid kinase phosphatidylinositol-3
kinase plays a crucial role in the maintenance of eosinophil survival, as
inhibition of its activity results in apoptosis. IL-5 induces phosphorylation and
thus, inhibition of the Forkhead transcription factor FOXO3a and glycogen
synthase kinase 3 (GSK-3). We analyzed expression of FOXO3a-dependent
transcriptional targets: Fas ligand or Bim (a proapoptotic Bcl-2 family member),
but neither was detected in apoptotic eosinophils. We further show that GSK-3 is
activated after IL-5 withdrawal, and inhibition of its activity rescues
eosinophils from apoptosis. beta-catenin, a direct GSK-3 substrate, is present in
the nucleus of IL-5-stimulated eosinophils, but it is translocated to the plasma
membrane in the absence of cytokine in a GSK-3-dependent manner. This is the
first report describing a potential role for GSK-3 and beta-catenin in regulating
eosinophil survival and suggests a novel mechanism by which IL-5 inhibits the
constitutive apoptotic program in these cells.
PMID- 29350816
TI - Interview with Dr. Paul Guyre and Mr. Lehn Weaver regarding Pivotal Advance:
Activation of cell surface Toll-like receptors causes shedding of the hemoglobin
scavenger receptor CD163.
PMID- 29350817
TI - In response to Faas et al.
PMID- 29350818
TI - Aggregation of beta2 integrins activates human neutrophils through the IkappaB/NF
kappaB pathway.
AB - Neutrophils are now considered central to the pathogenesis of most forms of acute
lung injury. Neutrophils do not cause damage while suspended in the bloodstream;
however, a release of cytotoxic agents occurs when neutrophils are adherent to
endothelium, epithelium, or extracellular matrix proteins in the interstitium.
Such neutrophil adherence is mediated predominantly through beta2 integrins
(CD11/CD18) on its surface. This study was undertaken to investigate whether the
IkappaB/nuclear factor (NF)-kappaB cascade is involved in this beta2 integrin
mediated activation of human neutrophils. beta2 Integrin Mac-1 (CD11b/CD18)
aggregation was induced by antibody cross-linking of the integrins on the cell
surface. beta2 Integrin aggregation induced interleukin-1beta and tumor necrosis
factor-alpha production, which suggests the activation of neutrophils by beta2
integrin. IkappaBalpha was markedly degraded at 1 h, and NF-kappaB-DNA-binding
activity markedly increased 2 h after beta2 integrin aggregation, which activated
IkappaB kinase activity at 1 h. beta2 Integrin-induced cytokine production was
suppressed by MG132 or SN50 pretreatment, which blocked the activation of NF
kappaB. These findings suggest that the activation of human neutrophils by beta2
integrin aggregation is mediated through the activation of the IkappaB/NF-kappaB
pathway.
PMID- 29350821
TI - Interview with Dr. Andrew Issekutz regarding Pivotal Advance: Endothelial growth
factors VEGF and bFGF differentially modulate monocyte and neutrophil recruitment
to inflammation.
PMID- 29350820
TI - Errata.
PMID- 29350822
TI - Resveratrol Suppresses Rotenone-induced Neurotoxicity Through Activation of
SIRT1/Akt1 Signaling Pathway.
AB - Rotenone is a common pesticide and has been reported as one of the risk factors
for Parkinson disease. Rotenone can cause neuronal death or apoptosis through
inducing oxidative injury and inhibiting mitochondrial function. As a natural
polyphenolic compound, resveratrol possesses the antioxidant capacity and
neuroprotective effect. However, the mechanism underlying the neuroprotective
effect of resveratrol against rotenone-induced neurotoxicity remains elusive.
Here, we treated PC12 cells with rotenone to induce neurotoxicity, and the
neurotoxic cells were subjected to resveratrol treatment. The CCK8 and LDH
activity assays demonstrated that resveratrol could suppress neurotoxicity
induced by rotenone (P < 0.01). The DCFH-DA assay indicated that resveratrol
reduced the production of reactive oxygen species (ROS). JC-1 and Hoechst
33342/PI staining revealed that resveratrol attenuated mitochondrial dysfunction
and cell apoptosis. Moreover, resveratrol reversed rotenone-induced decrease in
SIRT1 expression and Akt1 phosphorylation (P < 0.05). Furthermore, when the SIRT1
and Akt1 activity was inhibited by niacinamide and LY294002, respectively, the
neuroprotective effect of resveratrol was remarkably attenuated, which implied
that SIRT1 and Akt1 could mediate this process and may be potential molecular
targets for intervening rotenone-induced neurotoxicity. In summary, our study
demonstrated that resveratrol reduced rotenone-induced oxidative damage, which
was partly mediated through activation of the SIRT1/Akt1 signaling pathway. Our
study launched a promising avenue for the potential application of resveratrol as
a neuroprotective therapeutic agent in Parkinson disease. Anat Rec, 301:1115
1125, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29350823
TI - Interview with Dr. Philip Griebel regarding Pivotal Advance: Passively acquired
membrane proteins alter the functional capacity of bovine polymorphonuclear
cells.
PMID- 29350824
TI - Rational design of MyD88 inhibitors-new pathways to inflammatory control: an
interview with Dr. Claudio Sette.
PMID- 29350825
TI - ANG II facilitated CD11+ Ly6Chi cells reprogramming into M1-like macrophage
through Erk1/2 or p38-Stat3 pathway and involved in EAM.
AB - Macrophage, a highly plastic population, is widely distributed. Macrophage
functions are settled and acquired polarization programs in response to
microenvironmental signals and involved in many inflammatory disorders, such as
experimental autoimmune myocarditis (EAM). Phenotypic and functional changes in
macrophage are considered as an important determinant of disease progression
and/or regression. Angiotensin II (ANG II), as a powerful proinflammatory factor,
plays critical roles in inflammatory diseases and macrophage recruitment. It
remains unclear whether ANG II contributed to the functional skewing of cardiac
infiltrated monocytes/macrophage and involved in EAM development. Therefore, the
present work was to address the above questions. Our data showed that ANG II
contributed to CD11b+ Ly6Chi (CD11b+ Ly6G- Ly6C+ ) cells reprogramming into M1
like macrophage through Erk1/2 or p38/Stat3 pathway and the reprogramming M1-like
cells promoted Th17 cells expansion; abrogation of ANG II-AT1 R axis
significantly ameliorated cardiac injury. The present work first demonstrated a
novel immune regulation role of ANG II; ANG II, as a powerful immune factor,
promoted CD11b+ Ly6Chi inflammatory cells reprogramming into M1-like macrophage
and involved in inflammatory disorders development; our results also indicated
that ANG II may be a potential therapeutic target for inflammatory diseases.
PMID- 29350826
TI - Dispersal and population state of an endangered island lizard following a
conservation translocation.
AB - Population size is widely used as a unit of ecological analysis, yet to estimate
population size requires accounting for observed and latent heterogeneity
influencing dispersion of individuals across landscapes. In newly established
populations, such as when animals are translocated for conservation, dispersal
and availability of resources influence patterns of abundance. We developed a
process to estimate population size using N-mixture models and spatial models for
newly established and dispersing populations. We used our approach to estimate
the population size of critically endangered St. Croix ground lizards (Ameiva
polops) five years after translocation of 57 individuals to Buck Island, an
offshore island of St. Croix, United States Virgin Islands. Estimates of
population size incorporated abiotic variables, dispersal limits, and operative
environmental temperature available to the lizards to account for low species
detection. Operative environmental temperature and distance from the
translocation site were always important in fitting the N-mixture model
indicating effects of dispersal and species biology on estimates of population
size. We found that the population is increasing its range across the island by 5
10% every six months. We spatially interpolated site-specific abundance from the
N-mixture model to the entire island, and we estimated 1,473 (95% CI, 940-1,802)
St. Croix ground lizards on Buck Island in 2013 corresponding to survey results.
This represents a 26-fold increase since the translocation. We predicted the
future dispersal of the lizards to all habitats on Buck Island, with the
potential for the population to increase by another five times in the future.
Incorporating biologically relevant covariates as explicit parameters in
population models can improve predictions of population size and the future
spread of species introduced to new localities.
PMID- 29350828
TI - Differential expression of beta2 -integrins and cytokine production between
gammadelta and alphabeta T cells in experimental autoimmune encephalomyelitis.
AB - The expression of beta2 -integrins on gammadelta T cells in naive mice or those
with experimental autoimmune encephalomyelitis (EAE) remains poorly
characterized. We compared beta2 -integrin expression and cytokine production
between gammadelta and alphabeta T cells over the acute course of EAE. We
observed that unlike in alphabeta T cells, beta2 -integrin expression on
gammadelta T cells increased significantly from baseline, peaked at Day 10, and
remained unchanged in the draining lymph nodes or declined in the spleen and CNS
by Day 15. In addition, IFN-gamma- and TNF-alpha-producing gammadelta T cells
infiltrated the CNS rapidly and produced significantly more of these cytokines
than alphabeta T cells throughout the course of EAE. These results suggest unique
roles for beta2 -integrins in the trafficking of gammadelta versus alphabeta T
cells during EAE and that gammadelta T cells infiltrate the CNS rapidly,
producing cytokines, which modulate acute disease.
PMID- 29350829
TI - TGF-beta down-regulates IL-1alpha-induced TLR2 expression in murine hepatocytes.
AB - We have previously reported that the proinflammatory cytokine interleukin (IL)
1alpha can up-regulate functional Toll-like receptor 2 (TLR2) expression in
primary-cultured murine hepatocytes, and bacterial lipopeptide (BLP) is capable
of signaling through TLR2 to induce serum amyloid A (SAA) expression in
hepatocytes. In the present study, we investigated the effect of the anti
inflammatory cytokine transforming growth factor-beta (TGF-beta) on TLR2
expression in primary-cultured murine hepatocytes. At the mRNA and protein
levels, TGF-beta up-regulated TLR2 expression but inhibited TLR2 expression
induced by IL-1alpha at 24 h. BLP-induced SAA promoter activity could be
augmented by pretreatment with IL-1alpha but not TGF-beta or the combination of
TGF-beta and IL-1alpha. TLR2 promoter activity and nuclear factor (NF)-kappaB
activation by IL-1alpha were inhibited by TGF-beta treatment. Pretreatment with
TGF-beta strongly suppressed IL-1alpha-induced TLR2 promoter activity and NF
kappaB activation, which was consistent with the down-regulation of type I IL-1
receptor (IL-1RI) mRNA expression. IL-1alpha up-regulated IL-1RI mRNA, but it was
inhibited by the treatment with TGF-beta. These results suggest that TGF-beta
suppresses the induction of TLR2 expression by IL-1alpha through down-regulation
of IL-1RI expression. These results also demonstrate the disparity between IL
1alpha and TGF-beta in regulating TLR2-mediated SAA production in hepatocytes.
PMID- 29350830
TI - Erratum.
PMID- 29350831
TI - Randomized trial on extended versus modified right lobe grafts in living donor
liver transplantation.
AB - Despite advances in the practice of living donor liver transplantation (LDLT),
the optimum surgical approach with respect to the middle hepatic vein (MHV) in
right lobe LDLT remains undefined. We designed a randomized trial to compare the
early postoperative outcomes in recipients and donors between extended right lobe
grafts (ERGs; transection plane was maintained to the left of MHV and division of
MHV performed beyond the segment VIII vein) and modified right lobe grafts (MRGs;
transection plane was maintained to the right of MHV; the segment V and VIII
drainage was reconstructed using a conduit of recipient portal vein). Eligible
patients (n = 86) were prospectively randomized into the ERG arm (n = 43) and the
MRG arm (n = 43) at the beginning of donor hepatectomy. The primary endpoint
considered in this equivalence trial was patency of the MHV or the reconstructed
"neo-MHV" in the recipient. The secondary endpoints included biochemical
parameters, postoperative complications, mortality in recipients as well as
donors and volume regeneration of remnant liver in donors, measured at 2 months.
The patency of the MHV was comparable in the ERG and MRG arms (90.7% versus
81.4%; difference, 9.3%; 95% confidence interval [CI], -5.8 to 24.4; z score,
1.245; P = 0.21). Volume regeneration of the remnant liver in donors was
significantly better in the MRG arm (111.3% versus 87.3%; mean difference, 24%;
95% CI, 14.6-33.3; P < 0.001). The remaining secondary endpoints in donors and
recipients were similar between the 2 arms. To conclude, MRG with reconstructed
neo-MHV has comparable patency to native MHV in ERG and confers equivalent graft
outflow in the recipient. Furthermore, it allows better remnant liver
regeneration in the donor at 2 months. Liver Transplantation 24 888-896 2018
AASLD.
PMID- 29350832
TI - The developmental trinity of mind: Cognizance, executive control, and reasoning.
AB - This paper summarizes research on how cognizance, that is, awareness of mental
processes, interacts with executive control and reasoning from childhood to
adolescence. Central positions are that (a) cognizance changes extensively with
age; (b) it contributes to the formation of executive control, and (c) mediates
between executive control and reasoning. Cognizance recycles with changes in
executive and inferential possibilities in four developmental cycles: it
registers their present state, yielding insight into their operation, allowing
their better management; this catalyzes their transformation into the next level.
Implications for theory of intellectual development and practical implications
for education are discussed. This article is categorized under: Psychology >
Development and Aging Neuroscience > Cognition Neuroscience > Development
Philosophy > Consciousness.
PMID- 29350833
TI - Platelets kill bacteria by bridging innate and adaptive immunity via platelet
factor 4 and FcgammaRIIA.
AB - : Essentials Human platelets specifically interact with IgG opsonized bacteria
through FcgammaRIIA. Platelet factor 4 (PF4) binds to polyanions (P) and
undergoes a conformational change. Anti-PF4/P IgG opsonizes PF4-coated Gram
positive and Gram-negative bacteria. Platelets specifically kill E.coli opsonized
with PF4 and human anti-PF4/P IgG. SUMMARY: Background Activated platelets
release the chemokine platelet factor 4 (PF4) stored in their granules. PF4 binds
to polyanions (P) on bacteria, undergoes a conformational change and exposes
neoepitopes. These neoepitopes induce production of anti-PF4/P antibodies. As PF4
binds to a variety of bacteria, anti-PF4/P IgG can bind and opsonize several
bacterial species. Objective Here we investigated whether platelets are able to
kill bacteria directly after recognizing anti-PF4/P IgG opsonized bacteria in the
presence of PF4 via their FcgammaRIIA. Methods Using platelet-bacteria suspension
co-culture experiments and micropatterns with immobilized viable bacteria, in
combination with pharmacological inhibitors and human anti- PF4/P IgG we analyzed
the role of platelet-mediated killing of bacteria. Results In the presence of
PF4, human anti-PF4/P IgG and platelets, E. coli killing (> 50%) with colony
forming units (CFU mL-1 ) 0.71 * 104 +/- 0.19 was observed compared with controls
incubated only with anti-PF4/P IgG (CFU mL-1 3.4 * 104 +/- 0.38). Blocking of
platelet FcgammaRIIA using mAb IV.3 (CFU mL-1 2.5 * 104 +/- 0.45), or integrin
alphaIIbbeta3 (CFU mL-1 2.26 * 104 +/- 0.31), or disruption of cytoskeletal
functions (CFU mL-1 2.7 * 104 +/- 0.4) markedly reduced E. coli killing by this
mechanism. Our observation of E. coli killing by platelets on micropatterned
arrays is compatible with the model that platelets kill bacteria by covering
them, actively concentrating them into the area under their granulomere and then
releasing antimicrobial substances of platelet alpha-granules site directed
towards bacteria. Conclusion These findings collectively indicate that by
bridging of innate and adaptive immune mechanisms, platelets and anti
PF4/polyanion antibodies cooperate in an antibacterial host response.
PMID- 29350834
TI - Proton-pump inhibitors elevate infection rate in cardiothoracic surgery patients
by influencing PMN function in vitro and in vivo.
AB - Proton-pump inhibitors (PPI) as pantoprazole are highly effective acid
suppressive agents that belong to the world's most sold medication. However, they
are pronounced to have immunosuppressive aspects. In our study, a negative
influence of PPI on functions of polymorphonuclear cells in vitro like
phagocytosis, oxidative burst, chemotaxis, and killing activity was shown,
whereas formation of neutrophil extracellular traps (NET)osis remained
unaffected. Pantoprazole stimulation additionally reduced the production of the
proinflammatory cytokine IL-1beta in whole blood assay as well as the production
of IL-2 and IFN-gamma after whole blood stimulation with phytohaemagglutinin.
Moreover, IFN-gamma feedback mechanisms and signaling by STAT-1 was impaired by
PPI. Cardiac surgery is accompanied by developing systemic inflammatory response
syndrome with immunosuppressive aspects. We exhibited reduced oxidative burst
analyzing cardiac surgery patients' samples receiving or not receiving PPI.
Furthermore, a higher rate of infections in patients receiving permanent PPI
medication in retrospective analysis was uncovered. Patients undergoing cardiac
surgery with cardiopulmonary bypass and regular PPI medication developed
significant more infections retrospectively indicating a clinical impact of the
immunosuppressive influence of PPI.
PMID- 29350835
TI - Comment on "Neutrophils: are they hyperalgesic or anti-hyperalgesic?"
PMID- 29350836
TI - Interview with Dr. Yoshiro Kobayashi regarding Pivotal Advance: A suppressive
role of nitric oxide in MIP-2 production by macrophages upon coculturing with
apoptotic cells.
PMID- 29350838
TI - Toll-like receptors, endogenous ligands, and constitutive control (or, why I'm
still standing at the podium): an interview with Dr. Jeffrey L. Platt.
PMID- 29350839
TI - Endocannabinoids, cannabinoid receptors and inflammatory stress: an interview
with Dr. Pal Pacher.
PMID- 29350840
TI - A phase 3 trial of mometasone furoate sinus implants for chronic sinusitis with
recurrent nasal polyps.
AB - BACKGROUND: Topical intranasal corticosteroid sprays (INCSs) are standard
treatment for nasal polyps (NPs), but their efficacy is reduced by poor patient
compliance and impaired access of drug to the sinus mucosa. A corticosteroid
eluting sinus implant was designed to address these limitations in patients with
recurrent polyposis after sinus surgery by delivering 1350 MUg of mometasone
furoate (MF) directly to the ethmoid sinus mucosa over approximately 90 days.
METHODS: A randomized, sham-controlled, double-blind trial was undertaken in 300
adults with refractory chronic rhinosinusitis with NPs (CRSwNP), who were
candidates for repeat surgery. Eligible patients were randomized (2:1) and
underwent in-office bilateral placement of 2 implants or a sham procedure. All
patients used the MF INCS 200 MUg once daily. Co-primary efficacy endpoints were
the change from baseline in nasal obstruction/congestion score and bilateral
polyp grade, as determined by an independent panel based on centralized, blinded
videoendoscopy review. RESULTS: Patients treated with implants experienced
significant reductions in both nasal obstruction/congestion score (p = 0.0074)
and bilateral polyp grade (p = 0.0073) compared to controls. At day 90, implants
were also associated with significant reductions in 4 of 5 prespecified secondary
endpoints compared to control: proportion of patients still indicated for repeat
sinus surgery (p = 0.0004), percent ethmoid sinus obstruction (p = 0.0007), nasal
obstruction/congestion (p = 0.0248), and decreased sense of smell (p = 0.0470),
but not facial pain/pressure (p = 0.9130). One patient experienced an implant
related serious adverse event (epistaxis). CONCLUSION: Significant improvements
over a range of subjective and objective endpoints, including a reduction in the
need for sinus surgery by 61%, suggest that MF sinus implants may play an
important role in management of recurrent NP.
PMID- 29350841
TI - Epidemiology and molecular characterization of influenza viruses in Burkina Faso,
sub-Saharan Africa.
AB - BACKGROUND: The importance of influenza viruses in respiratory infections in sub
Saharan Africa has been historically overlooked, including in Burkina Faso.
OBJECTIVES: This study therefore aimed at evaluating the prevalence and seasonal
occurrence of influenza viruses in children under 5 years old, at risk of
influenza-related complications, presenting with influenza-like illness (ILI) or
severe acute respiratory infection (SARI). The study also aimed at identifying
the periods with increased influenza transmission for vaccination recommendations
in Burkina Faso. METHODS: From January 2014 to December 2015, ILI and SARI (2015
only) patients were recruited in six healthcare centers in Burkina Faso.
Influenza A and B molecular detection and subtyping were performed. Clade
clustering of a subset of A(H1N1)pdm09 and A(H3N2) strains was deduced by
performing phylogenetic analyses on hemagglutinin gene sequences. Weekly
surveillance data from FluNet (2011-2013; 2016) and this study (2014-2015) were
used to identify periods of increased influenza activity. RESULTS: Influenza A
and B viruses were detected in 15.1% (112 of 743) of ILI and 6.6% (12 of 181) of
SARI patients. Overall, influenza A viruses were largely predominant (81 of 124,
65.3%), with 69.1% of A(H3N2) and 30.9% of A(H1N1)pdm09 strains. Four waves of
increased transmission were identified in 2014-2015, each dominated by different
influenza subtypes and clades. Between 2011 and 2016, periods of increased
influenza activity varied in their frequency, duration, and timing. CONCLUSION:
Influenza A and B viruses were detected in a substantial number of ILI and SARI
cases in Burkina Faso. Vaccination in September-October would likely protect the
highest number of patients.
PMID- 29350842
TI - From grey to green: Efficacy of eco-engineering solutions for nature-based
coastal defence.
AB - Climate change is increasing the threat of erosion and flooding along coastlines
globally. Engineering solutions (e.g. seawalls and breakwaters) in response to
protecting coastal communities and associated infrastructure are increasingly
becoming economically and ecologically unsustainable. This has led to
recommendations to create or restore natural habitats, such as sand dunes,
saltmarsh, mangroves, seagrass and kelp beds, and coral and shellfish reefs, to
provide coastal protection in place of (or to complement) artificial structures.
Coastal managers are frequently faced with the problem of an eroding coastline,
which requires a decision on what mitigation options are most appropriate to
implement. A barrier to uptake of nature-based coastal defence is stringent
evaluation of the effectiveness in comparison to artificial protection
structures. Here, we assess the current evidence for the efficacy of nature-based
vs. artificial coastal protection and discuss future research needs. Future
projects should evaluate habitats created or restored for coastal defence for
cost-effectiveness in comparison to an artificial structure under the same
environmental conditions. Cost-benefit analyses should take into consideration
all ecosystem services provided by nature-based or artificial structures in
addition to coastal protection. Interdisciplinary research among scientists,
coastal managers and engineers is required to facilitate the experimental trials
needed to test the value of these shoreline protection schemes, in order to
support their use as alternatives to artificial structures. This research needs
to happen now as our rapidly changing climate requires new and innovative
solutions to reduce the vulnerability of coastal communities to an increasingly
uncertain future.
PMID- 29350843
TI - Divergent expression and function of glucocorticoid receptor beta in human
monocytes and T cells.
AB - Glucocorticoid (GC) insensitivity is a significant problem in the treatment of
immune-mediated diseases. The current study examined whether T cells and
monocytes differed in their response to GC and the potential molecular basis for
their variation in response to steroids. Functional studies revealed that
dexamethasone (DEX) inhibited phorbol 12-myristate 13-acetate/ionomycin-induced
tumor necrosis factor alpha and interleukin-6 production to a significantly
lesser extent in monocytes than T cells. In parallel, a significantly longer
period of time was required for DEX to induce the steroid-responsive gene,
mitogen-activated protein kinase phosphatase-1 (MKP-1), in human monocytes as
compared with T cells. It is interesting that such differences were not observed
between murine T cells and monocytes. GC receptor beta (GCRbeta) is a splicing
variant of the classic GCR, GCRalpha, which functions as a dominant-negative
inhibitor of GCRalpha in humans, not mice (as mice do not express GCRbeta mRNA as
a result of a difference in the murine GCR 9b exon sequence). It was found that
human monocytes had a significantly higher level of GCRbeta than T cells.
Furthermore, GCRbeta was found in the cytoplasm and nucleus of monocytes, and
GCRbeta was localized to the nucleus of T cells. This raised the possibility that
GCRbeta in the cytoplasm could affect GCRalpha cellular shuttling in response to
DEX. Indeed, we found that DEX-induced nuclear translocation of GCRalpha was
decreased in monocytes as compared with T cells. Specific RNA silencing of
GCRbeta in human monocytes resulted in enhanced steroid-induced GCRalpha
transactivation and transrepression. Our data suggest that GCRbeta contributes to
variation in the GC responses of monocytes versus T cells.
PMID- 29350844
TI - Unfair to ignore long-established line of published research.
PMID- 29350846
TI - Vasoactive intestinal peptide, periodontal disease, and the innate immune
response: an interview with Dr. John J. Taylor.
PMID- 29350847
TI - Massive, sustained gammadelta T cell migration from the bovine skin in vivo.
AB - In all species studied so far, gammadelta T cells are abundantly present in
epithelia. The functions of these cells are largely unknown. Using a lymph duct
cannulation method, which is only possible in large animals such as cattle, we
show that large numbers of gammadelta T cells, but not alphabeta T cells, are
constitutively present in pseudoafferent lymph draining bovine skin. The
gammadelta T cells, which are present in pseudoafferent lymph, use Vgamma
segments that are characteristic for bovine dermal gammadelta T cells, suggesting
that these cells migrated from the skin. Further supporting the origin of these
cells is the fact that fluorescent latex beads injected in the skin could be
recovered in cells in the pseudoafferent lymph. The cannulation method is
minimally invasive, and the lymph flow, which was sustained and remained
essentially unaltered during 14 days, closely represents the in vivo situation.
The gammadelta T cells could not be induced to produce IFN-gamma, TNF-alpha, and
IL-10, and they did not express costimulatory molecules, IL-2 receptor, and MHC
Class II molecules. The level of gammadelta T cell egress was 6.7 * 103
gammadelta T cells per cm2 skin per hour, which is enough to deplete all
gammadelta T cells from the skin within 46 h. As this massive gammadelta T cell
migration was observed during 14 days, constant replenishment of these cells must
take place. Our data suggest that gammadelta T cells in tissues fulfill more than
exclusively local functions.
PMID- 29350848
TI - Response to "Unfair to ignore long-established line of published research".
PMID- 29350849
TI - The Shwartzman reaction repealed.
PMID- 29350850
TI - Macrophage biology and immunology: man is not a mouse.
PMID- 29350852
TI - TRAF6 distinctively mediates MyD88- and IRAK-1-induced activation of NF-kappaB.
AB - MyD88 and IL-1R-associated kinase 1 (IRAK-1) play crucial roles as adaptor
molecules in signal transduction of the TLR/IL-1R superfamily, and it is known
that expression of these proteins leads to the activation of NF-kappaB in a TNFR
associated factor 6 (TRAF6)-dependent manner. We found in this study, however,
that a dominant-negative mutant of TRAF6, lacking the N-terminal RING and zinc
finger domain, did not inhibit IRAK-1-induced activation of NF-kappaB in human
embryonic kidney 293 cells, although the TRAF6 mutant strongly suppressed the
MyD88-induced activation. The dominant-negative mutant of TRAF6 did not affect
the IRAK-1-induced activation, regardless of the expression level of IRAK-1. In
contrast, small interfering RNA silencing of TRAF6 expression inhibited MyD88
induced and IRAK-1-induced activation, and supplementation with the TRAF6
dominant-negative mutant did not restore the IRAK-1-induced activation.
Expression of IRAK-1, but not MyD88, induced the oligomerization of TRAF6, and
IRAK-1 and the TRAF6 dominant-negative mutant were associated with TRAF6. These
results indicate that TRAF6 is involved but with different mechanisms in MyD88
induced and IRAK-induced activation of NF-kappaB and suggest that TRAF6 uses a
distinctive mechanism to activate NF-kappaB depending on signals.
PMID- 29350854
TI - HMGB1 preconditioning: therapeutic application for a danger signalo.
AB - High mobility group box 1 (HMGB1) is a nuclear factor released extracellularly as
a late mediator of lethality in sepsis and as an early mediator of inflammation
following injury. In contrast to the proinflammatory role of HMGB1, recent
evidence suggests beneficial applications of HMGB1 in injury states. One such
application is the use of HMGB1 as a preconditioning stimulus. Preconditioning is
a phenomenon whereby a low level of stressful stimuli confers protection against
subsequent injury. Preconditioning has been demonstrated in multiple species, can
be induced by various stimuli, and is applicable in different organ systems. Only
with the recent introduction of the concept of endogenous molecules, such as
HMGB1, as signals and mediators for inflammation during injury states has the use
of endogenous molecules been investigated for this use. This review will focus on
the use of endogenous molecules, specifically HMGB1, as a preconditioning
stimulus and its mechanism of protection, as well as other protective
applications for HMGB1.
PMID- 29350853
TI - Targeted deletion of cannabinoid receptors CB1 and CB2 produced enhanced
inflammatory responses to influenza A/PR/8/34 in the absence and presence of
Delta9 -tetrahydrocannabinol.
AB - We have previously reported that Delta-9-tetrahydrocannabinol (Delta9 -THC)
treated mice challenged with influenza virus A/PR/8/34 (PR8) developed increased
viral hemagglutinin 1 (H1) mRNA levels and decreased monocyte and lymphocyte
recruitment to the pulmonary airways when compared with mice challenged with PR8
alone. The objective of the present study was to examine the role of cannabinoid
(CB1 /CB2 ) receptors in mediating the effects of Delta9 -THC on immune and
epithelial cell responses to PR8. In the current study, Delta9 -THC-treated CB1
/CB2 receptor null (CB1-/- /CB2-/- ) and wild-type mice infected with PR8 had
marked increases in viral H1 mRNA when compared with CB1-/- /CB2-/- and wild-type
mice challenged with PR8 alone. However, the magnitude of the H1 mRNA levels was
greatly reduced in CB1-/- /CB2-/- mice as compared with wild-type mice. In
addition, Delta9 -THC-treated CB1-/- /CB2-/- mice infected with PR8 had increased
CD4+ T cells and IFN-gamma in bronchoalveolar lavage fluid with greater pulmonary
inflammation when compared with Delta9 -THC-treated wild-type mice infected with
PR8. Delta9 -THC treatment of CB1-/- /CB2-/- mice in the presence or absence of
PR8 challenge also developed greater amounts of mucous cell metaplasia in the
affected bronchiolar epithelium. Collectively, the immune and airway epithelial
cell responses to PR8 challenge in Delta9 -THC-treated CB1-/- /CB2-/- and wild
type mice indicated the involvement of CB1 /CB2 receptor-dependent and
independent mechanisms.
PMID- 29350855
TI - Measurement properties of gingival biotype evaluation methods.
AB - BACKGROUND: There are numerous methods to measure the dimensions of the gingival
tissue, but few have compared the effectiveness of one method over another.
OBJECTIVE: This study aimed to describe a new method and to estimate the validity
of gingival biotype assessment with the aid of computed tomography scanning
(CTS). MATERIALS AND METHODS: In each patient different methods of evaluation of
the gingival thickness were used: transparency of periodontal probe,
transgingival, photography, and a new method of CTS). Intrarater and interrater
reliability considering the categorical classification of the gingival biotype
were estimated with Cohen's kappa coefficient, intraclass correlation coefficient
(ICC), and ANOVA (P < .05). The criterion validity of the CTS was determined
using the transgingival method as the reference standard. Sensitivity and
specificity values were computed along with theirs 95% CI. RESULTS: Twelve
patients were subjected to assessment of their gingival thickness. The highest
agreement was found between transgingival and CTS (86.1%). The comparison between
the categorical classifications of CTS and the transgingival method (reference
standard) showed high specificity (94.92%) and low sensitivity (53.85%) for
definition of a thin biotype. CONCLUSION: The new method of CTS assessment to
classify gingival tissue thickness can be considered reliable and clinically
useful to diagnose thick biotype.
PMID- 29350856
TI - Interview with Dr. Nancy A. Lee and Dr. James J. Lee regarding Pivotal Advance:
Eosinophil infiltration of solid tumors is an early and persistent inflammatory
host response.
PMID- 29350858
TI - Novel interferon-beta-induced gene expression in peripheral blood cells.
AB - Type I IFNs are used for treating viral, neoplastic, and inflammatory disorders.
The protein products encoded by IFN-stimulated genes (ISGs) likely mediate
clinical effects of IFN in patients. Macroarray assays, used for studying ISG
induction in IFN-treated patients, comprise genes identified predominantly
through analysis of long-term cell lines. To discover genes induced selectively
by IFN-beta in PBMC, we exposed whole blood to physiological concentrations of
IFN-beta. PBMC were prepared, and RNA was extracted, reverse-transcribed, and
hybridized to cDNA microarrays, and microarray analysis identified 39 ISGs and 20
IFN-repressed genes (IRGs). Thirty-three ISGs were known previously, and six ISGs
were novel. New ISGs included GTP cyclohydrolase 1; hypothetical protein
LOC129607; hypothetical protein FLJ38348; leucine aminopeptidase 3; squalene
epoxidase; and GTP-binding protein overexpressed in skeletal muscle. Twenty IRGs
included IL-1beta and CXCL8, which had been identified earlier. CXCL1 was a novel
IRG identified in the current study. PCR analysis demonstrated the regulation of
six novel ISGs and CXCL1 as an IRG in PBMC and astrocytoma cells. Results were
validated using RNA obtained ex vivo from blood of patients after injection with
IFN-beta. Identification of new ISGs and IRGs in primary PBMC will enhance
macroarray assays for monitoring IFN responsiveness.
PMID- 29350860
TI - Macrophages, apoptotic cells and cholesterol-strategies for survival: an
interview with Dr. Ira Tabas.
PMID- 29350862
TI - Erratum.
PMID- 29350861
TI - Expression of the heparan sulfate-degrading enzyme heparanase is induced in
infiltrating CD4+ T cells in experimental autoimmune encephalomyelitis and
regulated at the level of transcription by early growth response gene.
AB - The heparan sulfate-cleaving enzyme heparanase (HPSE) plays an important role in
remodeling of the basement membrane and extracellular matrix during inflammation.
Inducible HPSE enzymatic activity has been reported in leukocytes; however,
little is known of the molecular mechanisms that regulate HPSE gene expression
during inflammatory disease. In this study, HPSE expression and regulation in the
T cell-mediated disease model, experimental autoimmune encephalomyelitis (EAE),
were investigated. Expression analysis showed that HPSE mRNA is induced in rat
CD4+ antigen-specific T lymphocytes upon activation and correlates with the
encephalitogenicity of the cells. Examination of the kinetics and cell type
specific expression of HPSE throughout the progression of active EAE in rats,
indicated that HPSE was highly expressed in CD4+ T cells infiltrating the central
nervous system (CNS) during clinical disease. Little or no HPSE expression was
observed in CD8+ T cells, macrophages, or astrocytes during disease progression.
To investigate the mechanism of inducible HPSE gene regulation in T cells,
studies were extended into human primary T cells. HPSE mRNA, protein, and
enzymatic activity were induced upon activation. Functional analysis of the human
HPSE promoter identified an EGR1 binding motif that contained high inducible
activity and was transactivated by EGR1. Furthermore, the treatment of primary T
lymphocytes with an EGR1 siRNA inhibited inducible HPSE mRNA expression. These
data provide evidence to suggest that inducible HPSE expression in primary T
lymphocytes is regulated at the transcriptional level by EGR1 and is important in
facilitating CD4+ T cell infiltration into the CNS to promote EAE.
PMID- 29350863
TI - Chemokine stromal cell-derived factor-1 induction by C/EBPbeta activation is
associated with all-trans-retinoic acid-induced leukemic cell differentiation.
AB - Stromal cell-derived factor-1 (SDF-1/CXCL12) is one of the essential chemokines,
which mediates hematopoietic differentiations. However, the mechanism by which
SDF-1 expression is regulated in granulocyte differentiation is poorly
understood. Here, we suggest a novel mechanism by which all-trans-retinoic acid
(ATRA) induces the expression of SDF-1 during the differentiation of
promyelomonocytic leukemic U937 cells. Moreover, we also demonstrate that
activation of transcription factor C/EBPbeta by ATRA regulates SDF-1 expression
in U937 cells. In addition, we show that the cyclin-dependent kinase inhibitors
p21WAF1/CIP1 and Pyk2 are up-regulated by SDF-1 and increased markedly by the
costimulation of ATRA and SDF-1. Furthermore, ATRA and SDF-1alpha additively
induce U937 cell differentiation. Indeed, silencing the expression of SDF-1
inhibits ATRA-induced granulocyte differentiation significantly. Taken together,
these results indicate that SDF-1alpha is involved in granulocyte differentiation
in response to ATRA, mediated by the activation of the transcription factor
C/EBPbeta.
PMID- 29350864
TI - Putting the brakes on leukocyte chemotaxis: an interview with Dr. Ramesh K.
Ganju.
PMID- 29350865
TI - Interview with Dr. Heikki Rauvala regarding Pivotal Advance: Analysis of
proinflammatory activity of highly purified eukaryotic recombinant HMGB1
(amphoterin).
PMID- 29350867
TI - Interview with Dr. Maurizio C. Capogrossi regarding Pivotal Advance: High
mobility group box 1 protein-a cytokine with a role in cardiac repair.
PMID- 29350869
TI - Interview with Dr. Lee-Ann Allen regarding Pivotal Advance: Francisella
tularensis LVS evades killing by human neutrophils via inhibition of the
respiratory burst and phagosome escape.
PMID- 29350868
TI - Errata.
PMID- 29350871
TI - Interview with Dr. Francisco Sanchez-Madrid regarding Pivotal Advance: CD69
targeting differentially affects the course of collagen-induced arthritis.
PMID- 29350872
TI - Errata.
PMID- 29350873
TI - Test Procedures to Assess Somatosensory Abnormalities in Individuals with
Peripheral Joint Pain: A Systematic Review of Psychometric Properties.
AB - BACKGROUND: Test procedures that were developed to assess somatosensory
abnormalities should possess optimal psychometric properties (PMPs) to be used in
clinical practice. The aim of this systematic review was to evaluate the
literature to assess the level of evidence for PMPs of test procedures
investigated in individuals with peripheral joint pain (PJP). METHODS: A
comprehensive electronic literature search was conducted in 7 databases from
inception to March 2016. The Quality Appraisal for Reliability Studies (QAREL)
checklist and the Consensus-based Standards for the Selection of Health Status
Measurement Instruments (COSMIN) tool were used to assess risk for bias of the
included studies. Level of evidence was evaluated based on the methodological
quality and the quality of the measurement properties. RESULTS: Forty-one studies
related to PJP were included. The majority of included studies were considered to
be of insufficient methodological quality, and the level of evidence for PMPs
varied across different test procedures. The level of evidence for PMPs varied
across different test procedures in different types of PJP. Hand-held pressure
algometry is the only test procedure that showed moderate positive evidence of
intrarater reliability, agreement, and responsiveness, simultaneously, when it
was investigated in patients with chronic knee osteoarthritis. CONCLUSIONS: This
systematic review identified that the level of evidence for PMPs varied across
different testing procedures to assess somatosensory abnormalities for different
PJP populations. Further research with standardized protocols is recommended to
further investigate the predictive ability and responsiveness of reported test
procedures in order to warrant their extended utility in clinical practice.
PMID- 29350875
TI - Highly dynamic wintering strategies in migratory geese: Coping with environmental
change.
AB - When and where to move is a fundamental decision to migratory birds, and the
fitness-related costs and benefits of migratory choices make them subject to
strong selective forces. Site use and migration routes are outcomes of
opportunities in the surrounding landscape, and the optimal migration strategy
may be conservative or explorative depending on the variability in the
environment occupied by the species. This study applies 25 years of resighting
data to examine development in winter migration strategy of pink-footed geese
divided among Denmark, the Netherlands and Belgium, and analyse potential drivers
of strategy change as well as individuals' likelihood to break with migratory
tradition. Contrary with the general notion that geese are highly traditional in
their winter site use, our results reveal that winter migration strategy is
highly dynamic in this species, with an average annual probability of changing
strategy of 54%. Strategy was not related to hunting pressure or winter
temperature, but could be partly explained by a tracking of food resources in a
landscape of rapid land use changes. The probability of individuals changing
strategy from year to year varied considerably between birds, and was partly
related to sex and age, with young males being the most likely to change. The
annual probability of changing wintering strategy increased substantially from
~40% to ~60% during the study period, indicating an increasingly explorative
behaviour. Our findings demonstrate that individual winter strategies are very
flexible and able to change over time, suggesting that phenotypic plasticity and
cultural transmission are important drivers of strategy choice in this species.
Growing benefits from exploratory behaviours, including the ability to track
rapid land use changes, may ultimately result in increased resilience to global
change.
PMID- 29350876
TI - Mechanism of Mer receptor tyrosine kinase inhibition of glomerular endothelial
cell inflammation.
AB - Endotoxin induces a variety of proinflammatory mediators and plays a crucial role
in kidney inflammation. The receptor tyrosine kinase, Mer, diminishes renal
inflammation by attenuating inflammatory responses. We previously reported that
Mer is predominantly expressed on glomerular endothelial cells (GECs) and that
Mer deficiency is associated with increased renal inflammation when mice are
challenged with nephrotoxic serum. We consequently hypothesized that Mer
signaling down-regulates LPS-driven inflammatory responses in GECs. To test this
hypothesis, primary GECs were isolated from the kidneys of Mer-KO and wild-type
(WT) control mice. LPS treatment induced Akt and STAT3 activation along with Bcl
xl up-regulation in WT GECs; these responses were all increased in Mer-deficient
GECs. In addition, STAT1 and ERK1/2 up-regulation and activation were observed in
Mer-KO GECs exposed to LPS. In contrast, expression of the inhibitory signaling
molecule, suppressor of cytokine signaling-3 (SOCS-3), was much higher in LPS
stimulated WT than Mer-deficient GECs. Deficiency of Mer was also associated with
significantly increased NF-kappaB expression and activation. These observations
indicate that Mer functions as an intrinsic feedback inhibitor of inflammatory
mediator-driven immune responses in GECs during kidney injury and suggest a new
therapeutic strategy for glomerular diseases.
PMID- 29350878
TI - Perfusion computed tomography in posterior circulation stroke: predictors and
prognostic implications of focal hypoperfusion.
AB - BACKGROUND AND PURPOSE: The aim was to determine the predictors of focal
hypoperfusion on computed tomography (CT) perfusion (CTP) in patients with acute
posterior circulation stroke and its association with long-term outcome. METHODS:
Patients with posterior circulation stroke were selected from the Acute Stroke
Registry and Analysis of Lausanne (ASTRAL) who underwent CTP within 24 h of
stroke onset as part of the stroke imaging protocol. Hypoperfusion was defined as
an area of visually well demarcated mean transit time prolongation corresponding
to an arterial territory on standard reconstruction CTP imaging maps. Areas of
hypoperfusion were assessed with the posterior circulation Acute Stroke Prognosis
Early CT Score. Clinical and imaging associations with focal hypoperfusion were
identified using multiple imputation analyses, and the adjusted functional
outcome measured by the modified Rankin Scale at 3 and 12 months was determined.
RESULTS: Of the 3595 consecutive patients from the ASTRAL registry between 2003
and 2014, 1070 (29.7%) had a posterior circulation stroke and 436 of these
(40.7%) patients had a good quality baseline CTP. 23.1% had early ischaemic
changes and 37.4% had focal hypoperfusion. In multiple imputation analysis,
visual field deficits, reduced level of consciousness, cardiac and multiple
stroke mechanisms, significant vessel pathology and ischaemic changes visible on
plain CT were associated with focal hypoperfusion. Focal hypoperfusion was
independently associated with outcome at 12 months (odds ratio 2.04, 95%
confidence interval 1.22-3.42, P < 0.01). CONCLUSIONS: In posterior circulation
stroke patients undergoing acute CTP, multiple clinical, aetiological and
radiological variables were associated with focal hypoperfusion. Patients with
focal hypoperfusion had a worse 12-month outcome.
PMID- 29350877
TI - Effects of human rhinovirus on epithelial barrier integrity and function in
children with asthma.
AB - BACKGROUND: Bronchial epithelial tight junctions (TJ) have been extensively
assessed in healthy airway epithelium. However, no studies have yet assessed the
effect of human rhinovirus (HRV) infection on the expression and resultant
barrier function in epithelial tight junctions (TJ) in childhood asthma.
OBJECTIVES: To investigate the impact of HRV infection on airway epithelial TJ
expression and barrier function in airway epithelial cells (AECs) of children
with and without asthma. Furthermore, to test the hypothesis that barrier
integrity and function is compromised to a greater extent by HRV in AECs from
asthmatic children. METHODS: Primary AECs were obtained from children with and
without asthma, differentiated into air-liquid interface (ALI) cultures and
infected with rhinovirus. Expression of claudin-1, occludin and zonula occluden-1
(ZO-1) was assessed via qPCR, immunocytochemistry (ICC), in-cell western (ICW)
and confocal microscopy. Barrier function was assessed by transepithelial
electrical resistance (TER; RT ) and permeability to fluorescent dextran.
RESULTS: Basal TJ gene expression of claudin-1 and occludin was significantly
upregulated in asthmatic children compared to non-asthmatics; however, no
difference was seen with ZO-1. Interestingly, claudin-1, occludin and ZO-1
protein expression was significantly reduced in AEC of asthmatic children
compared to non-asthmatic controls suggesting possible post-transcriptional
inherent differences. HRV infection resulted in a transient dissociation of TJ
and airway barrier integrity in non-asthmatic children. Although similar
dissociation of TJ was observed in asthmatic children, a significant and
sustained reduction in TJ expression concurrent with both a significant decrease
in TER and an increase in permeability in asthmatic children was observed.
CONCLUSION: This study demonstrates novel intrinsic differences in TJ gene and
protein expression between AEC of children with and without asthma. Furthermore,
it correlates directly the relationship between HRV infection and the resultant
dissociation of epithelial TJ that causes a continued altered barrier function in
children with asthma.
PMID- 29350874
TI - Diversification of human NK cells: Lessons from deep profiling.
AB - NK cells are innate lymphocytes with important roles in immunoregulation,
immunosurveillance, and cytokine production. Originally defined on the functional
basis of their "natural" ability to lyse tumor targets and thought to be a
relatively homogeneous group of lymphocytes, NK cells possess a remarkable degree
of phenotypic and functional diversity due to the combinatorial expression of an
array of activating and inhibitory receptors. Diversification of NK cells is
multifaceted: mechanisms of NK cell education that promote self-tolerance result
in a heterogeneous repertoire that further diversifies upon encounters with viral
pathogens. Here, we review the genetic, developmental, and environmental sources
of NK cell diversity with a particular focus on deep profiling and single-cell
technologies that will enable a more thorough and accurate dissection of this
intricate and poorly understood lymphocyte lineage.
PMID- 29350879
TI - Genetic and Chemical Diversity in Perovskia abrotanoides Kar. (Lamiaceae)
Populations Based on ISSRs Markers and Essential Oils Profile.
AB - Genetic and the essential oil composition variability among twelve Perovskia
abrotanoides populations (PAbPs) growing wild in Iran were assessed by ISSR
markers, GC-FID and GC/MS, respectively. Nine selected ISSR primers produced 119
discernible bands, of them 96 (80.7%) being polymorphic. Genetic similarity
values among populations ranged between 0.07 and 0.79 which indicated a high
level of genetic variation. Polymorphic information content, resolving power and
marker index generated by ISSR primers were, 0.31, 6.14, and 3.32, respectively.
UPGMA grouped PAbPs into four main clusters. Altogether, 38 chemical compounds
were identified in the oils, and a relatively high variation in their contents
was found. Camphor (11.9 - 27.5%), 1,8-cineole (11.3 - 21.3%), alpha-bisabolol
(0.0 - 13.1%), alpha-pinene (5.9 - 10.8%), and delta-3-carene (0.1 - 10.5%) were
the major compounds. Oxygenated monoterpenes (32.1 - 35.8%) and monoterpene
hydrocarbons (25.7 - 30.4%) were the main groups of compounds in the oils
studied. Cluster analysis and principal-component analysis were used to
characterize the samples according to oil components. Four main chemotypes were
found to be Chemotype I (camphor/1,8-cineol), Chemotype II (1,8-cineole/camphor),
Chemotype III (camphor/1,8-cineol/alpha-bisabolol), and Chemotype IV
(camphor/delta-3-carene/alpha-bisabolol). The information, provided here on P.
abrotanoides populations, will be useful to introduce this plant into
agricultural systems.
PMID- 29350880
TI - Temporal and Spatial Quantification of Pain- Related Small Fiber Functionality
Assessed Using Laser Speckle Contrast Analysis.
AB - BACKGROUND: This study aimed to establish a practical method for assessing pain
symptomatology and develop criteria for quantifying small fiber functions using
laser speckle contrast analysis (LASCA). METHODS: Axon flare responses, which
reflect small fiber functions, were induced either by histamine or capsaicin
applied to the volar surface of the skin of the left forearm. To inhibit small
fiber function, local anesthetic cream was applied to the skin of right forearm.
The effects on pain symptomatology (severity/timing) and axon flare responses
(temporal/spatial characteristics) were measured using LASCA. To highlight the
clinical relevance, this method was applied to 3 different types of patients with
small fiber dysfunction. RESULTS: Forty-four forearms of 22 healthy volunteers
were tested. Eutectic mixture local anesthetic cream reduced the severity and
duration of pain, abolishing or prolonging the latency to reach 3-fold higher
levels of basal skin microcirculation levels, and decreasing the area and
intensity of flare responses. There were positive correlations between pain
symptomatology and flare response characteristics in the control forearm. Similar
results were confirmed in neuropathic pain patients. CONCLUSIONS: Pain-related
small fiber functions and symptomatology (two-in-one method) can be assessed via
histamine- or capsaicin-evoked axon flare responses in as little as 15 minutes.
The reduction of small fiber functions are characterized by decrease in flare
size/intensity at 5 minutes after stimulation and prolongation/abolishment of the
latency to reach 3-fold higher levels of baseline skin microcirculation. LASCA
may be applied in the clinic to aid early diagnosis, monitor disease progression,
and objectively assess treatment efficacy in patients with neuropathic pain.
PMID- 29350881
TI - Interferon-induced protein 35 inhibits endothelial cell proliferation, migration
and re-endothelialization of injured arteries by inhibiting the nuclear factor
kappa B pathway.
AB - AIM: Endothelial recovery, or re-endothelialization, plays an important role in
intimal hyperplasia and atherosclerosis after endothelial injury. Studying the
mechanisms of re-endothelialization and strategies to promote efficient
endothelial recovery are still needed. Interferon-induced protein 35 (IFI35) is
an IFN-gamma-induced protein that plays important roles in the antivirus-related
immune-inflammatory response. In this study, we tested whether overexpression
IFI35 affects the proliferation and migration of endothelial cells (ECs) and re
endothelialization. METHODS: Wire injury of the carotid artery was induced in
C57BL/6 mice, which was followed by IFI35 or null adenovirus transduction. Evans
blue staining and HE staining were performed to evaluate the re
endothelialization rate and neointima formation. In vitro studies, primary human
umbilical vein endothelial cells (HUVECs) were transfected with Ad-IFI35 or siRNA
IFI35 to evaluate its potential roles in cell proliferation and migration.
Furthermore, the potential mechanism relating inhibition of NF-kappaB/p65 pathway
was elaborated by luciferase assay and IFI35 domain deletion assay. RESULTS: In
IFI35 adenovirus-transduced mice, the re-endothelialization rates at days 3, 7
were significantly reduced compared to those in null adenovirus-transduced mice
(5% and 35%, vs 20% and 50%, respectively). Meanwhile, subsequent neointimal
hyperplasia was obviously increased in IFI35 adenovirus-transduced mice. In vitro
studies further indicated that IFI35 inhibits both EC proliferation and migration
by inhibiting the NF-kappaB/p65 pathway. Subsequent studies demonstrated that
IFI35 functionally interacted with Nmi through its NID1 domain and that knock
down of Nmi significantly mitigated the inhibitory effect of IFI35 on EC
proliferation and migration. CONCLUSION: Our study revealed a novel mechanism
through which IFI35 affects the proliferation and migration of ECs as well as
neointima formation, specifically through inhibition of the NF-kappaB/p65
pathway. Thus, IFI35 is a promising target for the prevention and treatment of
post-injury vascular intimal hyperplasia.
PMID- 29350882
TI - Cardiac function on 3-D speckle tracking imaging and cytokines in Kawasaki
disease.
AB - BACKGROUND: Serum N-terminal pro-brain natriuretic peptide (NT-proBNP) tends to
rise in acute phase Kawasaki disease (KD), but the cause of NT-proBNP elevation
has not been clarified. In a previous study, cardiac function evaluated on 2-D
echocardiography (2D-E) such as ejection fraction was normal, but this does not
reflect subtle changes in cardiac dysfunction, and hence the association between
cardiac function and NT-proBNP elevation is still controversial. The aim of this
study was therefore to elucidate the influence of cardiac function on NT-proBNP
elevation, by evaluating cardiac function via strain on 3-D speckle tracking
imaging (3D-STI), in acute and subacute KD patients. Given that cytokines are
also thought to induce NT-proBNP in acute phase KD, serum cytokines and cytokine
receptors were measured at the same time. METHODS: Laboratory data and
echocardiography in 52 KD patients in the acute and subacute phases were
reviewed. RESULTS: Median NT-proBNP was significantly elevated in the acute phase
compared with the subacute phase (356.5 pg/mL; IQR, 145-904 pg/mL vs 103.5 pg/mL;
IQR, 59-150 pg/mL, P < 0.01). All cytokines were also significantly elevated in
the acute phase compared with the subacute phase. Tumor necrosis factor (TNF)
alpha, soluble TNF receptor (sTNFR)1, and sTNFR2 concentration were all
significantly higher in the acute phase. Indices of cardiac function were not
significant different between phases. NT-proBNP in the acute and subacute phases
correlated with sTNFR1 (r = 0.63/0.43, P < 0.01), sTNFR2 (r = 0.50/0.31, P <
0.05), and interleukin-6 (r = 0.58/0.43, P < 0.01). NT-proBNP did not correlate
with global longitudinal strain (GLS) on 3D-STI. CONCLUSION: Although no
correlation was seen between NT-proBNP and GLS on 3D-STI, correlations between NT
proBNP and cytokines were clear. NT-proBNP might be a marker of inflammation in
KD, but is not a marker of cardiac function.
PMID- 29350883
TI - Peri-implant conditions and levels of advanced glycation end products among
patients with different glycemic control.
AB - BACKGROUND: A close relationship between poor glycemic control and peri-implant
break down has been demonstrated. It is hypothesized that levels of advanced
glycation end products (AGEs) in peri-implant sulcular fluid (PISF) are higher
with increased glycemic levels in type 2 diabetes mellitus patients. PURPOSE: In
the present study, we examined the clinical and radiographic peri-implant
parameters and levels of AGEs among different glycemic levels in diabetic
patients and assessed whether the levels of AGEs correlate with clinical peri
implant parameters. MATERIALS AND METHODS: Ninety-three patients who participated
in this study were divided into four groups; Group-1: HbA1c 6.1%-8%; Group-2:
HbA1c 8.1%-10%; Group-3: HbA1c > 10%; Group-4: non-diabetic individuals with
HbA1c < 6%. Peri-implant plaque index (PI), bleeding on probing (BOP), probing
depth (PD) and crestal bone loss (CBL) were recorded. Levels of AGEs in PISF were
quantified using enzyme-linked immunosorbent assay. Between-group comparison of
means was verified with Kruskal-Wallis test and Pearson correlation coefficient
for correlations of AGE levels with peri-implant parameters. RESULTS: Peri
implant PI, BOP, PD, and CBL were significantly higher in group-1, -2, and -3 as
compared to non-diabetic patients (P < .05). These parameters were significantly
higher in group-2 and group-3 versus group-1 (P < .01). Mean PI, BOP, PD, and CBL
were comparable between group-2 and group-3 patients (P > .05). Mean levels of
AGEs in PISF were significantly higher in relation to higher levels of HbA1c
levels. Significant positive correlations were found between AGEs and PD (P =
.0221) and CBL (P = .0425); and significant negative correlation was found for PI
(P = .0376) in patients with HbA1c levels >10%, respectively. CONCLUSIONS:
Clinical and radiographic peri-implant parameters were poor and levels of AGEs
were significantly high in patients with high glycemic levels. These findings
suggest that AGEs may be considered as potential marker of inflammation in
diabetic individuals with peri-implantitis.
PMID- 29350884
TI - Management of Medically Refractory Central Poststroke Pain Using High-Frequency
Spinal Cord Stimulation at 10 kHz.
PMID- 29350885
TI - Unexpected impact of esterification on the antioxidant activity and
(photo)stability of a eumelanin from 5,6-dihydroxyindole-2-carboxylic acid.
AB - To inquire into the role of the carboxyl group as determinant of the properties
of 5,6-dihydroxyindole melanins, melanins from aerial oxidation of 5,6
dihydroxyindole-2-carboxylic acid (DHICA) and its DHICA methyl ester (MeDHICA)
were comparatively tested for their antioxidant activity. MALDI MS spectrometry
analysis of MeDHICA melanin provided evidence for a collection of intact
oligomers. EPR analysis showed g-values almost identical and signal amplitudes
(DeltaB) comparable to those of DHICA melanin, but spin density was one order of
magnitude higher, with a different response to pH changes. Antioxidant assays
were performed, and a model of lipid peroxidation was used to compare the
protective effects of the melanins. In all cases, MeDHICA melanin performed
better than DHICA melanin. This capacity was substantially maintained following
exposure to air in aqueous buffer over 1 week or to solar simulator over 3 hr.
Different from DHICA melanin, MeDHICA melanin was proved to be fairly soluble in
different water-miscible organic solvents, suggesting its use in dermocosmetic
applications.
PMID- 29350886
TI - The cell biology and molecular genetics of Mullerian duct development.
AB - The Mullerian ducts are part of the embryonic urogenital system. They give rise
to mature structures that serve a critical function in the transport and
development of the oocyte and/or embryo. In most vertebrates, both sexes
initially develop Mullerian ducts during embryogenesis, but they regress in males
under the influence of testis-derived Anti-Mullerian Hormone (AMH). A number of
regulatory factors have been shown to be essential for proper duct development,
including Bmp and Wnt signaling molecules, together with homeodomain
transcription factors such as PAX2 and LIM1. Later in development, the fate of
the ducts diverges between males and females and is regulated by AMH and Wnt
signaling molecules (duct regression in males) and Hox genes (duct patterning in
females). Most of the genes and molecular pathways known to be involved in
Mullerian duct development have been elucidated through animal models, namely,
the mouse and chicken. In addition, genetic analysis of humans with reproductive
tract disorders has further defined molecular mechanisms of duct formation and
differentiation. However, despite our current understanding of Mullerian duct
development, some questions remain to be answered at the molecular genetic level.
This article is categorized under: Early Embryonic Development > Development to
the Basic Body Plan.
PMID- 29350887
TI - Species-specific clinical characteristics of human coronavirus infection among
otherwise healthy adolescents and adults.
AB - Human coronavirus (HCoV) is a known cause of influenza-like illness (ILI). In a
multisite, observational, longitudinal study of ILI among otherwise healthy
adolescents and adults, 12% of subjects were PCR-positive for HCoV. The
distribution of species was as follows: HCoV-OC43 (34%), HCoV-229E (28%), HCoV
NL63 (22%), and HCoV-HKU1 (16%). We did not observe species-specific differences
in the clinical characteristics of HCoV infection, with the exception of HCoV
HKU1, for which the severity of gastrointestinal symptoms trended higher on the
fourth day of illness.
PMID- 29350888
TI - Identification of p90 Ribosomal S6 Kinase 2 as a Novel Host Protein in HBx
Augmenting HBV Replication by iTRAQ-Based Quantitative Comparative Proteomics.
AB - PURPOSE: The aim of this study was to screen for novel host proteins that play a
role in HBx augmenting Hepatitis B virus (HBV) replication. EXPERIMENTAL DESIGN:
Three HepG2 cell lines stably harboring different functional domains of HBx (HBx,
HBx-Cm6, and HBx-Cm16) were cultured. ITRAQ technology integrated with LC-MS/MS
analysis was applied to identify the proteome differences among these three cell
lines. RESULTS: In brief, a total of 70 different proteins were identified among
HepG2-HBx, HepG2-HBx-Cm6, and HepG2-HBx-Cm16 by double repetition. Several
differentially expressed proteins, including p90 ribosomal S6 kinase 2 (RSK2),
were further validated. RSK2 was expressed at higher levels in HepG2-HBx and
HepG2-HBx-Cm6 compared with HepG2-HBx-Cm16. Furthermore, levels of HBV
replication intermediates were decreased after silencing RSK2 in HepG2.2.15. An
HBx-minus HBV mutant genome led to decreased levels of HBV replication
intermediates and these decreases were restored to levels similar to wild-type
HBV by transient ectopic expression of HBx. After silencing RSK2 expression, the
levels of HBV replication intermediates synthesized from the HBx-minus HBV mutant
genome were not restored to levels that were observed with wild-type HBV by
transient HBx expression. CONCLUSION AND CLINICAL RELEVANCE: Based on iTRAQ
quantitative comparative proteomics, RSK2 was identified as a novel host protein
that plays a role in HBx augmenting HBV replication.
PMID- 29350889
TI - Predictors of overweight and obesity among adults aged 50 years and above:
Serbian national health survey.
AB - Background/Aim: Obesity is a complex and multifactorial condition related to
morbidity, mortality, poor quality of life and many other problems. The aim of
the study was to determine the prevalence of overweight and obesity and factors
associated with them (demographic, socioeconomic factors and lifestyle) in adults
aged 50 years and above in Serbia. Methods: This cross-sectional study,
representative for the population in Serbia, was carried out in one-year period,
including 6,932 people aged 50 and over. Individuals were interviewed and
anthropometrically examined. The association between overweight and obesity with
demographic, socioeconomic and behavioral factors was analyzed using multivariate
logistic regression. Results: Age, level of education and smoking were
significantly associated with overweight and obesity, regardless of gender.
Marital status was significantly associated with obesity, regardless of gender
and with overweight only in women. Breakfast consumption habit was significantly
associated with obesity only in men. There was no significant association of
overweight and obesity with the type of settlement, alcohol consumption and
physical activity, regardless of gender. Conclusion: The results of our study
indicate the need for more intensive implementation of measures affecting the
factors which contribute to overweight and obesity. Emphasis should be put on the
population-based policies and programs that support environmental changes.
PMID- 29350890
TI - Relationship between outpatient antibiotic use and the prevalence of bacterial
infections in Montenegro.
AB - Background/Aim: The overuse of antibiotics unnecessarily exposes patients to risk
of side effects, encourages reconsultation for similar problems and enhances
antimicrobial resistance. The use of antibiotics in the year 2011 in Montenegro
was high (39.05 Defined Daily Dose - DDD/1,000 inhabitants/day), but it was not
considered in relation to the frequency of bacterial diseases. The aim of our
study was to determine the degree of conformance between the amount of outpatient
antibiotic consumption and the reported prevalence of outpatient bacterial
infections in the Republic of Montenegro. Methods: Data on the use of
antibacterial drugs was obtained from the Agency for Medicines and Medical
Devices of Montenegro for the year 2012. The amount of antibiotics was calculated
using the Anatomic Therapeutic Chemical (ATC) DDD methodology. Data on the
prevalence of outpatient infective disease was obtained from the Health
Statistical Yearbook 2012 of Montenegro and it was expressed per 1,000
inhabitants. Results: A total of 30.34 DDD/1,000 inhabitants/day of antibiotics
in outpatients were prescribed in Montenegro in 2012, with penicillins being most
frequently prescribed. Amoxicillin and amoxicillin with clavulanic acid were the
most frequently used antibiotics. The prevalence of outpatient bacterial
infections was 6,745 cases or 10.87/1,000. The most frequent infections were
respiratory tract infections. Less than 50% of the prescribed amount of
antibiotics were prescribed in accordance with national guidelines on treatment
of bacterial infections. Conclusion: Use of antibiotics in Montenegro in 2012 was
more than double than necessary according to prevalence of bacterial infections
and average duration of treatment. The structure of antibiotics was not in full
compliance with the national good practice guidelines, but it was in accordance
with data on bacterial antibiotic resistance in outpatient practice. It is
necessary to initiate measures to rationalize the use of antibiotics both in
terms of quantity and in terms of the structure of the most used antibiotics.
PMID- 29350891
TI - First experiences with the Fitmore(r) hip stem: Early results of the 16-month
monitoring.
AB - Background/Aim: Fitmore(r) hip stem belongs to the group of short stem prostheses
with the metaphysar stabilization, with its shape and form that protects the bone
mass in the greater trochanter region and the distal part of the femur. The aim
of this paper was to present the early postoperative results in patients with
implanted Fitmore(r) hip stem and point out some of the advantages. Methods: A
series of 10 patients with implanted Fitmore(r) hip stem, was included in this
study. The average age of the patients was 54.5 (48-65) years. There were 5 women
and 5 men. The total monitoring time was 16 months. To rate the condition of the
hip joint we used The Western Ontario and Mc Master Universities Arthritis Index
(WOMAC) score. We also monitored the degree of hip pain, hip flexion, heterotopic
ossification and indentation in the stem of the prosthesis. Results: After 12
months of monitoring 9 (90%) of the patients had no pain in the thigh region, and
only 1 (10%) experienced mild pain. The hip flexion rose from the average 89
degrees to postoperative 114 degrees . WOMAC score rose as well, from 49 to 94
average points. Indentation in the stem was registered 3 months after the
operation in 2 (20%) of the patients - in one of the patients the indentation was
3 mm and in the other patient 5 mm. After the 16-month monitoring, the results
were excellent. The monitoring period was short though it should be continued and
the results should be presented after 5 and then after 10 years. Conclusion:
Early results of the implantation Fitmore stem showed good bone ingrowth with
excellent functional result.
PMID- 29350892
TI - Risk factors for cardiovascular disease in children on chronic hemodialysis -
Uremia related (non-traditional) risk factors, part II.
PMID- 29350893
TI - Silent sinus syndrome: One more reason for an ophthalmologist to have a
rhinologist as a good friend.
PMID- 29350894
TI - An enigma of eosinophilic esophagitis.
AB - Introduction: Eosinophilic esophagitis is a chronic immunogenic-antigen mediated
disease of the esophagus, characterized by symptoms related to esophagus
dysfunction, histologically defined by over 15 eosinophil counts seen in high
power microscopic field, without gastroesophageal reflux disease. In adults, the
most common clinical manifestations are dysphagia, reflux, chest pain,
regurgitation and bolus impaction. Case report: We presented the case of a female
patient, hospitalized for a serious form of pancreatitis with complications,
which required artificial ventilation and enteral feeding, after the initial
esophagoscopy verified reflux esophagitis. Further treatment cured the primary
illness, and peroral feeding was reintroduced. However, dysphagia with
regurgitation occurred, and endoscopic and radiological tests verified esophagus
stenosis, which histopathologically corresponded to erosive esophagitis. Two
months of treatment by a double dosage of proton pump inhibitors led to no
regression of disorders, and the repeated biopsies from the stenotic segments
resulted in over 30 eosinophil counts in the high-power microscopic field, which
histologically corresponds to eosinophilic esophagitis. Subsequent therapy
included fluticasone 880 MUg/day orally for a period of eight weeks, which led to
complete regression of disorders, and endoscopic and histopathologic remission.
Conclusion: In case of irresponsiveness to the conventional therapy by proton
pump inhibitors, repeated esophagoscopy and histopathological analyses of
esophagus mucosa biopsy can point to the diagnosis of eosinophilic esophagitis,
and a good therapeutic response to topical corticosteroids can be regarded as the
clinical confirmation of the diagnosis.
PMID- 29350895
TI - Pastoral care and religious support as a part of treatment of religious patient
with the severe form of osteoarthritis.
AB - Introduction: Religious needs of patients are consistently being neglected in the
clinical medicine. Pastoral care is a religious support which a religious patient
receives from priests, chaplains, imams, rabbis or other religious authorities.
Religious support, in terms of clinical medicine, is a spiritual support which
religious patients obtain from religious and trained medical workers. The aim of
this report was to present the effects of pastoral care and religious support in
hospital treatment of a 73-year-old patient with the severe form of
osteoarthritis. Case report: The 73- year-old, highly religious patient with
severe form of osteoarthritis was admitted at the Clinic for Physical Medicine
and Rehabilitation, Military Medical Academy in Belgrade, due to heterogeneous
problems in the activities of daily living. The patient walked with difficulty
using a stick, suffered pain, and was anxious and depressive. In order to
objectively demonstrate effects of both pastoral care and religious support in
this patient we performed multiple treatment with reversal design, in which the
basic treatment consisting of hospital care, pharmacotherapy and physical therapy
(the treatment A) was alternatively changed with the treatment that included
combination of the basic treatment and religious support provided by religious
physiatrist and physiotherapist (the treatment B) or combination of the basic
treatment and pastoral care provided by military priest (the treatment C). The
treatment A was applied three times and lasted two weeks, every time. Treatments
B and C were applied once and lasted three weeks, each. The order of the
treatments was: A->B->A->C->A. During the whole treatment period the patient's
condition was assessed by several measuring scale: the level of depression by The
Hamilton Rang Scale for Depression and The Zung Self Rating Depression Scale; the
level of anxiety by The Zung Self Rating Anxiety Scale; the functional capability
of patient by The Barthel Index and The Functional Independent Measure. Measuring
was carried out on a daily basis. In statistical analysis two nonparametric
statistic were used: the percentage of non-overlapping data (PND) and the
percentage of data points exceeding the median (PEM). PND and PEM values below
0.7 reflect questionable effectiveness of the treatment. The values between 0.7
and 0.9 reflect moderate effects. The values above 0.9 are considered as a highly
effective treatment. The anxiety of the patient was moderately to significantly
reduced after introducing religious support (treatment B: mean and mean deviation
= 50.1 +/- 10.89; variability = 4.598653; mean shift = 0.219626; PND = 0.6; PEM =
0.9) and pastoral care (treatment C: mean and mean deviation = 53.5 +/- 5.90;
variability = 9.062591; mean shift = 0.207407; PND = 0.9; PEM = 0.9). The
patient's depression was reduced after introducing pastoral care (treatment C:
mean and mean deviation = 51.3 +/- 4.66; variability = 10.99005; mean shift =
0.08881; PND = 0; PEM = 0.9). On the contrary, the patient's functional
capability was not significantly improved. Conclusion: In the highly religious
patient with severe osteoarthritis pastoral care and religious support, applied
along with the standard medical treatment of this condition, produced some
beneficial effects on anxiety and depressive mood, but with no significant effect
on patient's functional capability.
PMID- 29350896
TI - Transverse colon volvulus in neurologicaly imparied patient as an emergency
surgical condition: A case report.
AB - Introduction: Transverse colon volvulus is an uncommon cause of bowel obstruction
in general. Predisposing factors are mental retardation, dysmotility disorders,
chronic constipation and congenital megacolon. Case report: We presented
transverse colon volvulus in a 16-year-old boy with cerebral palsy. Chronic
constipation in neurologicaly impaired patient was a risk factor predisposing to
volvulus. The patient was admitted to the hospital with enormous abdominal
distension and acute respiratory insufficiency. A boy was emergently taken to the
operating room for exploratory laparotomy. During the surgery, a 360o clockwise
volvulus of the transverse colon was found. After reduction of volvulus, an
enormous transverse colon was resected and colostomy was formed. In the
postoperative period, despite the good functioning of stoma and intraabdominal
normotension, numerous and long lasting respiratory problems developed. The
patient was discharged from our institution after 8 months. Conclusion: Though
very rare in pediatric group, the possibility of a transverse colon volvulus must
be considered in the differential diagnosis of acute large bowel obstruction.
PMID- 29350898
TI - Identification of Individual Bacterial Cells through the Intermolecular
Interactions with Peptide-Functionalized Solid-State Pores.
AB - Bioinspired pore sensing for selective detection of flagellated bacteria was
investigated. The Au micropore wall surface was modified with a synthetic peptide
designed from toll-like receptor 5 (TLR5) to mimic the pathogen-recognition
capability. We found that intermolecular interactions between the TLR5-derived
recognition peptides and flagella induce ligand-specific perturbations in the
translocation dynamics of Escherichia coli, which facilitated the discrimination
between the wild-type and flagellin-deletion mutant (DeltafliC) by the resistive
pulse patterns thereby demonstrating the sensing of bacteria at a single-cell
level. These results provide a novel concept of utilizing weak intermolecular
interactions as a recognition probes for single-cell microbial identification.
PMID- 29350897
TI - Aortobifemoral reconstruction and renal transplantation in a patient with
abdominal aortic aneurysm and occlusion of iliac arteries: A case report.
AB - Introduction: Aortoiliac occlusive disease and abdominal aortic aneurysm in
patients with renal insufficiency on hemodialysis can significantly influence the
success of renal transplantation. In the recent past, advanced atherosclerosis
was considered as contraindication for renal transplantation. Complicated
creation of vascular anastomoses and progression of occlusive or aneurysmal
disease were the main reasons. Case report: We presented a 52-year-old man with a
5-year history of end-stage renal disease on haemodialysis. The patient was
previously excluded from renal transplantation program because of severe
aortoiliac atherosclerosis and abdominal aortic aneurysm. Resection of abdominal
aortic aneurysm with occlusion of the iliac arteries and reconstruction with
aortobifemoral synthetic grafts was performed and followed by cadaveric renal
transplantation. Conclusion: Advanced atherosclerotic disease in aortoiliac
segment requires elective vascular surgical reconstruction, as part of
preparation for renal transplantation in patients with end-stage renal disease.
PMID- 29350899
TI - Cyclam-Modified PEI for Combined VEGF siRNA Silencing and CXCR4 Inhibition To
Treat Metastatic Breast Cancer.
AB - Chemokine receptor CXCR4 plays an important role in cancer cell invasion and
metastasis. Recent findings suggest that anti-VEGF therapies upregulate CXCR4
expression, which contributes to resistance to antiangiogenic therapies. Here, we
report the development of novel derivatives of polyethylenimine (PEI) that
effectively inhibit CXCR4 while delivering anti-VEGF siRNA. PEI was alkylated
with different amounts of a CXCR4-binding cyclam derivative to prepare PEI-C.
Modification with the cyclam derivatives resulted in a considerable decrease in
cytotoxicity when compared with unmodified PEI. All the PEI-C showed significant
CXCR4 antagonism and the ability to inhibit cancer cell invasion. Polyplexes of
PEI-C prepared with siVEGF showed effective silencing of the VEGF expression in
vitro. In vivo testing in a syngeneic breast cancer model showed promising
antitumor and antimetastatic activity of the PEI-C/siVEGF polyplexes. Our data
demonstrate the feasibility of using PEI-C as a carrier for simultaneous VEGF
silencing and CXCR4 inhibition for enhanced antiangiogenic cancer therapies.
PMID- 29350900
TI - Fluorescent TPA@GQDs Probe for Sensitive Assay and Quantitative Imaging of
Hydroxyl Radicals in Living Cells.
AB - A fluorescent probe TPA@GQDs is fabricated by the conjugation of terephthalic
acid (TPA) on the surface of graphene quantum dots (GQDs). The TPA@GQDs probe not
only has favorable dispersibility but also exhibits excellent fluorescence
stability over a wide pH range and high ionic strength and favorable
antiphotobleaching ability. The great fluorescence enhancement of TPA@GQDs
induced by the reaction between TPA and hydroxyl radicals makes the TPA@GQDs a
powerful probe for the sensitive assay of hydroxyl radicals, giving rise to a low
detection limit down to 12 nmol L-1. Meanwhile, the obtained fluorescent TPA@GQDs
probe shows low cytotoxicity and favorable biocompatibility. Its potential in
bioimaging is demonstrated by the quantitative fluorescent imaging of hydroxyl
radicals in living HeLa cells under different circumstances, which enables the
opportunities to study hydroxyl radicals dynamics in living cells.
PMID- 29350901
TI - Adsorption and Diffusion of Lithium and Sodium on Defective Rhenium Disulfide: A
First Principles Study.
AB - Single-layer rhenium disulfide (ReS2) is a unique material with distinctive,
anisotropic electronic, mechanical, and optical properties and has the potential
to be used as an anode in alkali-metal-ion batteries. In this work, first
principles calculations were performed to systematically evaluate the potential
of monolayer pristine and defective ReS2 as anodes in lithium (Li)- and sodium
(Na)-ion batteries. Our calculations suggest that there are several potential
adsorption sites for Li and Na on pristine ReS2, owing to its low-symmetry
structure. Additionally, the adsorption of Li and Na over pristine ReS2 is very
strong with adsorption energies of -2.28 and -1.71 eV, respectively.
Interestingly, the presence of point defects causes significantly stronger
binding of the alkali-metal atoms with adsorption energies in the range -2.98 to
3.17 eV for Li and -2.66 to -2.92 eV for Na. Re single vacancy was found to be
the strongest binding defect for Li adsorption, whereas S single vacancy was
found to be the strongest for Na. The diffusion of these two alkali atoms over
pristine ReS2 is anisotropic, with an energy barrier of 0.33 eV for Li and 0.16
eV for Na. The energy barriers associated with escaping a double vacancy and
single vacancy for Li atoms are significantly large at 0.60 eV for the double
vacancy case and 0.51 eV for the single-vacancy case. Similarly, for Na, they are
0.59 and 0.47 eV, respectively, which indicates slower migration and sluggish
charging/discharging. However, the diffusion energy barrier over a Re single
vacancy is found to be merely 0.42 eV for a Li atom and 0.28 eV for Na. Overall,
S single and double vacancies can reduce the diffusion rate by 103-105 times for
Li and Na ions, respectively. These results suggest that monolayer ReS2 with a Re
single vacancy adsorbs Li and Na stronger than pristine ReS2, with negligible
negotiation with the charging/discharging rate of the battery, and therefore they
can be used as an anode in Li- and Na-ion batteries.
PMID- 29350902
TI - Hierarchically Self-Assembled Supramolecular Host-Guest Delivery System for Drug
Resistant Cancer Therapy.
AB - In this report, a new star-like copolymer beta-CD- g-(PNIPAAm- b-POEGA) x,
consisting of a beta-CD core, grafted with temperature-responsive poly( N
isopropylacrylamide) (PNIPAAm) and biocompatible poly(oligo(ethylene glycol)
acrylate) (POEGA) in a block copolymer of the arms, was used to deliver
chemotherapeutics to drug resistant cancer cells and tumors. The first step of
the self-assembly process involves the encapsulation of chemotherapeutics through
host-guest inclusion complexation between the beta-cyclodextrin cavity and the
anticancer drug. Next, the chain interaction of the PNIPAAm segment at elevated
temperature drives the drug-loaded beta-CD- g-(PNIPAAm- b-POEGA) x/PTX inclusion
complex to hierarchically self-assemble into nanosized supramolecular assemblies
at 37 degrees C, whereas the presence of poly(ethylene glycol) (PEG) chains in
the distal end of the star-like copolymer arms impart enhanced stability to the
self-assembled structure. More interestingly, this supramolecular host-guest
nanocomplex promoted the enhanced cellular uptake of chemotherapeutics in MDR-1
up-regulated drug resistant cancer cells and exhibited high therapeutic efficacy
for suppressing drug resistant tumor growth in an in vivo mouse model, due to the
increased stability, improvement in aqueous solubility, enhanced cellular uptake,
and partial membrane pump impairment by taking the advantage of PEGylation and
supramolecular complex between this star-like copolymer and chemotherapeutics.
This work signifies that temperature-sensitive PEGylated supramolecular
nanocarriers with good biocompatibility are effective in combating MDR-1 mediated
drug resistance in both in vitro and in vivo models, which is of significant
importance for the advanced drug delivery platform designed to combat drug
resistant cancer.
PMID- 29350903
TI - Self-Assembly of Protein Crystals with Different Crystal Structures Using Tobacco
Mosaic Virus Coat Protein as a Building Block.
AB - In this work, a typical cylinder-shaped tobacco mosaic virus coat protein (TMVCP)
is employed as an anisotropic building block to assemble into triclinic and
hexagonal close-packed (HCP) protein crystals by introducing cysteine residues at
the 1 and 3 sites and four histidine residues at the C-terminal, respectively.
The engineered functional groups of cysteine and histidine in the TMVCP and the
self-assembly conditions determine the thermodynamics and kinetics in the self
assembly process for forming different crystal structures. The results show that
the TMVCPs are thermodynamically driven to form triclinic crystals due to the
formation of disulfide bonds between neighboring TMVCPs. On the other hand, the
self-assembly of HCP crystals is kinetically directed by the strong metal
histidine chelation. This work not only greatly expands TMVCP for fabricating
promising nanomaterials but also represents an approach to adjusting the protein
crystal structures by tuning the thermodynamics and kinetics during
crystallization.
PMID- 29350904
TI - Nanoplatform Assembled from a CD44-Targeted Prodrug and Smart Liposomes for Dual
Targeting of Tumor Microenvironment and Cancer Cells.
AB - The tumor microenvironment (TME) plays a critical role in tumor initiation,
progression, invasion, and metastasis. Therefore, a therapy that combines
chemotherapeutic drugs with a TME modulator could be a promising route for cancer
treatment. This paper reports a nanoplatform self-assembled from a hyaluronic
acid (HA)-paclitaxel (PTX) (HA-PTX) prodrug and marimastat (MATT)-loaded
thermosensitive liposomes (LTSLs) (MATT-LTSLs) for the dual targeting of the TME
and cancer cells. Interestingly, the prodrug HA-PTX can self-assemble on both
positively and negatively charged liposomes, forming hybrid nanoparticles (HNPs,
100 nm). Triggered by mild hyperthermia, HA-PTX/MATT-LTSLs HNPs rapidly release
their payloads into the extracellular environment, and the released HA-PTX
quickly enters 4T1 cells through a CD44-HA affinity. The HNPs possess promoted
tumor accumulation (1.6-fold), exhibit deep tumor penetration, and significantly
inhibit the tumor growth (10-fold), metastasis (100%), and angiogenesis (10
fold). Importantly, by targeting the TME and maintaining its integrity via
inhibiting the expression and activity of matrix metalloproteinases (>5-fold),
blocking the fibroblast activation by downregulating the TGF-beta1 expression (5
fold) and suppressing the degradation of extracellular matrix, the HNPs allow for
significant metastasis inhibition. Overall, these findings indicate that a
prodrug of an HA-hydrophobic-active compound and liposomes can be self-assembled
into a smart nanoplatform for the dual targeting of the TME and tumor cells and
efficient combined treatment; additionally, the co-delivery of MATT and HA-PTX
with the HNPs is a promising approach for the treatment of metastatic cancer.
This study creates opportunities for fabricating multifunctional nanodevices and
offers an efficient strategy for disease therapy.
PMID- 29350905
TI - Ampulexins: A New Family of Peptides in Venom of the Emerald Jewel Wasp, Ampulex
compressa.
AB - The parasitoid wasp Ampulex compressa injects venom directly into the brain and
subesophageal ganglion of the cockroach Periplaneta americana, inducing a 7 to 10
day lethargy termed hypokinesia. Hypokinesia presents as a significant reduction
in both escape response and spontaneous walking. We examined aminergic and
peptidergic components of milked venom with HPLC and MALDI-TOF mass spectrometry.
HPLC coupled with electrochemical detection confirmed the presence of dopamine in
milked venom, while mass spectrometry revealed that the venom gland and venom sac
have distinct peptide profiles, with milked venom predominantly composed of venom
sac peptides. We isolated and characterized novel alpha-helical, amphipathic
venom sac peptides that constitute a new family of venom toxins termed
ampulexins. Injection of the most abundant venom peptide, ampulexin 1, into the
subesophageal ganglion of cockroaches resulted in a short-term increase in escape
threshold. Neither milked venom nor venom peptides interfered with growth of
Escherichia coli or Bacillus thuringiensis on agar plates, and exposure to
ampulexins or milked venom did not induce cell death in Chinese hamster ovary
cells (CHO-K1) or Hi5 cells ( Trichoplusia ni).
PMID- 29350906
TI - Targeted Construction of Light-Harvesting Metal-Organic Frameworks Featuring
Efficient Host-Guest Energy Transfer.
AB - Metal-organic frameworks (MOFs) have emerged as promising light-harvesting
platforms for energy-transfer materials. However, the targeted construction of
MOFs with desirable photophysical properties and pore structures is still a
challenge. Herein, 1,1,2,2-tetrakis(4-(pyridin-4-yl)phenyl)ethene (tppe) is
selected as the ligand for the construction of light-harvesting MOFs due to its
highly emissive and rigid backbone, which could benefit the light-harvesting
performance of the MOFs. Three MOFs (MOFs 1-3) were obtained on the basis of
different metal centers (Zn2+ and Cd2+) and carboxylate building blocks. The
complete structure characterization of the MOFs helps the illustration of the
principles for structure tuning of this system. All three MOFs exhibit strong
tppe-originated photoluminescence emission, with quantum yields as high as 47.6%.
The fluorescence quantum yield and time-resolved fluorescence studies reveal that
a remarkable energy-transfer efficiency (up to 96%) was achieved in this system.
These results clearly indicate tppe-MOFs could be promising light-harvesting
materials.
PMID- 29350907
TI - Excitatory and Inhibitory Neuronal Circuits in the Spinal Cord and Their Role in
the Control of Motor Neuron Function and Degeneration.
AB - The complex neuronal networks of the spinal cord coordinate a wide variety of
motor functions, including walking, running, and voluntary and involuntary
movements. This is accomplished by different groups of neurons, called center
pattern generators, which control left-right alternation and flexor-extensor
patterns. These spinal circuits, located in the ventral horns, are formed by
several neuronal types, and the specific function of most of them has been
identified by means of studies in vivo and in the isolated spinal cord of mice
harboring genetically induced ablation of specific neuronal populations. These
studies have shown that the coordinated activity of several interneuron types,
mainly GABAergic and glycinergic inhibitory neurons, have a crucial role in the
modulation of motor neurons activity that finally excites the corresponding
muscles. A pharmacological experimental approach by administering in the spinal
cord agonists and antagonists of glutamate, GABA, glycine, and acetylcholine
receptors to alter their synaptic action has also produced important results,
linking the deficits in the synaptic function with the resulting motor
alterations. These results have also increased the knowledge of the mechanisms of
motor neuron degeneration, which is characteristic of diseases such as
amyotrophic lateral sclerosis, and therefore open the possibility of designing
new strategies for the prevention and treatment of these diseases.
PMID- 29350908
TI - Light Modulation and Water Splitting Enhancement Using a Composite Porous GaN
Structure.
AB - On the basis of the laterally porous GaN, we designed and fabricated a composite
porous GaN structure with both well-ordered lateral and vertical holes. Compared
to the plane GaN, the composite porous GaN structure with the combination of the
vertical holes can help to reduce UV reflectance and increase the saturation
photocurrent during water splitting by a factor of ~4.5. Furthermore, we
investigated the underlying mechanism for the enhancement of the water splitting
performance using a finite-difference time-domain method. The results show that
the well-ordered vertical holes can not only help to open the embedded pore
channels to the electrolyte at both sides and reduce the migration distance of
the gas bubbles during the water splitting reactions but also help to modulate
the light field. Using this composite porous GaN structure, most of the incident
light can be modulated and trapped into the nanoholes, and thus the electric
fields localized in the lateral pores can increase dramatically as a result of
the strong optical coupling. Our findings pave a new way to develop GaN
photoelectrodes for highly efficient solar water splitting.
PMID- 29350909
TI - Dual Drug Delivery System Based on Biodegradable Organosilica Core-Shell
Architectures.
AB - To overcome drug resistance, efficient cancer therapeutic strategies using a
combination of small-molecule drugs and macromolecule drugs is highly desired.
However, because of their significant differences in molecular weight and size,
it is difficult to load them simultaneously in one vector and to release them
individually. Here, a biodegradable organosilica-based core-shell-structured
nanocapsule was designed and used as a dual stimuli-responsive drug vector to
solve this problem. Biodegradable organosilica shell coated outside the
macromolecule model drug "core" would be disrupted by high glutathione (GSH)
levels inside tumor cells, resulting in the escape of the entrapped drugs. Small
molecule drugs capping on the surface of the organosilica shell via pH-responsive
imine bonds can be cut and released in the acidic lysosomal environment.
Transmission electron microscopy has shown that the framework of the organosilica
shell was dissolved and degraded after 8 h incubation with 5 mM GSH. Confocal
imaging confirmed that small-molecule and macromolecular drugs were individually
released from the nanoparticles because of the pH or redox-triggered degradation
under the tumor microenvironment and thus led to the strong fluorescence recovery
in the cytoplasm. As expected, these biodegradable organosilica nanoparticles
could not release drugs into normal cells but could specifically release them
into tumor cells owing to their tumor-triggered targeting capability. This system
will serve as an efficient shuttle for multidrug delivery and also provide a
potential strategy to overcome drug resistance.
PMID- 29350910
TI - Highly Asymmetric Phase Behaviors of Polyhedral Oligomeric Silsesquioxane-Based
Multiheaded Giant Surfactants.
AB - This work reports the molecular design, synthesis, and systematic study on the
bulk self-assembly behaviors of three series of polyhedral oligomeric
silsesquioxane (POSS)-based multiheaded giant surfactants XDPOSS-PSn (X = 2, 3,
and 4), which are composed of two, three, or four hydrophilic hydroxyl-group
functionalized DPOSS cages attached via one junction point to a hydrophobic
polystyrene (PS) chain. These series of hybrid polymeric amphiphiles with
precisely defined chemical structure and controllable molecular architecture are
synthesized by the sequential usage of "click" reactions. By tuning molecular
weights of the PS tail, we established full phase diagrams of XDPOSS-PSn as a
function of the volume fractions of PS chains (VfPS). We found that the self
assembled structures were greatly influenced by the molecular architecture.
Strikingly, our results showed that the lamellar morphology, which usually
existed at relatively symmetric compositions in common diblock copolymers, became
the thermodynamically stable phase in the 3DPOSS-PSn and 4DPOSS-PSn samples even
at an asymmetric composition up to VfPS = 0.842, with the ratio between the
thicknesses of PS and DPOSS lamellae up to 5.32. This unusual phenomenon induced
by molecular architectural variation could be explained by the large cross
sectional area of DPOSS cages at the nanophase-separated domain interface and
high elastic deformation energy of clustered DPOSS cages which have relatively
rigid conformation. The unique bulk self-assembly behaviors in our POSS-based
multiheaded giant surfactants provide insights in developing hybrid nanomaterials
toward unconventional nanostructures.
PMID- 29350912
TI - Low Temperature-Derived 3D Hexagonal Crystalline Fe3O4 Nanoplates for Water
Purification.
AB - Fe3O4 nanoplates were fabricated by an anodic oxidation process and a subsequent
water assisted crystallization process at low temperature, which was found to be
very efficient and environmentally friendly. The as-prepared Fe3O4 nanoplates
have hexagonal outlines with a thickness of about 20 nm. Tremendous grooves were
distributed on the entire surfaces of the nanoplates, making the two-dimension
nanoplates have a unique 3D morphology. Transmission electron microscopy results
confirmed that the single-crystalline nature of the nanoplates was well
maintained. Owing to the unique structures and porous morphologies, the as
prepared 3D nanoplates show excellent ability for absorbing solar energy and
absorbing organic pollutants, which can be utilized for cleaning up water.
Moreover, the Fe3O4 nanoplates show good magnetic properties that enable them to
be easily collected and recycled. We believe this study will inspire the
application of Fe3O4 nanoplates with 3D structures in energy and environmental
areas.
PMID- 29350911
TI - A Programmable DNA Origami Platform for Organizing Intrinsically Disordered
Nucleoporins within Nanopore Confinement.
AB - Nuclear pore complexes (NPCs) form gateways that control molecular exchange
between the nucleus and the cytoplasm. They impose a diffusion barrier to
macromolecules and enable the selective transport of nuclear transport receptors
with bound cargo. The underlying mechanisms that establish these permeability
properties remain to be fully elucidated but require unstructured nuclear pore
proteins rich in Phe-Gly (FG)-repeat domains of different types, such as FxFG and
GLFG. While physical modeling and in vitro approaches have provided a framework
for explaining how the FG network contributes to the barrier and transport
properties of the NPC, it remains unknown whether the number and/or the spatial
positioning of different FG-domains along a cylindrical, ~40 nm diameter
transport channel contributes to their collective properties and function. To
begin to answer these questions, we have used DNA origami to build a cylinder
that mimics the dimensions of the central transport channel and can house a
specified number of FG-domains at specific positions with easily tunable design
parameters, such as grafting density and topology. We find the overall morphology
of the FG-domain assemblies to be dependent on their chemical composition,
determined by the type and density of FG-repeat, and on their architectural
confinement provided by the DNA cylinder, largely consistent with here presented
molecular dynamics simulations based on a coarse-grained polymer model. In
addition, high-speed atomic force microscopy reveals local and reversible FG
domain condensation that transiently occludes the lumen of the DNA central
channel mimics, suggestive of how the NPC might establish its permeability
properties.
PMID- 29350913
TI - Environmentally Triggerable Retinoic Acid-Inducible Gene I Agonists Using
Synthetic Polymer Overhangs.
AB - Retinoic acid-inducible gene I (RIG-I) is a cytosolic pattern recognition
receptor (PRR) that potently activates antiviral innate immunity upon recognition
of 5' triphosphorylated double-stranded RNA (pppRNA). Accordingly, RNA ligands of
the RIG-I pathway have recently emerged as promising antiviral agents, vaccine
adjuvants, and cancer immunotherapeutics. However, RIG-I is expressed
constitutively in virtually all cell types, and therefore administration of RIG-I
agonists causes risk for systemic inflammation and possible dose-limiting
toxicities. Here, we establish proof-of-concept and initial design criteria for
pppRNA prodrugs capable of activating the RIG-I pathway in response to specific
environmental stimuli. We show that covalent conjugation of poly(ethylene glycol)
(PEG) to the 3' end of the complementary strand, i.e., on the same side but
opposite strand as the 5' triphosphate group, can generate a synthetic overhang
that prevents RIG-I activation. Additionally, conjugation of PEG through a
cleavable linker-here, a reducible disulfide bond-allows for removal of the
synthetic overhang and restoration of immunostimulatory activity. Furthermore, we
demonstrate that blockade of RIG-I activation via synthetic overhangs is
dependent on PEG molecular weight, with a critical molecular weight between 550
and 1000 Da required to inhibit activity. Additionally, we demonstrate that
blockade of RIG-I activity is conjugation site-dependent, as ligation of PEG to
the opposite end of the RNA did not influence ligand activity. Collectively, this
work demonstrates that conjugation of synthetic polymer overhangs to pppRNA
through cleavable linkers is a viable strategy for the development of
environmentally triggerable RIG-I-targeting prodrugs.
PMID- 29350914
TI - Cys34 Adductomes Differ between Patients with Chronic Lung or Heart Disease and
Healthy Controls in Central London.
AB - Oxidative stress generates reactive species that modify proteins, deplete
antioxidant defenses, and contribute to chronic obstructive pulmonary disease
(COPD) and ischemic heart disease (IHD). To determine whether protein
modifications differ between COPD or IHD patients and healthy subjects, we
performed untargeted analysis of adducts at the Cys34 locus of human serum
albumin (HSA). Biospecimens were obtained from nonsmoking participants from
London, U.K., including healthy subjects (n = 20) and patients with COPD (n = 20)
or IHD (n = 10). Serum samples were digested with trypsin and analyzed by liquid
chromatography-high resolution mass spectrometry. Effects of air pollution on
adduct levels were also investigated based on estimated residential exposures to
PM2.5, O3 and NO2. For the 39 adducts with sufficient data, levels were
essentially identical in blood samples collected from the same subjects on two
consecutive days, consistent with the 28 day residence time of HSA. Multivariate
linear regression revealed 21 significant associations, mainly with the
underlying diseases but also with air-pollution exposures (p-value < 0.05).
Interestingly, most of the associations indicated that adduct levels decreased
with the presence of disease or increased pollutant concentrations. Negative
associations of COPD and IHD with the Cys34 disulfide of glutathione and two
Cys34 sulfoxidations, were consistent with previous results from smoking and
nonsmoking volunteers and nonsmoking women exposed to indoor combustion of coal
and wood.
PMID- 29350915
TI - Dichloroimidazolidinedione-Activated Beckmann Rearrangement of Ketoximes for
Accessing Amides and Lactams.
AB - A novel protocol for the activation of the Beckmann rearrangement utilizing the
readily available and economical geminal dichloroimidazolidinediones (DCIDs) on a
substoichiometric scale (10 mol %) has been developed. A unique self-propagating
mechanism for the substoichiometric dichloroimidazolidinedione-activated
transformation was proposed and validated. The substrate scope of the developed
protocol has been demonstrated by 23 examples with good to excellent yields
(mostly 90-98%) in a short time (mostly 10-30 min), including a substrate for
synthesizing the monomer of nylon-12 and a complicated steroidal substrate on a
preparative scale. This research not only unveils for the first time the
synthetic potential of substoichiometric amounts of dichloroimidazolidinediones
in promoting chemical transformation but also offers yet another important
illustration of the self-propagating cycle in the context of the Beckmann
rearrangement activated by a structurally novel organic promoter.
PMID- 29350916
TI - Rhombohedral to Cubic Conversion of GeTe via MnTe Alloying Leads to Ultralow
Thermal Conductivity, Electronic Band Convergence, and High Thermoelectric
Performance.
AB - In this study, a series of Ge1-xMnxTe (x = 0-0.21) compounds were prepared by a
melting-quenching-annealing process combined with spark plasma sintering (SPS).
The effect of alloying MnTe into GeTe on the structure and thermoelectric
properties of Ge1-xMnxTe is profound. With increasing content of MnTe, the
structure of the Ge1-xMnxTe compounds gradually changes from rhombohedral to
cubic, and the known R3m to Fm-3m phase transition temperature of GeTe moves from
700 K closer to room temperature. First-principles density functional theory
calculations show that alloying MnTe into GeTe decreases the energy difference
between the light and heavy valence bands in both the R3m and Fm-3m structures,
enhancing a multiband character of the valence band edge that increases the hole
carrier effective mass. The effect of this band convergence is a significant
enhancement in the carrier effective mass from 1.44 m0 (GeTe) to 6.15 m0
(Ge0.85Mn0.15Te). In addition, alloying with MnTe decreases the phonon relaxation
time by enhancing alloy scattering, reduces the phonon velocity, and increases Ge
vacancies all of which result in an ultralow lattice thermal conductivity of 0.13
W m-1 K-1 at 823 K. Subsequent doping of the Ge0.9Mn0.1Te compositions with Sb
lowers the typical very high hole carrier concentration and brings it closer to
its optimal value enhancing the power factor, which combined with the ultralow
thermal conductivity yields a maximum ZT value of 1.61 at 823 K (for
Ge0.86Mn0.10Sb0.04Te). The average ZT value of the compound over the temperature
range 400-800 K is 1.09, making it the best GeTe-based thermoelectric material.
PMID- 29350918
TI - Entrapped Styrene Butadiene Polymer Chains by Sol-Gel-Derived Silica
Nanoparticles with Hierarchical Raspberry Structures.
AB - A sol-gel transformation of liquid silica precursor to solid silica particles was
carried out in a one-pot synthesis way, where a solution of styrene butadiene
elastomer was present. The composites, thus produced, offered remarkable
improvements of mechanical and dynamic mechanical performances compared to
precipitated silica. The morphological analysis reveals that the alkoxy-based
silica particles resemble a raspberry structure when the synthesis of the silica
was carried out in the presence of polymer molecules and represent a much more
open silica-network structure. However, in the absence of the polymer, the
morphology of the silica particles is found to be different. It is envisaged that
the special morphology of the in situ synthesized silica particles contributes to
the superior reinforcement effects, which are associated with a strong silica
rubber interaction by rubber chains trapped inside the raspberry-like silica
aggregates. Therefore, the interfaces are characterized in detail by low-field
solid-state 1H NMR spectroscopy, 29Si solid-state NMR spectroscopy, and energy
dispersive X-ray spectroscopy. Low-field 1H NMR-based double-quantum experiments
provide a quantitative information about the cross-link density of the silica
filled rubber composites and about the influence of silane coupling agent on the
chemical cross-link density of the network and correlates well with equilibrium
swelling measurements. The special microstructure of the alkoxy-based silica was
found to be associated with the interaction between alkoxy-based silica and
rubber chains as a consequence of particle growth in the presence of rubber
chains.
PMID- 29350919
TI - Pair Distribution Function Analysis of Structural Disorder by Nb5+ Inclusion in
Ceria: Evidence for Enhanced Oxygen Storage Capacity from Under-Coordinated
Oxide.
AB - Partial substitution of Ce4+ by Nb5+ is possible in CeO2 by coinclusion of Na+ to
balance the charge, via hydrothermal synthesis in sodium hydroxide solution. Pair
distribution function analysis using reverse Monte Carlo refinement reveals that
the small pentavalent substituent resides in irregular coordination positions in
an average fluorite lattice, displaced away from the ideal cubic coordination
toward four oxygens. This results in under-coordinated oxygen, which explains
significantly enhanced oxygen storage capacity of the materials of relevance to
redox catalysis used in energy and environmental applications.
PMID- 29350920
TI - Crystal Structures and Human Leukemia Cell Apoptosis Inducible Activities of
Parthenolide Analogues Isolated from Piptocoma rufescens.
AB - The molecular structures of three parthenolide analogues, (-)-goyazensolide (1),
(-)-15-deoxygoyazensolide (2), and (-)-ereglomerulide (3), isolated from the
leaves of Piptocoma rufescens in a previous study were determined by X-ray
analysis, and the absolute configuration of (-)-goyazensolide (1) was confirmed
crystallographically using Cu Kalpha radiation at low temperature. Compounds 1-3,
(+)-rufesolide A (4), and commercial parthenolide were found to be growth
inhibitory toward MOLM-13 and EOL-1 human acute myeloid leukemia cells using
PKC412 (midostaurin) as the positive control, with 1-3 being more active than
parthenolide. Also, compounds 1-4 exhibited synergistic effects when tested with
PKC412, but parthenolide did not show this type of activity. At a concentration
lower than 2.0 MUM, both 1 and 2 induced approximately 50% of the cells to become
apoptotic at a late stage of the cell cycle, but no similar apoptotic effects
were observed for 3, 4, or parthenolide. Leukemia cell apoptosis was induced by
these compounds through the activation of caspase-3 and the inhibition of NF
kappaB, as indicated by immunoblotting analysis, and compounds 1 and 2 seem to be
promising leads for development as potential antileukemic agents.
PMID- 29350917
TI - Oxygen Activation and Energy Conservation by Cytochrome c Oxidase.
AB - This review focuses on the type A cytochrome c oxidases (C cO), which are found
in all mitochondria and also in several aerobic bacteria. C cO catalyzes the
respiratory reduction of dioxygen (O2) to water by an intriguing mechanism, the
details of which are fairly well understood today as a result of research for
over four decades. Perhaps even more intriguingly, the membrane-bound C cO
couples the O2 reduction chemistry to translocation of protons across the
membrane, thus contributing to generation of the electrochemical proton gradient
that is used to drive the synthesis of ATP as catalyzed by the rotary ATP
synthase in the same membrane. After reviewing the structure of the core subunits
of C cO, the active site, and the transfer paths of electrons, protons, oxygen,
and water, we describe the states of the catalytic cycle and point out the few
remaining uncertainties. Finally, we discuss the mechanism of proton
translocation and the controversies in that area that still prevail.
PMID- 29350922
TI - Computing Curvature Sensitivity of Biomolecules in Membranes by Simulated
Buckling.
AB - Membrane curvature sensing, where the binding free energies of membrane
associated molecules depend on the local membrane curvature, is a key factor to
modulate and maintain the shape and organization of cell membranes. However, the
microscopic mechanisms are not well understood, partly due to absence of
efficient simulation methods. Here, we describe a method to compute the curvature
dependence of the binding free energy of a membrane-associated probe molecule
that interacts with a buckled membrane, which has been created by lateral
compression of a flat bilayer patch. This buckling approach samples a wide range
of curvatures in a single simulation, and anisotropic effects can be extracted
from the orientation statistics. We develop an efficient and robust algorithm to
extract the motion of the probe along the buckled membrane surface, and evaluate
its numerical properties by extensive sampling of three coarse-grained model
systems: local lipid density in a curved environment for single-component
bilayers, curvature preferences of individual lipids in two-component membranes,
and curvature sensing by a homotrimeric transmembrane protein. The method can be
used to complement experimental data from curvature partition assays and provides
additional insight into mesoscopic theories and molecular mechanisms for
curvature sensing.
PMID- 29350921
TI - The Semireduced Mechanism for Nitric Oxide Reduction by Non-Heme Diiron
Complexes: Modeling Flavodiiron Nitric Oxide Reductases.
AB - Flavodiiron nitric oxide reductases (FNORs) are a subclass of flavodiiron
proteins (FDPs) capable of preferential binding and subsequent reduction of NO to
N2O. FNORs are found in certain pathogenic bacteria, equipping them with
resistance to nitrosative stress, generated as a part of the immune defense in
humans, and allowing them to proliferate. Here, we report the spectroscopic
characterization and detailed reactivity studies of the diiron dinitrosyl model
complex [Fe2(BPMP)(OPr)(NO)2](OTf)2 for the FNOR active site that is capable of
reducing NO to N2O [Zheng et al., J. Am. Chem. Soc. 2013, 135, 4902-4905]. Using
UV-vis spectroscopy, cyclic voltammetry, and spectro-electrochemistry, we show
that one reductive equivalent is in fact sufficient for the quantitative
generation of N2O, following a semireduced reaction mechanism. This reaction is
very efficient and produces N2O with a first-order rate constant k > 102 s-1.
Further isotope labeling studies confirm an intramolecular N-N coupling
mechanism, consistent with the rapid time scale of the reduction and a very low
barrier for N-N bond formation. Accordingly, the reaction proceeds at -80
degrees C, allowing for the direct observation of the mixed-valent product of the
reaction. At higher temperatures, the initial reaction product is unstable and
decays, ultimately generating the diferrous complex [Fe2(BPMP)(OPr)2](OTf) and an
unidentified ferric product. These results combined offer deep insight into the
mechanism of NO reduction by the relevant model complex [Fe2(BPMP)(OPr)(NO)2]2+
and provide direct evidence that the semireduced mechanism would constitute a
highly efficient pathway to accomplish NO reduction to N2O in FNORs and in
synthetic catalysts.
PMID- 29350923
TI - CASSCF Calculations Reveal Competitive Chair (Pericyclic) and Boat
(Pseudopericyclic) Transition States for the [3,3] Sigmatropic Rearrangement of
Allyl Esters.
AB - (10,8)CASPT2/6-31G**//(10,8)CASSCF/6-31G** and CCSD(T)/cc-pVDZ//(10,8)-CASSCF/6
31G** calculations have been performed on the potential surface for the [3,3]
sigmatropic allyl ester rearrangements of cis-3-penten-2-yl acetate (16) to trans
3-penten-2-yl acetate (17) and 3-buten-2-yl acetate (21) to trans-2-buten-1-yl
acetate (22). The results are compared to DFT (B3LYP/6-31G**) calculations on the
known 16 -> 17 rearrangement that reported it to be concerted and
pseudopericyclic through a boat-shaped transition structure ( Birney, D. M. et
al. J. Am. Chem. Soc. 2009 , 131 , 528 - 537 ). The CASSCF calculations, on the
other hand, uncovered competitive concerted pathways for both the 16 -> 17 and 21
-> 22 rearrangements, though it was necessary to apply certain approximations in
the former case. While one CASSCF pathway in each case involves a boat-shaped
transition structure, similar to the one located through DFT calculations, the
other pathway involves a chair-shaped transition structure. Preference for chair
or boat is shown to be method dependent. Moreover, examination of the CASSCF
active-space orbitals clearly confirms that the boat-shaped transition structures
are pseudopericyclic but significantly also established that the chair-shaped
transition structures are clearly pericyclic. Conclusions based on these results,
and regarding our understanding of pericyclic vs pseudopericyclic reactions, are
proffered.
PMID- 29350924
TI - Influences of Bifunctional PNP-Pincer Ligands on Low Valent Cobalt Complexes
Relevant to CO2 Hydrogenation.
AB - Pincer ligated coordination complexes bearing bifunctional sites have been at the
center of recent developments in reversible hydrogenation catalysis, especially
in cases utilizing base metals. The influence of bifunctional ligands on low
valent cobalt complexes is detailed here using comparisons between the PNP-pincer
ligands MeN[CH2CH2(PR2)]2 and HN[CH2CH2(PR2)]2 (R = iPr, Cy). Comparative
catalytic studies of CO2 hydrogenation show that cobalt(I) precatalysts bearing
the tertiary amine ligand dramatically outperform those bearing the secondary
amine pincer ligand. Despite strong similarities between the precatalyst ground
state structure and the redox potentials of the two systems, ligand
bifunctionality was found to be detrimental to catalyst productivity. The
enhanced stability imparted by the MeN[CH2CH2(PR2)]2 ligand also enabled
isolation and characterization of a zero-valent cobalt dicarbonyl species, which
was used to study the catalytically active oxidation state of cobalt in CO2
hydrogenation.
PMID- 29350925
TI - Exposure to Dietary Methyl-Mercury Solely during Embryonic and Juvenile
Development Halves Subsequent Reproductive Success in Adult Zebra Finches.
AB - Long-term exposure to methyl-mercury has deleterious effects on avian
reproduction. However, little is known about whether exposure to mercury solely
during embryonic and juvenile development can have long-lasting effects on
subsequent reproductive performance as adults. Birds that hatch on contaminated
sites but disperse elsewhere will be exposed only during development. Hence, it
is important to understand the reproductive consequences of avian exposure to
methyl-mercury during early life. Accordingly, in this experiment, domesticated
zebra finches ( Taeniopygia guttata) were exposed to dietary methyl-mercury (1.20
MUg/g wet weight) from conception through independence (50 days post-hatching).
Following maturity, developmentally exposed and control finches were paired
within treatment groups and allowed to breed repeatedly for 8 months.
Developmentally exposed pairs hatched 32% fewer eggs and produced 50% fewer
independent juveniles despite transferring only traces of mercury into their
offspring. This is the first example of mercury-related reproductive declines in
birds not exposed to mercury during breeding. The magnitude of reproductive
decline was similar to that of zebra finches exposed to methyl-mercury during the
breeding process. Bird populations breeding in contaminated habitats may suffer
from a 2-fold fitness cost of mercury exposure; adult exposure compromises
parents' reproduction, while offspring exposure results in reduced reproduction
in the next generation.
PMID- 29350926
TI - Concentrations and Migratabilities of Hazardous Elements in Second-Hand
Children's Plastic toys.
AB - About 200 second-hand plastic toys sourced in the UK have been analyzed by X-ray
fluorescence spectrometry for hazardous elements (As, Ba, Cd, Cr, Hg, Pb, Sb, Se)
and Br as a proxy for brominated flame retardants. Each element was detected in
>20 toys or components thereof with the exception of As, Hg, and Se, with the
frequent occurrence of Br, Cd, and Pb and at maximum concentrations of about
16000, 20000, and 5000 MUg g-1, respectively, of greatest concern from a
potential exposure perspective. Migration was evaluated on components of 26 toys
under simulated stomach conditions (0.07 M HCl) with subsequent analysis by
inductively coupled plasma spectrometry. In eight cases, Cd or Pb exceeded their
migration limits as stipulated by the current EU Toy Safety Directive (17 and 23
MUg g-1, respectively), with Cd released from yellow and red Lego bricks
exceeding its limit by 1 order of magnitude. Two further cases were potentially
noncompliant based on migratable Cr, with one item also containing >250 MUg g-1
migratable Br. While there is no retroactive regulation on second-hand toys,
consumers should be aware that old, mouthable, plastic items may present a source
of hazardous element exposure to infants.
PMID- 29350927
TI - Synthesis and Pharmacological Characterization of C4beta-Amide-Substituted 2
Aminobicyclo[3.1.0]hexane-2,6-dicarboxylates. Identification of (1 S,2 S,4 S,5
R,6 S)-2-Amino-4-[(3-methoxybenzoyl)amino]bicyclo[3.1.0]hexane-2,6-dicarboxylic
Acid (LY2794193), a Highly Potent and Selective mGlu3 Receptor Agonist.
AB - Multiple therapeutic opportunities have been suggested for compounds capable of
selective activation of metabotropic glutamate 3 (mGlu3) receptors, but small
molecule tools are lacking. As part of our ongoing efforts to identify potent,
selective, and systemically bioavailable agonists for mGlu2 and mGlu3 receptor
subtypes, a series of C4beta-N-linked variants of (1 S,2 S,5 R,6 S)-2-amino
bicyclo[3.1.0]hexane-2,6-dicarboxylic acid 1 (LY354740) were prepared and
evaluated for both mGlu2 and mGlu3 receptor binding affinity and functional
cellular responses. From this investigation we identified (1 S,2 S,4 S,5 R,6 S)-2
amino-4-[(3-methoxybenzoyl)amino]bicyclo[3.1.0]hexane-2,6-dicarboxylic acid 8p
(LY2794193), a molecule that demonstrates remarkable mGlu3 receptor selectivity.
Crystallization of 8p with the amino terminal domain of hmGlu3 revealed critical
binding interactions for this ligand with residues adjacent to the glutamate
binding site, while pharmacokinetic assessment of 8p combined with its effect in
an mGlu2 receptor-dependent behavioral model provides estimates for doses of this
compound that would be expected to selectively engage and activate central mGlu3
receptors in vivo.
PMID- 29350928
TI - A Comparative Investigation of Proton Conductivities for Two Metal-Organic
Frameworks under Water and Aqua-Ammonia Vapors.
AB - Our investigation on the proton conductivities of two water-stable isostructural
3D Co(II) MOFs, {[Co3(DMPhIDC)2(H2O)6].2H2O}n (1) [DMPhH3IDC = 2-(3,4
dimethylphenyl)-imidazole-4,5-dicarboxylic acid] and {[Co3(m
BrPhIDC)2(H2O)6].2H2O} (2) [m-BrPhH3IDC = 2-(m-bromophenyl)-imidazole-4,5
dicarboxylic acid], under water or aqua-ammonia vapor shows that the optimized
proton conductivities of both 1 and 2 under aqua-ammonia vapor are 4.41 * 10-3
S.cm-1 and 5.07 * 10-4 S.cm-1 (at aqua-ammonia vapor from 1.5 M NH3.H2O solution
and 100 degrees C), respectively, which are approximately 1 order of magnitude
greater than those maximum values (8.91 * 10-4 S.cm-1 and 7.64 * 10-5 S.cm-1)
under water vapor (at 98% RH and 100 degrees C). The plausible proton pathways
and mechanisms of the MOFs have been proposed in terms of the structural
analyses, activation energy calculations, water and NH3 vapor absorptions, and
PXRD determinations.
PMID- 29350929
TI - Veterinary Drugs in the Environment: Current Knowledge and Challenges for the
Future.
PMID- 29350930
TI - Curtin-Hammett-Driven Intramolecular Cyclization of Heteroenyne-Allenes to
Phenanthridine-Fused Quinazoliniminiums.
AB - Intramolecular cyclization of the heteroenyne-allene 2-((biphenyl-2
ylimino)methyleneamino)benzonitrile 1 to phenanthridine-fused quinazoliniminium
salt PQ in the presence of a Lewis acid at room temperature involves formation of
two new bonds: a C-C bond and a C-N bond. In this article, density functional
theory (B3LYP and M06-2X) was employed in conjunction with 6-311G* basis set to
gain insights into the mechanism of this cyclization reaction. The solvent
effects were considered using Polarizable Continuum Model with nitromethane as
the solvent. Our calculations show that C-C bond formation precedes the C-N bond
formation. Precisely, the mechanism involves initial protonation of 1 at Nalpha
and Nbeta of the carbodiimide to form rapidly equilibrating conformers of the
tautomers 2a,b and 3a,b. The Curtin-Hammett principle is invoked to determine the
course of the reaction from these protonated species, which predicts that the
intramolecular Friedel-Crafts type N-acylation (C-C bond formation) occurs
between the protonated carbodiimide and biphenyl ring of the isomer 3b to form
phenanthridinium cation 6b via transition state TS3b6b. Once 6b is formed, it
converts to its most stable tautomers 8R and 9a. Once again, the Curtin-Hammett
principle suggests that intramolecular nucleophilic attack is preferred from the
tautomer 8R, where phenanthridine N-atom (Nbeta) attacks the protonated nitrile
group (C-N bond formation) and results in the formation of intermediate 11 via
TS8R11. 11 then tautomerizes to the most stable cation 13. The coordination of
the latter with the chloride anion yields the phenanthridine-fused heterocyclic
salt PQ with overall release of energy. The pathways involving protonation at the
nitrile (Ngamma) of 1 were found to be energetically unfavorable and thus
insignificant to the mechanism of cyclization.
PMID- 29350931
TI - Multiscale and Multistep Ordering of Flow-Induced Nucleation of Polymers.
AB - Flow-induced crystallization (FIC) is a typical nonequilibrium phase transition
and a core industry subject for the largest group of commercially useful
polymeric materials: semicrystalline polymers. A fundamental understanding of FIC
can benefit the research of nonequilibrium ordering in matter systems and help to
tailor the ultimate properties of polymeric materials. Concerning the
crystallization process, flow can accelerate the kinetics by orders of magnitude
and induce the formation of oriented crystallites like shish-kebab, which are
associated with the major influences of flow on nucleation, that is, raised
nucleation density and oriented nuclei. The topic of FIC has been studied for
more than half a century. Recently, there have been many developments in
experimental approaches, such as synchrotron radiation X-ray scattering,
ultrafast X-ray detectors with a time resolution down to the order of
milliseconds, and novel laboratory devices to mimic the severe flow field close
to real processing conditions. By a combination of these advanced methods, the
evolution process of FIC can be revealed more precisely (with higher time
resolution and on more length scales) and quantitatively. The new findings are
challenging the classical interpretations and theories that were mostly derived
from quiescent or mild-flow conditions, and they are triggering the
reconsideration of FIC foundations. This review mainly summarizes experimental
results, advances in physical understanding, and discussions on the multiscale
and multistep nature of oriented nuclei induced by strong flow. The multiscale
structures include segmental conformation, packing of conformational ordering,
deformation on the whole-chain scale, and macroscopic aggregation of
crystallites. The multistep process involves conformation transition, isotropic
nematic transition, density fluctuation (or phase separation), formation of
precursors, and shish-kebab crystallites, which are possible ordering processes
during nucleation. Furthermore, some theoretical progress and modeling efforts
are also included.
PMID- 29350932
TI - Dinuclear Ag(I) Complex Designed for Highly Efficient Thermally Activated Delayed
Fluorescence.
AB - The dinuclear Ag(I) complex has been designed to show thermally activated delayed
fluorescence (TADF) of high efficiency. Strongly electron-donating terminal
ligands are introduced to destabilize the d orbitals of the Ag+ ions.
Consequently, the orbitals distinctly contribute to the HOMO, whereas the LUMO is
localized on the bridging ligand. This ensures charge transfer character of the
lowest excited singlet S1 and triplet T1 states. Accordingly, a small energy gap
DeltaE(S1-T1) is obtained, being essential for TADF behavior. Photophysical
investigations show that at ambient temperature the complex exhibits TADF
reaching a quantum yield of PhiPL = 70% with the decay time of only tau = 1.9
MUs, manifesting one of the fastest TADF decays observed so far. Such an
outstanding TADF efficiency is based on a small value of DeltaE(S1-T1) = 480 cm-1
combined with a large transition rate of k(S1 -> S0) = 2.2 * 107 s-1.
PMID- 29350933
TI - Reproducing the Ensemble Average Polar Solvation Energy of a Protein from a
Single Structure: Gaussian-Based Smooth Dielectric Function for Macromolecular
Modeling.
AB - Typically, the ensemble average polar component of solvation energy
(DeltaGpolarsolv) of a macromolecule is computed using molecular dynamics (MD) or
Monte Carlo (MC) simulations to generate conformational ensemble and then
single/rigid conformation solvation energy calculation is performed on each
snapshot. The primary objective of this work is to demonstrate that Poisson
Boltzmann (PB)-based approach using a Gaussian-based smooth dielectric function
for macromolecular modeling previously developed by us (Li et al. J. Chem. Theory
Comput. 2013, 9 (4), 2126-2136) can reproduce that ensemble average
(DeltaGpolarsolv) of a protein from a single structure. We show that the Gaussian
based dielectric model reproduces the ensemble average
DeltaGpolarsolv(?DeltaGpolarsolv?) from an energy-minimized structure of a
protein regardless of the minimization environment (structure minimized in vacuo,
implicit or explicit waters, or crystal structure); the best case, however, is
when it is paired with an in vacuo-minimized structure. In other minimization
environments (implicit or explicit waters or crystal structure), the traditional
two-dielectric model can still be selected with which the model produces correct
solvation energies. Our observations from this work reflect how the ability to
appropriately mimic the motion of residues, especially the salt bridge residues,
influences a dielectric model's ability to reproduce the ensemble average value
of polar solvation free energy from a single in vacuo-minimized structure.
PMID- 29350934
TI - A Molecular Electron Density Theory Study of the Reactivity and Selectivities in
[3 + 2] Cycloaddition Reactions of C,N-Dialkyl Nitrones with Ethylene
Derivatives.
AB - The zw-type [3 + 2] cycloaddition (32CA) reactions of C,N-dialkyl nitrones with a
series of ethylenes of increased electrophilic character have been studied within
the Molecular Electron Density Theory (MEDT) at the MPWB1K/6-311G(d,p)
computational level. Both, reactivity and selectivities are rationalized
depending on the polar character of the reaction. Due to the strong nucleophilic
character of C,N-dialkyl nitrones, the corresponding zw-type 32CA reactions are
accelerated with the increased electrophilic character of the ethylene, which
also plays a crucial role in the reaction mechanism, thus determining the regio-
and stereoselectivities experimentally observed. While, in the 32CA reactions
with nucleophilic ethylenes, the reaction begins with the formation of the C-C
single bond, determining the ortho regioselectivity, in the 32CA reactions with
strong electrophilic ethylenes, the reaction begins with the formation of the C-O
single bond involving the beta-conjugated carbon of the ethylene, determining the
meta regioselectivity. The present MEDT study also provides an explanation for
the unexpected ortho regioselectivity experimentally found in the 32CA reactions
involving weak electrophilic ethylenes such as ethyl acrylate and acrylonitrile.
PMID- 29350935
TI - Reconfigurable Skyrmion Logic Gates.
AB - Magnetic skyrmion, a nanosized spin texture with topological property, has become
an area of significant interest due to the scientific insight that it can provide
and also its potential impact on applications such as ultra-low-energy and ultra
high-density logic gates. In the quest for the reconfiguration of single logic
device and the implementation of the complete logic functions, a novel
reconfigurable skyrmion logic (RSL) is proposed and verified by micromagnetic
simulations. Logic functions including AND, OR, NOT, NAND, NOR, XOR, and XNOR are
implemented in the ferromagnetic (FM) nanotrack by virtue of various effects
including spin orbit torque, skyrmion Hall effect, skyrmion-edge repulsions, and
skyrmion-skyrmion collision. Different logic functions can be selected in an RSL
by applying voltage to specific region(s) of the device, changing the local
anisotropy energy of FM film. Material properties and geometrical scaling studies
suggest RSL gates fit for energy-efficient computing as well as provide the
guidelines for the design and optimization of this new logic family.
PMID- 29350937
TI - Light Stops at Exceptional Points.
AB - Almost twenty years ago, light was slowed down to less than 10^{-7} of its vacuum
speed in a cloud of ultracold atoms of sodium. Upon a sudden turn-off of the
coupling laser, a slow light pulse can be imprinted on cold atoms such that it
can be read out and converted into a photon again. In this process, the light is
stopped by absorbing it and storing its shape within the atomic ensemble.
Alternatively, the light can be stopped at the band edge in photonic-crystal
waveguides, where the group speed vanishes. Here, we extend the phenomenon of
stopped light to the new field of parity-time (PT) symmetric systems. We show
that zero group speed in PT symmetric optical waveguides can be achieved if the
system is prepared at an exceptional point, where two optical modes coalesce.
This effect can be tuned for optical pulses in a wide range of frequencies and
bandwidths, as we demonstrate in a system of coupled waveguides with gain and
loss.
PMID- 29350938
TI - Cyclotron Orbits of Composite Fermions in the Fractional Quantum Hall Regime.
AB - We study a bilayer GaAs hole system that hosts two distinct many-body phases at
low temperatures and high perpendicular magnetic fields. The higher-density (top)
layer develops a Fermi sea of composite fermions (CFs) in its half-filled lowest
Landau level, while the lower-density (bottom) layer forms a Wigner crystal (WC)
as its filling becomes very small. Owing to the interlayer interaction, the CFs
in the top layer feel the periodic Coulomb potential of the WC in the bottom
layer. We measure the magnetoresistance of the top layer while changing the
bottom-layer density. As the WC layer density increases, the resistance peaks
separating the adjacent fractional quantum Hall states in the top layer change
nonmonotonically and attain maximum values when the cyclotron orbit of the CFs
encloses one WC lattice point. These features disappear at T=275 mK when the WC
melts. The observation of such geometric resonance features is unprecedented and
surprising as it implies that the CFs retain a well-defined cyclotron orbit and
Fermi wave vector even deep in the fractional quantum Hall regime, far from half
filling.
PMID- 29350939
TI - Mirror Anomaly in Dirac Semimetals.
AB - We demonstrate that, apart from the chiral anomaly, Dirac semimetals possess
another quantum anomaly, which we call the mirror anomaly and which manifests in
a singular response of the Dirac semimetal to an applied magnetic field. Namely,
the anomalous Hall conductivity exhibits step-function singularities when the
field is rotated. We show that this phenomenon is closely analogous to the parity
anomaly of (2+1)-dimensional Dirac fermions, but with mirror symmetry, which we
demonstrate emerges near any Dirac point at a time reversal invariant momentum,
replacing the parity symmetry.
PMID- 29350940
TI - Experimental Verification of a Jarzynski-Related Information-Theoretic Equality
by a Single Trapped Ion.
AB - Most nonequilibrium processes in thermodynamics are quantified only by
inequalities; however, the Jarzynski relation presents a remarkably simple and
general equality relating nonequilibrium quantities with the equilibrium free
energy, and this equality holds in both the classical and quantum regimes. We
report a single-spin test and confirmation of the Jarzynski relation in the
quantum regime using a single ultracold ^{40}Ca^{+} ion trapped in a harmonic
potential, based on a general information-theoretic equality for a temporal
evolution of the system sandwiched between two projective measurements. By
considering both initially pure and mixed states, respectively, we verify, in an
exact and fundamental fashion, the nonequilibrium quantum thermodynamics relevant
to the mutual information and Jarzynski equality.
PMID- 29350941
TI - Immotile Active Matter: Activity from Death and Reproduction.
AB - Unlike equilibrium atomic solids, biofilms-soft solids composed of bacterial
cells-do not experience significant thermal fluctuations at the constituent
level. However, living cells stochastically reproduce and die, provoking a
mechanical response. We investigate the mechanical consequences of cellular death
and reproduction by measuring surface-height fluctuations of biofilms containing
two mutually antagonistic strains of Vibrio cholerae that kill one another on
contact via the type VI secretion system. While studies of active matter
typically focus on activity via constituent mobility, here, activity is mediated
by reproduction and death events in otherwise immobilized cells. Biofilm surface
topography is measured in the nearly homeostatic limit via white light
interferometry. Although biofilms are far from equilibrium systems, measured
surface-height fluctuation spectra resemble the spectra of thermal permeable
membranes but with an activity-mediated effective temperature, as predicted by
Risler, Peilloux, and Prost [Phys. Rev. Lett. 115, 258104 (2015)PRLTAO0031
900710.1103/PhysRevLett.115.258104]. By comparing the activity of killer strains
of V. cholerae with that of genetically modified strains that cannot kill each
other and validating with individual-based simulations, we demonstrate that
extracted effective temperatures increase with the amount of death and
reproduction and that death and reproduction can fluidize biofilms. Together,
these observations demonstrate the unique physical consequences of activity
mediated by death and reproduction events.
PMID- 29350942
TI - Shrinking of Rapidly Evaporating Water Microdroplets Reveals their Extreme
Supercooling.
AB - The fast evaporative cooling of micrometer-sized water droplets in a vacuum
offers the appealing possibility to investigate supercooled water-below the
melting point but still a liquid-at temperatures far beyond the state of the art.
However, it is challenging to obtain a reliable value of the droplet temperature
under such extreme experimental conditions. Here, the observation of morphology
dependent resonances in the Raman scattering from a train of perfectly uniform
water droplets allows us to measure the variation in droplet size resulting from
evaporative mass losses with an absolute precision of better than 0.2%. This
finding proves crucial to an unambiguous determination of the droplet
temperature. In particular, we find that a fraction of water droplets with an
initial diameter of 6379+/-12 nm remain liquid down to 230.6+/-0.6 K. Our
results question temperature estimates reported recently for larger supercooled
water droplets and provide valuable information on the hydrogen-bond network in
liquid water in the hard-to-access deeply supercooled regime.
PMID- 29350943
TI - Single-Atom Transistor as a Precise Magnetic Field Sensor.
AB - Feshbach resonances, which allow for tuning the interactions of ultracold atoms
with an external magnetic field, have been widely used to control the properties
of quantum gases. We propose a scheme for using scattering resonances as a probe
for external fields, showing that by carefully tuning the parameters it is
possible to reach a 10^{-5} G (or nT) level of precision with a single pair of
atoms. We show that, for our collisional setup, it is possible to saturate the
quantum precision bound with a simple measurement protocol.
PMID- 29350945
TI - Controlled-phase Gate for Photons Based on Stationary Light.
AB - We propose a method to induce strong effective interactions between photons
mediated by an atomic ensemble. To achieve this, we use the so-called stationary
light effect to enhance the interaction. Regardless of the single-atom coupling
to light, the interaction strength between the photons can be enhanced by
increasing the total number of atoms. For sufficiently many atoms, the setup can
be viable as a controlled-phase gate for photons. We derive analytical
expressions for the fidelities for two modes of gate operation: deterministic and
heralded conditioned on the presence of two photons at the output.
PMID- 29350946
TI - Electrical Control of Structural and Physical Properties via Strong Spin-Orbit
Interactions in Sr_{2}IrO_{4}.
AB - Electrical control of structural and physical properties is a long-sought, but
elusive goal of contemporary science and technology. We demonstrate that a
combination of strong spin-orbit interactions (SOI) and a canted
antiferromagnetic Mott state is sufficient to attain that goal. The
antiferromagnetic insulator Sr_{2}IrO_{4} provides a model system in which strong
SOI lock canted Ir magnetic moments to IrO_{6} octahedra, causing them to rigidly
rotate together. A novel coupling between an applied electrical current and the
canting angle reduces the Neel temperature and drives a large, nonlinear lattice
expansion that closely tracks the magnetization, increases the electron mobility,
and precipitates a unique resistive switching effect. Our observations open new
avenues for understanding fundamental physics driven by strong SOI in condensed
matter, and provide a new paradigm for functional materials and devices.
PMID- 29350947
TI - 4f Crystal Field Ground State of the Strongly Correlated Topological Insulator
SmB_{6}.
AB - We investigated the crystal-electric field ground state of the 4f manifold in the
strongly correlated topological insulator SmB_{6} using core-level nonresonant
inelastic x-ray scattering. The directional dependence of the scattering function
that arises from higher multipole transitions establishes unambiguously that the
Gamma_{8} quartet state of the Sm f^{5} J=5/2 configuration governs the ground
state symmetry and, hence, the topological properties of SmB_{6}. Our findings
contradict the results of density functional calculations reported so far.
PMID- 29350948
TI - Evolution of Temporal Coherence in Confined Exciton-Polariton Condensates.
AB - We study the influence of spatial confinement on the second-order temporal
coherence of the emission from a semiconductor microcavity in the strong coupling
regime. The confinement, provided by etched micropillars, has a favorable impact
on the temporal coherence of solid state quasicondensates that evolve in our
device above threshold. By fitting the experimental data with a microscopic
quantum theory based on a quantum jump approach, we scrutinize the influence of
pump power and confinement and find that phonon-mediated transitions are enhanced
in the case of a confined structure, in which the modes split into a discrete
set. By increasing the pump power beyond the condensation threshold, temporal
coherence significantly improves in devices with increased spatial confinement,
as revealed in the transition from thermal to coherent statistics of the emitted
light.
PMID- 29350949
TI - Collective Longitudinal Polarization in Relativistic Heavy-Ion Collisions at Very
High Energy.
AB - We study the polarization of particles in relativistic heavy-ion collisions at
very high energy along the beam direction within a relativistic hydrodynamic
framework. We show that this component of the polarization decreases much slower
with center-of-mass energy compared to the transverse component, even in the
ideal longitudinal boost-invariant scenario with nonfluctuating initial state,
and that it can be measured by taking advantage of its quadrupole structure in
the transverse momentum plane. In the ideal longitudinal boost-invariant
scenario, the polarization is proportional to the gradient of temperature at the
hadronization and its measurement can provide important information about the
cooling rate of the quark-gluon plasma around the critical temperature.
PMID- 29350950
TI - Local Melting Attracts Grain Boundaries in Colloidal Polycrystals.
AB - We find that laser-induced local melting attracts and deforms grain boundaries in
2D colloidal crystals. When a melted region in contact with the edge of a crystal
grain recrystallizes, it deforms the grain boundary-this attraction is driven by
the multiplicity of deformed grain boundary configurations. Furthermore, the
attraction provides a method to fabricate artificial colloidal crystal grains of
arbitrary shape, enabling new experimental studies of grain boundary dynamics and
ultimately hinting at a novel approach for fabricating materials with designer
microstructures.
PMID- 29350951
TI - High-Fidelity Preservation of Quantum Information During Trapped-Ion Transport.
AB - A promising scheme for building scalable quantum simulators and computers is the
synthesis of a scalable system using interconnected subsystems. A prerequisite
for this approach is the ability to faithfully transfer quantum information
between subsystems. With trapped atomic ions, this can be realized by
transporting ions with quantum information encoded into their internal states.
Here, we measure with high precision the fidelity of quantum information encoded
into hyperfine states of a ^{171}Yb^{+} ion during ion transport in a
microstructured Paul trap. Ramsey spectroscopy of the ion's internal state is
interleaved with up to 4000 transport operations over a distance of 280 MUm each
taking 12.8 MUs. We obtain a state fidelity of 99.9994( _{-7}^{+6})% per ion
transport.
PMID- 29350952
TI - Fast Data Sorting with Modified Principal Component Analysis to Distinguish
Unique Single Molecular Break Junction Trajectories.
AB - A simple and fast analysis method to sort large data sets into groups with shared
distinguishing characteristics is described and applied to single molecular break
junction conductance versus electrode displacement data. The method, based on
principal component analysis, successfully sorts data sets based on the
projection of the data onto the first or second principal component of the
correlation matrix without the need to assert any specific hypothesis about the
expected features within the data. This is an improvement on the current
correlation matrix analysis approach because it sorts data automatically, making
it more objective and less time consuming, and our method is applicable to a wide
range of multivariate data sets. Here the method is demonstrated on two systems.
First, it is demonstrated on mixtures of two molecules with identical anchor
groups and similar lengths, but either a pi (high conductance) or a sigma (low
conductance) bridge. The mixed data are automatically sorted into two groups
containing one molecule or the other. Second, it is demonstrated on break
junction data measured with the pi bridged molecule alone. Again, the method
distinguishes between two groups. These groups are tentatively assigned to
different geometries of the molecule in the junction.
PMID- 29350953
TI - Charged Polaron Polaritons in an Organic Semiconductor Microcavity.
AB - We report strong coupling between light and polaron optical excitations in a
doped organic semiconductor microcavity at room temperature. Codepositing MoO_{3}
and the hole transport material 4, 4^{'}-cyclohexylidenebis[N, N-bis(4
methylphenyl)benzenamine] introduces a large hole density with a narrow linewidth
optical transition centered at 1.8 eV and an absorption coefficient exceeding
10^{4} cm^{-1}. Coupling this transition to a Fabry-Perot cavity mode yields
upper and lower polaron polariton branches that are clearly resolved in angle
dependent reflectivity with a vacuum Rabi splitting hOmega_{R}>0.3 eV. This
result establishes a path to electrically control polaritons in organic
semiconductors and may lead to increased polariton-polariton Coulombic
interactions that lower the threshold for nonlinear phenomena such as polariton
condensation and lasing.
PMID- 29350954
TI - Invariance of Topological Indices Under Hilbert Space Truncation.
AB - We show that the topological index of a wave function, computed in the space of
twisted boundary phases, is preserved under Hilbert space truncation, provided
the truncated state remains normalizable. If truncation affects the boundary
condition of the resulting state, the invariant index may acquire a different
physical interpretation. If the index is symmetry protected, the truncation
should preserve the protecting symmetry. We discuss implications of this
invariance using paradigmatic integer and fractional Chern insulators, Z_{2}
topological insulators, and spin-1 Affleck-Kennedy-Lieb-Tasaki and Heisenberg
chains, as well as its relation with the notion of bulk entanglement. As a
possible application, we propose a partial quantum tomography scheme from which
the topological index of a generic multicomponent wave function can be extracted
by measuring only a small subset of wave function components, equivalent to the
measurement of a bulk entanglement topological index.
PMID- 29350955
TI - Rate Dependence of Elementary Rearrangements and Spatiotemporal Correlations in
the 3D Flow of Soft Solids.
AB - We use a combination of confocal microscopy, rheology, and molecular dynamics
simulations to investigate jammed emulsions under shear, by analyzing the 3D
droplets rearrangements in the shear frame. Our quantitative analysis of local
dynamics reveals elementary nonaffine rearrangements that underlie the onset of
the flow at small strains. We find that the mechanism of unjamming and the upturn
in the material flow curve are associated to a qualitative change in
spatiotemporal correlations of such rearrangements with the applied shear rate.
At high shear rates, droplet clusters follow coordinated, stringlike motion.
Conversely, at low shear rates, the elementary nonaffine rearrangements exhibit
longer-ranged correlations, with complex spatiotemporal patterns. The 3D
microscopic details provide novel insights into the specific features of the
material flow curve, common to a large class of technologically relevant soft
disordered solids and new fundamental ingredients for constitutive models.
PMID- 29350956
TI - Negative-Mass Instability of the Spin and Motion of an Atomic Gas Driven by
Optical Cavity Backaction.
AB - We realize a spin-orbit interaction between the collective spin precession and
center-of-mass motion of a trapped ultracold atomic gas, mediated by spin- and
position-dependent dispersive coupling to a driven optical cavity. The collective
spin, precessing near its highest-energy state in an applied magnetic field, can
be approximated as a negative-mass harmonic oscillator. When the Larmor
precession and mechanical motion are nearly resonant, cavity mediated coupling
leads to a negative-mass instability, driving exponential growth of a correlated
mode of the hybrid system. We observe this growth imprinted on modulations of the
cavity field and estimate the full covariance of the resulting two-mode state by
observing its transient decay during subsequent free evolution.
PMID- 29350957
TI - Relativistic Fluid Dynamics Far From Local Equilibrium.
AB - Fluid dynamics is traditionally thought to apply only to systems near local
equilibrium. In this case, the effective theory of fluid dynamics can be
constructed as a gradient series. Recent applications of resurgence suggest that
this gradient series diverges, but can be Borel resummed, giving rise to a
hydrodynamic attractor solution which is well defined even for large gradients.
Arbitrary initial data quickly approaches this attractor via nonhydrodynamic mode
decay. This suggests the existence of a new theory of far-from-equilibrium fluid
dynamics. In this Letter, the framework of fluid dynamics far from local
equilibrium for a conformal system is introduced, and the hydrodynamic attractor
solutions for resummed Baier-Romatschke-Son-Starinets-Stephanov theory, kinetic
theory in the relaxation time approximation, and strongly coupled N=4 super Yang
Mills theory are identified for a system undergoing Bjorken flow.
PMID- 29350958
TI - Double-Weyl Phonons in Transition-Metal Monosilicides.
AB - We employed ab initio calculations to identify a class of crystalline materials
of MSi (M=Fe, Co, Mn, Re, Ru) having double-Weyl points in both their acoustic
and optical phonon spectra. They exhibit novel topological points termed "spin-1
Weyl point" at the Brillouin zone center and "charge-2 Dirac point" at the zone
corner. The corresponding gapless surface phonon dispersions are two helicoidal
sheets whose isofrequency contours form a single noncontractible loop in the
surface Brillouin zone. In addition, the global structure of the surface bands
can be analytically expressed as double-periodic Weierstrass elliptic functions.
PMID- 29350959
TI - Under-the-Tunneling-Barrier Recollisions in Strong-Field Ionization.
AB - A new pathway of strong-laser-field-induced ionization of an atom is identified
which is based on recollisions under the tunneling barrier. With an amended
strong-field approximation, the interference of the direct and the under-the
barrier recolliding quantum orbits are shown to induce a measurable shift of the
peak of the photoelectron momentum distribution. The scaling of the momentum
shift is derived relating the momentum shift to the tunneling delay time
according to the Wigner concept. This allows us to extend the Wigner concept for
the quasistatic tunneling time delay into the nonadiabatic domain. The obtained
corrections to photoelectron momentum distributions are also relevant for state
of-the-art accuracy of strong-field photoelectron spectrograms in general.
PMID- 29350960
TI - Enhanced Electromagnetic Corrections to the Rare Decay B_{s,d}->MU^{+}MU^{-}.
AB - We investigate electromagnetic corrections to the rare B-meson leptonic decay
B_{s,d}->MU^{+}MU^{-} from scales below the bottom-quark mass m_{b}. Contrary to
QCD effects, which are entirely contained in the B-meson decay constant, we find
that virtual photon exchange can probe the B-meson structure, resulting in a
"nonlocal annihilation" effect. We find that this effect gives rise to a
dynamical enhancement by a power of m_{b}/Lambda_{QCD} and by large logarithms.
The impact of this novel effect on the branching ratio of B_{s,d}->MU^{+}MU^{-}
is about 1%, of the order of the previously estimated nonparametric theoretical
uncertainty, and four times the size of previous estimates of next-to-leading
order QED effects due to residual scale dependence. We update the standard model
(SM) prediction to B[over -](B_{s}->MU^{+}MU^{-})_{SM}=(3.57+/-0.17)*10^{-9}.
PMID- 29350961
TI - Electron Correlation from the Adiabatic Connection for Multireference Wave
Functions.
AB - An adiabatic connection (AC) formula for the electron correlation energy is
derived for a broad class of multireference wave functions. The AC expression
recovers dynamic correlation energy and assures a balanced treatment of the
correlation energy. Coupling the AC formalism with the extended random phase
approximation allows one to find the correlation energy only from reference one-
and two-electron reduced density matrices. If the generalized valence bond
perfect pairing model is employed a simple closed-form expression for the
approximate AC formula is obtained. This results in the overall M^{5} scaling of
the computation cost making the method one of the most efficient multireference
approaches accounting for dynamic electron correlation also for the strongly
correlated systems.
PMID- 29350963
TI - Observation of the Quantum Hall Effect in Confined Films of the Three-Dimensional
Dirac Semimetal Cd_{3}As_{2}.
AB - The magnetotransport properties of epitaxial films of Cd_{3}As_{2}, a paradigm
three-dimensional Dirac semimetal, are investigated. We show that an energy gap
opens in the bulk electronic states of sufficiently thin films and, at low
temperatures, carriers residing in surface states dominate the electrical
transport. The carriers in these states are sufficiently mobile to give rise to a
quantized Hall effect. The sharp quantization demonstrates surface transport that
is virtually free of parasitic bulk conduction and paves the way for novel
quantum transport studies in this class of topological materials. Our results
also demonstrate that heterostructuring approaches can be used to study and
engineer quantum states in topological semimetals.
PMID- 29350962
TI - High-Speed Device-Independent Quantum Random Number Generation without a
Detection Loophole.
AB - Quantum mechanics provides the means of generating genuine randomness that is
impossible with deterministic classical processes. Remarkably, the
unpredictability of randomness can be certified in a manner that is independent
of implementation devices. Here, we present an experimental study of device
independent quantum random number generation based on a detection-loophole-free
Bell test with entangled photons. In the randomness analysis, without the
independent identical distribution assumption, we consider the worst case
scenario that the adversary launches the most powerful attacks against the
quantum adversary. After considering statistical fluctuations and applying an 80
Gb*45.6 Mb Toeplitz matrix hashing, we achieve a final random bit rate of 114
bits/s, with a failure probability less than 10^{-5}. This marks a critical step
towards realistic applications in cryptography and fundamental physics tests.
PMID- 29350964
TI - Generation of High-Power High-Intensity Short X-Ray Free-Electron-Laser Pulses.
AB - X-ray free-electron lasers combine a high pulse power, short pulse length, narrow
bandwidth, and high degree of transverse coherence. Any increase in the photon
pulse power, while shortening the pulse length, will further push the frontier on
several key x-ray free-electron laser applications including single-molecule
imaging and novel nonlinear x-ray methods. This Letter shows experimental results
at the Linac Coherent Light Source raising its maximum power to more than 300% of
the current limit while reducing the photon pulse length to 10 fs. This was
achieved by minimizing residual transverse-longitudinal centroid beam offsets and
beam yaw and by correcting the dispersion when operating over 6 kA peak current
with a longitudinally shaped beam.
PMID- 29350965
TI - Publisher's Note: Enhancing Coherent Light-Matter Interactions through
Microcavity-Engineered Plasmonic Resonances [Phys. Rev. Lett. 119, 233901
(2017)].
AB - This corrects the article DOI: 10.1103/PhysRevLett.119.233901.
PMID- 29350966
TI - Improved Limits on Axionlike-Particle-Mediated P, T-Violating Interactions
between Electrons and Nucleons from Electric Dipole Moments of Atoms and
Molecules.
AB - In the presence of P, T-violating interactions, the exchange of axionlike
particles between electrons and nucleons in atoms and molecules induces electric
dipole moments (EDMs) of atoms and molecules. We perform calculations of such
axion-exchange-induced atomic EDMs using the relativistic Hartree-Fock-Dirac
method including electron core polarization corrections. We present analytical
estimates to explain the dependence of these induced atomic EDMs on the axion
mass and atomic parameters. From the experimental bounds on the EDMs of atoms and
molecules, including ^{133}Cs, ^{205}Tl, ^{129}Xe, ^{199}Hg, ^{171}Yb^{19}F,
^{180}Hf^{19}F^{+}, and ^{232}Th^{16}O, we constrain the P, T-violating scalar
pseudoscalar nucleon-electron and electron-electron interactions mediated by a
generic axionlike particle of arbitrary mass. Our limits improve on existing
laboratory bounds from other experiments by many orders of magnitude for
m_{a}?10^{-2} eV. We also place constraints on CP violation in certain types of
relaxion models.
PMID- 29350967
TI - Chiral Topological Superconductors Enhanced by Long-Range Interactions.
AB - We study the phase diagram and edge states of a two-dimensional p-wave
superconductor with long-range hopping and pairing amplitudes. New topological
phases and quasiparticles different from the usual short-range model are
obtained. When both hopping and pairing terms decay with the same exponent, one
of the topological chiral phases with propagating Majorana edge states gets
significantly enhanced by long-range couplings. On the other hand, when the long
range pairing amplitude decays more slowly than the hopping, we discover new
topological phases where propagating Majorana fermions at each edge pair
nonlocally and become gapped even in the thermodynamic limit. Remarkably, these
nonlocal edge states are still robust, remain separated from the bulk, and are
localized at both edges at the same time. The inclusion of long-range effects is
potentially applicable to recent experiments with magnetic impurities and islands
in 2D superconductors.
PMID- 29350968
TI - Spin Switching via Quantum Dot Spin Valves.
AB - We develop a theory for spin transport and magnetization dynamics in a quantum
dot spin valve, i.e., two magnetic reservoirs coupled to a quantum dot. Our
theory is able to take into account effects of strong correlations. We
demonstrate that, as a result of these strong correlations, the dot gate voltage
enables control over the current-induced torques on the magnets and, in
particular, enables voltage-controlled magnetic switching. The electrical
resistance of the structure can be used to read out the magnetic state. Our model
may be realized by a number of experimental systems, including magnetic scanning
tunneling microscope tips and artificial quantum dot systems.
PMID- 29350969
TI - Noise of a Chargeless Fermi Liquid.
AB - We construct a Fermi liquid theory to describe transport in a superconductor
quantum dot-normal metal junction close to the singlet-doublet (parity changing)
transition of the dot. Though quasiparticles do not have a definite charge in
this chargeless Fermi liquid, in the case of particle-hole symmetry, a mapping to
the Anderson model unveils a hidden U(1) symmetry and a corresponding
pseudocharge. In contrast to other correlated Fermi liquids, the back scattering
noise reveals an effective charge equal to the charge of Cooper pairs, e^{*}=2e.
In addition, we find a strong suppression of noise when the linear conductance is
unitary, even for its nonlinear part.
PMID- 29350970
TI - Exact Boson-Fermion Duality on a 3D Euclidean Lattice.
AB - The idea of statistical transmutation plays a crucial role in descriptions of the
fractional quantum Hall effect. However, a recently conjectured duality between a
critical boson and a massless two-component Dirac fermion extends this notion to
gapless systems. This duality sheds light on highly nontrivial problems such as
the half-filled Landau level, the superconductor-insulator transition, and
surface states of strongly coupled topological insulators. Although this boson
fermion duality has undergone many consistency checks, it has remained unproven.
We describe the duality in a nonperturbative fashion using an exact UV mapping of
partition functions on a 3D Euclidean lattice.
PMID- 29350971
TI - Rating Nasolabial Aesthetics in Unilateral Cleft Lip and Palate Patients: Cropped
Versus Full-Face Images.
AB - OBJECTIVE: To determine if cropping facial images affects nasolabial aesthetics
assessments in unilateral cleft lip patients and to evaluate the effect of facial
attractiveness on nasolabial evaluation. DESIGN: Two cleft surgeons and one cleft
orthodontist assessed standardized frontal photographs 4 times; nasolabial
aesthetics were rated on cropped and full-face images using the Cleft Aesthetic
Rating Scale, and total facial attractiveness was rated on full-face images with
and without the nasolabial area blurred using a 5-point Likert scale. SETTING:
Cleft Palate Craniofacial Unit of a University Medical Center. PATIENTS:
Inclusion criteria: nonsyndromic unilateral cleft lip and an available frontal
view photograph around 10 years of age. EXCLUSION CRITERIA: a history of facial
trauma and an incomplete cleft. Eighty-one photographs were available for
assessment. MAIN OUTCOME MEASURES: Differences in mean CARS scores between
cropped versus full-face photographs and attractive versus unattractive rated
patients were evaluated by paired t test. RESULTS: Nasolabial aesthetics are
scored more negatively on full-face photographs compared to cropped photographs,
regardless of facial attractiveness. (Mean CARS score, nose: cropped = 2.8, full
face = 3.0, P < .001; lip: cropped = 2.4, full-face = 2.7, P < .001; nose and
lip: cropped = 2.6, full-face = 2.8, P < .001). CONCLUSION: Aesthetic outcomes of
the nasolabial area are assessed significantly more positively when using cropped
images compared to full-face images. For this reason, cropping images, revealing
the nasolabial area only, is recommended for aesthetical assessments.
PMID- 29350972
TI - Extracorporeal Life Support in Immunocompromised Patients with Severe Acute
Respiratory Distress Syndrome. Decide Wisely, Early, and in a Personalized Way.
PMID- 29350973
TI - Epithelial Progenitor Cells Take Center Stage in Lung Transplantation.
PMID- 29350974
TI - Use of Simulation-Based Training to Aid in Implementing Complex Health
Technology.
AB - Clinicians are adult learners in a complex environment that historically does not
invest in training in a way that is conducive to these types of learners. Adult
learners are independent, self-directed, and goal oriented. In today's fast-paced
clinical setting, a practical need exists for nurses and clinicians to master the
technology they use on a daily basis, especially as medical devices have become
more interconnected and complex. As hospitals look to embrace new technologies,
medical device companies must provide clinical end-user training. This should be
a required part of the selection process when considering the purchase of any
complex medical technology. However, training busy clinicians in a traditional
classroom setting can be difficult and costly. A simple, less expensive solution
is online simulation training. This interactive training provides a virtual,
"hands-on" end-user experience in advance of implementing new equipment. Online
simulation training ensures knowledge retention and comprehension and, most
importantly, that the training leads to end-user satisfaction and the ability to
confidently operate new equipment. A review of the literature revealed that
online simulation, coupled with the use of adult learning principles and
experiential learning, may enhance the experience of clinical end users.
PMID- 29350975
TI - Master the Fundamentals Before Getting Creative and Fancy.
PMID- 29350976
TI - Ten Questions With ....
PMID- 29350978
TI - Nurses Underscore Value of Collaboration when Implementing Health Technology.
PMID- 29350979
TI - Dispelling Confusion Among Various Electrosurgery Technologies.
PMID- 29350980
TI - Taking the Temperature of Clinical Technology Efficiency.
PMID- 29350981
TI - ST91 Working Group Strives toward More Stringent Guidelines for Processing
Endoscopes.
PMID- 29350982
TI - 'Everyone in Healthcare Is a Risk Manager': Achieving Risk-Savvy Cultures.
PMID- 29350983
TI - CTO Foresees Future of CMMS-Enabled 'True Interoperability'.
PMID- 29350984
TI - Conquering the Complexity of Sterilization Instructions for Use.
PMID- 29350985
TI - Collaboration Fuels Success of Infusion Management Interoperability Initiative.
PMID- 29350986
TI - Overcoming User-Centered Challenges with Complex Health Technology.
PMID- 29350987
TI - Wonder Women: A New Type of Healthcare Technology Professional.
PMID- 29350988
TI - Thing Two and Thing One.
PMID- 29350992
TI - Using Data to Influence Choice.
PMID- 29350993
TI - Frontlines: Captivated by the Career.
PMID- 29350995
TI - A Review of Analytical Methods for the determination of Clopidogrel in
Pharmaceuticals and Biological Matrices.
AB - P2Y12 belongs to a group of G protein-coupled (GPCR) purinergic receptors and is
a receptor for adenosine diphosphate (ADP). The P2Y12 receptor is involved in
platelet aggregation and acts as a biological target for treating
thromboembolisms and other clotting disorders. The use of Clopidogrel (CLO) has
improved the morbidity and mortality endpoints including cardiovascular death,
recurrent myocardial infarction (MI) and stroke at 30 days after percutaneous
coronary intervention (PCI). CLO is one such drug that specifically and
irreversibly inhibits the P2Y12 subtype of ADP receptor. This review delivers a
detail description of various analytical methods published for the estimation of
CLO and its combinations in pharmaceuticals and biological matrices. The review
highlights the basic as well as advanced techniques performed for estimating CLO.
The most commonly used assay techniques were UV and Visible spectrophotometry,
high performance liquid chromatography (HPLC), high performance thin layer
chromatography (HPTLC), micellar liquid chromatography (MLC), micellar electro
kinetic chromatography (MEKC) and liquid chromatography-tandem mass spectrometry
(LC-MS/MS). Despite other analytical methods employed for the assay of CLO, the
review reveals that the technique of HPLC with UV detection was widely used.
PMID- 29350996
TI - Conduct Disorder and Neighborhood Effects.
AB - There has been a considerable amount of scholarly attention to the relationship
between neighborhood effects and conduct disorder, particularly in recent years.
Having said this, it has been nearly two decades since a comprehensive synthesis
of this literature has been conducted. Relying on a detailed and comprehensive
search strategy and inclusion criteria, this article offers a systematic and
interdisciplinary review of 47 empirical studies that have examined neighborhood
effects and conduct disorder. Described results suggest that there are generally
robust linkages between adverse neighborhood factors and conduct disorder and
externalizing behavior problems, as 67 of the 93 (72.04%) effect sizes derived
from these studies yielded statistically significant neighborhood effects. The
review also identifies salient mediating and moderating influences. It discusses
study limitations and directions for future research as well.
PMID- 29350997
TI - Realizing the Mass Public Benefit of Evidence-Based Psychological Therapies: The
IAPT Program.
AB - Empirically supported psychological therapies have been developed for many mental
health conditions. However, in most countries only a small proportion of the
public benefit from these advances. The English Improving Access to Psychological
Therapies (IAPT) program aims to bridge the gap between research and practice by
training over 10,500 new psychological therapists in empirically supported
treatments and deploying them in new services for the treatment of depression and
anxiety disorders. Currently IAPT treats over 560,000 patients per year, obtains
clinical outcome data on 98.5% of these individuals, and places this information
in the public domain. Around 50% of patients treated in IAPT services recover,
and two-thirds show worthwhile benefits. The clinical and economic arguments on
which IAPT is based are presented, along with details of the service model, how
the program was implemented, and recent findings about service organization.
Limitations and future directions are outlined.
PMID- 29351000
TI - Age Effects on Cerebral Oxygenation and Behavior in Children with Sleep
disordered Breathing.
AB - RATIONALE: Childhood sleep-disordered breathing ranges in severity from primary
snoring to obstructive sleep apnea and is associated with behavioral and
neurocognitive deficits. It remains unknown why children with primary snoring,
who do not experience peripheral oxygen desaturation or sleep fragmentation,
experience similar daytime deficits as those with obstructive sleep apnea or why
effects are age-dependent. OBJECTIVES: To examine cerebral tissue oxygenation and
oxygen extraction as an explanation for daytime deficits in children with primary
snoring. METHODS: Children referred for suspected sleep-disordered breathing and
nonsnoring control subjects underwent overnight polysomnography with near
infrared spectroscopy. Children were categorized into 3- to 6-year (n = 87) and 7
to 12-year (n = 72) old groups, and according to the obstructive apnea-hypopnea
index into primary snoring (<=1 event/h), mild (>1-5 events/h), and
moderate/severe obstructive sleep apnea (>5 events/h). Cognitive and behavioral
performance were assessed. MEASUREMENTS AND MAIN RESULTS: In the 3- to 6-year
group, there were no differences in cerebral oxygenation or oxygen extraction
between severity groups. In the 7- to 12-year group, cerebral oxygenation was
significantly lower, although these differences were small, in control subjects
versus primary snoring during quiet wakefulness before sleep onset, N1, and REM.
Oxygen extraction was significantly higher in control subjects versus primary
snoring during N1 sleep, with no differences between primary snoring and
obstructive sleep apnea groups. Cerebral oxygenation was not associated with
cognitive performance in either age group or behavior in the 3- to 6-year group;
however, it was associated with behavior in the school-aged children.
CONCLUSIONS: Children with sleep-disordered breathing are able to maintain
cerebral oxygenation, and the small changes observed are not related to cognitive
deficits. However, in older children these differences were related to behavioral
measures.
PMID- 29350998
TI - Overexpression of the neuronal human (pro)renin receptor mediates angiotensin II
independent blood pressure regulation in the central nervous system.
AB - Despite advances in antihypertensive therapeutics, at least 15-20% of
hypertensive patients have resistant hypertension through mechanisms that remain
poorly understood. In this study, we provide a new mechanism for the regulation
of blood pressure (BP) in the central nervous system (CNS) by the (pro)renin
receptor (PRR), a recently identified component of the renin-angiotensin system
that mediates ANG II formation in the CNS. Although PRR also mediates ANG II
independent signaling, the importance of these pathways in BP regulation is
unknown. Here, we developed a unique transgenic mouse model overexpressing human
PRR (hPRR) specifically in neurons (Syn-hPRR). Intracerebroventricular infusion
of human prorenin caused increased BP in Syn-hPRR mice. This BP response was
attenuated by a NADPH oxidase (NOX) inhibitor but not by antihypertensive agents
that target the renin-angiotensin system. Using a brain-targeted genetic
knockdown approach, we found that NOX4 was the key isoform responsible for the
prorenin-induced elevation of BP in Syn-hPRR mice. Moreover, inhibition of ERK
significantly attenuated the increase in NOX activity and BP induced by human
prorenin. Collectively, our findings indicate that an ANG II-independent, PRR
mediated signaling pathway regulates BP in the CNS by a PRR-ERK-NOX4 mechanism.
NEW & NOTEWORTHY This study characterizes a new transgenic mouse model with
overexpression of the human (pro)renin receptor in neurons and demonstrated a
novel angiotensin II-independent mechanism mediated by human prorenin and the
(pro)renin receptor in the central regulation of blood pressure.
PMID- 29351001
TI - Precision Medicine in Asthma: Integrating Imaging and Inflammatory Biomarkers.
PMID- 29351002
TI - Protective effect of the standardized leaf extract of Ginkgo biloba (EGb761)
against hypertension-induced renal injury in rats.
AB - BACKGROUND: Ginkgo biloba leaves extract has been widely used worldwide to
protect against oxidative stress-induced cell damage and improves blood
circulation. METHODS: The potential protective role of the standardized leaf
extract of Ginkgo biloba (EGb761) on hypertension-induced renal injury was
investigated in rats. Hypertension was induced in rats by L-NAME. RESULT:
Repeated treatment with EGb761 produced progressive reductions in the systolic,
diastolic and mean arterial blood pressure. Also, EGb761 increased the
progressive reductions in blood pressure induced by losartan. Hypertension
induced marked elevation of renal malondialdehyde (MDA) and nitrite levels and
reduction of reduced glutathione (GSH) level were inhibited by EGb761. In
addition, hypertension-induced increases in tumor necrosis factor-alpha (TNF
alpha), interleukin-6 (IL-6) and interleukin-1beta (IL-1beta)) levels in renal
tissues were inhibited by EGb761. Also, treatment with EGb761 inhibited
hypertension-induced decrease in endothelial nitric oxide synthase (eNOS) protein
expression and increase in the protein expressions of inducible NO synthase
(iNOS), TNF-alpha, IL-6 and IL-1B in the kidney tissues. EGb761 enhanced losartan
effects on renal tissues oxidative stress, nitrite, and inflammatory markers
levels and on protein expressions of eNOS, iNOS, TNF-alpha, IL-6 and IL-1B.
effects. CONCLUSIONS: These results indicate that EGb761 has the ability to
protect against hypertension-induced renal injury.
PMID- 29351003
TI - The Yin and Yang of endothelium-derived vasodilator factors.
PMID- 29350999
TI - Sex differences in abdominal aortic aneurysms.
AB - Abdominal aortic aneurysm (AAA) is a vascular disorder with a high case fatality
rate in the instance of rupture. AAA is a multifactorial disease, and the
etiology is still not fully understood. AAA is more likely to occur in men, but
women have a greater risk of rupture and worse prognosis. Women are reportedly
protected against AAA possibly by premenopausal levels of estrogen and are, on
average, diagnosed at older ages than men. Here, we review the present body of
research on AAA pathophysiology in humans, animal models, and cultured cells,
with an emphasis on sex differences and sex steroid hormone signaling.
PMID- 29351004
TI - Duchenne muscular dystrophy: an updated review of common available therapies.
AB - BACKGROUND AND PURPOSE: Duchenne muscular dystrophy (DMD) is a lethal progressive
pediatric muscle disorder and genetically inherited as an X-linked disease that
caused by mutations in the dystrophin gene. DMD leads to progressive muscle
weakness, degeneration, and wasting; finally, follows with the premature demise
in affected individuals due to respiratory and/or cardiac failure typically by
age of 30. For decades, scientists tried massively to find an effective therapy
method, but there is no absolute cure currently for patients with DMD,
nevertheless, recent advanced progressions on the treatment of DMD will be
hopeful in the future. Several promising gene therapies are currently under
investigation. These include gene replacement, exon skipping, suppression of stop
codons. More recently, a promising gene editing tool referred to as CRISPR/Cas9
offers exciting perspectives for restoring dystrophin expression in patients with
DMD. This review intents to briefly describe these methods and comment on their
advances. Since DMD is a genetic disorder, it should be treated by replacing the
deficient DMD copy with a functional one. However, there are different types of
mutations in this gene, so such therapeutic approaches are highly mutation
specific and thus are personalized. Therefore, DMD has arisen as a model of
genetic disorder for understanding and overcoming of the challenges of developing
personalized genetic medicines, consequently, the lessons learned from these
approaches will be applicable to many other disorders. CONCLUSIONS: This review
provides an update on the recent gene therapies for DMD that aim to compensate
for dystrophin deficiency and the related clinical trials.
PMID- 29351005
TI - An integrated operational definition and conceptual model of asthma self
management in teens.
AB - OBJECTIVES: A previous definition of adolescent asthma self-management was
derived from interviews with clinicians/researchers and published literature;
however, it did not incorporate perspectives of teens or parents. Therefore, we
conducted in-depth interviews with teens and parents and synthesized present
findings with the prior analysis to develop a more encompassing definition and
model. METHODS: Focal concepts were qualitatively extracted from 14-day self
management voice-diaries (n = 14) and 1-hour interviews (n = 42) with teens and
parents (28 individuals) along with concepts found in the previous
clinical/research oriented analysis. Conceptual structure and relationships were
identified and key findings synthesized to develop a revised definition and model
of adolescent asthma self-management. RESULTS: There were two primary self
management constructs: processes of self-management and tasks of self-management.
Self-management was defined as the iterative process of assessing, deciding, and
responding to specific situations in order to achieve personally important
outcomes. Clinically relevant asthma self-management tasks included monitoring
asthma, managing active issues through pharmacologic and non-pharmacologic
strategies, preventing future issues, and communicating with others as needed.
Self-management processes were reciprocally influenced by intrapersonal factors
(both cognitive and physical), interpersonal factors (family, social and physical
environments), and personally relevant asthma and non-asthma outcomes.
CONCLUSION: This is the first definition of asthma self-management incorporating
teen, parent, clinician, and researcher perspectives, which suggests that self
management processes and behaviors are influenced by individually variable
personal and interpersonal factors, and are driven by personally important
outcomes. Clinicians and researchers should investigate teens' symptom
perceptions, medication beliefs, current approaches to symptom management,
relevant outcomes, and personal priorities.
PMID- 29351006
TI - Associations between microalbuminuria and parameters of flow-mediated
vasodilatation obtained by continuous measurement approaches.
AB - The associations between microalbuminuria and various parameters of flow-mediated
vasodilatation (FMD) are not completely understood. We retrospectively analyzed
265 consecutive patients who underwent coronary angiography and in whom we could
measure FMD and the urine albumin-creatinine ratio (UACR). Using 15 continuous
measurement approaches, we measured FMD as the magnitude of the percentage change
in the brachial artery diameter from baseline to peak (bFMD), the maximum FMD
rate calculated as the maximal slope of dilation (FMD-MDR), and the integrated
FMD response calculated as the area under the dilation curve during the 60- and
120-s dilation periods (FMD-AUC60 and FMD-AUC120). We divided the patients into
two groups according to UACR: normoalbuminuria (NOR, n = 211) and
microalbuminuria (MIC, n = 54). The MIC group showed a significantly higher
percentage of coronary artery disease than the NOR group. FMD-AUC60 and FMD
AUC120, but not FMD-MDR, in the MIC group were significantly lower than those in
the NOR group. On the other hand, bFMD in the MIC group tended to be lower than
that in the NOR group, but this difference was not significant. A multiple
regression analysis indicated that FMD-AUC120 and diabetes mellitus were
predictors of MIC. Finally, we defined the cut-off value of FMD-AUC120 for the
presence of MIC in all patients as 8.4 mm x second (sensitivity 0.640,
specificity 0.588) by a receiver-operating characteristic curve analysis. In
conclusion, this study provides more definitive evidence for the association of
microalbuminuria with endothelial dysfunction. FMD-AUC120 may be a superior
marker for MIC.
PMID- 29351007
TI - Timing of Renal Support and Outcome of Septic Shock and Acute Respiratory
Distress Syndrome. A Post Hoc Analysis of the AKIKI Randomized Clinical Trial.
AB - RATIONALE: The optimal strategy for initiation of renal replacement therapy (RRT)
in patients with severe acute kidney injury in the context of septic shock and
acute respiratory distress syndrome (ARDS) is unknown. OBJECTIVES: To examine the
effect of an early compared with a delayed RRT initiation strategy on 60-day
mortality according to baseline sepsis status, ARDS status, and severity.
METHODS: Post hoc analysis of the AKIKI (Artificial Kidney Initiation in Kidney
Injury) trial. MEASUREMENTS AND MAIN RESULTS: Subgroups were defined according to
baseline characteristics: sepsis status (Sepsis-3 definition), ARDS status
(Berlin definition), Simplified Acute Physiology Score 3 (SAPS 3), and Sepsis
related Organ Failure Assessment (SOFA). Of 619 patients, 348 (56%) had septic
shock and 207 (33%) had ARDS. We found no significant influence of the baseline
sepsis status (P = 0.28), baseline ARDS status (P = 0.94), and baseline severity
scores (P = 0.77 and P = 0.46 for SAPS 3 and SOFA, respectively) on the
comparison of 60-day mortality according to RRT initiation strategy. A delayed
RRT initiation strategy allowed 45% of patients with septic shock and 46% of
patients with ARDS to escape RRT. Urine output was higher in the delayed group.
Renal function recovery occurred earlier with the delayed RRT strategy in
patients with septic shock or ARDS (P < 0.001 and P = 0.003, respectively). Time
to successful extubation in patients with ARDS was not affected by RRT strategy
(P = 0.43). CONCLUSIONS: Early RRT initiation strategy was not associated with
any improvement of 60-day mortality in patients with severe acute kidney injury
and septic shock or ARDS. Unnecessary and potentially risky procedures might
often be avoided in these fragile populations. Clinical trial registered with
www.clinicaltrials.gov (NCT 01932190).
PMID- 29351008
TI - Delay to Colectomy and Survival for Patients Diagnosed with Colon Cancer.
AB - BACKGROUND: A long wait-time for colectomy for colon cancer should theoretically
affect survival but, to date, the association between delay to colectomy and
survival remains unresolved. METHODS: We studied 4,685 patients who underwent a
colectomy for colon cancer between 1990 and 2012. Wait-time was defined as the
number of days between diagnosis and colectomy. Cox regression models were used
to estimate adjusted hazard ratios (HRs) and 95% confidence intervals (CIs) for
all-cause mortality. RESULTS: The number of patients in the wait-time group of 1
21 days was 3,529 (75.3%), 22-42 days was 842 (18.0%), 43-84 days was 253 (5.4%),
and >84 days was 61 (1.3%). When compared to patients undergoing surgery in the
first week after diagnosis, there was no increased risk of death until wait time
>84 days (HR = 1.47; 95% CI, 1.02-2.11; p =.038). Patients in the wait time >84
day group tended to be older, traveled further for colectomy, and had tumors with
a lower histologic grade. CONCLUSIONS: Colectomy for colon cancer performed up to
3 months following diagnosis is not associated with adverse long-term survival.
These data provide a framework to address concerns over prolonged wait-times and
direct efforts for timely surgery in patients with colon cancer.
PMID- 29351009
TI - Life-History Traits Evolved Jointly with Climatic Niche and Disturbance Regime in
the Genus Leucadendron (Proteaceae).
AB - Organisms have evolved a diversity of life-history strategies to cope with
variation in their environment. Persistence as adults and/or seeds across
recruitment events allows species to dampen the effects of environmental
fluctuations. The evolution of life cycles with overlapping generations should
thus permit the colonization of environments with uncertain recruitment. We
tested this hypothesis in Leucadendron (Proteaceae), a genus with high functional
diversity native to fire-prone habitats in the South African fynbos. We analyzed
the joint evolution of life-history traits (adult survival and seed-bank
strategies) and ecological niches (climate and fire regime), using comparative
methods and accounting for various sources of uncertainty. In the fynbos, species
with canopy seed banks that are unable to survive fire as adults display
nonoverlapping generations. In contrast, resprouters with an underground seed
bank may be less threatened by extreme climatic events and fire intervals, given
their iteroparity and long-lasting seed bank. Life cycles with nonoverlapping
generations indeed jointly evolved with niches with less exposure to frost but
not with those with less exposure to drought. Canopy seed banks jointly evolved
with niches with more predictable fire return, compared to underground seed
banks. The evolution of extraordinary functional diversity among fynbos plants
thus reflects, at least in part, the diversity of both climates and fire regimes
in this region.
PMID- 29351010
TI - Using Human Vision to Detect Variation in Avian Coloration: How Bad Is It?
AB - Assessing variation in animal coloration is difficult, as animals differ in their
visual system properties. This has led some to propose that human vision can
never be used to evaluate coloration, yet many studies have a long history of
relying on human vision. To reconcile these views, we compared the reflectance
spectra of preserved avian plumage elements with two measures that are human
biased: RGB values from digital photographs and the corresponding reflectance
spectra from a field guide. We measured 73 plumage elements across 14 bird
species. The field guide reflectance spectra were drastically different from that
of the actual birds, particularly for blue elements. However, principal component
analyses on all three data sets indicated remarkably similar data structure. We
conclude that human vision can detect much of the variation in coloration in the
visible range, providing fodder for subsequent studies in ecology, evolution,
behavior, and visual ecology.
PMID- 29351011
TI - A Migratory Divide in the Painted Bunting (Passerina ciris).
AB - In the painted bunting (Passerina ciris), a North American songbird, populations
on the Atlantic coast and interior southern United States are known to be
allopatric during the breeding season, but efforts to map connectivity with
wintering ranges have been largely inconclusive. Using genomic and morphological
data from museum specimens and banded birds, we found evidence of three
genetically differentiated painted bunting populations with distinct wintering
ranges and molt-migration phenologies. In addition to confirming that the
Atlantic coast population remains allopatric throughout the annual cycle, we
identified an unexpected migratory divide within the interior breeding range.
Populations breeding in Louisiana winter on the Yucatan Peninsula and are
parapatric with other interior populations that winter in mainland Mexico and
Central America. Across the interior breeding range, genetic ancestry is also
associated with variation in wing length, suggesting that selection may be
promoting morphological divergence in populations with different migration
strategies.
PMID- 29351012
TI - Extreme Climate-Induced Life-History Plasticity in an Amphibian.
AB - Age-specific survival and reproduction are closely linked to fitness and
therefore subject to strong selection that typically limits their variability
within species. Furthermore, adult survival rate in vertebrate populations is
typically less variable over time than other life-history traits, such as
fecundity or recruitment. Hence, adult survival is often conserved within a
population over time, compared to the variation in survival found across taxa. In
stark contrast to this general pattern, we report evidence of extreme short-term
variation of adult survival in Rose's mountain toadlet (Capensibufo rosei), which
is apparently climate induced. Over 7 years, annual survival rate varied between
0.04 and 0.92, and 94% of this variation was explained by variation in breeding
season rainfall. Preliminary results suggest that this variation reflects
adaptive life-history plasticity to a degree thus far unrecorded for any
vertebrate, rather than direct rainfall-induced mortality. In wet years, these
toads appeared to achieve increased reproduction at the expense of their own
survival, whereas in dry years, their survival increased at the expense of
reproduction. Such environmentally induced plasticity may reflect a diversity of
life-history strategies not previously appreciated among vertebrates.
PMID- 29351013
TI - Leaf Form Evolution in Viburnum Parallels Variation within Individual Plants.
AB - Few studies have critically evaluated how morphological variation within
individual organisms corresponds to variation within and among species.
Subindividual variation in plants facilitates such studies because their
indeterminate modular growth generates multiple serially homologous structures
along growing axes. Focusing on leaf form, we evaluate how subindividual trait
variation relates to leaf evolution across Viburnum, a clade of woody
angiosperms. In Viburnum we infer multiple independent origins of wide/lobed
leaves with toothed margins from ancestors with elliptical, smooth-margined
leaves. We document leaf variation along the branches of individual plants of 28
species and among populations across the wide range of Viburnum dentatum. We
conclude that when novel leaf forms evolved in Viburnum, they were intercalated
at the beginning of the seasonal leaf sequence, which then generated a repeated
spectrum of leaf forms along each branch (seasonal heteroblasty). We hypothesize
that the existence of such a spectrum then facilitated additional evolutionary
shifts, including reversions to more ancestral forms. We argue that the recurrent
production of alternative phenotypes provides opportunities to canalize the
production of particular forms and that this phenomenon has played an important
role in generating macroscale patterns.
PMID- 29351014
TI - Coinfection Timing Drives Host Population Dynamics through Changes in Virulence.
AB - Infections of one host by multiple parasites are common, and several studies have
found that the order of parasite invasion can affect both within-host competition
and disease severity. However, it is unclear to what extent coinfection timing
might be important to consider when modeling parasite impacts on host
populations. Using a model system of two viruses infecting barley, we found that
simultaneous infections of the two viruses were significantly more damaging to
hosts than sequential coinfections. While priority effects were evident in within
host concentrations of sequential coinfections, priority did not influence any
parameters (such as virulence or transmission rate) that affect host population
dynamics. We built a susceptible-infected model to examine whether the observed
difference in coinfection virulence could impact host population dynamics under a
range of scenarios. We found that coinfection timing can have an important but
context-dependent effect on projected host population dynamics. Studies that
examine only simultaneous coinfections could inflate disease impact predictions.
PMID- 29351015
TI - Temperature-Dependent Growth and Fission Rate Plasticity Drive Seasonal and
Geographic Changes in Body Size in a Clonal Sea Anemone.
AB - The temperature-size rule is a commonly observed pattern where adult body size is
negatively correlated with developmental temperature. In part, this may occur as
a consequence of allometric scaling, where changes in the ratio of surface area
to mass limit oxygen diffusion as body size increases. As oxygen demand increases
with temperature, a smaller body should be favored as temperature increases. For
clonal animals, small changes in growth and/or fission rate can rapidly alter the
average body size of clonal descendants. Here I test the hypothesis that the
clonal sea anemone Diadumene lineata is able to track an optimal body size
through seasonal temperature changes using fission rate plasticity. Individuals
from three regions (Florida, Georgia, and Massachusetts) across the species'
latitudinal range were grown in a year-long reciprocal common garden experiment
mimicking seasonal temperature changes at three sites. Average body size was
found to be smaller and fission rates higher in warmer conditions, consistent
with the temperature-size rule pattern. However, seasonal size and fission
patterns reflect a complex interaction between region-specific thermal reaction
norms and the local temperature regime. These details provide insight into both
the range of conditions required for oxygen limitation to contribute to a
negative correlation between body size and temperature and the role that fission
rate plasticity can play in tracking a rapidly changing optimal phenotype.
PMID- 29351016
TI - Patterns of Local Community Composition Are Linked to Large-Scale Diversification
and Dispersal of Clades.
AB - At any location, a group of organisms may be represented by several clades. What
determines which clades will dominate local communities in terms of their species
richness? Here, this relatively neglected question is addressed by analyzing 166
local assemblages of snakes distributed globally. For most regions, local
assemblages are dominated by clades with higher global-scale diversification
rates and more frequent dispersal into each region, and not by clades that have
been present in that region longer. This result contrasts with many other studies
of local richness (in other organisms), which show strong impacts of regional
colonization time on overall local species richness of clades. Furthermore, even
though local assemblages are assembled independently on different continents,
most regions have converged on similar patterns of proportional richness.
Specifically, a few rapidly diversifying clades dominate most communities around
the world. The high diversification rates of these clades are then linked to
their high dispersal rates. Similar patterns may occur in many groups, such as
plants, frogs, salamanders, birds, and mammals.
PMID- 29351017
TI - Temperature-Dependent Species Interactions Shape Priority Effects and the
Persistence of Unequal Competitors.
AB - The order of species arrival at a site can determine the outcome of competitive
interactions when early arrivers alter the environment or deplete shared
resources. These priority effects are predicted to be stronger at high
temperatures, as higher vital rates caused by warming allow early arrivers to
more rapidly impact a shared environment. We tested this prediction using a pair
of congeneric aphid species that specialize on milkweed plants. We manipulated
temperature and arrival order of the two aphid species and measured aphid
population dynamics and milkweed survival and defensive traits. We found that
warming increased the impact of aphids on the quantity and quality of milkweed,
which amplified the importance of priority effects by increasing the competitive
exclusion of the inferior competitor when it arrived late. Warming also enhanced
interspecific differences in dispersal, which could alter relative arrival times
at a regional scale. Our experiment provides a first link between temperature
dependent trophic interactions, priority effects, and dispersal. This study
suggests that the indirect and cascading effects of temperature observed here may
be important determinants of diversity in the temporally and spatially complex
landscapes that characterize ecological communities.
PMID- 29351018
TI - The Behavior and Reproductive Physiology of a Solitary Progressive Provisioning
Vespid Wasp: Evidence for a Solitary-Cycle Origin of Reproductive Castes.
AB - The emergence of queens and workers from solitary antecedents mark a major
evolutionary transition in the history of life. The solitary progressive
provisioning wasp Synagris cornuta, a member of the subfamily Eumeninae (basal to
eusocial vespid wasps), alternates between behavioral states characterized as
queenlike and worker-like. Akin to a queen in eusocial wasps, a S. cornuta female
initiates construction of a cell into which she oviposits and then, similar to a
worker, cares for the brood as it develops. The ovarian groundplan (OGP)
hypothesis for caste origins predicts that these behavioral states are associated
with cyclical changes in ovarian status, where females performing queenlike tasks
have eggs and those performing worker-like tasks possess only small oocytes. Our
findings show strong support for the OGP hypothesis: the ovaries of S. cornuta
females undergo differential oogenesis depending on the behavioral phase: the
largest oocyte in the ovaries of females building a cell progresses faster
compared to that of females attending brood. Yet contrary to the OGP hypothesis,
neither juvenile hormone nor ecdysteroids is associated with the reproductive
cycle. Finally, the cuticular hydrocarbon profile showed no link with ovarian
status, suggesting that fertility signals evolved subsequent to the emergence of
group living.
PMID- 29351019
TI - Keystone Individuals Alter Ecological and Evolutionary Consumer-Resource
Dynamics.
AB - Intraspecific variation is central to our understanding of evolution and ecology,
but these fields generally consider either the mean trait value or its variance.
Alternatively, the keystone individual concept from behavioral ecology posits
that a single individual with an extreme phenotype can have disproportionate and
irreplaceable effects on group dynamics. Here, I generalize this concept to
include nonbehavioral traits and broader ecological and evolutionary dynamics. I
test for the effects of individuals with extreme phenotypes on the ecology and
evolution of a gall-forming fly and its natural enemies that select for opposite
gall sizes. Specifically, I introduce a putatively keystone predator-attracting
individual gall-maker, hypothesizing that the presence of such an individual
should (1) increase gall maker population-level mortality, (2) cause consumer
communities to be dominated by species that are most attracted to the keystone
individual, (3) increase selection for traits conferring defense against the most
common consumer, and (4) weaken patterns of stabilizing selection. I find support
for both the ecological and evolutionary consequences of single individuals with
extreme phenotypes, suggesting that they can be considered keystone individuals.
I discuss the generality of the keystone individual concept, suggesting likely
consequences for ecology and evolution.
PMID- 29351020
TI - A Dynamic State Model of Migratory Behavior and Physiology to Assess the
Consequences of Environmental Variation and Anthropogenic Disturbance on Marine
Vertebrates.
AB - Integrating behavior and physiology is critical to formulating new hypotheses on
the evolution of animal life-history strategies. Migratory capital breeders
acquire most of the energy they need to sustain migration, gestation, and
lactation before parturition. Therefore, when predicting the impact of
environmental variation on such species, a mechanistic understanding of the
physiology of their migratory behavior is required. Using baleen whales as a
model system, we developed a dynamic state variable model that captures the
interplay among behavioral decisions, energy, reproductive needs, and the
environment. We applied the framework to blue whales (Balaenoptera musculus) in
the eastern North Pacific Ocean and explored the effects of environmental and
anthropogenic perturbations on female reproductive success. We demonstrate the
emergence of migration to track prey resources, enabling us to quantify the trade
offs among capital breeding, body condition, and metabolic expenses. We predict
that periodic climatic oscillations affect reproductive success less than
unprecedented environmental changes do. The effect of localized, acute
anthropogenic impacts depended on whales' behavioral response to the disturbance;
chronic, but weaker, disturbances had little effect on reproductive success.
Because we link behavior and vital rates by modeling individuals' energetic
budgets, we provide a general framework to investigate the ecology of migration
and assess the population consequences of disturbance, while identifying critical
knowledge gaps.
PMID- 29351021
TI - Coupling, Reinforcement, and Speciation.
AB - During the process of speciation, populations may diverge for traits and at their
underlying loci that contribute barriers to gene flow. These barrier traits and
barrier loci underlie individual barrier effects, by which we mean the
contribution that a barrier locus or trait-or some combination of barrier loci or
traits-makes to overall isolation. The evolution of strong reproductive isolation
typically requires the origin of multiple barrier effects. Critically, it also
requires the coincidence of barrier effects; for example, two barrier effects,
one due to assortative mating and the other due to hybrid inviability, create a
stronger overall barrier to gene flow if they coincide than if they distinguish
independent pairs of populations. Here, we define "coupling" as any process that
generates coincidence of barrier effects, resulting in a stronger overall barrier
to gene flow. We argue that speciation research, both empirical and theoretical,
needs to consider both the origin of barrier effects and the ways in which they
are coupled. Coincidence of barrier effects can occur either as a by-product of
selection on individual barrier effects or of population processes, or as an
adaptive response to indirect selection. Adaptive coupling may be accompanied by
further evolution that enhances individual barrier effects. Reinforcement,
classically viewed as the evolution of prezygotic barriers to gene flow in
response to costs of hybridization, is an example of this type of process.
However, we argue for an extended view of reinforcement that includes coupling
processes involving enhancement of any type of additional barrier effect as a
result of an existing barrier. This view of coupling and reinforcement may help
to guide development of both theoretical and empirical research on the process of
speciation.
PMID- 29351022
TI - A Survey of Orthodontic Treatment in Team Care for Patients With Syndromic
Craniosynostosis in Japan.
AB - OBJECTIVE: To understand the actual condition of orthodontic treatment in team
care for patients with syndromic craniosynostosis (SCS) in Japan. DESIGN: A
nationwide collaborative survey. SETTING: Twenty-four orthodontic clinics in
Japan. PATIENTS: A total of 246 patients with SCS. MAIN OUTCOME MEASURE:
Treatment history was examined based on orthodontic records using common survey
sheets. RESULTS: Most patients first visited the orthodontic clinic in the
deciduous or mixed dentition phase. Midface advancement was performed without
visiting the orthodontic clinic in about a quarter of the patients, and more than
a half of the patients underwent "surgery-first" midface advancement. First-phase
orthodontic treatment was carried out in about a half of the patients, and
maxillary expansion and protraction were performed. Tooth extraction was required
in about two-thirds of patients, and the extraction of maxillary teeth was
required in most patients. Tooth abnormalities were found in 37.8% of patients,
and abnormalities of maxillary molars were frequently (58.3%) found in patients
who had undergone midface surgery below the age of 6 years. CONCLUSIONS: Many
patients underwent "surgery-first" midface advancement, and visiting the
orthodontic clinic at least before advancement was considered desirable. First
phase orthodontic treatment should be performed considering the burden of care.
Midface advancement below the age of 6 years had a high risk of injury to the
maxillary molars. This survey is considered useful for improving orthodontic
treatment in team care of patients with SCS.
PMID- 29351023
TI - Does Talking on a Cell Phone, With a Passenger, or Dialing Affect Driving
Performance? An Updated Systematic Review and Meta-Analysis of Experimental
Studies.
AB - Objective An up-to-date meta-analysis of experimental research on talking and
driving is needed to provide a comprehensive, empirical, and credible basis for
policy, legislation, countermeasures, and future research. Background The effects
of cell, mobile, and smart phone use on driving safety continues to be a
contentious societal issue. Method All available studies that measured the
effects of cell phone use on driving were identified through a variety of search
methods and databases. A total of 93 studies containing 106 experiments met the
inclusion criteria. Coded independent variables included conversation target
(handheld, hands-free, and passenger), setting (laboratory, simulation, or on
road), and conversation type (natural, cognitive task, and dialing). Coded
dependent variables included reaction time, stimulus detection, lane positioning,
speed, headway, eye movements, and collisions. Results The overall sample had
4,382 participants, with driver ages ranging from 14 to 84 years ( M = 25.5, SD =
5.2). Conversation on a handheld or hands-free phone resulted in performance
costs when compared with baseline driving for reaction time, stimulus detection,
and collisions. Passenger conversation had a similar pattern of effect sizes.
Dialing while driving had large performance costs for many variables. Conclusion
This meta-analysis found that cell phone and passenger conversation produced
moderate performance costs. Drivers minimally compensated while conversing on a
cell phone by increasing headway or reducing speed. A number of additional meta
analytic questions are discussed. Application The results can be used to guide
legislation, policy, countermeasures, and future research.
PMID- 29351024
TI - Does Unilateral Cleft Lip and Palate Affect the Maxillary Sinus Volume?
AB - OBJECTIVE: The purposes of this study were to evaluate and compare the maxillary
sinus volume (MSV) of patients with a unilateral cleft lip and palate (UCLP)
between the cleft side and noncleft side and between adolescent patients with
UCLP and a control (noncleft) group using cone beam computed tomography (CBCT).
METHODS: CBCT images of 44 UCLP patients (29 males and 15 females, with a mean
[SD] age of 13.5 [5.0] years) and 44 (22 males and 22 females, with a mean [SD]
age 14.9 [4.2] years) age- and sex-matched controls were evaluated in this study.
Each maxillary sinus was assessed 3-dimensionally, segmented, and its volume was
calculated. RESULTS: There were no statistically significant differences between
the age and gender distributions of the groups. There was a statistically
significant difference in the MSVs of the cleft (10996.78+/-3522.89 mm3) versus
the noncleft side (10382.3+/-3416.2 mm3; P < .05)] but no significant difference
between the MSVs of the right and left sides ( P > .05). In the intergroup
comparison, the mean MSVs of the UCLP patients (10701.52+/-3369.33 mm3) were
significantly smaller than those of the control group (16054.08 +/- 5293.96 mm3;
P < .001). CONCLUSIONS: The MSVs of the UCLP patients showed a statistically
significant decrease compared to those of the controls ( P < .001). There was
also a significant difference in the MSVs of the cleft and noncleft sides of the
UCLP patients ( P < .05).
PMID- 29351025
TI - 3D Assessment of Nasolabial Appearance in Patients With Complete Unilateral Cleft
Lip and Palate.
AB - OBJECTIVE: Rhinoplasty in patients with complete unilateral cleft lip and palate
(UCLP) is challenging, and the surgical outcome of the nose is complicated to
evaluate. The aim of this study was to assess the nasolabial appearance of
patients with UCLP compared with a control group. DESIGN: Cross-sectional study.
SETTING: Riga Cleft Lip and Palate Centre, Latvia. PARTICIPANTS: All consecutive
35 patients born between 1994 and 2004 with nonsyndromic complete UCLP were
included. Of 35 patients, 29 came for checkup; the mean age was 14.7 years (range
10-18). In the control group, 35 noncleft participants at 10 years of age were
included. INTERVENTIONS: Nasolabial appearance was evaluated from 3-dimensional
images using a 3-dimensional stereo-photogrammetric camera setup (3dMDface
System), the results being analysed statistically. RESULTS: In UCLP group, a
statistically significant difference between cleft and noncleft side was found
only in alar wing length ( P < .05). The difference of nasolabial anthropometric
distances in the control group between the left and right side was not
significant. The difference between the UCLP group and the control group was
significant in all anthropometric distances except the lateral lip length to
cupid's bow. CONCLUSIONS: The nasolabial appearance with acceptable symmetry
after cleft lip and reconstructive surgery of the nose was achieved. Symmetry of
the nasolabial appearance in patients with UCLP differed from those in the
control group. The 3D photographs with a proposed set of anthropometric landmarks
for evaluation of nasolabial appearance seems to be a convenient, accurate, and
noninvasive way to follow and evaluate patients after surgery.
PMID- 29351026
TI - The Influences of Child Intelligibility and Rate on Caregiver Responses to
Toddlers With and Without Cleft Palate.
AB - OBJECTIVE: The purpose of this study was to investigate the influences of child
speech intelligibility and rate on caregivers' linguistic responses. DESIGN: This
study compared the language use of children with cleft palate with or without
cleft lip (CP+/-L) and their caregivers' responses. Descriptive analyses of
children's language and caregivers' responses and a multilevel analysis of
caregiver responsivity were conducted to determine whether there were differences
in children's productive language and caregivers' responses to different types of
child utterances. SETTING: Play-based caregiver-child interactions were video
recorded in a clinic setting. PARTICIPANTS: Thirty-eight children (19 toddlers
with nonsyndromic repaired CP+/-L and 19 toddlers with typical language
development) between 17 and 37 months old and their primary caregivers
participated. MAIN OUTCOME MEASURES: Child and caregiver measures were obtained
from transcribed and coded video recordings and included the rate, total number
of words, and number of different words spoken by children and their caregivers,
intelligibility of child utterances, and form of caregiver responses. RESULTS:
Findings from this study suggest caregivers are highly responsive to toddlers'
communication attempts, regardless of the intelligibility of those utterances.
However, opportunities to respond were fewer for children with CP+/-L.
Significant differences were observed in children's intelligibility and
productive language and in caregivers' use of questions in response to
unintelligible utterances of children with and without CP+/-L. CONCLUSIONS: This
study provides information about differences in children with CP+/-L's language
use and caregivers' responses to spoken language of toddlers with and without
CP+/-L.
PMID- 29351027
TI - Custom-Made Palatal Shield Use in Cleft Palate and Fistula Repair: A Potential
Benefit for Fast Postoperative Recovery.
AB - OBJECTIVE: To review our experience with a polymethylmethacrylate (PMMA)
protective shield used as an adjunct to protect the newly restored palate in wide
bilateral cleft and complex fistula closure without diet restrictions. DESIGN:
Clinical cohort study. SETTING: Division of Plastic Surgery and Maxillary
Surgery. PATIENTS: A selection of 22 cleft palate children undergoing (tertiary)
palatal fistula repair (n = 16) or closure of a complex wide primary palatal
defect (n = 6). INTERVENTIONS: One month prior to surgery, a plaster model of the
palate was made adding a 5- to 8-mm-thick layer of dental putty to the level of
the dental arch. On top of the putty, a 1.5-mm-thick PMMA shield was created to
cover the postoperative elevated and restored palate. MAIN OUTCOME MEASURES:
Fistula recurrence rate, postoperative complications, days of hospitalization.
RESULTS: All patients maintained durable and safe palatal closure without fistula
recurrence within the follow-up period, varying from 1 until 4 years. Recovery
was fast, with a mean duration of hospitalization of 1.5 days. All patients could
directly resume their normal diet. CONCLUSIONS: A PMMA shield has been shown to
be a safe and helpful adjunct in complex fistula repair and late anterior palate
repair.
PMID- 29351028
TI - Female and Male Differences in Academic Achievement in Individuals With Cleft: A
Population-Based Register Study.
AB - OBJECTIVE: The focus of this study was to determine if there is any significant
difference in academic achievement for girls and boys with a cleft compared to
the general population of Swedish students at graduation from compulsory school.
DESIGN: A retrospective population-based study using data obtained from the
Swedish Medical Birth Register that was linked to the Swedish School-Grade
Register. PARTICIPANTS: Two hundred seventy girls and 241 boys with cleft palate
(CP), 222 girls and 429 boys with cleft lip (CL), and 299 girls and 531 boys with
cleft lip and palate (CLP) were compared with the compulsory school population
comprising 609,397 girls and 640,007 boys. MAIN OUTCOME MEASURES: (1) Odds of
receiving the lowest grade and reduced odds in receiving high grades in
Mathematics, English, and Swedish. (2) grade point average (GPA). RESULTS: In all
3 subject grades, for boys with cleft there was no difference when compared to
the male population. Girls with cleft were similar to their peers with a few
exceptions. Girls with CLP had lower Math grades, and girls with CP had lower
Math, English, and Swedish grades. Girls with CP and CLP achieved a significantly
lower GPA in comparison to the female population and boys with CP and CL achieved
lower GPAs in comparison to the male population. CONCLUSIONS: This study
indicates that educational outcomes for girls with cleft are more negatively
affected than for boys with cleft.
PMID- 29351029
TI - Factors Associated With Adherence to Nasoalveolar Molding (NAM) by Caregivers of
Infants Born With Cleft Lip and Palate.
AB - OBJECTIVE: Identify factors associated with adherence to nasoalveolar molding
(NAM) therapy. DESIGN: Retrospective case-control study. SETTING: Tertiary
referral center. PATIENTS, PARTICIPANTS: Infants with cleft lip, with or without
cleft palate, referred for NAM. One hundred thirty-five patients met criteria.
MAIN OUTCOME MEASURE(S): Adherence to NAM therapy, defined as continuous use of
the appliance and attendance of NAM adjustment visits. RESULTS: Female sex (OR =
2.85, 95% CI 1.21-6.74), bilateral cleft (OR = 2.88, 95% CI 1.29-6.46), and
travel distance (OR = 1.01, 95% CI 1.00-1.01) were independent predictors of
nonadherence. Bilateral clefts (OR = 8.35, 95% CI 2.72-25.64) and public-payer
insurance (OR = 3.67, 95% CI 1.13-11.91) for male patients were significantly
associated with nonadherence, in our sex-stratified multivariate model. The
majority of the families (58%) had public health insurance. Males comprised 77.0%
of the cohort. CONCLUSIONS: NAM treatment adherence is impaired by bilateral
clefts, female sex, increased travel distance, and public insurance. Further
studies are warranted to investigate how these factors affect adherence, and to
develop interventions to improve adherence in families at risk due to economic or
psychosocial barriers.
PMID- 29351030
TI - Vocal Loudness as Contributory to the Occurrence of Obligatory Posterior Nasal
Turbulence.
AB - Two cases are presented that show increased loudness as contributory to the
occurrence of audible posterior nasal turbulence as an obligatory symptom. Case 1
was an 18-month-old boy with repaired cleft palate who exhibited sporadic
posterior nasal turbulence with velar flutter that was associated with increased
loudness. Case 2 was a 4-year-old boy with repaired cleft palate who exhibited
relatively frequent posterior nasal turbulence with velar flutter that was
associated with pervasive and excessive loudness. Following 3 therapy sessions,
loudness was reduced and audible nasal turbulence was eliminated. Clinical
implications are discussed.
PMID- 29351032
TI - Speech and Language Performance of US-Born and Internationally Adopted Toddlers
With Cleft Lip and Palate.
PMID- 29351031
TI - Strengths and Difficulties Questionnaire (SDQ): Informant Agreement Between
Children Born With Cleft Lip and/or Palate and Their Parents.
AB - OBJECTIVE: Informant discrepancies on psychological measures may affect the
assessment, classification, and treatment of children's mental health.
Concordance between different informants has been shown to be low to moderately
correlated. The present study examined the agreement between children with cleft
lip and/or palate (CL/P) and their parents. DESIGN: Cross-sectional data from 7
consecutive birth cohorts based on routine psychological assessments at age 10
with comparisons to national reference groups. SETTING: Centralized and
multidisciplinary treatment setting. PARTICIPANTS: 323 children age 10, born with
CL/P and their parents (participation rate: 89%). OUTCOME MEASURES: Strengths and
Difficulties Questionnaire (SDQ). RESULTS: Children born with CL/P and their
parents tend to follow similar patterns of disagreement on the SDQ as reported in
the general population, with children reporting more symptoms than their parents,
and boys having more problems than girls on most subscales. Child and parent
reports were low to moderately correlated, and not affected by the child's gender
or the presence of conditions additional to the cleft. CONCLUSIONS: Differences
between informants on the SDQ reflect different perspectives of the child's
adjustment, and both informants are important in the assessment of mental health.
Children with CL/P and their parents do not significantly differ from children
and parents in the general population on their agreement of the child's
psychological adjustment. As with the general population, clinical assessments
should be based on a mixture of both informants' reports.
PMID- 29351033
TI - Closing the Loop on Centralization of Cleft Care in the United Kingdom.
AB - OBJECTIVE: We highlight a major study that investigated the impact of
reconfigured cleft care in the United Kingdom some 15 years after centralization.
We argue that centralization as an intervention has a major impact on outcomes.
SETTING: Audit clinics held in Cleft Centers in the United Kingdom. PATIENTS,
PARTICIPANTS: Five-year-olds born between April 1, 2005, and March 31, 2007, with
nonsyndromic unilateral cleft lip and palate. INTERVENTIONS: Centralization of
cleft care. MAIN OUTCOME MEASURE(S): We collected routine clinical measures
(speech recordings, hearing, photographs, models, oral health, psychosocial
factors) in a very similar way to a previous survey. RESULTS: We identified 359
eligible children and recruited 268 (74.7%) to the study. Overall, their outcomes
were better post-centralization. There have been marked improvements in
dentoalveolar arch relationships and in speech whereas the prevalence of dental
caries and hearing loss are unchanged. CONCLUSIONS: Centralized cleft care has
changed UK outcomes considerably and there is no argument for returning to a
dispersed model of treatment.
PMID- 29351034
TI - Postoperative Complications Following LeFort 1 Maxillary Advancement Surgery in
Cleft Palate Patients: A 5-Year Retrospective Study.
AB - OBJECTIVE: To investigate the postoperative complication rates of LeFort 1
maxillary advancement surgery in cleft patients when performed by a single
surgeon over a 5-year period. DESIGN: A retrospective case note review of 79
cleft palate patients. SETTING: All surgery was performed by a single oral and
maxillofacial surgeon in a tertiary care center. PARTICIPANTS: All cleft palate
patients over 17 years of age who opted for surgical correction of maxillary
hypoplasia with a LeFort 1 between 2010 and 2015. Patients required full surgical
and clinical records. INTERVENTIONS: Complete surgical advancement of the maxilla
ranging from 2.0 to 18.0 mm performed by conventional osteotomies (87%) or
distraction osteogenesis (13%). MAIN OUTCOME MEASURE(S): Postoperative patient-
and clinician-reported complications at set-interval follow-up appointments.
RESULTS: Twenty-one patients (26.58%) reported no complications; 11 postoperative
complications were identified in the remaining cohort. Temporary paresthesia of
the infraorbital nerve was the most common complication (53.16%) followed by
infection (13.92%). Other complications included relapse (11.39%), maxillary
instability (6.33%), velopharyngeal impairment (6.33%), nasal obstruction
(5.06%), chronic sinusitis (3.80%), bony dehiscence (1.27%), gingival necrosis
(1.27%), partial necrosis of the maxilla (1.27%), and loss of tooth vitality
(1.27%). CONCLUSIONS: LeFort 1 maxillary advancement surgery in cleft palate
patients is associated with a wide range of postoperative complications, most
commonly temporary paresthesia of the infraorbital nerve. Detailed, informed
consent is essential prior to surgery.
PMID- 29351035
TI - Conscious Perception of Facial Asymmetry in a Unilateral Cleft Lip Model.
AB - OBJECTIVE: Lip asymmetry after a unilateral cleft lip repair can be perceived as
an unsatisfactory result. The objective of this study is to determine the degree
of upper lip asymmetry and/or nasal alar hooding required for recognition of
asymmetry in a simulated model of unilateral cleft lip. DESIGN: A model of
unilateral cleft lip was created using digital morphing software to simulate
asymmetries in vermilion height and nasal hooding in photographs of children.
Volunteers were shown photographs for different time intervals and with varying
degrees of asymmetry. Ability to detect facial asymmetry was recorded and
analyzed. SETTING: This study was conducted by surveying layperson volunteers in
public community settings. PARTICIPANTS: 108 layperson volunteers were randomly
surveyed. MAIN OUTCOME MEASURES: The primary outcome measure was a reported lip
or nose asymmetry by the volunteers. Proportions and corresponding 95% confidence
intervals were obtained to estimate the probability of reporting an asymmetry at
3- and 10-second intervals. RESULTS: After 3- and 10-second exposure, labial
asymmetry was perceived by >=50% of subjects at 2 mm (62%, P = .001) and 1 mm
(89%, P < .0001), respectively. Nasal asymmetry was detected by <50% of subjects
at 3 seconds, but >=50% perceived a 3-mm alteration at 10 seconds (64%, P <
.0001). Photographs with combined nasal and labial modification did not lower the
threshold for asymmetry perception compared to either deformity alone.
CONCLUSIONS: This study is the first to determine a predictable millimeter
threshold for perceived asymmetry in cleft lip deformity using a digital model.
PMID- 29351036
TI - Crouzon with Acanthosis Nigricans and Odontogenic Tumors: A Rare Form of
Syndromic Craniosynostosis.
AB - Crouzon syndrome with acanthosis nigricans (CAN) is caused by a mutation in the
fibroblast growth factor receptor ( FGFR) 3 gene that presents clinically as
Crouzonoid craniofacial features in association with other anomalies such as
acanthosis nigricans and benign odontogenic tumors. Diagnosis through the use of
genetic mutational analysis is critical, as it alerts the surgeon to the need for
careful screening for jaw tumors so that timely treatment in the form of
curettage or segmental resection can be provided.
PMID- 29351037
TI - Lingual Pressure During Dingman-Assisted Cleft Palate Repair: An Investigatory
Case Series.
AB - BACKGROUND: A variety of palatoplasty techniques are used for cleft palate
repair, almost all involving a device called the Dingman-Grabb mouth gag
("Dingman") to push the tongue and cheeks out of the way of the operating field.
There have been numerous case reports of complications hypothesized to be due to
the gag, such as lingual edema and airway obstruction. The purpose of this study
is to introduce a technique for monitoring lingual pressure during Dingman
assisted palatoplasty and present preliminary data from a small series. METHODS:
Patients with a cleft palate who underwent palatoplasty with the assistance of a
Dingman-Grabb retractor at the Mount Sinai Hospital were eligible. Patients
underwent a palatoplasty while having their lingual pressure monitored using a 23
gauge needle inserted into the tongue and connected to a pressure monitor.
RESULTS: Three patients were included. Patients 1 and 2 experienced a rapid rise
in lingual pressure over the course of the first 45 minutes of the palatoplasty
before plateauing until the conclusion of the operation when the Dingman was
released. Patient 3 plateaued almost immediately by minute 1 and then had a rise
in lingual pressure during the latter half of the operation, reaching a peak
pressure immediately before the end of the operation. CONCLUSIONS: The present
study describes an easy method to monitor lingual pressure that succeeded in
measuring such changes throughout 3 palatoplasties and confirming the acute rise
in lingual pressure and the potential danger posed to the tongue and the airway
through the use of the Dingman.
PMID- 29351038
TI - Early Reading Abilities of Bilingual Children With Nonsyndromic Orofacial Clefts.
AB - OBJECTIVE: To investigate the early reading abilities, and related cognitive
linguistic processes, in bilingual children with nonsyndromic cleft lip and/or
palate (CL/P), and to identify deficits that might be amenable to intervention.
DESIGN AND PARTICIPANTS: Bilingual participants with CL/P aged 5 to 6 years who
were English-dominant ( n=17) or Mandarin-dominant ( n=18) were recruited using
consecutive sampling from a national cleft treatment center and matched pairwise
to a sample of typically developing (TD) children on language dominance, age, and
socioeconomic status. All participants were assessed in English on single-word
reading accuracy using the Wide Range Achievement Test (4th Ed), and key
cognitive-linguistic factors associated with reading development: phonological
awareness, rapid automatized naming (RAN), receptive and expressive vocabulary,
and verbal short-term and working memory. RESULTS: CL/P and TD groups were
compared within language dominance group (Mandarin or English) for all measures.
The Mandarin-dominant CL/P group had significantly poorer reading accuracy and
phonological awareness than their TD peers. Additionally, regardless of language
dominance, faster RAN correlated significantly with better reading accuracy in
both the CL/P groups but not the TD groups. CONCLUSIONS: Children with CL/P who
are learning English as a second language are at greater risk of reading
difficulties. Furthermore, the cognitive-linguistic processes underlying early
reading in bilingual children with CL/P differ from those of their TD peers.
Routine screening and tailored intervention is advisable.
PMID- 29351039
TI - Resorbable Plates in Secondary Cleft Nasal Reconstruction.
AB - OBJECTIVE: The authors report on the use and complications of alloplastic
resorbable plates and compare their use to autologous cartilage grafts in
secondary cleft nasal reconstruction. DESIGN: Institutional review board (IRB)
approved retrospective chart review. SETTING: Texas Cleft-Craniofacial Center at
the McGovern Medical School at the University of Texas Health Sciences Center at
Houston. PATIENTS: Patients with unilateral or bilateral cleft lip nasal
deformity who have undergone secondary correction of their nasal deformity with
at least 1-year follow-up. INTERVENTIONS: During their reconstruction, some
patients had cartilage grafts used for support, whereas others were reconstructed
using resorbable plates. MAIN OUTCOME MEASURE(S): Complications (exposure,
infection, malposition, hematoma/seroma) and rates of tertiary revisions.
RESULTS: 197 patients underwent secondary cleft nasal reconstruction, with 30
patients in the resorbable plate group and 32 in the cartilage graft group. Age
at surgery was 8.5+/-4.1 years with resorbable plates and 11.0+/-4.8 years with
cartilage graft ( P = .03). Infection rate in the resorbable plate group and
cartilage graft group were 0% and 3.25% ( P = 1). Extrusion occurred in 3 of the
absorbable plate group and 2 patients with cartilage graft ( P = .67). Additional
surgery was recorded in 43.3% of the resorbable plate group versus 53.1% of the
cartilage graft group ( P = .459). CONCLUSION: The data provide evidence that the
use of alloplastic resorbable plate in the pediatric population is a safe
alternative to autologous septal cartilage in secondary cleft nasal
reconstruction. There is no statistical difference in short-term complications or
the incidence of additional nasal surgery.
PMID- 29351040
TI - Respiratory Polysomnographic Findings in Patients Treated Primarily for
Unilateral Cleft Lip and Palate.
AB - Cleft lip and palate (CLP) is the most common congenital craniofacial
abnormality. Obstructive sleep apnea syndrome (OSAS) is a highly prevalent but
underdiagnosed disease and is frequently associated with craniofacial anomalies.
There are few studies describing the sleep breathing pattern of children with
CLP. This study sought to characterize the respiratory profile of 23 children
with unilateral cleft lip and palate, aged 7-12 years, who had undergone cleft
lip and nasal repair at age 3-4 months and palatoplasty at 12-15 months, with a
particular focus on evaluating the presence of OSAS in children with CLP.
Polysomnography was performed and findings were analyzed descriptively. We found
a mean and median for apnea/hypopnea index (AHI) of 1.11/h (SD = 0.78) and 0.9/h,
respectively. The mean obstructive apnea index (OAI) was 0.27/h (SD = 0.38) and
the median, 0.1/h. Nearly 30% of patients had an AHI above 1.4 events/h. There
was no significant oxyhemoglobin desaturation in the study group. In this group,
the prevalence of OSAS was higher than in noncleft populations when compared to
the normality values adopted. This sample of patients with unilateral cleft lip
and palate exhibited an increased prevalence of OSAS during the mixed dentition
stage. Although the results showed that OSAS was mild, we advise closer
observation of these patients. Polysomnography is recommended for the assessment
of children with airway abnormalities, to individualize the extent of treatment.
PMID- 29351041
TI - A New Technique in Alveolar Cleft Bone Grafting for Dental Implant Placement in
Patients With Cleft Lip and Palate.
AB - OBJECTIVE: To evaluate 2 iliac corticocancellous-block grafting techniques for
dental implant placement in residual alveolar clefts. DESIGN: Nonrandomized
prospective clinical trial between March 2010 and December 2014. SETTING:
National Hospital of Odonto-Stomatology, Hanoi, Vietnam. PARTICIPANTS: Thirty-two
patients (23 female, 9 male; mean age, 21.28 years; range, 16-31 years) with
unilateral complete alveolar cleft after reconstructive surgery for cleft lip and
palate (CLP). INTERVENTIONS: Harvested iliac crest bone was cut into 2
corticocancellous blocks. The smaller block was adapted against the sutured nasal
mucoperiosteum and overlaid with cancellous bone; the larger one overlapped the
labial cleft margin and was fixed with screws. Endosteal dental implants were
placed after 4 to 6 months, and final restorations were delivered 6 months later.
MAIN OUTCOME MEASURES: Flap statuses were assessed clinically. Bone formation was
assessed using the Enemark scale. Cone-beam computed tomography was used for
graft height and width measurements. Implant health was assessed by the Misch
criteria. RESULTS: The mean postgrafting follow-up period was 36.7 +/- 10.4
(range, 18-53) months. Three patients (9.4%) showed flap dehiscence but no
infection 7 days after bone grafting. Twenty-nine patients (90.6%) had 75% to
100% bone fill (Enemark score of 1). The mean graft height and width were 11.4 +/
2.4 and 6.1 +/- 1.0 mm, respectively. Sufficient bone for implant placement was
noted in 29 patients (90.6%); the others required partially fixed prostheses. All
implants functioned for at least 18 months. CONCLUSION: The proposed technique is
reliable to reconstruct the alveolar cleft for implant placement in CLP patients.
PMID- 29351042
TI - Secondary Alveolar Bone Grafting in Patients Born With Unilateral Cleft Lip and
Palate: A 20-Year Follow-up.
AB - OBJECTIVE: To identify factors of oral health important for the final outcome,
after secondary alveolar bone grafting in patients born with unilateral cleft lip
and palate and compare occlusal radiographs with cone beam computed tomography
(CBCT) in assessment of alveolar bone height. DESIGN: Observational follow-up
study. SETTING: Cleft Lip and Palate Team, Craniofacial Center, Uppsala
University Hospital, Sweden. PATIENTS: 40 nonsyndromic, Caucasian patients with
unilateral complete cleft lip and palate. INTERVENTIONS: Clinical examination,
CBCT, and occlusal radiographs. MAIN OUTCOME MEASUREMENTS: Alveolar bone height
was evaluated according to Bergland index at a 20-year follow-up. RESULTS: The
alveolar bone height in the cleft area was significantly reduced compared to a
previously reported 10-year follow-up in the same cohort by total ( P = .045) and
by subgroup with dental restoration ( P = .0078). This was positively correlated
with the gingival bleeding index (GBI) ( r = 0.51, P = .0008) and presence of
dental restorations in the cleft area ( r = 0.45, P = .0170). There was no
difference in the Bergland index generated from scoring the alveolar bone height
on occlusal radiographs as with the equivalent index on CBCT. CONCLUSION:
Patients rehabilitated with complex dental restoration seems to be at higher risk
for progression of bone loss in the cleft area. Supportive periodontal therapy
should be implemented after complex dental restorations in cleft patients.
Conventional occlusal radiographs provide an adequate image for evaluating
postoperative bone height in clinical follow-up.
PMID- 29351043
TI - Congenital Midline Upper Lip Sinuses: 3 Rare Cases.
AB - Congenital sinuses of the upper lip are rare congenital malformations. There have
been only 40 cases described in the literature. We report 3 cases of congenital
midline upper lip sinus in Caucasian children. Two of those lesions were
associated with other anomalies (complete cleft palate and hemifacial macrosomia
or submucous cleft palate with bifid uvula). The pathophysiology remains
unexplained yet. Congenital upper lip sinuses can be considered as possible
microforms of cleft-lips. Associated anomalies are frequent and must be sought.
The treatment is a full excision of the sinus tract and of the skin around the
punctum in order to avoid risks of recurrence.
PMID- 29351044
TI - Comparison of Reliability of Categorical and Continuous Scales for Radiographic
Assessments of Bone Infill Following Secondary Alveolar Bone Grafting.
AB - OBJECTIVES: To investigate examiner reliability of scoring intraoral radiographs
of bone infill following secondary bone grafting using a categorical scale
(modified Kindelan Index) and a 10-cm visual analog scale (VAS). To investigate
the level of clinical experience on the reliability of these assessments. DESIGN:
Retrospective study involving 10 clinicians of varying clinical experience who
were blind to the patient's identity. SETTING: All grafting was carried out
within the New Zealand public hospital service. PATIENTS: Fifty-eight grafts (48
UCLP and 5 BCLP) were assessed with 14 duplicated radiographs randomly added to
the sample, making a total of 72 radiographs for assessment. MAIN OUTCOME
MEASURES: Weighted kappa was used for intra- and interrater examiner reliability
for the categorical scale and correlations for the VAS. RESULTS: Relatively poor
intrarater examiner agreement for categorical scoring (median 0.46) was found.
Clinicians with greater experience generally recording higher intrarater weighted
kappa. The intrarater correlations for the continuous scale (median 0.89) suggest
better consistency regardless of the level of experience. The interrater
relationships were generally low, with an average of weighted kappa of 0.25 while
the overall average VAS correlation was 0.49. For the majority of scorers, there
was a relationship between the VAS and the Kindelan Index, with lower VAS scores
related to worse Kindelan scores. CONCLUSIONS: The use of a VAS produced better
intra- and interexaminer reliability than the categorical Kindelan Index and was
more consistent despite the level of clinical experience. Further evaluation of
the validity of the VAS for the assessment of bone infill is warranted.
PMID- 29351045
TI - Gender Views and Relationships in Families of Children With Craniofacial
Differences.
AB - OBJECTIVE: Gender values and beliefs are known to influence family functioning.
The aim of the current study was to describe the association between views about
gender and family functioning within families with a child with a craniofacial
difference (CFD). DESIGN: Participants included 74 dyads composed of children (8
18 years old) with CFD (n = 36 female), including cleft lip/palate, and a parent
(n = 56 female). Children and caregivers both completed the activities subscale
of the Occupations, Activities, and Traits-Attitudes Measure (C/OAT-AM). Children
completed selected items from the Parent Perception Inventory (PPI). Caregivers
completed the nurturance subscale of the Parenting Dimensions Inventory-Short
Form (PDI-S) and the Parenting Stress Scale (PSS). SETTING: Participants were
recruited from an outpatient program at an academic medical center. RESULTS:
There were no significant relationships among the participant demographics and
the study variables, with the exception that more complex CFD diagnoses were
correlated with increased parenting stress. General linear modeling showed that
parents with more flexible gender attitudes reported more nurturing parenting
behaviors. There was also a positive association between parental flexibility in
gender views and child-reported parent-child relationship quality. An interaction
showed that the relationship between parental flexibility in gender views and
child-reported relationship quality was stronger for females and nonsignificant
for males. CONCLUSIONS: Caregivers with more flexible gender attitudes perceived
themselves as more nurturing and were seen more positively by their daughters
with a CFD. This pattern may inform parenting interventions for CFD populations.
PMID- 29351046
TI - Young Adults' Experience of Appearance-Altering Orthognathic Surgery: A
Longitudinal Interpretative Phenomenologic Analysis.
AB - OBJECTIVE: To gain an experiential account of the processes of change associated
specifically with orthognathic surgery. DESIGN: A qualitative design was used.
Semistructured interviews were carried out with 7 participants approximately 1
week before and 6-8 weeks after surgery. The data were analyzed using
interpretative phenomenologic analysis (IPA). SETTING: Participants were
recruited from a NHS Dental Hospital. PARTICIPANTS: Patients aged 16 to 25 years
scheduled to undergo orthognathic surgery on both the upper and lower jaws were
purposively sought to participate. Seven participants aged between 18 and 25
years and who had undergone a bimaxillary osteotomy completed interviews (5
females and 2 males). RESULTS: Themes were identified in connection with the
overall journey of treatment being a rite of passage; the treatment's role in
raising awareness about the anomalies in appearance; the initial shock at the
changes that followed surgery; the uncertainty about treatment; the impact of
actual negative reactions of others; and the role of significant others in the
decision-making process. CONCLUSIONS: Participants described undergoing a much
more complex process of adjustment to change in appearance than has been
identified elsewhere within the literature, and the study highlights the nuanced
fashion in which both medical and parental communication influence patient
expectation and experience of surgery. There is a need to improve communication
between clinicians, families, and young adults seeking orthognathic surgery.
Further studies are needed to investigate the processes associated with seeking
to change facial appearance resulting from other forms of dentofacial condition.
PMID- 29351047
TI - Otologic Disease Following Palatoplasty In International Cleft Palate Cohort.
AB - OBJECTIVE: Study the prevalence of otologic disease in a pediatric post
palatoplasty population with no prior ear tube placement in resource-deprived
countries and assess patient characteristics associated with these abnormal
results. DESIGN: Retrospective data review. PARTICIPANTS: Ecuadorian and Chinese
children identified during humanitarian cleft lip and palate repair trips with
cleft palates undergoing palatoplasty from 2007 to 2010. INTERVENTIONS:
Tympanometry and otoacoustic emission (OAE) testing performed following
palatoplasty. Patients' parents administered surveys regarding perceived hearing
deficits. MAIN OUTCOME MEASURES: Age, gender, Veau classification, follow-up
time, laterality, and country of origin were evaluated for possible association
with type B tympanogram, "Refer" Otoacoustic results, and presence of hearing
difficulty as identified by a parent. Significant predictors were further
evaluated with multivariate analysis. RESULTS: The cohorts included 237 patients
(129 Ecuadorian, 108 Chinese); mean age: 3.9 years; mean follow-up: 4.2 years.
Thirty-nine percent scored type B, 38% failed OAE testing, and 8% of parents
noted hearing deficits. The country of origin and a younger age were identified
as predictive variables regarding type B tympanogram. Follow-up time, country of
origin, and bilateral OAE "Refer" results all significantly predicted parental
questionnaire results. Subsequent multivariable analysis further demonstrated
effect modification between the 2 variables of age at palatoplasty and country of
origin when predicting type B vs type A tympanometry. CONCLUSION: Without
otologic intervention, cleft palate children in resource-deprived settings suffer
type B tympanometry and failed OAE results with similar to increased incidences
to other studied cleft palate populations with otologic interventions available.
PMID- 29351048
TI - Academic-Community Partnership Educating Children About Weather-Related Disaster
Preparedness.
AB - Children are a population that has an increased risk of harm during any disaster
events. This risk can be decreased by educating children in disaster preparedness
skills. This article outlines a basic modifiable curriculum for teaching weather
related disaster preparedness to children ages 10-13, and shares how this was
accomplished at an elementary school in North Alabama through a collaboration
between the school and a local college of nursing.
PMID- 29351049
TI - School Nursing EBP Clinical Guidelines: What They Are and Are Not, and Why They
Matter.
AB - Quality school nursing practice should be evidence-based. However registered
nurses in autonomous school nursing practice have limited capacities to access,
synthesize, and implement the best evidence into practice. Clinical guidelines
are important tools to reduce barriers to evidence-based practice (EBP) and
support the delivery of high-quality school nursing care. The purpose of this
article is to outline what EBP clinical guidelines are, are not, and why they
matter.
PMID- 29351050
TI - Hidden Medical Devices in the School Setting: What the School Nurse Needs to Know
About the Safe Use of Baclofen Pumps.
AB - One of the "hidden" medical devices in the school setting is the baclofen pump,
which is used for the treatment of spasticity. The goals of spasticity treatment
are to decrease muscle tone, deformity, and pain in order to maximize function
and ease of care for both child and caregiver. The use of an intrathecal baclofen
pump, often for children with cerebral palsy, spinal cord injury, brain injury,
or stroke, has been effective in spasticity treatment. It is important for school
nurses to be aware of the safety implications associated with this type of
device. The Specialized Health Needs Interagency Collaboration (SHNIC) program at
the Kennedy Krieger Institute has complied education and materials that explain
the use of baclofen pumps in children with spasticity and the role of the school
nurse in providing staff training, developing emergency care plans, and creating
a safe school environment for children with special health needs.
PMID- 29351051
TI - Voluntary ambulation using voluntary upper limb muscle activity and Hybrid
Assistive Limb(r) (HAL(r)) in a patient with complete paraplegia due to chronic
spinal cord injury: A case report.
AB - CONTEXT: We sought to describe our experience with the Hybrid Assistive Limb(r)
(HAL(r)) for active knee extension and voluntary ambulation with remaining muscle
activity in a patient with complete paraplegia after spinal cord injury.
FINDINGS: A 30-year-old man with complete paraplegia used the HAL(r) for 1 month
(10 sessions) using his remaining muscle activity, including hip flexor and upper
limb activity. Electromyography was used to evaluate muscle activity of the
gluteus maximus, tensor fascia lata, quadriceps femoris, and hamstring muscles in
synchronization with the Vicon motion capture system. A HAL(r) session included a
knee extension session with the hip flexor and voluntary gait with upper limb
activity. After using the HAL(r) for one month, the patient's manual muscle hip
flexor scores improved from 1/5 to 2/5 for the right and from 2/5 to 3/5 for the
left knee, and from 0/5 to 1/5 for the extension of both knees.
CONCLUSION/CLINICAL RELEVANCE: Knee extension sessions with HAL(r), and hip
flexor and upper-limb-triggered HAL(r) ambulation seem a safe and feasible option
in a patient with complete paraplegia due to spinal cord injury.
PMID- 29351052
TI - Particulate Coral Hydroxyapatite Sheltered by Titanium Mesh for Localized
Alveolar Rehabilitation After Onlay Graft Failure: A Case Report.
AB - Reconstruction of bone loss in the alveolar ridge has long been challenging.
Autologous bone grafts are considered as the "golden standard," while little
research has focused on how to repair pronounced alveolar bone defects after
autologous bone graft failure. The aim of this study was to detail a method based
on the titanium mesh technique coupled with particulate coral hydroxyapatite to
solve the onlay graft failure. With bone deficiency in the No. 11 and No. 24-25
regions, we harvested 2 autologous bone blocks for reconstruction. Two weeks
after transplantation, the graft in the No. 11 region had healed uneventfully,
while the graft in the anterior mandible became infected because of soft tissue
dehiscence. After removal of the failed autologous bone block, pure coral
hydroxyapatite stabilized within titanium mesh was used for alveolar
rehabilitation. Six months later, the width of the local alveolar bone was
evaluated. After the titanium mesh was removed, a biopsy was performed to study
bone regeneration by micro computerized tomography and histology, following by a
standard Straumann implant insertion. Although there was wound dehiscence 14 days
after bone augmentation, repeated local rinsing and anti-inflammation therapy
controlled the inflammatory reaction. The total horizontal bone gain was 4.2 +/-
0.5 mm. Micro computerized tomography revealed that the closer the coral
hydroxyapatite was to the host bone, the more was resorbed and the more bone
regenerated. Histology showed mature lamellar bone structures, with evident
residual coral hydroxyapatite. A 3-year follow-up revealed stable bone around the
dental implant and successful function of the implant-born prosthesis. This study
proposes that the method of particulate coral hydroxyapatite sheltered by
titanium mesh is a promising solution in handling alveolar bone augmentation
failure. More cases are needed for further research to form an efficient
treatment procedure.
PMID- 29351053
TI - Patient Variability Seldom Assessed in Cost-effectiveness Studies.
AB - BACKGROUND: Cost-effectiveness analysis (CEA) estimates can vary substantially
across patient subgroups when patient characteristics influence preferences,
outcome risks, treatment effectiveness, life expectancy, or associated costs.
However, no systematic review has reported the frequency of subgroup analysis in
CEA, what type of heterogeneity they address, and how often heterogeneity
influences whether cost-effectiveness ratios exceed or fall below conventional
thresholds. METHODS: We reviewed the CEA literature cataloged in the Tufts
Medical Center CEA Registry, a repository describing cost-utility analyses
published through 2016. After randomly selecting 200 of 642 articles published in
2014, we ascertained whether each study reported subgroup results and collected
data on the defining characteristics of these subgroups. We identified whether
any of the CEA subgroup results crossed conventional cost-effectiveness
benchmarks (e.g., $100,000 per QALY) and compared characteristics of studies with
and without subgroup-specific findings. RESULTS: Thirty-eight studies (19%)
reported patient subgroup results. Articles reporting subgroup analyses were more
likely to be US-based, government funded (v. drug industry- or nonprofit
foundation-funded) studies, with a focus on primary or secondary (v. tertiary)
prevention (P < 0.05 for comparisons). One or more patient characteristics were
used to stratify CEA results 68 times within the 38 studies, with most
stratifications using one characteristic (n = 47), most commonly age (n = 35).
Among the 23 stratifications reported alongside average ratios in US studies, 13
produced subgroup ratios that crossed a conventional CEA ratio benchmark.
CONCLUSIONS: Most CEAs do not report any subgroup results, and those that do most
often stratify only by patient age. Over half of the subgroup analyses reported
could lead to different value-based decision making for at least some patients.
PMID- 29351054
TI - Xyloglucans fucosylation defects do not alter plant boundary domain definition.
AB - The CUP-SHAPED COTYLEDON (CUC) transcription factors play a fundamental role in
plant morphogenesis by defining boundary domains throughout plant development.
Despite their central roles in plant development, little is known about the CUC
molecular network. In a recent work, we identified a role for MUR1, a protein
involved in the production of GDP-L-Fucose, in this network and showed that
fucose per se is required for proper boundary definition in various developmental
contexts. Which pathway involving fucose is required to determine boundary is not
yet known. Here, we use a previously described mutant and transgenic line with
reduced fucosylated xyloglucans (XyG) to explore one such pathway. By
quantitatively comparing leaf shape, we show that defects in XyG fucosylation do
not impact leaf serrations development suggesting that fucose absence in XyG does
not impact boundary development in mur1-1 mutant. Thus another - not yet
identified - pathway or fucosylated compound contribute to boundary domain
definition.
PMID- 29351055
TI - Are pre-terms born timely and right immunized? Results of an Italian cohort
study.
AB - The aim of this study is to evaluate the vaccination coverage at 24 months of
chronological age in a sample of preterm infants discharged by the Neonatal
Intensive Care Unit (NICU) of the Bari Policlinico University General Hospital in
Italy. The list of infants preterm born discharged during 2013 by the NICU was
obtained by hospital database. Vaccination status of each subject at 24 months of
chronological age was acquired by the Apulian Regional Vaccination Register
(GIAVA). 159 preterm borns were enrolled in this study. 98.1% received the 1st
dose of hexavalent vaccine and 98.7% the 1st dose of pneumococcal conjugate
vaccine. The 8.8% of hexavalent vaccinations were performed during
hospitalization. The percentage of immunized subjects decreased to 91.2% and
87.3% for the 2nd and 3rd dose of hexavalent vaccine and to 90.6% and 86.1% for
the 2nd and 3rd dose of pneumococcal conjugate vaccine. Coverage for MMR, MEN C
and Varicella vaccines were, respectively 76.4%, 86.0% and 80.9%. Pre-terms
received the vaccinations later than the age recommended by public health
guidelines. Age at the immunization, for all vaccines, seems to increase for
lower gestational age and birth weight and for higher length of hospitalization.
This study shows a high risk of vaccine delay among pre-terms born. There is a
strong need to improve specific vaccination strategies for this group.
Neonatologists might play a key role in informing parents about the vaccination
schedule at the moment of NICU discharge and during follow-up, also preparing
correct time schedule.
PMID- 29351056
TI - Remediation of Radiation-Induced Cognitive Dysfunction through Oral
Administration of the Neuroprotective Compound NSI-189.
AB - Clinical management of primary and secondary central nervous system (CNS)
malignancies frequently includes radiotherapy to forestall tumor growth and
recurrence after surgical resection. While cranial radiotherapy remains
beneficial, adult and pediatric brain tumor survivors suffer from a wide range of
debilitating and progressive cognitive deficits. Although this has been
recognized as a significant problem for decades, there remains no clinical
recourse for the unintended neurocognitive sequelae associated with these types
of cancer treatments. In previous work, multiple mechanisms have been identified
that contribute to radiation-induced cognitive dysfunction, including the
inhibition of neurogenesis caused by the depletion of radiosensitive populations
of stem and progenitor cells in the hippocampus. To explore the potential
neuroprotective properties of a pro-neurogenic compound NSI-189, Long-Evans rats
were subjected to a clinically relevant fractionated irradiation protocol
followed by four weeks of NSI-189 administered daily by oral gavage. Animals were
then subjected to five different behavioral tasks followed by an analysis of
neurogenesis, hippocampal volume and neuroinflammation. Irradiated cohorts
manifested significant behavioral decrements on all four spontaneous exploration
tasks. Importantly, NSI-189 treatment resulted in significantly improved
performance in four of these tasks: novel place recognition, novel object
recognition, object in place and temporal order. In addition, there was a trend
of improved performance in the contextual phase of the fear conditioning task.
Importantly, enhanced cognition in the NSI-189-treated cohort was found to
persist one month after the cessation of drug treatment. These neurocognitive
benefits of NSI-189 coincided with a significant increase in neurogenesis and a
significant decrease in the numbers of activated microglia compared to the
irradiated cohort that was given vehicle alone. The foregoing changes were not
accompanied by major changes in hippocampal volume. These data demonstrate that
oral administration of a pro-neurogenic compound exhibiting anti-inflammatory
indications could impart long-term neurocognitive benefits in the irradiated
brain.
PMID- 29351057
TI - Global Gene Expression Response in Mouse Models of DNA Repair Deficiency after
Gamma Irradiation.
AB - In the event of an improvised nuclear device or "dirty bomb" in a highly
populated area, potentially hundreds of thousands of people will require
screening to ensure that exposed individuals receive appropriate treatment. For
this reason, there is a need to develop tools for high-throughput radiation
biodosimetry. Gene expression represents an emerging approach to biodosimetry and
could potentially provide an estimate of both absorbed dose and individual
radiation-induced injury. Since approximately 2-4% of humans are thought to be
radiosensitive, and would suffer greater radiological injury at a given dose than
members of the general population, it is of interest to explore the potential
impact of such sensitivity on the biodosimetric gene expression signatures being
developed. In this study, we used wild-type mice and genetically engineered mouse
models deficient in two DNA repair pathways that can contribute to radiation
sensitivity to estimate the maximum effect of differences in radiosensitivity. We
compared gene expression in response to a roughly equitoxic (LD50/30) dose of
gamma rays in wild-type C57BL/6 (8 Gy) and DNA double-strand break repair
deficient Atm-/- (4 Gy) and Prkdcscid (3 Gy) mutants of C57BL/6. Overall, 780
genes were significantly differentially expressed in wild-type mice one day
postirradiation, 232 in Atm-/- and 269 in Prkdcscid. Upstream regulators
including TP53 and NFkappaB were predicted to be activated by radiation exposure
in the wild-type mice, but not in either of the DNA repair-deficient mutant
strains. There was also a significant muting of the apparent inflammatory
response triggered by radiation in both mutant strains. These differences
impacted the ability of gene expression signatures developed in wild-type mice to
detect potentially fatal radiation exposure in the DNA repair-deficient mice,
with the greatest impact on Atm-/- mice. However, the inclusion of mutant mice in
gene selection vastly improved performance of the classifiers.
PMID- 29351059
TI - Nematode Thelazia callipaeda is spreading across Europe. The first survey of red
foxes from Slovakia.
AB - Canine thalaziosis caused by the spirurid nematode Thelazia callipaeda has
started to spread across Western and Central Europe during the last decade. In
Slovakia, the first autochthonous cases of this infection were recorded in 2016
in four dogs from the south-eastern part of the country. In August 2017 other
autochthonous case, again from the same locality, was reported. Seeing that red
foxes are considered the main reservoir hosts for T. callipaeda, their
examination could provide an integrated view of the real parasite distribution.
Within the present study, 523 red foxes were examined by necropsy, and T.
callipaeda nematodes were recovered from the conjunctival sacs of 7 animals,
which represents an overall positivity of 1.3%. Six infected foxes originated in
eastern Slovakia, which is consistent with the area where positive dogs were
recently found. Also, single positive red fox was found in north-western
Slovakia. Regarding morphology and morphometry, the specimens recovered from the
foxes in this study were evaluated as larger in size when compared to nematodes
isolated from Slovak dogs as well as dogs and foxes from other studies. BLAST
analyses of the cox1 gene showed that all specimens isolated from Slovak red
foxes were identified as haplotype 1 which circulated also in other European
countries. Considering that majority of the infected animals, dogs and foxes,
came from Kosice region, we can presume that this area might become endemic in a
short period of time.
PMID- 29351060
TI - Nematode parasites of Duttaphrynus stomaticus (Lutken, 1864) (Amphibia: Anura)
with description of a new species of Rhabdias Stiles and Hassall, 1905 (Nematoda:
Rhabdiasidae) from Dehradun (Uttarakhand), India.
AB - Rhabdias stomatica sp. nov. from the lungs of Duttaphrynus stomaticus (Lutken,
1864) from Dehradun, Uttarakhand, India is described and illustrated. Rhabdias
stomatica sp. nov. is the 16th species described from the Oriental
biogeographical region and the 8th species from India. The new species is
differentiated from the closely related Oriental species in having 4 weakly
developed lips, a trapezoidal shaped buccal cavity, different position of nerve
ring and in the esophagus/body length ratio. In addition, to the new species
found in the lungs, mature specimens of Aplectana macintoshii (Stewart, 1914)
Travassos, 1931 and larvae representing two unidentified species of nematode were
found in the large intestine of the D. stomaticus.
PMID- 29351058
TI - Development and Characterization of an In Vitro Model for Radiation-Induced
Fibrosis.
AB - Radiation-induced fibrosis (RIF) is a major side effect of radiotherapy in cancer
patients with no effective therapeutic options. RIF involves excess deposition
and aberrant remodeling of the extracellular matrix (ECM) leading to stiffness in
tissues and organ failure. Development of preclinical models of RIF is crucial to
elucidate the molecular mechanisms regulating fibrosis and to develop therapeutic
approaches. In addition to radiation, the main molecular perpetrators of fibrotic
reactions are cytokines, including transforming growth factor-beta (TGF-beta). We
hypothesized that human oral fibroblasts would develop an in vitro fibrotic
reaction in response to radiation and TGF-beta. We demonstrate here that
fibroblasts exposed to radiation followed by TGF-beta exhibit a fibrotic
phenotype with increased collagen deposition, cell proliferation, migration and
invasion. In this in vitro model of RIF (RIFiv), the early biological processes
involved in fibrosis are demonstrated, along with increased levels of several
molecules including collagen 1alpha1, collagen XIalpha1, integrin-alpha2 and
cyclin D1 mRNA in irradiated cells. A clinically relevant antifibrotic agent,
pentoxifylline, and a curcumin analogue both mitigated collagen deposition in
irradiated fibroblast cultures. In summary, we have established an in vitro model
for RIF that facilitates the elucidation of molecular mechanisms in radiation
induced fibrosis and the development of effective therapeutic approaches.
PMID- 29351061
TI - The first case of Leporacarus gibbus infestation in a rabbit from Poland.
AB - This case report presents asymptomatic infestation with Leporacarus gibbus
(formerly Listrophorus gibbus) in a pet rabbit. This is the first report of L.
gibbus infestation in a pet rabbit from Poland. Previously, infestation in Poland
had been detected only in hares.
PMID- 29351062
TI - Phylogeny and cocoon production in the parasitic leech Myzobdella lugubris Leidy,
1851 (Hirudinidae, Piscicolidae).
AB - Myzobdella lugubris is a commensal leech on crustaceans and a parasite to fishes,
surviving predominantly in brackish waters throughout North America. Specimens in
this study were collected within the tidal zone of the Delaware River basin (New
Jersey and Pennsylvania). To compare regional M. lugubris specimens, defined
characters were scored after dorsal and ventral dissections, and phylogenetic
relationships were resolved using cytochrome c oxidase subunit 1 (CO1), 12S
ribosomal RNA (rDNA) and 18S rDNA gene fragments. Variance between regional
populations was low, suggesting recent dispersal events and/or strong
evolutionary constraints. The reproductive biology of M. lugubris was explored by
quantitative analysis of secreted cocoons. Specimens produced 32.67 +/- 4.50
cocoons with fertilization ratios of 88.1% and hatching times of 48 +/- 7 days at
17 degrees C under laboratory conditions. At 22 degrees C, 46 +/- 28 cocoons were
produced with fertilization ratios of 70.27% and hatching times of 28 +/- 5 days.
Surprisingly, each cocoon supported only one embryo, which is unusual among
oligochaetes.
PMID- 29351063
TI - Morphological and molecular characterization of Seuratascaris numidica (Seurat,
1917) (Ascaridida: Ascarididae).
AB - Seuratascaris numidica (Seurat, 1917) is a specialized nematode species
parasitizing amphibians only. In the present study, the detailed morphology of
this poorly known species was studied using light and scanning electron
microscopy based on the newly material collected from Hoplobatrachus chinensis
(Osbeck) (Amphibia: Anura) in China. We found that the relative length of
intestinal caecum in our male specimens (representing 68.4-71.1% of oesophageal
length) is slighter longer than the previously reported data (not over 60.0% of
oesophageal length). Our SEM observations also revealed the presence of ca. 64-76
small conical denticles on each lip. In addition, Angusticaecum wuyiensis Wang,
1981, collected from Rana schmackeri Boettger (Amphibia: Anura) from Wuyi
Mountain in Fujian Province, China was considered as a new synonym of S.
numidica. The ITS and cox1 sequences of S. numidica were also sequenced for the
first time and there is no nucleotide variability detected in both regions. The
present supplementary morphological and molecular data (especially the ITS and
cox1 sequences) obtained herein is extremely important and useful to determine
the morphological variability, population genetics and phylogenetic position of
S. numidica in the future.
PMID- 29351064
TI - Molecular target analysis of stearoyl-CoA desaturase genes of protozoan
parasites.
AB - Protozoan parasites can synthesize polyunsaturated fatty acids. They possess
stearoyl-CoA desaturase to convert stearate into oleate and linoleate. Stearoyl
CoA desaturase are the key enzymes required for the synthesis of unsaturated
fatty acids. It seems attractive to evaluate the possibility of using unsaturated
fatty acid biosynthesis pathways as drug targets. In this study, the authors
investigate codon usage bias, base composition variations and protein sequence in
ten available complete stearoyl-CoA desaturase gene sequences from Toxoplasma
gondii, Neospora caninum etc. The results show that fatty acid desaturase genes
GC content high of parasitic protozoa genes, GC content up to 63.37%, while fatty
acid desaturase genes of parasitic protozoa prefers to use codon ending with G/C.
In addition, the expected curve was also drawn to reveal the relationship of ENC
and GC3s when the codon usage was only subjected to the nucleotide composition
constraint. The genes lied on the expected curve in ENC-plot, indicating
nucleotide composition constraint played a role in the condon usage pattern.
Protein analysis, we find that all proteins are stearoyl-CoA desaturase, have
sites of iron-binding active centers and contain three conserved His-rich motifs.
If stearoyl-CoA desaturase is unusual to these parasites, it provides basis as a
promising target for the development of selective chemical intervention.
Therefore, the Bioinformatics analysis of protein and codon can help improve the
work of genetic engineering and drug screening.
PMID- 29351065
TI - Measurement of binding strength between prey proteins interacting with Toxoplasma
gondii SAG1 and SAG2 using isothermal titration calorimetry (ITC).
AB - Following the outcome from a previously performed yeast two-hybrid experiment,
the binding strength between T. gondii SAG1 and SAG2 and their respective prey
proteins were further confirmed in this study. The sag1, sag2 and their prey
genes were amplified and cloned into a pGEMT vector. To express the recombinant
proteins, the fragments were then subcloned into a pRSETA vector and transformed
into E. coli BL21 (DE3) cells. The recombinant proteins were expressed optimally
at 37 degrees C and 1mM of IPTG. The 6X His-tag fusion proteins were purified,
dialyzed and concentrated. To confirm the expressed proteins, the recombinant
proteins were analysed by SDS-PAGE and Western blot. As expected, the size of
SAG1, SAG2, HLY and HZF protein were 32, 23, 28 and 37 kDa, respectively. The
purified proteins were loaded onto a MicroCal Auto-iTC200 calorimeter from
MicroCalTM to quantify binding strength. ITC results indicated there was a
typical binding curve for interactions between SAG1 and HLY protein. However,
there was an atypical binding curve obtained for interactions between SAG2 and
HZF protein. By observing the data obtained from the ITC assay, both of the human
proteins (HLY and HZF) were demonstrated to bind to their respective SAG1 and
SAG2 proteins.
PMID- 29351066
TI - Contamination of swimming pools and hot tubs biofilms with Acanthamoeba.
AB - The members of Acanthamoeba genus are ubiquitous amoeba which could be a
pathogenic parasite. The amoeba is resistant to the common chlorine concentration
that used for disinfecting the swimming pool water. Therefore, the pools can be
suitable environments for the survival and multiplication of the amoeba. In this
cross sectional study, 10 indoor recreational water centers from different
regions of Tabriz city were selected and sampling was done from fixed and
floating biofilms of the swimming pools and hot tubs. The samples were cultured
and monitored for the presence of amoeba cyst or trophozoite. For molecular
identification of Acanthamoeba, PCR (polymerase chain reaction) and sequencing
were conducted based on genus specific fragment of 18S ribosomal DNA (Rns).
Acanthamoeba contamination was observed in 6 centers of 10 recreational centers.
Based on the amoeba isolation from fixed and floating biofilms, 2 (20%) swimming
pools, and 5 (50%) hot tubs were contaminated. Based on the type of the sample,
the highest contamination was found in the hot tub water (40%) and the least was
found in the swimming pools water (10%) and fixed biofilms of the swimming pools
(10%). Out of 8 isolates, 5 (62.5%) were shown expected product in PCR
amplification. Sequence analysis showed that Acanthamoeba isolates belonged to
the T3 and T4 genotypes. The study revealed a high degree of contamination in the
indoor recreational water centers in Tabriz city. So, it is essential to pay
closer attention to the hygiene of swimming pools and hot tubs.
PMID- 29351067
TI - Changes in the incidence of intestinal giardiosis in Mexican population during
five years (2011-2015).
AB - Giardiosis is a parasitic disease caused by the protozoan Giardia intestinalis,
which is distributed worldwide. Most of the data on the prevalence of giardiosis
in Mexico comes from research, but it is also necessary to study the data
provided by the Mexican Health Ministry and issued by the General Directorate of
Epidemiology. The aim of this work was analyse the national surveillance data for
human giardiosis in order to update the epidemiological data of this disease in
Mexico. A retrospective observational analysis of giardiosis (from January 2011
to December 2015) was performed in the annual reports emitted by the GDE in
Mexico. The cases were classified by year, state, age group, gender and seasons
of the year. During the period of 2011-2015, a reduction of 38.51% was observed
in the total number of new cases of giardiosis reported in the whole country The
states of Sinaloa, Yucatan, and Chiapas presented the highest number of new cases
reported during the analysed period. Giardiosis rates were always higher among
women in all age groups, but the maximum incidence was observed in both sexes in
the age group of 1-4 years old (the most susceptible group). On the other hand,
the number of cases increased dramatically in southern states during warmer
months. Giardiosis is influenced by ambient temperature changes along the year,
although this study suggests that tends to decrease in all the analysed states
and could be related to the overall improvement of hygienic practices within the
Mexican population.
PMID- 29351068
TI - A new species of Aplectana (Nematoda, Cosmocercidae) in Goniurosaurus
bawanglingensis (Squamata, Eublepharidae), from Hainan Province, China.
AB - Aplectana hainanensis sp. nov. (Ascaridida, Cosmocercidae) from the intestines of
Goniurosaurus bawanglingensis (Squamata, Eublepharidae) is described and
illustrated. Aplectana hainanensis sp. nov. represents the 52nd species assigned
to the genus and the 5th species reported from the Sino-Japanese region. It is
easily separated from the 4 species previously reported from the region by the
distribution pattern of male caudal papillae: A. macintoshii has an irregular
pattern; A. hylae has no precloacal papillae; A. fujianae has 1 pair of
precloacal papillae; A paucipapillosa has 3 pairs of precloacal papillae;
Aplectana hainanensis sp. nov. has 7 pairs of precloacal papillae.
PMID- 29351069
TI - Metazoan parasite fauna of migrating common garfish, Belone belone (L.), in the
Baltic Sea.
AB - A total of 35 common garfish, Belone belone (Linnaeus, 1761), were studied for
metazoan parasites on their spawning grounds in the western Baltic Sea. Nine
parasite species were found, and six new locality records could be established
for German coastal waters (Axine belones, Monogenea; Proteocephalus sp., Cestoda;
Anisakis simplex (s.s.), Contracaecum rudolphii A and Hysterothylacium aduncum,
Nematoda; Echinorhynchus gadi, Acanthocephala). For the first time, the marine
ectoparasite A. belones was recorded from the gills of garfish inside the Baltic
Sea, indicating its ability to survive the spawning migration as well as the
brackish water conditions at its reproduction grounds. This is alike the
endohelminth A. simplex (s.s.), that was identified by molecular analyses of the
internal transcribed spacer (ITS-1, 5.8S, ITS-2) region. Almost all isolated
metazoans were parasites commonly recorded from the northeast Atlantic Ocean and
the North Sea. The lower number of typical generalist Baltic Sea parasites
indicates the rapid migration of common garfish onto the spawning grounds,
reducing the access and uptake of these species.
PMID- 29351070
TI - A serological study of antibodies to Anaplasma phagocytophilum and Borrelia
burgdorferi sensu lato in the sera of healthy individuals collected two decades
apart.
AB - Sera collected from healthy individuals from the general population in the Czech
Republic during repeated cross-sectional surveys were analyzed. Samples collected
in the same six districts in two time periods, 1978-1989 and 2001, were compared.
The study subjects were divided into six age categories between 10 and 59 years.
Overall, 434 samples from 1978-1989 and 270 samples from 2001 were screened for
Anaplasma phagocytophilum (AP) and Borrelia burgdorferi sensu lato (BB). The anti
AP positivity rates were 13.1% and 11.5% in the first and second period,
respectively, and did not differ significantly between the periods (P = 0.559).
The anti-BB antibodies were detected in 33.9% and 14.8% of study subjects,
respectively. The positivity rates were significantly lower in the second period
(P<0.001). No considerable changes were observed in the sex distribution of
positive findings between the two periods. The highest positivity rates of anti
AP antibodies were found in the 10-14 year age group: 16.0% in 1978-1989 and
16.7% in 2001. The age distribution of the anti-AP antibody positivity rates did
not change substantially (P = 0.872). In 1978-1989, the lowest anti-BB antibody
positivity rate (26.7%) was found in the 10-14 year age group, with a gradual
increase with age to 41.1% in 50-59 year-olds. In 2001, the positivity rate in
the 10-14 year age group was 26.2% and was not significantly different from that
in the first period (P = 0.955). However, the positivity rates in the older age
groups 15-59 years decreased significantly (P<0.001) and varied between 8.3% and
15.1%.
PMID- 29351071
TI - A frequent roundworm Baylisascaris transfuga in overpopulated brown bears (Ursus
arctos) in Slovakia: a problem worthy of attention.
AB - The genus Baylisascaris (order Ascaridida) includes numerous relatively host
specific nematodes, which are common in intestines of wild mammals. Some of them
may have impact on veterinary and public health, as their larvae have the
potential to cause visceral, ocular, and/or neural larva migrans in a wide range
of mammals, birds, and humans. Baylisascaris transfuga is a parasite occurring in
a range of bear species throughout the world. We present the current data on B.
transfuga occurrence in brown bears from a relatively restricted territory of the
Polana Protected Landscape Area in Central Slovakia, obtained by traditional
methods (faecal examination, morphology). Species affiliation was confirmed by
employing molecular markers generating nuclear 28S and mitochondrial cox1
sequences in adult worms. Based on 17 examined samples (15 excrements and two
intestines of young bear females), the occurrence of B. transfuga in the surveyed
area was assessed as 52.9%. Both bear females were infected with adult and
juvenile worms. Due to the high density of bears in the locality, the high
infection rate with ascarids, and the huge number of eggs produced by the
parasites, it is apparent that the respective environment, including the
inhabited areas, might be markedly contaminated by Baylisascaris eggs. The
ability of B. transfuga to serve as a zoonotic agent has not been unambiguously
proved; however, this attribute should be considered and subjected to further
research.
PMID- 29351072
TI - Scanning electron microscopy study of Strabax monstrosus von Nordmann, 1864
(Copepoda: Chondracanthidae) from Tunisian waters and histopathological study of
its effects on host.
AB - Strabax monstrosus von Nordmann, 1864 (Copepoda: Chondracanthidae) is an
ectoparasite and it was recently recorded from small red scorpionfish, Scorpaena
notata Rafinesque, and red scorpionfish, Scorpaena scrofa L., collected from
Tunisian coastal waters. Copepod females were found deeply embedded in the
branchial cavity reaching the cardiac region with their mouth-parts. Observations
of females and males using scanning electron microscopy showed a number of
morphological features that have been previously overlooked or incompletely
presented. In this study, we report for the first time the fine structures of
appendages, mouthparts, external genital organs (nuptial organs), and other
structures of male and female Strabax monstrosus. Histopathological study showed
lesions in the cardiac region of the host fish which is the target site of the
parasite. The presently reported finding is the first record of Strabax
monstrosus from Scorpaena notata and Scorpaena scrofa collected from Tunisian
waters.
PMID- 29351073
TI - Molecular and serological investigation of infectious diseases in captive and
free-range crab-eating fox (Cerdocyon thous - Linnaeus, 1776) from northeastern
Brazil.
AB - The aim of this study was to detect DNA and antibodies anti-Leishmania spp.,
Neospora caninum and Toxoplasma gondii in captive and free-range crab-eating fox
(Cerdocyon thous) from northeastern Brazil. Twenty-five crab-eating foxes from
different states of northeastern Brazil were sampled by this study. Blood samples
were collected by cephalic or jugular vein punctures. The whole blood was
submitted to PCR, and the sera samples to the serological analysis as follows:
MAT for T. gondii, NAT for N. caninum, and ELISA for L. chagasi. The frequence of
antibodies anti-T. gondii was 50% and 29.41% for free-range and captive wild
canids, respectively. The frequence of antibodies anti-N. caninum observed by
this study was 62.50% and 23.52% for free-range and captive wild canids,
respectively. The frequence of antibodies anti-L. chagasi was 4.0% for captive
wild canids. Co-infections cases were identified as follows: one captive wild
canid seropositive for T. gondii and L. chagasi and two free-range animals
seropositive for T. gondii and N. caninum. All PCR assays performed were negative
for the pathogens analyzed. This study describes the presence of antibodies anti
T. gondii, N. caninum e L. chagasi in wild canids from northeastern Brazil and
highlights the necessity of further studies on infectious diseases in free-range
and captive wild canids.
PMID- 29351074
TI - Two nematode species from freshwater and marine fishes in Thailand, including
Ascarophis scatophagi sp. nov. (Cystidicolidae) from Scatophagus argus
(Scatophagidae).
AB - One new and one known species of parasitic nematodes are reported from Thailand
for the first time: Falcaustra kaverii (Karve et Naik,1951) (Kathlaniidae) from
the intestine of the freshwater fish Poropuntius deauratus (Valenciennes)
(Cyprinidae) (new host record) and Ascarophis scatophagi sp. nov.
(Cystidicolidae) from the intestine of the marine fish Scatophagus argus
(Linnaeus) (Scatophagidae). The morphology of both these species was studied with
the use of light (LM) and scanning electron microscopy (SEM) (the latter method
used for F. kaverii for the first time). The new species (A. scatophagi) is
mainly characterized by nonfilamented, markedly elongate-oval eggs (size 42-45 *
18 MUm), spicules 555-642 MUm and 105-150 MUm long, the muscular/glandular
oesophagus length ratio (1:13-34), bifurcate deirids, the length of the female
tail (159-249 MUm) and cephalic structures typical of the nominotypical subgenus
Ascarophis. Representatives of Falcaustra Lane, 1915 and Ascarophis van Beneden,
1871 were not previously reported from fishes in Thai waters.
PMID- 29351075
TI - Pathological and molecular studies of the renal trematode Paratanaisia bragai in
Indian peafowls (Pavo cristatus).
AB - Endoparasitic diseases are commonly encountered in free-ranging birds. Although
not all endoparasites cause disease, persistent infection with large numbers of
parasites almost always affects normal physiological functions, leading to
deleterious effects on the host. This paper describes the anatomopathological
alterations caused by the renal trematode Paratanaisia bragai in Indian peafowl
(n = 3) and examines the phylogeny of these and related parasites. Peafowl from
forests in and around the Bareilly region, Uttar Pradesh, India, were necropsied,
and microscopic and molecular investigations were performed. The peafowl were
confirmed to be infected with P. bragai. Significant gross pathological lesions
suggested nephrosis, and microscopic findings indicated a mild-to-moderate degree
of nephrosis caused by the parasites in the tissue. The parasites were identified
as P. bragai by histomorphological analysis of adult and eggs in the ureters, and
the identification was confirmed by PCR and phylogenetic analysis. Nucleotide
sequencing of the PCR products from the renal trematodes recovered from Indian
peafowl revealed a close association with P. bragai from Columbiformes in the
United Kingdom and Spain. The pathology and molecular epidemiology of parasitic
diseases affecting peafowl is not well understood in India. This is the first
report from India and the second report worldwide to document P. bragai infection
in peafowl.
PMID- 29351076
TI - Parasites in stray dogs from Italy: prevalence, risk factors and management
concerns.
AB - Parasites are among the most common pathogens recorded in dogs. High prevalence
rates are usually reported in stray and shelter dogs, as these animals are less
likely to be tested and treated. In this study a survey was carried out on
intestinal and lung parasites of stray dogs at the moment of the admission in a
shelter in Central Italy. In the period June 2014-June 2015, 262 individual
faecal samples were examined. Twelve parasitic taxa were detected. Helminths were
more prevalent than protozoa (61.8% and 25.6%, respectively). Ancylostomatidae
showed the higher prevalence (40.5%), followed by Giardia duodenalis (21.4%),
Toxocara canis (20.6%) and Trichuris vulpis (17.6%). Angiostrongylus vasorum was
the most prevalent lungworm (12.6%), Crenosoma vulpis being recorded just in one
dog. G. duodenalis had a high prevalence, probably because of the diagnostic
techniques adopted, actually the most sensitive available for its detection.
Nevertheless, the zoonotic potential of this parasite in dogs appears reduced, as
all the isolates were identified as C (24.0%) and D (76.0%) dog specific
assemblages. Taeniidae constituted a high potential zoonotic risk, as from the
eggs it is impossible to exclude they were Echinococcus granulosus, the most
relevant zoonotic parasite in Europe. The present study underline the importance
of stray dogs control, aimed at preventing or minimizing parasitic spread and
zoonotic transmission.
PMID- 29351077
TI - Molecular and morphological characterisation of Rhabdias picardiae Junker,
Lhermitte-Vallarino et Bain, 2010 (Nematoda: Rhabdiasidae) from Delaland's River
Frog, Amietia delalandii (Dumeril et Bibron, 1841) (Amphibia: Pyxicephalidae) in
South Africa.
AB - Rhabdias picardiae previously known from the Guttural Toad, Sclerophrys
gutturalis (Bufonidae) is described from a new host, Delaland's River Frog,
Amietia delalandii (Pyxicephalidae). The species identification is confirmed by
analysis of the COI gene sequence and morphological comparison with the original
description. Morphological details of apical structures in adult parasitic R.
picardiae, namely, the shape and position of lips, the number and position of
external labial papillae, and the buccal capsule morphology are described using
light and scanning electron microscopy. The buccal capsule width and length, the
distance from anterior end to nerve ring and excretory pore, and ratios of
distance to vulva to body length and body length to width were identified as less
variable metrical characters in the studied sample of 30 specimens. Adult
specimens demonstrated differences in the position of lips in relation to the
oral opening and position of the buccal capsule in relation to the oesophagus
depending on the size (age) of worms. The infective larvae of R. picardiae are
described for the first time and characterised by two lateral alae consisting of
two ridges, triangular pseudolabia with rounded tops, and presence of
ornamentation on the rounded tail tip.
PMID- 29351078
TI - Asymmetric peptidomimetics containing L-tartaric acid core inhibit the aspartyl
peptidase activity and growth of Leishmania amazonensis promastigotes.
AB - Aspartyl-type peptidases are promising chemotherapeutic targets in protozoan
parasites. In the present work, we identified an aspartyl peptidase activity from
the soluble extract of Leishmania amazonensis promastigotes, which cleaved the
fluorogenic peptide 7-methoxycoumarin-4-acetyl-Gly-Lys-Pro-Ile-Leu-Phe-Phe-Arg
Leu-Lys(DNP)-D-Arg-amide (cathepsin D substrate) under acidic pH conditions at 37
degrees C, showing a KM of 0.58 MUM and Vmax of 129.87 fluorescence arbitrary
units/s mg protein. The leishmanial aspartyl peptidase activity was blocked by
pepstatin A (IC50 = 6.8 MUM) and diazo-acetyl-norleucinemetilester (IC50 = 10.2
MUM), two classical aspartyl peptidase inhibitors. Subsequently, the effects of 6
asymmetric peptidomimetics, containing L-tartaric acid core, were tested on both
aspartyl peptidase and growth of L. amazonensis promastigotes. The
peptidomimetics named 88, 154 and 158 promoted a reduction of 50% on the
leishmanial aspartyl peptidase activity at concentrations ranging from 40 to 85
MUM, whereas the peptidomimetic 157 was by far the most effective, presenting
IC50 of 0.04 MUM. Furthermore, the peptidomimetics 157 and 154 reduced the
parasite proliferation in a dose-dependent manner, displaying IC50 values of 33.7
and 44.5 MUM, respectively. Collectively, the peptidomimetic 157 was the most
efficient compound able to arrest both aspartyl peptidase activity and
leishmanial proliferation, which raises excellent perspectives regarding its use
against this human pathogenic protozoan.
PMID- 29351079
TI - Syphacia muris infection in rats attenuates colorectal carcinogenesis through
oxidative stress and gene expression alterations. Implications for modulatory
effects by Bryostatin-1.
AB - Accumulating evidence suggest that some infectious agents may interfere in the
natural progression of neoplasia. This study examined the association between
chronic infection with adult Syphacia muris parasites and 1,2-dimethylhydrazine
(DMH)-induced colorectal carcinogenesis in rats. In addition, the conceivable
therapeutic effect of Bryostatin-1, a potent extract of the marine Bryozoan,
Bugulane ritina, was investigated against this combined effect.DMH administration
has induced aberrant crypt foci (ACF), surrogate biomarkers for colorectal
carcinogenesis, while the S. muris infection combined with DMH has significantly
increased the total numbers of ACF. Nonetheless, treatment with Bryostatin-1
after infection has significantly reduced the ACF numbers particularly larger
ones. This inhibition was concomitant with significant inhibition in the
immunohistochemical levels of the ki67, Caspase-3 and IgM levels in colorectal
epithelium, as well as serum levels of IgM and IgG. Additionally, treatment with
Bryostatin-1 after S. muris + DMH has modulated enzymatic antioxidative markers
levels of superoxide dismutase and catalase as well as the non-enzymatic
antioxidant markers levels of reduced glutathione, lipid peroxidation, nitric
oxide and total antioxidant capacity. Further, treatment with Bryostatin-1 has
down-regulated the mRNA expression levels of COX-2 and APC genes in colorectal
mucosa. In conclusion, infection with S. muris during colorectal carcinogenesis
has significantly modulated the oxidative stress markers in the colorectum, while
treatment with Bryostatin-1 has exerted significant curative potential. A
mechanism could be explained that Bryostatin-1 treatment has reduced oxidative
stress markers activities along with affecting host to parasite immunity possibly
leading to changes in the COX-2 and APC expression, retarding cellular
proliferation and subsequently reducing the colorectal carcinogenesis events.
PMID- 29351080
TI - Ultrastructure of digenean trematode eggs (Platyhelminthes: Neoophora): A review
emphasizing new comparative data on four European Microphalloidea.
AB - Despite their tremendous diversity and their medical and veterinary importance,
details of egg ultrastructure among the digenean trematodes has been studied
rather little. The available literature is spread over several decades and
several species, but has not been adequately reviewed to reveal patterns of
similarity and divergence. We present this review to synthesize and analyse what
is known from the available literature reporting studies using both transmission
electron microscopy (TEM) and scanning electron microscopy (SEM). To support our
general review of existing literature, we also have synthesized our own
previously published descriptions, and present herein our new previously
unpublished data. From these new electron micrographs, we provide a comparative
analysis of the intrauterine eggs of four digenean species, representing four
genera and three families of the superfamily Microphalloidea, collected from four
different host wildlife species in four European countries: 1) Mediogonimus
jourdanei (Prosthogonimidae) from Myodes glareolus (Mammalia: Rodentia),
collected in France; 2) Maritrema feliui (Microphallidae) from Crocidura russula
(Mammalia: Soricimorpha), collected in Spain; 3) Brandesia turgida
(Pleurogenidae) from Pelophylax ridibundus (Amphibia: Anura: Ranidae), collected
in Russia; and 4) Prosotocus confusus (Pleurogenidae) from Rana lessonae
(Amphibia: Anura: Ranidae), collected in Belarus. All were studied by preparing
whole worms by various techniques for TEM, so that eggs could be studied in situ
within the uterus of the parent worm. Based on the literature review and the new
data presented here, we describe basic similarities in patterns of embryogenesis
and egg formation among all trematode species, but substantial variations in
timing of larvigenesis, sculpturing of egg shell surfaces, and some other
features, especially including accessory cocoon coverings outside the egg shells
of B. turgida and P. confusus. In the future, many more studies are needed to
explore egg ultrastructure in other digenean taxa, to explore potential
phylogenetic patterns in egg development and structure, and to correlate
structure with function in the life cycle.
PMID- 29351081
TI - Characterization of phosphate transporter(s) and understanding their role in
Leishmania donovani parasite.
AB - Inorganic phosphate (Pi) is shown to be involved in excretion of methylglyoxal
(MG) in the promastigote form of Leishmania donovani parasite. Absence of Pi
leads to its accumulation inside the parasite. Accumulation of MG is toxic to the
parasite and utilizes glyoxylase as well as excretory pathways for its
detoxification. In addition, Pi is also reported to regulate activities of
ectoenzymes and energy metabolism (glucose to pyruvate) etc. Thus, it is known to
cumulatively affect the growth of Leishmania parasite. Hence the transporters,
which allow the movement of Pi across the membrane, can prove to be a crucial
drug target. Therefore, we characterized two phosphate transporters in Leishmania
(i) H+ dependent myo-inositol transporter (LdPHO84), and (ii) Na+ dependent
transporter (LdPHO89), based on similar studies done previously on other lower
organisms and trypanosomatids. We tried to understand the secondary structure of
these two proteins and confirm modulation in their expression with the change in
Pi concentration outside. Moreover, their modes of action were also measured in
the presence of specific inhibitors (LiF, CCCP). Further analysis on the
physiological role of these transporters in various stages of the parasite life
cycle needs to be entrenched.
PMID- 29351082
TI - Prevalence of endoparasitic infections of birds in zoo gardens in Serbia.
AB - This report represents the prevalence of endoparasitic infections of birds in
zoological gardens in Serbia. Coprological examination conducted during 2015 and
2016, involved samples from 318 individual birds belonging to 112 species, in
three zoo gardens (Belgrade, Palic and Bor). Individual faeces samples were
analysed by the flotation method in salt solution. The presence of endoparasites
was established in 51.96% (Belgrade), 46.16% (Palic) and 16.66% (Bor) of the
tested birds. We diagnosed coccidian oocysts, eggs of Capillaria spp, Heterakis
spp, Trichostrongylus spp, Ascaridia spp, Syngamus trachea and eggs of yet
unidentified trematode. There were no detection of parasites from the class of
cestodes. Prevalence of infection with coccidia, depending on location, was in
the ranges between 8.33% and 32.84%, capillariosis 2.77% and 29.41%, heterakiosis
2.56% and 12.74%, trichostrongylidosis 5.55% and 25.64%, askaridiosis 10.25% and
10.78%, syngamosis 2.94% and trematodes of unknown etiology 2.45%. Coinfections
with two or three parasitic species were detected in Belgrade and Palic Zoo
Gardens, while quadruple infection was established in birds of Belgrade Zoo
Garden. Obtained results can be used in establishing programs for health
protection of endangered bird species.
PMID- 29351083
TI - Transcriptional analysis of immune-relevant genes in the mucus of Labeo rohita,
experimentally infected with Argulus siamensis.
AB - The knowledge of mucosa-associated molecular events that occur during infections
is scarce despite the well-established importance of mucus in fish immunity.
Using qRT-PCR, we analyzed the immune gene expression patterns in mucus of Labeo
rohita experimentally infected with an ectoparasite Argulus siamensis. Mucus
samples were collected at 0 h, 12 h, 24 h, 3 d, 7 d, 15 d, and 30 d post
challenge of L. rohita with metanauplii of A. siamensis. All interleukins studied
herein (IL 6, IL 15, and IL 1beta) showed significant upregulation of expression
levels in mucus of A. siamensis-infected fish compared to control samples.
Further, the expression levels of molecules involved in pathogen recognition,
toll like receptor 22, and pathogen presentation, beta2 microglobulin, were found
to be significantly upregulated in experimental samples until 7 d post challenge
compared to control samples. The upregulated expression of lysozyme G at all time
points post infection indicated the early activation of acute phase responses in
mucus of infected L. rohita. Moreover, the expression levels of natural killer
cell enhancing factor B were found to be higher in infected fish than they were
in the control fish. The early upregulation of the immune genes observed herein
reinforces the role of mucus as the first line of defense against pathogenic
assault; furthermore, it expands our understanding of mucosal-immune responses to
A. siamensis infection, which can aid development of immunological interventions.
PMID- 29351084
TI - Evaluation of analytical performance of a chemiluminescence enzyme immunoassay
(CLEIA) for cTnI using the automated AIA-CL2400 platform.
PMID- 29351085
TI - How to Prepare Interprofessional Teams in Two Weeks: An Innovative Education
Program Nested in Telehealth.
AB - PROBLEM: Preparing health professional students for interprofessional
collaborative practice, especially at a distance where provider shortages prevail
remains difficult. APPROACH: A two-week interprofessional education (IPE)
immersion experience preparing students from 11 disciplines and four universities
was implemented. Week-one, using online technology, students develop/present an
interprofessional careplan for a complex patient. Students then meet face-to-face
to conduct group interviews with two standardized patient dyads. Week-two,
students develop a website for use of the patient dyads. Websites are presented
to faculty and fellow students via an online virtual meeting space. OUTCOMES: To
date, 594 students have participated demonstrating capacity to: 1.effectively
engage in interprofessional care, 2. utilize Telehealth to impact care and break
down barriers of isolation, and 3. implement skills to advance healthcare.
CONCLUSION: IPE combined with Telehealth technology provides future providers
with knowledge and skills for interprofessional care regardless of geographic
barriers. Next Step: Integrate more technology using mobile devices and enhance
the evaluation process.
PMID- 29351086
TI - Active phytoconstituents for diabetes management: A review.
PMID- 29351087
TI - A depth-encoding PET detector using light sharing and single-ended readout with
SiPMs.
AB - Detectors with depth encoding capability and good timing resolution are required
to develop a high-performance whole-body or total-body PET scanner. In this work,
depth-encoding PET detectors using light sharing between two discrete crystals
and single-ended readout with SiPMs were manufactured and evaluated. The
detectors consisted of two unpolished LYSO crystals of 3*3*20 mm3 with different
coupling materials between them and were read out by Hamamatsu 3*3 mm2 SiPMs with
one-to-one coupling. The ratio of the energy of one SiPM to the total energy of
two SiPMs is used to measure the depth of interaction (DOI). Detector with
different coupling materials in between the crystals were measured in singles
mode to find detectors that can provide good DOI resolution. The DOI resolution
and energy resolution of three types of detectors were measured and the timing
resolution was measured for the detector with the best DOI and energy resolution.
The optimum detector with 5 mm optical glue, 9 mm triangle ESR and 6 mm rectangle
ESR in between the unpolished crystals provides a DOI resolution of 2.65 mm, an
energy resolution of 10.0% and a timing resolution of 427 ps for events of E>400
keV. The detectors simultaneously provide good DOI and timing resolution, and
show great promise to the development of high performance whole body and total
body PET scanners.
PMID- 29351088
TI - Fraction-variant beam orientation optimization for non-coplanar IMRT.
AB - Conventional beam orientation optimization (BOO) algorithms for IMRT assume that
the same set of beam angles is used for all treatment fractions. In this paper we
present a BOO formulation based on group sparsity that simultaneously optimizes
non-coplanar beam angles for all fractions, yielding a fraction-variant (FV)
treatment plan. Beam angles are selected by solving a multi-fraction fluence map
optimization problem involving 500-700 candidate beams per fraction, with an
additional group sparsity term that encourages most candidate beams to be
inactive. The optimization problem is solved using the fast iterative shrinkage
thresholding algorithm. Our FV BOO algorithm is used to create five-fraction
treatment plans for digital phantom, prostate, and lung cases as well as a 30
fraction plan for a head and neck case. A homogeneous PTV dose coverage is
maintained in all fractions. The treatment plans are compared with fraction
invariant plans that use a fixed set of beam angles for all fractions. The FV
plans reduced OAR mean dose and D 2 values on average by 3.3% and 3.8% of the
prescription dose, respectively. Notably, mean OAR dose was reduced by 14.3% of
prescription dose (rectum), 11.6% (penile bulb), 10.7% (seminal vesicle), 5.5%
(right femur), 3.5% (bladder), 4.0% (normal left lung), 15.5% (cochleas), and
5.2% (chiasm). D 2 was reduced by 14.9% of prescription dose (right femur), 8.2%
(penile bulb), 12.7% (proximal bronchus), 4.1% (normal left lung), 15.2%
(cochleas), 10.1% (orbits), 9.1% (chiasm), 8.7% (brainstem), and 7.1% (parotids).
Meanwhile, PTV homogeneity defined as D 95/D 5 improved from .92 to .95 (digital
phantom), from .95 to .98 (prostate case), and from .94 to .97 (lung case), and
remained constant for the head and neck case. Moreover, the FV plans are
dosimetrically similar to conventional plans that use twice as many beams per
fraction. Thus, FV BOO offers the potential to reduce delivery time for non
coplanar IMRT.
PMID- 29351090
TI - Parasitic Infections of the Skin and Subcutaneous Tissues.
AB - A variety of arthropods, protozoa, and helminths infect the skin and subcutaneous
tissues and may be identified by anatomic pathologists in standard cytology and
histology preparations. The specific organisms seen vary greatly with the
patient's exposure history, including travel to or residence in endemic
countries. Arthropods are the most commonly encountered parasites in the skin and
subcutaneous tissues and include Sarcoptes scabei, Demodex species, Tunga
penetrans, and myiasis-causing fly larvae. Protozoal parasites such as Leishmania
may also be common in some settings. Helminths are less often seen, and include
round worms (eg, Dirofilaria spp.), tapeworms (eg, Taenia solium, Spirometra
spp.), and flukes (eg, Schistosoma spp.). This review covers the epidemiologic
and histopathologic features of common parasitic infections of the skin and
subcutaneous tissues.
PMID- 29351089
TI - Aggressive Variants of Papillary Thyroid Carcinoma: Hobnail, Tall Cell, Columnar,
and Solid.
AB - Papillary thyroid carcinomas are the most common endocrine cancer and are usually
associated with good survival. However, some variants of papillary thyroid
carcinomas may behave more aggressively than classic papillary thyroid
carcinomas. The tall cell variant of papillary thyroid carcinoma is the most
common aggressive variant of papillary thyroid carcinoma. The aggressive behavior
has been ascribed to the histologic subtype and/or to the clinicopathologic
features, an issue that remains controversial. The columnar variant of papillary
thyroid carcinoma can be aggressive, particularly in older patients, with larger
tumors showing a diffusely infiltrative growth pattern and extrathyroidal
extension. A papillary thyroid carcinoma is designated as solid/trabecular
variant when all or nearly all of a tumor not belonging to any of the other
variants has a solid, trabecular, or nested (insular) appearance. This tumor must
be distinguished from poorly differentiated thyroid carcinoma which has the same
growth pattern but lacks nuclear features of papillary thyroid carcinoma and may
show tumor necrosis and high mitotic activity. New to the fourth edition of the
WHO Classification of Tumours of Endocrine Organs, the hobnail variant of
papillary thyroid carcinoma is a moderately differentiated papillary thyroid
carcinoma variant with aggressive clinical behavior and significant mortality.
All of these variants are histologically unique and important to recognize due to
their aggressive behavior.
PMID- 29351091
TI - Circadian Rhythms Disturbances in Alzheimer Disease: Current Concepts, Diagnosis,
and Management.
AB - The purpose of this review is to provide an overview of the research regarding
circadian rhythms in Alzheimer disease (AD). Furthermore, this paper explores the
role of melatonin in the pathogenesis of AD and the limitation of trials
addressing circadian rhythms disturbances in the AD population. A literature
search using Medline with PubMed and Embase was carried out identifying papers
focusing on circadian rhythms in AD. Sleep disorders and especially circadian
rhythm disturbances are very common in the elderly population but definitely more
pronounced in patients with AD. The lack of trials evaluating the management of
circadian rhythms disorders in the elderly population and especially in AD should
be considered of the utmost importance. Although there is a better understanding
about the pathophysiology of AD and its relationship with circadian disorders,
further studies in human models need to be conducted.
PMID- 29351093
TI - Coronary intervention for chronic total occlusions: what should the guidelines
say?
PMID- 29351092
TI - Political Ideology, Confidence in Science, and Participation in Alzheimer Disease
Research Studies.
AB - BACKGROUND: Americans' confidence in science varies based on their political
ideology. This ideological divide has potentially important effects on citizens'
engagement with and participation in clinical studies of Alzheimer disease (AD).
METHODS: A probability sample of 1583 Americans was surveyed about their
willingness to participate in longitudinal AD research and about their political
attitudes. These survey results were compared with a survey of 382 participants
in a longitudinal AD study at the Knight Alzheimer Disease Research Center.
RESULTS: Among Americans, more conservative ideology decreases willingness to
participate in a hypothetical longitudinal cohort study of AD both directly and
through its negative effect on confidence in science. The Knight Alzheimer
Disease Research Center study participants expressed more liberal ideology and
greater confidence in science than Americans in general. Of the survey
respondents opposed to participation, over a quarter changed to neutral or
positive if the study returned their research results to them. CONCLUSIONS AND
RELEVANCE: Clinical studies of AD are likely biased toward participants who are
more liberal and have higher confidence in science than the general population.
This recruitment bias may be reduced by lowering the trust demanded of
participants through measures such as returning research results to participants.
PMID- 29351095
TI - The Effect of Ketorolac on Thoracolumbar Posterolateral Fusion: A Systematic
Review and Meta-Analysis.
AB - STUDY DESIGN: Systematic review and meta-analysis. OBJECTIVE: The purpose of this
study was to evaluate the effect of postoperative ketorolac administration (ie,
dosage and duration of use) on pseudarthrosis following thoracolumbar
posterolateral spinal fusions. SUMMARY OF BACKGROUND DATA: Ketorolac is a
nonsteroidal anti-inflammatory drug often administered for pain control after
spine surgery. The main concern with ketorolac is the risk of pseudarthrosis
following fusion. MATERIALS AND METHODS: A systematic search of multiple medical
reference databases was conducted for studies detailing postoperative ketorolac
use in lumbar fusion and scoliosis surgery in adult and pediatric patients,
respectively. Meta-analysis was performed using the random-effects model for
heterogeneity as this study analyzes heterogenous patient populations undergoing
variable approaches to fusion and variable numbers of levels with variable means
of detection of pseudarthrosis. Outcome measure was pseudarthrosis. RESULTS:
Overall, 6 studies totaling 1558 patients were reviewed. Pseudarthrosis was
observed in 119 (7.6%) patients. Pseudarthrosis were observed in adults with
ketorolac administered for >2 days [odds ratio (OR), 3.44, 95% confidence
interval (95% CI), 1.87-6.36; P<0.001], adults with doses of >=120 mg/d (OR,
2.93, 95% CI, 1.06-8.12; P=0.039), and adults with ketorolac administered for >2
days and at doses >=120 mg/d (OR, 4.75, 95% CI, 2.34-9.62; P<0.001). Ketorolac
use in smokers was associated with pseudarthrosis (OR, 8.71, 95% CI, 2.23-34.0;
P=0.002). CONCLUSION: Ketorolac, when administered for >2 days and/or at a dose
of >=120 mg/d, is associated with pseudarthrosis in adults after posterolateral
lumbar fusion. Ketorolac use in smokers is also associated with pseudarthrosis.
PMID- 29351094
TI - Clinical and molecular characterization of nine Chinese patients affected by
hypofibrinogenemia or dysfibrinogenemia.
AB - : Congential fibrinogen deficiency is a rare bleeding disorder caused by various
mutations in three fibrinogen genes. It can be subdivided into four categories:
afibrinogenemia, hypofibrinogenemia, hypodysfibrinogenemia and dysfbrinogenemia.
This study was to elucidate the molecular defects in nine unrelated Chinese
patients with hypofibrinogenemia or dysfibrinogenemia. Three fibrinogen genes
were amplified by PCR and screened for variants. The identified variants were
analyzed by bioinformatics prediction and molecular modeling analysis. Genetic
screening disclosed seven different missense mutations, four of which were novel.
All of the mutations were expected to impair the protein function/structure as
assessed by bioinformatics prediction. This study has increased our knowledge of
the mutational spectrum underlying fibrinogen deficiency.
PMID- 29351096
TI - Discrepancies in the Definition of "Outpatient" Surgeries and Their Effect on
Study Outcomes Related to ACDF and Lumbar Discectomy Procedures: A Retrospective
Analysis of 45,204 Cases.
AB - STUDY DESIGN: This is a retrospective study. OBJECTIVE: To study the differences
in definition of "inpatient" and "outpatient" [stated status vs. actual length of
stay (LOS)], and the effect of defining populations based on the different
definitions, for anterior cervical discectomy and fusion (ACDF) and lumbar
discectomy procedures in the American College of Surgeons National Surgical
Quality Improvement Program (ACS-NSQIP) database. SUMMARY OF BACKGROUND DATA:
There has been an overall trend toward performing ACDF and lumbar discectomy in
the outpatient setting. However, with the possibility of patients who underwent
outpatient surgery staying overnight or longer at the hospital under
"observation" status, the distinction of "inpatient" and "outpatient" is not
clear. MATERIALS AND METHODS: Patients who underwent ACDF or lumbar discectomy in
the 2005-2014 ACS-NSQIP database were identified. Outpatient procedures were
defined in 1 of 2 ways: either as being termed "outpatient" or hospital LOS=0.
Differences in definitions were studied. Further, to evaluate the effect of the
different definitions, 30-day outcomes were compared between "inpatient" and
"outpatient" and between LOS>0 and LOS=0 for ACDF patients. RESULTS: Of the 4123
"outpatient" ACDF patients, 919 had LOS=0, whereas 3204 had LOS>0. Of the 13,210
"inpatient" ACDF patients, 337 had LOS=0, whereas 12,873 had LOS>0. Of the 15,166
"outpatient" lumbar discectomy patients, 8968 had LOS=0, whereas 6198 had LOS>0.
Of the 12,705 "inpatient" lumbar discectomy patients, 814 had LOS=0, whereas
11,891 had LOS>0. On multivariate analysis of ACDF patients, when comparing
"inpatient" with "outpatient" and "LOS>0" with "LOS=0" there were differences in
risks for adverse outcomes based on the definition of outpatient status.
CONCLUSIONS: When evaluating the ACS-NSQIP population, ACDF and lumbar discectomy
procedures recorded as "outpatient" can be misleading and often did not correlate
with same day discharge. These findings have significant impact on the
interpretation of existing studies and define an area that needs clarification
for future studies. LEVEL OF EVIDENCE: Level 3.
PMID- 29351097
TI - Effect of Epidural Infusion Bolus Delivery Rate on the Duration of Labor
Analgesia: A Randomized Clinical Trial.
AB - BACKGROUND: Programmed intermittent boluses of local anesthetic have been shown
to be superior to continuous infusions for maintenance of labor analgesia. High
rate epidural boluses increase delivery pressure at the catheter orifice and may
improve drug distribution in the epidural space. We hypothesized that high-rate
drug delivery would improve labor analgesia and reduce the requirement for
provider-administered supplemental boluses for breakthrough pain. METHODS:
Nulliparous women with a singleton pregnancy at a cervical dilation of less than
or equal to 5 cm at request for neuraxial analgesia were eligible for this
superiority-design, double-blind, randomized controlled trial. Neuraxial
analgesia was initiated with intrathecal fentanyl 25 MUg. The maintenance
epidural solution was bupivacaine 0.625 mg/ml with fentanyl 1.95 MUg/ml.
Programmed (every 60 min) intermittent boluses (10 ml) and patient controlled
bolus (5 ml bolus, lockout interval: 10 min) were administered at a rate of 100
ml/h (low-rate) or 300 ml/h (high-rate). The primary outcome was percentage of
patients requiring provider-administered supplemental bolus analgesia. RESULTS:
One hundred eight women were randomized to the low- and 102 to the high-rate
group. Provider-administered supplemental bolus doses were requested by 44 of 108
(40.7%) in the low- and 37 of 102 (36.3%) in the high-rate group (difference
4.4%; 95% CI of the difference, -18.5 to 9.1%; P = 0.67). Patient
requested/delivered epidural bolus ratio and the hourly bupivacaine consumption
were not different between groups. No subject had an adverse event. CONCLUSIONS:
Labor analgesia quality, assessed by need for provider- and patient-administered
supplemental analgesia and hourly bupivacaine consumption was not improved by
high-rate epidural bolus administration.
PMID- 29351099
TI - Commentary.
PMID- 29351098
TI - Prognostic Factors Change Over Time After Hepatectomy for Colorectal Liver
Metastases: A Multi-institutional, International Analysis of 1099 Patients.
AB - OBJECTIVE: To evaluate the changing impact of genetic and clinicopathologic
factors on conditional overall survival (CS) over time in patients with
resectable colorectal liver metastasis. BACKGROUND: CS estimates account for the
changing likelihood of survival over time and may reveal the changing impact of
prognostic factors as time accrues from the date of surgery. METHODS: CS analysis
was performed in 1099 patients of an international, multi-institutional cohort.
Three-year CS (CS3) estimates at the "xth" year after surgery were calculated as
follows: CS3 = CS (x + 3)/CS (x). The standardized difference (d) between CS3
rates was used to estimate the changing prognostic power of selected variables
over time. A d < 0.1 indicated very small differences between groups, 0.1 <= d <
0.3 indicated small differences, 0.3 <= d < 0.5 indicated moderate differences,
and d >= 0.5 indicated strong differences. RESULTS: According to OS estimates
calculated at the time of surgery, the presence of BRAF and KRAS mutations, R1
margin status, resected extrahepatic disease, patient age, primary tumor lymph
node metastasis, tumor number, and carcinoembryonic antigen levels independently
predicted worse survival. However, when temporal changes in the prognostic impact
of these variables were considered using CS3 estimates, BRAF mutation dominated
prognosis during the first year (d = 0.48), whereas surgeon-related variables
(ie, surgical margin and resected extrahepatic disease) determined prognosis
thereafter (d >= 0.5). Traditional clinicopathologic factors affected survival
constantly, but only to a moderate degree (0.3 <= d < 0.5). CONCLUSIONS: The
impact of genetic, surgery-related, and clinicopathologic factors on OS and CS3
changed dramatically over time. Specifically, BRAF mutation status dominated
prognosis in the first year, whereas positive surgical margins and resected
extrahepatic disease determined prognosis thereafter.
PMID- 29351100
TI - Sudden Unexpected Death From Unusually Large Primary Cardiac B-cell Lymphoma.
AB - Primary cardiac lymphomas represent approximately 1% to 2% of primary cardiac
neoplasms and 5% of malignant cardiac neoplasms. Here we present a case of sudden
unexpected death of a middle-aged male resulting from an unusually large cardiac
B-cell lymphoma. The neoplasm infiltrated the myocardium of the right atrium and
ventricle and, to a lesser extent, the wall of the left atrium and pulmonary
trunk. Extensive infiltration of the heart by the primary cardiac lymphoma,
combined with the complete lack of symptoms, makes this case unusual.
PMID- 29351101
TI - A Case Report of Sudden Death From Intracardiac Leiomyomatosis.
AB - Sudden death resulting from intracardiac leiomyomatosis is rare. In this case, a
50-year-old woman was found to have intracardiac leiomyomatosis, which originated
in veins in the broad ligament. Tumor filled the entire inferior vena cava and
extended into the right heart where it had embolized and occluded the right main
pulmonary artery. The mechanism of death was sudden right heart failure.
PMID- 29351103
TI - Retraction Re: Expression of Concern. Am J Phys Med Rehabil 2017;96:761.
PMID- 29351102
TI - Fatal Sickling Triggered by Massive Foreign Particle Embolism: A Case Report of
Unrecognized Indwelling Venous Catheter Drug Abuse in Sickle Cell Disease.
AB - Sickle cell disease (SCD) manifests itself with vaso-occlusive episodes leading
to infarction. Placement of intravascular catheters provides a useful route for
management of pain crises as well as other complications. However, catheter
misuse is a commonly unrecognized problem, which can have lethal consequences. We
present a case of fatal splenic sequestration/hyperhemolysis secondary to foreign
body pulmonary and systemic embolization due to intravenous administration of
hydromorphone pills in a young woman with SCD. Morphological identification of
the embolized particles (crospovidone, microcrystalline cellulose, and
hydrophilic polymer) was key in understanding the mechanism of death. Foreign
particle deposition induced a granulomatous inflammatory response that resulted
in obliteration and distortion of the microvasculature, thus triggering sickling
with subsequent organ damage. Despite clinical evidence of asplenia and
microscopic white pulp fibrosis with Gamna-Gandy body formation, unexpectedly for
the patient's age, the spleen was enlarged by entrapped sickle cells. The
findings support the possibility that loss of function can coexist with increased
splenic activity under certain circumstances, an overlooked clinical phenotype of
SCD. This case illustrates the teaching value of classic autopsy in addition to
its crucial role in understanding the natural history of complex heterogeneous
diseases.
PMID- 29351104
TI - Frequency of blood pressure and estimated glomerular filtration rate monitoring
in patients affected by hypertension: a retrospective study with 176 565 patients
in Germany.
AB - OBJECTIVE: The aim of this study was to analyze the frequency of blood pressure
(BP) and estimated glomerular filtration rate (eGFR) monitoring in hypertension
patients followed in primary care practices in Germany. PATIENTS AND METHODS:
This study included patients who had received at least two hypertension diagnoses
(ICD-10: I10) in 2016. The primary outcome of this study was the frequency of BP
and eGFR monitoring in patients with hypertension in the year 2016. The impact of
the different demographic and clinical variables on the likelihood of receiving
at least two BP and at least one eGFR tests was analyzed using multivariate
logistic regression models. These two cutoff values were selected on the basis of
the recommendations of the European Society of Hypertension and the European
Society of Cardiology. RESULTS: This study included 176 565 patients, 53.0% of
whom were women. The mean age was 68.2 years (SD=13.3 years). The mean number of
BP tests was 1.9 (SD=3.1), whereas the mean number of eGFR tests was 0.2 (SD=0.8)
in 2016. Only 33.7 and 9.1% of the population received at least two BP tests and
one eGFR test, respectively. Older age (>60 years), comorbidities (particularly
diabetes, hyperlipidemia, and renal insufficiency), and the number of
antihypertensive treatments were associated with higher odds of receiving at
least two BP and at least one eGFR tests, whereas the duration of hypertension
was associated negatively with these odds. CONCLUSION: The frequency of BP and
eGFR monitoring was low in Germany in 2016. Several demographic and clinical
variables had a significant impact on this frequency.
PMID- 29351105
TI - Stem cell modeling of lipid genetics.
AB - PURPOSE OF REVIEW: To summarize recent advances with respect to the use of human
pluripotent stem cells to study the genetics of blood lipid traits. RECENT
FINDINGS: Human pluripotent stem cell models have been used to elucidate the
mechanisms by which genes contribute to dyslipidemia, to discover new lipid
related DNA variants and genes, and to perform drug screens. SUMMARY: In addition
to enabling a better understanding of the genetic basis of lipid metabolism,
human pluripotent stem cells are identifying potential therapeutic targets as
well as potential therapies.
PMID- 29351106
TI - The role of patient registries for rare genetic lipid disorders.
AB - PURPOSE OF REVIEW: We review the role, utility and current status of patient
registries for rare genetic lipid disorders. RECENT FINDINGS: The creation and
maintenance of rare genetic lipid disorder patient registries is critical for
disease monitoring, improving clinical best practice, facilitating research and
enabling the development of novel therapeutics. An open-source disease registry
platform, termed the Rare Disease Registry Framework, has been developed,
optimized and deployed for homozygous familial hypercholesterolemia. A global
disease-specific registry for lipoprotein lipase deficiency (LPLD), GENetherapy
In the mAnagement of Lipoprotein Lipase deficiency, has been established with the
aim of enrolling 20-40% of LPLD patients worldwide and will study the natural
history of LPLD as well as therapeutic response to the gene therapy alipogene
tiparvovec. Similarly, a registry for lysosomal acid lipase deficiency patients
in Europe and the United States is studying the clinical outcomes of the enzyme
replacement therapy sebelipase alfa. SUMMARY: There are currently few disease
specific rare lipid disorder patient registries. The very nature of rare genetic
lipid disorders would suggest that larger national or international registries
are necessary to capture clinical data on a sufficient number of patients to
provide insight into the prevalence and natural history of these conditions.
Furthermore, these registries can help to identify and address deficiencies in
current diagnostic and management practices, and facilitate clinical trials of
new therapies.
PMID- 29351107
TI - Update on skin and soft tissue infections.
PMID- 29351108
TI - Neuroimaging in neurodevelopmental disorders: focus on resting-state fMRI
analysis of intrinsic functional brain connectivity.
AB - PURPOSE OF REVIEW: Resting-state fMRI assessment of instrinsic functional brain
connectivity (rs-fcMRI) in autism spectrum disorders (ASD) allows assessment of
participants with a wide range of functioning levels, and collection of multisite
databases that facilitate large-scale analysis. These heterogeneous multisite
data present both promise and methodological challenge. Herein, we provide an
overview of recent (1 October 2016-1 November 2017) empirical research on ASD rs
fcMRI, focusing on work that helps clarify how best to leverage the power of
these data. RECENT FINDINGS: Recent research indicates that larger samples,
careful atlas selection, and attention to eye status of participants will improve
the sensitivity and power of resting-state fMRI analyses conducted using
multisite data. Use of bandpass filters that extend into a slightly higher
frequency range than typical defaults may prevent loss of disease-relevant
information. Connectivity-based parcellation as an approach to region of interest
analyses may allow for improved understanding of functional connectivity
disruptions in ASD. Treatment approaches using rs-fcMRI to determine target
engagement, predict treatment, or facilitate neurofeedback demonstrate promise.
SUMMARY: Rs-fcMRI data have great promise for biomarker identification and
treatment development in ASD; however, ongoing methodological development and
evaluation is crucial for progress.
PMID- 29351109
TI - Coordination of the Environmental influences on Child Health Outcomes program: so
the whole is greater than the sum of its parts.
AB - PURPOSE OF REVIEW: The Environmental influences on Child Health Outcomes (ECHO)
program's mission is to enhance the health of children for generations to come.
In this manuscript, we describe the structure of the ECHO Coordinating Center
(ECHO-CC) and its role in developing the infrastructure for the ECHO program.
RECENT FINDINGS: The ECHO-CC supports ECHO's mission by developing the framework
of the ECHO program, coordinating multiple levels of membership in the ECHO
community, developing ECHO policies and procedures, and fostering communication
and engagement inside and outside of ECHO. SUMMARY: The ECHO-CC has used a number
of innovative methods for organization, communication, and engagement to enable
the ECHO program to become greater than the sum of its parts.
PMID- 29351110
TI - Low cholesterol, impulsivity and violence revisited.
AB - PURPOSE OF REVIEW: To summarize the recent findings on the association of
cholesterol levels with impulsivity and violence. RECENT FINDINGS: Several
authors have recently highlighted the importance of confounding factors that mask
the association between cholesterol and impulsivity or violent behaviour. In
particular, demographic factors and presence of psychiatric disorders can play a
role in the discrepancies present in literature. Men seem to be more sensitive to
low cholesterol levels as the association between low cholesterol levels and
aggression is found mostly in men. Cholesterol may play a role as a moderator of
the serotonergic function and interact with associations between relevant gene
variants and impulsivity. Lowering cholesterol levels with statins brings about
several changes in the serotonergic system, nerve cell membrane microviscosity
and behaviour, and needs to be done with precaution in susceptible individuals.
Cholesterol levels could serve as a biological risk marker for violence and
suicidal tendencies in psychiatric patients with depression and schizophrenia.
SUMMARY: Future studies should consider the potential nonlinearity in the
association of cholesterol levels with impulsivity, and dependence of the
association on gender, psychiatric condition, and environmental factors.
PMID- 29351112
TI - Dimensional Variations in the Soft Tissue Profile After Removal of Implant
Supported Fixed Interim Restorations: A Pilot Clinical Study.
AB - PURPOSE: To measure dimensional changes of the periimplant soft tissue profile
after removal of a single implant fixed interim restorations using digital
impression procedures. MATERIALS AND METHODS: Ten participants presenting with
single implant-supported fixed interim restorations (ISFIRs) on the maxillary
esthetic zone. A 2-step silicone impression was made of the maxillary arch with
the ISFIRs. The experimental procedure was obtained by making digital impressions
of the gingival contours immediately after ISFIR removal. The control procedure
was formed by fabricating definitive casts from the conventional impression using
the ISFIRs as a customized impression transfer and making digital impressions of
these definitive casts. Both images of paired groups were digitally overlapped on
the computer, and their profiles were measured at the coronal, midlevel gingiva
in the buccolingual and mesiodistal width. RESULTS: Statistically significant
differences between the ISFIR emergence profile width and the unsupported soft
tissue profile width were observed at the midlevel gingiva in the buccolingual
dimension (1.35 mm) and at the coronal (0.51 mm) and midlevel gingiva (1.29 mm)
in the mesiodistal dimension. CONCLUSIONS: A digital impression, as used in this
pilot study, does not capture accurately the desired soft tissue dimensions
immediately after removal of the ISFIR.
PMID- 29351111
TI - Novel Bronchoscopic Management of Airway Bleeding With Absorbable Gelatin and
Thrombin Slurry.
AB - BACKGROUND: Airway bleeding, either spontaneous or as a result of bronchoscopy,
is associated with significant morbidity and mortality. Multiple bronchoscopic
techniques are available to achieve complete hemostasis or as a bridge to
definitive therapies. METHODS: We report our experience on the feasibility of
endobronchial instillation of an absorbable gelatin and thrombin slurry (GTS) for
the treatment of spontaneous hemoptysis and procedure-related bleeding. RESULTS:
We identified 13 cases in which GTS was used for endobronchial hemostasis when
standard bronchoscopic measures like cold saline, epinephrine, and in some cases
balloon occlusion were not successful. GTS was delivered through the working
channel of the bronchoscope in 10 cases and through the distal port of a
bronchial blocker in the remaining 3 cases. Median age was 69 years (range, 52 to
79 y). Eight cases corresponded to spontaneous hemoptysis and 5 cases to
diagnostic or therapeutic procedures. Bleeding was considered severe in 9 (70%)
cases. All but 1 case were associated with malignancy. Hemostasis was achieved in
10 (77%) cases by using standard measures in addition to GTS. No patient adverse
events at 30 days or damage to the equipment were identified. CONCLUSIONS:
Bronchoscopic instillation of an absorbable GTS is feasible and may be used in
cases of spontaneous or procedure-related bleeding in addition to conventional
measures. It can be delivered through the working channel of the bronchoscope or
through the distal port available in some bronchial blockers. Controlled studies
are necessary to determine the safety and efficacy of this novel technique.
PMID- 29351113
TI - Fatigue Failure of External Hexagon Connections on Cemented Implant-Supported
Crowns.
AB - PURPOSE: To evaluate the probability of survival and failure modes of different
external hexagon connection systems restored with anterior cement-retained single
unit crowns. The postulated null hypothesis was that there would be no
differences under accelerated life testing. MATERIALS AND METHODS: Fifty-four
external hexagon dental implants (~4 mm diameter) were used for single cement
retained crown replacement and divided into 3 groups: (3i) Full OSSEOTITE, Biomet
3i (n = 18); (OL) OEX P4, Osseolife Implants (n = 18); and (IL) Unihex, Intra
Lock International (n = 18). Abutments were torqued to the implants, and
maxillary central incisor crowns were cemented and subjected to step-stress
accelerated life testing in water. Use-level probability Weibull curves and
probability of survival for a mission of 100,000 cycles at 200 N (95% 2-sided
confidence intervals) were calculated. Stereo and scanning electron microscopes
were used for failure inspection. RESULTS: The beta values for 3i, OL, and IL
(1.60, 1.69, and 1.23, respectively) indicated that fatigue accelerated the
failure of the 3 groups. Reliability for the 3i and OL (41% and 68%,
respectively) was not different between each other, but both were significantly
lower than IL group (98%). Abutment screw fracture was the failure mode
consistently observed in all groups. CONCLUSION: Because the reliability was
significantly different between the 3 groups, our postulated null hypothesis was
rejected.
PMID- 29351114
TI - Sexual and reproductive issues and inflammatory bowel disease: a neglected topic
in men.
AB - There has been considerable literature on sexual issues in women with
inflammatory bowel disease (IBD), but relatively little attention has been paid
to these aspects in men. To review the available literature and to provide the
best management of sexual and reproductive issues in male patients with IBD. The
scientific literature on sexual and reproductive issues in men with IBD was
reviewed. Several factors, including surgical and medication treatments, disease
activity, lifestyle, and psychological factors, may play a role in the
development of infertility and sexual dysfunction and may negatively impact
pregnancy outcomes. Proctocolectomy with ileal pouch-anal anastomosis increases
the risk of erectile and ejaculatory dysfunction by up to 26%. A treatment with
sildenafil can be effective. Sperm banking should be advised to young men with
IBD before surgery. Both sulfasalazine and methotrexate may be responsible for
reversible sexual dysfunction and infertility. Furthermore, sulfasalazine should
be switched to mesalazine at least 4 months before conception because of a higher
risk of congenital malformations in pregnancies fathered by men treated with this
drug. Psychotropic drugs, frequently used in IBD, may cause sexual dysfunction up
to 80%. Last but not the least, voluntary childlessness occurs frequently, mainly
because of concerns about medication safety in pregnancy and fear of transmitting
disease. Accurate counseling, and where necessary, psychological support can
decrease any misperceptions and fears. Close collaboration between the
gastroenterologist and the patient is recommended for the best management of
these relevant, neglected aspects in men with IBD.
PMID- 29351116
TI - Treatment of Postpartum Depression: Recommendations for the Clinician.
AB - Postpartum depression is a significant public health problem affecting almost
600,000 US women every year. It may arise de novo in the postpartum period or
continue from pregnancy. A number of evidence-based psychotherapies and medical
treatments exist for major depression and postpartum depression. The obstetrical
team has many opportunities to identify high risk and depressed women and refer
them to mental health professionals or begin treatment with antidepressant
medication. Careful assessment of risk factors for postpartum depression during
pregnancy and monitoring depressive symptoms during pregnancy and the postpartum
period will lead to better outcomes for women and their families.
PMID- 29351115
TI - Synergistic increase in cardiovascular risk in diabetes mellitus with
nonalcoholic fatty liver disease: a meta-analysis.
AB - BACKGROUND: Nonalcoholic fatty liver disease (NAFLD) has been linked to an
increased risk of cardiovascular disease (CVD). To explore the impact of diabetes
mellitus (DM) as a cardiovascular risk factor, this meta-analysis quantitatively
assessed the association of NAFLD and CVD in diabetic patients. METHODS: PubMed,
EMBASE, and the Cochrane Library database were analyzed until the end of March
2017. Original studies analyzing the association between NAFLD and cardiovascular
risk factors in the diabetic population were included. The available data related
to outcome were extracted for the effect estimate using a random-effects model.
The quality of the included studies was assessed using the Newcastle-Ottawa
Quality Assessment Scale. RESULTS: Of the 770 initially identified studies, 11
studies involving 8346 patients were finally included. The Newcastle-Ottawa
Quality Assessment Scale scores suggested that the studies included were of high
quality. The pooled effects estimate showed that diabetic patients with NAFLD
showed a two times increased risk for CVD compared with patients without NAFLD
(odds ratio=2.20, 95% confidence interval: 1.67-2.90). Subgroup analysis also
yielded a markedly increased risk, with odds ratio (95% confidence interval)
values of 2.28 (1.61-3.23) and 1.90 (1.48-2.45) in cross-sectional and cohort
studies, respectively. CONCLUSION: This is the first meta-analysis investigating
the relationship between NAFLD and CVD independent of the impact of DM. Our
findings suggested that NAFLD increases the risk of CVD in populations with
comparable DM profiles. Diabetic patients diagnosed with NAFLD might benefit from
a more early cardiovascular risk assessment, thereby reducing CVD morbidity and
mortality.
PMID- 29351117
TI - Associations of Comorbid Anxiety With Medication Adherence and Psychiatric
Symptomatology in a Population of Nonadherent Bipolar Disorder Subjects.
AB - This analysis was conducted on baseline data from 178 nonadherent bipolar
disorder subjects in a randomized controlled trial. Medication adherence was
measured with Tablets Routine Questionnaire as percentage of days with missed
doses. Inclusion criteria required at least 20% nonadherence. Medication
adherence, symptomatology, and functioning in individuals with and without a
comorbid anxiety disorder were compared. There were 78.9% of subjects who had at
least one or more current anxiety disorder, with the most common being
posttraumatic stress disorder, panic disorder, and generalized anxiety disorder.
The percentage of days with missed doses over the past month was significantly
lower in those with anxiety disorders compared with those without (40.1% vs
50.5%, p = 0.03). Those with comorbid anxiety disorders and those with greater
number of anxiety disorder diagnoses had significantly worse mean scores on the
Montgomery-Asberg Depression Rating Scale, Brief Psychiatric Rating Scale,
Clinical Global Impression-Bipolar Version, and Global Assessment of Functioning.
PMID- 29351118
TI - Multiple Eyelid Cysts (Apocrine and Eccrine Hidrocystomas, Trichilemmal Cyst, and
Hybrid Cyst) in a Patient With a Prolactinoma.
AB - A 53-year-old man presented with smooth-domed, variegated cysts (polycystic
disease) of all 4 eyelids, worse on the left side. Some of the cysts were clear,
while others were creamy-white colored. In addition, multiple, very fine
vesicopapules were noted along the eyelid margins. Histopathologic examination
revealed a trichilemmal cyst, several pure apocrine hidrocystomas displaying
multiple chambers, a hybrid cyst, and many small eccrine cysts of the deep
dermis. The apocrine lesions, including the small ones at the eyelid margins,
predominated. Smooth muscle actin sometimes positively stained outer
myoepithelial cells in some of the apocrine cysts, which helped to distinguish
them from eccrine cysts. Most noteworthy was the fact that the patient had been
diagnosed with a prolactinoma 20 years earlier. There is only 1 previous report
of multiple apocrine cysts and an antecedent prolactinoma in the dermatologic
literature. This syndrome should be separated from that of Schopf-Schulz
Passarge, which manifests multiple small eyelid apocrine cysts and other
ectodermal dysplasias without any association with neoplasia, and from that of
focal dermal hypoplasia (Goltz-Gorlin) syndrome with apocrine cysts but again
without neoplasia.
PMID- 29351119
TI - Conjunctival Dirofilariasis Causing Symptoms for 1 Day.
PMID- 29351120
TI - Grading Using Ki-67 Index and Mitotic Rate Increases the Prognostic Accuracy of
Pancreatic Neuroendocrine Tumors.
AB - OBJECTIVES: To measure the usefulness of Ki-67 proliferative index (Ki-67 index)
as a prognostic variable for grading pancreatic neuroendocrine tumors. METHODS: A
multi-institutional prospective database comprising 350 patients. Grading based
on mitotic activity (<2 mitoses/10 high-power fields, 2-20 and >20) and Ki-67
index (<3% per 10 high-power fields, 3%-20% and >20%). Final grade selected based
on higher grade of either variable. RESULTS: Most patients were in the less than
3% (n = 158) and 3% to 20% Ki-67 category (n = 107), with a minority being high
grade (Ki-67 > 20%, n = 27). Discordance between Ki-67 and mitotic rate was noted
in 58 patients. On multivariate analysis, final-grade (grade 2: P = 0.010, hazard
ratio [HR], 1.2; grade 3: P = 0.002; HR, 2.8), Ki-67, mitotic rate, and lymph
node status were significant prognostic markers for overall survival (OS). For
disease-free survival (DFS), only final-grade (grade 2: P = 0.05; HR, 1.4; grade
3: P = 0.009; HR, 2.3), Ki-67, mitotic rate, and margin status significantly
predicted DFS. Ki-67 was a better model for OS and mitotic rate for DFS. Overall
combined final grade was the best model based on HR. CONCLUSION: Ki-67 is a
strong prognostic factor for OS and DFS and should be included in all pancreatic
neuroendocrine tumor pathology.
PMID- 29351121
TI - Serum Immunoglobulin G4 in Discriminating Autoimmune Pancreatitis From Pancreatic
Cancer: A Diagnostic Meta-analysis.
AB - OBJECTIVE: Differentiation between autoimmune pancreatitis (AIP) and pancreatic
cancer (PC) is a clinical challenge. Emerging published data on the accuracy of
serum immunoglobulin G4 (IgG4) for the differential diagnosis between AIP and PC
are inconsistent. The objective of our study was to perform a meta-analysis
evaluating the clinical utility of serum IgG4 in the differential diagnosis
between AIP and PC. METHODS: We performed a systematic literature search of
multiple electronic databases. The methodological quality of each study was
assessed according to the Quality Assessment of Diagnostic Accuracy Studies
checklist. Random-effects model was used to summarize the diagnostic odds ratio
and other measures of accuracy. RESULTS: Eleven studies comprising 523 AIP
patients and 771 PC patients were included in the meta-analysis. The summary
estimates for serum IgG4 in distinguishing AIP from PC were as follows:
diagnostic odds ratio, 57.30 (95% confidence interval [CI], 23.17-141.67);
sensitivity, 0.72 (95% CI, 0.68-0.76); specificity, 0.93 (95% CI, 0.91-0.94). The
area under the curve of serum IgG4 in distinguishing AIP from PC was 0.9200.
CONCLUSIONS: Our meta-analysis found that serum IgG4 has high specificity and
relatively low sensitivity in the differential diagnosis between AIP and PC.
Therefore, serum IgG4 is useful in distinguishing AIP from PC.
PMID- 29351122
TI - How to Reliably Assess Nodal Status in Distal Pancreatectomy for Adenocarcinoma.
AB - OBJECTIVES: The optimal number of lymph nodes that need to be analyzed to
reliably assess nodal status in distal pancreatectomy for adenocarcinoma is still
unknown. METHODS: Two hundred seventy-eight patients who underwent distal
pancreatectomy for adenocarcinoma were retrieved from a retrospective French
nationwide database. The relations between the number of analyzed lymph nodes and
the nodal status of the tumor were studied. The beta-binomial law was used to
estimate the probability of being truly node negative depending on the number of
analyzed lymph nodes. Cox proportional hazard model was used for the survival
analysis. RESULTS: The median number of analyzed lymph nodes was 15. There was a
positive correlation between the number of positive lymph nodes and the number of
lymph nodes analyzed. The curve reached a plateau at approximately 25 lymph
nodes. The beta binomial model demonstrated that an analysis of 21 negative lymph
nodes shows a probability to be truly N0 at 95%. N+ status was associated with
survival, but the number of lymph node analyzed was not. CONCLUSION: At least 21
lymph nodes should be analyzed to ensure a reliable assessment of the nodal
status, but this number may be hard to reach in distal pancreatectomy.
PMID- 29351123
TI - Accuracy of 18F-NaF PET/CT in bone metastasis detection and its effect on patient
management in patients with breast carcinoma.
AB - OBJECTIVE: Determination of the accuracy of sodium fluorine-18-fluoride (F-NaF)
PET/computed tomography (CT) for the evaluation of bone metastases, and the
impact on patient management in breast cancer patients. PATIENTS AND METHODS:
Patients with breast cancer, referred for F-NaF PET/CT between February 2014 and
June 2016, were included in a database. Baseline characteristics, clinical
indication, definitive diagnosis according to follow-up data, as well as changes
in patient management were recorded. Follow-up was performed during a period of
at least 6 months using histopathologic, medical imaging, biochemical, and
clinical data. RESULTS: A total of 118 patients were included in the study.
Indications for F-NaF PET/CT included primary staging (12%), follow-up (31%),
bone pain (52%), abnormal laboratory findings (5%), and evaluation of equivocal
osseous lesions on other imaging modalities (3%). Bone metastases were found in
42%, whereas 53% of the scans were negative and 5% yielded equivocal results.
Correlation with the reference standard yielded a sensitivity of 0.96, a
specificity of 0.91, a positive predictive value of 0.89, a negative predictive
value of 0.97, and an accuracy of 0.93. In 25% of the patients, the scan results
led to alterations in patient management. F-NaF PET/CT for the evaluation of bone
pain showed no explanation in 29%, benign pathology in 66%, and bone metastases
in 5%. CONCLUSION: In the present cohort of patients with breast cancer, F-NaF
PET/CT detected bone metastases in 42% with an accuracy of 0.93. The scan results
led to a change in patient management in 25%. In the evaluation of bone pain, an
explanation for pain was found in 71% of the scans.
PMID- 29351124
TI - Feasibility assessment of yttrium-90 liver radioembolization imaging using
amplitude-based gated PET/CT.
AB - PURPOSE: The usage of PET/computed tomography (CT) to monitor hepatocellular
carcinoma patients following yttrium-90 (Y) radioembolization has increased.
Respiratory motion causes liver movement, which can be corrected using gating
techniques at the expense of added noise. This work examines the use of amplitude
based gating on Y-PET/CT and its potential impact on diagnostic integrity.
PATIENTS AND METHODS: Patients were imaged using PET/CT following Y
radioembolization. A respiratory band was used to collect respiratory cycle data.
Patient data were processed as both standard and motion-corrected images. Regions
of interest were drawn and compared using three methods. Activity concentrations
were calculated and converted into dose estimates using previously determined and
published scaling factors. Diagnostic assessments were performed using a binary
scale created from published Y-PET/CT image interpretation guidelines. RESULTS:
Estimates of radiation dose were increased (P<0.05) when using amplitude-gating
methods with Y PET/CT imaging. Motion-corrected images show increased noise, but
the diagnostic determination of success, using the Kao criteria, did not change
between static and motion-corrected data. CONCLUSION: Amplitude-gated PET/CT
following Y radioembolization is feasible and may improve Y dose estimates while
maintaining diagnostic assessment integrity.
PMID- 29351125
TI - Central inhibition of granulocyte-macrophage colony-stimulating factor is
analgesic in experimental neuropathic pain.
AB - With less than 50% of patients responding to the current standard of care and
poor efficacy and selectivity of current treatments, neuropathic pain continues
to be an area of considerable unmet medical need. Biological therapeutics such as
monoclonal antibodies (mAbs) provide better intrinsic selectivity; however,
delivery to the central nervous system (CNS) remains a challenge. Granulocyte
macrophage colony-stimulating factor (GM-CSF) is well described in inflammation
induced pain, and early-phase clinical trials evaluating its antagonism have
exemplified its importance as a peripheral pain target. Here, we investigate the
role of this cytokine in a murine model of traumatic nerve injury and show that
deletion of the GM-CSF receptor or treatment with an antagonizing mAb alleviates
pain. We also demonstrate enhanced analgesic efficacy using an engineered
construct that has greater capacity to penetrate the CNS. Despite observing GM
CSF receptor expression in microglia and astrocytes, the gliosis response in the
dorsal horn was not altered in nerve injured knockout mice compared with wild
type littermate controls as evaluated by ionized calcium binding adapter molecule
1 (Iba1) and glial fibrillary acidic protein, respectively. Functional analysis
of glial cells revealed that pretreatment with GM-CSF potentiated
lipopolysaccharide-induced release of proinflammatory cytokines. In summary, our
data indicate that GM-CSF is a proinflammatory cytokine that contributes to
nociceptive signalling through driving spinal glial cell secretion of
proinflammatory mediators. In addition, we report a successful approach to
accessing CNS pain targets, providing promise for central compartment delivery of
analgesics.
PMID- 29351126
TI - Incidence and Risk Factors for Falls in Women With End-Stage Hip Osteoarthritis.
AB - BACKGROUND AND PURPOSE: Fall-induced injuries and resulting deaths are a serious
health problem among older adults. The most common risk factors for falls in
older adults are muscle weakness, gait deficiencies, and balance deficits.
Patients with end-stage hip osteoarthritis (OA) also have many hip dysfunctions,
and these all have the potential to increase the risk of falls. However, the
incidence and risk factors for falls in patients with end-stage hip OA remain
unclear. The aim of this study was to determine the incidence of falls in women
with end-stage hip OA and to identify risk factors for falls in this patient
population. METHODS: This study was a cross-sectional analysis. One hundred fifty
three women with end-stage hip OA (mean age = 64.0 years) and 112 age-matched
healthy women (mean age = 64.1 years) were analyzed using available data. All
participants were examined for the number and circumstances of falls in the past
year. The circumstances of falls included the location, time, direction, cause,
and injury. We examined the outcome of hip function, ambulatory ability, physical
activity, and limping severity in women with end-stage hip OA. Multivariate
logistic regression analysis was used to identify factors influencing falls in
women with hip OA. RESULTS: The incidence of at least 1 fall in the past year was
significantly higher in women with end-stage hip OA (30.1%) than in healthy women
(12.5%) (P < .001). Falls in women with end-stage hip OA were most often caused
by tripping and falling forward during the daytime. The majority of falls (65.2%)
resulted in injuries and 13.0% resulted in fractures. The occurrence of a fall
significantly correlated with limping (odds ratio = 3.26, 95% confidence interval
= 1.49-7.14, P = .003) and knee extensor muscle strength (odds ratio = 0.22, 95%
confidence interval = 0.05-0.85, P = .029). CONCLUSIONS: Women with end-stage hip
OA have an increased risk of falls and fall-induced injuries. The prevention of
falls in this vulnerable population should be a priority among health care
practitioners. In particular, women who are limping and have reduced lower knee
extensor strength should take care to avoid falls.
PMID- 29351127
TI - Four Months of Wearing a Balance Orthotic Improves Measures of Balance and
Mobility Among a Cohort of Community-Living Older Adults.
AB - BACKGROUND AND PURPOSE: The Centers for Disease Control and Prevention estimated
that there were 29 million falls and 7 million injuries in 2014 in the United
States. Falls, decreased balance, and mobility disability are common in older
adults and often result in loss of independence. Finding interventions to address
these issues is important, as this age group is growing exponentially. Prior
studies indicate balance and mobility can be improved by the balance-based torso
weighting (BBTW) assessment implemented through wear of a balance orthotic (BO).
This study sought to determine the impact of wearing a BO on balance, mobility,
and fall risk over time. METHODS: This quasiexperimental, 1-group pre-/posttest
study investigated the effect of 4 months of daily wear (4 hours per day) of a BO
on mobility, balance, and falls efficacy in 30 older adults living in a
retirement community with limited mobility defined by a Short Physical
Performance Battery (SPPB) score range between 4 and 9 out of a maximum of 12
points. Pre- and posttreatment tests included the Timed Up and Go (TUG),
Functional Gait Assessment (FGA), Falls Efficacy Scale (FES), and SPPB.
Participants received the BBTW assessment, consisting of individualized
assessment of 3-dimensional balance loss, and treatment with a strategically
weighted and fitted BO to control balance loss. The BO was worn twice a day for 2
hours (4 hours per day) for 4 months. Participants continued regular activity and
no other interventions were provided. All posttests were conducted after 4 months
and at least 8 hours after removal of the BO. Subitems from the SPPB (gait speed
[GS], 5-time sit-to-stand [FTSST], and tandem stance time [TST]) were analyzed as
separate outcome measures. Data were analyzed with paired t tests with a
Bonferroni correction (SPPB, GS, FGA, and FES) when statistical assumptions were
met. Data that did not meet the statistical assumptions of the paired t test
(FTSST, TST, and TUG) were analyzed with Wilcoxon signed rank tests with a
Bonferroni correction. RESULTS AND DISCUSSION: Twenty-four subjects, average age
87 (5.7) years, completed the study. Paired t tests indicated that mean group
scores on the SPPB, GS, and FGA significantly improved from pre- to posttests.
The SPPB improved by 1.3 points (P = .001). GS improved by 0.09 m/s (P = .004)
and both mean values improved beyond fall risk cutoffs. The FGA also improved by
2.6 points (P = .001). There were no significant changes in FES scores (P =
.110). Wilcoxon signed rank tests indicated median group scores of the FTSST
significantly improved from pre- to posttests by 7.4 seconds (P = .002) and
median TUG times improved by 3.5 seconds (P = .004). There were no changes in TST
(P = .117). CONCLUSIONS: This study suggests that wearing a BO for 4 hours per
day for 4 months results in improvements in functional assessments related to
fall risk (SPPB, GS, FGA, TUG, and FTSST) in a group of older adult participants
with limited mobility.
PMID- 29351128
TI - Fluid Removal With Ultrasound Guided Protocol Improves the Efficacy and Safety of
Dehydration in Post-Resuscitated Critically Ill Patients: A Quasi-Experimental,
Before and After Study.
AB - Fluid overload is associated with increased morbidity and mortality in critically
ill patients. However, researches rarely study the precise start or end point of
fluid removal and no protocol was developed to control the fluid removal process.
We hypothesized that individualized fluid removal with ultrasound-guided protocol
could improve the efficacy and safety of fluid removal in post-resuscitated
critically ill patients. A quasi-experimental, before and after trial was
conducted to identify the benefits of ultrasound-guided fluid removal. Fluid
removal was performed either following the doctor's experience in Control group,
or abiding the ultrasound guided protocol in Ultrasound group. The study end
points were the start time, end time, length of fluid removal, and the
complications related to fluid removal. A total of 85 subjects were finally
analyzed in this study. The fluid removal was started earlier, completed quicker
and ended earlier (21.0 +/- 14.6 h vs. 35.1 +/- 26.5 h, 49.8 +/- 32.6 vs. 93.0 +/
42.8 h, 69.0 +/- 32.2 h vs. 126.4 +/- 52.5 h, P < 0.05) in Ultrasound group than
in Control. The subjects had more daily negative fluid balance and urine output (
990.4 +/- 636.1 mL vs. -723.6 +/- 549.5 mL, 2425.8 +/- 886.7 mL vs. 1560.7 +/-
1125.3 mL, P < 0.05) in Ultrasound group. The time of lung B-lines to reduce to
zero was shorter and B-line at the end point was less (49.5 +/- 36.6 h vs. 75.6
+/- 58.8 h, 0[1] vs. 0[0], P < 0.05) in Ultrasound group. The length of intensive
care unit stay in shock subgroup had a tendency to shorten (96.1 +/- 61.5 h vs.
174.6 +/- 132.0 h, P > 0.05) in Ultrasound group. We concluded that fluid removal
with individualized ultrasound-guided protocol improves the efficacy and safety
of dehydration in critically ill patients.
PMID- 29351129
TI - Impact of Pulmonary Rehabilitation on Exercise Tolerance and Quality of Life in
Patients With Idiopathic Pulmonary Fibrosis: A SYSTEMATIC REVIEW AND META
ANALYSIS.
AB - BACKGROUND: Patients with pulmonary fibrosis are living longer and present with
an increasing number of comorbidities over time. Pulmonary rehabilitation, as a
nonpharmacological approach, may be promising in these patients, although there
is limited information on the impact of pulmonary rehabilitation on exercise
tolerance and quality of life. Thus, conducting a systematic review and meta
analysis, the purpose of this study was to determine the effects of pulmonary
rehabilitation on exercise tolerance and quality of life in patients with
idiopathic pulmonary fibrosis. METHODS: We searched MEDLINE, Cochrane Library,
Embase, Scielo, PEDro, and CINAHL (from the earliest date available to June 2016)
for trials. Study selection included randomized controlled trials (RCTs) that
examined the effects of pulmonary rehabilitation in patients with idiopathic
pulmonary fibrosis. Two reviewers selected studies independently. Data were
extracted from published RCTs. Study quality was evaluated using the PEDro scale.
Weighted mean differences, standard mean differences, and 95% CIs were
calculated. RESULTS: We analyzed data from 5 RCTs comparing a pulmonary
rehabilitation group with a control group. Pulmonary rehabilitation improved
exercise tolerance weighted mean differences (44 m; 95% CI, 5.3-82.8) compared
with no exercise. The meta-analyses also showed significant improvement in
symptoms, impact, and total score from the St George's Respiratory Questionnaire
for participants in pulmonary rehabilitation compared with control. No serious
adverse events were reported. CONCLUSION: Pulmonary rehabilitation is effective
in increasing exercise tolerance and improving quality of life in patients with
idiopathic pulmonary fibrosis.
PMID- 29351130
TI - Comparative Effectiveness of Low-Volume Time-Efficient Resistance Training Versus
Endurance Training in Patients With Heart Failure.
AB - PURPOSE: Cardiorespiratory fitness is positively related to heart failure (HF)
prognosis, but lack of time and low energy are barriers for adherence to
exercise. We, therefore, compared the effect of low-volume time-based resistance
exercise training (TRE) with aerobic moderate-intensity cycling (AMC) on maximal
and submaximal exercise capacity, health-related quality of life, and vascular
function. METHODS: Twenty-eight HF patients (New York Heart Association class I
II) performed AMC (n = 14) or TRE (n = 14). Maximal and submaximal exercise
capacity, health-related quality of life, and vascular function were evaluated
before and after a 6-wk training intervention with 3 training sessions per week.
The AMC group and the TRE group trained for 45 and 25 min per training session,
respectively. During the training sessions, the TRE and AMC groups trained at 60
+/- 4% and 59 +/- 2% (mean +/- standard deviation) of (Equation is included in
full-text article.)O2peak, respectively. RESULTS: The energy expenditure was
significantly greater in AMC than in TRE (P < .05). The (Equation is included in
full-text article.)O2peak and Wattpeak increased in AMC group (P < .001) and TRE
group (P = .001), with no differences between groups. Six-minute walk distance
also increased in both groups (AMC, P = .006 and TRE, P = .036), with no
difference between groups. Health-related quality of life improved equally in the
2 groups, whereas vascular function did not change in either group. CONCLUSION:
These results demonstrate that AMC and TRE equally improved exercise capacity and
health-related quality of life in lower New York Heart Association-stage HF
patients, despite less time required as well as lower energy expenditure during
TRE than during AMC. Therefore, TRE might represent a time-efficient exercise
modality for improving adherence to exercise in patients with class I-II HF.
PMID- 29351131
TI - Quality of life on long-term parenteral nutrition: can it be an indication for
intestinal transplantation?
AB - PURPOSE OF REVIEW: Long-term outcomes in patients with intestinal failure and
following intestine transplantation have improved significantly over the last
decade. With these improvements, listing criteria for intestine transplantation
are reevaluated and the role of quality of life (QoL) in patients' outcome is
increasingly studied and emphasized. Here, we review the recent literature on QoL
before and after intestine transplantation and discuss whether QoL should be
considered as a listing criterion for intestine transplantation. RECENT FINDINGS:
Patients on home parenteral nutrition, experience lower QoL compared with healthy
population and equal or lower QoL than patients with other chronic diseases.
After transplantation, QoL improves and is comparable in some QoL domains to that
of the general population. These findings are limited by heterogeneous study
designs and sample size. Although QoL improves after intestine transplantation,
long-term survival of patients receiving HPN surpass postintestine
transplantation survival. Poor QoL is not associated with an increased mortality
in patients receiving HPN. SUMMARY: Current survival outcomes of intestine
transplantation do not justify poor QoL as a single listing criterion for
intestine transplantation. However, intestine transplantation should be
considered in patients with unique circumstances of extremely poor QoL. This
approach may change once postintestine transplantation patient survival will
further improve.
PMID- 29351132
TI - A Mixed-Methods Approach to Humanistic Interprofessional Faculty Development.
AB - INTRODUCTION: This study evaluated the effectiveness of the Mentoring and
Professionalism in Training (MAP-IT) program, a longitudinal, interprofessional
faculty development curriculum designed to enhance clinicians' humanistic
mentoring skills, specifically nurses and physicians. METHODS: During 2014 to
2016, two consecutive cohorts of nurses and physicians completed the MAP-IT
program. Participants included 169 high potential mentors (HPMs) and 61
facilitator leaders. Each 10-month program consisted of small group work,
experiential learning, and critical reflection aimed at enhancing humanistic
mentoring skills. Throughout the program, facilitator leaders served as mentors
for the HPMs. Both quantitative and qualitative data were collected to measure
changes in skill levels specific to humanistic teaching practices, as well as
overall evaluation of the program's curriculum and logistics. Quantitative data
were analyzed using the Wilcoxon signed-rank test, descriptive statistics, and
Fisher exact test, as appropriate. Qualitative data assessing the overall impact
and applicability of the program to the clinical setting were analyzed using
content analysis methodology. RESULTS: Across cohorts, HPMs demonstrated
significant increases in perceptions of humanistic teaching skills. During
objective structured teaching simulations, significant increases were also found
in HPMs' self-reported mentoring skills and their skills as rated by a
standardized RN/MD. Qualitative analyses revealed themes of the program to be
skill development and application, mentorship, humanism, mindfulness, self-care,
and acknowledgment of overlapping interprofessional roles. DISCUSSION: Utilizing
an interprofessional education approach, the MAP-IT program was determined to be
a feasible strategy to positively impact the personal and professional
development of nurses and physicians.
PMID- 29351133
TI - Using Clinical Questions Asked by Primary Care Providers Through eConsults to
Inform Continuing Professional Development.
AB - INTRODUCTION: Continuing professional development (CPD) offerings should address
the educational needs of health care providers. Innovative programs, such as
electronic consultations (eConsults), provide unique educational opportunities
for practice-based needs assessment. The purpose of this study is to assess
whether CPD offerings match the needs of physicians by coding and comparing
session content to clinical questions asked through eConsults. METHODS: This
study analyzes questions asked by primary care providers between July 2011 and
January 2015 using a service that allows specialists to provide consultation over
a secure web-based server. The content of these questions was compared with the
CPD courses offered in the area in which these primary care providers are
practicing over a similar period (2012-2014). The clinical questions were
categorized by the content area. The percentage of questions asked about each
content area was calculated for each of the 12 specialties consulted. CPD course
offerings were categorized using the same list of content areas. Percentage of
minutes dedicated to each content area was calculated for each specialty. The
percentage of questions asked and the percentage of CPD course minutes for each
content area were compared. RESULTS: There were numerous congruencies and
discrepancies between the proportion of questions asked about a given content
area and the CPD minutes dedicated to it. DISCUSSION: Traditional needs
assessment may underestimate the need to address topics that are frequently the
subject of eConsults. Planners should recognize eConsult questions as a valuable
source of practice-associated challenges that can identify professional
development needs of physicians.
PMID- 29351134
TI - Carotid Doppler sonography: additional tool to assess hemodynamic improvement
after transcatheter aortic valve implantation.
AB - PURPOSE: The aim of our study was to assess the arterial cerebral blood flow
variations in patients with aortic valve stenosis, immediately after the
transcatheter aortic valve implantation (TAVI). METHODS: The study population
includes 62 consecutive patients who underwent TAVI for aortic valve stenosis
(95%) and sugical bioprosthesis degeneration (5%). Carotid Doppler examination
was performed recording blood flow, systolic peak velocity, time average mean
velocity and mean acceleration time at baseline, after balloon aortic
valvuloplasty, and within 10 min after the device release. RESULTS: A significant
improvement of blood flow was recorded at the end of the procedure (from 315.05
+/- 141.72 to 538.67 +/- 277.46 ml/min; P < 0.00001). The systolic peak velocity
and the time average mean velocity increased from 52.27 +/- 14.29 to 78.89 +/-
20.48 cm/s (P < 0.00001) and from 12.24 +/- 4.74 to 21.21 +/- 9 cm/s (P <
0.00001), respectively. Consensually, the mean acceleration time decreased from
0.22 +/- 0.02 to 0.03 +/- 0.02 s (P < 0.00001) after the procedure. CONCLUSION:
Monitoring of Doppler measurements may be a useful and noninvasive method to
assess acutely the improvement of hemodynamic flow after TAVI, specifically for
the cerebral district.
PMID- 29351136
TI - Trends and Variability in the Use of Total Shoulder Arthroplasty for Medicare
Patients.
AB - INTRODUCTION: As policies are implemented to encourage high-quality care, it is
important to identify any persistent limitations to the uniform delivery of
anatomic and reverse total shoulder arthroplasty (TSA). The study's goal was to
assess current TSA use and identify predictors of geographic variability.
METHODS: We used data from 2012 through 2014 that was obtained from public
Medicare databases to identify the case volume, locations, and names of surgeons
performing >10 TSAs annually. We also recorded regional characteristics of the
Medicare population, including demographic characteristics and health factors.
RESULTS: From 2012 through 2014, the number of surgeons performing >10 TSAs
annually increased from 824 to 1,060-an increase ranging from 0.75 to 0.95 TSAs
per 1,000 beneficiaries. In 2012, there were 59 hospital referral regions with no
TSAs performed; the number of regions decreased to 35 by 2014 (P = 0.009). The
use of TSA varied widely across regions (range, 0.1 to 6.4 per 1,000
beneficiaries). A larger proportion of white patients and a smaller proportion of
patients eligible for Medicaid were independent predictors for increased use of
TSA. Despite this finding, 74.4% and 96.9% of the US population resided within 50
km and 200 km, respectively, of a surgeon performing at least 20 TSAs in Medicare
patients annually. DISCUSSION: TSA utilization in the Medicare population is
increasing across the country. Although notable geographic disparities in the use
of TSA persist, increased TSA utilization has provided greater access to surgeons
with high-volume TSA caseloads. CONCLUSION: Substantial geographic variation in
TSA use remains, largely due to socioeconomic factors.
PMID- 29351135
TI - Angiography and Embolization in the Management of Bleeding Pelvic Fractures.
AB - The use, timing, and priority of angioembolization in the management of bleeding
pelvic fractures remain ambiguous. The most common vessels for angioembolization
are, in decreasing order, the internal iliac artery and its branches, the
superior gluteal artery, the obturator artery, and the internal pudendal artery.
Technical success rates for this treatment option range from 74% to 100%. The
fracture patterns most commonly requiring angioembolization are the Young and
Burgess lateral compression and anterior-posterior compression types and Tile
type C. Mortality rates after angioembolization of 16% to 50% have been reported,
but deaths are usually related to concomitant injuries. The sensitivity and
specificity of contrast-enhanced CT in detecting the need for angioembolization
range from 60% to 90% and 92% to 100%, respectively. Angioembolization can be
effective in the management of bleeding pelvic fractures, but as with any
treatment, the risks of complications must be considered. Availability of
angioembolization and institutional expertise/preference for the alternative
strategy of pelvic packing influence its use.
PMID- 29351137
TI - Early Response to Warfarin Initiation and the Risk of Venous Thromboembolism
After Total Joint Arthroplasty.
AB - BACKGROUND: Venous thromboembolism chemoprophylaxis with warfarin is common after
total joint arthroplasty. Early response to warfarin initiation has been
theorized to engender a transient increase in the risk of venous thromboembolism.
We hypothesized that a rapid rise in the international normalized ratio is a risk
factor for venous thromboembolism after total joint arthroplasty. METHODS: This
study was a retrospective analysis of Medicare patients undergoing elective total
joint arthroplasty who were given nomogram-dosed warfarin for venous
thromboembolism prophylaxis. Logistic regression was used to assess the
relationship between the postoperative rate of change in the international
normalized ratio and the occurrence of symptomatic venous thromboembolism within
30 days postoperatively. RESULTS: The study included 948 patients (715 total knee
arthroplasty, 233 total hip arthroplasty), of whom 4.4% experienced symptomatic
venous thromboembolism within 30 days postoperatively. The change in the
international normalized ratio from postoperative day 1 to postoperative day 2
was significantly greater in the symptomatic venous thromboembolism group
compared with the group that did not have venous thromboembolism (increase of
0.70 versus 0.46; P = 0.008). Regression analysis showed that a higher rate of
change in the international normalized ratio was associated with increased risk
of symptomatic venous thromboembolism (odds ratio, 2.59 per unit of change in the
international normalized ratio; 95% confidence interval, 1.51-4.38; P = 0.001).
CONCLUSION: A rapid rise in the international normalized ratio after warfarin
initiation in total joint arthroplasty patients is associated with increased risk
of symptomatic venous thromboembolism. This novel finding identifies a population
at risk for this complication. Further study of the early effects of warfarin
therapy is warranted. LEVEL OF EVIDENCE: Level III.
PMID- 29351138
TI - The Effect of Melatonin Upon Postacute Withdrawal Among Males in a Residential
Treatment Program (M-PAWS): A Randomized, Double-blind, Placebo-controlled Trial.
AB - OBJECTIVE: Assess the effect of melatonin (5 mg) compared with placebo as an
adjuvant treatment along with current behavioral and pharmacotherapy for 28 days
on weekly self-reported severity of anxiety, depression, stress, and sleep
complaints, and also how sleep is affecting daily life in males 18 years of age
and older in recovery from substance use at a residential program in south
western Pennsylvania. BACKGROUND: Individuals in recovery experience a variety of
symptoms including, but are not limited to, anxiety, depression, sleep
difficulties, and stress. In the U.S., melatonin is a readily available
nutraceutical that is used to alleviate sleep difficulties. Studies also suggest
that melatonin may also have anxiolytic and antidepressive actions alone, as well
as in those with co-morbid insomnia. Observation of clinicians treating
individuals during and/or post drug cessation indicated that melatonin is
commonly provided specifically to alleviate sleep difficulties with little
evidence regarding efficacy in this population. The paucity of evidence as well
as observation of clinical practices provided the rationale for this randomized
clinical trial. METHODS: A single-center, randomized, double-blind, placebo
controlled, parallel-group trial was conducted. Seventy individuals were
enrolled, block-randomized with an allocation ratio of 1:1. Intention-to-treat
analysis was performed for all primary outcome measures. Primary outcome measures
were assessed with the Generalized Anxiety Disorder Scale (GAD-7), Personal
Health Questionnaire Depression Scale (PHQ-8), Perceived Stress Scale (PSS-14),
and Pittsburgh Sleep Symptom Questionnaire-Insomnia (PSSQ-1). Secondary outcome
measures were to acquire participant characteristics, determine adherence, and
document adverse events. RESULTS: No statistically significant between-group
differences were detected for baseline characteristics. Even though the
proportion of individuals reporting an adverse event between groups was not
significantly different, the frequency of reported adverse events was greater in
the melatonin group. Intention-to-treat analysis for all the measured outcomes
revealed no statistically significant between-group differences for same day
comparisons. CONCLUSIONS: The diversity of medication regimens, and also the
services provided by the residential treatment site add to the complexity of
assessing the efficacy of melatonin on the measured outcomes. Given these
limitations, there exists insufficient evidence to suggest that the effect of
melatonin and placebo on the outcomes were significantly different.
PMID- 29351140
TI - Does Performing Preplacement Workplace Hair Drug Testing Influence US Department
of Transportation Random and Postaccident Urine Drug Test Positivity Rates?
AB - BACKGROUND: Does performing pre-employment hair drug testing subsequently affect
the prevalence of positive random and postaccident urine drug tests? METHODS:
This cross-sectional study was designed to evaluate the prevalence of positive
postaccident and random workplace urine drug tests for companies that perform pre
employment hair and urine drug testing to companies that only perform pre
employment urine drug testing. RESULTS: Fisher exact test of independence
indicated no significant difference between pre-employment hair drug testing and
overall US Department of Transportation random and postaccident urine drug test
positivity rates. CONCLUSIONS: The analysis failed to reject the null hypothesis,
suggesting that pre-employment hair drug testing had no effect upon random and
postaccident urine drug test positivity rates.
PMID- 29351139
TI - A Pilot Randomized Controlled Trial of a Phone-based Intervention for Smoking
Cessation and Relapse Prevention in the Postpartum Period.
AB - OBJECTIVES: To pilot-test a Phone-based Postpartum Continuing Care (PPCC)
protocol developed from existing evidence-based approaches to address both
postpartum smoking relapse among low-income women who quit smoking during
pregnancy and postpartum smoking increase among those who had cut down. METHODS:
One hundred thirty low-income pregnant women who were current or recently quit
tobacco smokers were recruited at their first prenatal appointment and randomized
to either a Control (standard care) or Experimental (standard care + PPCC) group.
An intent-to-treat analysis was conducted on biochemically verified data from 6
in-person interviews during pregnancy and postpartum. Feasibility with regard to
recruitment, randomization, assessment, and implementation of PPCC were assessed,
along with acceptability among the target population. RESULTS: PPCC was found to
be feasible and acceptable to some participants, but not all. There were no
significant differences in tobacco products per day at 6 months postpartum
between groups; however, effect sizes differed at 6 weeks compared with 6 months
postpartum. Similarly, there were no significant differences between groups in
cessation rate (24% in each group) and past 90-day tobacco use (59 vs 55 days,
for Control and Experimental groups, respectively). CONCLUSIONS: The PPCC
intervention did not differentially reduce tobacco use postpartum compared with a
controlled comparison group, though it was found to be acceptable among a
subpopulation of low-income pregnant women and feasible with regard to
recruitment, randomization, assessment procedures, and implementation. Further
research is needed to identify an intervention that significantly improves
smoking relapse rates postpartum.
PMID- 29351141
TI - An Exploration of Emergency Physicians' Attitudes Toward Patients With Substance
Use Disorder.
AB - OBJECTIVES: Much is known about some healthcare professionals' attitudes toward
patients with substance use disorders, but few studies have specifically looked
at emergency department (ED) physicians. Individuals with substance use disorders
are more likely to be people who chronically, frequently use the ED, and thus ED
physicians are in a unique position to provide early identification and
intervention for people struggling with addiction. The purpose of this study was
to understand ED physicians' attitudes toward patients with substance use
disorder with the aim of decreasing stigma and improving the care of ED patients
with substance use disorder. METHODS: An anonymous Qualtrics survey was emailed
to 115 emergency physicians in the Johns Hopkins Health System. The survey
contained (1) demographics and (2) the medical condition regard scale,
http://links.lww.com/JAM/A67. Participants were offered a $10 Amazon gift card to
complete the survey. RESULTS: The response rate was 50% (n = 58) and the
completion rate was 43% (n = 50). Physicians had lower regard for patients with
substance use disorders than other medical conditions with behavioral components.
Of note, 54% of respondents indicated that they at least "somewhat agree" that
they "prefer not to work with patients with substance use who have pain."
CONCLUSIONS: A significant portion of our study population had low regard for
patients with substance use. Future research is needed to determine significant
contributing factors and develop interventions to mitigate negative attitudes
among ED physicians toward patients with substance use disorder.
PMID- 29351142
TI - Worldwide end-of-life practice for patients in ICUs.
AB - PURPOSE OF REVIEW: Published data and practice recommendations on end-of-life
(EOL) generally reflect Western practice frameworks. Understanding worldwide
practices is important because improving economic conditions are promoting rapid
expansion of intensive care services in many previously disadvantaged regions,
and increasing migration has promoted a new cultural diversity previously
predominantly unicultural societies. This review explores current knowledge of
similarities and differences in EOL practice between regions and possible causes
and implications of these differences. RECENT FINDINGS: Recent observational and
survey data shows a marked variability in the practice of withholding and
withdrawing life sustaining therapy worldwide. Some evidence supports the view
that culture, religion, and socioeconomic factors influence EOL practice, and
individually or together account for differences observed. There are also likely
to be commonly desired values and expectations for EOL practice, and recent
attempts at establishing where worldwide consensus may lie have improved our
understanding of shared values and practices. SUMMARY: Awareness of differences,
understanding their likely complex causes, and using this knowledge to inform
individualized care at EOL is likely to improve the quality of care for patients.
Further research should clarify the causes of EOL practice variability, monitor
trends, and objectively evaluate the quality of EOL practice worldwide.
PMID- 29351143
TI - Nutrition in the ICU: new trends versus old-fashioned standard enteral feeding?
AB - PURPOSE OF REVIEW: The narrative review aims to summarize the relevant studies
from the last 2 years and provide contextual information to understand findings.
RECENT FINDINGS: Recent ICU studies have provided insight in the pathophysiology
and time course of catabolism, anabolic resistance, and metabolic and endocrine
derangements interacting with the provision of calories and proteins.Early
provision of high protein intake and caloric overfeeding may confer harm.
Refeeding syndrome warrants caloric restriction and to identify patients at risk
phosphate monitoring is mandatory.Infectious complications of parenteral
nutrition are associated with overfeeding. In recent studies enteral nutrition is
no longer superior over parenteral nutrition.Previously reported benefits of
glutamine, selenium, and fish oil seem to have vanished in recent studies;
however, studies on vitamin C, thiamine, and corticosteroid combinations show
promising results. SUMMARY: Studies from the last 2 years will have marked impact
on future nutritional support strategies and practice guidelines for critical
care nutrition as they challenge several old-fashioned concepts.
PMID- 29351144
TI - Cardiac dysfunction in critical illness.
AB - PURPOSE OF REVIEW: Sepsis and septic shock are prevalent conditions that are
likely to increase in prevalence in the future. Given the high mortality and
morbidity associated with sepsis and sepsis-induced cardiac dysfunction, we must
continue to make advances in knowledge of the complex physiologic interactions
and how we may target specific mediators for potential therapeutic options in the
future. RECENT FINDINGS: Multiple biomarkers have been discovered, which when
assayed in sepsis-induced cardiomyopathy predict morbidity and mortality. With
increased sensitivity of echocardiography, we can diagnose subclinical cardiac
dysfunction, which may have future implications for slowing or preventing
progressive dysfunction. SUMMARY: Sepsis-induced cardiomyopathy is the result of
complicated interactions between the pathogen, the body's response to infection,
and iatrogenic injury. Interplay between inflammatory, metabolic, and adrenergic
systems results in direct and indirect myocardial injury leading to decreases in
both systolic and diastolic cardiac function. As the interactions are further
elucidated with additional research into other proteins and mediators, new
treatment options can be researched. VIDEO ABSTRACT.
PMID- 29351147
TI - Foreword.
PMID- 29351145
TI - Recent evidence on early mobilization in critical-Ill patients.
AB - PURPOSE OF REVIEW: To examine the benefits of early mobilization and summarize
the results of most recent clinical studies examining early mobilization in
critically ill patients followed by a presentation of recent developments in the
field. RECENT FINDINGS: Early mobilization of ICU patients, defined as
mobilization within 72 h of ICU admission, is still uncommon. In medical and
surgical critically ill patients, mobilization is well tolerated even in
intubated patients. In neurocritical care, evidence to support early mobilization
is either lacking (aneurysmal subarachnoid hemorrhage), or the results are
inconsistent (e.g. stroke). Successful implementation of early mobilization
requires a cultural change; preferably based on an interprofessional approach
with clearly defined responsibilities and including a mobilization scoring
system. Although the evidence for the majority of the technical tools is still
limited, the use of a bed cycle ergometer and a treadmill with strap system has
been promising in smaller trials. SUMMARY: Early mobilization is well tolerated
and feasible, resulting in improved outcomes in surgical and medical ICU
patients. Implementation of early mobilization can be challenging and may need a
cultural change anchored in an interprofessional approach and integrated in a
patient-centered bundle. Scoring systems should be integrated to define daily
goals and used to verify patients' achievements or identify barriers immediately.
PMID- 29351150
TI - Foreword.
PMID- 29351148
TI - Women's Health Outpatient Care Teams: Focus on Advanced Practice Providers.
AB - Team-based care in the outpatient women's health setting has the potential to
help alleviate the demand for women's health care providers and to deliver
improved quality of care to the growing population of US women. Although teamwork
is necessary in the current health care system, most of the current obstetrics
and gynecology and advanced practice provider (APP) workforce were not trained
for collaborative practice. Core competencies for building an effective
outpatient women's health care team are explained and current evidence regarding
the specific role of APPs in women's health care is reviewed.
PMID- 29351151
TI - Hepatitis C in Pregnancy in the Era of Direct-acting Antiviral Treatment:
Potential Benefits of Universal Screening and Antepartum Therapy.
AB - Hepatitis C in pregnancy is on the rise, and new direct-acting antiviral agents
are available that cure the disease. Published recommendations need to be
reviewed and clinically evaluated for the care of pregnant women who are at risk
for or have chronic hepatitis C. Available evidence and some of the medical and
ethical reasons to consider universal screening and antepartum therapy for
hepatitis C during pregnancy are presented. Universal screening and proactive
treatment during pregnancy is on the horizon, and these measures should be
quickly evaluated for safety and implemented if appropriate.
PMID- 29351152
TI - Ebola Infection in Pregnancy: A Global Perspective and Lessons Learned.
AB - The 2014 to 2016 Ebola outbreak, primarily based in 3 West African countries, had
far-reaching global effects. Importantly, the crisis highlighted large gaps in
reproductive health services in affected countries and inadequate health care
system preparedness for obstetrical patients in the setting of highly contagious
infectious diseases. We aim to review Ebola virus effects with a focus on the
obstetrical implications in the context of this recent Ebola outbreak, discuss
the lessons learned following this outbreak and propose current measures specific
to obstetrics that should be considered in preparation for the next concerning
emergent infectious disease.
PMID- 29351154
TI - Predictors of Health-related Quality of Life in Irritable Bowel Syndrome Patients
Compared With Healthy Individuals.
AB - BACKGROUND: Irritable bowel syndrome (IBS) reduces health-related quality of life
(HRQOL). It is unclear how having IBS modifies the impact of gastrointestinal
(GI), psychosocial, and somatic symptom variables on HRQOL compared with healthy
controls (HCs). AIMS: (1) Determine psychosocial, somatic, and physical status
variables most predictive of HRQOL in IBS and HCs and (2) determine if IBS status
modifies relationships between predictive factors and HRQOL. METHODS: IBS
patients and HCs completed validated questionnaires measuring GI symptoms,
psychosocial/somatic variables, and physical [physical component score (PCS)] and
mental [mental component score (MCS)] HRQOL via the Short-Form-36. Associations
between these variables and HRQOL were evaluated with multiple linear
regressions. Variables were standardized to determine the strongest predictors of
HRQOL. Statistical significance level was 0.01. RESULTS: Mean HRQOL was higher in
417 HCs versus 290 IBS subjects (PCS: 55.6 vs. 48.6, P<0.001; MCS: 53.7 vs. 44.8,
P<0.001). The GI symptom measures were negatively associated with PCS in IBS, but
only usual severity was associated with MCS (P<0.01). In all subjects,
psychosocial and somatic measures were associated with MCS and not PCS excluding
GI symptom anxiety, which correlated with both (P<0.01). The strongest predictor
of MCS was perceived stress in IBS and depression symptoms in HCs. GI symptom
anxiety was the strongest predictor of PCS in both. Greater perceived stress and
somatic symptom severity and less mindfulness was linked to larger reductions in
HRQOL for IBS compared with HCs (P<0.01). CONCLUSIONS: GI symptom severity and
anxiety correlate with PCS, whereas psychosocial/somatic measures parallel MCS.
However, HRQOL is comparable in IBS and HCs when perceived stress, somatic
symptom severity, and mindfulness are at optimal levels. These findings may have
important implications in the management of IBS.
PMID- 29351155
TI - Inpatient Outcomes for Gastrointestinal Bleeding Associated With Percutaneous
Coronary Intervention.
AB - GOALS: The goal of this study was to evaluate the impact of inpatient outcomes of
gastrointestinal bleeding (GIB) related to percutaneous coronary intervention
(PCI). BACKGROUND: With all-cause mortality increasing in patients undergoing
PCIs, outcomes for GIB associated with PCI may be adversely impacted. STUDY:
Using the National Inpatient Sample (2007 to 2012), we performed a nested case
control study assessing inpatient outcomes including incidence and mortality for
PCI-related GIB hospitalizations. Multivariate logistic regression analyses were
performed to determine significant predictors for GIB incidence and mortality.
RESULTS: A total of 9332 (1.2%) of PCI hospitalizations were complicated by GIB
with the age-adjusted incidence rate increasing 13% from 2007 (11.3 GIB per 1000
PCI) to 2012 (12.8). Patients >=75 years of age experienced the steepest incline
in GIB incidence, which increased 31% during the study period. Compared with non
GIB patients, mean length of stay (9.4 d vs. 3.3 d) and median cost of care
($29,236 vs. $17,913) was significantly higher. Significant demographic risk
factors for GIB included older age and comorbid risk factors included gastritis
or duodenitis, and Helicobacter pylori infection.In total, 1044 (11%) of GIB
patients died during hospitalization with the GIB mortality rate increasing 30%
from 2007 (95 deaths per 1000 GIB) to 2012 (123). Older age had the strongest
association with inpatient mortality. CONCLUSIONS: Inpatient incidence and
mortality for PCI-related GIB has been increasing particularly with a large
increase in incidence among older patients. A multidisciplinary approach focused
on risk-stratifying patients may improve preventable causes of GIB.
PMID- 29351156
TI - Liquid Nitrogen Spray Cryotherapy is Associated With Less Postprocedural Pain
Than Radiofrequency Ablation in Barrett's Esophagus: A Multicenter Prospective
Study.
AB - GOALS AND BACKGROUND: Two common endoscopic therapies for eradication of
dysplastic Barrett's esophagus are radiofrequency ablation (RFA) and liquid
nitrogen spray cryotherapy (LNC). There is no data comparing postprocedural pain.
This study aimed to compare the incidence of postprocedural pain between the 2
ablation modalities. METHODS: This is a multicenter prospective study in which
pain intensity scores and the presence of dysphagia were assessed immediately
before and after treatment, 48 hours posttreatment and at 3 weeks posttreatment
using validated instruments. RESULTS: Of 94 patients, 35 underwent LNC and 59
underwent RFA [36 with focal radiofrequency ablation (RFA-F) and 23 with
circumferential radiofrequency ablation (RFA-C)]. Immediately posttreatment,
patients in the LNC group reported an average Numeric Pain Scale score that was
lower than in the RFA groups [LNC 0.41 vs. RFA-F 1.18 (P=0.026), LNC 0.41 vs. RFA
C 1.38 (P=0.010)]. These differences persisted at 48 hours posttreatment [LNC
0.76 vs. RFA-F 1.77 (P=0.013), LNC 0.76 vs. RFA-C 1.73 (P=0.018)]. The odds of
pain after RFA were at least 5 times greater than after LNC [immediately
posttreatment odds ratio, 5.26 (95% confidence interval, 1.85-14.29) and 48 h
posttreatment odds ratio, 5.56 (95% confidence interval, 2.27-14.29)]. There was
no difference in dysphagia after treatment in either group, at any time point
(P=0.429). CONCLUSION: LNC was associated with less postprocedural pain when
compared with RFA. These results help inform patients and physicians about the
expected symptoms after ablative endotherapy.
PMID- 29351157
TI - Association Between Cotinine-verified Smoking Status and Risk of Colorectal
Neoplasia.
AB - GOALS: We evaluated the association of urinary cotinine-verified smoking status
with the risk of colorectal neoplasia (CRN). BACKGROUND: Many studies have
reported the association between the risk of CRN and smoking status, based on
self-reported questionnaires. Although self-reported smoking status may be
inaccurate, to our knowledge, no study has yet assessed the association between
objective biomarkers of tobacco exposure and the risk of CRN. STUDY: A cross
sectional study was conducted on 96,806 asymptomatic examinees who underwent
colonoscopy and urinary cotinine measurements as part of a health check-up.
Cotinine-verified current smokers were participants having a urinary cotinine
level >=50 ng/mL. RESULTS: The mean participant age was 38.4 years, and the
proportion of cotinine-verified current smokers was 23.0%. Cotinine-verified
current smoking was an independent risk factor for CRN [adjusted odds ratio
(AOR), 1.49; 95% confidence interval (CI), 1.42-1.56] and advanced CRN (ACRN)
(AOR, 1.79; 95% CI, 1.57-2.05). Moreover, the risk of CRN and ACRN increased with
increasing cotinine levels. Among self-reported never smokers, cotinine-verified
current smokers had a higher risk of CRN (AOR, 1.77; 95% CI, 1.57-2.00) and ACRN
(AOR, 1.94; 95% CI, 1.37-2.74) than cotinine-verified never smokers did, whereas
among self-reported current smokers, cotinine-verified never smokers had a lower
risk of CRN (AOR, 0.78; 95% CI, 0.70-0.87) and ACRN (AOR, 0.71; 95% CI, 0.52
0.98) than cotinine-verified current smokers did. CONCLUSIONS: Cotinine-captured
smoking status and metabolic variation exhibit associations with CRN more
accurately and objectively than self-reporting does, providing clearer evidence
for the role of tobacco in the development of CRN.
PMID- 29351158
TI - Physical Performance Measures of Flexibility, Hip Strength, Lower Limb Power and
Trunk Endurance in Healthy Navy Cadets: Normative Data and Differences Between
Sex and Limb Dominance.
AB - The objectives were to provide normative data on commonly used physical
performance tests that may be associated with musculoskeletal injuries in Navy
cadets, and assess for sex and limb dominance differences. A large cohort of Navy
cadets were assessed for physical performance tests of flexibility (ankle
dorsiflexion range of motion and sit and reach), isometric hip strength, lower
limb power (single leg hop), and trunk endurance (plank and side plank tests).
Besides providing normative data tables, sex and limb dominance differences were
assessed by a two-way mixed ANOVA. A total of 545 Brazilian Navy cadets (394
males) representing 79% of the cadets in the Academy participated. Normative
reference values were reported as mean+/-SD, 95%CI and percentiles. For tests of
muscle strength, power and endurance, males performed better than females
(p<0.001). For flexibility tests, females achieved greater distances than males
for the sit and reach test (p<0.001), but no difference for ankle dorsiflexion
(p=0.51). Overall, there were no clinically relevant differences between limbs.
In conclusion, normative data for commonly used physical performance tests were
provided. Although no clinically relevant side-to-side differences were found,
males presented higher values for lower limb strength and power, as well as trunk
endurance than females, while females demonstrated increased flexibility.
Valuable normative data are provided to professionals who work with young, active
populations from the injury prevention or rehabilitation perspective; as the
current study may help professionals to identify athletes or cadets whose
performance is outside the normative values and may be at risk for injury.
PMID- 29351159
TI - The Physical Characteristics by Sex and Age for Custody Assistants from a Law
Enforcement Agency.
AB - Custody assistants (CAs) are a position within a law enforcement agency who are
responsible for assisting officers with maintaining security in correctional
facilities. Unlike other positions, CAs may not be required to complete physical
testing prior to being hired. This lack of testing could influence the
characteristics of CAs who attend academy training. Therefore, retrospective
analysis of performance test data for 108 officers (69 males, 39 females) was
conducted. The tests included: grip strength for both hands; number of push-ups
and sit-ups in 60 seconds; 201 m (220 yard) and 2.4 km runs; and maximal aerobic
capacity (VO2max) estimated from the 2.4 km run. Data were stratified by sex and
age (<=24 years, 25-29 years, 30-34 years, >=35 years). Independent samples t
tests (p < 0.05) calculated differences between males and females. To compare age
groups, a one-way ANOVA with Bonferroni post hoc was utilized (p < 0.05). Males
scored significantly higher than females in hand grip, push-ups, and sit-ups,
were faster over the 201 m and 2.4 km runs, and had a higher VO2max (p <= 0.001
0.024). There were no significant differences in performance tests across the age
groups for either males or females. To better tolerate the rigors of physical
training, female CAs should attempt to improve their fitness prior to academy as
they often need to complete the same tasks as the males. Age did not appear to
influence the physical characteristics of CAs, although all CAs should attempt to
develop the fitness qualities needed for their occupation.
PMID- 29351160
TI - Acute Cardiorespiratory and Metabolic Effects of a Sandbag Resistance Exercise
Protocol.
AB - Ratamess, NA, Kang, J, Kuper, JD, O'Grady, EA, Ellis, NL, Vought, IT, Culleton,
E, Bush, JA, and Faigenbaum, AD. Acute cardiorespiratory and metabolic effects of
a sandbag resistance exercise protocol. J Strength Cond Res 32(6): 1491-1502,
2018-The purpose of this study was to examine the acute cardiorespiratory and
metabolic effects of a sandbag (SB) resistance exercise protocol and compare the
responses to time-matched treadmill running protocols. Eight healthy, resistance
trained men (21.1 +/- 1.0 years; 86.1 +/- 7.8 kg) completed 4 protocols of equal
duration in random sequence: (a) SB, (b) treadmill running at 60% of V[Combining
Dot Above]O2 reserve (60V[Combining Dot Above]O2R), (c) treadmill running at 80%
of V[Combining Dot Above]O2 reserve (80V[Combining Dot Above]O2R), and (d) a
control protocol. The SB protocol was 16 minutes in duration and consisted of 3
circuits of 8 multiple-joint exercises (with 11-, 20-, or 48-kg SBs) performed
for as many repetitions as possible for 20 seconds followed by a 10-second rest
interval before beginning the next exercise. Two minutes of rest was allowed
between circuits. Breath-by-breath oxygen consumption (V[Combining Dot Above]O2)
and heart rate (HR) were recorded throughout each protocol and for 30 minutes
postexercise (PE) and blood lactate was determined before and immediately after
each protocol. Blood lactate was significantly higher after SB compared with
60V[Combining Dot Above]O2R and 80V[Combining Dot Above]O2R. Mean and peak HR in
SB was significantly higher than 60V[Combining Dot Above]O2R but not different
from 80V[Combining Dot Above]O2R. Mean V[Combining Dot Above]O2 and energy
expenditure (EE) in SB was significantly lower than 60V[Combining Dot Above]O2R
and 80V[Combining Dot Above]O2R during each protocol but significantly higher
after SB compared with 60V[Combining Dot Above]O2R and 80V[Combining Dot
Above]O2R PE. Compared with 60V[Combining Dot Above]O2R and 80V[Combining Dot
Above]O2R, respiratory exchange ratio was significantly higher during SB and
through 5 minutes PE, but was significantly lower at 25-30 minutes PE after SB.
Sandbag, as performed in this study, provides a superior metabolic stimulus to
treadmill running during the PE period; however, the SB results demonstrate
inferior EE compared with running at 60V[Combining Dot Above]O2R and
80V[Combining Dot Above]O2R.
PMID- 29351161
TI - Effects of Contrast Strength vs. Plyometric Training on Lower Limb Explosive
Performance, Ability to Change Direction and Neuromuscular Adaptation in Soccer
Players.
AB - The aim was to compare the effects of two differing 8-week in-season strength
training programs (contrast strength training [CST] vs. plyometric training [PT])
on selected performance tests (5 and 40m sprints, S 4 X 5 m change of direction
test, squat (SJ) and countermovement (CMJ) jumps , leg peak power on a cycle
ergometer force-velocity test, 1-repetition maximal (1-RM) half squat, and
electromyographic [EMG] activity of the vastus lateralis, vastus medialis and
rectus femoris muscles during vertical jump tests). Forty male soccer players
(age = 15.8 +/- 0.4 years; body mass = 58.8 +/- 6.3 kg; body height = 1.74 +/-
0.06 m; body fat = 10.5 +/- 1.9 %) were divided between a contrast strength (CSG,
n = 14), plyometric (PG, n = 14) and control groups (CG, n = 12). Both training
programs enhanced sprint performance (p<0.001 in 5m; p<=0.05 in 40m) and change
of direction test scores (p<0.001) relative to controls. PG and CSG increased SJ
height relative to the CG, with a slightly greater response in CSG compared to PG
(p<=0.05). The majority of CMJ scores increased significantly in both CSG and PG
relative to the CG, with no inter-group differences in training response. The
majority of force-velocity scores increased significantly in the CSG relative to
PG and CG. The EMG parameters also increased in the CSG relative to both PG and
CG. In summary, most measures of athletic performance in male soccer players were
enhanced after CST and PT. However, the improvement of physical performance was
better with eight weeks of CST than with PT. Thus, coaches should be encouraged
to include CST as an element of in-season conditioning.
PMID- 29351162
TI - Potentiation Effects of the French Contrast Method on Vertical Jumping Ability.
AB - Hernandez-Preciado, JA, Baz, E, Balsalobre-Fernandez, C, Marchante, D, and Santos
Concejero, J. Potentiation effects of the French contrast method on the vertical
jumping ability. J Strength Cond Res 32(7): 1909-1914, 2018-This study examined
the acute effects of the potentiation protocol known as French Contrast Method on
the vertical jumping ability measured using a countermovement jump (CMJ). Thirty
one athletes participated in this study (intervention group n = 17 and control
group n = 14). The CMJ height was measured using the iOS application My Jump 2.0
before and after the French Contrast protocol, which consisted of 3 sets of
isometric partial squats, drop jumps, dynamic half-squats, and hurdle jumps. The
CMJ height improved from its baseline values by 5.1 +/- 1.1% (p < 0.001, effect
size [ES] = 0.27) after the first set, by 6.8 +/- 1.8% (p < 0.001, ES = 0.41)
after the second set, and by 8.5 +/- 2.9% (p < 0.001, ES = 0.44) after the third
set. The maximal potentiation value was an increase of 11 +/- 6.3% (p < 0.001).
The control group decreased its CMJ height from the baseline levels, reaching a
significant decrement of -2.1 +/- 1.6% (p = 0.047). These findings suggest that
the French Contrast Method is a valid strategy to improve the vertical jumping
ability. This method can be used after the warm-up as a conditioning activity to
acutely enhance the lower body's force and power production.
PMID- 29351163
TI - Comparison of the Force-, Velocity- and Power-Time Curves Between the Concentric
Only and Eccentric-Concentric Bench Press Exercises.
AB - The aim of this study was to compare the temporal and mechanical variables
between the concentric-only and eccentric-concentric bench press (BP) variants.
Twenty-one men (age: 22.0+/-4.2 years, body mass: 73.4+/-7.7 kg, height: 177.2+/
8.0 cm; one-repetition maximum [1RM]: 1.12+/-0.12 kg?kg) were evaluated during
the concentric-only and eccentric-concentric BP variants using 80% 1RM. Temporal
(concentric phase duration, propulsive phase duration, and time to reach the
maximum values of force, velocity, and power) and mechanical variables (force,
velocity, and power), determined using a linear velocity transducer, were
compared between both BP variants. All temporal variables were significantly
lower during the eccentric-concentric BP compared to the concentric-only BP (P <
0.05; effect size [ES] range: 0.80-2.52). Maximum force as well as the mean
values of velocity and power were significantly higher for the eccentric
concentric BP compared to the concentric-only BP (all P < 0.001; ES range: 2.87
3.58). However, trivial to small differences between both BP variants were
observed for mean force (ES: 0.00-0.36) as well as for maximum velocity (ES:
0.40) and power (ES: 0.41). The stretch-shortening cycle (i.e., eccentric
concentric BP) mainly enhanced force production at the early portion of the
concentric phase, but this potentiation effect gradually reduced over the latter
part of the movement. Finally, force was higher for the concentric-only BP during
49% of the concentric phase duration. These results suggest that both BP variants
should be included during resistance training programs in order to optimize force
output at different points of the concentric phase.
PMID- 29351164
TI - Body Mass Index Versus Body Fat Percentage in Prospective National Football
League Athletes: Overestimation of Obesity Rate in Athletes at the National
Football League Scouting Combine.
AB - Provencher, MT, Chahla, J, Sanchez, G, Cinque, ME, Kennedy, NI, Whalen, J, Price,
MD, Moatshe, G, and LaPrade, RF. Body mass index versus body fat percentage in
prospective national football league athletes: overestimation of obesity rate in
athletes at the national football league scouting combine. J Strength Cond Res
32(4): 1013-1019, 2018-Obesity has been previously noted as a major issue in the
National Football League (NFL), where it has been shown that 97% of all players
demonstrate a body mass index (BMI) of >=25.0 with a reported obesity rate of 56%
(BMI >= 30.0). However, BMI does not take into account body composition by mass,
and may overestimate prevalence of obesity. The purposes of this study were (a)
to determine the validity of BMI as a measure of body fat percentage and obesity
in athletes at the NFL Combine, (b) to define the obesity rate based on body fat
percentage compared with BMI, and (c) to determine the relationship between draft
status and body composition. It was hypothesized that the rate of obesity, as
measured by air displacement plethysmography (ADP), would be less than the rate
of obesity as measured using BMI. Athletes who competed at the 2010 through 2016
NFL Combines were included in this study. Air displacement plethysmograph testing
at the Combine was performed through BOD POD Body Composition Tracking System
with collection of the following metrics: body fat percentage (%), and compared
with BMI based on weight and height. In addition, the metrics were evaluated for
differences over the 7-year study period to determine temporal changes and to
determine draft status based on position relative to BOD POD calculations. A
total of 1,958 NFL Combine participants completed ADP body composition testing.
Based on BMI (>=30.0), the obesity rate was 53.4% versus an 8.9% obesity rate
when using ADP. Drafted players demonstrated a significantly lower body fat
percentage than undrafted players (p <= 0.05), with the exception of quarterbacks
and running backs. All 8 positions of play, with the exception of defensive
linemen, demonstrated a decrease in body fat percentage between 2010 and 2017.
However, total body mass by position of play remained relatively constant with no
significant change noted in any position. In conclusion, the obesity rate in
prospective athletes at the NFL Combine was overestimated when calculated based
on the BMI. Body fat percentage was more valid for determining an NFL player
candidate's true body composition. Drafted players demonstrated a significantly
lower body fat percentage in 6 of 8 positions compared with undrafted players.
This is important to recognize for a strength and conditioning professional to
use the correct metric when evaluating NFL players who could have been
erroneously categorized in the obese population by their BMI. Furthermore, a
higher percentage of fat translates to lower chances of becoming drafted.
PMID- 29351165
TI - Relationship Between Maximum Pull-up Repetitions and First Repetition Mean
Concentric Velocity.
AB - Beckham, GK, Olmeda, JJ, Flores, AJ, Echeverry, JA, Campos, AF, and Kim, SB.
Relationship between maximum pull-up repetitions and first repetition mean
concentric velocity. J Strength Cond Res 32(7): 1831-1837, 2018-Mean concentric
velocity (MCV) of exercise execution has been used by strength and conditioning
professionals to improve exercise technique, provide accurate feedback, and
predict exercise 1 repetition maximum. There is still limited research on
velocity-based training and currently only one research study on the pull-up
exercise. The primary purpose of this research was to determine whether the
maximum number of pull-ups an individual can perform can be predicted by the MCV
of a single pull-up repetition. Forty-nine healthy men and women were recruited
who reported they could do at least 2 pull-ups. Each subject performed a
standardized warm-up, then a single pull-up repetition, followed by one set of
pull-up repetitions to failure. The GymAware PowerTool, a linear position
transducer, was used to measure the MCV of each pull-up repetition. Both the MCV
of the single repetition and first repetition of the set to failure were
recorded, and the greater of the 2 was used in later analysis. Weighted least
squares linear regression was used to estimate the relationship between the
single-repetition MCV and maximum amount of pull-up repetitions. We observed a
statistically significant linear relationship between the maximum number of pull
ups and the MCV of a single pull-up repetition (y = -6.661 + 25.556x, R = 0.841).
Prediction of the maximum pull-up number by a single repetition rather than
testing the maximal pull-up number may improve efficiency and effectiveness of
exercise testing batteries for military, police, and other populations.
PMID- 29351167
TI - Can Caffeine Intake Improve Neuromuscular and Technical-Tactical Performance
During Judo Matches?
AB - This study aimed to verify the effect of caffeine intake on blood lactate
concentrations and neuromuscular and technical-tactical performance during
simulated judo matches. Fourteen male judo athletes received capsules containing
caffeine or placebo and were evaluated in both conditions. Before the baseline
and 1 hour after the caffeine/placebo intake, athletes performed the
countermovement jump test (CMJ), handgrip strength, judogi grip strength test
(JGST), and blood samples were collected. Subsequently, the athletes were
submitted to three 5 minute matches with 15 minute intervals. All neuromuscular
tests were performed and blood samples were taken during the matches' intervals.
Significant interaction between condition and time (p = 0.01) was found for blood
lactate concentrations. No interaction between condition and time was verified
for CMJ performance (p > 0.05), handgrip strength (p > 0.05), JGST performance (p
= 0.32) and number of attacks (p = 0.97). However, for all variables a
significant decrease was observed throughout time (p < 0.05). According to
clinical results (magnitude-based inference), we identified post-match 2 and post
match 3 showed higher effect (most likely positive effect) regarding delta
caffeine - placebo than other moments (pre-match and post-match 1) for blood
lactate. Caffeine increased the estimated glycolytic contribution over the
matches; however, it did not induce improvements in neuromuscular performance or
number of attacks.
PMID- 29351166
TI - Neuromuscular, Biochemical, Endocrine, and Mood Responses to Small-Sided Games'
Training in Professional Soccer.
AB - William, S, Turner, AN, Weston, M, Russell, M, Johnston, MJ, and Kilduff, LP.
Neuromuscular, biochemical, endocrine, and mood responses to small-sided games'
training in professional soccer. J Strength Cond Res 32(9): 2569-2576, 2018-The
24-hour responses to small-sided games' (SSGs) soccer training were
characterized. Professional soccer players (n = 16) performed SSG's (4vs4 +
goalkeepers; 6 * 7-minutes, 2-minute interset recovery) with performance (peak
power output [PPO] and jump height [JH]), physiological (blood creatine kinase
[CK], lactate, salivary testosterone, and cortisol), and mood measures collected
before (baseline), and after (immediately; 0, +2, and +24 hours). For PPO and JH,
possibly small-moderate reductions occurred at 0 hour (-1.1 W.kg; +/-0.9 W.kg,
3.2 cm; +/-1.9 cm, respectively), before returning to baseline at +2 hours
(trivial), and declining thereafter (small-moderate effect) at +24 hours (-0.9
W.kg; +/-0.8 W.kg, -2.5 cm; +/-1.2 cm, respectively). Lactate increased at 0
hours (likely large; +1.3 mmol.L; +/-0.5 mmol.L), reduced at +2 hours (likely
small; -0.5 mmol.L; +/-0.2 mmol.L), and returned to baseline at 24 hours
(trivial). A very likely small increase in CK occurred at 0 hour (+97 MU.L; +/-28
MU.L), persisting for +24 hours (very likely small; +94 MU.L; +/-49 MU.L).
Possibly small increases in testosterone (+20 pg.ml; +/-29 pg.ml) occurred at 0
hour, before likely moderate declines at +2 hours (-61 pg.ml; +/-21 pg.ml)
returning to baseline at +24 hours (trivial). For cortisol, possibly small
decreases occurred at 0 hour (-0.09 MUg.dl; +/-0.16 MUg.dl), before likely large
decreases at +2 hours (-0.39 MUg.dl; +/-0.12 MUg.dl), which persisted for 24
hours (likely small; -0.12 MUg.dl; +/-0.11 MUg.dl). Mood was disturbed by SSG's
at 0 hour (likely moderate; +13.6 AU, +/-5.6 AU) and +2 hours (likely small; +7.9
AU; +/-5.0 AU), before returning to baseline at +24 hours (trivial). The movement
demands of SSG's result in a bimodal recovery pattern of neuromuscular function
and perturbations in physiological responses and mood for up to 24 hours.
Accordingly, when programming soccer training, SSG's should be periodized
throughout the competitive week with submaximal technical/tactical activities.
PMID- 29351168
TI - Coregulation of endoplasmic reticulum stress and oxidative stress in neuropathic
pain and disinhibition of the spinal nociceptive circuitry.
AB - The accumulation of unfolded or misfolded proteins in the endoplasmic reticulum
(ER) lumen leads to ER stress, which is related to cellular reactive oxygen
species production. Neuropathic pain may result from spinal dorsal horn (SDH) ER
stress. In this study, we examined the cause-effect relationship between ER
stress and neuropathic pain using the spinal nerve ligation (SNL) rat model. We
showed that ER stress was mutually promotive with oxidative stress during the
process. We also tested the hypothesis that spinal sensitization arose from
reduced activities of GABA-ergic interneurons and that spinal sensitization was
mediated by SDH ER stress. Other important findings in this study including the
following: (1) nociceptive behavior was alleviated in SNL rat as long as
tauroursodeoxycholic acid injections were repeated to inhibit ER stress; (2)
inducing SDH ER stress in healthy rat resulted in mechanical hyperalgesia; (3)
blocking protein disulfide isomerase pharmacologically reduced ER stress and
nociceptive behavior in SNL rat; (4) cells in the dorsal horn with elevated ER
stress were mainly neurons; and (5) whole-cell recordings made in slide
preparations revealed significant inhibition of GABA-ergic interneuron activity
in the dorsal horn with ER stress vs in the healthy dorsal horn. Taken together,
results of the current study demonstrate that coregulation of ER stress and
oxidative stress played an important role in neuropathic pain process. Inhibiting
SDH ER stress could be a potential novel strategy to manage neuropathic pain.
PMID- 29351169
TI - Professionals underestimate patients' pain: a comprehensive review.
AB - Pain assessment by patients is the rule in clinical trials but may not be in
clinical practice. We examined studies comparing assessment of pain by patients
and professionals in clinical practice using published studies (1990-2016; >=20
patients), in English, in an institutional setting, comparing pain assessment
within 24 hours by patients and health care professionals. A difference of at
least 10% of the maximum score was considered significant. We judged quality on
sampling method, blinding, and study size. Eighty studies (20,496 patients)
provided data from a range of settings and locations; most (51%) used unbiased
sampling, and most (68%) were blind or probably blind. Nine studies with >=500
patients involved 58% of patients; 60 with <200 patients involved 25%. Large
studies were more likely to use comprehensive or random sampling and blinding of
patients and professionals. Underestimation of pain by professionals compared
with patients was reported by 62/80 studies (78%); there was no difference in 17
(21%) and overestimation in 1 (1%). Underestimation was reported in 75% of large
studies (>500 patients), 91% of mid-sized studies (200-400), and 78% of small
studies (<200). High-quality studies (blind, comprehensive, or random sampling,
>200 patients) consistently reported underestimation (10/11; 91%). The extent of
underestimation tended to increase with pain severity. Professionals consistently
tend to underestimate pain compared with assessment by patients. This tendency is
more pronounced with more severe pain, and the extent of underestimation can be
large. It is likely that this contributes to undertreatment of pain.
PMID- 29351170
TI - Risk of preterm birth following late pregnancy exposure to NSAIDs or COX-2
inhibitors.
AB - Pregnant women may take nonsteroidal antiinflammatory drugs (NSAIDs), selective
cyclooxygenase (COX)-2 inhibitors, or biological agents to relieve symptoms or
manage disease flares in late pregnancy. We aimed to quantify the risk of
prematurity associated with late pregnancy exposure to nonselective NSAIDs,
selective COX-2 inhibitors, and biological agents. Using data from Quebec
Pregnancy Cohort, we performed a population-based cohort study. We included all
women who were covered by the Quebec Drug Plan and had a singleton live birth
between January 1, 1998 and December 31, 2009. Late pregnancy exposure was
defined as having filled at least 1 prescription for nonselective NSAIDs,
selective COX-2 inhibitors, or biological agents in the 3 months before delivery.
Prematurity was defined as <37 weeks of gestation. Crude and adjusted odds ratios
(OR) were obtained using generalized estimation equation models. Covariates
included maternal autoimmune diseases, demographics, concomitant drug use,
history of pregnancy complications, and other comorbidities. A total of 156,531
pregnancies met inclusion criteria and were considered for analyses. In the 3
months before delivery, 391 pregnancies were exposed to nonselective NSAIDs, 55
to COX-2 inhibitors, and 12 to biological agents. After adjustment for maternal
autoimmune diseases, concomitant medication use, and other risk factors, COX-2
inhibitor use in late pregnancy was associated with a 2.46-fold increased risk of
prematurity (adjusted OR, 2.46; 95% confidence interval, 1.28-4.72) compared to
nonuse; only late pregnancy exposure to celecoxib was found to increase the risk
(adjusted OR, 3.41; 95% confidence interval, 1.29-9.02). In conclusion, celecoxib
use during late pregnancy may increase the risk of prematurity.
PMID- 29351171
TI - Spinal PKC/ERK signal pathway mediates hyperalgesia priming.
AB - Chronic pain can be initiated by one or more acute stimulations to sensitize
neurons into the primed state. In the primed state, the basal nociceptive
thresholds of the animal are normal, but in response to another hyperalgesic
stimulus, the animal develops enhanced and prolonged hyperalgesia. The exact
mechanism of how primed state is formed is not completely understood. Here we
showed that spinal PKC/ERK signal pathway is required for neuronal plasticity
change, hyperalgesic priming formation and the development of chronic
hyperalgesia using acid-induced muscle pain (AIMP) model in mice. We discovered
that pERK-positive neurons in the amygdala, spinal cord and dorsal root ganglion
(DRG) were significantly increased after 1st acid injection. Inhibition of the
pERK activity intrathecally, but not intracerebroventricularly or intramuscularly
before 1st acid injection prevented the development of chronic pain induced by
2nd acid injection which suggests hyperalgesic priming signal is stored at spinal
cord level. Furthermore, intrathecal injection of PKC but not PKA blocker
prevented the development of chronic pain and PKC agonist was sufficient to
induce prolonged hyperalgesia response after acid injection. We also found that
mTOR-dependent protein synthesis was required for the priming establishment. To
test whether hyperalgesic priming leads to synaptic plasticity change, we
recorded fEPSPs from spinal cord slices and found enhanced LTP in mice received
one acid injection. This LTP enhancement was prevented by inhibition of ERK.
These findings show that the activation of PKC/ERK signal pathway and downstream
protein synthesis is required for hyperalgesic priming and the consolidation of
pain singling.
PMID- 29351172
TI - Systematic review and meta-analysis of genetic risk factors for neuropathic pain.
AB - Neuropathic pain (NP) is an increasingly common chronic pain state and a major
health burden, affecting approximately 7% to 10% of the general population.
Emerging evidence suggests that genetic factors could partially explain
individual susceptibility to NP and the estimated heritability in twins is 37%.
The aim of this study was to systematically review and summarize the studies in
humans that have investigated the influence of genetic factors associated with
NP. We conducted a comprehensive literature search and performed meta-analyses of
all the potential genetic variants associated with NP. We reviewed 29 full-text
articles and identified 28 genes that were significantly associated with NP,
mainly involved in neurotransmission, immune response, and metabolism. Genetic
variants in HLA genes, COMT, OPRM1, TNFA, IL6, and GCH1, were found to have an
association with NP in more than one study. In the meta-analysis, polymorphisms
in HLA-DRB1*13 (odds ratio [OR], 2.96; confidence interval [CI], 1.93-4.56), HLA
DRB1*04 (OR, 1.40; CI, 1.02-1.93), HLA-DQB1*03 (OR, 2.86; CI, 1.57-5.21), HLA
A*33 (OR, 2.32; CI, 1.42-3.80), and HLA-B*44 (OR, 3.17; CI, 2.22-4.55) were
associated with significantly increased risk of developing NP, whereas HLA-A*02
(OR, 0.64; CI, 0.47-0.87) conferred reduced risk and neither rs1799971 in OPRM1
(OR, 0.55; CI, 0.27-1.11) nor rs4680 in COMT (OR, 0.95; CI, 0.81-1.13) were
significantly associated with NP. These findings demonstrate an important and
specific contribution of genetic factors to the risk of developing NP. However,
large-scale replication studies are required to validate these candidate genes.
Our review also highlights the need for genome-wide association studies with
consistent case definition to elucidate the genetic architecture underpinning NP.
PMID- 29351173
TI - BSN Preparation for RNs: The Time Is Now!
AB - Some nurse executives and academic nurse leaders believe that the issue of
educational entry into professional nursing practice is an "old" issue. Nursing
is the only healthcare profession that still does not require the minimum of a
baccalaureate degree (BSN). It is time for nurse leaders to act: eliminate the
multiple educational levels and require a minimum BSN degree for professional
nurse practice.
PMID- 29351174
TI - The Evolving Science of Patient and Family Engagement: An Interview With Dr Karen
Drenkard.
AB - Patient- and family-centered care is a central tenet of nursing practice. This
concept has evolved to include patient partnerships, patient engagement, and
patient activation. This column differentiates these concepts and describes the
core principles embedded in the overriding intention of ensuring that patients
(and their families or significant others) are orchestrators of their health and
their care plans. In this interview, Karen Drenkard, PhD, RN, FAAN, NEA-BC, CNO,
of the GetWellNetwork, discusses work by the O'Neil Center as a leader in this
area.
PMID- 29351175
TI - 2017 National Magnet Nurses of the Year(r).
AB - The Magnet Recognition Program(r) recognizes healthcare organizations for quality
patient care, nursing excellence, and innovations in professional nursing
practice. Consumers rely on the Magnet designation as the ultimate credential for
high-quality nursing. The ANCC National Magnet Nurse of the Year(r) awards were
created in 2010 to recognize the outstanding contributions of clinical nurses for
innovation, consultation, leadership, and professional risk taking.
PMID- 29351176
TI - Technological Complexity and Emergence of the Entanglement.
AB - As systems evolve over time, their natural tendency is to become increasingly
more complex. Studies in the field of complex systems have generated new
perspectives on the application of management strategies in health systems. Much
of this research appears as a natural extension of the cross-disciplinary field
of systems theory. This article is the 3rd in a series of articles that focus on
why technological complexity is increasing and strategies nurse administrators
can use to successfully implement change in the face of it.
PMID- 29351177
TI - Units of Distinction: Creating a Blueprint for Recognition of High-Performing
Medical-Surgical Nursing Units.
AB - BACKGROUND: Hospital medical-surgical (M/S) nursing units are responsible for up
to 28 million encounters annually, yet receive little attention from professional
organizations and national initiatives targeted to improve quality and
performance. OBJECTIVE: We sought to develop a framework recognizing high
performing units within our large hospital system. METHODS: This was a
retrospective data analysis of M/S units throughout a 168-hospital system.
Measures represented patient experience, employee engagement, staff scheduling,
nursing-sensitive patient outcomes, professional practices, and clinical process
measures. RESULTS: Four hundred ninety units from 129 hospitals contributed
information to test the framework. A manual scoring system identified the top 5%
and recognized them as a "Unit of Distinction." Secondary analyses with machine
learning provided validation of the proposed framework. CONCLUSIONS: Similar to
external recognition programs, this framework and process provide a holistic
evaluation useful for meaningful recognition and lay the groundwork for
benchmarking in improvement efforts.
PMID- 29351178
TI - Using Time-Referenced Data to Assess Medication Administration Performance and
Quality.
AB - OBJECTIVE: This study tests the feasibility of using a large (big) clinical data
set to test the ability to extract time-referenced data related to medication
administration to identify late doses and as-needed (PRN) administration patterns
by RNs in an inpatient setting. METHODS: The study is a secondary analysis of a
set of data using bar-code medication administration time stamps (n = 3043812)
for 50883 patients admitted to a single, urban, 525-bed hospital in 11 inpatient
units by 714 nurses between April 1, 2013, and March 31, 2015. RESULTS: The large
majority of scheduled medications (43.3%) were administered between 9 to 10 AM
and 9 to 10 PM accounting for the most amount of delayed doses. On average,
patients received 8.9 medications per day, and nurses administered 19.7
medications per shift. The average full-time nurse administered 3414 medications
per year. CONCLUSIONS: The findings support use of time-referenced data to
identify clinical processes and performance in administering scheduled and PRN
medications.
PMID- 29351179
TI - Measuring Care Coordination in the Pediatric Cardiology Ambulatory Setting.
AB - The role of ambulatory nursing is diverse, and the impact on patient outcomes is
difficult to measure. The concept of care coordination is an important focus for
the ambulatory nurse. We describe the efforts to implement the Cardiac Care
Coordination Measurement Tool to document and quantify care coordination
activities in a pediatric cardiac ambulatory setting.
PMID- 29351180
TI - One-Stage versus Two-Stage Repair of Asymmetric Bilateral Cleft Lip: A 20-Year
Retrospective Study of Clinical Outcome.
AB - BACKGROUND: Both one- and two-stage approaches have been widely used for patients
with asymmetric bilateral cleft lip. There are insufficient long-term outcome
data for comparison of these two methods. The purpose of this retrospective study
was to compare the clinical outcome over the past 20 years. METHODS: The senior
author's (L.J.L.) database was searched for patients with asymmetric bilateral
cleft lip from 1995 to 2015. Qualified patients were divided into two groups: one
stage and two-stage. The postoperative photographs of patients were evaluated
subjectively by surgical professionals and laypersons. Ratios of the nasolabial
region were calculated for objective analysis. Finally, the revision procedures
in the nasolabial area were reviewed. Statistical analyses were performed.
RESULTS: A total of 95 consecutive patients were qualified for evaluation.
Average follow-up was 13.1 years. A two-stage method was used in 35 percent of
the patients, and a one-stage approach was used in 65 percent. All underwent
primary nasal reconstruction. Among the satisfaction rating scores, the one-stage
repair was rated significantly higher than two-stage reconstruction (p = 0.0001).
Long-term outcomes of the two-stage patients and the unrepaired mini-microform
deformities were unsatisfactory according to both professional and
nonprofessional evaluators. The revision rate was higher in patients with a
greater-side complete cleft lip and palate as compared with those without palatal
involvement. CONCLUSIONS: The results suggested that one-stage repair provided
better results with regard to achieving a more symmetric and smooth lip and nose
after primary reconstruction. The revision rate was slightly higher in the two
stage patient group. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 29351181
TI - Cleft Palate Repair: Description of an Approach, Its Evolution, and Analysis of
Postoperative Fistulas.
AB - BACKGROUND: Fistulas following cleft palate repair impair speech, health, and
hygiene and occur in up to 35 percent of cases. The authors detail the evolution
of a surgical approach to palatoplasty; assess the rates, causes, and predictive
factors of fistulas; and examine the temporal association of modifications to
fistula rates. METHODS: Consecutive patients (n = 146) undergoing palatoplasty
during the first 6 years of practice were included. The technique of repair was
based on cleft type, and a common surgical approach was used for all repairs.
RESULTS: The fistula rate was 2.4 percent (n = 125) after primary repair and 0
percent (n = 21) after secondary repair. All complications occurred in patients
with type III or IV clefts. Cleft width and cleft-to-total palatal width ratio
were associated with fistulas, whereas syndromes, age, and adoption were not.
Most complications could also be attributed to technical factors. During the
first 2 years, modifications were made around specific anatomical features,
including periarticular bony hillocks, maxillopalatine suture, velopalatine pits,
and tensor insertion. The fistula rate declined by one-half in subsequent years.
CONCLUSIONS: The authors describe a surgical approach to cleft palate repair, its
evolution, and surgically relevant anatomy. Fistulas were associated with
increasing cleft severity but could also be attributed to technical factors. A
reduction in frequency and severity of fistulas was consistent with a learning
curve and may in part be associated with modifications to the surgical approach.
CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 29351182
TI - The Management of Partial Zone II Intrasynovial Flexor Tendon Lacerations: A
Literature Review of Biomechanics, Clinical Outcomes, and Complications.
AB - BACKGROUND: Penetrating trauma or lacerations within zone II of the flexor sheath
may result in partial tendon injury. The proper management of this injury is
controversial; the literature contains differing indications for surgical
treatment and postoperative rehabilitation. METHODS: A literature review of the
Cochrane, MEDLINE, and PubMed databases was performed using the following search
criteria: partial, flexor, tendon, and laceration. All English language studies
that evaluated biomechanical strength, complications, and outcomes after partial
tendon injury in human and animal studies were included and reviewed by two of
the authors. RESULTS: Animal and cadaveric biomechanical studies have
demonstrated that partial lacerations involving up to 95 percent of the tendon
cross-sectional area can safely tolerate loads generated through unresisted,
active finger flexion. Suture tenorrhaphy of partial tendon injury is associated
with decreased tendon tensile strength, increased resistance, and decreased
tendon gliding. Complications of nonsurgical management include triggering and
entrapment, which can be managed by tendon beveling or pulley release. Late
rupture is extremely uncommon (one report). CONCLUSIONS: Partial tendon
lacerations involving 90 percent of the cross-sectional area can be safely
treated without surgical repair and immediate protected active motion.
Indications for exploration and treatment include concern for complete injury,
triggering of the involved digit, or entrapment of the tendon. Surgical treatment
for tendon triggering or entrapment with less than 75 percent cross-sectional
injury is beveling of the tendon edges and injuries greater than 75 percent
should be repaired with a noncircumferential, simple epitendinous suture. All
patients should be allowed to perform early protected active motion after
surgery.
PMID- 29351183
TI - The Effect of Impactful Articles on Clinical Practice in the United States:
Corticosteroid Injection for Patients with Lateral Epicondylitis.
AB - BACKGROUND: Following publication of high-level evidence demonstrating that it is
not an effective treatment for lateral epicondylitis, a reduction in the
corticosteroid injection rate would be expected. The authors aimed to clarify
current clinical practice pattern for lateral epicondylitis and identify factors
that influence the introduction of evidence into clinical practice. METHODS: In
this administrative claims analysis, the authors used 2009 to 2015 Truven
MarketScan data to extract claims for corticosteroid injection, physical therapy,
platelet-rich plasma injection, and surgery for lateral epicondylitis. The
authors performed multivariable analysis using a generalized estimating equation
model to identify the variables that potentially affect the odds of receiving a
given treatment. RESULTS: Among 711,726 claims, the authors found that the odds
of receiving a corticosteroid injection increased slightly after publication of
contradictory evidence (OR, 1.7; 95 percent CI, 1.04 to 1.11 in 2015). Being male
(OR, 1.21; 95 percent CI, 1.19 to 1.23), older (OR, 1.16; 95 percent CI, 1.13 to
1.19), and having managed care insurance (OR, 1.15; 95 percent CI, 1.13 to 1.18)
significantly contributed to increased odds of receiving corticosteroid
injections. Patients seen at facilities in the South (OR, 1.33; 95 percent CI,
1.30 to 1.36 compared with the Northeast) and by plastic/orthopedic surgeons (OR,
2.48; 95 percent CI, 2.43 to 2.52) also had increased odds of receiving
corticosteroid injection. CONCLUSIONS: Corticosteroid injection use did not
decrease after publication of impactful articles, regardless of provider
specialty or other patient-related factors. This finding emphasizes that there
are various barriers for even high-level evidence to overcome the inertia of
current practice.
PMID- 29351184
TI - Cleft-Palate Repair: Does Hospital Case-Volume Impact Outcomes or Cost?
AB - BACKGROUND: How hospital case-volume affects operative outcomes and cost
continues to grow in importance. The purpose of this study was to examine the
relationship of case volume with operative outcomes and cost in cleft palate
repair. METHODS: Subjects undergoing cleft palate repair between 2004 and 2015
were identified in the Pediatric Health Information System. Outcomes were
compared between two groups: those undergoing treatment at a high-volume
institution, and those undergoing treatment at a low-volume institution. Primary
outcomes were as follows: any complication, prolonged length of stay, and
increased total cost. RESULTS: Over 20,000 patients (n = 20,320) from 49
institutions met inclusion criteria. On univariate analysis, those subjects who
underwent treatment at a high-volume institution had a lower rate of overall
complications (3.4 percent versus 5.1 percent; p < 0.001), and lower rates of
prolonged length of stay (4.5 percent versus 5.8 percent; p < 0.001) and
increased total cost (48.6 percent versus 50.9 percent; p = 0.002). In
multivariate regression analyses, subjects treated in high-volume centers were
less likely to experience any complication (OR, 0.678; p < 0.001) and were less
likely to have an extended length of stay (OR, 0.82; p = 0.005). Subjects
undergoing palate repair at a high-volume institution were no less likely to
incur increased total cost (OR, 1.01; p = 0.805). CONCLUSION: In institutions
performing a high volume of cleft palate repairs, subjects had significantly
decreased odds of experiencing a complication or prolonged length of stay.
CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 29351185
TI - Brief Report: Lead Levels in Selected Electronic Cigarettes from Canada and the
United States.
AB - Few published studies have investigated the presence of lead in the e-liquid of
electronic nicotine delivery systems (ENDS). Lead inhalation is associated with
increased risk of stroke, heart disease, and other diseases. This study used a
novel application of graphite furnace technology to compare the concentration of
lead between e-liquids of different packaging and product designs using e-liquids
that are or were commercially available in the United States and Canada. Eleven
nicotine-free disposable ENDS devices and 12 bottled refill solutions that
contained nicotine were purchased from retailers in Canada and the United States
between 2015 and 2017. E-liquids extracted from the disposable products and
individual containers were analyzed for lead content by graphite furnace using
atomic absorption detection. The lead concentration of open-wick ENDS devices
ranged from 25.2 ppb to 838.4 ppb, with a standard deviation of 187.4 ppb. None
of the bottled e-liquids contained quantifiable levels of lead. This study found
that quantifiable levels of lead are present in certain disposable e-cigarette
devices, and there is evidence from this study that the design of ENDS devices
may contribute to lead exposure. These findings suggest that lead testing should
be incorporated into future chemical analyses of ENDS devices.
PMID- 29351186
TI - Multiple Fano-Like MIM Plasmonic Structure Based on Triangular Resonator for
Refractive Index Sensing.
AB - In this paper, we present a Fano metal-insulator-metal (MIM) structure based on
an isosceles triangular cavity resonator for refractive index sensing
applications. Due to the specific feeding scheme and asymmetry introduced in the
triangular cavity, the resonator exhibits four sharp Fano-like resonances. The
behavior of the structure is analyzed in detail and its sensing capabilities
demonstrated through the responses for various refractive indices. The results
show that the sensor has very good sensitivity and maximal figure of merit (FOM)
value of 3.2 * 105. In comparison to other similar sensors, the proposed one has
comparable sensitivity and significantly higher FOM, which clearly demonstrates
its high sensing potential.
PMID- 29351187
TI - High Proportions of Multidrug-Resistant Acinetobacter spp. Isolates in a District
in Western India: A Four-Year Antibiotic Susceptibility Study of Clinical
Isolates.
AB - The purpose of the study was to determine the proportions of multidrug-resistant
(MDR) Acinetobacter spp. isolates from the district of Nashik in Western India
during the period from 2011-2014. Antibacterial susceptibility testing of
isolates from inpatients and outpatients was performed using Kirby-Bauer disc
diffusion method to determine inhibitory zone diameters. Proportions of non
susceptible isolates were calculated from the antibacterial susceptibility data.
MDR was defined as an isolate being non-susceptible to at least one antibacterial
agent in at least three antibacterial categories. The change in proportions of
MDR isolates; extended-spectrum beta-lactamase (ESBL)-producing isolates; and non
susceptible isolates to specific antibacterial categories over calendar time was
investigated by logistic regression. The proportions of MDR and ESBL-producing
isolates ranged from 89.4% to 95.9% and from 87.9% to 94.0%; respectively. The
proportions of non-susceptible isolates to aminoglycosides; carbapenems;
antipseudomonal penicillins/beta-lactamase inhibitors; cephalosporins; folate
pathway inhibitors; or penicillins/beta-lactamase inhibitors exceeded 77.5%.
Proportions of fluoroquinolone and tetracycline non-susceptible isolates ranged
from 65.3% to 83.3% and from 71.3% to 75.9%; respectively. No changes in trends
were observed over time; except for a decreasing trend in fluoroquinolone non
susceptible isolates (OR = 0.75 (95% CI, 0.62-0.91)). Significantly higher
proportions of non-susceptible; MDR and ESBL-producing isolates were found among
isolates from the respiratory system compared to isolates from all other specimen
types (p < 0.05). High proportions of MDR Acinetobacter spp. isolates were
observed in the period from 2011-2014. Antimicrobial stewardship programmes are
needed to prevent the emergence and spread of antibiotic resistance.
PMID- 29351189
TI - The Role of Cadaverine Synthesis on Pneumococcal Capsule and Protein Expression.
AB - Invasive infections caused by Streptococcus pneumoniae, a commensal in the
nasopharynx, pose significant risk to human health. Limited serotype coverage by
the available polysaccharide-based conjugate vaccines coupled with increasing
incidence of antibiotic resistance complicates therapeutic strategies. Bacterial
physiology and metabolism that allows pathogens to adapt to the host are a
promising avenue for the discovery of novel therapeutics. Intracellular polyamine
concentrations are tightly regulated by biosynthesis, transport and degradation.
We previously reported that deletion of cadA, a gene that encodes for lysine
decarboxylase, an enzyme that catalyzes cadaverine synthesis results in an
attenuated phenotype. Here, we report the impact of cadA deletion on pneumococcal
capsule and protein expression. Our data show that genes for polyamine
biosynthesis and transport are downregulated in ?cadA. Immunoblot assays show
reduced capsule in ?cadA. Reduced capsule synthesis could be due to reduced
transcription and availability of precursors for synthesis. The capsule is the
predominant virulence factor in pneumococci and is critical for evading
opsonophagocytosis and its loss in ?cadA could explain the reported attenuation
in vivo. Results from this study show that capsule synthesis in pneumococci is
regulated by polyamine metabolism, which can be targeted for developing novel
therapies.
PMID- 29351191
TI - Anionic Water Cluster Polymers [(H2O)18(OH)2]n2n- Is Stabilized by Bis(2,2'
bipyridine) Cupric Chloride [Cu(bipy)2Cl].
AB - Anionic water clusters have long been studied to infer properties of the bulk
hydrated electron. In particular, the question of whether the excess electron is
on the surface of the cluster or in the interior of the clusters has been the
subject of much speculation. The successes of solid-state physics are built on
exploiting the regularity of atomic arrangements in crystal. Describing the
crystalline order of solids is relatively straightforward. Here we report the
crystal structure of an anionic water cluster polymer [(H2O)18(OH)2]n2n- moiety
that is stabilized by bis(2,2'-bipyridine) cupric chloride [Cu(bipy)2Cl]- host.
PMID- 29351188
TI - Proangiogenic Effect of Metformin in Endothelial Cells Is via Upregulation of
VEGFR1/2 and Their Signaling under Hyperglycemia-Hypoxia.
AB - Cardiovascular disease is the leading cause of morbidity/mortality worldwide.
Metformin is the first therapy offering cardioprotection in type 2 diabetes and
non-diabetic animals with unknown mechanism. We have shown that metformin
improves angiogenesis via affecting expression of growth factors/angiogenic
inhibitors in CD34+ cells under hyperglycemia-hypoxia. Now we studied the direct
effect of physiological dose of metformin on human umbilical vein endothelial
cells (HUVEC) under conditions mimicking hypoxia-hyperglycemia. HUVEC migration
and apoptosis were studied after induction with euglycemia or hyperglycemia
and/or CoCl2 induced hypoxia in the presence or absence of metformin. HUVEC mRNA
was assayed by whole transcript microarrays. Genes were confirmed by qRT-PCR,
proteins by western blot, ELISA or flow cytometry. Metformin promoted HUVEC
migration and inhibited apoptosis via upregulation of vascular endothelial growth
factor (VEGF) receptors (VEGFR1/R2), fatty acid binding protein 4 (FABP4),
ERK/mitogen-activated protein kinase signaling, chemokine ligand 8, lymphocyte
antigen 96, Rho kinase 1 (ROCK1), matrix metalloproteinase 16 (MMP16) and tissue
factor inhibitor-2 under hyperglycemia-chemical hypoxia. Therefore, metformin's
dual effect in hyperglycemia-chemical hypoxia is mediated by direct effect on
VEGFR1/R2 leading to activation of cell migration through MMP16 and ROCK1
upregulation, and inhibition of apoptosis by increase in phospho-ERK1/2 and
FABP4, components of VEGF signaling cascades.
PMID- 29351192
TI - Seed Endophyte Microbiome of Crotalaria pumila Unpeeled: Identification of Plant
Beneficial Methylobacteria.
AB - Metal contaminated soils are increasing worldwide. Metal-tolerant plants growing
on metalliferous soils are fascinating genetic and microbial resources. Seeds can
vertically transmit endophytic microorganisms that can assist next generations to
cope with environmental stresses, through yet poorly understood mechanisms. The
aims of this study were to identify the core seed endophyte microbiome of the
pioneer metallophyte Crotalaria pumila throughout three generations, and to
better understand the plant colonisation of the seed endophyte Methylobacterium
sp. Cp3. Strain Cp3 was detected in C. pumila seeds across three successive
generations and showed the most dominant community member. When inoculated in the
soil at the time of flowering, strain Cp3 migrated from soil to seeds. Using
confocal microscopy, Cp3-mCherry was demonstrated to colonise the root cortex
cells and xylem vessels of the stem under metal stress. Moreover, strain Cp3
showed genetic and in planta potential to promote seed germination and seedling
development. We revealed, for the first time, that the seed microbiome of a
pioneer plant growing in its natural environment, and the colonisation behaviour
of an important plant growth promoting systemic seed endophyte. Future
characterization of seed microbiota will lead to a better understanding of their
functional contribution and the potential use for seed-fortification
applications.
PMID- 29351193
TI - Restricted Social Engagement among Adults Living with Chronic Conditions.
AB - Background: Social engagement is key to health and quality of life. Little is
known about social engagement patterns of middle-aged and older adults who live
with one or more chronic illnesses. This study investigated social engagement
restrictions among middle-aged and older adults with chronic conditions and
factors associated with these restrictions. Methods: Cross-sectional
representative data from the National Council on Aging Chronic Care Survey were
examined for relationships between social engagement restrictions and chronic
conditions, health status, support, quality of life implications, self-care
barriers, caregiving, and demographics. Associations were tested using bivariate
analyses and binary logistic regression. Results: Participants were 793 middle
aged (age 44-64) and older adults (age 65+) with one or more chronic conditions.
Factors associated with social engagement restrictions included having higher
education, receiving care, having more physician visits and hospitalizations,
being disabled, being unemployed, and having higher Emotional and Physical
Problems Scale scores. Conclusions: Findings reveal the prevalence of social
engagement restrictions among middle-aged and older adults with chronic
conditions. Results highlight the importance of promoting research, assessments,
and interventions to increase social engagement among this aging population.
PMID- 29351195
TI - Seeing Context through Metaphor: Using Communications Research to Bring a Social
Determinants Perspective to Public Thinking about Child Abuse and Neglect.
AB - Human beings think in metaphor and reason through analogy. The metaphors through
which we think influence how we understand and feel about social issues as well
as the actions that we see as appropriate and important. Metaphors can be used to
increase understanding of how issues work and increase the salience of a given
issue, build support for programs and policies necessary to address the issue,
and instigate demand for change and civic action. In this paper, we use a mixed
methods research design, including brief qualitative interviews, experimental
surveys, and focus groups, to test the ability of different metaphors to
influence public understanding of the social determinants of child abuse and
neglect in the UK. We find one metaphor in particular that improves people's
understanding of the social causes of child maltreatment and increases support
for structural solutions. This metaphor can be used to build support for
preventative public health solutions.
PMID- 29351196
TI - A Case Report of Disseminated Canine Histiocytic Sarcoma in Trinidad and Tobago.
AB - Ocular histiocytic sarcomas (as a presenting part of disseminated histiocytic
sarcoma) are not commonly diagnosed. A 10-year-old female intact Rottweiler
presented to the School of Veterinary Medicine, Trinidad with buphthalmia and
pain in the left eye. The cornea of the left eye appeared diffusely opaque with a
conjunctival mucopurulent ocular discharge. A thorough ophthalmic assessment
identified an intraocular proliferative tumor to which a unilateral enucleation
was performed, however the animal died soon after. Post mortem examination and
light microscopy revealed that the intraocular lesion with visceral macro
metastases was in fact a histiocytic sarcoma. Further to this, immune-phenotyping
was performed to confirm the diagnosis of disseminated histiocytic sarcoma. This
is the first time such a tumor has been diagnosed in Trinidad and Tobago.
PMID- 29351194
TI - Involvement of Bax and Bcl-2 in Induction of Apoptosis by Essential Oils of Three
Lebanese Salvia Species in Human Prostate Cancer Cells.
AB - Prostate cancer is one of the most common forms of cancer in men, and research to
find more effective and less toxic drugs has become necessary. In the frame of
our ongoing program on traditionally used Salvia species from the Mediterranean
Area, here we report the biological activities of Salvia aurea, S. judaica and S.
viscosa essential oils against human prostate cancer cells (DU-145). The cell
viability was measured by 3(4,5-dimethyl-thiazol-2-yl)2,5-diphenyl-tetrazolium
bromide (MTT) test and lactate dehydrogenase (LDH) release was used to quantify
necrosis cell death. Genomic DNA, caspase-3 activity, expression of cleaved
caspase-9, B-cell lymphoma 2 (Bcl-2) and Bcl-2 associated X (Bax) proteins were
analyzed in order to study the apoptotic process. The role of reactive oxygen
species in cell death was also investigated. We found that the three essential
oils, containing caryophyllene oxide as a main constituent, are capable of
reducing the growth of human prostate cancer cells, activating an apoptotic
process and increasing reactive oxygen species generation. These results suggest
it could be profitable to further investigate the effects of these essential oils
for their possible use as anticancer agents in prostate cancer, alone or in
combination with chemotherapy agents.
PMID- 29351197
TI - Announcing the 2018 Toxins Travel Awards for Post-Doctoral Fellows.
AB - This year we enjoyed a large number of very highy meritorious applications for
our annual Toxins Travel Awards.[...].
PMID- 29351198
TI - Superoxide Dismutase Mimetic GC4419 Enhances the Oxidation of Pharmacological
Ascorbate and Its Anticancer Effects in an H2O2-Dependent Manner.
AB - Lung cancer, together with head and neck cancer, accounts for more than one
fourth of cancer deaths worldwide. New, non-toxic therapeutic approaches are
needed. High-dose IV vitamin C (aka, pharmacological ascorbate; P-AscH-)
represents a promising adjuvant to radiochemotherapy that exerts its anti-cancer
effects via metal-catalyzed oxidation to form H2O2. Mn(III)-porphyrins possessing
superoxide dismutase (SOD) mimetic activity have been shown to increase the rate
of oxidation of AscH-, enhancing the anti-tumor effects of AscH- in several
cancer types. The current study demonstrates that the Mn(II)-containing
pentaazamacrocyclic selective SOD mimetic GC4419 may serve as an AscH-/O2*-
oxidoreductase as evidenced by the increased rate of oxygen consumption, steady
state concentrations of ascorbate radical, and H2O2 production in complete cell
culture media. GC4419, but not CuZnSOD, was shown to significantly enhance the
toxicity of AscH- in H1299, SCC25, SQ20B, and Cal27 cancer cell lines. This
enhanced cancer cell killing was dependent upon the catalytic activity of the SOD
mimetic and the generation of H2O2, as determined using conditional
overexpression of catalase in H1299T cells. GC4419 combined with AscH- was also
capable of enhancing radiation-induced cancer cell killing. Currently, AscH- and
GC4419 are each being tested separately in clinical trials in combination with
radiation therapy. Data presented here support the hypothesis that the
combination of GC4419 and AscH- may provide an effective means by which to
further enhance radiation therapy responses.
PMID- 29351199
TI - Biofilm-Forming Potential of Staphylococcus aureus Isolated from Clinical
Mastitis Cases in New Zealand.
AB - Biofilm formation is of growing concern in human and animal health. However, it
is still unclear how biofilms are related to mastitis infections in dairy cattle.
In this study, a comparison between two tests for biofilm formation and the
association between biofilm and the presence of genes associated with biofilm
formation were investigated for 92 Staphylococcus aureus isolates from clinical
mastitis cases. Congo red agar (CRA) and microtitre test assay (MTA) in vitro
phenotypic tests were used to evaluate biofilm formation. The presence of icaA,
icaD, and bap genes associated with biofilm formation was confirmed using the
polymerase chain reaction. Results show that most of the S. aureus isolates,
though not possessing one of the biofilm-forming genes, were able to produce
biofilms. MTA was more frequently positive in identifying biofilm-forming
isolates than CRA.
PMID- 29351200
TI - Comparing Nutrient Removal from Membrane Filtered and Unfiltered Domestic
Wastewater Using Chlorella vulgaris.
AB - The nutrient removal efficiency of Chlorella vulgaris cultivated in domestic
wastewater was investigated, along with the potential to use membrane filtration
as a pre-treatment tool during the wastewater treatment process. Chlorella
vulgaris was batch cultivated for 12 days in a bubble column system with two
different wastewater treatments. Maximum uptake of 94.18% ammonium (NH4-N) and
97.69% ortho-phosphate (PO4-P) occurred in 0.2 MUm membrane filtered primary
wastewater. Membrane filtration enhanced the nutrient uptake performance of C.
vulgaris by removing bacteria, protozoa, colloidal particles and suspended
solids, thereby improving light availability for photosynthesis. The results of
this study suggest that growing C. vulgaris in nutrient rich membrane filtered
wastewater provides an option for domestic wastewater treatment to improve the
quality of the final effluent.
PMID- 29351201
TI - In Vivo Characterisation of Five Strains of Bovine Viral Diarrhoea Virus 1
(Subgenotype 1c).
AB - Bovine viral diarrhoea virus 1 (BVDV-1) is strongly associated with several
important diseases of cattle, such as bovine respiratory disease, diarrhoea and
haemoragic lesions. To date many subgenotypes have been reported for BVDV-1,
currently ranging from subgenotype 1a to subgenotype 1u. While BVDV-1 has a world
wide distribution, the subgenotypes have a more restricted geographical
distribution. As an example, BVDV-1 subgenotypes 1a and 1b are frequently
detected in North America and Europe, while the subgenotype 1c is rarely
detected. In contrast, BVDV-1 subgenotype 1c is by far the most commonly reported
in Australia. Despite this, uneven distribution of the biological importance of
the subgenotypes remains unclear. The aim of this study was to characterise the
in vivo properties of five strains of BVDV-1 subgenotype 1c in cattle infection
studies. No overt respiratory signs were reported in any of the infected cattle
regardless of strain. Consistent with other subgenotypes, transient pyrexia and
leukopenia were commonly identified, while thrombocytopenia was not. The quantity
of virus detected in the nasal secretions of transiently infected animals
suggested the likelihood of horizontal transmission was very low. Further studies
are required to fully understand the variability and importance of the BVDV-1
subgenotype 1c.
PMID- 29351203
TI - TTX-Bearing Planocerid Flatworm (Platyhelminthes: Acotylea) in the Ryukyu
Islands, Japan.
AB - Polyclad flatworms comprise a highly diverse and cosmopolitan group of marine
turbellarians. Although some species of the genera Planocera and Stylochoplana
are known to be tetrodotoxin (TTX)-bearing, there are few new reports. In this
study, planocerid-like flatworm specimens were found in the sea bottom off the
waters around the Ryukyu Islands, Japan. The bodies were translucent with brown
reticulate mottle, contained two conical tentacles with eye spots clustered at
the base, and had a slightly frilled-body margin. Each specimen was subjected to
TTX extraction followed by liquid chromatography with tandem mass spectrometry
analysis. Mass chromatograms were found to be identical to those of the TTX
standards. The TTX amounts in the two flatworm specimens were calculated to be
468 and 3634 MUg. Their external morphology was found to be identical to that of
Planocera heda. Phylogenetic analysis based on the sequences of the 28S rRNA gene
and cytochrome-c oxidase subunit I gene also showed that both specimens clustered
with the flatworms of the genus Planocera (Planocera multitentaculata and
Planocera reticulata). This fact suggests that there might be other Planocera
species that also possess highly concentrated TTX, contributing to the
toxification of TTX-bearing organisms, including fish.
PMID- 29351202
TI - Antimicrobial Peptides: Diversity, Mechanism of Action and Strategies to Improve
the Activity and Biocompatibility In Vivo.
AB - Antibiotic resistance is projected as one of the greatest threats to human health
in the future and hence alternatives are being explored to combat resistance.
Antimicrobial peptides (AMPs) have shown great promise, because use of AMPs leads
bacteria to develop no or low resistance. In this review, we discuss the
diversity, history and the various mechanisms of action of AMPs. Although many
AMPs have reached clinical trials, to date not many have been approved by the US
Food and Drug Administration (FDA) due to issues with toxicity, protease cleavage
and short half-life. Some of the recent strategies developed to improve the
activity and biocompatibility of AMPs, such as chemical modifications and the use
of delivery systems, are also reviewed in this article.
PMID- 29351205
TI - Influence of Grapevine Cultivar on the Second Generations of Lobesia botrana and
Eupoecilia ambiguella.
AB - Grapevine cultivar can affect susceptibility to Lobesia botrana and Eupoecilia
ambiguella with important implications on control strategies. A four-year study
was carried out in north-eastern Italy on 10 cultivars (Cabernet Sauvignon,
Carmenere, Chardonnay, Merlot, Refosco dal Peduncolo Rosso, Rhine Riesling,
Sauvignon Blanc, Terrano, Tocai Friulano and Verduzzo Friulano) grown in the same
vineyard to assess whether the cultivar affects second-generation population
levels of the two vine moths and L. botrana larval age composition. The influence
of bunch traits measured at the peak of egg hatching on demographic parameters
was also evaluated. Over the four years, L. botrana significantly prevailed over
E. ambiguella in nine cultivars. Chardonnay and Tocai Friulano were the most
infested cultivars and Merlot was the least infested. At the sampling date, the
age composition of L. botrana varied with cultivar, with the larvae being
significantly older on Chardonnay and younger on Carmenere, Merlot and Verduzzo
Friulano. Older larval age was significantly associated with higher bunch
compactness. Larval infestation was not significantly influenced by either bunch
compactness or berry volume, which suggested a more important role for contact
and volatile substances mostly originating from the berries. These results allow
for the improvement of Integrated Pest Management strategy against vine moths.
PMID- 29351206
TI - Antibody Biomimetic Material Made of Pyrrole for CA 15-3 and Its Application as
Sensing Material in Ion-Selective Electrodes for Potentiometric Detection.
AB - This work reports a very simple approach for creating a synthetic antibody
against any protein of interest and its application in potentiometric
transduction. The selected protein was Breast Cancer Antigen (CA 15-3), which is
implicated in breast cancer disease and used to follow-up breast cancer patients
during treatment. The new material with antibody-like properties was obtained by
molecular-imprinting technology, prepared by electropolymerizing pyrrol (Py, 5.0
* 10-3 mol/L) around Breast Cancer Antigen (CA 15-3) (100 U/mL) on a fluorine
doped tin oxide (FTO) conductive glass support. Cyclic voltammetry was employed
for this purpose. All solutions were prepared in 4-(2-Hydroxyethyl)-1
piperazineethanesulfonic acid (HEPES) buffer, of pH 6.5. The biomarker was
removed from the imprinted sites by chemical action of ethanol. The biomimetic
material was then included in poly vinyl chloride (PVC) plasticized membranes to
act as potentiometric ionophore, having or not a lipophilic ionic additive added.
The corresponding selective electrodes were evaluated by calibration curves (in
buffer and in synthetic serum) and by selectivity testing. The best analytical
performance was obtained by selective electrodes including the plastic antibody
and no lipophilic additive. The average limits of detection were 1.07 U/mL of CA
15-3, with a linear response from 1.44 to 13.2 U/mL and a cationic slope of 44.5
mV/decade. Overall, the lipophilic additives yielded no advantage to the overall
potentiometric performance. The application of the MIP-based electrodes to the
analysis of spiked synthetic serum showed precise and accurate results.
PMID- 29351207
TI - Diabetic Retinopathy Screening: A Systematic Review on Patients' Non-Attendance.
AB - Diabetic Retinopathy is a microvascular complication of diabetes, that can go
undetected and unnoticed until irreversible damage and even blindness has
occurred. Effective screening for diabetic retinopathy has been proven to reduce
the risk of sight loss. The National Health Service (NHS) which provides
healthcare for all UK citizens, implemented systematic retinal screening for
diabetic retinopathy in England in 2003, with the aim of identifying and treating
all patients with sight threatening retinopathy. Crucial to this is patients
partaking in the programme. Therefore, increasing screening uptake has been a
major focus of the programme. This review explores the views of people living
with diabetes who do not attend retinal screening, their characteristics,
concerns, experiences of retinal screening and their understanding of the risks
of diabetic retinopathy. All studies that satisfied the study inclusion criteria
on 'patients' non-attendance at retinal screening', between 2003 to 2017 were
included after extensive database search. A total of 16 studies were included in
the review. Findings showed that socio-economic deprivation was a major risk
factor for non-attendance, about 11.5-13.4% of the screened population had sight
threatening retinopathy (STDR), repeated nonattendance was linked to sight
threatening diabetic retinopathy, and that certain factors, could be barriers or
incentives for screening uptake. Some of those factors are modifiable whilst
others are not.
PMID- 29351204
TI - mTOR Cross-Talk in Cancer and Potential for Combination Therapy.
AB - The mammalian Target of Rapamycin (mTOR) pathway plays an essential role in
sensing and integrating a variety of exogenous cues to regulate cellular growth
and metabolism, in both physiological and pathological conditions. mTOR functions
through two functionally and structurally distinct multi-component complexes,
mTORC1 and mTORC2, which interact with each other and with several elements of
other signaling pathways. In the past few years, many new insights into mTOR
function and regulation have been gained and extensive genetic and
pharmacological studies in mice have enhanced our understanding of how mTOR
dysfunction contributes to several diseases, including cancer. Single-agent mTOR
targeting, mostly using rapalogs, has so far met limited clinical success;
however, due to the extensive cross-talk between mTOR and other pathways,
combined approaches are the most promising avenues to improve clinical efficacy
of available therapeutics and overcome drug resistance. This review provides a
brief and up-to-date narrative on the regulation of mTOR function, the relative
contributions of mTORC1 and mTORC2 complexes to cancer development and
progression, and prospects for mTOR inhibition as a therapeutic strategy.
PMID- 29351208
TI - Preservation Method and Phosphate Buffered Saline Washing Affect the Acute
Myeloid Leukemia Proteome.
AB - Acute myeloid leukemia (AML) primary cells can be isolated from peripheral blood,
suspended with media containing bovine serum and cryoprotectant, and stored in
liquid nitrogen before being processed for proteomic analysis by mass
spectrometry (MS). The presence of bovine serum and human blood proteins in AML
samples can hamper the identifications of proteins, and thereby reduce the
proteome coverage of the study. Herein, we have established the effect of
phosphate buffered saline (PBS) washing on AML patient samples stored in media.
Although PBS washes effectively removed serum and blood contaminants, the saline
wash resulted in cell burst and remarkable protein material loss. We also
compared different methods to preserve the AML proteome from THP-1 and Molm-13
cell lines before MS analysis: (1) stored in media containing bovine serum and
dimethyl sulfoxide (DMSO); (2) stored as dried cell pellets; and (3) stored as
cell lysates in 4% sodium dodecyl sulfate (SDS). MS analysis of differently
preserved AML cell samples shows that preservation with DMSO produce a high
number of fragile cells that will burst during freezing and thawing. Our studies
encourage the use of alternative preservation methods for future MS analysis of
the AML proteome.
PMID- 29351210
TI - A High Sensitivity Electric Field Microsensor Based on Torsional Resonance.
AB - This paper proposes a high sensitivity electric field microsensor (EFM) based on
torsional resonance. The proposed microsensor adopts torsional shutter, which is
composed of shielding electrodes and torsional beams. The movable shielding
electrodes and the fixed sensing electrodes are fabricated on the same plane and
interdigitally arranged. Push-pull electrostatic actuation method is employed to
excite the torsional shutter. Simulation results proved that the torsional
shutter has higher efficiency of charge induction. The optimization of structure
parameters was conducted to improve its efficiency of charge induction further. A
micromachining fabrication process was developed to fabricate the EFM.
Experiments were conducted to characterize the EFM. A good linearity of 0.15% was
achieved within an electrostatic field range of 0-50 kV/m, and the uncertainty
was below 0.38% in the three roundtrip measurements. A high sensitivity of 4.82
mV/(kV/m) was achieved with the trans-resistance of 100 MOmega, which is improved
by at least one order of magnitude compared with previously reported EFMs. The
efficiency of charge induction for this microsensor reached 48.19 pA/(kV/m).
PMID- 29351211
TI - Wide-Field Fluorescence Microscopy of Real-Time Bioconjugation Sensing.
AB - We apply wide-field fluorescence microscopy to measure real-time attachment of
photosynthetic proteins to plasmonically active silver nanowires. The observation
of this effect is enabled, on the one hand, by sensitive detection of
fluorescence and, on the other hand, by plasmonic enhancement of protein
fluorescence. We examined two sample configurations with substrates being a bare
glass coverslip and a coverslip functionalized with a monolayer of streptavidin.
The different preparation of the substrate changes the observed behavior as far
as attachment of the protein is concerned as well as its subsequent
photobleaching. For the latter substrate the conjugation process is measurably
slower. The described method can be universally applied in studying protein
nanostructure interactions for real-time fluorescence-based sensing.
PMID- 29351209
TI - The Prognostic Significance of Histone Demethylase UTX in Esophageal Squamous
Cell Carcinoma.
AB - The dysregulation of the ubiquitously transcribed TPR gene on the X chromosome
(UTX) has been reported to be involved in the oncogenesis of several types of
cancers. However, the expression and significance of UTX in esophageal squamous
cell carcinoma (ESCC) remains largely undetermined. Immunohistochemistry was
performed in 106 ESCC patients, and correlated with clinicopathological features
and survival. The functional role of UTX in ESCC cells was determined by UTX
mediated siRNA. Univariate analyses showed that high UTX expression was
associated with superior overall survival (OS, p = 0.011) and disease-free
survival (DFS, p = 0.01). UTX overexpression was an independent prognosticator in
multivariate analysis for OS (p = 0.013, hazard ratio = 1.996) and DFS (p =
0.009, hazard ratio = 1.972). The 5-year OS rates were 39% and 61% in patients
with low expression and high expression of UTX, respectively. Inhibition of
endogenous UTX in ESCC cells increased cell viability and BrdU incorporation, and
decreased the expression of epithelial marker E-cadherin. Immunohistochemically,
UTX expression was also positively correlated with E-cadherin expression. High
UTX expression is independently associated with a better prognosis in patients
with ESCC and downregulation of UTX increases ESCC cell growth and decreases E
cadherin expression. Our results suggest that UTX may be a novel therapeutic
target for patients with ESCC.
PMID- 29351212
TI - Changes in the Expression of AQP4 and AQP9 in the Hippocampus Following Eclampsia
Like Seizure.
AB - Eclampsia is a hypertensive disorder of pregnancy that is defined by the new
onset of grand mal seizures on the basis of pre-eclampsia. Until now, the
mechanisms underlying eclampsia were poorly understood. Brain edema is considered
a leading cause of eclamptic seizures; aquaporins (AQP4 and AQP9), the glial
water channel proteins mainly expressed in the nervous system, play an important
role in brain edema. We studied AQP4 and AQP9 expression in the hippocampus of
pre-eclamptic and eclamptic rats in order to explore the molecular mechanisms
involved in brain edema. Using our previous animal models, we found several
neuronal deaths in the hippocampal CA1 and CA3 regions after pre-eclampsia and
that eclampsia induced more neuronal deaths in both areas by Nissl staining. In
the current study, RT-PCR and Western blotting data showed significant
upregulation of AQP4 and AQP9 mRNA and protein levels after eclamptic seizures in
comparison to pre-eclampsia and at the same time AQP4 and AQP9 immunoreactivity
also increased after eclampsia. These findings showed that eclamptic seizures
induced cell death and that upregulation of AQP4 and AQP9 may play an important
role in this pathophysiological process.
PMID- 29351215
TI - Multi-Sensor Data Integration Using Deep Learning for Characterization of Defects
in Steel Elements.
AB - Nowadays, there is a strong demand for inspection systems integrating both high
sensitivity under various testing conditions and advanced processing allowing
automatic identification of the examined object state and detection of threats.
This paper presents the possibility of utilization of a magnetic multi-sensor
matrix transducer for characterization of defected areas in steel elements and a
deep learning based algorithm for integration of data and final identification of
the object state. The transducer allows sensing of a magnetic vector in a single
location in different directions. Thus, it enables detecting and characterizing
any material changes that affect magnetic properties regardless of their
orientation in reference to the scanning direction. To assess the general
application capability of the system, steel elements with rectangular-shaped
artificial defects were used. First, a database was constructed considering
numerical and measurements results. A finite element method was used to run a
simulation process and provide transducer signal patterns for different defect
arrangements. Next, the algorithm integrating responses of the transducer
collected in a single position was applied, and a convolutional neural network
was used for implementation of the material state evaluation model. Then,
validation of the obtained model was carried out. In this paper, the procedure
for updating the evaluated local state, referring to the neighboring area
results, is presented. Finally, the results and future perspective are discussed.
PMID- 29351213
TI - Cyclin B3 Deficiency Impairs Germline Stem Cell Maintenance and Its
Overexpression Delays Cystoblast Differentiation in Drosophila Ovary.
AB - It is well known that cyclinB3 (cycB3) plays a key role in the control of cell
cycle progression. However, whether cycB3 is involved in stem cell fate
determination remains unknown. The Drosophila ovary provides an exclusive model
for studying the intrinsic and extrinsic factors that modulate the fate of
germline stem cells (GSCs). Here, using this model, we show that DrosophilacycB3
plays a new role in controlling the fate of germline stem cells (GSC). Results
from cycB3 genetic analyses demonstrate that cycB3 is intrinsically required for
GSC maintenance. Results from green fluorescent protein (GFP)-transgene reporter
assays show that cycB3 is not involved in Dad-mediated regulation of Bmp
signaling, or required for dpp-induced bam transcriptional silencing. Double
mutants of bam and cycB3 phenocopied bam single mutants, suggesting that cycB3
functions in a bam-dependent manner in GSCs. Deficiency of cycB3 fails to cause
apoptosis in GSCs or influence cystoblast (CB) differentiation into oocytes.
Furthermore, overexpression of cycB3 dramatically increases the CB number in
Drosophila ovaries, suggesting that an excess of cycB3 function delays CB
differentiation. Given that the cycB3 gene is evolutionarily conserved, from
insects to humans, cycB3 may also be involved in controlling the fate of GSCs in
humans.
PMID- 29351214
TI - Synthesis and Evaluation of the Antioxidant Activity of Lipophilic Phenethyl
Trifluoroacetate Esters by In Vitro ABTS, DPPH and in Cell-Culture DCF Assays.
AB - Polyphenols are natural compounds showing a variety of health-promoting effects.
Unfortunately, due to low lipid solubility, their applications in the
pharmaceutical, food, and cosmetic industries are limited. With the aim of
obtaining novel lipophilic derivatives, the present study reports the synthesis
of a series of phenethyl trifluoroacetate esters containing up to two hydroxyl
groups in the aromatic ring. Experimental logP values confirmed a greater
lipophilicity of the novel compounds compared to the parent compounds. The
radical scavenging capacity of all phenethyl trifluoroacetate esters was
evaluated by in vitro assays (ABTS, DPPH) and in cultured cells (L6 myoblasts and
THP-1 leukemic monocytes) using 2',7'-dichlorodihydrofluorescein diacetate. These
data revealed that the esters showed a good antioxidant effect that was strictly
dependent on the grade of hydroxylation of the phenyl ring. The lack of toxicity,
evaluated by the MTT assay and proliferation curves, makes these
trifluoroacetates attractive derivatives for pharmaceutical, food, and cosmetic
applications.
PMID- 29351216
TI - In Silico and In Vitro Study of the Bromelain-Phytochemical Complex Inhibition of
Phospholipase A2 (Pla2).
AB - Phospholipase A2 (Pla2) is an enzyme that induces inflammation, making Pla2
activity an effective approach to reduce inflammation. Therefore, investigating
natural compounds for this Pla2 inhibitory activity has important therapeutic
potential. The objective of this study was to investigate the potential in
bromelain-phytochemical complex inhibitors via a combination of in silico and in
vitro methods. Bromelain-amenthoflavone displays antagonistic effects on Pla2.
Bromelian-asiaticoside and bromelain-diosgenin displayed synergistic effects at
high concentrations of the combined compounds, with inhibition percentages of
more than 70% and 90%, respectively, and antagonistic effects at low
concentrations. The synergistic effect of the bromelain-asiaticoside and
bromelain-diosgenin combinations represents a new application in treating
inflammation. These findings not only provide significant quantitative data, but
also provide an insight on valuable implications for the combined use of
bromelain with asiaticoside and diosgenin in treating inflammation, and may help
researchers develop more natural bioactive compounds in daily foods as anti
inflammatory agent.
PMID- 29351217
TI - Nitrogen-Containing Functional Groups-Facilitated Acetone Adsorption by ZIF-8
Derived Porous Carbon.
AB - Nitrogen-doped porous carbon (ZC) is prepared by modification with ammonia for
increasing the specific surface area and surface polarity after carbonization of
zeolite imidazole framework-8 (ZIF-8). The structure and properties of these ZCs
were characterized by Transmission electron microscopy, X-ray diffraction, N2
sorption, X-ray photoelectron spectroscopy and Fourier transform infrared
spectroscopy. Through static adsorption tests of these carbons, the sample
obtained at 600 degrees C was selected as an excellent adsorbent, which
exhibited an excellent acetone capacity of 417.2 mg g-1 (25 degrees C) with a
very large surface area and high-level nitrogen doping (13.55%). The
microporosity, surface area and N-containing groups of the materials, pyrrolic-N,
pyridinic-N, and oxidized-N groups in particular, were found to be the
determining factors for acetone adsorption by means of molecular simulation with
density functional theory. These findings indicate that N-doped microporous
carbon materials are potential promising adsorbents for acetone.
PMID- 29351219
TI - Investigating Binge Eating Using Ecological Momentary Assessment: The Importance
of an Appropriate Sampling Frequency.
AB - With great interest, we read the recently published review on emotion regulation
in binge eating disorder (BED) by Dingemans et al. [1].[...].
PMID- 29351218
TI - Transparent Fingerprint Sensor System for Large Flat Panel Display.
AB - In this paper, we introduce a transparent fingerprint sensing system using a thin
film transistor (TFT) sensor panel, based on a self-capacitive sensing scheme. An
armorphousindium gallium zinc oxide (a-IGZO) TFT sensor array and associated
custom Read-Out IC (ROIC) are implemented for the system. The sensor panel has a
200 * 200 pixel array and each pixel size is as small as 50 MUm * 50 MUm. The
ROIC uses only eight analog front-end (AFE) amplifier stages along with a
successive approximation analog-to-digital converter (SAR ADC). To get the
fingerprint image data from the sensor array, the ROIC senses a capacitance,
which is formed by a cover glass material between a human finger and an electrode
of each pixel of the sensor array. Three methods are reviewed for estimating the
self-capacitance. The measurement result demonstrates that the transparent
fingerprint sensor system has an ability to differentiate a human finger's ridges
and valleys through the fingerprint sensor array.
PMID- 29351220
TI - Assessment of Validity and Reproducibility of the Zinc-Specific Dietary Intake
Questionnaire Conducted for Young Polish Female Respondents.
AB - One of the brief methods enabling the assessment of the zinc intake and
identification of individuals characterized by insufficient zinc intake, is zinc
specific food frequency questionnaire. The aim of the study was to assess the
validity and reproducibility of the elaborated zinc-specific food frequency
questionnaire ZINC-FFQ (Zinc INtake Calculation-Food Frequency Questionnaire) in
a group of young Polish female respondents. The validity was assessed in
comparison with 3-day dietary records, while reproducibility was assessed for the
ZINC-FFQ filled in twice (FFQ1 and FFQ2-six weeks apart). Bland-Altman indexes in
the assessment of validity were 5.5% (FFQ1) and 6.7% (FFQ2), while in assessment
of reproducibility it was 3.3%. In the assessment of reproducibility, 83% of
respondents were classified into the same category of zinc intake adequacy and
72% of respondents were classified into the same tertile, that contributed to
weighted kappa statistic of 0.65 (substantial agreement). It may be concluded,
that ZINC-FFQ is characterized by a validity on a satisfactory and
reproducibility on a very good level, in a group of young Polish female
respondents, and may be applied to indicate individuals characterized by the risk
of insufficient intake.
PMID- 29351221
TI - Mechanical Structural Design of a MEMS-Based Piezoresistive Accelerometer for
Head Injuries Monitoring: A Computational Analysis by Increments of the Sensor
Mass Moment of Inertia.
AB - This work focuses on the proof-mass mechanical structural design improvement of a
tri-axial piezoresistive accelerometer specifically designed for head injuries
monitoring where medium-G impacts are common; for example, in sports such as
racing cars or American Football. The device requires the highest sensitivity
achievable with a single proof-mass approach, and a very low error (<1%) as the
accuracy for these types of applications is paramount. The optimization method
differs from previous work as it is based on the progressive increment of the
sensor proof-mass mass moment of inertia (MMI) in all three axes. Three different
designs are presented in this study, where at each step of design evolution, the
MMI of the sensor proof-mass gradually increases in all axes. The work
numerically demonstrates that an increment of MMI determines an increment of
device sensitivity with a simultaneous reduction of cross-axis sensitivity in the
particular axis under study. This is due to the linkage between the external
applied stress and the distribution of mass (of the proof-mass), and therefore of
its mass moment of inertia. Progressively concentrating the mass on the axes
where the piezoresistors are located (i.e., x- and y-axis) by increasing the MMI
in the x- and y-axis, will undoubtedly increase the longitudinal stresses applied
in that areas for a given external acceleration, therefore increasing the
piezoresistors fractional resistance change and eventually positively affecting
the sensor sensitivity. The final device shows a sensitivity increase of about
80% in the z-axis and a reduction of cross-axis sensitivity of 18% respect to
state-of-art sensors available in the literature from a previous work of the
authors. Sensor design, modelling, and optimization are presented, concluding the
work with results, discussion, and conclusion.
PMID- 29351222
TI - Association between Work Related Stress and Health Related Quality of Life: The
Impact of Socio-Demographic Variables. A Cross Sectional Study in a Region of
Central Italy.
AB - The aim of this work is investigate relationship between health-related quality
of life and work-related stress and the impact of gender, education level, and
age on this relationship. A cross-sectional study was conducted among workers of
various setting in Rome and Frosinone. Work-related stress was measured with a
demand-control questionnaire and health-related functioning by SF (short form)-12
health survey. There were 611 participants. Men reported high mental composite
summary (MCS) and physical composite summary (PCS). In multivariate analysis age,
gender (p < 0.001) and job demand (0.045) predicted low PCS. Low MCS predicted
poor PCS. Job demand and educational level resulted negatively associated with
MCS. In an analysis stratified for age, gender, and educational level, gender and
age resulted effect modifier for MCS, gender and education level for PCS. In
women increase of decision latitude predict (p = 0.001) an increase in MCS; a low
job demand predict high MCS in male (p <= 0.001). In younger workers, a lower
level of job demand predicted high MCS (<0.001). For PCS, gender and education
level resulted effect modifier. In women, high decision latitude predicted higher
PCS (p = 0.001) and lower level of job demand results in higher PCS (p <= 0.001).
Higher educational level resulted predictor of low PCS. Management of risk about
work-related stress should consider socio-demographic factors.
PMID- 29351223
TI - A Novel Walking Detection and Step Counting Algorithm Using Unconstrained
Smartphones.
AB - Recently, with the development of artificial intelligence technologies and the
popularity of mobile devices, walking detection and step counting have gained
much attention since they play an important role in the fields of equipment
positioning, saving energy, behavior recognition, etc. In this paper, a novel
algorithm is proposed to simultaneously detect walking motion and count steps
through unconstrained smartphones in the sense that the smartphone placement is
not only arbitrary but also alterable. On account of the periodicity of the
walking motion and sensitivity of gyroscopes, the proposed algorithm extracts the
frequency domain features from three-dimensional (3D) angular velocities of a
smartphone through FFT (fast Fourier transform) and identifies whether its holder
is walking or not irrespective of its placement. Furthermore, the corresponding
step frequency is recursively updated to evaluate the step count in real time.
Extensive experiments are conducted by involving eight subjects and different
walking scenarios in a realistic environment. It is shown that the proposed
method achieves the precision of 93.76 % and recall of 93.65 % for walking
detection, and its overall performance is significantly better than other well
known methods. Moreover, the accuracy of step counting by the proposed method is
95.74 % , and is better than both of the several well-known counterparts and
commercial products.
PMID- 29351224
TI - N-Dimensional LLL Reduction Algorithm with Pivoted Reflection.
AB - The Lenstra-Lenstra-Lovasz (LLL) lattice reduction algorithm and many of its
variants have been widely used by cryptography, multiple-input-multiple-output
(MIMO) communication systems and carrier phase positioning in global navigation
satellite system (GNSS) to solve the integer least squares (ILS) problem. In this
paper, we propose an n-dimensional LLL reduction algorithm (n-LLL), expanding the
Lovasz condition in LLL algorithm to n-dimensional space in order to obtain a
further reduced basis. We also introduce pivoted Householder reflection into the
algorithm to optimize the reduction time. For an m-order positive definite
matrix, analysis shows that the n-LLL reduction algorithm will converge within
finite steps and always produce better results than the original LLL reduction
algorithm with n > 2. The simulations clearly prove that n-LLL is better than the
original LLL in reducing the condition number of an ill-conditioned input matrix
with 39% improvement on average for typical cases, which can significantly reduce
the searching space for solving ILS problem. The simulation results also show
that the pivoted reflection has significantly declined the number of swaps in the
algorithm by 57%, making n-LLL a more practical reduction algorithm.
PMID- 29351225
TI - A Composite Photocatalyst Based on Hydrothermally-Synthesized Cu2ZnSnS4 Powders.
AB - A novel composite photocatalyst based on Cu2ZnSnS4 (CZTS) powders was synthesized
and investigated for use as a photocatalyst. CZTS powders were first made using a
conventional hydrothermal method and were then used to grow silver nanoparticles
hybridized onto the CZTS under various conditions through a microwave-assisted
hydrothermal process. After the obtained samples were subsequently mixed with 1T
2H MoS2, the three synthesized component samples were characterized using X-ray
diffractometry (XRD), scanning electron microscopy, transmission electron
microscopy (FE-SEM, FE-TEM), UV-visible spectroscopy (UV-Vis), Brunauer-Emmet
Teller (BET), photoluminescence spectroscopy (PL), and X-ray photoelectron
spectroscopy (XPS). The resulting samples were also used as photocatalysts for
the degradation of methylene blue (MB) under a 300 W halogen lamp simulating
sunlight with ~5% UV light. The photodegradation ability was greatly enhanced by
the addition of Ag and 1T-2H MoS2. Excellent photodegradation of MB was obtained
under visible light. The effects of material characteristics on the
photodegradation were investigated and discussed.
PMID- 29351228
TI - Erratum: Lydia Visser et al. Characterization of the Microenvironment of Nodular
Lymphocyte Predominant Hodgkin Lymphoma, Int. J. Mol. Sci. 2016, 17, 2127.
AB - The authors regret to have made a mistake in publishing this paper [1] with an
incorrect author list [...].
PMID- 29351227
TI - Differential Association of Cx37 and Cx40 Genetic Variants in Atrial Fibrillation
with and without Underlying Structural Heart Disease.
AB - Atrial fibrillation (AF) appears in the presence or absence of structural heart
disease. The majority of foci causing AF are located near the ostia of pulmonary
veins (PVs), where cardiomyocytes and vascular smooth muscle cells interdigitate.
Connexins (Cx) form gap junction channels and participate in action potential
propagation. Genetic variants in genes encoding Cx40 and Cx37 affect their
expression or function and may contribute to PV arrhythmogenicity. DNA was
obtained from 196 patients with drug-resistant, symptomatic AF with and without
structural heart disease, who were referred for percutaneous catheter ablation.
Eighty-nine controls were matched for age, gender, hypertension, and BMI.
Genotyping of the Cx40 -44G > A, Cx40 +71A > G, Cx40 -26A > G, and Cx37 1019C > T
polymorphisms was performed. The promoter A Cx40 polymorphisms (-44G > A and +71A
> G) showed no association with non-structural or structural AF. Distribution of
the Cx40 promoter B polymorphism (-26A > G) was different in structural AF when
compared to controls (p = 0.03). There was no significant difference with non
structural AF (p = 0.50). The distribution of the Cx37 1019C > T polymorphism was
different in non-structural AF (p = 0.03) but not in structural AF (p = 0.08)
when compared to controls. Our study describes for the first time an association
of drug-resistant non-structural heart disease AF with the Cx37 1019C > T gene
polymorphism. We also confirmed the association of the Cx40 - 26G > A
polymorphism in patients with AF and structural disease.
PMID- 29351229
TI - Joint Bearing and Range Estimation of Multiple Objects from Time-Frequency
Analysis.
AB - Direction-of-arrival (DOA) and range estimation is an important issue of sonar
signal processing. In this paper, a novel approach using Hilbert-Huang transform
(HHT) is proposed for joint bearing and range estimation of multiple targets
based on a uniform linear array (ULA) of hydrophones. The structure of this ULA
based on micro-electro-mechanical systems (MEMS) technology, and thus has
attractive features of small size, high sensitivity and low cost, and is suitable
for Autonomous Underwater Vehicle (AUV) operations. This proposed target
localization method has the following advantages: only a single snapshot of data
is needed and real-time processing is feasible. The proposed algorithm transforms
a very complicated nonlinear estimation problem to a simple nearly linear one via
time-frequency distribution (TFD) theory and is verified with HHT. Theoretical
discussions of resolution issue are also provided to facilitate the design of a
MEMS sensor with high sensitivity. Simulation results are shown to verify the
effectiveness of the proposed method.
PMID- 29351226
TI - Curcumin Attenuates on Carbon Tetrachloride-Induced Acute Liver Injury in Mice
via Modulation of the Nrf2/HO-1 and TGF-beta1/Smad3 Pathway.
AB - This study aimed to investigate the protective effect of curcumin against carbon
tetrachloride (CCl4)-induced acute liver injury in a mouse model, and to explain
the underlying mechanism. Curcumin at doses of 50, 100 and 200 mg/kg/day were
administered orally once daily for seven days prior to CCl4 exposure. At 24 h,
curcumin-attenuated CCl4 induced elevated serum transaminase activities and
histopathological damage in the mouse's liver. Curcumin pre-treatment at 50, 100
and 200 mg/kg significantly ameliorated CCl4-induced oxidative stress,
characterized by decreased malondialdehyde (MDA) formations, and increased
superoxide dismutase (SOD), catalase (CAT) activities and glutathione (GSH)
content, followed by a decrease in caspase-9 and -3 activities. Curcumin pre
treatment significantly decreased CCl4-induced inflammation. Furthermore,
curcumin pre-treatment significantly down-regulated the expression of TGF-beta1
and Smad3 mRNAs (both p < 0.01), and up-regulated the expression of nuclear
factor erythroid 2-related factor 2 (Nrf2) and HO-1 mRNA (both p < 0.01) in the
liver. Inhibition of HO-1 attenuated the protective effect of curcumin on CCl4
induced acute liver injury. Given these outcomes, curcumin could protect against
CCl4-induced acute liver injury by inhibiting oxidative stress and inflammation,
which may partly involve the activation of Nrf2/HO-1 and inhibition of TGF
beta1/Smad3 pathways.
PMID- 29351230
TI - Comparison of Antioxidative Effects of Insect Tea and Its Raw Tea (Kuding Tea)
Polyphenols in Kunming Mice.
AB - Kudingcha is a traditional Chinese tea, and insect tea is a special drink
produced by the metabolism of insect larvae using the raw Kuding tea. Insect tea
polyphenols (ITP) and its raw tea (Kuding tea) polyphenols (KTP) are high-purity
polyphenols extracted by centrifuge precipitation. The present study was designed
to compare the antioxidative effects of insect tea polyphenols (ITP) and its raw
tea (Kuding tea) polyphenols (KTP) on d-galactose-induced oxidation in Kunming
(KM) mice. KM mice were treated with ITP (200 mg/kg) and KTP (200 mg/kg) by
gavage, and vitamin C (VC, 200 mg/kg) was also used as a positive control by
gavage. After determination in serum, liver and spleen, ITP-treated mice showed
higher superoxide dismutase (SOD), glutathione peroxidase (GSH-Px), and
glutathione (GSH) activities and lower nitric oxide (NO), malonaldehyde (MDA)
activities than VC-treated mice, KTP-treated mice and untreated oxidation mice
(control group). By H&E section observation, the mice induced by d-galactose
induced oxidation showed more changes than normal mice, and oxidative damage
appeared in liver and spleen tissues; ITP, VC and KTP improved oxidative damage
of liver and spleen tissues, and the effects of ITP were better than VC and KTP.
Using quantitative polymerase chain reaction (qPCR) and western blot experiments,
it was observed that ITP could increase the mRNA and protein expression of
neuronal nitric oxide synthase (nNOS), endothelial nitric oxide synthase (eNOS),
manganese superoxide dismutase (Mn-SOD), cupro/zinc superoxide dismutase (Cu/Zn
SOD), catalase (CAT), heme oxygenase-1 (HO-1), nuclear factor erythroid 2 related
factor 2 (Nrf2), gamma glutamylcysteine synthetase (gamma-GCS), and
NAD(P)H:quinone oxidoreductase 1 (NQO1) and reduce inducible nitric oxide
synthase (iNOS) expression in liver and spleen tissues compared to the control
group. These effects were stronger than for VC and KTP. Both ITP and KTP had good
antioxidative effects, and after the transformation of insects, the effects of
ITP were better than that of KTP and even better than VC. Thus, ITP can be used
as an antioxidant and anti-ageing functional food.
PMID- 29351231
TI - Molecular Network-Based Identification of Competing Endogenous RNAs in Thyroid
Carcinoma.
AB - RNAs may act as competing endogenous RNAs (ceRNAs), a critical mechanism in
determining gene expression regulations in many cancers. However, the roles of
ceRNAs in thyroid carcinoma remains elusive. In this study, we have developed a
novel pipeline called Molecular Network-based Identification of ceRNA (MNIceRNA)
to identify ceRNAs in thyroid carcinoma. MNIceRNA first constructs micro RNA
(miRNA)-messenger RNA (mRNA)long non-coding RNA (lncRNA) networks from miRcode
database and weighted correlation network analysis (WGCNA), based on which to
identify key drivers of differentially expressed RNAs between normal and tumor
samples. It then infers ceRNAs of the identified key drivers using the long non
coding competing endogenous database (lnCeDB). We applied the pipeline into The
Cancer Genome Atlas (TCGA) thyroid carcinoma data. As a result, 598 lncRNAs, 1025
mRNAs, and 90 microRNA (miRNAs) were inferred to be differentially expressed
between normal and thyroid cancer samples. We then obtained eight key driver
miRNAs, among which hsa-mir-221 and hsa-mir-222 were key driver RNAs identified
by both miRNA-mRNA-lncRNA and WGCNA network. In addition, hsa-mir-375 was
inferred to be significant for patients' survival with 34 associated ceRNAs,
among which RUNX2, DUSP6 and SEMA3D are known oncogenes regulating cellular
proliferation and differentiation in thyroid cancer. These ceRNAs are critical in
revealing the secrets behind thyroid cancer progression and may serve as future
therapeutic biomarkers.
PMID- 29351232
TI - Dietary Acid Load and Potassium Intake Associate with Blood Pressure and
Hypertension Prevalence in a Representative Sample of the German Adult
Population.
AB - Diets rich in fruits and vegetables, like the Dietary Approaches to Stop
Hypertension (DASH)-diet, are usually characterized by high potassium intake and
reduced dietary acid load, and have been shown to reduce blood pressure (BP).
However, the relevance of potential renal acid load (PRAL) for BP has not been
compared with the relevance to BP of urinary biomarker (K-urine)- and dietary
food frequency questionnaire (K-FFQ)-based estimates of potassium intake in a
general adult population sample. For 6788 participants (aged 18-79 years) of the
representative German Health-Interview and Examination Survey for Adults (DEGS1),
associations of PRAL, K-urine, and K-FFQ with BP and hypertension prevalence were
cross-sectionally examined in multivariable linear and logistic regression
models. PRAL was significantly associated with higher systolic BP (p = 0.0002)
and higher hypertension prevalence (Odds ratio [OR] high vs. low PRAL = 1.45, p =
0.0004) in models adjusted for age, sex, body mass index (BMI), estimated sodium
intake, kidney function, relevant medication, and further important covariates.
Higher estimates of K-FFQ and K-urine were related to lower systolic BP (p = 0.04
and p < 0.0001) and lower hypertension prevalence (OR = 0.82, p = 0.04 and OR =
0.77, p = 0.02) as well as a lower diastolic BP (p = 0.03 and p = 0.0003). Our
results show, for the first time in a comparative analysis of a large
representative population sample, significant relationships of BP and
hypertension prevalence with questionnaire- and biomarker-based estimates of
potassium intake and with an estimate of dietary acid load.
PMID- 29351233
TI - Postpartum Women's Perspectives of Engaging with a Dietitian and Exercise
Physiologist via Video Consultations for Weight Management: A Qualitative
Evaluation.
AB - Optimising weight status after childbirth is important. Video consultations are
an unexplored opportunity to deliver real-time support to postpartum women to
improve lifestyle behaviours. This study aims to provide insight into postpartum
women's perspectives of engaging with a dietitian and exercise physiologist
through video consultations for tailored nutrition and exercise care. A
qualitative study using individual telephone interviews (13-36 min) was
undertaken. 21 women (body mass index (BMI): 28.1 +/- 3.8 kg/m2; age: 32.3 +/-
3.0 years; parity: 1.6 +/- 0.9 children) who had completed the 8 week "Video
coaching to assist lifestyle (VITAL) change for mums" intervention participation
included up to five video consultations with a dietitian and exercise
physiologist. The interviews were audiorecorded and transcribed. Thematic data
analysis was conducted by an independent researcher using NVIVO11. Themes
relating to the video consultation experience included feeling that they did not
differ from other consultations, they were convenient, and the length of time and
flexible options were appropriate; however there was a desire for increased
contact frequency. The dietitian and exercise physiologist were perceived to
increase the participants' knowledge and confidence to improve health behaviours.
The approach to setting realistic and tailored goals was well received. Tailored
advice from a dietitian and exercise physiologist received via video
consultations is acceptable for postpartum women and offers a viable alternative
to in-person care.
PMID- 29351234
TI - Contribution of the Ratio of Tocopherol Homologs to the Oxidative Stability of
Commercial Vegetable Oils.
AB - The antioxidant activity of tocopherols in vegetable oils was shown to chiefly
depend on the amount and the tocopherol homolog present. However, the most
effective ratio of tocopherol homologs with regard to the antioxidant capacity
has not been elucidated so far. The present study analyzed the effect of
different tocopherol concentrations, homologs and ratios of homologs on markers
of lipid oxidation in the most commonly consumed vegetable oils (canola,
sunflower, soybean oil) stored in a 12 h light/dark cycle at 22 +/- 2 degrees C
for 56 days under retail/household conditions. After 56 days of storage, the
alpha-tocopherol-rich canola and sunflower oil showed the strongest rise in lipid
peroxides, yielding 25.1 +/- 0.03 meq O2/kg (+25.3-fold) and 24.7 +/- 0.05 meq
O2/kg (+25.0-fold), respectively. ESR experiments, excluding effects of the oils'
matrices and other minor constituents, confirmed that a food representative
tocopherol ratio of (gamma + delta)/alpha = 4.77, as represented in soybean oil,
led to a more pronounced delay of lipid oxidation than a lower ratio in canola
(1.39) and sunflower oil (0.06). An optimum (gamma + delta)/alpha -tocopherol
ratio contributing to the oxidative quality of vegetable oils extending their
shelf life has to be investigated.
PMID- 29351235
TI - Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and
Electrochemical Fusion Machining.
AB - Ultra-precision products which contain a micro-hole array have recently shown
remarkable demand growth in many fields, especially in the semiconductor and
display industries. Photoresist etching and electrochemical machining are widely
known as precision methods for machining micro-holes with no residual stress and
lower surface roughness on the fabricated products. The Invar shadow masks used
for organic light-emitting diodes (OLEDs) contain numerous micro-holes and are
currently machined by a photoresist etching method. However, this method has
several problems, such as uncontrollable hole machining accuracy, non-etched
areas, and overcutting. To solve these problems, a machining method that combines
photoresist etching and electrochemical machining can be applied. In this study,
negative photoresist with a quadrilateral hole array pattern was dry coated onto
30-um-thick Invar thin film, and then exposure and development were carried out.
After that, photoresist single-side wet etching and a fusion method of wet
etching-electrochemical machining were used to machine micro-holes on the Invar.
The hole machining geometry, surface quality, and overcutting characteristics of
the methods were studied. Wet etching and electrochemical fusion machining can
improve the accuracy and surface quality. The overcutting phenomenon can also be
controlled by the fusion machining. Experimental results show that the proposed
method is promising for the fabrication of Invar film shadow masks.
PMID- 29351236
TI - Trends in Resistance to Extended-Spectrum Cephalosporins and Carbapenems among
Escherichia coli and Klebsiella spp. Isolates in a District in Western India
during 2004-2014.
AB - Surveillance data on the level of resistant bacteria is needed to inform
strategies to reduce the development and spread of antibiotic resistance. The aim
of this study was to determine the non-susceptibility trends to extended-spectrum
cephalosporins and carbapenems among Escherichia coli and Klebsiella spp.
isolates from the district of Nashik in Western India during the period 2004
2014. Antibacterial susceptibility testing of clinical isolates was performed
using Kirby-Bauer disc diffusion method to determine inhibitory zone diameters.
The change in proportions of non-susceptible bacteria over calendar time was
investigated with spline transformations in a logistic regression model. For the
extended-spectrum cephalosporins, the proportions of non-susceptible E. coli and
Klebsiella spp. isolates were above 78.4% and 84.9% throughout the study period,
respectively. E. coli and Klebsiella spp. isolates exhibited carbapenem non
susceptibility levels as high as 76.9% and 84.1% respectively. The proportions of
extended-spectrum betalactamase (ESBL)-producing isolates ranged from 38.3-85.9%
in E. coli and from 45.1-93.1% in Klebsiella spp. Significantly higher
proportions of non-susceptible and ESBL-producing isolates were found among
isolates from inpatients compared to isolates from outpatients for both E. coli
and Klebsiella spp. (p < 0.050). The high proportions of non-susceptible isolates
observed show that there is great need to focus on optimal use of antibiotics to
reduce the development of antibiotic resistance.
PMID- 29351237
TI - Bioengineering of rFVIIa Biopharmaceutical and Structure Characterization for
Biosimilarity Assessment.
AB - Eptacog alfa (NovoSeven(r)) is a vitamin K-dependent recombinant Factor VIIa
produced by genetic engineering from baby hamster kidney (BHK) cells as a single
peptide chain of 406 residues. After activation, it consists of a light chain
(LC) of 152 amino and a heavy chain (HC) of 254 amino acids. Recombinant FVIIa
undergoes many post-translational modifications (PTMs). The first ten glutamic
acids of the N-terminal moiety are gamma-carboxylated, Asn145 and Asn322 are N
glycosylated, and Ser52 and Ser60 are O-glycosylated. A head-to-head
biosimilarity study was conducted for the originator and the first biosimilar
AryoSevenTM to evaluate comparable bioengineering. Physicochemical properties
were analyzed based on mass spectrometry, including intact mass, PTMs and higher
order structure. Both biotherapeutics exhibit a batch-to-batch variability in
their N-glycan profiles. N-Glycopeptide analysis with UHPLC-QTOF-MSE confirmed N
glycosylation sites as well as two different O-glycopeptide sites. Ser60 was
found to be O-fucosylated and Ser52 had O-glucose or O-glucose-(xylose)1,2 motifs
as glycan variants. Ion mobility spectrometry (TWIMS) and NMR spectroscopy data
affirm close similarity of the higher-order structure of both biologicals.
Potency of the biodrugs was analyzed by a coagulation assay demonstrating
comparable bioactivity. Consequently, careful process optimization led to a
stable production process of the biopharmaceuticals.
PMID- 29351240
TI - Machine Learning and Infrared Thermography for Fiber Orientation Assessment on
Randomly-Oriented Strands Parts.
AB - The use of fiber reinforced materials such as randomly-oriented strands has grown
in recent years, especially for manufacturing of aerospace composite structures.
This growth is mainly due to their advantageous properties: they are lighter and
more resistant to corrosion when compared to metals and are more easily shaped
than continuous fiber composites. The resistance and stiffness of these materials
are directly related to their fiber orientation. Thus, efficient approaches to
assess their fiber orientation are in demand. In this paper, a non-destructive
evaluation method is applied to assess the fiber orientation on laminates
reinforced with randomly-oriented strands. More specifically, a method called
pulsed thermal ellipsometry combined with an artificial neural network, a machine
learning technique, is used in order to estimate the fiber orientation on the
surface of inspected parts. Results showed that the method can be potentially
used to inspect large areas with good accuracy and speed.
PMID- 29351238
TI - Telomeres: Implications for Cancer Development.
AB - Telomeres facilitate the protection of natural ends of chromosomes from
constitutive exposure to the DNA damage response (DDR). This is most likely
achieved by a lariat structure that hides the linear telomeric DNA through
protein-protein and protein-DNA interactions. The telomere shortening associated
with DNA replication in the absence of a compensatory mechanism culminates in
unmasked telomeres. Then, the subsequent activation of the DDR will define the
fate of cells according to the functionality of cell cycle checkpoints.
Dysfunctional telomeres can suppress cancer development by engaging replicative
senescence or apoptotic pathways, but they can also promote tumour initiation.
Studies in telomere dynamics and karyotype analysis underpin telomere crisis as a
key event driving genomic instability. Significant attainment of telomerase or
alternative lengthening of telomeres (ALT)-pathway to maintain telomere length
may be permissive and required for clonal evolution of genomically-unstable cells
during progression to malignancy. We summarise current knowledge of the role of
telomeres in the maintenance of chromosomal stability and carcinogenesis.
PMID- 29351241
TI - Molecular Characterization and Expression Profiles of Sp-uchl3 and Sp-uchl5
during Gonad Development of Scylla paramamosain.
AB - Ubiquitin C-terminal hydrolases (UCHLs) are a subset of deubiquitinating enzymes,
and are involved in numerous physiological processes. However, the role of UCHLs
during gonad development has not been studied in crustaceans. In this study, we
have first cloned and analyzed expression profiling of Sp-uchl3 and Sp-uchl5
genes from mud crab Scylla paramamosain. The full-length cDNA of Sp-uchl3 is of
1804 bp. Its expression level in the ovary was significantly higher than in other
tissues (p < 0.01), and during gonadal development, its expression in both O1 and
O5 stages was significantly higher than in the other three stages of ovaries (p <
0.05), while in T3 it was higher than in the former two stages of testes (p <
0.05). Meanwhile, the full-length cDNA of Sp-UCHL5 is 1217 bp. The expression
level in the ovary was significantly higher than in other tissues (p < 0.01). Its
expression in ovaries was higher than in testes during gonadal development (p <
0.05). The expression level in the O5 stage was the highest, followed by the O3
stage in ovarian development, and with no significant difference in the testis
development (p > 0.05). These results provide basic data showing the role of Sp
UCHL3 and Sp-UCHL5 in the gonad development of the crab.
PMID- 29351243
TI - Awake versus Asleep Deep Brain Stimulation Surgery: Technical Considerations and
Critical Review of the Literature.
AB - Advancements in neuroimaging have led to a trend toward direct, image-based
targeting under general anesthesia without the use of microelectrode recording
(MER) or intraoperative test stimulation, also referred to as "asleep" deep brain
stimulation (DBS) surgery. Asleep DBS, utilizing imaging in the form of
intraoperative computed tomography (iCT) or magnetic resonance imaging (iMRI),
has demonstrated reliable targeting accuracy of DBS leads implanted within the
globus pallidus and subthalamic nucleus while also improving clinical outcomes in
patients with Parkinson's disease. In lieu, of randomized control trials,
retrospective comparisons between asleep and awake DBS with MER have shown
similar short-term efficacy with the potential for decreased complications in
asleep cohorts. In lieu of long-term outcome data, awake DBS using MER must
demonstrate more durable outcomes with fewer stimulation-induced side effects and
lead revisions in order for its use to remain justifiable; although patient
specific factors may also be used to guide the decision regarding which technique
may be most appropriate and tolerable to the patient.
PMID- 29351244
TI - School Contextual Features of Social Disorder and Mental Health Complaints-A
Multilevel Analysis of Swedish Sixth-Grade Students.
AB - This study addressed school-contextual features of social disorder in relation to
sixth-grade students' experiences of bullying victimization and mental health
complaints. It investigated, firstly, whether the school's concentrations of
behavioural problems were associated with individual students' likelihood of
being bullied, and secondly, whether the school's concentrations of behavioural
problems and bullying victimization predicted students' emotional and
psychosomatic health complaints. The data were derived from the Swedish National
Survey of Mental Health among Children and Young People, carried out among sixth
grade students (approximately 12-13 years old) in Sweden in 2009. The analyses
were based on information from 59,510 students distributed across 1999 schools.
The statistical method used was multilevel modelling. While students' own
behavioural problems were associated with an elevated risk of being bullied,
attending a school with a higher concentration of students with behavioural
problems also increased the likelihood of being bullied. Attending a school with
higher levels of bullying victimization and behavioural problems predicted more
emotional and psychosomatic complaints, even when adjusting for their individual
level analogues. The findings indicate that school-level features of social
disorder influence bullying victimization and mental health complaints among
students.
PMID- 29351242
TI - "Vessels in the Storm": Searching for Prognostic and Predictive Angiogenic
Factors in Colorectal Cancer.
AB - High expectations are placed upon anti-angiogenic compounds for metastatic
colorectal cancer (mCRC), the first malignancy for which such type of treatment
has been approved. Indeed, clinical trials have confirmed that targeting the
formation of new vessels can improve in many cases clinical outcomes of mCRC
patients. However, current anti-angiogenic drugs are far from obtaining the
desirable or expected curative results. Many are the factors probably involved in
such disappointing results, but particular attention is currently focused on the
validation of biomarkers able to improve the direction of treatment protocols.
Because clinical studies have clearly demonstrated that serum or tissue
concentration of some angiogenic factors is associated with the evolution of the
disease of mCRC patients, they are currently explored as potential biomarkers of
prognosis and of tumor response to therapy. However, the complex biology
underlying CRC -induced angiogenesis is a hurdle in finding rapid solutions. The
aim of this review was to explore molecular mechanisms that determine the
formation of tumor-associated vessels during CRC progression, and to discuss the
potential role of angiogenic factors as diagnostic, prognostic and predictive
biomarkers in CRC.
PMID- 29351245
TI - Exposure to Ambient Air Particles Increases the Risk of Mental Disorder: Findings
from a Natural Experiment in Beijing.
AB - Epidemiology studies indicated that air pollution has been associated with
adverse neurological effects in human. Moreover, the secretion of glucocorticoid
(GC) affects the mood regulation, and the negative feedback of hippocampal
glucocorticoid receptors (GR) inhibits the GC secretion. Meanwhile, the over
secretion of GC can interfere the immune system and induce neurotoxicity. In the
present study, the human test showed that the secretion of the cortisol in plasma
was elevated after exposure in heavy air pollution. In the mouse model, we found
that breathing the highly polluted air resulted in the negative responses of the
mood-related behavioral tests and morphology of hippocampus, as well as the over
secretion of GC in plasma, down regulation of GR, and up-regulation of cytokine
and chemokine in the hippocampus. When considering the interrelated trends
between the hippocampal GR, inflammatory factors, and plasmatic GC, we speculated
that PM2.5 exposure could lead to the increased secretion of GC in plasma by
decreasing the expression of GR in hippocampus, which activated the inflammation
response, and finally induced neurotoxicity, suggesting that PM2.5 exposure
negatively affects mood regulation. When combined with the results of the human
test, it indicated that exposure to ambient air particles increased the risk of
mental disorder.
PMID- 29351246
TI - Addition of Berberine to Preservation Solution in an Animal Model of Ex Vivo
Liver Transplant Preserves Mitochondrial Function and Bioenergetics from the
Damage Induced by Ischemia/Reperfusion.
AB - Liver transplantation is a therapeutic regimen to treat patients with non
malignant end-stage liver diseases and malignant tumors of hepatic origin. The
ischemia/reperfusion (I/R) injury in liver transplantation is associated with
disruption of mitochondrial function in the hepatic parenchyma. Several studies
have been conducted in animal models to identify pharmacological therapeutic
strategies to minimize the injury induced by the cold/warm I/R in liver
transplantation. Most of these studies were conducted in unrealistic conditions
without the potential to be translated to clinical usage. Berberine (BBR) is a
pharmacological compound with a potential protective effect of the mitochondrial
function in the context of I/R. For the future clinical application of these
pharmacological strategies, it is essential that a close resemblance exists
between the methodology used in the animals models and real life. In this study,
we have demonstrated that the addition of BBR to the preservation solution in an
I/R setting preserves mitochondrial function and bioenergetics, protecting the
liver from the deleterious effects caused by I/R. As such, BBR has the potential
to be used as a pharmacological therapeutic strategy.
PMID- 29351247
TI - B Type and Complex A/B Type Epicatechin Trimers Isolated from Litchi pericarp
Aqueous Extract Show High Antioxidant and Anticancer Activity.
AB - Litchi (Litchi chinensis Sonn.) fruit is known for its rich source of phenolics.
Litchi pericarp contains high levels of epicatechin that may form oligomers of
various lengths. Except for several A or B type epicatechin dimers, other soluble
oligomers have rarely been identified in the pericarp. Here, bioassay-guided
column fractionation was applied to isolate bioactive phenolics from aqueous
pericarp extract. A fraction (S3) was obtained by two rounds of Sephadex LH-20
column chromatography, and showed higher antioxidant activity and inhibition on
the proliferation of human lung cancer cells (A549) than Litchi anthocyanins. S3
was further separated to isolate fractions P1-P4, which all showed higher
antioxidant activity than vitamin C. P3 showed 32.9% inhibition on A549 cells at
30 MUg/mL, higher than other fractions and cis-Dichlorodiamineplatinum (DDP, 0.5
MUg/mL), but not as high as the combination of the four fractions. Using HPLC-Q
TOF-MS/MS, one B-type and complex A/B type epicatechin trimers were identified in
P3; another B-type and two A/B-type trimers were identified in P4. P1 and P2,
containing epicatechin and proanthocyanidin B2, respectively, showed no cell
inhibition at 30 MUg/mL. It is the first time that the two B type trimers of
epicatechins (Litchitannin B1 and B2), have been found in Litchi species. The
identified proanthocyanidins were detected in the pericarp of the young fruit,
and the levels of the compounds decreased as the fruit developed, correlating to
the decreasing patterns of the expression of LcLAR and LcANR, two key genes in
the catechin biosynthesis pathway.
PMID- 29351248
TI - A Comprehensive Study of a Micro-Channel Heat Sink Using Integrated Thin-Film
Temperature Sensors.
AB - A micro-channel heat sink is a promising cooling method for high power integrated
circuits (IC). However, the understanding of such a micro-channel device is not
sufficient, because the tools for studying it are very limited. The details
inside the micro-channels are not readily available. In this letter, a micro
channel heat sink is comprehensively studied using the integrated temperature
sensors. The highly sensitive thin film temperature sensors can accurately
monitor the temperature change in the micro-channel in real time. The outstanding
heat dissipation performance of the micro-channel heat sink is proven in terms of
maximum temperature, cooling speed and heat resistance. The temperature profile
along the micro-channel is extracted, and even small temperature perturbations
can be detected. The heat source formed temperature peak shifts towards the flow
direction with the increasing flow rate. However, the temperature non-uniformity
is independent of flow rate, but solely dependent on the heating power. Specific
designs for minimizing the temperature non-uniformity are necessary. In addition,
the experimental results from the integrated temperature sensors match the
simulation results well. This can be used to directly verify the modeling
results, helping to build a convincing simulation model. The integrated sensor
could be a powerful tool for studying the micro-channel based heat sink.
PMID- 29351250
TI - A GPS Phase-Locked Loop Performance Metric Based on the Phase Discriminator
Output.
AB - We propose a novel GPS phase-lock loop (PLL) performance metric based on the
standard deviation of tracking error (defined as the discriminator's estimate of
the true phase error), and explain its advantages over the popular phase jitter
metric using theory, numerical simulation, and experimental results. We derive an
augmented GPS phase-lock loop (PLL) linear model, which includes the effect of
coherent averaging, to be used in conjunction with this proposed metric. The
augmented linear model allows more accurate calculation of tracking error
standard deviation in the presence of additive white Gaussian noise (AWGN) as
compared to traditional linear models. The standard deviation of tracking error,
with a threshold corresponding to half of the arctangent discriminator pull-in
region, is shown to be a more reliable/robust measure of PLL performance under
interference conditions than the phase jitter metric. In addition, the augmented
linear model is shown to be valid up until this threshold, which facilitates
efficient performance prediction, so that time-consuming direct simulations and
costly experimental testing can be reserved for PLL designs that are much more
likely to be successful. The effect of varying receiver reference oscillator
quality on the tracking error metric is also considered.
PMID- 29351249
TI - Utilizing Dietary Micronutrient Ratios in Nutritional Research May be More
Informative than Focusing on Single Nutrients.
AB - The 2015 US dietary guidelines advise the importance of good dietary patterns for
health, which includes all nutrients. Micronutrients are rarely, if ever,
consumed separately, they are not tissue specific in their actions and at the
molecular level they are multitaskers. Metabolism functions within a seemingly
random cellular milieu however ratios are important, for example, the ratio of
adenosine triphosphate to adenosine monophosphate, or oxidized to reduced
glutathione. Health status is determined by simple ratios, such as the waist hip
ratio, or ratio of fat mass to lean mass. Some nutrient ratios exist and remain
controversial such as the omega-6/omega-3 fatty acid ratio and the
sodium/potassium ratio. Therefore, examining ratios of micronutrients may convey
more information about how diet and health outcomes are related. Summarized
micronutrient intake data, from food only, from the National Health and Nutrition
Examination Survey, were used to generate initial ratios. Overall, in this
preliminary analysis dietary ratios of micronutrients showed some differences
between intakes and recommendations. Principles outlined here could be used in
nutritional epidemiology and in basic nutritional research, rather than focusing
on individual nutrient intakes. This paper presents the concept of micronutrient
ratios to encourage change in the way nutrients are regarded.
PMID- 29351251
TI - Compatibility with Panax notoginseng and Rehmannia glutinosa Alleviates the
Hepatotoxicity and Nephrotoxicity of Tripterygium wilfordii via Modulating the
Pharmacokinetics of Triptolide.
AB - Tripterygium wilfordii (TW) and the representative active component triptolide
show positive therapeutic effect on the autoimmune disorders and simultaneously
ineluctable hepatotoxicity and nephrotoxicity. Combinational application of Panax
notoginseng (PN) and Rehmannia glutinosa (RG) weakens the toxicity of TW
according the clinical application of traditional Chinese medicine. This article
was aimed at the mechanism of decreasing toxicity of TW by the combinational
application of PN and RG. Biochemical and pathohistological analysis were
utilized to assess the toxicity on liver and kidney in rats administrated with
TW, TW-PN, TW-RG and TW-PN-RG for 3 and 7 days. Meanwhile, the pharmacokinetics
profiling of triptolide and wilforlide A was determined based on the plasma
concentration analyzed by ultra-high performance liquid chromatography-tandem
mass spectrometry (UPLC-MS/MS). TW-induced alkaline phosphatase (ALP), the marker
for liver injury, was enhanced from 22.83 +/- 1.29 to 40.73 +/- 1.42 King's
unit/100 mL (p < 0.01) at day 7. TW-PN-RG decreased the serum ALP of TW-treated
rats at 30.15 +/- 1.27 King's unit/100 mL (p < 0.01). For nephrotoxicity, TW
pronouncedly elevated serum creatinine (SCr) in rats from 20.33 +/- 1.77 to 49.82
+/- 2.35 MUmol/L (p < 0.01). However, rats treated with TW-PN-RG showed lower SCr
at 30.48 +/- 1.98 MUmol/L (p < 0.01). Moreover, TW-PN-RG significantly decreased
the TW-induced elevation of total bilirubin (T-BIL), alanine amino transferase
(ALT), aspartate amino transferase (AST), blood urea nitrogen (Bun), and reversed
the TW-resulted pathohistological characteristics of liver and kidney. The
delayed time to reach Cmax (Tmax) and reduced maximum concentration (Cmax) and
area under plasma concentration-time curve (AUC) of triptolide and wilforlide A
were explored in rats with combinational formulas. Synergism of PN and RG
obviously prolonged the half-life (t1/2) and apparent volume of distribution
(Vd), but exerted no action on the clearance rate. The compatibility of TW, PN
and RG influences intracorporal process of both triptolide and wilforlide A on
the steps of absorption and tissue distribution contributing to less toxicity of
TW on liver and kidney.
PMID- 29351252
TI - Comparison of Binding Affinities of Water-Soluble Calixarenes with the
Organophosphorus Nerve Agent Soman (GD) and Commonly-Used Nerve Agent Simulants.
AB - The formation of inclusion complexes of the water-soluble p
sulfonatocalix[n]arenes, where n = 4 or 6, with the Chemical Warfare Agent (CWA)
GD, or Soman, and commonly used dialkyl methylphosphonate simulants has been
studied by experimental solution NMR methods and by Molecular Mechanics (MMFF)
and semi-empirical (PM6) calculations. Complex formation in non-buffered and
buffered solutions is driven by the hydrophobic effect, and complex stoichiometry
determined as 1:1 for all host:guest pairs. Low affinity complexes (Kassoc < 100
M-1) are observed for all guests, attributed to poor host-guest complementarity
and the role of buffer cation species accounts for the low affinity of the
complexes. Comparison of CWA and simulant behavior adds to understanding of CWA
simulant correlations and the challenges of simulant selection.
PMID- 29351254
TI - Bridge Structure Deformation Prediction Based on GNSS Data Using Kalman-ARIMA
GARCH Model.
AB - Bridges are an essential part of the ground transportation system. Health
monitoring is fundamentally important for the safety and service life of bridges.
A large amount of structural information is obtained from various sensors using
sensing technology, and the data processing has become a challenging issue. To
improve the prediction accuracy of bridge structure deformation based on data
mining and to accurately evaluate the time-varying characteristics of bridge
structure performance evolution, this paper proposes a new method for bridge
structure deformation prediction, which integrates the Kalman filter,
autoregressive integrated moving average model (ARIMA), and generalized
autoregressive conditional heteroskedasticity (GARCH). Firstly, the raw
deformation data is directly pre-processed using the Kalman filter to reduce the
noise. After that, the linear recursive ARIMA model is established to analyze and
predict the structure deformation. Finally, the nonlinear recursive GARCH model
is introduced to further improve the accuracy of the prediction. Simulation
results based on measured sensor data from the Global Navigation Satellite System
(GNSS) deformation monitoring system demonstrated that: (1) the Kalman filter is
capable of denoising the bridge deformation monitoring data; (2) the prediction
accuracy of the proposed Kalman-ARIMA-GARCH model is satisfactory, where the mean
absolute error increases only from 3.402 mm to 5.847 mm with the increment of the
prediction step; and (3) in comparision to the Kalman-ARIMA model, the Kalman
ARIMA-GARCH model results in superior prediction accuracy as it includes partial
nonlinear characteristics (heteroscedasticity); the mean absolute error of five
step prediction using the proposed model is improved by 10.12%. This paper
provides a new way for structural behavior prediction based on data processing,
which can lay a foundation for the early warning of bridge health monitoring
system based on sensor data using sensing technology.
PMID- 29351253
TI - The Role of Sugar Transporter Genes during Early Infection by Root-Knot
Nematodes.
AB - Although pathogens such as nematodes are known to hijack nutrients from host
plants, the mechanisms whereby nematodes obtain sugars from plants remain largely
unknown. To determine the effects of nematode infection on host plant sugar
allocation, soluble sugar (fructose, glucose, sucrose) content was investigated
using high-performance liquid chromatography with refractive index detection and
was found to increase significantly in tomato (Solanum lycopersicum, Sl) leaves
and roots during early infection by root-knot nematodes (RKNs). To further
analyze whether sugar transporters played a role in this process, the expression
levels of sucrose transporter (SUT/SUC), Sugars Will Eventually be Exported
Transporter (SWEET), tonoplast monosaccharide transporter (TMT), and vacuolar
glucose transporter (VGT) gene family members were examined by qRT-PCR analysis
after RKN infection. The results showed that three SlSUTs, 17 SlSWEETs, three
SlTMTs, and SlVGT1 were upregulated in the leaves, whereas three SlSUTs, 17
SlSWEETs, two SlTMTs, and SlVGT1 were induced in the roots. To determine the
function of the sugar transporters in the RKN infection process, we examined post
infection responses in the Atsuc2 mutant and pAtSUC2-GUS lines. beta
glucuronidase expression was strongly induced at the infection sites, and RKN
development was significantly arrested in the Atsuc2 mutant. Taken together, our
analyses provide useful information for understanding the sugar transporter
responses during early infection by RKNs in tomato.
PMID- 29351255
TI - Game-Theoretical Design of an Adaptive Distributed Dissemination Protocol for
VANETs.
AB - Road safety applications envisaged for Vehicular Ad Hoc Networks (VANETs) depend
largely on the dissemination of warning messages to deliver information to
concerned vehicles. The intended applications, as well as some inherent VANET
characteristics, make data dissemination an essential service and a challenging
task in this kind of networks. This work lays out a decentralized stochastic
solution for the data dissemination problem through two game-theoretical
mechanisms. Given the non-stationarity induced by a highly dynamic topology,
diverse network densities, and intermittent connectivity, a solution for the
formulated game requires an adaptive procedure able to exploit the environment
changes. Extensive simulations reveal that our proposal excels in terms of number
of transmissions, lower end-to-end delay and reduced overhead while maintaining
high delivery ratio, compared to other proposals.
PMID- 29351256
TI - Immobilization of Staphylococcus aureus Sortase A on Chitosan Particles and Its
Applications in Peptide-to-Peptide Ligation and Peptide Cyclization.
AB - Chitosan macro-particles prepared by the neutralization method were applied to
Sortase A (SrtA) immobilization using glutaraldehyde as a crosslinking agent. The
particles were characterized by Fourier transform infrared spectroscopy (FTIR)
and scanning electron microscopy (SEM). Response surface methodology (RSM) was
employed to optimize the immobilization process. An average specific activity of
3142 U (mg protein)-1 was obtained under optimized immobilization conditions
(chitosan concentration 3%, SrtA concentration 0.5 mg.mL-1, glutaraldehyde
concentration 0.5%, crosslinking and immobilization at 20 degrees C,
crosslinking for 3 h, and an immobilization time of 8 h). The transpeptidase
activity of immobilized SrtA was proved by a peptide-to-peptide ligation with a
conversion yield approximately at 80%, and the immobilized catalyst was
successfully reused for five cycles without obvious activity loss. Moreover, the
scale-up capability of using immobilized SrtA to catalyze a head-to-tail peptide
cyclization was investigated in a batch reaction and the conversion yield was
more than 95% when using 20 mg of peptide as a substrate.
PMID- 29351257
TI - Modifications in the Consumption of Energy, Sugar, and Saturated Fat among the
Mexican Adult Population: Simulation of the Effect When Replacing Processed Foods
that Comply with a Front of Package Labeling System.
AB - A Mexican Committee of Nutrition Experts (MCNE) from the National Institute of
Public Health (INSP), free from conflict of interest, established food content
standards to place the front-of-package (FOP) logo on foods that meet these
nutrition criteria. The objectives were to simulate the effect on nutrient intake
in the Mexican adult population (20-59 years old) after replacing commonly
consumed processed foods with those that meet the FOP nutrition-labeling
criteria. Twenty-four hour dietary recalls were collected from the 2012 Mexican
National Health and Nutrition Survey (n = 2164 adults). A food database from the
INSP was used. Weighted medians and 25-75 inter-quartile ranges (IQR) of energy
and nutrient intake were calculated for all subjects by sociodemographic
characteristics before and after replacing foods. Significant decreases were
observed in energy (-5.4%), saturated fatty acids (-18.9%), trans-fatty acids (
20%), total sugar (-36.8%) and sodium (-10.7%) intake and a significant increase
in fiber intake (+15.5%) after replacing foods, using the MCNE nutrition
criteria. Replacing commonly consumed processed foods in the diet with foods that
meet the FOP nutrition-labeling criteria set by the MCNE can lead to improvements
in energy and nutrient intake in the Mexican adult population.
PMID- 29351258
TI - A Polymer Optical Fiber Temperature Sensor Based on Material Features.
AB - This paper presents a polymer optical fiber (POF)-based temperature sensor. The
operation principle of the sensor is the variation in the POF mechanical
properties with the temperature variation. Such mechanical property variation
leads to a variation in the POF output power when a constant stress is applied to
the fiber due to the stress-optical effect. The fiber mechanical properties are
characterized through a dynamic mechanical analysis, and the output power
variation with different temperatures is measured. The stress is applied to the
fiber by means of a 180 degrees curvature, and supports are positioned on the
fiber to inhibit the variation in its curvature with the temperature variation.
Results show that the sensor proposed has a sensitivity of 1.04 * 10-3 degrees C
1, a linearity of 0.994, and a root mean squared error of 1.48 degrees C, which
indicates a relative error of below 2%, which is lower than the ones obtained for
intensity-variation-based temperature sensors. Furthermore, the sensor is able to
operate at temperatures up to 110 degrees C, which is higher than the ones
obtained for similar POF sensors in the literature.
PMID- 29351259
TI - Dietary Fatty Acids Alter Lipid Profiles and Induce Myocardial Dysfunction
without Causing Metabolic Disorders in Mice.
AB - Oversupply of bulk saturated fatty acids (SFA) induces metabolic disorders and
myocardial dysfunction. We investigated whether, without causing metabolic
disorders, the uptake of individual dietary SFA species alters lipid profiles and
induces myocardial dysfunction. C57BL/6 mice were fed various customized long
chain SFA diets (40% caloric intake from SFA), including a beef tallow (HBD),
cocoa butter (HCD), milk fat (HMD) and palm oil diet (HPD), for 6 months. An
isocaloric fat diet, containing medium-chain triglycerides, served as a control
(CHD). Long-term intake of dietary long-chain SFA differentially affected the
fatty acid composition in cardiac phospholipids. All long-chain SFA diets
increased the levels of arachidonic acid and total SFA in cardiac phospholipids.
The preferential incorporation of individual SFA into the cardiac phospholipid
fraction was dependent on the dietary SFA species. Cardiac ceramide content was
elevated in all mice fed long-chain SFA diets, while cardiac hypertrophy was only
presented in mice fed HMD or HPD. We have demonstrated that the intake of long
chain SFA species differentially alters cardiac lipid profiles and induces
cardiac dysfunction, without causing remarkable metabolic disorders.
PMID- 29351261
TI - Three-Dimensional Terahertz Coded-Aperture Imaging Based on Single Input Multiple
Output Technology.
AB - As a promising radar imaging technique, terahertz coded-aperture imaging (TCAI)
can achieve high-resolution, forward-looking, and staring imaging by producing
spatiotemporal independent signals with coded apertures. In this paper, we
propose a three-dimensional (3D) TCAI architecture based on single input multiple
output (SIMO) technology, which can reduce the coding and sampling times sharply.
The coded aperture applied in the proposed TCAI architecture loads either
purposive or random phase modulation factor. In the transmitting process, the
purposive phase modulation factor drives the terahertz beam to scan the divided
3D imaging cells. In the receiving process, the random phase modulation factor is
adopted to modulate the terahertz wave to be spatiotemporally independent for
high resolution. Considering human-scale targets, images of each 3D imaging cell
are reconstructed one by one to decompose the global computational complexity,
and then are synthesized together to obtain the complete high-resolution image.
As for each imaging cell, the multi-resolution imaging method helps to reduce the
computational burden on a large-scale reference-signal matrix. The experimental
results demonstrate that the proposed architecture can achieve high-resolution
imaging with much less time for 3D targets and has great potential in
applications such as security screening, nondestructive detection, medical
diagnosis, etc.
PMID- 29351260
TI - Fatty Acid Based Microemulsions to Combat Ophthalmia Neonatorum Caused by
Neisseria gonorrhoeae and Staphylococcus aureus.
AB - The bacterial species Neisseria gonorrhoeae (N. gonorrhoeae) and Staphylococcus
aureus (S. aureus) are amongst the main microorganisms that cause ophthalmia
neonatorum. The current treatment involves the use of various antibiotics such as
ciprofloxacin, cephalosporin, ceftriaxone and cefotaxime. However, this treatment
strategy is becoming more ineffective due to the antibiotic resistance in N.
gonorrhoeae. The current study explores the potential use of fatty acid based
microemulsions (ME) to prevent N. gonorrhoeae and S. aureus infections in new
borns' eyes without harmful side effects such as corneal or conjunctiva
irritation. Pseudo-ternary phase diagrams were constructed to evaluate
microemulsion regions and six different alpha-linolenic acid based microemulsions
were prepared. The prepared formulations were characterized for alpha-linolenic
acid content, size, transparency, zeta potential, Polarized light Microscopy,
antimicrobial activity and ex vivo ocular toxicity. The mean droplet size of the
ME formulations was in the range of 190.4 to 350.5 nm and polydispersity index
(PDI) values were in the range of 0.102 to 0.561. All formulations were found
stable upon storage for at least 8 weeks. In addition, self-diffusion
coefficients determined by nuclear magnetic resonance (NMR) reflected that the
diffusability of water increased at higher than 30% w/w water, while that of
fatty acids and surfactants was in reverse. The antimicrobial efficacy of
microemulsions was determined against N. gonorrhoeae and S. aureus. It was
concluded that all microemulsions have strong antimicrobial effects against N.
gonorrhoeae and S. aureus. Finally, bovine corneal opacity permeability (BCOP)
and hen's egg chorioallantoic (HET-CAM) tests results showed that all
microemulsion formulations were not strong ocular irritants.
PMID- 29351262
TI - IMU-to-Segment Assignment and Orientation Alignment for the Lower Body Using Deep
Learning.
AB - Human body motion analysis based on wearable inertial measurement units (IMUs)
receives a lot of attention from both the research community and the and
industrial community. This is due to the significant role in, for instance,
mobile health systems, sports and human computer interaction. In sensor based
activity recognition, one of the major issues for obtaining reliable results is
the sensor placement/assignment on the body. For inertial motion capture (joint
kinematics estimation) and analysis, the IMU-to-segment (I2S) assignment and
alignment are central issues to obtain biomechanical joint angles. Existing
approaches for I2S assignment usually rely on hand crafted features and shallow
classification approaches (e.g., support vector machines), with no agreement
regarding the most suitable features for the assignment task. Moreover,
estimating the complete orientation alignment of an IMU relative to the segment
it is attached to using a machine learning approach has not been shown in
literature so far. This is likely due to the high amount of training data that
have to be recorded to suitably represent possible IMU alignment variations. In
this work, we propose online approaches for solving the assignment and alignment
tasks for an arbitrary amount of IMUs with respect to a biomechanical lower body
model using a deep learning architecture and windows of 128 gyroscope and
accelerometer data samples. For this, we combine convolutional neural networks
(CNNs) for local filter learning with long-short-term memory (LSTM) recurrent
networks as well as generalized recurrent units (GRUs) for learning time dynamic
features. The assignment task is casted as a classification problem, while the
alignment task is casted as a regression problem. In this framework, we
demonstrate the feasibility of augmenting a limited amount of real IMU training
data with simulated alignment variations and IMU data for improving the
recognition/estimation accuracies. With the proposed approaches and final models
we achieved 98.57% average accuracy over all segments for the I2S assignment task
(100% when excluding left/right switches) and an average median angle error over
all segments and axes of 2 . 91 for the I2S alignment task.
PMID- 29351263
TI - Twenty-Nine New Limonoids with Skeletal Diversity from the Mangrove Plant,
Xylocarpus moluccensis.
AB - Twenty-nine new limonoids-named xylomolins A1-A7, B1-B2, C1-C2, D-F, G1-G5, H-I,
J1-J2, K1-K2, L1-L2, and M-N, were isolated from the seeds of the mangrove plant,
Xylocarpus moluccensis. Compounds 1-13 are mexicanolides with one double bond or
two conjugated double bonds, while 14 belongs to a small group of mexicanolides
with an oxygen bridge between C1 and C8. Compounds 15-19 are khayanolides
containing a Delta8,14 double bond, whereas 20 and 21 are rare khayanolides
containing a Delta14,15 double bond and Delta8,9, Delta14,15 conjugated double
bonds, respectively. Compounds 22 and 23 are unusual limonoids possessing a (Z)
bicyclo[5.2.1]dec-3-en-8-one motif, while 24 and 25 are 30-ketophragmalins with
Delta8,9, Delta14,15 conjugated double bonds. Compounds 26 and 27 are phragmalin
8,9,30-ortho esters, whereas 28 and 29 are azadirone and andirobin derivatives,
respectively. The structures of these compounds, including absolute
configurations of 15-19, 21-23, and 26, were established by HRESIMS, extensive 1D
and 2D NMR investigations, and the comparison of experimental electronic circular
dichroism (ECD) spectra. The absolute configuration of 1 was unequivocally
established by single-crystal X-ray diffraction analysis, obtained with Cu Kalpha
radiation. The diverse cyclization patterns of 1-29 reveal the strong flexibility
of skeletal plasticity in the limonoid biosynthesis of X. moluccensis. Compound
23 exhibited weak antitumor activity against human triple-negative breast MD-MBA
231 cancer cells with an IC50 value of 37.7 MUM. Anti-HIV activities of 1, 3, 8,
10, 11, 14, 20, 23-25, and 27 were tested in vitro. However, no compounds showed
potent inhibitory activity.
PMID- 29351264
TI - Identification of Cyclic Dipeptides from Escherichia coli as New Antimicrobial
Agents against Ralstonia Solanacearum.
AB - Ralstonia solanacearum is a causative agent of bacterial wilt in many important
crops throughout the world. How to control bacterial wilt caused by R.
solanacearum is a major problem in agriculture. In this study, we aim to isolate
the biocontrol agents that have high efficacy in the control of bacterial wilt.
Three new bacterial strains with high antimicrobial activity against R.
solanacearum GMI1000 were isolated and identified. Our results demonstrated that
these bacteria could remarkably inhibit the disease index of host plant infected
by R. solanacearum. It was indicated that strain GZ-34 (CCTCC No. M 2016353)
showed an excellent protective effect to tomato under greenhouse conditions.
Strain GZ-34 was characterized as Escherichia coli based on morphology,
biochemistry, and 16S rRNA analysis. We identified that the main antimicrobial
compounds produced by E. coli GZ-34 were cyclo(l-Pro-d-Ile) and cyclo(l-Pro-l
Phe) using electrospray ionization mass spectrometry (ESI-MS) and nuclear
magnetic resonance (NMR) analysis. The two active compounds also interfered with
the expression levels of some pathogenicity-contributors of R. solanacearum.
Furthermore, cyclo(l-Pro-l-Phe) effectively inhibited spore formation of
Magnaporthe grisea, which is a vital pathogenesis process of the fungal pathogen,
suggesting cyclic dipeptides from E. coli are promising potential antimicrobial
agents with broad-spectrum activity to kill pathogens or interfere with their
pathogenesis.
PMID- 29351265
TI - Development of Antimicrobial Biocomposite Films to Preserve the Quality of Bread.
AB - This study focused on the development of gelatin-based films with incorporation
of microcrystalline cellulose as reinforcement material. Clove (Syzygium
aromaticum), nutmeg (Myristica fragrans), and black pepper (Piper nigrum)
oleoresins containing antimicrobial compounds of natural origin were incorporated
into the films. The mechanical, thermal, optical, and structural properties, as
well as color, seal strength and permeability to water vapor, light, and oil of
the films were determined. Adding oleoresins to the gelatin matrix increased the
elongation of the material and significantly diminished its permeability to water
vapor and oil. Evaluation of the potential use of films containing different
oleoresins as bread packaging material was influenced by the film properties. The
biocomposite film containing oleoresin from black pepper was the most effective
packaging material for maintaining bread's quality characteristics.
PMID- 29351266
TI - From Extraction of Local Structures of Protein Energy Landscapes to Improved
Decoy Selection in Template-Free Protein Structure Prediction.
AB - Due to the essential role that the three-dimensional conformation of a protein
plays in regulating interactions with molecular partners, wet and dry
laboratories seek biologically-active conformations of a protein to decode its
function. Computational approaches are gaining prominence due to the labor and
cost demands of wet laboratory investigations. Template-free methods can now
compute thousands of conformations known as decoys, but selecting native
conformations from the generated decoys remains challenging. Repeatedly, research
has shown that the protein energy functions whose minima are sought in the
generation of decoys are unreliable indicators of nativeness. The prevalent
approach ignores energy altogether and clusters decoys by conformational
similarity. Complementary recent efforts design protein-specific scoring
functions or train machine learning models on labeled decoys. In this paper, we
show that an informative consideration of energy can be carried out under the
energy landscape view. Specifically, we leverage local structures known as basins
in the energy landscape probed by a template-free method. We propose and compare
various strategies of basin-based decoy selection that we demonstrate are
superior to clustering-based strategies. The presented results point to further
directions of research for improving decoy selection, including the ability to
properly consider the multiplicity of native conformations of proteins.
PMID- 29351267
TI - Motorcycle That See: Multifocal Stereo Vision Sensor for Advanced Safety Systems
in Tilting Vehicles.
AB - Advanced driver assistance systems, ADAS, have shown the possibility to
anticipate crash accidents and effectively assist road users in critical traffic
situations. This is not the case for motorcyclists, in fact ADAS for motorcycles
are still barely developed. Our aim was to study a camera-based sensor for the
application of preventive safety in tilting vehicles. We identified two road
conflict situations for which automotive remote sensors installed in a tilting
vehicle are likely to fail in the identification of critical obstacles.
Accordingly, we set two experiments conducted in real traffic conditions to test
our stereo vision sensor. Our promising results support the application of this
type of sensors for advanced motorcycle safety applications.
PMID- 29351269
TI - Bipolar or monopolar transurethral resection for benign prostatic hyperplasia?
AB - INTRODUCTION: Transurethral resection is currently considered as standard
endoscopic treatment for lower urinary tract obstruction due to benign
hyperplasia under 80 cc. Monopolar resection loops has been traditionally used
but bipolar energy has recently displaced precedent technology. The purpose of
this summary is to evaluate the efficacy and safety of both technologies.
METHODS: To answer this question we used Epistemonikos, the largest database of
systematic reviews in health, which is maintained by screening multiple
information sources, including MEDLINE, EMBASE, Cochrane, among others. We
extracted data from the systematic reviews, reanalyzed data of primary studies,
conducted a meta-analysis and generated a summary of findings table using the
GRADE approach. RESULTS AND CONCLUSIONS: We identified 13 systematic reviews
including 32 primary studies, among them 31 randomized trials. We concluded
although there may be no difference in terms of efficacy among both techniques,
the use of bipolar energy reduces the incidence of transurethral resection
syndrome and probably reduces the risk of bleeding that requires red blood cell
transfusion.
PMID- 29351268
TI - Use of Different Methods of Intramedullary Nailing for Fixation of Distal Radius
Fractures: A Retrospective Analysis of Clinical and Radiological Outcomes.
AB - BACKGROUND We aimed to evaluate the clinical and radiologic outcomes of using
Sonoma WRx versus Micronail intramedullary nailing for the fixation of distal
radius fractures. MATERIAL AND METHODS A total of 68 patients with primarily
extra-articular and simple intra-articular fractures of the distal radius who
underwent intramedullary distal radius fixation using Sonoma WRx (n=39) or
Micronail (n=29) intramedullary nails were enrolled in the study. The clinical
outcome measures included the range of motion (ROM), visual analog scale (VAS),
functional outcomes (patient-reported Disabilities of the Arm, Shoulder and Hand
[DASH] score and clinician-based Gartland-Werley score), radiographic scores
(Stewart score), and parameters related to the quality of radiographic reduction
and complications (radial inclination, volar tilt, radial height, and radio-ulnar
variance). RESULTS Significantly higher DASH (15.0+/-3.3 vs. 8.3+/-1.5, p<0.001)
and Gartland-Werley (4.9+/-5.4 vs. 2.9+/-4.2, p=0.029) scores, longer scopy time
(21.0+/-3.9 min vs. 15.8+/-2.5 min, p<0.001), lower ROM for wrist extension
(69.5+/-4.4 degrees vs. 77.1+/-7.6 degrees , p<0.001), higher ROM for wrist
supination (81.9+/-5.1 degrees vs. 78.7+/-3.1 degrees , p<0.001), and higher
complications rates (37.9% vs. 15.4%, p=0.034) were noted in the Micronail group
compared to those in the Sonoma WRx group. CONCLUSIONS Our findings revealed that
Sonoma WRx and Micronail implants were equally effective and useful minimally
invasive options for treating distal radius fractures. Further, we consider
Sonoma WRx superior in terms of shorter operative time, lower complication rates,
and better functional outcome scores.
PMID- 29351270
TI - Is mytomicyn better than 5-fluorouracil as antimetabolite in trabeculectomy for
glaucoma?
AB - INTRODUCTION: Trabeculectomy is considered the standard for glaucoma surgery.
Postoperative scarring is one the factors associated with surgery failure.
Different antimetabolites have been used in order to reduce this risk,
particularly 5-fluorouracil and mitomycin C. Although both are considered
effective, it is not clear if they are different in terms of success of
trabeculectomy and adverse effects. METHODS: To answer this question we used
Epistemonikos, the largest database of systematic reviews in health, which is
maintained by screening multiple information sources, including MEDLINE, EMBASE,
Cochrane, among others. We extracted data from the systematic reviews, reanalyzed
data of primary studies, conducted a meta-analysis and generated a summary of
findings table using the GRADE approach. RESULTS AND CONCLUSIONS: We identified
four systematic reviews including 17 studies overall, of which 12 were randomized
trials. We concluded mitomycin C might be more effective in reducing intraocular
pressure and increasing qualified success compared to 5-fluorouracil. However,
its use might be associated to a higher risk of complications.
PMID- 29351271
TI - Risk factors for low birth weight according to the multiple logistic regression
model. A retrospective cohort study in Jose Maria Morelos municipality, Quintana
Roo, Mexico.
AB - INTRODUCTION: Low birth weight has been an enigma for science over time. There
have been many researches on its causes and its effects. Low birth weight is an
indicator that predicts the probability of a child surviving. In fact, there is
an exponential relationship between weight deficit, gestational age, and
perinatal mortality. Multiple logistic regression is one of the most expressive
and versatile statistical instruments available for the analysis of data in both
clinical and epidemiology settings, as well as in public health. OBJECTIVE: To
assess in a multivariate fashion the importance of 17 independent variables in
low birth weight (dependent variable) of children born in the Mayan municipality
of Jose Maria Morelos, Quintana Roo, Mexico. METHODS: Analytical observational
epidemiological cohort study with retrospective temporality. Births that met the
inclusion criteria occurred in the "Hospital Integral Jose Maria Morelos" of the
Ministry of Health corresponding to the Maya municipality of Jose Maria Morelos
during the period from August 1, 2014 to July 31, 2015. The total number of
newborns recorded was 1,147; 84 of which (7.32%) had low birth weight. To
estimate the independent association between the explanatory variables (potential
risk factors) and the response variable, a multiple logistic regression analysis
was performed using the IBM SPSS Statistics 22 software. RESULTS: In ascending
numerical order values of odds ratio > 1 indicated the positive contribution of
explanatory variables or possible risk factors: "unmarried" marital status
(1.076, 95% confidence interval: 0.550 to 2.104); age at menarche <= 12 years
(1.08, 95% confidence interval: 0.64 to 1.84); history of abortion(s) (1.14, 95%
confidence interval: 0.44 to 2.93); maternal weight < 50 kg (1.51, 95% confidence
interval: 0.83 to 2.76); number of prenatal consultations <= 5 (1.86, 95%
confidence interval: 0.94 to 3.66); maternal age >= 36 years (3.5, 95% confidence
interval: 0.40 to 30.47); maternal age <= 19 years (3.59, 95% confidence
interval: 0.43 to 29.87); number of deliveries = 1 (3.86, 95% confidence
interval: 0.33 to 44.85); personal pathological history (4.78, 95% confidence
interval: 2.16 to 10.59); pathological obstetric history (5.01, 95% confidence
interval: 1.66 to 15.18); maternal height < 150 cm (5.16, 95% confidence
interval: 3.08 to 8.65); number of births >= 5 (5.99, 95% confidence interval:
0.51 to 69.99); and smoking (15.63, 95% confidence interval: 1.07 to 227.97).
CONCLUSIONS: Four of the independent variables (personal pathological history,
obstetric pathological history, maternal stature <150 centimeters and smoking)
showed a significant positive contribution, thus they can be considered as clear
risk factors for low birth weight. The use of the logistic regression model in
the Mayan municipality of Jose Maria Morelos, will allow estimating the
probability of low birth weight for each pregnant woman in the future, which will
be useful for the health authorities of the region.
PMID- 29351272
TI - Current applications of multiparameter flow cytometry in plasma cell disorders.
AB - This corrects the article DOI: 10.1038/bcj.2017.90.
PMID- 29351273
TI - Understanding the legal trade of cattle and camels and the derived risk of Rift
Valley Fever introduction into and transmission within Egypt.
AB - Rift Valley Fever (RVF) is a mosquito-borne zoonosis, which may cause significant
losses for the livestock sector and have serious public health implications.
Egypt has been repeatedly affected by RVF epidemics, mainly associated to the
importation of animals from sub-Saharan countries, where the disease is endemic.
The objective of our study was the improvement of the surveillance and control
strategies implemented in Egypt. In order to do that, first we evaluated the
legal trade of live animals into and within Egypt. Then, we assessed the risk of
Rift Valley Fever virus (RVFV) transmission within the country using a multi
criteria evaluation approach. Finally, we combined the animal trade and the risk
of RVFV transmission data to identify those areas and periods in which the
introduction of RVFV is more likely. Our results indicate that the main risk of
RVFV introduction is posed by the continuous flow of large number of camels
coming from Sudan. The risk of RVFV transmission by vectors is restricted to the
areas surrounding the Nile river, and does not vary significantly throughout the
year. Imported camels are taken to quarantines, where the risk of RVFV
transmission by vectors is generally low. Then, they are taken to animal markets
or slaughterhouses, many located in populated areas, where the risk of RVFV
transmission to animals or humans is much higher. The measures currently
implemented (quarantines, vaccination or testing) seem to have a limited effect
in reducing the risk of RVFV introduction, and therefore other (risk-based)
surveillance strategies are proposed.
PMID- 29351274
TI - DNA polymerase IV primarily operates outside of DNA replication forks in
Escherichia coli.
AB - In Escherichia coli, damage to the chromosomal DNA induces the SOS response,
setting in motion a series of different DNA repair and damage tolerance pathways.
DNA polymerase IV (pol IV) is one of three specialised DNA polymerases called
into action during the SOS response to help cells tolerate certain types of DNA
damage. The canonical view in the field is that pol IV primarily acts at
replisomes that have stalled on the damaged DNA template. However, the results of
several studies indicate that pol IV also acts on other substrates, including
single-stranded DNA gaps left behind replisomes that re-initiate replication
downstream of a lesion, stalled transcription complexes and recombination
intermediates. In this study, we use single-molecule time-lapse microscopy to
directly visualize fluorescently labelled pol IV in live cells. We treat cells
with the DNA-damaging antibiotic ciprofloxacin, Methylmethane sulfonate (MMS) or
ultraviolet light and measure changes in pol IV concentrations and cellular
locations through time. We observe that only 5-10% of foci induced by DNA damage
form close to replisomes, suggesting that pol IV predominantly carries out non
replisomal functions. The minority of foci that do form close to replisomes
exhibit a broad distribution of colocalisation distances, consistent with a
significant proportion of pol IV molecules carrying out postreplicative TLS in
gaps behind the replisome. Interestingly, the proportion of pol IV foci that form
close to replisomes drops dramatically in the period 90-180 min after treatment,
despite pol IV concentrations remaining relatively constant. In an SOS
constitutive mutant that expresses high levels of pol IV, few foci are observed
in the absence of damage, indicating that within cells access of pol IV to DNA is
dependent on the presence of damage, as opposed to concentration-driven
competition for binding sites.
PMID- 29351276
TI - Static length changes of cochlear outer hair cells can tune low-frequency
hearing.
AB - The cochlea not only transduces sound-induced vibration into neural spikes, it
also amplifies weak sound to boost its detection. Actuators of this active
process are sensory outer hair cells in the organ of Corti, whereas the inner
hair cells transduce the resulting motion into electric signals that propagate
via the auditory nerve to the brain. However, how the outer hair cells modulate
the stimulus to the inner hair cells remains unclear. Here, we combine
theoretical modeling and experimental measurements near the cochlear apex to
study the way in which length changes of the outer hair cells deform the organ of
Corti. We develop a geometry-based kinematic model of the apical organ of Corti
that reproduces salient, yet counter-intuitive features of the organ's motion.
Our analysis further uncovers a mechanism by which a static length change of the
outer hair cells can sensitively tune the signal transmitted to the sensory inner
hair cells. When the outer hair cells are in an elongated state, stimulation of
inner hair cells is largely inhibited, whereas outer hair cell contraction leads
to a substantial enhancement of sound-evoked motion near the hair bundles. This
novel mechanism for regulating the sensitivity of the hearing organ applies to
the low frequencies that are most important for the perception of speech and
music. We suggest that the proposed mechanism might underlie frequency
discrimination at low auditory frequencies, as well as our ability to selectively
attend auditory signals in noisy surroundings.
PMID- 29351277
TI - Ex-ante assessment of different vaccination-based control schedules against the
peste des petits ruminants virus in sub-Saharan Africa.
AB - BACKGROUND: Peste des petits ruminants (PPR) is a highly contagious and
widespread viral infection of small ruminants (goats and sheep), causing heavy
economic losses in many developing countries. Therefore, its progressive control
and global eradication by 2030 was defined as a priority by international
organizations addressing animal health. The control phase of the global strategy
is based on mass vaccination of small ruminant populations in endemic regions or
countries. It is estimated that a 70% post-vaccination immunity rate (PVIR) is
needed in a given epidemiological unit to prevent PPR virus spread. However,
implementing mass vaccination is difficult and costly in smallholder farming
systems with scattered livestock and limited facilities. Regarding this,
controlling PPR is a special challenge in sub-Saharan Africa. In this study, we
focused on this region to assess the effect of several variables of PVIR in two
contrasted smallholder farming systems. METHODS: Using a seasonal matrix
population model of PVIR, we estimated its decay in goats reared in sub-humid
areas, and sheep reared in semi-arid areas, over a 4-year vaccination program.
Assuming immunologically naive and PPR-free epidemiological unit, we assessed the
ability of different vaccination scenarios to reach the 70% PVIR throughout the
program. The tested scenarios differed in i) their overall schedule, ii) their
delivery month and iii) their vaccination coverage. RESULTS: In sheep reared in
semi-arid areas, the vaccination month did affect the PVIR decay though it did
not in goats in humid regions. In both cases, our study highlighted i) the
importance of targeting the whole eligible population at least during the two
first years of the vaccination program and ii) the importance of reaching a
vaccination coverage as high as 80% of this population. This study confirmed the
relevance of the vaccination schedules recommended by international
organizations.
PMID- 29351275
TI - A mathematical model for IL-6-mediated, stem cell driven tumor growth and
targeted treatment.
AB - Targeting key regulators of the cancer stem cell phenotype to overcome their
critical influence on tumor growth is a promising new strategy for cancer
treatment. Here we present a modeling framework that operates at both the
cellular and molecular levels, for investigating IL-6 mediated, cancer stem cell
driven tumor growth and targeted treatment with anti-IL6 antibodies. Our
immediate goal is to quantify the influence of IL-6 on cancer stem cell self
renewal and survival, and to characterize the subsequent impact on tumor growth
dynamics. By including the molecular details of IL-6 binding, we are able to
quantify the temporal changes in fractional occupancies of bound receptors and
their influence on tumor volume. There is a strong correlation between the model
output and experimental data for primary tumor xenografts. We also used the model
to predict tumor response to administration of the humanized IL-6R monoclonal
antibody, tocilizumab (TCZ), and we found that as little as 1mg/kg of TCZ
administered weekly for 7 weeks is sufficient to result in tumor reduction and a
sustained deceleration of tumor growth.
PMID- 29351278
TI - Analysis of the tonsillar microbiome in young adults with sore throat reveals a
high relative abundance of Fusobacterium necrophorum with low diversity.
AB - Fusobacterium necrophorum (Fn), a gram-negative anaerobe, is increasingly
implicated as an etiologic agent in older adolescents and young adults with sore
throat. Inadequately treated Fn pharyngitis may result in suppurative
complications such as peritonsillar abscess and Lemierre's syndrome. Data from
the literature suggest that the incidence of life-threating complications in
these age groups from Fn pharyngitis (Lemierre's syndrome) in the United States
exceeds those associated with group A beta-hemolytic streptococcal (GAS)
pharyngitis (acute rheumatic fever). Using real-time PCR, we previously reported
about a 10% prevalence of Fn in asymptomatic medical students and about 20% in
students complaining of sore throat at a university student health clinic (p =
0.009). In this study, a comprehensive microbiome analysis of the same study
samples confirms that Fn pharyngitis was more common than GAS pharyngitis.
Eighteen patients were found to have Fn OTU values exceeding an arbitrary cutoff
value of 0.1, i.e. greater than 10% of total sequences, with five subjects
reaching values above 0.7. By contrast only 9 patients had GAS OTU values greater
than 0.1 and none exceeded 0.6. When the data were analyzed using five separate
assessments of alpha diversity, in each case for Fn there were statistically
significant differences between Fn positive_high (OTU abundance > 0.1) vs
control, Fn positive_high vs Fn negative (OTU abundance = 0), Fn positive_high vs
Fn positive_low (OTU abundance > 0 and < 0.1). When the data were analyzed using
three beta diversity indexes (Bray-Curtis, weighted unifrac, and unweighted
unifrac), there were statistically significant differences between Fn
positive_high (OTU abundance >= 0.1) vs control for all three. Statistically
significant differences remained if we chose somewhat different OTU abundance
cutoffs of 0.05 or 0.15. We conclude that Fn appears to play a dominant role in
bacterial pharyngitis in the older adolescent and young adult age groups and that
the development of a productive mucosal infection with Fn is linked to a
significant decrease in the diversity of the associated tonsillar microbiome.
PMID- 29351279
TI - Corrective binaural processing for bilateral cochlear implant patients.
AB - Although bilateral cochlear implant users receive input to both ears, they
nonetheless have relatively poor localization abilities in the horizontal plane.
This is likely because of the two binaural cues, they have good sensitivity to
interaural differences of level (inter-aural level differences, or ILDs), but not
those of time (inter-aural time differences; ITDs). Here, localization
performance is assessed in six bilateral cochlear implant patients when
instantaneous ITDs are measured and converted to ILDs, a strategy that results in
larger-than-typical ILDs. The added ILDs are corrective, in that they are derived
from individual listener performance across both frequency and azimuth, so that
they are small where a listener performs well, and increase as performance
deviates from ideal. Results show significantly improved localization performance
as a result of this strategy, with two of the six listeners achieving levels of
performance typically observed in NH listeners.
PMID- 29351280
TI - A generic framework for individual-based modelling and physical-biological
interaction.
AB - The increased availability of high-resolution ocean data globally has enabled
more detailed analyses of physical-biological interactions and their consequences
to the ecosystem. We present IBMlib, which is a versatile, portable and
computationally effective framework for conducting Lagrangian simulations in the
marine environment. The purpose of the framework is to handle complex individual
level biological models of organisms, combined with realistic 3D oceanographic
model of physics and biogeochemistry describing the environment of the organisms
without assumptions about spatial or temporal scales. The open-source framework
features a minimal robust interface to facilitate the coupling between individual
level biological models and oceanographic models, and we provide application
examples including forward/backward simulations, habitat connectivity
calculations, assessing ocean conditions, comparison of physical circulation
models, model ensemble runs and recently posterior Eulerian simulations using the
IBMlib framework. We present the code design ideas behind the longevity of the
code, our implementation experiences, as well as code performance benchmarking.
The framework may contribute substantially to progresses in representing,
understanding, predicting and eventually managing marine ecosystems.
PMID- 29351281
TI - Automatic labeling of molecular biomarkers of immunohistochemistry images using
fully convolutional networks.
AB - This paper addresses the problem of quantifying biomarkers in multi-stained
tissues based on the color and spatial information of microscopy images of the
tissue. A deep learning-based method that can automatically localize and quantify
the regions expressing biomarker(s) in any selected area on a whole slide image
is proposed. The deep learning network, which we refer to as Whole Image (WI)
Net, is a fully convolutional network whose input is the true RGB color image of
a tissue and output is a map showing the locations of each biomarker. The WI-Net
relies on a different network, Nuclei (N)-Net, which is a convolutional neural
network that classifies each nucleus separately according to the biomarker(s) it
expresses. In this study, images of immunohistochemistry (IHC)-stained slides
were collected and used. Images of nuclei (4679 RGB images) were manually labeled
based on the expressing biomarkers in each nucleus (as p16 positive, Ki-67
positive, p16 and Ki-67 positive, p16 and Ki-67 negative). The labeled nuclei
images were used to train the N-Net (obtaining an accuracy of 92% in a test set).
The trained N-Net was then extended to WI-Net that generated a map of all
biomarkers in any selected sub-image of the whole slide image acquired by the
scanner (instead of classifying every nucleus image). The results of our method
compare well with the manual labeling by humans (average F-score of 0.96). In
addition, we carried a layer-based immunohistochemical analysis of cervical
epithelium, and showed that our method can be used by pathologists to
differentiate between different grades of cervical intraepithelial neoplasia by
quantitatively assessing the percentage of proliferating cells in the different
layers of HPV positive lesions.
PMID- 29351282
TI - A four-component model of the action potential in mouse detrusor smooth muscle
cell.
AB - BACKGROUND AND HYPOTHESIS: Detrusor smooth muscle cells (DSMCs) of the urinary
bladder are electrically connected to one another via gap junctions and form a
three dimensional syncytium. DSMCs exhibit spontaneous electrical activity,
including passive depolarizations and action potentials. The shapes of
spontaneous action potentials (sAPs) observed from a single DSM cell can vary
widely. The biophysical origins of this variability, and the precise components
which contribute to the complex shapes observed are not known. To address these
questions, the basic components which constitute the sAPs were investigated. We
hypothesized that linear combinations of scaled versions of these basic
components can produce sAP shapes observed in the syncytium. METHODS AND RESULTS:
The basic components were identified as spontaneous evoked junction potentials
(sEJP), native AP (nAP), slow after hyperpolarization (sAHP) and very slow after
hyperpolarization (vsAHP). The experimental recordings were grouped into two
sets: a training data set and a testing data set. A training set was used to
estimate the components, and a test set to evaluate the efficiency of the
estimated components. We found that a linear combination of the identified
components when appropriately amplified and time shifted replicated various AP
shapes to a high degree of similarity, as quantified by the root mean square
error (RMSE) measure. CONCLUSIONS: We conclude that the four basic components
sEJP, nAP, sAHP, and vsAHP-identified and isolated in this work are necessary and
sufficient to replicate all varieties of the sAPs recorded experimentally in
DSMCs. This model has the potential to generate testable hypotheses that can help
identify the physiological processes underlying various features of the sAPs.
Further, this model also provides a means to classify the sAPs into various shape
classes.
PMID- 29351283
TI - Decreased MiR-17 in glioma cells increased cell viability and migration by
increasing the expression of Cyclin D1, p-Akt and Akt.
AB - BACKGROUND: The activating mutations of micro RNA (miR)-17 have been revealed in
tumors such as human non-Hodgkin's lymphoma and T cell leukemia. However, it is
unclear about the role of miR-17 in glioma cells. The current study aimed to
investigate effects of miR-17 mimics or inhibitor on the viability and migration
of rat glioma C6 cells, and explore possible mechanisms. METHODS: The expression
of miR-17 in rat glioma C6 cells and normal brain tissue was detected by
quantitative PCR. Protein expression of Cyclin D1 in rat glioma C6 cells and
normal brain tissue was measured by Western Blot. Glioma C6 cells were
transfected with MiR-17 mimics or inhibitor. Cells that were not transfected
(Lipofectamine only) and cells that were transfected with nonsense RNA negative
control served as control. MTT assay was utilized to detect cell viability, and
cell wound scratch assay was utilized to examine the migration index. In
addition, protein expression of Cyclin D1, p-Akt and Akt in MiR-17 mimics or
inhibitor-transfected glioma C6 cells was detected by Western Blot. This study
had been approved by the Medical Ethics Committee of the First Affiliated
Hospital of Soochow University. All applicable international, national, and/or
institutional guidelines for the care and use of animals were followed. RESULTS:
The expression of miR-17 was significantly lower, whereas the expression of
Cyclin D1 was significantly higher in glioma C6 cells compared to normal brain
tissue. MiR-17 mimics decreased the viability and migration of glioma C6 cells
markedly at 48 h. In addition, MiR-17 inhibitor increased the viability and
migration of glioma C6 cells at 24 and 48 h. The protein expression of Cyclin D1,
p-Akt and Akt in glioma C6 cells decreased after transfection with miR-17 mimics
for 72 h, and increased after transfection with miR-17 inhibitor for 72 h.
CONCLUSIONS: The reduced miR-17 levels in glioma cells increased cell viability
and migration, which correlates with increased expression of Cyclin D1, p-Akt and
Akt.
PMID- 29351284
TI - Magnetic resonance angiography with compressed sensing: An evaluation of moyamoya
disease.
AB - Compressed sensing (CS) reconstructions of under-sampled measurements generate
missing data based on assumptions of image sparsity. Non-contrast time-of-flight
MR angiography (TOF-MRA) is a good candidate for CS based acceleration, as MRA
images feature bright trees of sparse vessels over a well-suppressed anatomical
background signal. A short scan time derived from CS is beneficial for patients
of moyamoya disease (MMD) because of the frequency of MR scans. The purpose of
this study was to investigate the reliability of TOF-MRA with CS in the
evaluation of MMD. Twenty-two patients were examined using TOF-MRA with CS (CS
TOF) and parallel imaging (PI-TOF). The acceleration factors were 3 (CS3) and 5
(CS5) for CS-TOF, and 3 (PI3) for PI-TOF. Two neuroradiologists evaluated the MMD
grading according to stenosis/occlusion scores using the modified Houkin's
system, and the visibility of moyamoya vessels (MMVs) using a 3-point scale.
Concordance was calculated with Cohen's kappa. The numbers of MMVs in the basal
ganglia were compared using Bland-Altman analysis and Wilcoxon's signed-rank
tests. MRA scan times were 4:07, 3:53, and 2:42 for PI3, CS3, and CS5,
respectively. CS-reconstruction completed within 10 minutes. MMD grading and MMV
visibility scales showed excellent correlation (kappa > .966). Although the
number of MMVs was significantly higher in CS3 than in PI3 (p < .0001) and CS5 (p
< .0001), Bland-Altman analysis showed a good agreement between PI3, CS3, and
CS5. Compressed sensing can accelerate TOF-MRA with improved visualization of
small collaterals in equivalent time (CS3) or equivalent results in a shorter
scan time (CS5).
PMID- 29351285
TI - Wine yeast phenomics: A standardized fermentation method for assessing
quantitative traits of Saccharomyces cerevisiae strains in enological conditions.
AB - This work describes the set up of a small scale fermentation methodology for
measuring quantitative traits of hundreds of samples in an enological context. By
using standardized screw cap vessels, the alcoholic fermentation kinetics of
Saccharomyces cerevisiae strains were measured by following their weight loss
over the time. This dispositive was coupled with robotized enzymatic assays for
measuring metabolites of enological interest in natural grape juices. Despite the
small volume used, kinetic parameters and fermentation end products measured are
similar with those observed in larger scale vats. The vessel used also offers the
possibility to assay 32 volatiles compounds using a headspace solid-phase micro
extraction coupled to gas chromatography and mass spectrometry. The vessel
shaking applied strongly impacted most of the phenotypes investigated due to
oxygen transfer occuring in the first hours of the alcoholic fermentation. The
impact of grape must and micro-oxygenation was investigated illustrating some
relevant genetic x environmental interactions. By phenotyping a wide panel of
commercial wine starters in five grape juices, broad phenotypic correlations
between kinetics and metabolic end products were evidentiated. Moreover, a
multivariate analysis illustrates that some grape musts are more able than others
to discriminate commercial strains since some are less robust to environmental
changes.
PMID- 29351287
TI - A novel association rule mining approach using TID intermediate itemset.
AB - Designing an efficient association rule mining (ARM) algorithm for multilevel
knowledge-based transactional databases that is appropriate for real-world
deployments is of paramount concern. However, dynamic decision making that needs
to modify the threshold either to minimize or maximize the output knowledge
certainly necessitates the extant state-of-the-art algorithms to rescan the
entire database. Subsequently, the process incurs heavy computation cost and is
not feasible for real-time applications. The paper addresses efficiently the
problem of threshold dynamic updation for a given purpose. The paper contributes
by presenting a novel ARM approach that creates an intermediate itemset and
applies a threshold to extract categorical frequent itemsets with diverse
threshold values. Thus, improving the overall efficiency as we no longer needs to
scan the whole database. After the entire itemset is built, we are able to obtain
real support without the need of rebuilding the itemset (e.g. Itemset list is
intersected to obtain the actual support). Moreover, the algorithm supports to
extract many frequent itemsets according to a pre-determined minimum support with
an independent purpose. Additionally, the experimental results of our proposed
approach demonstrate the capability to be deployed in any mining system in a
fully parallel mode; consequently, increasing the efficiency of the real-time
association rules discovery process. The proposed approach outperforms the extant
state-of-the-art and shows promising results that reduce computation cost,
increase accuracy, and produce all possible itemsets.
PMID- 29351286
TI - Socio-economic determinants of physical activity across the life course: A
"DEterminants of DIet and Physical ACtivity" (DEDIPAC) umbrella literature
review.
AB - BACKGROUND: To date, the scientific literature on socioeconomic correlates and
determinants of physical activity behaviours has been dispersed throughout a
number of systematic reviews, often focusing on one factor (e.g. education or
parental income) in one specific age group (e.g. pre-school children or adults).
The aim of this umbrella review is to provide a comprehensive and systematic
overview of the scientific literature from previously conducted research by
summarising and synthesising the importance and strength of the evidence related
to socioeconomic correlates and determinants of PA behaviours across the life
course. METHODS: Medline, Embase, ISI Web of Science, Scopus and SPORTDiscus were
searched for systematic literature reviews and meta-analyses of observational
studies investigating the association between socioeconomic determinants of PA
and PA itself (from January 2004 to September 2017). Data extraction evaluated
the importance of determinants, strength of evidence, and methodological quality
of the selected papers. The full protocol is available from PROSPERO
(PROSPERO2014:CRD42015010616). RESULTS: Nineteen reviews were included. Moderate
methodological quality emerged. For adults, convincing evidence supports a
relationship between PA and socioeconomic status (SES), especially in relation to
leisure time (positive relationship) and occupational PA (negative relationship).
Conversely, no association between PA and SES or parental SES was found for pre
school, school-aged children and adolescents. CONCLUSIONS: Available evidence on
the socioeconomic determinants of PA behaviour across the life course is probable
(shows fairly consistent associations) at best. While some evidence is available
for adults, less was available for youth. This is mainly due to a limited
quantity of primary studies, weak research designs and lack of accuracy in the PA
and SES assessment methods employed. Further PA domain specific studies using
longitudinal design and clear measures of SES and PA assessment are required.
PMID- 29351289
TI - The rumour spectrum.
AB - Rumour is an old social phenomenon used in politics and other public spaces. It
has been studied for only hundred years by sociologists and psychologists by
qualitative means. Social media platforms open new opportunities to improve
quantitative analyses. We scanned all scientific literature to find relevant
features. We made a quantitative screening of some specific rumours (in French
and in English). Firstly, we identified some sources of information to find them.
Secondly, we compiled different reference, rumouring and event datasets. Thirdly,
we considered two facets of a rumour: the way it can spread to other users, and
the syntagmatic content that may or may not be specific for a rumour. We found 53
features, clustered into six categories, which are able to describe a rumour
message. The spread of a rumour is multi-harmonic having different frequencies
and spikes, and can survive several years. Combinations of words (n-grams and
skip-grams) are not typical of expressivity between rumours and news but study of
lexical transition from a time period to the next goes in the sense of
transmission pattern as described by Allport theory of transmission. A rumour can
be interpreted as a speech act but with transmission patterns.
PMID- 29351290
TI - Land-use change in oil palm dominated tropical landscapes-An agent-based model to
explore ecological and socio-economic trade-offs.
AB - Land-use changes have dramatically transformed tropical landscapes. We describe
an ecological-economic land-use change model as an integrated, exploratory tool
used to analyze how tropical land-use change affects ecological and socio
economic functions. The model analysis seeks to determine what kind of landscape
mosaic can improve the ensemble of ecosystem functioning, biodiversity, and
economic benefit based on the synergies and trade-offs that we have to account
for. More specifically, (1) how do specific ecosystem functions, such as carbon
storage, and economic functions, such as household consumption, relate to each
other? (2) How do external factors, such as the output prices of crops, affect
these relationships? (3) How do these relationships change when production
inefficiency differs between smallholder farmers and learning is incorporated? We
initialize the ecological-economic model with artificially generated land-use
maps parameterized to our study region. The economic sub-model simulates
smallholder land-use management decisions based on a profit maximization
assumption. Each household determines factor inputs for all household fields and
decides on land-use change based on available wealth. The ecological sub-model
includes a simple account of carbon sequestration in above-ground and below
ground vegetation. We demonstrate model capabilities with results on household
consumption and carbon sequestration from different output price and farming
efficiency scenarios. The overall results reveal complex interactions between the
economic and ecological spheres. For instance, model scenarios with heterogeneous
crop-specific household productivity reveal a comparatively high inertia of land
use change. Our model analysis even shows such an increased temporal stability in
landscape composition and carbon stocks of the agricultural area under dynamic
price trends. These findings underline the utility of ecological-economic models,
such as ours, to act as exploratory tools which can advance our understanding of
the mechanisms underlying the trade-offs and synergies of ecological and economic
functions in tropical landscapes.
PMID- 29351288
TI - Environmental enteric dysfunction pathways and child stunting: A systematic
review.
AB - BACKGROUND: Environmental enteric dysfunction (EED) is commonly defined as an
acquired subclinical disorder of the small intestine, characterized by villous
atrophy and crypt hyperplasia. EED has been proposed to underlie stunted growth
among children in developing countries. A collection of biomarkers, organized
into distinct domains, has been used to measure different aspects of EED. Here,
we examine whether these hypothesized relationships, among EED domains and
between each domain and stunting, are supported by data from recent studies.
METHODOLOGY: A systematic literature search was conducted using PubMed, MEDLINE,
EMBASE, Web of Science, and CINAHL between January 1, 2010 and April 20, 2017.
Information on study objective, design, population, location, biomarkers, and
results were recorded, as well as qualitative and quantitative definitions of
EED. Biomarkers were organized into five EED domains, and the number of studies
that support or do not support relationships among domains and between each
domain with stunting were summarized. RESULTS: There was little evidence to
support the pathway from intestinal permeability to microbial translocation and
from microbial translocation to stunting, but stronger support existed for the
link between intestinal inflammation and systemic inflammation and for intestinal
inflammation and stunting. There was conflicting evidence for the pathways from
intestinal damage to intestinal permeability and intestinal damage to stunting.
CONCLUSIONS: These results suggest that certain EED biomarkers may require
reconsideration, particularly those most difficult to measure, such as microbial
translocation and intestinal permeability. We discuss several issues with
currently used biomarkers and recommend further analysis of pathogen-induced
changes to the intestinal microbiota as a pathway leading to stunting.
PMID- 29351291
TI - Allometric biomass equations for 12 tree species in coniferous and broadleaved
mixed forests, Northeastern China.
AB - Understanding forest carbon budget and dynamics for sustainable resource
management and ecosystem functions requires quantification of above- and below
ground biomass at individual tree species and stand levels. In this study, a
total of 122 trees (9-12 per species) were destructively sampled to determine
above- and below-ground biomass of 12 tree species (Acer mandshuricum, Acer mono,
Betula platyphylla, Carpinus cordata, Fraxinus mandshurica, Juglans mandshurica,
Maackia amurensis, P. koraiensis, Populus ussuriensis, Quercus mongolica, Tilia
amurensis and Ulmus japonica) in coniferous and broadleaved mixed forests of
Northeastern China, an area of the largest natural forest in the country. Biomass
allocation was examined and biomass models were developed using diameter as
independent variable for individual tree species and all species combined. The
results showed that the largest biomass allocation of all species combined was on
stems (57.1%), followed by coarse root (21.3%), branch (18.7%), and foliage
(2.9%). The log-transformed model was statistically significant for all biomass
components, although predicting power was higher for species-specific models than
for all species combined, general biomass models, and higher for stems, roots,
above-ground biomass, and total tree biomass than for branch and foliage biomass.
These findings supplement the previous studies on this forest type by additional
sample trees, species and locations, and support biomass research on forest
carbon budget and dynamics by management activities such as thinning and
harvesting in the northeastern part of China.
PMID- 29351293
TI - Fibroblast growth factor receptor 1 amplification in laryngeal squamous cell
carcinoma.
AB - Fibroblast growth factor receptor 1 (FGFR1) has been noted to be amplified in a
variety of squamous cell carcinomas (SCCa) of the head, neck, and lung and
increased copy number (CN) is a predictor of poor outcomes. FGFR1 is a
therapeutic target for lung SCCa and inhibition therapy is currently in clinical
trials. Absolute quantification of FGFR1 from formalin fixed paraffin embedded
(FFPE) tissue of laryngeal SCCa was examined in this retrospective study. A
droplet digital polymerase chain reaction (ddPCR) was used for absolute
quantitation of the FGFR1 gene CN. Of the 74 samples analyzed, FGFR1 CN analysis
revealed 54% of samples had CN greater than 2 copies/cell (1.8-2.2 copies/cell),
and 38% had CN values greater than 3. The mean and standard deviation FGFR1 CN
was 4.17 +/- 1.46 CN for African American patients (n = 41) and 3.78 +/-1.85 CN
for Caucasian patients (n = 31). Further, 60.9% of specimens from African
Americans demonstrated increased FGFR1 CN compared to 48.4% of Caucasians. Two
SCCA samples from Native American demonstrated increased FGFR1 CN (4.19 and 3.01
CN). The level of FGFR1 amplification did not correlate with tumor stage, lymph
node staging, or metastasis. In this population, the proportion of patient
samples with an FGFR1 amplification was three times higher than in reported for
SCCA of the head and neck. Further, increased FGFR1 CN was observed in two racial
groups not previously reported: African Americans and Native Americans. However,
FGFR1 amplification is not prognostic in laryngeal squamous cell carcinomas.
PMID- 29351292
TI - Allocation of distinct organ fates from a precursor field requires a shift in
expression and function of gene regulatory networks.
AB - A common occurrence in metazoan development is the rise of multiple
tissues/organs from a single uniform precursor field. One example is the anterior
forebrain of vertebrates, which produces the eyes, hypothalamus, diencephalon,
and telencephalon. Another instance is the Drosophila wing disc, which generates
the adult wing blade, the hinge, and the thorax. Gene regulatory networks (GRNs)
that are comprised of signaling pathways and batteries of transcription factors
parcel the undifferentiated field into discrete territories. This simple model is
challenged by two observations. First, many GRN members that are thought to
control the fate of one organ are actually expressed throughout the entire
precursor field at earlier points in development. Second, each GRN can
simultaneously promote one of the possible fates choices while repressing the
other alternatives. It is therefore unclear how GRNs function to allocate tissue
fates if their members are uniformly expressed and competing with each other
within the same populations of cells. We address this paradigm by studying fate
specification in the Drosophila eye-antennal disc. The disc, which begins its
development as a homogeneous precursor field, produces a number of adult
structures including the compound eyes, the ocelli, the antennae, the maxillary
palps, and the surrounding head epidermis. Several selector genes that control
the fates of the eye and antenna, respectively, are first expressed throughout
the entire eye-antennal disc. We show that during early stages, these genes are
tasked with promoting the growth of the entire field. Upon segregation to
distinct territories within the disc, each GRN continues to promote growth while
taking on the additional roles of promoting distinct primary fates and repressing
alternate fates. The timing of both expression pattern restriction and expansion
of functional duties is an elemental requirement for allocating fates within a
single field.
PMID- 29351294
TI - A HAD family phosphatase CSP-6 regulates the circadian output pathway in
Neurospora crassa.
AB - Circadian clocks are ubiquitous in eukaryotic organisms where they are used to
anticipate regularly occurring diurnal and seasonal environmental changes.
Nevertheless, little is known regarding pathways connecting the core clock to its
output pathways. Here, we report that the HAD family phosphatase CSP-6 is
required for overt circadian clock output but not for the core oscillation. The
loss of function Deltacsp-6 deletion mutant is overtly arrhythmic on race tubes
under free running conditions; however, reporter assays confirm that the
FREQUENCY-WHITE COLLAR COMPLEX core circadian oscillator is functional,
indicating a discrete block between oscillator and output. CSP-6 physically
interacts with WHI-2, Deltawhi-2 mutant phenotypes resemble Deltacsp-6, and the
CSP-6/WHI-2 complex physically interacts with WC-1, all suggesting that WC-1 is a
direct target for CSP-6/WHI-2-mediated dephosphorylation and consistent with
observed WC-1 hyperphosphorylation in Deltacsp-6. To identify the source of the
block to output, known clock-controlled transcription factors were screened for
rhythmicity in Deltacsp-6, identifying loss of circadian control of ADV-1, a
direct target of WC-1, as responsible for the loss of overt rhythmicity. The CSP
6/WHI-2 complex thus participates in the clock output pathway by regulating WC-1
phosphorylation to promote proper transcriptional/translational activation of adv
1/ADV-1; these data establish an unexpected essential role for post-translational
modification parallel to circadian transcriptional regulation in the early steps
of circadian output.
PMID- 29351295
TI - Effects of a population-based, person-centred and integrated care service on
health, wellbeing and self-management of community-living older adults: A
randomised controlled trial on Embrace.
AB - OBJECTIVE: To evaluate the effects of the population-based, person-centred and
integrated care service 'Embrace' at twelve months on three domains comprising
health, wellbeing and self-management among community-living older people.
METHODS: Embrace supports older adults to age in place. A multidisciplinary team
provides care and support, with intensity depending on the older adults' risk
profile. A randomised controlled trial was conducted in fifteen general practices
in the Netherlands. Older adults (>=75 years) were included and stratified into
three risk profiles: Robust, Frail and Complex care needs, and randomised to
Embrace or care as usual (CAU). Outcomes were recorded in three domains. The
EuroQol-5D-3L and visual analogue scale, INTERMED for the Elderly Self
Assessment, Groningen Frailty Indicator and Katz-15 were used for the domain
'Health.' The Groningen Well-being Indicator and two quality of life questions
measured 'Wellbeing.' The Self-Management Ability Scale and Partners in Health
scale for older adults (PIH-OA) were used for 'Self-management.' Primary and
secondary outcome measurements differed per risk profile. Data were analysed with
multilevel mixed-model techniques using intention-to-treat and complete case
analyses, for the whole sample and per risk profile. RESULTS: 1456 eligible older
adults participated (49%) and were randomized to Embrace (n(T0) = 747, n(T1) =
570, mean age 80.6 years (SD 4.5), 54.2% female) and CAU (n(T0) = 709, n(T1) =
561, mean age 80.8 years (SD 4.7), 55.6% female). Embrace participants showed a
greater-but clinically irrelevant-improvement in self-management (PIH-OA
Knowledge subscale effect size [ES] = 0.14), and a greater-but clinically
relevant-deterioration in health (ADL ES = 0.10; physical ADL ES = 0.13) compared
to CAU. No differences in change in wellbeing were observed. This picture was
also found in the risk profiles. Complete case analyses showed comparable
results. CONCLUSIONS: This study found no clear benefits to receiving person
centred and integrated care for twelve months for the domains of health,
wellbeing and self-management in community-living older adults.
PMID- 29351297
TI - A fast combination method in DSmT and its application to recommender system.
AB - In many applications involving epistemic uncertainties usually modeled by belief
functions, it is often necessary to approximate general (non-Bayesian) basic
belief assignments (BBAs) to subjective probabilities (called Bayesian BBAs).
This necessity occurs if one needs to embed the fusion result in a system based
on the probabilistic framework and Bayesian inference (e.g. tracking systems), or
if one needs to make a decision in the decision making problems. In this paper,
we present a new fast combination method, called modified rigid coarsening (MRC),
to obtain the final Bayesian BBAs based on hierarchical decomposition
(coarsening) of the frame of discernment. Regarding this method, focal elements
with probabilities are coarsened efficiently to reduce computational complexity
in the process of combination by using disagreement vector and a simple
dichotomous approach. In order to prove the practicality of our approach, this
new approach is applied to combine users' soft preferences in recommender systems
(RSs). Additionally, in order to make a comprehensive performance comparison, the
proportional conflict redistribution rule #6 (PCR6) is regarded as a baseline in
a range of experiments. According to the results of experiments, MRC is more
effective in accuracy of recommendations compared to original Rigid Coarsening
(RC) method and comparable in computational time.
PMID- 29351296
TI - Hybrid genome assembly and annotation of Paenibacillus pasadenensis strain R16
reveals insights on endophytic life style and antifungal activity.
AB - Bacteria of the Paenibacillus genus are becoming important in many fields of
science, including agriculture, for their positive effects on the health of
plants. However, there are little information available on this genus compared to
other bacteria (such as Bacillus or Pseudomonas), especially when considering
genomic information. Sequencing the genomes of plant-beneficial bacteria is a
crucial step to identify the genetic elements underlying the adaptation to life
inside a plant host and, in particular, which of these features determine the
differences between a helpful microorganism and a pathogenic one. In this study,
we have characterized the genome of Paenibacillus pasadenensis, strain R16,
recently investigated for its antifungal activities and plant-associated
features. An hybrid assembly approach was used integrating the very precise reads
obtained by Illumina technology and long fragments acquired with Oxford Nanopore
Technology (ONT) sequencing. De novo genome assembly based solely on Illumina
reads generated a relatively fragmented assembly of 5.72 Mbp in 99 ungapped
sequences with an N50 length of 544 Kbp; hybrid assembly, integrating Illumina
and ONT reads, improved the assembly quality, generating a genome of 5.75 Mbp,
organized in 6 contigs with an N50 length of 3.4 Mbp. Annotation of the latter
genome identified 4987 coding sequences, of which 1610 are hypothetical proteins.
Enrichment analysis identified pathways of particular interest for the endophyte
biology, including the chitin-utilization pathway and the incomplete siderophore
pathway which hints at siderophore parasitism. In addition the analysis led to
the identification of genes for the production of terpenes, as for example
farnesol, that was hypothesized as the main antifungal molecule produced by the
strain. The functional analysis on the genome confirmed several plant-associated,
plant-growth promotion, and biocontrol traits of strain R16, thus adding insights
in the genetic bases of these complex features, and of the Paenibacillus genus in
general.
PMID- 29351298
TI - Construction of an infectious horsepox virus vaccine from chemically synthesized
DNA fragments.
AB - Edward Jenner and his contemporaries believed that his variolae vaccinae
originated in horses and molecular analyses show that modern vaccinia virus
(VACV) strains share common ancestry with horsepox virus (HPXV). Given concerns
relating to the toxicity of modern VACV vaccines, we asked whether an HPXV-based
vaccine might provide a superior alternative. Since HPXV may be extinct and the
only specimen of HPXV that has been identified is unavailable for investigation,
we explored whether HPXV could be obtained by large-scale gene synthesis. Ten
large (10-30 kb) fragments of DNA were synthesized based on the HPXV sequence
along with two 157 nt VACV terminal sequences, and were recombined into a live
synthetic chimeric HPXV (scHPXV) in cells infected with Shope fibroma virus
(SFV). Sequencing of the 212 kbp scHPXV confirmed it encoded a faithful copy of
the input DNA. We believe this is the first complete synthesis of a poxvirus
using synthetic biology approaches. This scHPXV produced smaller plaques,
produced less extracellular virus and exhibited less virulence in mice than VACV,
but still provided vaccine protection against a lethal VACV challenge.
Collectively, these findings support further development of scHPXV as a novel
replication-proficient smallpox vaccine.
PMID- 29351299
TI - What influences where they seek care? Caregivers' preferences for under-five
child healthcare services in urban slums of Malawi: A discrete choice experiment.
AB - Access to and utilisation of quality healthcare promotes positive child health
outcomes. However, to be optimally utilised, the healthcare system needs to be
responsive to the expectations of the population it serves. Health systems in
many sub-Saharan African countries, including Malawi, have historically focused
on promoting access to health services by the rural poor. However, in the context
of increasing urbanisation and consequent proliferation of urban slums, promoting
health of children under five years of age in these settings is a public health
imperative. We conducted a discrete choice experiment to determine the relative
importance of health facility factors in seeking healthcare for childhood
illnesses in urban slums of Malawi. Caregivers of children under five years of
age were presented with choice cards that depicted two hypothetical health
facilities using six health facility attributes: availability of medicines and
supplies, thoroughness of physical examination of the child, attitude of health
workers, cost, distance, and waiting time. Caregivers were asked to indicate the
health facility they would prefer to use. A mixed logit model was used to
estimate the relative importance of and willingness to pay (WTP) for health
facility attributes. Attributes with greatest influence on choice were:
availability of medicines and supplies (beta = 0.842, p<0.001) and thorough
examination of the child (beta = 0.479, p <0.001) with WTP of MK3698.32 ($11)
(95% CI: $8-$13) and MK2049.13 ($6) (95% CI: $3-$9) respectively. Respondents
were willing to pay 1.8 and 2.4 times more for medicine availability over
thorough examination and positive attitude of health workers respectively.
Therefore, strengthening health service delivery system through investment in
sustained availability of essential medicines and supplies, sufficient and
competent health workforce with positive attitude and clinical discipline to
undertake thorough examination, and reductions in waiting times have the
potential to improve child healthcare utilization in the urban slums.
PMID- 29351300
TI - Empirical validation of an agent-based model of wood markets in Switzerland.
AB - We present an agent-based model of wood markets and show our efforts to validate
this model using empirical data from different sources, including interviews,
workshops, experiments, and official statistics. Own surveys closed gaps where
data was not available. Our approach to model validation used a variety of
techniques, including the replication of historical production amounts, prices,
and survey results, as well as a historical case study of a large sawmill
entering the market and becoming insolvent only a few years later. Validating the
model using this case provided additional insights, showing how the model can be
used to simulate scenarios of resource availability and resource allocation. We
conclude that the outcome of the rigorous validation qualifies the model to
simulate scenarios concerning resource availability and allocation in our study
region.
PMID- 29351301
TI - Investigation of the proton relay system operative in human cystosolic
aminopeptidase P.
AB - Aminopeptidase P, a metalloprotease, targets Xaa-Proline peptides for cleavage [1
4]. There are two forms of human AMPP, a membrane-bound form (hmAMPP) and a
soluble cytosolic form (hcAMPP)[5]. Similar to the angiotensin-I-converting
enzyme, AMPP plays an important role in the catabolism of inflammatory and
vasoactive peptides, known as kinins. The plasma kinin, bradykinin, was used as
the substrate to conduct enzymatic activity analyses and to determine the
Michaelis constant (Km) of 174 MUM and the catalytic rate constant (kcat) of 10.8
s-1 for hcAMPP. Significant differences were observed in the activities of Y527F
and R535A hcAMPP mutants, which displayed a 6-fold and 13.5-fold for decrease in
turnover rate, respectively. Guanidine hydrochloride restored the activity of
R535A hcAMPP, increasing the kcat/Km 20-fold, yet it had no impact on the
activities of the wild-type or Y527F mutant hcAMPPs. Activity restoration by
guanidine derivatives followed the order guanidine hydrochloride >> methyl
guanidine > amino-guanidine > N-ethyl-guanidine. Overall, the results indicate
the participation of R535 in the hydrogen bond network that forms a proton relay
system. The quaternary structure of hcAMPP was determined by using analytical
ultracentrifugation (AUC). The results show that alanine replacement of Arg535
destabilizes the hcAMPP dimer and that guanidine hydrochloride restores the
native monomer-dimer equilibrium. It is proposed that Arg535 plays an important
role in hcAMMP catalysis and in stabilization of the catalytically active dimeric
state.
PMID- 29351302
TI - Extension of the viral ecology in humans using viral profile hidden Markov
models.
AB - When human samples are sequenced, many assembled contigs are "unknown", as
conventional alignments find no similarity to known sequences. Hidden Markov
models (HMM) exploit the positions of specific nucleotides in protein-encoding
codons in various microbes. The algorithm HMMER3 implements HMM using a reference
set of sequences encoding viral proteins, "vFam". We used HMMER3 analysis of
"unknown" human sample-derived sequences and identified 510 contigs distantly
related to viruses (Anelloviridae (n = 1), Baculoviridae (n = 34), Circoviridae
(n = 35), Caulimoviridae (n = 3), Closteroviridae (n = 5), Geminiviridae (n =
21), Herpesviridae (n = 10), Iridoviridae (n = 12), Marseillevirus (n = 26),
Mimiviridae (n = 80), Phycodnaviridae (n = 165), Poxviridae (n = 23),
Retroviridae (n = 6) and 89 contigs related to described viruses not yet assigned
to any taxonomic family). In summary, we find that analysis using the HMMER3
algorithm and the "vFam" database greatly extended the detection of viruses in
biospecimens from humans.
PMID- 29351303
TI - Automated inverse optimization facilitates lower doses to normal tissue in
pancreatic stereotactic body radiotherapy.
AB - PURPOSE: Inverse planning is trial-and-error iterative process. This work
introduces a fully automated inverse optimization approach, where the treatment
plan is closely tailored to the unique patient anatomy. The auto-optimization is
applied to pancreatic stereotactic body radiotherapy (SBRT). MATERIALS AND
METHODS: The automation is based on stepwise reduction of dose-volume histograms
(DVHs). Five uniformly spaced points, from 1% to 70% of the organ at risk (OAR)
volumes, are used. Doses to those DVH points are iteratively decreased through
multiple optimization runs. With each optimization run the doses to the OARs are
decreased, while the dose homogeneity over the target is increased. The iterative
process is terminated when a pre-specified dose heterogeneity over the target is
reached. Twelve pancreatic cases were retrospectively studied. Doses to the
target, maximum doses to duodenum, bowel, stomach, and spinal cord were
evaluated. In addition, mean doses to liver and kidneys were tallied. The auto
optimized plans were compared to the actual treatment plans, which are based on
national protocols. RESULTS: The prescription dose to 95% of the planning target
volume (PTV) is the same for the treatment and the auto-optimized plans. The
average difference for maximum doses to duodenum, bowel, stomach, and spinal cord
are -4.6 Gy, -1.8 Gy, -1.6 Gy, and -2.4 Gy respectively. The negative sign
indicates lower doses with the auto-optimization. The average differences in the
mean doses to liver and kidneys are -0.6 Gy, and -1.1 Gy to -1.5 Gy respectively.
CONCLUSIONS: Automated inverse optimization holds great potential for
personalization and tailoring of radiotherapy to particular patient anatomies. It
can be utilized for normal tissue sparing or for an isotoxic dose escalation.
PMID- 29351304
TI - Evolutionary algorithm based heuristic scheme for nonlinear heat transfer
equations.
AB - In this paper, a hybrid heuristic scheme based on two different basis functions
i.e. Log Sigmoid and Bernstein Polynomial with unknown parameters is used for
solving the nonlinear heat transfer equations efficiently. The proposed technique
transforms the given nonlinear ordinary differential equation into an equivalent
global error minimization problem. Trial solution for the given nonlinear
differential equation is formulated using a fitness function with unknown
parameters. The proposed hybrid scheme of Genetic Algorithm (GA) with Interior
Point Algorithm (IPA) is opted to solve the minimization problem and to achieve
the optimal values of unknown parameters. The effectiveness of the proposed
scheme is validated by solving nonlinear heat transfer equations. The results
obtained by the proposed scheme are compared and found in sharp agreement with
both the exact solution and solution obtained by Haar Wavelet-Quasilinearization
technique which witnesses the effectiveness and viability of the suggested
scheme. Moreover, the statistical analysis is also conducted for investigating
the stability and reliability of the presented scheme.
PMID- 29351305
TI - Gender stereotypes and education: A comparative content analysis of Malaysian,
Indonesian, Pakistani and Bangladeshi school textbooks.
AB - Using government secondary school English language textbooks from Malaysia,
Indonesia, Pakistan and Bangladesh, we conducted a quantitative content analysis
in order to identify gender stereotypes in school education. In total, 21
categories of exclusion and quality of representation were used to study gender
stereotypes. Our analysis confirms a pro-male bias in textbooks: the aggregate
female share is 40.4% in textual and pictorial indicators combined. Female
occupations are mostly traditional and less prestigious while the characters are
predominantly introverted and passive in terms of personality traits. Women are
also shown to be mostly involved in domestic and in-door activities while men
have a higher presence in professional roles. Systematic underrepresentation of
females is evident regardless of whether we look at the text or pictures. A cross
country analysis shows that the female share in picture content is only 35.2% in
Malaysia and Bangladesh. Overall, the proportion of female to male characters
(text and pictures combined) is balanced in Malaysia and Indonesia (44.4% and
44.1% respectively) while this share is only 24.4% and 37.3% in Pakistani and
Bangladeshi textbooks respectively. The finding of underrepresentation of women
in Pakistani textbooks, in terms of quality and quantity, is robust to the
selection of province-, grade- and subject-specific textbooks, as well as the
range and type of categories used.
PMID- 29351306
TI - An approach to localization for ensemble-based data assimilation.
AB - Localization techniques are commonly used in ensemble-based data assimilation
(e.g., the Ensemble Kalman Filter (EnKF) method) because of insufficient ensemble
samples. They can effectively ameliorate the spurious long-range correlations
between the background and observations. However, localization is very expensive
when the problem to be solved is of high dimension (say 106 or higher) for
assimilating observations simultaneously. To reduce the cost of localization for
high-dimension problems, an approach is proposed in this paper, which
approximately expands the correlation function of the localization matrix using a
limited number of principal eigenvectors so that the Schur product between the
localization matrix and a high-dimension covariance matrix is reduced to the sum
of a series of Schur products between two simple vectors. These eigenvectors are
actually the sine functions with different periods and phases. Numerical
experiments show that when the number of principal eigenvectors used reaches 20,
the approximate expansion of the correlation function is very close to the exact
one in the one-dimensional (1D) and two-dimensional (2D) cases. The new approach
is then applied to localization in the EnKF method, and its performance is
evaluated in assimilation-cycle experiments with the Lorenz-96 model and single
assimilation experiments using a barotropic shallow water model. The results
suggest that the approach is feasible in providing comparable assimilation
analysis with far less cost.
PMID- 29351307
TI - Doxycycline modulates VEGF-A expression: Failure of doxycycline-inducible
lentivirus shRNA vector to knockdown VEGF-A expression in transgenic mice.
AB - Vascular endothelial growth factor-A (VEGF-A) is the master regulator of
angiogenesis, vascular permeability and growth. However, its role in mature blood
vessels is still not well understood. To better understand the role of VEGF-A in
the adult vasculature, we generated a VEGF-A knockdown mouse model carrying a
doxycycline (dox)-regulatable short hairpin RNA (shRNA) transgene, which silences
VEGF-A. The aim was to find the critical level of VEGF-A reduction for vascular
well-being in vivo. In vitro, the dox-inducible lentiviral shRNA vector decreased
VEGF-A expression efficiently and dose-dependently in mouse endothelial cells and
cardiomyocytes. In the generated transgenic mice plasma VEGF-A levels decreased
shortly after the dox treatment but returned back to normal after two weeks. VEGF
A expression decreased shortly after the dox treatment only in some tissues.
Surprisingly, increasing the dox exposure time and dose led to elevated VEGF-A
expression in some tissues of both wildtype and knockdown mice, suggesting that
dox itself has an effect on VEGF-A expression. When the effect of dox on VEGF-A
levels was further tested in naive/non-transduced cells, the dox administration
led to a decreased VEGF-A expression in endothelial cells but to an increased
expression in cardiomyocytes. In conclusion, the VEGF-A knockdown was achieved in
a dox-regulatable fashion with a VEGF-A shRNA vector in vitro, but not in the
knockdown mouse model in vivo. Dox itself was found to regulate VEGF-A expression
explaining the unexpected results in mice. The effect of dox on VEGF-A levels
might at least partly explain its previously reported beneficial effects on
myocardial and brain ischemia. Also, this effect on VEGF-A should be taken into
account in all studies using dox-regulated vectors.
PMID- 29351308
TI - Skin transcriptome reveals the dynamic changes in the Wnt pathway during
integument morphogenesis of chick embryos.
AB - Avian species have a unique integument covered with feathers. Skin morphogenesis
is a successive and complex process. To date, most studies have focused on a
single developmental point or stage. Fewer studies have focused on whole
transcriptomes based on the time-course of embryo integument development. To
analyze the global changes in gene expression profiles, we sequenced the
transcriptome of chicken embryo skin samples from day 6 to day 21 of incubation
and identified 5830 differentially expressed genes (DEGs). Hierarchical
clustering showed that E6 to E14 is the critical period of feather follicle
morphogenesis. According to Kyoto Encyclopedia of Genes and Genomes (KEGG)
pathway analysis of the DEGs, two kinds of Wnt signaling pathways (a canonical
pathway and a non-canonical pathway) changed during feather follicle and feather
morphogenesis. The gene expression level of inhibitors and ligands related to the
Wnt signaling pathway varied significantly during embryonic development. The
results revealed a staggered phase relationship between the canonical pathway and
the non-canonical pathway from E9 to E14. These analyses shed new light on the
gene regulatory mechanism and provided fundamental data related to integument
morphogenesis of chickens.
PMID- 29351309
TI - A modified elliptical formula to estimate kidney collagen content in a model of
chronic kidney disease.
AB - The extent of scarring or renal interstitial collagen deposition in chronic
kidney disease (CKD) can only be ascertained by highly invasive, painful and
sometimes risky, tissue biopsy. Interestingly, while CKD-related abnormalities in
kidney size can often be visualized using ultrasound, not only does the ellipsoid
formula used today underestimate true renal size, but the calculated renal size
does not inform tubulointerstitial collagen content. We used coronal kidney
sections from healthy mice and mice with kidney disease to develop a new formula
for estimating renal parenchymal area. While treating the kidney as an ellipse
with the major axis (a) the polar distance, this technique involves extending the
minor axis (b) into the renal pelvis to obtain a new minor axis, be. The
calculated renal parenchymal area is remarkably similar to the true or measured
area. Biochemically determined kidney collagen content revealed a strong and
positive correlation with the calculated renal parenchymal area. Picrosirius red
staining for tubulointerstitial collagen also correlated with calculated renal
parenchymal area. The extent of renal scarring, i.e. kidney interstitial collagen
content, can now be computed by making just two axial measurements which can
easily be accomplished via noninvasive imaging of this organ.
PMID- 29351310
TI - Healthy ageing at work- Efficacy of group interventions on the mental health of
nurses aged 45 and older: Results of a randomised, controlled trial.
AB - OBJECTIVE: This multicentre, randomised controlled trial (RCT) aimed to evaluate
the efficacy of a small-group intervention promoting successful ageing at work in
older nurses (aged >=45). METHOD: A sample of 115 nurses aged >=45 from 4 trial
sites in Germany were randomly assigned to either the intervention group (IG),
that received a small-group intervention of seven weekly sessions of 120 min with
a booster session after six weeks or to a wait-list control condition (WLC).
Outcomes were measured via validated self-report questionnaires at baseline (T1)
and at post-treatment (T2). Primary outcomes were mental health-related well
being and mental health-related quality of life (QOL). The secondary outcomes
included mental health-related and work-related measures. RESULTS: The intention
to treat (ITT) analysis showed significant positive effects of the intervention
on mental health. A significant small effect (d = 0.3) in favour of the IG was
found for psychological health-related quality of life. Positive small effects (d
= 0.24 to d = 0.31) were also found for work related mental strain. CONCLUSIONS:
Our small-group intervention based on a theory of successful ageing for nurses
aged >=45 was found to be effective with regard to improvements of psychological
health related quality of life and other mental health-related outcomes. Thus,
our study shows that the ageing workforce can be reached through specifically
designed preventive interventions. The components of our intervention could be
easily adapted to the belongings of other professions. Our results suggest that
these components should be evaluated in various settings outside the healthcare
sector.
PMID- 29351311
TI - The quality of voluntary medical male circumcision done by mid-level workers in
Tshwane District, South Africa: A retrospective analysis.
AB - BACKGROUND: Voluntary medical male circumcision (VMMC) reduces the acquisition of
human immunodeficiency virus (HIV) in heterosexual men by up to 60%. One HIV
infection is averted for every 5 to 15 VMMCs. To conduct VMMCs in large
populations, large numbers of trained healthcare professionals are needed.
Countries in Sub-Saharan Africa have a high burden of HIV and a shortage of
healthcare professionals, creating a healthcare conundrum. To bridge this gap,
South Africa launched a new cadre of mid-level medical worker called Clinical
Associates (CA). We assessed the ability of CAs to perform circumcisions of
adequate quality and their subsequent usefulness to meet the demands of VMMCs in
a population with a high HIV burden. METHODS: We conducted a retrospective
analysis, reviewing patient files (n = 4850) of surgical VMMCs conducted over a
16-month period. Patient files were sourced from clinics and hospitals that
provided free VMMCs in Tshwane district in South Africa. FINDINGS: Clinical
associates performed 88.66% of the circumcisions and doctors performed the
remaining 11.34% (p < 0.001). The number of adverse events did not differ between
the two groups. Data on intra-operative adverse events were available for 4 738
patients. Of these, 341 (7.2%) experienced intra-operative adverse events. For
the whole sample, 44 (8.1%, n = 543) adverse events occurred during circumcisions
done by doctors and 297 (7.1%, n = 4195) occurred during circumcisions done by
CAs (p = 0.385). Clinical associates performed circumcisions in shorter times
(duration: 14.63 minutes) compared to doctors (duration: 15.25 minutes, t =
7.46; p < 0.001). Recorded pain, bleeding, swelling, infection and wound
destruction did not differ between clients circumcised by CAs and doctors. This
study is limited by the use of data from a single district. CONCLUSIONS: Clinical
associates contribute to the demands for high numbers of VMMCs in Tshwane
district, South Africa. Clinical associates perform VMMCs at a clinical standard
that is comparable to circumcisions performed by doctors.
PMID- 29351312
TI - Prognostic relevance of elevated pulmonary arterial pressure assessed non
invasively: Analysis in a large patient cohort with invasive measurements in near
temporal proximity.
AB - BACKGROUND: The clinical relevance of non-invasively derived pulmonary arterial
pressure (PAP) by Doppler echocardiography (DE) has been questioned in the past.
However, transthoracic echocardiography is used as a cornerstone examination for
patients with dyspnea and suspected pulmonary hypertension (PH). This study aimed
to evaluate the prognostic value of non-invasive assessed PAP in a large
population of patients with known or suspected cardiopulmonary disease. METHODS:
The analyses are based on data of patients of a tertiary cardiology center that
received right heart catheterization (RHC) as well as non-invasively assessed PAP
by DE within five days, and includes serological and clinical parameters in a
retrospective follow-up for up to eight years. RESULTS: Of 1,237 patients,
clinical follow-up was possible in 1,038 patients who were included in the
statistical analysis. The mean-follow up time was 1,002 days. The composite
endpoint of heart transplantation (HTx) or death occurred in n = 308 patients.
Elevated PAP measured non-invasively as well as invasively had significant
prognostic impact (hazard ratio (HR) 2.32; 95% confidence interval (CI) 1.78
3.04; chi2 = 37.9; p<0.001 versus HR 2.84; 95%CI 2.11-3.82; chi2 = 51.9; p<0.001,
respectively). By multivariate analysis, NYHA functional class, N-terminal pro
brain natriuretic peptide, cardiac troponin T, left ventricular ejection
fraction, and right ventricular dysfunction remained independently predictive.
Incremental prognostic information in a multimodal approach was highly relevant.
CONCLUSIONS: In this comprehensive study, elevated pulmonary arterial pressure
measured by DE offers similar prognostic information on survival or need for HTx
as right heart catheterization. Furthermore, the addition of functional capacity
and serological biomarkers delivered incremental prognostic information.
PMID- 29351313
TI - Effectiveness and safety of early medication abortion provided in pharmacies by
auxiliary nurse-midwives: A non-inferiority study in Nepal.
AB - BACKGROUND: Expanding access to medication abortion through pharmacies is a
promising avenue to reach women with safe and convenient care, yet no pharmacy
provision interventions have been evaluated. This observational non-inferiority
study investigated the effectiveness and safety of mifepristone-misoprostol
medication abortion provided at pharmacies, compared to government-certified
public health facilities, by trained auxiliary nurse-midwives in Nepal. METHODS:
Auxiliary nurse-midwives were trained to provide medication abortion through
twelve pharmacies and public facilities as part of a demonstration project in two
districts. Eligible women were <=63 days pregnant, aged 16-45, and had no medical
contraindications. Between 2014-2015, participants (n = 605) obtained 200 mg
mifepristone orally and 800 MUg misoprostol sublingually or intravaginally 24
hours later, and followed-up 14-21 days later. The primary outcome was complete
abortion without manual vacuum aspiration; the secondary outcome was complication
requiring treatment. We assessed risk differences by facility type with
multivariable logistic mixed-effects regression. RESULTS: Over 99% of enrolled
women completed follow-up (n = 600). Complete abortions occurred in 588 (98.0%)
cases, with ten incomplete abortions and two continuing pregnancies. 293/297
(98.7%) pharmacy participants and 295/303 (97.4%) public facility participants
had complete abortions, with an adjusted risk difference falling within the pre
specified 5 percentage-point non-inferiority margin (1.5% [-0.8%, 3.8%]). No
serious adverse events occurred. Five (1.7%) pharmacy and two (0.7%) public
facility participants experienced a complication warranting treatment (aRD, 0.8%
[-1.0%-2.7%]). CONCLUSIONS: Early mifepristone-misoprostol abortion was as
effective and safe when provided by trained auxiliary nurse-midwives at
pharmacies as at government-certified health facilities. Findings support policy
expanding provision through registered pharmacies by trained auxiliary nurse
midwives to improve access to safe care.
PMID- 29351314
TI - Species richness of Eurasian Zephyrus hairstreaks (Lepidoptera: Lycaenidae:
Theclini) with implications on historical biogeography: An NDM/VNDM approach.
AB - AIM: A database based on distributional records of Eurasian Zephyrus hairstreaks
(Lepidoptera: Lycaenidae: Theclini) was compiled to analyse their areas of
endemism (AoEs), species richness and distribution patterns, to explore their
locations of past glacial refugia and dispersal routes. METHODS: Over 2000
Zephyrus hairstreaks occurrences are analysed using the NDM/VNDM algorithm, for
the recognition of AoEs. Species richness was calculated by using the option
'Number of different classes' to count the different classes of a variable
presented in each 3.0 degrees *3.0 degrees grid cell, and GIS software was used
to visualize distribution patterns of endemic species. RESULTS: Centres of
species richness of Zephyrus hairstreaks are situated in the eastern Qinghai
Tibet Plateau (EQTP), Hengduan Mountain Region (HDMR) and the Qinling Mountain
Region (QLMR). Latitudinal gradients in species richness show normal distribution
with the peak between 25 degrees N and 35 degrees N in the temperate zone,
gradually decreasing towards the poles. Moreover, most parts of central and
southern China, especially the area of QLMR-EQTP-HDMR, were identified as AoEs
that may have played a significant role as refugia during Quaternary global
cooling. There are four major distributional patterns of Zephyrus hairstreaks in
Eurasia: Sino-Japanese, Sino-Himalayan, high-mountain and a combined distribution
covering all three patterns. CONCLUSIONS: Zephyrus hairstreaks probably
originated at least 23-24 Myr ago in E. Asia between 25 degrees N to 35 degrees
N in the temperate zone. Cenozoic orogenies caused rapid speciation of this tribe
and extrusion of the Indochina block resulted in vicariance between the Sino
Japanese and the Sino-Himalayan patterns. The four distribution patterns provided
two possible dispersal directions: Sino-Japanese dispersal and Sino-Himalayan
dispersal.
PMID- 29351315
TI - Large-scale mapping of bioactive peptides in structural and sequence space.
AB - Health-enhancing potential bioactive peptide (BP) has driven an interest in food
proteins as well as in the development of predictive methods. Research in this
area has been especially active to use them as components in functional foods.
Apparently, BPs do not have a given biological function in the containing
proteins and they do not evolve under independent evolutionary constraints. In
this work we performed a large-scale mapping of BPs in sequence and structural
space. Using well curated BP deposited in BIOPEP database, we searched for exact
matches in non-redundant sequences databases. Proteins containing BPs, were used
in fold-recognition methods to predict the corresponding folds and BPs
occurrences were mapped. We found that fold distribution of BP occurrences
possibly reflects sequence relative abundance in databases. However, we also
found that proteins with 5 or more than 5 BP in their sequences correspond to
well populated protein folds, called superfolds. Also, we found that in well
populated superfamilies, BPs tend to adopt similar locations in the protein fold,
suggesting the existence of hotspots. We think that our results could contribute
to the development of new bioinformatics pipeline to improve BP detection.
PMID- 29351316
TI - Dexmedetomidine reduces lipopolysaccharide induced neuroinflammation, sickness
behavior, and anhedonia.
AB - BACKGROUND: Peripheral innate immune response may induce sickness behavior
through activating microglia, excessive cytokines production, and
neuroinflammation. Dexmedetomidine (Dex) has anti-inflammatory effect. We
investigated the effects of Dex on lipopolysaccharide (LPS)-induced
neuroinflammation and sickness behavior in mice. MATERIALS AND METHODS: BALB/c
mice were intraperitoneally (i.p.) injected with Dex (50 ug/kg) or vehicle. One
hour later, the mice were injected (i.p.) with Escherichia coli LPS (0.33 mg/kg)
or saline (n = 6 in each group). We analyzed the food and water intake, body
weight loss, and sucrose preference of the mice for 24h. We also determined
microglia activation and cytokines expression in the brains of the mice. In
vitro, we determine cytokines expression in LPS-treated BV-2 microglial cells
with or without Dex treatment. RESULTS: In the Dex-pretreated mice, LPS-induced
sickness behavior (anorexia, weight loss, and social withdrawal) were attenuated
and microglial activation was lower than vehicle control. The mRNA expression of
TNF-alpha, MCP-1, indoleamine 2, 3 dioxygenase (IDO), caspase-3, and iNOS were
increased in the brain of LPS-challenged mice, which were reduced by Dex but not
vehicle. CONCLUSION: Dexmedetomidine diminished LPS-induced neuroinflammation in
the mouse brain and modulated the cytokine-associated changes in sickness
behavior.
PMID- 29351317
TI - HAfTs are novel lncRNA transcripts from aflatoxin exposure.
AB - The transcriptome can reveal insights into precancer biology. We recently
conducted RNA-Seq analysis on liver RNA from male rats exposed to the carcinogen,
aflatoxin B1 (AFB1), for 90 days prior to liver tumor onset. Among >1,000
differentially expressed transcripts, several novel, unannotated Cufflinks
assembled transcripts, or HAfTs (Hepatic Aflatoxin Transcripts) were found. We
hypothesized PCR-cloning and RACE (rapid amplification of cDNA ends) could
further HAfT identification. Sanger data was obtained for 6 transcripts by PCR
and 16 transcripts by 5'- and 3'-RACE. BLAST alignments showed, with two
exceptions, HAfT transcripts were lncRNAs, >200nt without apparent long open
reading frames. Six rat HAfT transcripts were classified as 'novel' without
RefSeq annotation. Sequence alignment and genomic synteny showed each rat lncRNA
had a homologous locus in the mouse genome and over half had homologous loci in
the human genome, including at least two loci (and possibly three others) that
were previously unannotated. While HAfT functions are not yet clear, coregulatory
roles may be possible from their adjacent orientation to known coding genes with
altered expression that include 8 HAfT-gene pairs. For example, a unique rat
HAfT, homologous to Pvt1, was adjacent to known genes controlling cell
proliferation. Additionally, PCR and RACE Sanger sequencing showed many
alternative splice variants and refinements of exon sequences compared to
Cufflinks assembled transcripts and gene prediction algorithms. Presence of
multiple splice variants and short tandem repeats found in some HAfTs may be
consequential for secondary structure, transcriptional regulation, and function.
In summary, we report novel, differentially expressed lncRNAs after exposure to
the genotoxicant, AFB1, prior to neoplastic lesions. Complete cloning and
sequencing of such transcripts could pave the way for a new set of sensitive and
early prediction markers for chemical hepatocarcinogens.
PMID- 29351319
TI - Speech, stone tool-making and the evolution of language.
AB - The 'technological hypothesis' proposes that gestural language evolved in early
hominins to enable the cultural transmission of stone tool-making skills, with
speech appearing later in response to the complex lithic industries of more
recent hominins. However, no flintknapping study has assessed the efficiency of
speech alone (unassisted by gesture) as a tool-making transmission aid. Here we
show that subjects instructed by speech alone underperform in stone tool-making
experiments in comparison to subjects instructed through either gesture alone or
'full language' (gesture plus speech), and also report lower satisfaction with
their received instruction. The results provide evidence that gesture was likely
to be selected over speech as a teaching aid in the earliest hominin tool-makers;
that speech could not have replaced gesturing as a tool-making teaching aid in
later hominins, possibly explaining the functional retention of gesturing in the
full language of modern humans; and that speech may have evolved for reasons
unrelated to tool-making. We conclude that speech is unlikely to have evolved as
tool-making teaching aid superior to gesture, as claimed by the technological
hypothesis, and therefore alternative views should be considered. For example,
gestural language may have evolved to enable tool-making in earlier hominins,
while speech may have later emerged as a response to increased trade and more
complex inter- and intra-group interactions in Middle Pleistocene ancestors of
Neanderthals and Homo sapiens; or gesture and speech may have evolved in parallel
rather than in sequence.
PMID- 29351318
TI - Invasive bacterial disease trends and characterization of group B streptococcal
isolates among young infants in southern Mozambique, 2001-2015.
AB - BACKGROUND: Maternal group B streptococcal (GBS) vaccines under development hold
promise to prevent GBS disease in young infants. Sub-Saharan Africa has the
highest estimated disease burden, although data on incidence and circulating
strains are limited. We described invasive bacterial disease (IBD) trends among
infants <90 days in rural Mozambique during 2001-2015, with a focus on GBS
epidemiology and strain characteristics. METHODS: Community-level birth and
mortality data were obtained from Manhica's demographic surveillance system. IBD
cases were captured through ongoing surveillance at Manhica district hospital.
Stored GBS isolates from cases underwent serotyping by multiplex PCR,
antimicrobial susceptibility testing, and whole genome sequencing. RESULTS: There
were 437 IBD cases, including 57 GBS cases. Significant declines in overall IBD,
neonatal mortality, and stillbirth rates were observed (P<0.0001), but not for
GBS (P = 0.17). In 2015, GBS was the leading cause of young infant IBD (2.7 per
1,000 live births). Among 35 GBS isolates available for testing, 31 (88.6%) were
highly related serotype III isolates within multilocus sequence types (STs) 17
(68.6%) or 109 (20.0%). All seven ST109 isolates (21.9%) had elevated minimum
inhibitory concentration (MIC) to penicillin (>=0.12 MUg/mL) associated with
penicillin-binding protein (PBP) 2x substitution G398A. Epidemiologic and
molecular data suggest this is a well-established clone. CONCLUSION: A notable
young infant GBS disease burden persisted despite improvements in overall
maternal and neonatal health. We report an established strain with pbp2x point
mutation, a first-step mutation associated with reduced penicillin susceptibility
within a well-known virulent lineage in rural Mozambique. Our findings further
underscores the need for non-antibiotic GBS prevention strategies.
PMID- 29351320
TI - Coiled-coil formation of the membrane-fusion K/E peptides viewed by electron
paramagnetic resonance.
AB - The interaction of the complementary K (Ac-(KIAALKE)3-GW-NH2) and E (Ac
(EIAALEK)3-GY-NH2) peptides, components of the zipper of an artificial membrane
fusion system (Robson Marsden H. et al. Angew Chemie Int Ed. 2009) is
investigated by electron paramagnetic resonance (EPR). By frozen solution
continuous-wave EPR and double electron-electron resonance (DEER), the distance
between spin labels attached to the K- and to the E-peptide is measured. Three
constructs of spin-labelled K- and E-peptides are used in five combinations for
low temperature investigations. The K/E heterodimers are found to be parallel, in
agreement with previous studies. Also, K homodimers in parallel orientation were
observed, a finding that was not reported before. Comparison to room-temperature,
solution EPR shows that the latter method is less specific to detect this peptide
peptide interaction. Combining frozen solution cw-EPR for short distances (1.8 nm
to 2.0 nm) and DEER for longer distances thus proves versatile to detect the
zipper interaction in membrane fusion. As the methodology can be applied to
membrane samples, the approach presented suggests itself for in-situ studies of
the complete membrane fusion process, opening up new avenues for the study of
membrane fusion.
PMID- 29351321
TI - Methylglyoxal produces more changes in biochemical and biophysical properties of
human IgG under high glucose compared to normal glucose level.
AB - Hyperglycaemia triggers increased production of methylglyoxal which can cause
gross modification in proteins' structure vis-a-vis function though advanced
glycation end products (AGEs). The AGEs may initiate vascular and nonvascular
pathologies. In this study, we have examined the biochemical and biophysical
changes in human IgG under normal and high glucose after introducing
methylglyoxal into the assay mixture. This non-enzymatic reaction mainly engaged
lysine residues as indicated by TNBS results. The UV results showed
hyperchromicity in modified-IgG samples while fluorescence data supported AGEs
formation during the course of reaction. Shift in amide I and amide II band
position indicated perturbations in secondary structure. Increase carbonyl
content and decrease in sulfhydryl suggests that the modification is accompanied
by oxidative stress. All modified-IgG samples showed more thermostability than
native IgG; the highest Tm was shown by IgG-high glucose-MGO variant. Results of
ANS, Congo red and Thioflavin T dyes clearly suggest increase in hydrophobic
patches and aggregation, respectively. SEM and TEM images support aggregates
generation in modified-IgG samples.
PMID- 29351322
TI - Influence of multiplicative stochastic variation on translational elongation
rates.
AB - Experimental data indicate that stochastic effects exerted at the level of
translation contribute substantially to the variation in abundance of proteins
expressed at moderate to high levels. This study analyzes the theoretical
consequences of fluctuations in residue-specific elongation rates during
translation. A simple analytical framework shows that rate variation during
elongation gives rise to protein production rates that consist of sums of
products of random variables. Simulations show that because the contribution to
total variation of products of random variables greatly exceeds that of sums of
random variables, the overall distribution exhibits approximately log-normal
behavior. Empirical fits of the data can be satisfied by either sums of log
normal distributions, or sums of log-normal and log-logistic distributions.
Elongation rate stochastic variation offers an accounting for a major component
of biological variation. The analysis provided here highlights a probability
distribution that is a natural extension of the Poisson and has broad
applicability to many types of multiplicative noise processes.
PMID- 29351323
TI - Fire forbids fifty-fifty forest.
AB - Recent studies have interpreted patterns of remotely sensed tree cover as
evidence that forest with intermediate tree cover might be unstable in the
tropics, as it will tip into either a closed forest or a more open savanna state.
Here we show that across all continents the frequency of wildfires rises sharply
as tree cover falls below ~40%. Using a simple empirical model, we hypothesize
that the steepness of this pattern causes intermediate tree cover (30-60%) to be
unstable for a broad range of assumptions on tree growth and fire-driven
mortality. We show that across all continents, observed frequency distributions
of tropical tree cover are consistent with this hypothesis. We argue that
percolation of fire through an open landscape may explain the remarkably
universal rise of fire frequency around a critical tree cover, but we show that
simple percolation models cannot predict the actual threshold quantitatively. The
fire-driven instability of intermediate states implies that tree cover will not
change smoothly with climate or other stressors and shifts between closed forest
and a state of low tree cover will likely tend to be relatively sharp and
difficult to reverse.
PMID- 29351324
TI - Abnormal network flow detection based on application execution patterns from Web
of Things (WoT) platforms.
AB - In this paper, we present a research work on a novel methodology of identifying
abnormal behaviors at the underlying network monitor layer during runtime based
on the execution patterns of Web of Things (WoT) applications. An execution
pattern of a WoT application is a sequence of profiled time delays between the
invocations of involved Web services, and it can be obtained from WoT platforms.
We convert the execution pattern to a time sequence of network flows that are
generated when the WoT applications are executed. We consider such time sequences
as a whitelist. This whitelist reflects the valid application execution patterns.
At the network monitor layer, our applied RETE algorithm examines whether any
given runtime sequence of network flow instances does not conform to the
whitelist. Through this approach, it is possible to interpret a sequence of
network flows with regard to application logic. Given such contextual
information, we believe that the administrators can detect and reason about any
abnormal behaviors more effectively. Our empirical evaluation shows that our RETE
based algorithm outperforms the baseline algorithm in terms of memory usage.
PMID- 29351326
TI - Increased natural reproduction and genetic diversity one generation after
cessation of a steelhead trout (Oncorhynchus mykiss) conservation hatchery
program.
AB - Spatial and temporal fluctuations in productivity and abundance confound
assessments of captive propagation programs aimed at recovery of Threatened and
Endangered populations. We conducted a 17 year before-after-control-impact
experiment to determine the effects of a captive rearing program for anadromous
steelhead trout (Oncorhynchus mykiss) on a key indicator of natural spawner
abundance (naturally produced nests or 'redds'). The supplemented population
exhibited a significant (2.6-fold) increase in redd abundance in the generation
following supplementation. Four non-supplemented (control) populations monitored
over the same 17 year period exhibited stable or decreasing trends in redd
abundance. Expected heterozygosity in the supplemented population increased
significantly. Allelic richness increased, but to a lesser (non-significant)
degree. Estimates of the effective number of breeders increased from a harmonic
mean of 24.4 in the generation before supplementation to 38.9 after
supplementation. Several non-conventional aspects of the captive rearing program
may have contributed to the positive response in the natural population.
PMID- 29351325
TI - Impact of educational interventions on adolescent attitudes and knowledge
regarding vaccination: A pilot study.
AB - BACKGROUND: Current immunisation levels in England currently fall slightly below
the threshold recommended by the World Health Organization, and the three-year
trend for vaccination uptake is downwards. Attitudes towards vaccination can
affect future decisions on whether or not to vaccinate, and this can have
significant public health implications. Interventions can impact future
vaccination decisions, and these interventions can take several forms. Relatively
little work has been reported on the use of vaccination interventions in young
people, who form the next generation of individuals likely to make vaccination
decisions. METHOD: We investigated the impact of two different types of
educational intervention on attitudes towards vaccination in young people in
England. A cohort of young people (n = 63) was recruited via a local school. This
group was divided into three sub-groups; one (n = 21) received a presentation
based intervention, one (n = 26) received an interactive simulation-based
intervention, and the third (n = 16) received no intervention. Participants
supplied information on (1) their attitudes towards vaccination, and (2) their
information needs and views on personal choice concerning vaccination, at three
time points: immediately before and after the intervention, and after six months.
RESULTS: Neither intervention had a significant effect on participants' attitudes
towards vaccination. However, the group receiving the presentation-based
intervention saw a sustained uplift in confidence about information needs, which
was not observed in the simulation-based intervention group. DISCUSSION: Our
findings with young people are consistent with previous work on vaccination
interventions aimed at adults, which have shown limited effectiveness, and which
can actually reduce intention to vaccinate. Our findings on the most effective
mode of delivery for the intervention should inform future discussion in the
growing "games for health" domain, which proposes the use of interactive digital
resources in healthcare education.
PMID- 29351327
TI - A novel risk classification system for 30-day mortality in children undergoing
surgery.
AB - A simple, objective and accurate way of grouping children undergoing surgery into
clinically relevant risk groups is needed. The purpose of this study, is to
develop and validate a preoperative risk classification system for postsurgical
30-day mortality for children undergoing a wide variety of operations. The
National Surgical Quality Improvement Project-Pediatric participant use file data
for calendar years 2012-2014 was analyzed to determine preoperative variables
most associated with death within 30 days of operation (D30). Risk groups were
created using classification tree analysis based on these preoperative variables.
The resulting risk groups were validated using 2015 data, and applied to neonates
and higher risk CPT codes to determine validity in high-risk subpopulations. A
five-level risk classification was found to be most accurate. The preoperative
need for ventilation, oxygen support, inotropic support, sepsis, the need for
emergent surgery and a do not resuscitate order defined non-overlapping groups
with observed rates of D30 that vary from 0.075% (Very Low Risk) to 38.6% (Very
High Risk). When CPT codes where death was never observed are eliminated or when
the system is applied to neonates, the groupings remained predictive of death in
an ordinal manner.
PMID- 29351328
TI - Joint modeling of correlated binary outcomes: The case of contraceptive use and
HIV knowledge in Bangladesh.
AB - Recent advances in statistical methods enable the study of correlation among
outcomes through joint modeling, thereby addressing spillover effects. By joint
modeling, we refer to simultaneously analyzing two or more different response
variables emanating from the same individual. Using the 2011 Bangladesh
Demographic and Health Survey, we jointly address spillover effects between
contraceptive use (CUC) and knowledge of HIV and other sexually transmitted
diseases. Jointly modeling these two outcomes is appropriate because certain
types of contraceptive use contribute to the prevention of HIV and STDs and the
knowledge and awareness of HIV and STDs typically lead to protection during
sexual intercourse. In particular, we compared the differences as they pertained
to the interpretive advantage of modeling the spillover effects of joint modeling
HIV and CUC as opposed to addressing them separately. We also identified risk
factors that determine contraceptive use and knowledge of HIV and STDs among
women in Bangladesh. We found that by jointly modeling the correlation between
HIV knowledge and contraceptive use, the importance of education decreased. The
HIV prevention program had a spillover effect on CUC: what seemed to be impacted
by education can be partially contributed to one's exposure to HIV knowledge. The
joint model revealed a less significant impact of covariates as opposed to both
separate models and standard models. Additionally, we found a spillover effect
that would have otherwise been undiscovered if we did not jointly model. These
findings further suggested that the simultaneous impact of correlated outcomes
can be adequately addressed for the commonality between different responses and
deflate, which is otherwise overestimated when examined separately.
PMID- 29351329
TI - Radiocarbon dating uncertainty and the reliability of the PEWMA method of time
series analysis for research on long-term human-environment interaction.
AB - Statistical time-series analysis has the potential to improve our understanding
of human-environment interaction in deep time. However, radiocarbon dating-the
most common chronometric technique in archaeological and palaeoenvironmental
research-creates challenges for established statistical methods. The methods
assume that observations in a time-series are precisely dated, but this
assumption is often violated when calibrated radiocarbon dates are used because
they usually have highly irregular uncertainties. As a result, it is unclear
whether the methods can be reliably used on radiocarbon-dated time-series. With
this in mind, we conducted a large simulation study to investigate the impact of
chronological uncertainty on a potentially useful time-series method. The method
is a type of regression involving a prediction algorithm called the Poisson
Exponentially Weighted Moving Average (PEMWA). It is designed for use with count
time-series data, which makes it applicable to a wide range of questions about
human-environment interaction in deep time. Our simulations suggest that the
PEWMA method can often correctly identify relationships between time-series
despite chronological uncertainty. When two time-series are correlated with a
coefficient of 0.25, the method is able to identify that relationship correctly
20-30% of the time, providing the time-series contain low noise levels. With
correlations of around 0.5, it is capable of correctly identifying correlations
despite chronological uncertainty more than 90% of the time. While further
testing is desirable, these findings indicate that the method can be used to test
hypotheses about long-term human-environment interaction with a reasonable degree
of confidence.
PMID- 29351330
TI - Use of influenza antivirals in patients hospitalized in Hong Kong, 2000-2015.
AB - OBJECTIVES: We aimed to describe patterns in the usage of antivirals to treat
influenza virus infection in hospitals in Hong Kong from 2000 through 2015.
METHODS: We analyzed centralized electronic health records that included
dispensation information and diagnosis codes. Information collected on admissions
included patient age, sex, admission year and month, and medications dispensed,
and were matched with the first 15 discharge diagnosis codes. We divided monthly
admission episodes by relevant population denominators to obtain admission rates,
and stratified analyses by drug type, age group, and diagnosis codes. RESULTS:
Amantadine was used for influenza treatment in the early 2000s but changed with
recommendations to avoid its use in 2006, and is now mainly used to treat
Parkinson's disease. Oseltamivir usage increased substantially in 2009 and is now
commonly used, with almost 40,000 hospitalizations treated with oseltamivir in
the years 2012 through 2015, 66% of which was in persons >=65 years of age.
During the entire study period, of the 98,253 admission episodes in which
oseltamivir was dispensed, 40,698 (41%) included a diagnosis code for influenza,
and 80,283 (82%) included any diagnosis code for respiratory illness.
CONCLUSIONS: The amount of oseltamivir used from 2012-15 was comparable to a
separate ecological estimate of around 13,000 influenza-associated
hospitalizations per year on average. We did not have access to individual
patient laboratory testing data.
PMID- 29351331
TI - The association between previous and future severe exacerbations of chronic
obstructive pulmonary disease: Updating the literature using robust statistical
methodology.
AB - BACKGROUND: There is minimal evidence on the extent to which the occurrence of a
severe acute exacerbation of COPD that results in hospitalization affects the
subsequent disease course. Previous studies on this topic did not generate
causally-interpretable estimates. Our aim was to use corrected methodology to
update previously reported estimates of the associations between previous and
future exacerbations in these patients. METHODS: Using administrative health data
in British Columbia, Canada (1997-2012), we constructed a cohort of patients with
at least one severe exacerbation, defined as an episode of inpatient care with
the main diagnosis of COPD based on international classification of diseases
(ICD) codes. We applied a random-effects 'joint frailty' survival model that is
particularly developed for the analysis of recurrent events in the presence of
competing risk of death and heterogeneity among individuals in their rate of
events. Previous severe exacerbations entered the model as dummy-coded time
dependent covariates, and the model was adjusted for several observable patient
and disease characteristics. RESULTS: 35,994 individuals (mean age at baseline
73.7, 49.8% female, average follow-up 3.21 years) contributed 34,271 severe
exacerbations during follow-up. The first event was associated with a hazard
ratio (HR) of 1.75 (95%CI 1.69-1.82) for the risk of future severe exacerbations.
This risk decreased to HR = 1.36 (95%CI 1.30-1.42) for the second event and to
1.18 (95%CI 1.12-1.25) for the third event. The first two severe exacerbations
that occurred during follow-up were also significantly associated with increased
risk of all-cause mortality. There was substantial heterogeneity in the
individual-specific rate of severe exacerbations. Even after adjusting for
observable characteristics, individuals in the 97.5th percentile of exacerbation
rate had 5.6 times higher rate of severe exacerbations than those in the 2.5th
percentile. CONCLUSIONS: Using robust statistical methodology that controlled for
heterogeneity in exacerbation rates among individuals, we demonstrated potential
causal associations among past and future severe exacerbations, albeit the
magnitude of association was noticeably lower than previously reported. The
prevention of severe exacerbations has the potential to modify the disease
trajectory.
PMID- 29351332
TI - Impedance spectroscopy of single bacterial nanofilament reveals water-mediated
charge transfer.
AB - For decades respiratory chain and photosystems were the main firing field of the
studies devoted to mechanisms of electron transfer in proteins. The concept of
conjugated lateral electron and transverse proton transport during cellular
respiration and photosynthesis, which was formulated in the beginning of 1960-s,
has been confirmed by thousands of experiments. However, charge transfer in
recently discovered bacterial nanofilaments produced by various electrogenic
bacteria is regarded currently outside of electron and proton conjugation
concept. Here we report the new study of charge transfer within nanofilaments
produced by Shewanella oneidensis MR-1 conducted in atmosphere of different
relative humidity (RH). We utilize impedance spectroscopy and DC (direct current)
transport measurements to find out the peculiarities of conductivity and Raman
spectroscopy to analyze the nanofilaments' composition. Data analysis
demonstrates that apparent conductivity of nanofilaments has crucial sensitivity
to humidity and contains several components including one with unusual behavior
which we assign to electron transport. We demonstrate that in the case of
Shewanella oneidensis MR-1 charge transfer within these objects is strongly
mediated by water. Basing on current data analysis of conductivity we conclude
that the studied filaments of Shewanella oneidensis MR-1 are capable of hybrid
(conjugated) electron and ion conductivity.
PMID- 29351333
TI - Simultaneous quantification of four antiretroviral drugs in breast milk samples
from HIV-positive women by an ultra-high performance liquid chromatography tandem
mass spectrometry (UPLC-MS/MS) method.
AB - The primary strategy to avoid mother-to-child transmission of human
immunodeficiency virus (HIV) through breastfeeding is administration of highly
active antiretroviral therapy (HAART) to HIV-positive pregnant women. Because
significant changes in the pharmacokinetics of antiretroviral (ARV) drugs occur
during pregnancy, quantifying HAART and the viral load in breast milk in this
population is essential. Here, we developed an analytical assay for the
simultaneous quantification of four ARV drugs in breast milk using ultra
performance liquid chromatography coupled to tandem mass spectrometry. We
validated this method following Mexican and international guidelines. ARV drugs.
We extracted the ARV drugs from 200 MUL samples of breast milk and detected these
drugs in a triple quadrupole mass spectrometer with positive electrospray
ionization. The validated concentration ranges (ng/mL) for zidovudine,
lamivudine, lopinavir, and ritonavir were 12.5-750, 50-2500, 100-5000 and 5 to
250, respectively. Additionally, the absolute recovery percentages (and matrix
effects) were 91.4 (8.39), 88.78 (28.75), 91.38 (11.77) and 89.78 (12.37),
respectively. We determined that ARV drugs are stable for 24 h at 8 degrees C and
24 degrees C for 15 days at -80 degrees C. This methodology had the capacity for
simultaneous detection; separation; and accurate, precise quantification of ARV
drugs in human breast milk samples according to Mexican standard laws and United
States Food and Drug Administration guidelines.
PMID- 29351334
TI - Endothelin-1 is associated with fibrosis in proliferative diabetic retinopathy
membranes.
AB - PURPOSE: To characterize the relationship between endothelin-1 and fibrosis in
epiretinal membranes in proliferative diabetic retinopathy and explore the role
of endothelial-mesenchymal transition in these membranes. METHODS: Membranes were
obtained from eyes undergoing pars plana vitrectomy for complicated proliferative
diabetic retinopathy or idiopathic epiretinal membrane. Through standard
immunohistochemical techniques, we labeled membranes to explore the distribution
of endothelin-1 and endothelin receptor B, comparing proliferative diabetic
retinopathy and idiopathic epiretinal membranes. In addition, membranes were also
labeled with markers for fibroblasts, endothelial, and glial cells and studied
with confocal laser scanning microscopy. The intensity of endothelin-1 labeling
was quantified using standard image analysis software. RESULTS: Fourteen
membranes were included in the analysis, nine from eyes with proliferative
diabetic retinopathy and five idiopathic membranes. Flatmount diabetic membranes
showed co-localization of endothelin-1 with S100A4 and CD31. Immunohistochemistry
and quantitative analysis of cross-sectional membranes showed significantly
higher endothelin-1 labeling in proliferative diabetic retinopathy membranes
compared to idiopathic membranes (p<0.05). Diabetic membranes showed more
elements staining positive for S100A4 compared to idiopathic membranes.
CONCLUSION: Epiretinal membrane formation in proliferative diabetic retinopathy
involves higher tissue levels of endothelin-1 and fibroblastic activity.
Furthermore, endothelin-1, endothelial and fibroblastic staining appear to be
correlated, suggestive of endothelial-to-mesenchymal transition in proliferative
diabetic retinopathy.
PMID- 29351335
TI - The effects of an acute exercise bout on GH and IGF-1 in prediabetic and healthy
African Americans: A pilot study investigating gene expression.
AB - : The incidence of pre-diabetes (PD) and Type-2 Diabetes Mellitus (T2D) is a
worldwide epidemic. African American (AA) individuals are disproportionately more
likely to become diabetic than other ethnic groups. Over the long-term, metabolic
complications related to diabetes result in significant alterations in growth
hormone (GH) and insulin-like growth factor-1 (IGF-1). Considering the limited
exercise-related studies in the area of gene expression changes with disease
progression, the objective of this study was to examine differences in exercise
induced gene expression related to the GH and IGF-1 pathways in peripheral blood
mononuclear cells (PBMCs) of healthy (CON) and PD AA individuals. DESIGN: Ten
subjects [5 PD (age = 35+/-9.3 yr, BMI = 32.1+/-4.0, FBG = 101.8+/-1.3 mg/dl) and
5 CON (age = 31+/-9.4 yr, BMI = 29.4+/-5.2, FBG = 82.8+/-9.7 mg/dl)] had blood
drawn for RNA isolation prior to exercise (Pre), immediately following acute
moderate intensity exercise on a treadmill (Post-1), 6-hours post (Post-6), and
24-hours post (Post-24). Isolation of mRNA from PBMCs was performed using ficoll
separation, while the profiling of mRNA expression was performed using Illumina
beadchip arrays with standard protocols. Scan results were statistically analyzed
for a specific list of genes related to GH and IGF-1. GH and IGF-1 protein levels
were also assessed in each sample. To address issues of normality, all GH and IGF
1 data were log-transformed prior to analysis. Statistical significance was set
at p<0.05. RESULTS: Group differences for GH2 variant 2 (p = 0.070) and GH2
variant 3 (p = 0.059) were coupled with significant alterations in IGF-1 mRNA
over time (p = 0.024). A significant interaction between group and time was
observed for GHRH mRNA (p = 0.008). No group differences were observed in GH AUC
(p = 0.649), DeltaGH (p = 0.331), GHrec (p = 0.294), or IGF-1 AUC (p = 0.865),
representing a similar exercise-induced GH and IGF-1 response for both groups.
CONCLUSIONS: Analysis of GH and IGF-1 related-gene expression indicates that mild
elevations in fasting blood glucose and exercise-induced alterations in gene
expression are impacted by the prediabetic state.
PMID- 29351336
TI - Chemotactic drift speed for bacterial motility pattern with two alternating
turning events.
AB - Bacterial chemotaxis is one of the most extensively studied adaptive responses in
cells. Many bacteria are able to bias their apparently random motion to produce a
drift in the direction of the increasing chemoattractant concentration. It has
been recognized that the particular motility pattern employed by moving bacteria
has a direct impact on the efficiency of chemotaxis. The linear theory of
chemotaxis pioneered by de Gennes allows for calculation of the drift velocity in
small gradients for bacteria with basic motility patterns. However, recent
experimental data on several bacterial species highlighted the motility pattern
where the almost straight runs of cells are interspersed with turning events
leading to the reorientation of the cell swimming directions with two distinct
angles following in strictly alternating order. In this manuscript we generalize
the linear theory of chemotaxis to calculate the chemotactic drift speed for the
motility pattern of bacteria with two turning angles. By using the experimental
data on motility parameters of V. alginolyticus bacteria we can use our theory to
relate the efficiency of chemotaxis and the size of bacterial cell body. The
results of this work can have a straightforward extension to address most general
motility patterns with alternating angles, speeds and durations of runs.
PMID- 29351337
TI - A portable prototype magnetometer to differentiate ischemic and non-ischemic
heart disease in patients with chest pain.
AB - BACKGROUND: Magnetocardiography (MCG) is a non-invasive technique used to measure
and map cardiac magnetic fields. We describe the predictive performance of a
portable prototype magnetometer designed for use in acute and routine clinical
settings. We assessed the predictive ability of the measurements derived from the
magnetometer for the ruling-out of healthy subjects and patients whose chest pain
has a non-ischemic origin from those with ischemic heart disease (IHD). METHODS:
MCG data were analyzed from a technical performance study, a pilot clinical
study, and a young healthy reference group. Participants were grouped to enable
differentiation of those with IHD versus non-IHD versus controls: Group A (70 IHD
patients); Group B (69 controls); Group C (37 young healthy volunteers). Scans
were recorded in an unshielded room. Between-group differences were explored
using analysis of variance. The ability of 10 candidate MCG predictors to predict
normal/abnormal cases was analyzed using logistic regression. Predictive
performance was internally validated using repeated five-fold cross-validation.
RESULTS: Three MCG predictors showed a significant difference between patients
and age-matched controls (P<0.001); eight predictors showed a significant
difference between patients and young healthy volunteers (P<0.001). Logistic
regression comparing patients with controls yielded a specificity of 35.0%,
sensitivity of 95.4%, and negative predictive value for the ruling-out of IHD of
97.8% (area under the curve 0.78). CONCLUSION: This analysis represents a
preliminary indication that the portable magnetometer can help rule-out healthy
subjects and patients whose chest pain has a non-ischemic origin from those with
IHD.
PMID- 29351338
TI - Stockouts of HIV commodities in public health facilities in Kinshasa: Barriers to
end HIV.
AB - Stockouts of HIV commodities increase the risk of treatment interruption,
antiretroviral resistance, treatment failure, morbidity and mortality. The study
objective was to assess the magnitude and duration of stockouts of HIV medicines
and diagnostic tests in public facilities in Kinshasa, Democratic Republic of the
Congo. This was a cross-sectional survey involving visits to facilities and
warehouses in April and May 2015. All zonal warehouses, all public facilities
with more than 200 patients on antiretroviral treatment (ART) (high-burden
facilities) and a purposive sample of facilities with 200 or fewer patients (low
burden facilities) in Kinshasa were selected. We focused on three adult ART
formulations, cotrimoxazole tablets, and HIV diagnostic tests. Availability of
items was determined by physical check, while stockout duration until the day of
the survey visit was verified with stock cards. In case of ART stockouts, we
asked the pharmacist in charge what the facility coping strategy was for patients
needing those medicines. The study included 28 high-burden facilities and 64 low
burden facilities, together serving around 22000 ART patients. During the study
period, a national shortage of the newly introduced first-line regimen Tenofovir
Lamivudine-Efavirenz resulted in stockouts of this regimen in 56% of high-burden
and 43% of low-burden facilities, lasting a median of 36 (interquartile range 29
90) and 44 days (interquartile range 24-90) until the day of the survey visit,
respectively. Each of the other investigated commodities were found out of stock
in at least two low-burden and two high-burden facilities. In 30/41 (73%) of
stockout cases, the commodity was absent at the facility but present at the
upstream warehouse. In 30/57 (54%) of ART stockout cases, patients did not
receive any medicines. In some cases, patients were switched to different ART
formulations or regimens. Stockouts of HIV commodities were common in the visited
facilities. Introduction of new ART regimens needs additional planning.
PMID- 29351339
TI - Brain functional BOLD perturbation modelling for forward fMRI and inverse
mapping.
AB - PURPOSE: To computationally separate dynamic brain functional BOLD responses from
static background in a brain functional activity for forward fMRI signal analysis
and inverse mapping. METHODS: A brain functional activity is represented in terms
of magnetic source by a perturbation model: chi = chi0 +deltachi, with deltachi
for BOLD magnetic perturbations and chi0 for background. A brain fMRI experiment
produces a timeseries of complex-valued images (T2* images), whereby we extract
the BOLD phase signals (denoted by deltaP) by a complex division. By solving an
inverse problem, we reconstruct the BOLD deltachi dataset from the deltaP
dataset, and the brain chi distribution from a (unwrapped) T2* phase image. Given
a 4D dataset of task BOLD fMRI, we implement brain functional mapping by temporal
correlation analysis. RESULTS: Through a high-field (7T) and high-resolution
(0.5mm in plane) task fMRI experiment, we demonstrated in detail the BOLD
perturbation model for fMRI phase signal separation (P + deltaP) and
reconstructing intrinsic brain magnetic source (chi and deltachi). We also
provided to a low-field (3T) and low-resolution (2mm) task fMRI experiment in
support of single-subject fMRI study. Our experiments show that the deltachi
depicted functional map reveals bidirectional BOLD chi perturbations during the
task performance. CONCLUSIONS: The BOLD perturbation model allows us to separate
fMRI phase signal (by complex division) and to perform inverse mapping for pure
BOLD deltachi reconstruction for intrinsic functional chi mapping. The full brain
chi reconstruction (from unwrapped fMRI phase) provides a new brain tissue image
that allows to scrutinize the brain tissue idiosyncrasy for the pure BOLD
deltachi response through an automatic function/structure co-localization.
PMID- 29351340
TI - Inhibition of interleukin-6 decreases atrogene expression and ameliorates tail
suspension-induced skeletal muscle atrophy.
AB - BACKGROUND: Interleukin-6 (IL-6) is an inflammatory cytokine. Whether systemic IL
6 affects atrogene expression and disuse-induced skeletal muscle atrophy is
unclear. METHODS: Tail-suspended mice were used as a disuse-induced muscle
atrophy model. We administered anti-mouse IL-6 receptor antibody, beta-hydroxy
beta-methylbutyrate (HMB) and vitamin D to the mice and examined the effects on
atrogene expression and muscle atrophy. RESULTS: Serum IL-6 levels were elevated
in the mice. Inhibition of IL-6 receptor suppressed muscle RING finger 1 (MuRF1)
expression and prevented muscle atrophy. HMB and vitamin D inhibited the serum IL
6 surge, downregulated the expression of MuRF1 and atrogin-1 in the soleus
muscle, and ameliorated atrophy in the mice. CONCLUSION: Systemic IL-6 affects
MuRF1 expression and disuse-induced muscle atrophy.
PMID- 29351341
TI - Labeling for Big Data in radiation oncology: The Radiation Oncology Structures
ontology.
AB - PURPOSE: Leveraging Electronic Health Records (EHR) and Oncology Information
Systems (OIS) has great potential to generate hypotheses for cancer treatment,
since they directly provide medical data on a large scale. In order to gather a
significant amount of patients with a high level of clinical details, multicenter
studies are necessary. A challenge in creating high quality Big Data studies
involving several treatment centers is the lack of semantic interoperability
between data sources. We present the ontology we developed to address this issue.
METHODS: Radiation Oncology anatomical and target volumes were categorized in
anatomical and treatment planning classes. International delineation guidelines
specific to radiation oncology were used for lymph nodes areas and target
volumes. Hierarchical classes were created to generate The Radiation Oncology
Structures (ROS) Ontology. The ROS was then applied to the data from our
institution. RESULTS: Four hundred and seventeen classes were created with a
maximum of 14 children classes (average = 5). The ontology was then converted
into a Web Ontology Language (.owl) format and made available online on Bioportal
and GitHub under an Apache 2.0 License. We extracted all structures delineated in
our department since the opening in 2001. 20,758 structures were exported from
our "record-and-verify" system, demonstrating a significant heterogeneity within
a single center. All structures were matched to the ROS ontology before
integration into our clinical data warehouse (CDW). CONCLUSION: In this study we
describe a new ontology, specific to radiation oncology, that reports all
anatomical and treatment planning structures that can be delineated. This
ontology will be used to integrate dosimetric data in the Assistance Publique
Hopitaux de Paris CDW that stores data from 6.5 million patients (as of February
2017).
PMID- 29351343
TI - Minority race and male sex as risk factors for non-beneficial gastrostomy tube
placements after stroke.
AB - BACKGROUND: Percutaneous endoscopic gastrostomy (PEG) tubes are widely used for
enteral feeding after stroke; however, PEG tubes placed in patients in whom death
is imminent are considered non-beneficial. AIM: We sought to determine whether
placement of non-beneficial PEG tubes differs by race and sex. DESIGN AND
SETTING/PARTICIPANTS: In this retrospective cohort study, inpatient admissions
for stroke patients who underwent palliative/withdrawal of care, were discharged
to hospice, or died during the hospitalization, were identified from the
Nationwide Inpatient Sample between 2007 and 2011. Logistic regression was used
to evaluate the association between race and sex with PEG placement. RESULTS: Of
36,109 stroke admissions who underwent palliative/withdrawal of care, were
discharge to hospice, or experienced in-hospital death, a PEG was placed in 2,258
(6.3%). Among PEG recipients 41.1% were of a race other than white, while only
22.0% of patients without PEG were of a minority race (p<0.001). The proportion
of men was higher among those with compared to without a PEG tube (50.0% vs.
39.2%, p<0.001). Minority race was associated with PEG placement compared to
whites (OR 1.75, 95% CI 1.57-1.96), and men had 1.27 times higher odds of PEG
compared to women (95% CI 1.16-1.40). Racial differences were most pronounced
among women: ethnic/racial minority women had over 2-fold higher odds of a PEG
compared to their white counterparts (OR 2.09, 95% CI 1.81-2.41), while male
ethnic/racial minority patients had 1.44 increased odds of a PEG when compared to
white men (95% CI 1.24-1.67, p-value for interaction <0.001). CONCLUSION:
Minority race and male sex are risk factors for non-beneficial PEG tube
placements after stroke.
PMID- 29351344
TI - The morphing of geographical features by Fourier transformation.
AB - This paper presents a morphing model of vector geographical data based on Fourier
transformation. This model involves three main steps. They are conversion from
vector data to Fourier series, generation of intermediate function by combination
of the two Fourier series concerning a large scale and a small scale, and reverse
conversion from combination function to vector data. By mirror processing, the
model can also be used for morphing of linear features. Experimental results show
that this method is sensitive to scale variations and it can be used for vector
map features' continuous scale transformation. The efficiency of this model is
linearly related to the point number of shape boundary and the interceptive value
n of Fourier expansion. The effect of morphing by Fourier transformation is
plausible and the efficiency of the algorithm is acceptable.
PMID- 29351345
TI - To IMPRES or to EXPRES? Exploiting comparative judgments to measure and visualize
implicit and explicit preferences.
AB - We introduce an adaptation of the affect misattribution procedure (AMP), called
the implicit preference scale (IMPRES). Participants who complete the IMPRES
indicate their preference for one of two, simultaneously presented Chinese
ideographs. Each ideograph is preceded by a briefly presented prime stimulus that
is irrelevant to the task. Participants are hypothesized to prefer the ideograph
that is preceded by the prime they prefer. In the present research, the IMPRES
was designed to capture racial attitudes (preferences for white versus black
faces) and age-related attitudes (preferences for young versus old faces).
Results suggest that (a) the reliability of the IMPRES is similar (or even
better) than the reliability of the AMP and (b) that the IMPRES and the AMP
correlate significantly. However, neither the AMP nor the IMPRES were found to
predict attitude-related outcome behavior (i.e., the preparedness to donate money
to a charity benefiting ethnic minorities vs. the elderly). Further research is
thus necessary to establish the validity of the IMPRES. Finally, we demonstrated
that, unlike the AMP, the IMPRES allows for an in-depth assessment of
unanticipated response patterns and/or extreme observations using
multidimensional scaling algorithms.
PMID- 29351342
TI - A homozygous missense variant in VWA2, encoding an interactor of the Fraser
complex, in a patient with vesicoureteral reflux.
AB - Congenital anomalies of the kidney and urinary tract (CAKUT) are the most common
cause (40-50%) of chronic kidney disease (CKD) in children. About 40 monogenic
causes of CAKUT have so far been discovered. To date less than 20% of CAKUT cases
can be explained by mutations in these 40 genes. To identify additional monogenic
causes of CAKUT, we performed whole exome sequencing (WES) and homozygosity
mapping (HM) in a patient with CAKUT from Indian origin and consanguineous
descent. We identified a homozygous missense mutation (c.1336C>T, p.Arg446Cys) in
the gene Von Willebrand factor A domain containing 2 (VWA2). With
immunohistochemistry studies on kidneys of newborn (P1) mice, we show that Vwa2
and Fraser extracellular matrix complex subunit 1 (Fras1) co-localize in the
nephrogenic zone of the renal cortex. We identified a pronounced expression of
Vwa2 in the basement membrane of the ureteric bud (UB) and derivatives of the
metanephric mesenchyme (MM). By applying in vitro assays, we demonstrate that the
Arg446Cys mutation decreases translocation of monomeric VWA2 protein and
increases translocation of aggregated VWA2 protein into the extracellular space.
This is potentially due to the additional, unpaired cysteine residue in the
mutated protein that is used for intermolecular disulfide bond formation. VWA2 is
a known, direct interactor of FRAS1 of the Fraser-Complex (FC). FC-encoding genes
and interacting proteins have previously been implicated in the pathogenesis of
syndromic and/or isolated CAKUT phenotypes in humans. VWA2 therefore constitutes
a very strong candidate in the search for novel CAKUT-causing genes. Our results
from in vitro experiments indicate a dose-dependent neomorphic effect of the
Arg446Cys homozygous mutation in VWA2.
PMID- 29351347
TI - Correction: Nitrogen uptake and assimilation in proliferating embryogenic
cultures of Norway spruce-Investigating the specific role of glutamine.
AB - [This corrects the article DOI: 10.1371/journal.pone.0181785.].
PMID- 29351346
TI - The Congenital Heart Disease Genetic Network Study: Cohort description.
AB - The Pediatric Cardiac Genomics Consortium (PCGC) designed the Congenital Heart
Disease Genetic Network Study to provide phenotype and genotype data for a large
congenital heart defects (CHDs) cohort. This article describes the PCGC cohort,
overall and by major types of CHDs (e.g., conotruncal defects) and subtypes of
conotrucal heart defects (e.g., tetralogy of Fallot) and left ventricular outflow
tract obstructions (e.g., hypoplastic left heart syndrome). Cases with CHDs were
recruited through ten sites, 2010-2014. Information on cases (N = 9,727) and
their parents was collected through interviews and medical record abstraction.
Four case characteristics, eleven parental characteristics, and thirteen parent
reported neurodevelopment outcomes were summarized using counts and frequencies
and compared across CHD types and subtypes. Eleven percent of cases had a genetic
diagnosis. Among cases without a genetic diagnosis, the majority had conotruncal
heart defects (40%) or left ventricular outflow tract obstruction (21%). Across
CHD types, there were significant differences (p<0.05) in the distribution of all
four case characteristics (e.g., sex), four parental characteristics (e.g.,
maternal pregestational diabetes), and five neurodevelopmental outcomes (e.g.,
learning disabilities). Several characteristics (e.g., sex) were also
significantly different across CHD subtypes. The PCGC cohort is one of the
largest CHD cohorts available for the study of genetic determinants of risk and
outcomes. The majority of cases do not have a genetic diagnosis. This description
of the PCGC cohort, including differences across CHD types and subtypes, provides
a reference work for investigators who are interested in collaborating with or
using publically available resources from the PCGC.
PMID- 29351349
TI - Survey research with a random digit dial national mobile phone sample in Ghana:
Methods and sample quality.
AB - INTRODUCTION: Generating a nationally representative sample in low and middle
income countries typically requires resource-intensive household level sampling
with door-to-door data collection. High mobile phone penetration rates in
developing countries provide new opportunities for alternative sampling and data
collection methods, but there is limited information about response rates and
sample biases in coverage and nonresponse using these methods. We utilized data
from an interactive voice response, random-digit dial, national mobile phone
survey in Ghana to calculate standardized response rates and assess
representativeness of the obtained sample. MATERIALS AND METHODS: The survey
methodology was piloted in two rounds of data collection. The final survey
included 18 demographic, media exposure, and health behavior questions. Call
outcomes and response rates were calculated according to the American Association
of Public Opinion Research guidelines. Sample characteristics, productivity, and
costs per interview were calculated. Representativeness was assessed by comparing
data to the Ghana Demographic and Health Survey and the National Population and
Housing Census. RESULTS: The survey was fielded during a 27-day period in
February-March 2017. There were 9,469 completed interviews and 3,547 partial
interviews. Response, cooperation, refusal, and contact rates were 31%, 81%, 7%,
and 39% respectively. Twenty-three calls were dialed to produce an eligible
contact: nonresponse was substantial due to the automated calling system and
dialing of many unassigned or non-working numbers. Younger, urban, better
educated, and male respondents were overrepresented in the sample. CONCLUSIONS:
The innovative mobile phone data collection methodology yielded a large sample in
a relatively short period. Response rates were comparable to other surveys,
although substantial coverage bias resulted from fewer women, rural, and older
residents completing the mobile phone survey in comparison to household surveys.
Random digit dialing of mobile phones offers promise for future data collection
in Ghana and may be suitable for other developing countries.
PMID- 29351348
TI - Interactions of 2'-O-methyl oligoribonucleotides with the RNA models of the 30S
subunit A-site.
AB - Synthetic oligonucleotides targeting functional regions of the prokaryotic rRNA
could be promising antimicrobial agents. Indeed, such oligonucleotides were
proven to inhibit bacterial growth. 2'-O-methylated (2'-O-Me)
oligoribonucleotides with a sequence complementary to the decoding site in 16S
rRNA were reported as inhibitors of bacterial translation. However, the binding
mode and structures of the formed complexes, as well as the level of selectivity
of the oligonucleotides between the prokaryotic and eukaryotic target, were not
determined. We have analyzed three 2'-O-Me oligoribonucleotides designed to
hybridize with the models of the prokaryotic rRNA containing two neighboring
aminoglycoside binding pockets. One pocket is the paromomycin/kanamycin binding
site corresponding to the decoding site in the small ribosomal subunit and the
other one is the close-by hygromycin B binding site whose dynamics has not been
previously reported. Molecular dynamics (MD) simulations, as well as isothermal
titration calorimetry, gel electrophoresis and spectroscopic studies have shown
that the eukaryotic rRNA model is less conformationally stable (in terms of
hydrogen bonds and stacking interactions) than the corresponding prokaryotic one.
In MD simulations of the eukaryotic construct, the nucleotide U1498, which plays
an important role in correct positioning of mRNA during translation, is flexible
and spontaneously flips out into the solvent. In solution studies, the 2'-O-Me
oligoribonucleotides did not interact with the double stranded rRNA models but
all formed stable complexes with the single-stranded prokaryotic target. 2'-O-Me
oligoribonucleotides with one and two mismatches bound less tightly to the
eukaryotic target. This shows that at least three mismatches between the 2'-O-Me
oligoribonucleotide and eukaryotic rRNA are required to ensure target
selectivity. The results also suggest that, in the ribosome environment, the
strand invasion is the preferred binding mode of 2'-O-Me oligoribonucleotides
targeting the aminoglycoside binding sites in 16S rRNA.
PMID- 29351350
TI - Visualized analysis of developing trends and hot topics in natural disaster
research.
AB - This study visualized and analyzed the developing trends and hot topics in
natural disaster research. 19694 natural disaster-related articles (January 1900
to June 2015) are indexed in the Web of Science database. The first step in this
study is using complex networks to visualize and analyze these articles.
CiteSpace and Gephi were employed to generate a countries collaboration network
and a disciplines collaboration network, and then attached hot topics to
countries and disciplines, respectively. The results show that USA, China, and
Italy are the three major contributors to natural disaster research. "Prediction
model", "social vulnerability", and "landslide inventory map" are three hot
topics in recent years. They have attracted attention not only from large
countries like China but also from small countries like Panama and Turkey.
Comparing two hybrid networks provides details of natural disaster research.
Scientists from USA and China use image data to research earthquakes. Indonesia
and Germany collaboratively study tsunamis in the Indian Ocean. However,
Indonesian studies focus on modeling and simulations, while German research
focuses on early warning technology. This study also introduces an activity index
(AI) and an attractive index (AAI) to generate time evolution trajectories of
some major countries from 2000 to 2013 and evaluate their trends and performance.
Four patterns of evolution are visible during this 14-year period. China and
India show steadily rising contributions and impacts, USA and England show
relatively decreasing research efforts and impacts, Japan and Australia show
fluctuating activities and stable attraction, and Spain and Germany show
fluctuating activities and increasing impacts.
PMID- 29351351
TI - Comparing performance on the MNREAD iPad application with the MNREAD acuity
chart.
AB - Our purpose was to compare reading performance measured with the MNREAD Acuity
Chart and an iPad application (app) version of the same test for both normally
sighted and low-vision participants. Our methods included 165 participants with
normal vision and 43 participants with low vision tested on the standard printed
MNREAD and on the iPad app version of the test. Maximum Reading Speed, Critical
Print Size, Reading Acuity, and Reading Accessibility Index were compared using
linear mixed-effects models to identify any potential differences in test
performance between the printed chart and the iPad app. Our results showed the
following: For normal vision, chart and iPad yield similar estimates of Critical
Print Size and Reading Acuity. The iPad provides significantly slower estimates
of Maximum Reading Speed than the chart, with a greater difference for faster
readers. The difference was on average 3% at 100 words per minute (wpm), 6% at
150 wpm, 9% at 200 wpm, and 12% at 250 wpm. For low vision, Maximum Reading
Speed, Reading Accessibility Index, and Critical Print Size are equivalent on the
iPad and chart. Only the Reading Acuity is significantly smaller (I. E., better)
when measured on the digital version of the test, but by only 0.03 logMAR (p =
0.013). Our conclusions were that, overall, MNREAD parameters measured with the
printed chart and the iPad app are very similar. The difference found in Maximum
Reading Speed for the normally sighted participants can be explained by
differences in the method for timing the reading trials.
PMID- 29351352
TI - The retinal locus of fixation in simulations of progressing central scotomas.
AB - Patients with central scotoma use a preferred retinal locus (PRL) of fixation to
perform visual tasks. Some of the conditions that cause central scotoma are
progressive, and as a consequence, the PRL needs to be adjusted throughout the
progression. The present study investigates the peripheral locus of fixation in
subjects under a simulation of progressive central scotoma. Five normally sighted
subjects participated in the study. A foveally centered mask of varying size was
presented to simulate the scotoma. Initially, subjects developed a peripheral
locus of fixation under simulation of a 6 degrees scotoma, which was used as a
baseline. The progression was simulated in two separate conditions: a gradual
progression and an abrupt progression. In the gradual progression, the diameter
of the scotoma increased by a fixed amount of either 1 degrees or 2 degrees of
visual angle, thus scotomas of 8 degrees , 10 degrees , and 11 degrees of visual
angle were simulated. In the abrupt progression, the diameter was adjusted
individually to span the area of the visual field used by the current peripheral
locus of fixation. Subjects located the peripheral locus of fixation along the
same meridian under simulation of scotoma progression. Furthermore, no
differences between the fixation stability of the baseline locus of fixation and
the incremental progression locus of fixation were found whereas, in abrupt
progression, the fixation stability decreased significantly. These results
provide first insight into fixation behavior in a progressive scotoma and may
contribute to the development of training tools for patients with progressive
central maculopathies.
PMID- 29351353
TI - The Contributions of Phonological Awareness, Alphabet Knowledge, and Letter
Writing to Name Writing in Children With Specific Language Impairment and
Typically Developing Children.
AB - Purpose: Name writing is one aspect of emergent writing that has been used to
understand emergent literacy development. Name-writing skills and the
relationship of name writing to other emergent literacy skills have not been
studied extensively in children with specific language impairment (SLI). Children
with SLI consistently demonstrate delays in phonological awareness (PA), alphabet
knowledge (AK), print awareness, and emergent writing. The purpose of this study
was to examine the contributions of PA, AK, and letter writing to name writing in
children with SLI and typically developing (TD) children. Method: Participants
were 65 children (22 SLI, 43 TD) with an average age of 53 months. Participants
completed the Assessment of Literacy and Language (Lombardino, Lieberman, &
Brown, 2005), a letter-writing task, and a name-writing task. Results: Data were
analyzed using correlation and mediation modeling. Mediation modeling, a more
sophisticated analysis, revealed that PA, AK, and letter writing, in serial, were
mediating variables for language status on name writing. Conclusion: Phonemic
awareness, AK, and letter writing help to explain the relationship between
language status and name writing. These skills should be integrated during
treatment, using a horizontal approach with developmentally appropriate
activities, particularly for children with SLI.
PMID- 29351354
TI - The Effects of Intensive Speech Treatment on Conversational Intelligibility in
Spanish Speakers With Parkinson's Disease.
AB - Purpose: The purpose of this study was to examine the effects of intensive speech
treatment on the conversational intelligibility of Castilian Spanish speakers
with Parkinson's disease (PD), as well as on the speakers' self-perceptions of
disability. Method: Fifteen speakers with a medical diagnosis of PD participated
in this study. Speech recordings were completed twice before treatment,
immediately posttreatment, and at a 1-month follow-up session. Conversational
intelligibility was assessed in 2 ways-transcription accuracy scores and
intelligibility ratings on a 9-point Likert scale. The Voice Handicap Index
(Nunez-Batalla et al., 2007) was administered as a measure of self-perceived
disability. Results: Group data revealed that transcription accuracy and median
ease-of-understanding ratings increased significantly immediately posttreatment,
with gains maintained at the 1-month follow-up. The functional subscale of the
Voice Handicap Index decreased significantly posttreatment, suggesting a decrease
in perceived communication disability after speech treatment. Conclusion: These
findings support the implementation of intensive voice treatment to improve
conversational intelligibility in Spanish speakers with PD with dysarthria as
well as to improve the speakers' perception of their daily communicative
capabilities. Clinical and theoretical considerations are discussed.
PMID- 29351355
TI - Physicians Join Frontline Efforts to Curb Gun Injuries, Deaths.
PMID- 29351356
TI - EphA2/Ephrin-A1 Mediate Corneal Epithelial Cell Compartmentalization via ADAM10
Regulation of EGFR Signaling.
AB - Purpose: Progenitor cells of the limbal epithelium reside in a discrete area
peripheral to the more differentiated corneal epithelium and maintain tissue
homeostasis. What regulates the limbal-corneal epithelial boundary is a major
unanswered question. Ephrin-A1 ligand is enriched in the limbal epithelium,
whereas EphA2 receptor is concentrated in the corneal epithelium. This reciprocal
pattern led us to assess the role of ephrin-A1 and EphA2 in limbal-corneal
epithelial boundary organization. Methods: EphA2-expressing corneal epithelial
cells engineered to express ephrin-A1 were used to study boundary formation in
vitro in a manner that mimicked the relative abundance of these juxtamembrane
signaling proteins in the limbal and corneal epithelium in vivo. Interaction of
these two distinct cell populations following initial seeding into discrete
culture compartments was assessed by live cell imaging. Immunofluoresence and
immunoblotting was used to evaluate the contribution of downstream growth factor
signaling and cell-cell adhesion systems to boundary formation at sites of
heterotypic contact between ephrin-A1 and EphA2 expressing cells. Results: Ephrin
A1-expressing cells impeded and reversed the migration of EphA2-expressing
corneal epithelial cells upon heterotypic contact formation leading to
coordinated migration of the two cell populations in the direction of an ephrin
A1-expressing leading front. Genetic silencing and pharmacologic inhibitor
studies demonstrated that the ability of ephrin-A1 to direct migration of EphA2
expressing cells depended on an a disintegrin and metalloproteinase domain
containing protein 10 (ADAM10) and epidermal growth factor receptor (EGFR)
signaling pathway that limited E-cadherin-mediated adhesion at heterotypic
boundaries. Conclusions: Ephrin-A1/EphA2 signaling complexes play a key role in
limbal-corneal epithelial compartmentalization and the response of these tissues
to injury.
PMID- 29351357
TI - Changes in Axial Length and Progression of Visual Field Damage in Glaucoma.
AB - Purpose: To investigate the relationship between axial length (AL) elongation and
progression of primary open-angle glaucoma (POAG). Methods: AL was measured twice
over a 5.1 +/- 0.76 (mean +/- standard deviation: SD) year period in 125 eyes of
72 patients with POAG. The eyes were divided into not long (AL < 26 mm, 80 eyes)
and long (>26 mm, 45 eyes) groups. During this period, patients' visual fields
(VFs) were measured with the Humphrey Field Analyzer 12.4 +/- 7.5 times and
intraocular pressure (IOP) was measured with Goldmann applanation tonometry 27.0
+/- 7.5 times. The relationship between the mean total deviation (mTD)
progression rates in the whole field and superior and inferior hemifield, as well
as in 10 VF sectors, and the variables of age, mean IOP, SD of IOP, AL,
difference in AL (?AL), and mTD value at baseline was examined. Results: There
was a significant difference between AL at baseline and AL at repeat measurement
(P < 0.0001). ?AL was 0.035 +/- 0.10 mm. An increase in ?AL was significantly
related to AL at baseline (P = 0.027), but not to age, mean IOP, and SD of IOP.
?AL was related to the progression of mTD in the inferior hemifield (slower mTD
progression was associated with increased ?AL), but not in the whole field or
superior hemifield. Increased ?AL was related to slower progression rates in 2 of
10 sectors, both in the inferior hemifield. Conclusions: The main finding was
that an increase in AL was significantly related to slower VF progression in the
inferior hemifield.
PMID- 29351358
TI - Microfluidics-Based Subretinal Chemical Neuromodulation of Photoreceptor
Degenerated Retinas.
AB - Purpose: Retinal prostheses can restore rudimentary vision in cases of
photoreceptor degeneration through electrical stimulation, but face difficulties
achieving high spatial resolution because electrical current is an inherently
unnatural stimulus. We investigated the therapeutic feasibility of using
patterned delivery of the glutamate neurotransmitter, a primary agent of natural
synaptic communication of the retina, as a biomimetic chemical alternative to
electrical current for neuromodulation of photoreceptor degenerate retina.
Methods: We injected small quantities of the neurotransmitter glutamate into the
subretina of 20 explanted photoreceptor degenerated S334ter-3 rat retinas using
glass micropipettes and a prototype multiport microfluidic device to accomplish
single- and multisite stimulation in vitro. The effects of chemical stimulation
were characterized by recording neural responses from retinal ganglion cells
(RGCs) using a multielectrode array. Results: Subretinally injected exogenous
glutamate activates RGCs, despite the substantial anatomic and physiologic
changes caused by retinal remodeling, eliciting robust neural responses. The
presence of excitatory and inhibitory RGC responses provides evidence that
exogenous glutamate differentially activated neurons presynaptic to RGCs, likely
inner retinal neurons belonging to the OFF and ON pathways. We also demonstrate
that glutamate injections can evoke focal RGC responses with spatial resolutions
comparable to or better than current generation electrical prostheses and, when
applied at multiple sites simultaneously with the multiport microfluidic device,
can produce spatially patterned neural responses. Conclusions: These significant
results establish that chemical stimulation of degenerated retinas with
neurotransmitters is an effective neuromodulation strategy with the potential of
restoring high-resolution visual perception in patients rendered blind through
photoreceptor degeneration.
PMID- 29351360
TI - Collection of Wet-Origin Footwear Impressions on Various Surfaces Using an
Electrostatic Dust Print Lifter.
AB - Electrostatic dust print lift method is known to be able to recover only dry
origin footwear impression. However, the wet-origin footwear impression could
also be recovered using this method. As the amount of dust accumulated before
deposition of the wet-origin footwear impression increased, the intensity of the
footwear impression lifted with this method became stronger. If the footwear
impression is not affected by moisture after it is made, the 28-h old wet-origin
footwear impression could be recovered using this method. The intensity of the
lifted footwear impression did not decrease significantly even when the number of
sequential steps increased as long as the shoe sole is wet. However, when the
moisture on the shoe sole depleted, the intensity of the footwear impression
decreased sharply. This method has the advantage of being able to enhance the
footwear impression without being affected by the footwear impressions deposited
in the past.
PMID- 29351361
TI - Pathways of nitric oxide metabolism and operation of phytoglobins in legume
nodules: missing links and future directions.
AB - The interaction between legumes and rhizobia leads to the establishment of a
beneficial symbiotic relationship. Recent advances in legume - rhizobium
symbiosis revealed that various reactive oxygen and nitrogen species including
nitric oxide (NO) play important roles during this process. Nodule development
occurs with a transition from a normoxic environment during the establishment of
symbiosis to a microoxic environment in functional nodules. Such oxygen dynamics
are required for activation and repression of various NO production and
scavenging pathways. Both the plant and bacterial partners participate in the
synthesis and degradation of NO. However, the pathways of NO production and
degradation as well as their cross-talk and involvement in the metabolism are
still a matter of debate. The plant-originated reductive pathways are known to
contribute to the NO production in nodules under hypoxic conditions. Non
symbiotic hemoglobin (phytoglobin) (Pgb) possesses high NO oxygenation capacity,
buffers and scavenges NO. Its operation, through a respiratory cycle called Pgb
NO cycle, leads to the maintenance of redox and energy balance in nodules. The
role of Pgb/NO cycle under fluctuating NO production from soil needs further
investigation for complete understanding of NO regulatory mechanism governing
nodule development to attain optimal food security under changing environment.
PMID- 29351359
TI - CYR61/CCN1 Regulates Sclerostin Levels and Bone Maintenance.
AB - CYR61/CCN1 is a matricellular protein that resides in the extracellular matrix,
but serves regulatory rather than structural roles. CYR61/CCN1 is found in
mineralized tissues and has been shown to influence bone healing in vivo and
osteogenic differentiation in vitro. In this study we generated Cyr61 bone
specific knockout mice to examine the physiological role of CYR61/CCN1 in bone
development and maintenance in vivo. Extensive analysis of Cyr61 conditional
knockout mice showed a significant decrease in both trabecular and cortical bone
mass as compared to WT littermates. Our data suggest that CYR61/CCN1 exerts its
effects on mature osteoblast/osteocyte function to modulate bone mass.
Specifically, changes were observed in osteocyte/osteoblast expression of RankL,
VegfA, and Sost. The increase in RankL expression was correlated with a
significant increase in osteoclast number; decreased VegfA expression was
correlated with a significant decrease in bone vasculature; increased Sost
expression was associated with decreased Wnt signaling, as revealed by decreased
Axin2 expression and increased adiposity in the bone marrow. Although the
decreased number of vascular elements in bone likely contributes to the low bone
mass phenotype in Cyr61 conditional knockout mice, this cannot explain the
observed increase in osteoclasts and the decrease in Wnt signaling. We conducted
in vitro assays using UMR-106 osteosarcoma cells to explore the role CYR61/CCN1
plays in modulating Sost mRNA and protein expression in osteocytes and
osteoblasts. Overexpression of CYR61/CCN1 can suppress Sost expression in both
control and Cyr61 knockout cells, and blocking Sost with siRNA can rescue Wnt
responsiveness in Cyr61 knockout cells in vitro. Overall, our data suggest that
CYR61/CCN1 modulates mature osteoblast and osteocyte function to regulate bone
mass through angiogenic effects as well as by modulating Wnt signaling, at least
in part through the Wnt antagonist Sost. (c) 2018 American Society for Bone and
Mineral Research.
PMID- 29351362
TI - Analysis of the Effect of Cyclophosphamide and Methotrexate on Chrysomya
megacephala (Diptera: Calliphoridae).
AB - Forensic entomotoxicology investigates the effects of chemical substances in the
development of scavenger insects and the reflection on estimating the minimum
postmortem interval (PMI). To evaluate the impact of the chemotherapeutic drugs
cyclophosphamide (CF) and methotrexate (MTX) on the postembryonic development of
Chrysomya megacephala, controlled experiments were performed by rearing the
larvae on spiked minced beef with different concentrations of these drugs. The
results indicated that CF significantly decreased their developmental rate up to
28 h but had no significant effect on larval and adult sizes, survival rate, and
sex ratio, whereas MTX decreased larval and adult sizes, survival rate, and there
was a deviation in the expected sex ratio toward females in MTX-exposed larvae
but had no significant impact on developmental rate. These negative interference
factors should be considered in cases of suspected death of people that have
undergone chemotherapy.
PMID- 29351363
TI - Carers' experiences of home enteral feeding: A survey exploring medicines
administration challenges and strategies.
AB - WHAT IS KNOWN AND OBJECTIVES: The use of enteral tube feeding at home is becoming
more widespread, with patients ranging in age and diseases. Dysphagia and
swallowing difficulties can compromise nutritional intake and the administration
of oral medications, affecting therapeutic outcomes negatively. Carers'
experiences of medicines administration and medicines optimization have not been
explored fully. The objectives of this study were to identify issues carers
experience in medicines administration; the strategies they have developed to
cope; and suggestions to improve the medicines administration process. METHODS:
An online survey was promoted nationally; 42 carers completed it. Descriptive
statistical analysis was applied, as well as thematic analysis of open-ended
responses. Results were compared against the 4 principles of medicines
optimization. RESULTS AND DISCUSSION: 93% of respondents administered medications
with enteral feeding tubes, but only 62% had received advice from healthcare
professionals and only 8% had received written information on how to do so.
Responses identified 5 medicines administration issues experienced by carers; 4
strategies they developed to cope; and 3 main areas of suggestions to improve
medicines administration via enteral feeding at home. WHAT IS NEW AND CONCLUSION:
The 4 principles of medicines optimization have not previously been applied to
enteral feeding. We present a novel account of carers' experiences, for example
coping with ill-suited formulations and a lack of training and support, which
should inform better practice (Principle 1). Carers sometimes experience
suboptimal choice of medicines (Principle 2). Carers' practices are not always
well-informed and may affect therapeutic outcomes and safety (Principle 3). There
is scope for improvement in carer training, education and support to better
support medicines optimization (Principle 4).
PMID- 29351364
TI - The Ethical Foundations of Risk Analysis.
AB - In the field of risk analysis, the normative value systems underlying accepted
methodology are rarely explicitly discussed. This perspective provides a critique
of the various ethical frameworks that can be used in risk assessments and risk
management decisions. The goal is to acknowledge philosophical weaknesses that
should be considered and communicated in order to improve the public acceptance
of the work of risk analysts.
PMID- 29351365
TI - A novel technique for collateral interruption to maximize portal venous flow in
pediatric liver transplantation.
PMID- 29351366
TI - Living donor liver transplantation for hepatocellular carcinoma: To expand
(beyond Milan) or downstage (to Milan)?
PMID- 29351367
TI - Accurate understanding of infertility risk among families of adolescent males
newly diagnosed with cancer.
AB - OBJECTIVE: To examine patient and parent understanding of infertility risk
(relative to oncologists' risk ratings) among adolescents newly diagnosed with
cancer, and to identify background factors related to inaccurate
reporting/estimating. METHODS: Male patients (N = 137; aged 13-21) and their
parents completed self-report questionnaires. Those who reported a fertility
related conversation with their provider (N = 102 adolescents, N = 74 parents)
reported their infertility risk (ie, what oncologist had communicated) and all
participants' estimated risk (ie, personal belief). Reports/estimates were
compared with oncologists' ratings to assess relative accuracy, and regression
analyses assessed potentially related background factors. RESULTS: Participants'
agreement of their risk reports with the oncologist was poor (kappa = .079/.122
for adolescents/parents), resulting in most adolescents (59.8%) and parents
(58.7%) inaccurately reporting risk. Older adolescents were less likely to
overreport risk (OR = 0.69; 95% CI, 0.49-0.97) and parents of sons with the
highest Tanner stage were less likely to underreport (OR = 0.28; 95% CI, 0.08
0.92). Risk estimates were also in poor agreement with oncologists' ratings among
adolescents (kappa = .040) and parents (kappa = .088). Accordingly, incongruent
estimates occurred in most adolescents (63.7%) and parents (62.2%), although all
reported fertility-related conversations with their providers. CONCLUSIONS: Most
adolescents and parents inaccurately reported infertility risk, and more poorly
estimated risk. Research is needed to identify additional factors associated with
accurate understanding of cancer-related infertility risk. Providers should be
supported with user-friendly educational tools to promote awareness of
infertility risk.
PMID- 29351368
TI - Benefit finding and diurnal cortisol after prostate cancer: The mediating role of
positive affect.
AB - OBJECTIVE: Benefit finding (BF) has exhibited a salutary effect on psychological
adjustment to cancer. However, few studies have examined its relationship with
physiology or have examined BF in men with cancer. This study investigated
whether BF is associated with hypothalamic-pituitary-adrenal axis activity (ie,
diurnal salivary cortisol) in men treated for prostate cancer. Positive affect
(PA) is proposed as a potential pathway linking BF to diurnal salivary cortisol.
METHODS: A sample of 66 men treated for localized prostate cancer within the
prior 2 years completed questionnaires and collected salivary cortisol 3 times
per day over 3 consecutive days. Hierarchical linear modeling was used for
estimating the effects of BF and PA on cortisol responses as measured by diurnal
slope and area under the curve (AUCg). Confidence intervals for indirect effects
were estimated using the Monte Carlo method for mediation testing. RESULTS: BF
was significantly associated with diurnal cortisol slope, controlling for body
mass index and age (B = -.12, P = .03), such that greater BF was associated with
steeper cortisol slope. Analyses revealed that PA mediated the effect of BF on
cortisol slope (Monte Carlo estimation 95% CI = -0.087, -0.001); negative affect
did not mediate this relationship. BF was not significantly associated with AUCg.
CONCLUSIONS: Deriving more benefit from one's experience with prostate cancer is
associated with a healthier diurnal cortisol rhythm. Through its potential to
enhance PA, the relationship of BF and physiological processes underscores the
health relevant value of BF in prostate cancer survivors.
PMID- 29351369
TI - Heparin but not tissue plasminogen activator improves outcomes in donation after
circulatory death liver transplantation in a porcine model.
AB - Ischemic-type biliary lesions (ITBLs) arise most frequently after donation after
circulatory death (DCD) liver transplantation and result in high morbidity and
graft loss. Many DCD grafts are discarded out of fear for this complication. In
theory, microvascular thrombi deposited during donor warm ischemia might be
implicated in ITBL pathogenesis. Herein, we aim to evaluate the effects of the
administration of either heparin or the fibrinolytic drug tissue plasminogen
activator (TPA) as means to improve DCD liver graft quality and potentially avoid
ITBL. Donor pigs were subjected to 1 hour of cardiac arrest (CA) and divided
among 3 groups: no pre-arrest heparinization nor TPA during postmortem regional
perfusion; no pre-arrest heparinization but TPA given during regional perfusion;
and pre-arrest heparinization but no TPA during regional perfusion. In liver
tissue sampled 1 hour after CA, fibrin deposition was not detected, even when
heparin was not given prior to arrest. Although it was not useful to prevent
microvascular clot formation, pre-arrest heparin did offer cytoprotective effects
during CA and beyond, reflected in improved flows during regional perfusion and
better biochemical, functional, and histological parameters during
posttransplantation follow-up. In conclusion, this study demonstrates the lack of
impact of TPA use in porcine DCD liver transplantation and adds to the
controversy over whether the use of TPA in human DCD liver transplantation really
offers any protective effect. On the other hand, when it is administered prior to
CA, heparin does offer anti-inflammatory and other cytoprotective effects that
help improve DCD liver graft quality. Liver Transplantation 24 665-676 2018
AASLD.
PMID- 29351370
TI - Avoid rejecting livers from donation after circulatory death donors based on
donor age alone.
PMID- 29351371
TI - Implementation of Standardized Clinical Processes for TPMT Testing in a Diverse
Multidisciplinary Population: Challenges and Lessons Learned.
AB - Although thiopurine S-methyltransferase (TPMT) genotyping to guide thiopurine
dosing is common in the pediatric cancer population, limited data exist on TPMT
testing implementation in diverse, multidisciplinary settings. We established
TPMT testing (genotype and enzyme) with clinical decision support,
provider/patient education, and pharmacist consultations in a tertiary medical
center and collected data over 3 years. During this time, 834 patients underwent
873 TPMT tests (147 (17%) genotype, 726 (83%) enzyme). TPMT tests were most
commonly ordered for gastroenterology, rheumatology, dermatology, and
hematology/oncology patients (661 of 834 patients (79.2%); 580 outpatient vs. 293
inpatient; P < 0.0001). Thirty-nine patients had both genotype and enzyme tests
(n = 2 discordant results). We observed significant differences between TPMT test
use and characteristics in a diverse, multispecialty environment vs. a pediatric
cancer setting, which led to unique implementation needs. As pharmacogenetic
implementations expand, disseminating lessons learned in diverse, real-world
environments will be important to support routine adoption.
PMID- 29351372
TI - A Preclinical Population Pharmacokinetic Model for Anti-CD20/CD3 T-Cell-Dependent
Bispecific Antibodies.
AB - CD20 is a cell-surface receptor expressed by healthy and neoplastic B cells and
is a well-established target for biologics used to treat B-cell malignancies.
Pharmacokinetic (PK) and pharmacodynamic (PD) data for the anti-CD20/CD3 T-cell
dependent bispecific antibody BTCT4465A were collected in transgenic mouse and
nonhuman primate (NHP) studies. Pronounced nonlinearity in drug elimination was
observed in the murine studies, and time-varying, nonlinear PK was observed in
NHPs, where three empirical drug elimination terms were identified using a mixed
effects modeling approach: i) a constant nonsaturable linear clearance term (7
mL/day/kg); ii) a rapidly decaying time-varying, linear clearance term (t1/2 =
1.6 h); and iii) a slowly decaying time-varying, nonlinear clearance term (t1/2
= 4.8 days). The two time-varying drug elimination terms approximately track with
time scales of B-cell depletion and T-cell migration/expansion within the central
blood compartment. The mixed-effects NHP model was scaled to human and
prospective clinical simulations were generated.
PMID- 29351373
TI - A Facile Space-Confined Solid-Phase Sulfurization Strategy for Growth of High
Quality Ultrathin Molybdenum Disulfide Single Crystals.
AB - Single-crystal transition metal dichalcogenides (TMDs) and TMD-based
heterojunctions have recently attracted significant research and industrial
interest owing to their intriguing optical and electrical properties. However,
the lack of a simple, low-cost, environmentally friendly, synthetic method and a
poor understanding of the growth mechanism post a huge challenge to implementing
TMDs in practical applications. In this work, we developed a novel approach for
direct formation of high-quality, monolayer and few-layer MoS2 single crystal
domains via a single-step rapid thermal processing of a sandwiched reactor with
sulfur and molybdenum (Mo) film in a confined reaction space. An all-solid-phase
growth mechanism was proposed and experimentally/theoretically evidenced by
analyzing the surface potential and morphology mapping. Compared with the
conventional chemical vapor deposition approaches, our method involves no
complicated gas-phase reactant transfer or reactions and requires very small
amount of solid precursors [e.g., Mo (~3 MUg)], no carrier gas, no pretreatment
of the precursor, no complex equipment design, thereby facilitating a simple, low
cost, and environmentally friendly growth. Moreover, we examined the symmetry,
defects, and stacking phase in as-grown MoS2 samples using simultaneous second
harmonic-/sum-frequency-generation (SHG/SFG) imaging. For the first time, we
observed that the SFG (peak intensity/position) polarization can be used as a
sensitive probe to identify the orientation of TMDs' crystallographic axes.
Furthermore, we fabricated ferroelectric programmable Schottky junction devices
via local domain patterning using the as-grown, single-crystal monolayer MoS2,
revealing their great potential in logic and optoelectronic applications. Our
strategy thus provides a simple, low-cost, and scalable path toward a wide
variety of TMD single crystal growth and novel functional device design.
PMID- 29351375
TI - [Possibilities of using sodium hyaluronate in pharmaceutical and medical fields].
AB - Sodium hyaluronate represents a contemporary biomaterial with broad use in
different pharmaceutical and medical fields. It is a physiological
glycosaminoglycan, which occurs primarily in the extracellular matrix, synovial
fluid, cartilage, epidermis and vitreous body. It plays a critical role as a
signalling molecule in immunological processes, cell motility and wound healing,
and it possesses antioxidant activity. It is used in many fields of medicine if
supplementation of hyaluronan is needed or in the cases of preventive and
therapeutic interventions. Thanks to its moisturizing, regenerative and
protective effects it is used in cosmetics as well.Key words: sodium hyaluronate
hyaluronic acid hyaluronan derivatives of sodium hyaluronate dosage forms
medicinal use.
PMID- 29351374
TI - Fast Dynamic Docking Guided by Adaptive Electrostatic Bias: The MD-Binding
Approach.
AB - Engineering chemical entities to modify how pharmaceutical targets function, as
it is done in drug design, requires a good understanding of molecular recognition
and binding. In this context, the limitations of statically describing
bimolecular recognition, as done in docking/scoring, call for insightful and
efficient dynamical investigations. On the experimental side, the
characterization of dynamical binding processes is still in its infancy. Thus,
computer simulations, particularly molecular dynamics (MD), are compelled to play
a prominent role, allowing a deeper comprehension of the binding process and its
causes and thus a more informed compound selection, making more significant the
computational contribution to drug discovery (Carlson, H. A. Curr. Opin. Chem.
Biol. 2002, 6, 447-452). Unfortunately, MD-based approaches cannot yet describe
complex events without incurring prohibitive time and computational costs. Here,
we present a new method for fully and dynamically simulating drug-target-complex
formations, tested against a real world and pharmaceutically relevant benchmark
set. The method, based on an adaptive, electrostatics-inspired bias, envisions a
campaign of trivially parallel short MD simulations and a strategy to identify a
near native binding pose from the sampled configurations. At an affordable
computational cost, this method provided predictions of good accuracy also when
the starting protein conformation was different from that of the crystal complex,
a known hurdle for traditional molecular docking (Lexa, K. W.; Carlson, H. A. Q.
Rev. Biophys. 2012, 45, 301-343). Moreover, along the observed binding routes, it
identified some key features also found by much more computationally expensive
plain-MD simulations. Overall, this methodology represents significant progress
in the description of binding phenomena.
PMID- 29351376
TI - [Cholinesterase activity assays and their use in the diagnosis of various
pathological states including poisoning by neurotoxic agents].
AB - Cholinesterases are enzymes important for some nerve transmissions where the
enzyme acetylcholinesterase plays a crucial role. The second enzyme,
butyrylcholinesterase, is not necessary for the neurotransmission but it is
involved in some detoxification reactions. A survey of literature, a discussion
of diagnostic importance and the methods for an activity assay are presented in
this review article. Liver failures, exposure to neurotoxic compounds, genetic
dispositions are outlined here. In the field of assays, spectrophotometric,
colorimetric and electrochemical tests are discussed.Key words:
acetylcholinesterase butyrylcholinesterase poisoning liver function test
pesticide nerve agent Alzheimer disease pathological state.
PMID- 29351377
TI - [Specifics of some calcium salts in intravenous therapy of hypocalcemia and their
further use].
AB - Hypocalcemia is a serious condition which has a major impact on the transmission
of nerve impulses, contraction and relaxation of muscles (including myocardial)
and pathological secretion of some hormones. The basic causal treatment is the
parenteral administration of calcium, namely calcium gluconate, calcium chloride
or calcium gluceptate. Parenteral formulations of these compounds must meet
pharmacopoeial requirements, including the aluminum content limit. Each of these
molecules has its specific properties that predict their clinical use. In
addition to hypocalcemia, they are used to influence a variety of other
conditions, such as fluoride or oxalic acid poisoning, decreased myocardial
contractility caused by overdose of calcium intake blockers or beta blockers.
They are also used as part of parenteral nutrition AIO or as an ancillary
treatment for acute allergic conditions, itchy dermatitis, weeping and
generalized eczema, continuous renal replacement therapy, seizure convulsion,
laryngospasm, bronchospasm and altered mental status. The role of calcium
replacement in septic patients remains unclear and requires further study.
Although it may appear that calcium chloride infusion solutions provide greater
and more highly ionized amounts of calcium, gluconate salts are preferred due to
considerably less irritation of the vessel wall and better compatibility with
other nutrients in parenteral nutrition.Key words: hypocalcemia calcium gluconate
calcium chloride aluminum parenteral nutrition.
PMID- 29351378
TI - [Simplicia and medical containers from the Jesuit pharmacy in Telc].
AB - The Jesuit pharmacy in Telc was founded after 1657 within the premises of the
Jesuit cloister; it survived the dissolution of Jesuits in 1773 and thanks to its
purchase by the last pharmacist Ignac Lyro it was relocated to one of the houses
in the square. During the stocktaking of the property and its sale, a detailed
inventory of pharmacy equipment was recorded, including cabinets, laboratory
tools, ingredients or drugs. The inventory is divided into parts of ingredients
and prepared medications, the last lists recording the tools and containers for
its preparation, production and preservation. The ingredients contain various
parts of plants, minerals, precious stones or even parts of animals. The list is
written mainly in the alphabetical order, in some cases with specified types of
groups. Every item is provided with information about its price and quantity.
Many ingredients originated from overseas countries, the areas of Jesuit
missionary activities. Of the former rich equipment, only three pharmacy cabinets
and a few containers, mainly veneer boxes and ceramic drug jars, have survived.
All these parts together with the inventory give us a comparatively clear notion
about the equipment and even facilities of the Jesuit apothecary in Telc, which
sold items from different parts of the world. The Jesuit convent seems to be an
important centre for town inhabitants not only in the 18th century; its legacy
has remained in the local pharmacy till today.Key words: pharmacy Societas Jesus
18th century medications medical containers.
PMID- 29351379
TI - [Synergistic effect of azole antimycotics (clotrimazole and fluconazole) and
natural substances].
AB - Nowadays the treatment of fungal infections is difficult due to increasing
resistance of fungal pathogens to antimycotics. The efficacy of antimycotics can
be increased by a proper combination of commercial drugs and natural substances.
The 100% antimycotic activity was found using susceptibility testing of Candida
albicans yeasts on clotrimazole alone and in combination with tea tree oil, or
with a multicomponent preparation containing cannabis oil and various essential
oils and in combination with propolis tincture. Combination of natural substances
with fluconazole seems to be also prospective. Fluconazole alone achieved an
antifungal activity of 80.95% but natural active substances increased its
efficacy by 9.55-14.25%.Key words: Candida albicans fluconazole clotrimazole
natural active substances synergism.
PMID- 29351380
TI - The Clinical Impact of Different Adherence Behaviors in Patients with Severe
Chronic Obstructive Pulmonary Disease.
PMID- 29351381
TI - Frontal assessment battery (FAB) performance following traumatic brain injury
hospitalized in an acute care setting.
AB - The Frontal Assessment Battery (FAB) has been shown to be useful in several
clinical settings. The aim of the present study was to examine the performance of
patients with traumatic brain injury (TBI) on the FAB and to predict their acute
outcome. The FAB was administered to 89 patients with mild (27 = uncomplicated
and 39 = complicated) and moderate (n = 23) TBI during hospitalization in an
acute care setting. The length of stay in days (LOS), Glasgow Outcome Scale
Revised score (GOSE) and Disability Rating Scale (DRS) score were collected.
Results showed no significant differences between the three groups on the FAB
score, but age and education were significantly associated with the FAB score.
Parietal lesions were associated with lower total FAB score, and with the
Similarities, Motor series and Conflicting instructions subscales, while frontal
lesions were associated with lower performance on the Motor series and
Conflicting instructions subscales. Total FAB score was significantly correlated
with all outcome measures, and together the FAB total score and the Glasgow Coma
Scale (GCS) score explained 30.8% of the variance in the DRS score. The FAB may
be useful clinically to acutely assess frontal and parietal lobe functions at
bedside in patients with TBI and, in combination with the GCS score to measure
TBI severity, can enable clinicians to predict early outcome.
PMID- 29351382
TI - Team versus individual sport participation as a modifying factor in the
development of post-concussion syndrome after first concussion: A pilot study.
AB - Identification of modifying factors that influence the development of post
concussion syndrome (PCS) following sport-related concussion (SRC) has drawn
considerable interest. In this pilot study, we investigate the effect of team vs.
individual sport participation on the development of PCS in a sample of 136 high
school and college student-athletes. Controlling for several confounding
variables, we employed a binary logistic regression and chi-squared test. Results
of this pilot study indicate that participation in team versus individual sport
is not a significant factor in the development of PCS. The identification of
other forms of protective mechanisms is discussed.
PMID- 29351383
TI - Muscular performance and body composition changes following multi-joint versus
combined multi- and single-joint exercises in aging adults.
AB - The aim of the present study was to compare muscular performance and body
composition changes following low-volume resistance-training programs consisting
of multi-joint (MJ) exercises (cable chest press and seated row) versus a
combination of multi- and single-joint (MJ+SJ) exercises (cable chest press,
seated row, biceps curl, and triceps extension). Thirty untrained healthy aging
adults were randomly assigned to 3 groups: MJ (n = 11), MJ+SJ (n = 11), and
control (n = 8). Twelve-repetition maximums (12-RMs) for the cable chest press
and seated row, localized muscular endurance for the elbow flexors handgrip
strength, and body composition were assessed before and after the 8-week training
program. All comparisons were analyzed via a mixed-model analysis with repeated
measures (group * time) and the Bonferroni post hoc test (p < 0.05). The MJ and
MJ+SJ groups increased performance in the 12-RM cable chest press (MJ = 61.5% +/-
24.6% and MJ+SJ = 71.1% +/- 25.6%), 12-RM seated row (MJ = 46.4% +/- 26.3% and
MJ+SJ = 51.5% +/- 21.0%), localized muscular endurance (MJ = 24.7% +/- 16.7% and
MJ+SJ = 37.0% +/- 11.4%), and handgrip strength (MJ = 9.3% +/- 10.4% and MJ+SJ =
16.6% +/- 25.3%) after the intervention. Body composition (i.e., trunk and upper
limb fat and lean mass) did not change for any groups. No significant differences
were observed between the MJ versus the MJ+SJ protocols after the intervention
for any variables. In conclusion, for aging adults, either MJ or MJ+SJ low-volume
resistance training resulted in similar increases in 12-RM, localized muscular
endurance, and handgrip strength, without changes in body composition after 8
weeks of training.
PMID- 29351384
TI - Association With Deviant Peers Across Adolescence: Subtypes, Developmental
Patterns, and Long-Term Outcomes.
AB - Indices of deviant peer group involvement are inconsistent and confound type,
frequency, and severity of deviant peer behaviors. These measurement approaches
thus obfuscate potential meaningful differences in deviant peer involvement in
terms of subtypes, developmental patterns, and long-term outcomes. The current
study employed latent class analysis to derive subtypes of deviant peer
involvement and examined relations to substance use disorder in adulthood, a
common outcome of deviant peer involvement. Youth (76% Caucasian) completed
assessments across four time points: ages 10-12 years (Time 1; N = 775, 71%
male), 12-14 years (Time 2; n = 649, 72% male), 16 years (Time 3; n = 613, 73%
male), and 22 years (Time 4; n = 425, 71% male). At Times 1 to 3, participants
completed an interview assessing deviant peer involvement. At Time 4,
participants completed a structured interview assessing substance use disorder.
Classes of youth with different profiles of deviant peer associations were
derived at Times 1, 2, and 3. Classes varied by type (conduct problems vs.
substance use) and severity of deviant peer behavior. Youth reported higher
levels of involvement with deviant peers across adolescence, suggesting that some
of these deviant peer behaviors may be normative. Earlier involvement with
deviant peers and involvement with groups defined by severe conduct problems and
substance use were related to the greatest risk for substance use disorder at
Time 4. Type and severity of peer deviant behavior differentially relate to long
term risk for substance use disorder and should be included in screening and
assessment for risk across adolescence.
PMID- 29351385
TI - Development of a recombinase polymerase amplification assay for Vibrio
parahaemolyticus detection with an internal amplification control.
AB - A novel RPA-IAC assay using recombinase polymerase and an internal amplification
control (IAC) for Vibrio parahaemolyticus detection was developed. Specific
primers were designed based on the coding sequence for the toxR gene in V.
parahaemolyticus. The recombinase polymerase amplification (RPA) reaction was
conducted at a constant low temperature of 37 degrees C for 20 min. Assay
specificity was validated by using 63 Vibrio strains and 10 non-Vibrio bacterial
species. In addition, a competitive IAC was employed to avoid false-negative
results, which co-amplified simultaneously with the target sequence. The
sensitivity of the assay was determined as 3 * 103 CFU/mL, which is decidedly
more sensitive than the established PCR method. This method was then used to test
seafood samples that were collected from local markets. Seven out of 53 different
raw seafoods were detected as V. parahaemolyticus-positive, which were consistent
with those obtained using traditional culturing method and biochemical assay.
This novel RPA-IAC assay provides a rapid, specific, sensitive, and more
convenient detection method for V. parahaemolyticus.
PMID- 29351387
TI - Letter to the editor: Low-carb meal plan and postmeal exercise.
PMID- 29351388
TI - Retraction.
PMID- 29351386
TI - Protective effect of C-peptide on experimentally induced diabetic nephropathy and
the possible link between C-peptide and nitric oxide.
AB - Diabetic nephropathy one of the major microvascular diabetic complications.
Besides hyperglycemia, other factors contribute to the development of diabetic
complications as the proinsulin connecting peptide, C-peptide. We described the
role of C-peptide replacement therapy on experimentally induced diabetic
nephropathy, and its potential mechanisms of action by studying the role of
nitric oxide (NO) as a mediator of C-peptide effects by in vivo modulating its
production by NG-nitro-l-arginine methyl ester (L-NAME). Renal injury markers
measured were serum urea, creatinine, tumor necrosis factor alpha, and
angiotensin II, and malondialdehyde, total antioxidant, Bcl-2, and NO in renal
tissue. In conclusion, diabetic induction resulted in islet degenerations and
decreased insulin secretion with its metabolic consequences and subsequent renal
complications. C-Peptide deficiencies in diabetes might have contributed to the
metabolic and renal error, since C-peptide treatment to the diabetic rats
completely corrected these errors. The beneficial effects of C-peptide are
partially antagonized by L-NAME coadministration, indicating that NO partially
mediates C-peptide effects.
PMID- 29351389
TI - Proliferation of hepatic stellate cells, mediated by YAP and TAZ, contributes to
liver repair and regeneration after liver ischemia-reperfusion injury.
AB - Yes-associated protein (YAP) and transcriptional coactivator with PDZ-binding
motif (TAZ) are key regulators of cell proliferation and organ size; however,
their physiological contribution after liver injury has not been fully
understood. In this study, we sought to determine the role of YAP and TAZ during
liver recovery after ischemia-reperfusion (I/R). A murine model of partial (70%)
I/R was used to induce liver injury and study the reparative and regenerative
response. After liver injury, there was marked activation and proliferation of
hepatic stellate cells. The Hippo pathway components, large tumor suppressor 1
(LATS1) and its adapter protein, Mps one binder 1 (MOB1), were inactivated during
liver repair, and YAP and TAZ were activated selectively in hepatic stellate
cells. Concurrently, the expression of connective tissue growth factor and
survivin, both of which are YAP and TAZ target genes, were upregulated. Hepatic
stellate cell expansion and concomitant activation of YAP and TAZ occurred only
in the injured liver and were not observed in the nonischemic liver. Treatment of
mice with verteporfin, an inhibitor of YAP and TAZ, decreased hepatic stellate
cell proliferation, survivin, and cardiac ankyrin repeat protein expression.
These changes were associated with a significant decrease in hepatocyte
proliferation. The data suggest that liver repair and regeneration after I/R
injury are dependent on hepatic stellate cell proliferation, which is mediated by
YAP and TAZ. NEW & NOTEWORTHY This study is the first to assess the proliferation
of hepatic stellate cells (HSCs) after ischemia-reperfusion (I/R) injury and
their role in the reparative and regenerative process. Here we show that the
Hippo pathway is inactivated after I/R and that Yes-associated
protein/transcriptional coactivator with PDZ-binding motif (YAP/TAZ) activation
is detected in HSC. HSC proliferation and expansion are prominent during liver
recovery after I/R injury. Inhibition of YAP/TAZ activation with verteporfin
reduces HSC proliferation and target gene expression and attenuates hepatocyte
proliferation.
PMID- 29351391
TI - The bile acids, deoxycholic acid and ursodeoxycholic acid, regulate colonic
epithelial wound healing.
AB - The intestinal epithelium constitutes an innate barrier which, upon injury,
undergoes self-repair processes known as restitution. Although bile acids are
known as important regulators of epithelial function in health and disease, their
effects on wound healing processes are not yet clear. Here we set out to
investigate the effects of the colonic bile acids, deoxycholic acid (DCA) and
ursodeoxycholic acid (UDCA), on epithelial restitution. Wound healing in T84 cell
monolayers grown on transparent, permeable supports was assessed over 48 h with
or without bile acids. Cell migration was measured in Boyden chambers. mRNA and
protein expression were measured by RT-PCR and Western blotting. DCA (50-150 uM)
significantly inhibited wound closure in cultured epithelial monolayers and
attenuated cell migration in Boyden chamber assays. DCA also induced nuclear
accumulation of the farnesoid X receptor (FXR), whereas an FXR agonist, GW4064
(10 uM), inhibited wound closure. Both DCA and GW4064 attenuated the expression
of CFTR Cl- channels, whereas inhibition of CFTR activity with either CFTR-inh
172 (10 uM) or GlyH-101 (25 uM) also prevented wound healing. Promoter/reporter
assays revealed that FXR-induced downregulation of CFTR is mediated at the
transcriptional level. In contrast, UDCA (50-150 uM) enhanced wound healing in
vitro and prevented the effects of DCA. Finally, DCA inhibited and UDCA promoted
mucosal healing in an in vivo mouse model. In conclusion, these studies suggest
bile acids are important regulators of epithelial wound healing and are therefore
good targets for development of new drugs to modulate intestinal barrier function
in disease treatment. NEW & NOTEWORTHY The secondary bile acid, deoxycholic acid,
inhibits colonic epithelial wound healing, an effect which appears to be mediated
by activation of the nuclear bile acid receptor, FXR, with subsequent
downregulation of CFTR expression and activity. In contrast, ursodeoxycholic acid
promotes wound healing, suggesting it may provide an alternative approach to
prevent the losses of barrier function that are associated with mucosal
inflammation in IBD patients.
PMID- 29351390
TI - Vagally mediated gastric effects of brain stem alpha2-adrenoceptor activation in
stressed rats.
AB - Chronic stress exerts vagally dependent effects to disrupt gastric motility;
previous studies have shown that, among other nuclei, A2 neurons are involved in
mediating these effects. Several studies have also shown robust in vitro and in
vivo effects of alpha2-adrenoceptor agonists on vagal motoneurons. We have
demonstrated previously that brainstem vagal neurocircuits undergo remodeling
following acute stress; however, the effects following brief periods of chronic
stress have not been investigated. Our aim, therefore, was to test the hypothesis
that different types of chronic stress influence gastric tone and motility by
inducing plasticity in the response of vagal neurocircuits to alpha2
adrenoreceptor agonists. In rats that underwent 5 days of either homotypic or
heterotypic stress loading, we applied the alpha2-adrenoceptor agonist, UK14304,
either by in vitro brainstem perfusion to examine its ability to modulate
GABAergic synaptic inputs to vagal motoneurons or in vivo brainstem
microinjection to observe actions to modulate antral tone and motility. In
neurons from naive rats, GABAergic currents were unresponsive to exogenous
application of UK14304. In contrast, GABAergic currents were inhibited by UK14304
in all neurons from homotypic and, in a subpopulation of neurons, heterotypic
stressed rats. In control rats, UK14304 microinjection inhibited gastric tone and
motility via withdrawal of vagal cholinergic tone; in heterotypic stressed rats,
the larger inhibition of antrum tone was due to a concomitant activation of
peripheral nonadrenergic, noncholinergic pathways. These data suggest that stress
induces plasticity in brainstem vagal neurocircuits, leading to an upregulation
of alpha2-mediated responses. NEW & NOTEWORTHY Catecholaminergic neurons of the
A2 area play a relevant role in stress-related dysfunction of the gastric antrum.
Brief periods of chronic stress load induce plastic changes in the actions of
adrenoceptors on vagal brainstem neurocircuits.
PMID- 29351392
TI - Young mice expel the tapeworm Hymenolepis diminuta and are protected from colitis
by triggering a memory response with worm antigen.
AB - Infection with helminth parasites reduces the severity of concomitant
inflammatory disease in adult mice. There is an alarming increase of inflammatory
bowel disease (IBD) in children. It is important to determine whether helminth
therapy would be of value in pediatric IBD and whether triggering immunological
memory to the worm would be anticolitic. Three-week-old (young) and eight-week
old (adult) Balb/c mice were infected with H. diminuta, and infectivity and T
helper 2 (Th2) immunity were assessed. Other mice received H. diminuta with or
without a crude worm extract ( HdE) 28-42 days postinfection (dpi) with or
without dinitrobenzene sulphonic acid [DNBS, 1.5 mg (young) or 3 mg (adults),
ir], and colitis was assessed 72 h later. Infected young mice developed Th2
immunity and expelled H. diminuta; expulsion was delayed by ~2 days compared with
adult mice. Colitis, as gauged by macroscopic disease and histopathology scores,
was less severe in young mice infected 10 days, but not 8 days, before DNBS.
Protection against DNBS-induced colitis was accompanied by an increased capacity
to make interleukin (IL)-4 and IL-10. Mice infected with H. diminuta were not
protected from DNBS-colitis when challenged 28 days later; however, injection of
these mice with HdE coincident with DNBS resulted in less disease and increased
splenic IL-4 and IL-10. Using a boost (500 MUg HdE, 28 dpi) and repeat HdE (100
MUg, 42 dpi) regimen with infected mice suppressed DNBS-colitis, as did adoptive
transfer of splenic CD4+ T cells from infected mice with low-dose HdE challenge.
Should these data translate to IBD, then helminth therapy could be of value in
pediatric-onset IBD, and defining the antigen(s) that elicit antihelminth
immunological memory could serve as an anticolitic approach in previously
infected individuals. NEW & NOTEWORTHY This study demonstrates that juvenile mice
are protected from colitis by infection with the tapeworm Hymenolepis diminuta
and that using worm antigen to trigger an immunological memory response in
previously infected mice can be used to limit the severity of colitis.
PMID- 29351394
TI - Bile acid profiles within the enterohepatic circulation in a diabetic rat model
after bariatric surgeries.
AB - Bile acids (BAs), which are synthesized in the liver and cycled in the
enterohepatic circulation, have been recognized as signaling molecules by
activating their receptors in the intestine and liver. Serum taurine-conjugated
BAs have been shown to be elevated after bariatric surgeries although the
postoperative BA profiles within the enterohepatic circulation have not been
investigated. Clarification of these profiles could help explain the mechanisms
by which bariatric surgery leads to BA profile alterations and subsequent
metabolic effects. We performed duodenal-jejunal bypass (DJB), sleeve gastrectomy
(SG), and sham procedures in an obese diabetic rat model induced by high-fat diet
and streptozotocin. The weight loss and antidiabetic effects were evaluated
postsurgery. BA profiles in the systemic serum and within the enterohepatic
circulation were analyzed, together with the expression of related BA
transporters and enzymes at week 12 after surgery. Compared with sham, SG induced
sustained weight loss, and both DJB and SG significantly improved glucose
tolerance and insulin sensitivity with enhanced glucagon-like peptide 1
secretion. Similar to changes in the serum, BAs, especially taurine-conjugated
species, were also elevated in the enterohepatic circulation (bile and portal
vein) after DJB and SG. In addition, the expression of key BA transporters and
conjugational enzymes was elevated postoperatively, whereas the enzymes
responsible for BA synthesis were decreased. In conclusion, DJB and SG elevated
BA levels in the systemic serum and enterohepatic circulation, especially taurine
conjugated species, which likely indicates increased ileal reabsorption and
hepatic conjugation rather than synthesis. NEW & NOTEWORTHY Bile acids (BAs) have
been implicated as potential mediators of the weight-independent effects of
bariatric surgery. For the first time, we discovered that duodenal-jejunal bypass
and sleeve gastrectomy elevated BAs, particularly the taurine-conjugated species
in the enterohepatic circulation, likely through the promotion of ileal
reabsorption and hepatic conjugation rather than BA synthesis. These findings
will improve our understanding of BA metabolism after bariatric surgery and their
subsequent metabolic effects.
PMID- 29351393
TI - Liver tissue metabolically transformed by alcohol induces immune recognition of
liver self-proteins but not in vivo inflammation.
AB - Precision-cut liver slices (PCLSs) provide a novel model for studies of alcoholic
liver disease (ALD). This is relevant, as in vivo ethanol exposure does not
appear to generate significant liver damage in ethanol-fed mice, except in the
National Institute on Alcohol Abuse and Alcoholism binge model of ALD. Previous
studies have shown that the two metabolites of ethanol consumption,
malondialdhyde (MDA) and acetaldehyde (AA), combine to form MDA-AA (MAA) adducts,
which have been correlated with the development and progression of ALD. In this
study, murine PCLSs were incubated with ethanol and examined for the production
of MAA adducts. PCLSs were homogenized, and homogenates were injected into
C57BL/6 mice. PCLSs from control-, pair-, and ethanol-fed animals served as
targets in in situ cytotoxic assays using primed T cells from mice hyperimmunized
with control or ethanol-exposed PCLS homogenates. A CD45.1/CD45.2 passive
transfer model was used to determine whether T cells from the spleens of mice
hyperimmunized with PCLS ethanol-exposed homogenates trafficked to the liver.
PCLSs incubated with ethanol generated MAA-modified proteins in situ. Cytotoxic
(CD8+) T cells from immunized mice killed naive PCLSs from control- and pair-fed
mice in vitro, a response that was blunted in PCLSs from ethanol-fed mice.
Furthermore, CD45.1 CD8+ T cells from hyperimmunized mice trafficked to the liver
but did not initiate liver damage. This study demonstrates that exposure to liver
tissue damaged by ethanol mediates robust immune responses to well-characterized
alcohol metabolites and native liver proteins in vitro. Moreover, although these
proinflammatory T cells traffic to the liver, these responses appear to be
dampened in vivo by locally acting pathways. NEW & NOTEWORTHY This study shows
that the metabolites of ethanol and lipid breakdown produce malondialdehyde
acetaldehyde adducts in the precision-cut liver slice model system. Additionally,
precision-cut liver slices exposed to ethanol and harboring malondialdehyde
acetaldehyde adducts generate liver-specific antibody and T cell responses in the
spleens of naive mice that could traffic to the liver.
PMID- 29351396
TI - Mechanisms of repetitive retrograde contractions in response to sustained
esophageal distension: a study evaluating patients with postfundoplication
dysphagia.
AB - Repetitive retrograde contractions (RRCs) in response to sustained esophageal
distension are a distinct contractility pattern observed with functional luminal
imaging probe (FLIP) panometry that are common in type III (spastic) achalasia.
RRCs are hypothesized to be indicative of either impaired inhibitory innervation
or esophageal outflow obstruction. We aimed to apply FLIP panometry to patients
with postfundoplication dysphagia (a model of esophageal obstruction) to explore
mechanisms behind RRCs. Adult patients with dysphagia after Nissen fundoplication
( n = 32) or type III achalasia ( n = 25) were evaluated with high-resolution
manometry (HRM) and upper endoscopy with FLIP. HRM studies were assessed for
outflow obstruction and spastic features: premature contractility,
hypercontractility, and impaired deglutitive inhibition during multiple-rapid
swallows. FLIP studies were analyzed to determine the esophagogastric junction
(EGJ)-distensibility index and contractility pattern, including RRCs. Barium
esophagram was evaluated when available. RRCs were present in 8/32 (25%)
fundoplication and 19/25 (76%) achalasia patients ( P < 0.001). EGJ outflow
obstruction was detected in 21 (67%) fundoplication patients by HRM, FLIP, or
esophagram [6 (29%) had RRCs]. On HRM, none of the fundoplication patients had
premature contractility, whereas 3/4 with defective inhibition on multiple-rapid
swallows and 2/4 with hypercontractility had RRCs. Regression analysis
demonstrated HRM with spastic features, but not esophageal outflow obstruction,
as a predictor for RRCs. RRCs in response to sustained esophageal distension
appear to be a manifestation of spastic esophageal motility. Although future
study to further clarify the significance of RRCs is needed, RRCs on FLIP
panometry should prompt evaluation for a major motor disorder. NEW & NOTEWORTHY
Repetitive retrograde contractions (RRCs) are a common response to sustained
esophageal distension among spastic achalasia patients when evaluated with the
functional luminal imaging probe. We evaluated patients with postfundoplication
dysphagia, i.e., patients with suspected mechanical obstruction, and found that
RRCs occasionally occurred among postfundoplication patients, but often in
association with manometric features of esophageal neuromuscular imbalance. Thus,
RRCs appear to be a manifestation of spastic esophageal dysmotility, likely from
neural imbalance resulting in excess excitation.
PMID- 29351397
TI - Acute small intestinal inflammation results in persistent lymphatic alterations.
AB - Inflammatory bowel disease (IBD) has a complex pathophysiology with limited
treatments. Structural and functional changes in the intestinal lymphatic system
have been associated with the disease, with increased risk of IBD occurrence
linked to a history of acute intestinal injury. To examine the potential role of
the lymphatic system in inflammation recurrence, we evaluated morphological and
functional changes in mouse mucosal and mesenteric lymphatic vessels, and within
the mesenteric lymph nodes during acute ileitis caused by a 7-day treatment with
dextran sodium sulfate (DSS). We monitored whether the changes persisted during a
14-day recovery period and determined their potential consequences on dendritic
cell (DC) trafficking between the mucosa and lymphoid tissues. DSS administration
was associated with marked lymphatic abnormalities and dysfunctions exemplified
by lymphangiectasia and lymphangiogenesis in the ileal mucosa and mesentery,
increased mesenteric lymphatic vessel leakage, and lymphadenopathy.
Lymphangiogenesis and lymphadenopathy were still evident after recovery from
intestinal inflammation and correlated with higher numbers of DCs in mucosal and
lymphatic tissues. Specifically, a deficit in CD103+ DCs observed during acute
DSS in the lamina propria was reversed and further enhanced during recovery. We
concluded that an acute intestinal insult caused alterations of the mesenteric
lymphatic system, including lymphangiogenesis, which persisted after resolution
of inflammation. These morphological and functional changes could compromise DC
function and movement, increasing susceptibility to further gastrointestinal
disease. Elucidation of the changes in mesenteric and intestinal lymphatic
function should offer key insights for new therapeutic strategies in
gastrointestinal disorders such as IBD. NEW & NOTEWORTHY Lymphatic integrity
plays a critical role in small intestinal homeostasis. Acute intestinal insult in
a mouse model of acute ileitis causes morphological and functional changes in
mesenteric and intestinal lymphatic vessels. While some of the changes
significantly regressed during inflammation resolution, others persisted,
including lymphangiogenesis and altered dendritic cell function and movement,
potentially increasing susceptibility to the recurrence of gastrointestinal
inflammation.
PMID- 29351395
TI - Macrophage colony-stimulating factor increases hepatic macrophage content, liver
growth, and lipid accumulation in neonatal rats.
AB - Signaling via the colony-stimulating factor 1 receptor (CSF1R) controls the
survival, differentiation, and proliferation of macrophages. Mutations in CSF1 or
CSF1R in mice and rats have pleiotropic effects on postnatal somatic growth. We
tested the possible application of pig CSF1-Fc fusion protein as a therapy for
low birth weight (LBW) at term, using a model based on maternal dexamethasone
treatment in rats. Neonatal CSF1-Fc treatment did not alter somatic growth and
did not increase the blood monocyte count. Instead, there was a substantial
increase in the size of liver in both control and LBW rats, and the treatment
greatly exacerbated lipid droplet accumulation seen in the dexamethasone LBW
model. These effects were reversed upon cessation of treatment. Transcriptional
profiling of the livers supported histochemical evidence of a large increase in
macrophages with a resident Kupffer cell phenotype and revealed increased
expression of many genes implicated in lipid droplet formation. There was no
further increase in hepatocyte proliferation over the already high rates in
neonatal liver. In conclusion, treatment of neonatal rats with CSF1-Fc caused an
increase in liver size and hepatic lipid accumulation, due to Kupffer cell
expansion and/or activation rather than hepatocyte proliferation. Increased liver
macrophage numbers and expression of endocytic receptors could mitigate defective
clearance functions in neonates. NEW & NOTEWORTHY This study is based on
extensive studies in mice and pigs of the role of CSF1/CSF1R in macrophage
development and postnatal growth. We extended the study to neonatal rats as a
possible therapy for low birth weight. Unlike our previous studies in mice and
pigs, there was no increase in hepatocyte proliferation and no increase in
monocyte numbers. Instead, neonatal rats treated with CSF1 displayed reversible
hepatic steatosis and Kupffer cell expansion.
PMID- 29351398
TI - Visceral hypersensitivity induced by optogenetic activation of the amygdala in
conscious rats.
AB - In vivo optogenetics identifies brain circuits controlling behaviors in conscious
animals by using light to alter neuronal function and offers a novel tool to
study the brain-gut axis. Using adenoviral-mediated expression, we aimed to
investigate whether photoactivation with channelrhodopsin (ChR2) or
photoinhibition with halorhodopsin (HR3.0) of fibers originating from the central
nucleus of the amygdala (CeA) at the bed nucleus of the stria terminalis (BNST)
had any effect on colonic sensitivity. We also investigated whether there was any
deleterious effect of the adenovirus on the neuronal population or the neuronal
phenotype within the CeA-BNST circuitry activated during the optogenetic
stimulation. In male rats, the CeA was infected with vectors expressing ChR2 or
HR3.0 and fiber optic cannulae were implanted on the BNST. After 8-10 wk, the
response to graded, isobaric colonic distension was measured with and without
laser stimulation of CeA fibers at the BNST. Immunohistochemistry and histology
were used to evaluate vector expression, neuronal integrity, and neurochemical
phenotype. Photoactivation of CeA fibers at the BNST with ChR2 induced colonic
hypersensitivity, whereas photoinhibition of CeA fibers at the BNST with HR3.0
had no effect on colonic sensitivity. Control groups treated with virus
expressing reporter proteins showed no abnormalities in neuronal morphology,
neuronal number, or neurochemical phenotype following laser stimulation. Our
experimental findings reveal that optogenetic activation of discrete brain nuclei
can be used to advance our understanding of complex visceral nociceptive
circuitry in a freely moving rat model. NEW & NOTEWORTHY Our findings reveal that
optogenetic technology can be employed as a tool to advance understanding of the
brain-gut axis. Using adenoviral-mediated expression of opsins, which were
activated by laser light and targeted by fiber optic cannulae, we examined
central nociceptive circuits mediating visceral pain in a freely moving rat.
Photoactivation of amygdala fibers in the stria terminalis with channelrhodopsin
induced colonic hypersensitivity, whereas inhibition of the same fibers with
halorhodopsin did not alter colonic sensitivity.
PMID- 29351400
TI - Corrigendum.
PMID- 29351399
TI - Corrigendum.
PMID- 29351401
TI - Corrigendum.
PMID- 29351402
TI - Poststroke delusions: What about the neuroanatomical and neurofunctional basis?
AB - Delusion is a belief about yourself, people, or events that has no accordance
with reality. Although it is known that stroke could cause various psychiatric
and psychological effects, including depression, anxiety, and aggressiveness,
psychotic symptoms, especially delusions, are rather uncommon. The most
investigated poststroke delusions are paranoid type, nihilistic, and Fregoli
syndrome. We will describe two patients showing delusion symptoms (Cotard-like
and erotomanic ones) that occurred after a stroke involving the right temporal
lobe, the basal ganglia and insular region, persisting for a long period after
the stroke onset. We have, therefore, supposed that the simultaneous involvement
of these brain areas could be involved in the neuroanatomical basis of delusions,
as also demonstrated by the neurofunctional evaluation.
PMID- 29351403
TI - Project on the Good Physician: Further Evidence for the Validity of a Moral
Intuitionist Model of Virtuous Caring.
AB - THEORY: In the Project on the Good Physician, the authors propose a moral
intuitionist model of virtuous caring that places the virtues of Mindfulness,
Empathic Compassion, and Generosity at the heart of medical character education.
HYPOTHESES: Hypothesis 1a: The virtues of Mindfulness, Empathic Compassion, and
Generosity will be positively associated with one another (convergent validity).
Hypothesis 1b: The virtues of Mindfulness and Empathic Compassion will explain
variance in the action-related virtue of Generosity beyond that predicted by Big
Five personality traits alone (discriminant validity). Hypothesis 1c: Virtuous
students will experience greater well-being ("flourishing"), as measured by four
indices of well-being: life meaning, life satisfaction, vocational identity, and
vocational calling (predictive validity). Hypothesis 1d: Students who self-report
higher levels of the virtues will be nominated by their peers for the Gold
Humanism Award (predictive validity). Hypothesis 2a-2c: Neuroticism and Burnout
will be positively associated with each other and inversely associated with
measures of virtue and well-being. METHOD: The authors used data from a 2011
nationally representative sample of U.S. medical students (n = 499) in which
medical virtues (Mindfulness, Empathic Compassion, and Generosity) were measured
using scales adapted from existing instruments with validity evidence. RESULTS:
Supporting the predictive validity of the model, virtuous students were
recognized by their peers to be exemplary doctors, and they were more likely to
have higher ratings on measures of student well-being. Supporting the
discriminant validity of the model, virtues predicted prosocial behavior
(Generosity) more than personality traits alone, and students higher in the
virtue of Mindfulness were less likely to be high in Neuroticism and Burnout.
CONCLUSIONS: Data from this descriptive-correlational study offered additional
support for the validity of the moral intuitionist model of virtuous caring.
Applied to medical character education, medical school programs should consider
designing educational experiences that intentionally emphasize the cultivation of
virtue.
PMID- 29351404
TI - Type 2 diabetes impairs the ability of skeletal muscle pericytes to augment
postischemic neovascularization in db/db mice.
AB - Peripheral artery disease is an atherosclerotic occlusive disease that causes
limb ischemia and has few effective noninterventional treatments. Stem cell
therapy is promising, but concomitant diabetes may limit its effectiveness. We
evaluated the therapeutic potential of skeletal muscle pericytes to augment
postischemic neovascularization in wild-type and type 2 diabetic (T2DM) mice.
Wild-type C57BL/6J and leptin receptor spontaneous mutation db/db T2DM mice
underwent unilateral femoral artery excision to induce limb ischemia. Twenty-four
hours after ischemia induction, CD45-CD34-CD146+ skeletal muscle pericytes or
vehicle controls were transplanted into ischemic hindlimb muscles. At
postoperative day 28, pericyte transplantation augmented blood flow recovery in
wild-type mice (79.3 +/- 5% vs. 61.9 +/- 5%; P = 0.04), but not in T2DM mice
(48.6% vs. 46.3 +/- 5%; P = 0.51). Pericyte transplantation augmented collateral
artery enlargement in wild-type (26.7 +/- 2 MUm vs. 22.3 +/- 1 MUm, P = 0.03),
but not T2DM mice (20.4 +/- 1.4 MUm vs. 18.5 +/- 1.2 MUm, P = 0.14). Pericyte
incorporation into collateral arteries was higher in wild-type than in T2DM mice
( P = 0.002). Unexpectedly, pericytes differentiated into Schwann cells in vivo.
In vitro, Insulin increased Nox2 expression and decreased tubular formation
capacity in human pericytes. These insulin-induced effects were reversed by N
acetylcysteine antioxidant treatment. In conclusion, T2DM impairs the ability of
pericytes to augment neovascularization via decreased collateral artery
enlargement and impaired engraftment into collateral arteries, potentially via
hyperinsulinemia-induced oxidant stress. While pericytes show promise as a unique
form of stem cell therapy to increase postischemic neovascularization,
characterizing the molecular mechanisms by which T2DM impairs their function is
essential to achieve their therapeutic potential.
PMID- 29351405
TI - Acute downregulation of miR-199a attenuates sepsis-induced acute lung injury by
targeting SIRT1.
AB - MicroRNA-199a (miR-199a) is a novel gene regulator with an important role in
inflammation and lung injury. However, its role in the pathogenesis of sepsis
induced acute respiratory distress syndrome (ARDS) is currently unknown. Our
study explored the role of miR-199a in sepsis-induced ARDS and its mechanism of
action. First, we found that LPS could upregulate miR-199a in alveolar
macrophages. Downregulation of miR-199a inhibited the upregulation of
inflammatory cytokines in alveolar macrophages and induced the remission of
histopathologic changes, the reduction of proinflammatory cytokines, and the
upregulation of apoptosis protein expression in an ARDS lung, showing a
protective role for miR-199a. We further identified sirtuin 1 (SIRT1) as a direct
target of miR-199a in alveolar macrophages, and the expression of SIRT1 was
negatively correlated with the level of miR-199a. The protective role of miR-199a
downregulation in LPS-stimulated alveolar macrophages and sepsis-induced ARDS
could be attenuated by SIRT1 inhibitor. Taken together, these results indicate
that downregulation of miR-199a might protect lung tissue against sepsis-induced
ARDS by upregulation of SIRT1 through the suppression of excessive inflammatory
responses and the inhibition of cellular apoptosis in lung tissue, suggesting its
potential therapeutic effects on sepsis-induced ARDS.
PMID- 29351406
TI - Interleukin-6 promotes a sustained loss of endothelial barrier function via Janus
kinase-mediated STAT3 phosphorylation and de novo protein synthesis.
AB - Vascular leakage is a hallmark of the inflammatory response. Acute changes in
endothelial permeability are due to posttranslational changes in intercellular
adhesion and cytoskeleton proteins. However, little is known about the mechanisms
leading to long-term changes in vascular permeability. Here, we show that
interleukin-6 (IL-6) promotes an increase in endothelial monolayer permeability
that lasts over 24 h and demonstrate that activation of Src and MEK/ERK pathways
is required only for short-term increases in permeability, being dispensable
after 2 h. In contrast, Janus kinase (JAK)-mediated STAT3 phosphorylation at Y705
(but not S727) and de novo synthesis of RNA and proteins are required for the
sustained permeability increases. Loss of junctional localization of VE-cadherin
and ZO-1 is evident several hours after the maximal IL-6 response, thus
suggesting that these events are a consequence of IL-6 signaling, but not a cause
of the increased permeability. Understanding the mechanisms involved in
sustaining vascular permeability may prove crucial to allow us to directly target
vascular leakage and minimize tissue damage, thus reducing the rates of mortality
and chronic sequelae of excessive edema. Targeting endothelial-specific
mechanisms regulating barrier function could provide a new therapeutic strategy
to prevent vascular leakage while maintaining the immune response and other
beneficial aspects of the inflammatory response that are required for bacterial
clearance and tissue repair.
PMID- 29351407
TI - PEDF expression affects the oxidative and inflammatory state of choroidal
endothelial cells.
AB - Age-related macular degeneration (AMD) is the leading cause of vision loss among
the elderly population, and is associated with severe macular degeneration and
choroidal neovascularization (CNV). Although the pathogenesis of AMD is
associated with choroidal dysfunction and CNV, the detailed underlying mechanisms
remain unresolved. Altered production of pigment epithelium-derived factor
(PEDF), a neuroprotective and antiangiogenic factor, contributes to CNV.
Furthermore, exogenous PEDF mitigates angiogenesis in preclinical CNV models. How
PEDF expression affects choroidal endothelial cell (ChEC) function is unknown.
Here we isolated ChECs from PEDF+/+ and PEDF-deficient (PEDF-/-) mice and
determined the impact of PEDF expression on the proangiogenic and pro
inflammatory properties of ChECs. We showed that PEDF expression significantly
affects the proliferation, migration, adhesion, and oxidative and inflammatory
state of ChECs. The PEDF-/- ChECs were, however, more sensitive to H2O2 challenge
and exhibited increased rate of apoptosis and oxidative stress. We also observed
a significant increase in production of cytokines with a primary role in
inflammation and angiogenesis including vascular endothelial growth factor (VEGF)
and osteopontin, and a reprograming of chemokines and cytokines expression
profiles in PEDF-/- ChECs. Collectively, our results indicate that PEDF
expression has a significant impact on oxidative and inflammatory properties of
ChECs, whose alteration could contribute to pathogenesis of chronic inflammatory
diseases including exudative AMD.
PMID- 29351408
TI - Choroid plexus epithelial cells express the adhesion protein P-cadherin at cell
cell contacts and syntaxin-4 in the luminal membrane domain.
AB - The choroid plexus epithelial cells (CPECs) belong to a small group of polarized
cells, where the Na+-K+-ATPase is expressed in the luminal membrane. The basic
polarity of the cells is, therefore, still debated. We investigated the
subcellular distribution of an array of proteins known to play fundamental roles
either in establishing and maintaining basic cell polarity or in the polarized
delivery and recycling of plasma membrane proteins. Immunofluorescence
histochemical analysis was applied to determine the subcellular localization of
apical and basolateral membrane determinants. Mass spectrometry analysis of CPECs
isolated by fluorescence-activated cell sorting was applied to determine the
expression of specific forms of the proteins. CPECs mainly express the cell
adhesive P-cadherin, which is localized to the lateral membranes. Proteins
belonging to the Crumbs and partitioning defective (Par) protein complexes were
all localized to the luminal membrane domain. Par-1 and the Scribble complex were
localized to the basolateral membrane domain. Lethal(2) giant larvae homolog 2
(Lgl2) labeling was preferentially observed in the luminal membrane domain.
Phosphatidylinositol 3,4,5-trisphosphate (PIP3) was immunolocalized to the
basolateral membrane domain, while phosphatidylinositol 4,5-bisphosphate (PIP2)
staining was most prominent in the luminal membrane domain along with the PIP3
phosphatase, Pten. The apical target-SNARE syntaxin-3 and the basolateral target
SNARE syntaxin-4 were both localized to the apical membrane domain in CPECs,
which lack cellular expression of the clathrin adaptor protein AP-1B for
basolateral protein recycling. In conclusion, the CPECs are conventionally
polarized, but express P-cadherin at cell-cell contacts, and Lgl2 and syntaxin-4
in the luminal plasma membrane domain.
PMID- 29351409
TI - Pharmacological activation of PPARgamma inhibits hypoxia-induced proliferation
through a caveolin-1-targeted and -dependent mechanism in PASMCs.
AB - Previously, we and others have demonstrated that activation of peroxisome
proliferator-activated receptor gamma (PPARgamma) by specific pharmacological
agonists inhibits the pathogenesis of chronic hypoxia-induced pulmonary
hypertension (CHPH) by suppressing the proliferation and migration in distal
pulmonary arterial smooth muscle cells (PASMCs). Moreover, these beneficial
effects of PPARgamma are mediated by targeting the intracellular calcium
homeostasis and store-operated calcium channel (SOCC) proteins, including the
main caveolae component caveolin-1. However, other than the caveolin-1 targeted
mechanism, in this study, we further uncovered a caveolin-1 dependent mechanism
within the activation of PPARgamma by the specific agonist GW1929. First,
effective knockdown of caveolin-1 by small-interfering RNA (siRNA) markedly
abolished the upregulation of GW1929 on PPARgamma expression at both mRNA and
protein levels; Then, in HEK293T, which has previously been reported with low
endogenous caveolin-1 expression, exogenous expression of caveolin-1
significantly enhanced the upregulation of GW1929 on PPARgamma expression
compared with nontransfection control. In addition, inhibition of PPARgamma by
either siRNA or pharmacological inhibitor T0070907 led to increased
phosphorylation of cellular mitogen-activated protein kinases ERK1/2 and p38. In
parallel, GW1929 dramatically decreased the expression of the proliferative
regulators (cyclin D1 and PCNA), whereas it increased the apoptotic factors (p21,
p53, and mdm2) in hypoxic PASMCs. Furthermore, these effects of GW1929 could be
partially reversed by recovery of the drug treatment. In combination, PPARgamma
activation by GW1929 reversibly drove the cell toward an antiproliferative and
proapoptotic phenotype in a caveolin-1-dependent and -targeted mechanism.
PMID- 29351410
TI - Hypoxia selectively upregulates cation channels and increases cytosolic [Ca2+] in
pulmonary, but not coronary, arterial smooth muscle cells.
AB - Ca2+ signaling, particularly the mechanism via store-operated Ca2+ entry (SOCE)
and receptor-operated Ca2+ entry (ROCE), plays a critical role in the development
of acute hypoxia-induced pulmonary vasoconstriction and chronic hypoxia-induced
pulmonary hypertension. This study aimed to test the hypothesis that chronic
hypoxia differentially regulates the expression of proteins that mediate SOCE and
ROCE [stromal interacting molecule (STIM), Orai, and canonical transient receptor
potential channel TRPC6] in pulmonary (PASMC) and coronary (CASMC) artery smooth
muscle cells. The resting cytosolic [Ca2+] ([Ca2+]cyt) and the stored [Ca2+] in
the sarcoplasmic reticulum were not different in CASMC and PASMC. Seahorse
measurement showed a similar level of mitochondrial bioenergetics (basal
respiration and ATP production) between CASMC and PASMC. Glycolysis was
significantly higher in PASMC than in CASMC. The amplitudes of cyclopiazonic acid
induced SOCE and OAG-induced ROCE in CASMC are slightly, but significantly,
greater than in PASMC. The frequency and the area under the curve of Ca2+
oscillations induced by ATP and histamine were also larger in CASMC than in
PASMC. Na+/Ca2+ exchanger-mediated increases in [Ca2+]cyt did not differ
significantly between CASMC and PASMC. The basal protein expression levels of
STIM1/2, Orai1/2, and TRPC6 were higher in CASMC than in PASMC, but hypoxia (3%
O2 for 72 h) significantly upregulated protein expression levels of STIM1/STIM2,
Orai1/Orai2, and TRPC6 and increased the resting [Ca2+]cyt only in PASMC, but not
in CASMC. The different response of essential components of store-operated and
receptor-operated Ca2+ channels to hypoxia is a unique intrinsic property of
PASMC, which is likely one of the important explanations why hypoxia causes
pulmonary vasoconstriction and induces pulmonary vascular remodeling, but causes
coronary vasodilation.
PMID- 29351412
TI - Expression of CTGF/CCN2 in response to LPA is stimulated by fibrotic
extracellular matrix via the integrin/FAK axis.
AB - Fibrosis is a common feature of several chronic diseases and is characterized by
exacerbated accumulation of ECM. An understanding of the cellular and molecular
mechanisms involved in the development of this condition is crucial for designing
efficient treatments for those pathologies. Connective tissue growth factor
(CTGF/CCN2) is a pleiotropic protein with strong profibrotic activity. In this
report, we present experimental evidence showing that ECM stimulates the
synthesis of CTGF in response to lysophosphatidic acid (LPA).The integrin/focal
adhesion kinase (FAK) signaling pathway mediates this effect, since CTGF
expression is abolished by the use of the Arg-Gly-Asp-Ser peptide and also by an
inhibitor of FAK autophosphorylation at tyrosine 397. Cilengitide, a specific
inhibitor of alphav integrins, inhibits the expression of CTGF mediated by LPA or
transforming growth factor beta1. We show that ECM obtained from decellularized
myofibroblast cultures or derived from activated fibroblasts from muscles of the
Duchenne muscular dystrophy mouse model ( mdx) induces the expression of CTGF.
This effect is dependent on FAK phosphorylation in response to its activation by
integrin. We also found that the fibrotic ECM inhibits skeletal muscle
differentiation. This novel regulatory mechanism of CTGF expression could be
acting as a positive profibrotic feedback between the ECM and CTGF, revealing a
novel concept in the control of fibrosis under chronic damage.
PMID- 29351411
TI - Disruption of the lens circulation causes calcium accumulation and precipitates
in connexin mutant mice.
AB - The lens is an avascular organ whose function and survival depend on an internal
circulation system. Cx46fs380 mice model a human autosomal dominant cataract
caused by a mutant lens connexin. In these mice, fiber cell connexin levels and
gap junction coupling are severely decreased. The present studies were conducted
to examine components of the lens circulation system that might be altered and
contribute to the pathogenesis of cataracts. Lenses from wild-type mice and
Cx46fs380 heterozygotes and homozygotes were studied at 2 months of age.
Cx46fs380-expressing lens fiber cells were depolarized. Cx46fs380 lenses had
increased intracellular hydrostatic pressure and concentrations of Na+ and Ca2+.
The activity of epithelial Na+-K+-ATPase was decreased in Cx46fs380 lenses. All
of these changes were more severe in homozygous than in heterozygous Cx46fs380
lenses. Cx46fs380 cataracts were stained by Alizarin red, a dye used to detect
insoluble Ca2+. These data suggest that the lens internal circulation was
disrupted by expression of Cx46fs380, leading to several consequences including
accumulation of Ca2+ to levels so high that precipitates formed. Similar Ca2+
containing precipitates may contribute to cataract formation due to other genetic
or acquired etiologies.
PMID- 29351413
TI - Mitochondrial content is preserved throughout disease progression in the mdx
mouse model of Duchenne muscular dystrophy, regardless of taurine
supplementation.
AB - Mitochondrial dysfunction is a pathological feature of Duchenne muscular
dystrophy (DMD), a debilitating and fatal neuromuscular disorder characterized by
progressive muscle wasting and weakness. Mitochondria are a source of cellular
ATP involved in Ca2+ regulation and apoptotic signaling. Ameliorating aberrant
mitochondrial function has therapeutic potential for reducing DMD disease
severity. The dystrophic mdx mouse exhibits peak muscle damage at 21-28 days,
which stabilizes after 8 wk. The amino acid taurine is implicated in
mitochondrial health and function, with endogenous concentrations low when
measured during the cycle of peak muscle damage in mdx mice. Using whole soleus
and extensor digitorum longus (EDL) muscle homogenates from 28- and 70-day mdx
mice, we found that there was no change in native state mitochondrial complexes
using blue native-PAGE. NADH:ubiquinone oxidotreductase subunit-A9 (NDUFA9)
protein abundance was lower in soleus muscle of 28- and 70-day mdx mice and EDL
muscle of 70-day mdx mice compared with same muscles in WT (C57/BL10ScSn)
animals. There were age-dependent increases in both NDUFA9 protein abundance and
citrate synthase activity in soleus muscles of mdx and wild-type mice. There was
no change in abundances of mitochondrial dynamics proteins mitofusin 2 (Mfn2) and
mitochondrial dynamics protein 49 (MiD49). Taurine administration essentially did
not affect any measurements of mitochondria. Collectively, these findings suggest
mitochondrial content and dynamics are not reduced in the mdx mouse regardless of
disease severity. We also elucidate that taurine affords no significant benefit
to mitochondrial content or dynamics in the mdx mouse at either 28 or 70 days.
PMID- 29351414
TI - The murine choroid plexus epithelium expresses the 2Cl-/H+ exchanger ClC-7 and
Na+/H+ exchanger NHE6 in the luminal membrane domain.
AB - The choroid plexus epithelium within the brain ventricles secretes the majority
of the cerebrospinal fluid (CSF). The luminal Na+-K+-ATPase acts in concert with
a host of other transport proteins to mediate efficient fluid secretion across
the epithelium. The CSF contains little protein buffer, but the pH value seems
nonetheless maintained within narrow limits, even when faced with acid-base
challenges. The involvement of choroid plexus acid-base transporters in CSF pH
regulation is highlighted by the expression of several acid-base transporters in
the epithelium. The aim of the present study was to identify novel acid-base
transporters expressed in the luminal membrane of the choroid plexus epithelium
to pave the way for systematic investigations of each candidate transporter in
the regulation of CSF pH. Mass spectrometry analysis of proteins from epithelial
cells isolated by fluorescence-activated cell sorting identified the Cl-/H+
exchangers ClC-3, -4, -5, and -7 in addition to known choroid plexus acid-base
transporters. RT-PCR on FACS isolated epithelial cells confirmed the expression
of the corresponding mRNAs, as well as Na+/H+ exchanger NHE6 mRNA. Both NHE6 and
ClC-7 were immunolocalized to the luminal plasma membrane domain of the choroid
plexus epithelial cells. Dynamic imaging of intracellular pH and membrane
potential changes in isolated choroid plexus epithelial cells demonstrated Cl-
gradient-driven changes in intracellular pH and membrane potential that are
consistent with Cl-/H+ exchange. In conclusion, we have detected for the first
time NHE6 and ClC-7 in the choroid plexus, which are potentially involved in pH
regulation of the CSF.
PMID- 29351415
TI - AMP-activated protein kinase and adenosine are both metabolic modulators that
regulate chloride secretion in the shark rectal gland ( Squalus acanthias).
AB - The production of endogenous adenosine during secretagogue stimulation of CFTR
leads to feedback inhibition limiting further chloride secretion in the rectal
gland of the dogfish shark (Squalus acanthias). In the present study, we examined
the role of AMP-kinase (AMPK) as an energy sensor also modulating chloride
secretion through CFTR. We found that glands perfused with forskolin and
isobutylmethylxanthine (F + I), potent stimulators of chloride secretion in this
ancient model, caused significant phosphorylation of the catalytic subunit Thr172
of AMPK. These findings indicate that AMPK is activated during energy-requiring
stimulated chloride secretion. In molecular studies, we confirmed that the
activating Thr172 site is indeed present in the alpha-catalytic subunit of AMPK
in this ancient gland, which reveals striking homology to AMPKalpha subunits
sequenced in other vertebrates. When perfused rectal glands stimulated with F + I
were subjected to severe hypoxic stress or perfused with pharmacologic inhibitors
of metabolism (FCCP or oligomycin), phosphorylation of AMPK Thr172 was further
increased and chloride secretion was dramatically diminished. The pharmacologic
activation of AMPK with AICAR-inhibited chloride secretion, as measured by short
circuit current, when applied to the apical side of shark rectal gland monolayers
in primary culture. These results indicate that that activated AMPK, similar to
adenosine, transmits an inhibitory signal from metabolism, that limits chloride
secretion in the shark rectal gland.
PMID- 29351416
TI - Acute and chronic resistance training downregulates select LINE-1 retrotransposon
activity markers in human skeletal muscle.
AB - Herein, we examined if acute or chronic resistance exercise affected markers of
skeletal muscle long interspersed nuclear element-1 (LINE-1) retrotransposon
activity. In study 1, 10 resistance-trained college-aged men performed three
consecutive daily back squat sessions, and vastus lateralis biopsies were taken
before (Pre), 2 h following session 1 (Post1), and 3 days following session 3
(Post2). In study 2, 13 untrained college-aged men performed a full-body
resistance training program (3 days/wk), and vastus lateralis biopsies were taken
before ( week 0) and ~72 h following training cessation ( week 12). In study 1,
LINE-1 mRNA decreased 42-48% at Post1 and 2 ( P < 0.05), and reverse
transcriptase (RT) activity trended downward at Post2 (-37%, P = 0.067). In study
2, LINE-1 mRNA trended downward at week 12 (-17%, P = 0.056) while LINE-1
promoter methylation increased (+142%, P = 0.041). Open reading frame (ORF)2p
protein expression (-24%, P = 0.059) and RT activity (-26%, P = 0.063) also
trended downward by week 12. Additionally, changes in RT activity versus
satellite cell number were inversely associated ( r = -0.725, P = 0.008). Follow
up in vitro experiments demonstrated that 48-h treatments with lower doses (1 MUM
and 10 MUM) of efavirenz and nevirapine (non-nucleoside RT inhibitors) increased
myoblast proliferation ( P < 0.05). However, we observed a paradoxical decrease
in myoblast proliferation with higher doses (50 MUM) of efavirenz and
delavirdine. This is the first report suggesting that resistance exercise
downregulates markers of skeletal muscle LINE-1 activity. Given our discordant in
vitro findings, future research is needed to thoroughly assess whether LINE-1
mediated RT activity enhances or blunts myoblast, or primary satellite cell,
proliferative capacity.
PMID- 29351417
TI - Zinc regulates vascular endothelial cell activity through zinc-sensing receptor
ZnR/GPR39.
AB - Zn2+ is an essential element for cell survival/growth, and its deficiency is
linked to many disorders. Extracellular Zn2+ concentration changes participate in
modulating fundamental cellular processes such as proliferation, secretion, ion
transport, and cell signal transduction in a mechanism that is not well
understood. Here, we hypothesize that the Zn2+-sensing receptor ZnR/G protein
coupled receptor 39 (GPR39), found in tissues where dynamic Zn2+ homeostasis
takes place, enables extracellular Zn2+ to trigger intracellular signaling
pathways regulating key cell functions in vascular cells. Thus, we investigated
how extracellular Zn2+ regulates cell viability, proliferation, motility,
angiogenesis, vascular tone, and inflammation through ZnR/GPR39 in endothelial
cells. Knockdown of GPR39 through siRNA largely abolished Zn2+-triggered cellular
activity changes, Ca2+ responses, as well as the downstream activation of Galphaq
PLC pathways. Extracellular Zn2+ promoted vascular cell survival/growth through
activation of cAMP and Akt as well as overexpressing of platelet-derived growth
factor-alpha receptor and vascular endothelial growth factor A. It also enhanced
cell adhesion and mobility, endothelial tubule formation, and cytoskeletal
reorganization. Such effects from extracellular Zn2+ were not observed in GPR39-/
endothelial cells. Zn2+ also regulated inflammation-related key molecules such
as heme oxygenase-1, selectin L, IL-10, and platelet endothelial cell adhesion
molecule 1, as well as vascular tone-related prostaglandin I2 synthase and nitric
oxide synthase-3. In sum, extracellular Zn2+ regulates endothelial cell activity
in a ZnR/GPR39-dependent manner and through the downstream Galphaq-PLC pathways.
Thus, ZnR/GPR39 may be a therapeutic target for regulating endothelial activity.
PMID- 29351419
TI - Maternal nutrient restriction in guinea pigs as an animal model for studying
growth-restricted offspring with postnatal catch-up growth.
AB - We determined the impact of moderate maternal nutrient restriction (MNR) in
guinea pigs with fetal growth restriction (FGR) on offspring body and organ
weights, hypothesizing that FGR-MNR animals will show catch-up growth but with
organ-specific differences. Guinea pig sows were fed ad libitum (Control) or 70%
of the control diet from 4 weeks preconception, switching to 90% at midpregnancy
(MNR). Control newborns >95 g [appropriate for gestational age (AGA); n = 37] and
MNR newborns <85 g (FGR; n = 37) were monitored until neonatal (~25 days) or
adult (~110 days) necropsy. Birth weights and body/organ weights at necropsy were
used to calculate absolute and fractional growth rates (FRs). FGR-MNR birth
weights were decreased ~32% compared with the AGA-Controls. FGR-MNR neonatal
whole body FRs were increased ~36% compared with Controls indicating catch-up
growth, with values negatively correlated to birth weights indicating the degree
of FGR leads to greater catch-up growth. However, the increase in organ FRs in
the FGR-MNR neonates compared with Controls was variable, being similar for the
brain and kidneys indicating comparable catch-up growth to that of the whole body
and twofold increased for the liver but negligible for the heart indicating
markedly increased and absent catch-up growth, respectively. While FGR-MNR body
and organ weights were unchanged from the AGA-Controls by adulthood, whole body
growth rates were increased. These findings confirm early catch-up growth in FGR
MNR guinea pigs but with organ-specific differences and enhanced growth rates by
adulthood, which are likely to have implications for structural alterations and
disease risk in later life.
PMID- 29351418
TI - Red blood cell antibody-induced anemia causes differential degrees of tissue
hypoxia in kidney and brain.
AB - Moderate anemia is associated with increased mortality and morbidity, including
acute kidney injury (AKI), in surgical patients. A red blood cell (RBC)-specific
antibody model was utilized to determine whether moderate subacute anemia could
result in tissue hypoxia as a potential mechanism of injury. Cardiovascular and
hypoxic cellular responses were measured in transgenic mice capable of expressing
hypoxia-inducible factor-1alpha (HIF-1alpha)/luciferase activity in vivo.
Antibody-mediated anemia was associated with mild intravascular hemolysis (6 h)
and splenic RBC sequestration ( day 4), resulting in a nadir hemoglobin
concentration of 89 +/- 13 g/l on day 4. At this time point, renal tissue oxygen
tension (PtO2) was decreased in anemic mice relative to controls (13.1 +/- 4.3
vs. 20.8 +/- 3.7 mmHg, P < 0.001). Renal tissue hypoxia was associated with an
increase in HIF/luciferase expression in vivo ( P = 0.04) and a 20-fold relative
increase in renal erythropoietin mRNA transcription ( P < 0.001) but no increase
in renal blood flow ( P = 0.67). By contrast, brain PtO2 was maintained in anemic
mice relative to controls (22.7 +/- 5.2 vs. 23.4 +/- 9.8 mmHg, P = 0.59) in part
because of an increase in internal carotid artery blood flow (80%, P < 0.001) and
preserved cerebrovascular reactivity. Despite these adaptive changes, an increase
in brain HIF-dependent mRNA levels was observed (erythropoietin: P < 0.001; heme
oxygenase-1: P = 0.01), providing evidence for subtle cerebral tissue hypoxia in
anemic mice. These data demonstrate that moderate subacute anemia causes
significant renal tissue hypoxia, whereas adaptive cerebrovascular responses
limit the degree of cerebral tissue hypoxia. Further studies are required to
assess whether hypoxia is a mechanism for acute kidney injury associated with
anemia.
PMID- 29351420
TI - Fourth ventricular thyrotropin induces satiety and increases body temperature in
rats.
AB - Besides its well-known action to stimulate thyroid hormone release, thyrotropin
mRNA is expressed within the brain, and thyrotropin and its receptor have been
shown to be present in brain areas that control feeding and gastrointestinal
function. Here, the hypothesis that thyrotropin acts on receptors in the
hindbrain to alter food intake and/or gastric function was tested. Fourth
ventricular injections of thyrotropin (0.06, 0.60, and 6.00 ug) were given to
rats with chronic intracerebroventricular cannulas aimed at the fourth ventricle.
Thyrotropin produced an acute reduction of sucrose intake (30 min). The highest
dose of thyrotropin caused inhibition of overnight solid food intake (22 h). In
contrast, subcutaneous administration of corresponding thyrotropin doses had no
effect on nutrient intake. The highest effective dose of fourth ventricular
thyrotropin (6 ug) did not produce a conditioned flavor avoidance in a
standardized two-bottle test, nor did it affect water intake or gastric emptying
of glucose. Thyrotropin injected in the fourth ventricle produced a small but
significant increase in rectal temperature and lowered plasma levels of tri
iodothyronin but did not affect plasma levels of thyroxine. In addition, there
was a tendency toward a reduction in blood glucose 2 h after fourth ventricular
thyrotropin injection ( P = 0.056). In conclusion, fourth ventricular thyrotropin
specifically inhibits food intake, increases core temperature, and lowers plasma
levels of tri-iodothyronin but does not affect gastromotor function.
PMID- 29351421
TI - Increased insulin clearance in mice with double deletion of glucagon-like peptide
1 and glucose-dependent insulinotropic polypeptide receptors.
AB - To establish whether incretin hormones affect insulin clearance, the aim of this
study was to assess insulin clearance in mice with genetic deletion of receptors
for both glucagon-like peptide-1 (GLP-1) and glucose-dependent insulinotropic
polypeptide (GIP), so called double incretin receptor knockout mice (DIRKO).
DIRKO ( n = 31) and wild-type (WT) C57BL6J mice ( n = 45) were intravenously
injected with d-glucose (0.35 g/kg). Blood was sampled for 50 min and assayed for
glucose, insulin, and C-peptide. Data were modeled to calculate insulin
clearance; C-peptide kinetics was established after human C-peptide injection.
Assessment of C-peptide kinetics revealed that C-peptide clearance was 1.66 +/-
0.10 10-3 1/min. After intravenous glucose administration, insulin clearance
during first phase insulin secretion was markedly higher in DIRKO than in WT mice
(0.68 +/- 0.06 10-3 l/min in DIRKO mice vs. 0.54 +/- 0.03 10-3 1/min in WT mice,
P = 0.02). In contrast, there was no difference between the two groups in insulin
clearance during second phase insulin secretion ( P = 0.18). In conclusion, this
study evaluated C-peptide kinetics in the mouse and exploited a mathematical
model to estimate insulin clearance. Results showed that DIRKO mice have higher
insulin clearance than WT mice, following intravenous injection of glucose. This
suggests that incretin hormones reduce insulin clearance at physiological,
nonstimulated levels.
PMID- 29351424
TI - Clocking skin sodium.
PMID- 29351422
TI - Body mass-specific Na+-K+-ATPase activity in the medullary thick ascending limb:
implications for species-dependent urine concentrating mechanisms.
AB - In general, the mammalian whole body mass-specific metabolic rate correlates
positively with maximal urine concentration (Umax) irrespective of whether or not
the species have adapted to arid or mesic habitat. Accordingly, we hypothesized
that the thick ascending limb (TAL) of a rodent with markedly higher whole body
mass-specific metabolism than rat exhibits a substantially higher TAL metabolic
rate as estimated by Na+-K+-ATPase activity and Na+-K+-ATPase alpha1-gene and
protein expression. The kangaroo rat inner stripe of the outer medulla exhibits
significantly higher mean Na+-K+-ATPase activity (~70%) compared with two rat
strains (Sprague-Dawley and Munich-Wistar), extending prior studies showing rat
activity exceeds rabbit. Furthermore, higher expression of Na+-K+-ATPase alpha1
protein (~4- to 6-fold) and mRNA (~13-fold) and higher TAL mitochondrial volume
density (~20%) occur in the kangaroo rat compared with both rat strains. Rat TAL
Na+-K+-ATPase alpha1-protein expression is relatively unaffected by body
hydration status or, shown previously, by dietary Na+, arguing against
confounding effects from two unavoidably dissimilar diets: grain-based diet
without water (kangaroo rat) or grain-based diet with water (rat). We conclude
that higher TAL Na+-K+-ATPase activity contributes to relationships between whole
body mass-specific metabolic rate and high Umax. More vigorous TAL Na+-K+-ATPase
activity in kangaroo rat than rat may contribute to its steeper Na+ and urea
axial concentration gradients, adding support to a revised model of the urine
concentrating mechanism, which hypothesizes a leading role for vigorous active
transport of NaCl, rather than countercurrent multiplication, in generating the
outer medullary axial osmotic gradient.
PMID- 29351425
TI - Source of dietary sucrose influences development of leptin resistance in male and
female rats.
AB - Male rats offered 30% sucrose solution in addition to chow develop leptin
resistance without an increase in energy intake or body fat. This study tested
whether the leptin resistance was dependent on the physical form of the sucrose.
Sprague-Dawley rats were offered a sucrose-free (NS) diet, a 66.6% of energy as
sucrose (HS) diet, or the NS diet + 30% sucrose solution (LS). Sucrose intake of
LS rats equaled that of HS rats, but total carbohydrate intake exceeded that of
HS rats. After 33 days, male and female LS rats were resistant to the inhibitory
effect of peripherally administered leptin on food intake. LS rats drank small,
frequent meals of sucrose during light and dark periods, whereas HS rats consumed
more meals during the dark than the light period and remained responsive to
leptin. Diet did not affect daily energy intake or insulin sensitivity. There was
a small increase in body fat in the female rats. Leptin sensitivity was restored
within 5 days of withdrawal from sucrose in male LS rats. This rapid reversal
suggested that leptin resistance was associated with the metabolic impact of
drinking sucrose. An experiment was carried out to test whether activity of the
hexosamine biosynthetic pathway and glycation of leptin signaling proteins were
increased in LS rats, but the results were equivocal. A final experiment
determined that female LS rats were leptin-resistant within 18 days of access to
sucrose solution and that the small, but significant, increase in body fat was
associated with increased adipocyte glucose utilization and insulin
responsiveness, which may have been secondary to adipocyte leptin resistance.
PMID- 29351423
TI - Role of TRPV1 in acupuncture modulation of reflex excitatory cardiovascular
responses.
AB - We have shown that acupuncture, including manual and electroacupuncture (MA and
EA), at the P5-6 acupoints stimulates afferent fibers in the median nerve (MN) to
modulate sympathoexcitatory cardiovascular reflexes through central regulation of
autonomic function. However, the mechanisms underlying acupuncture activation of
these sensory afferent nerves and their cell bodies in the dorsal root ganglia
(DRG) are unclear. Transient receptor potential vanilloid type 1 (TRPV1) is
present in sensory nerve fibers distributed in the general region of acupoints
like ST36 and BL 40 located in the hindlimb. However, the contribution of TRPV1
to activation of sensory nerves by acupuncture, leading to modulation of pressor
responses, has not been studied. We hypothesized that TRPV1 participates in
acupuncture's activation of sensory afferents and their associated cell bodies in
the DRG to modulate pressor reflexes. Local injection of iodoresiniferatoxin
(Iodo-RTX; a selective TRPV1 antagonist), but not 5% DMSO (vehicle), into the P6
acupoint on the forelimb reversed the MA's inhibition of pressor reflexes induced
by gastric distension (GD). Conversely, inhibition of GD-induced
sympathoexcitatory responses by EA at P5-6 was unchanged after administration of
Iodo-RTX into P5-6. Single-unit activity of Group III or IV bimodal afferents
sensitive to both mechanical and capsaicin stimuli responded to MA stimulation at
P6. MA-evoked activity was attenuated significantly ( P < 0.05) by local
administration of Iodo-RTX ( n = 12) but not by 5% DMSO ( n = 12) into the region
of the P6 acupoint in rats. Administration of Iodo-RTX into P5-6 did not reduce
bimodal afferent activity evoked by EA stimulation ( n = 8). Finally, MA at P6
and EA at P5-6 induced phosphorylation of extracellular signal-regulated kinases
(ERK; an intracellular signaling messenger involved in cellular excitation) in
DRG neurons located at C7-8 spinal levels receiving MN inputs. After TRPV1 was
knocked down in the DRG at these spinal levels with intrathecal injection of
TRPV1-siRNA, expression of phosphorylated ERK in the DRG neuron was reduced in MA
treated, but not EA-treated animals. These data suggest that TRPV1 in Group III
and IV bimodal sensory afferent nerves contributes to acupuncture inhibition of
reflex increases in blood pressure and specifically plays an important role
during MA but not EA.
PMID- 29351426
TI - Effects of heat and cold on health, with special reference to Finnish sauna
bathing.
AB - Environmental stress such as extremely warm or cold temperature is often
considered a challenge to human health and body homeostasis. However, the human
body can adapt relatively well to heat and cold environments, and recent studies
have also elucidated that particularly heat stress might be even highly
beneficial for human health. Consequently, the aim of the present brief review is
first to discuss general cardiovascular and other responses to acute heat stress,
followed by a review of beneficial effects of Finnish sauna bathing on general
and cardiovascular health and mortality as well as dementia and Alzheimer's
disease risk. Plausible mechanisms included are improved endothelial and
microvascular function, reduced blood pressure and arterial stiffness, and
possibly increased angiogenesis in humans, which are likely to mediate the health
benefits of sauna bathing. In addition to heat exposure with physiological
adaptations, cold stress-induced physiological responses and brown fat activation
on health are also discussed. This is important to take into consideration, as
sauna bathing is frequently associated with cooling periods in cold(er)
environments, but their combination remains poorly investigated. We finally
propose, therefore, that possible additive effects of heat- and cold-stress
induced adaptations and effects on health would be worthy of further
investigation.
PMID- 29351427
TI - Role of PTP1B in POMC neurons during chronic high-fat diet: sex differences in
regulation of liver lipids and glucose tolerance.
AB - Protein tyrosine phosphatase 1B (PTP1B) is a negative regulator of leptin
receptor signaling and may contribute to leptin resistance in diet-induced
obesity. Although PTP1B inhibition has been suggested as a potential weight loss
therapy, the role of specific neuronal PTP1B signaling in cardiovascular and
metabolic regulation and the importance of sex differences in this regulation are
still unclear. In this study, we investigated the impact of proopiomelanocortin
(POMC) neuronal PTP1B deficiency in cardiometabolic regulation in male and female
mice fed a high-fat diet (HFD). When compared with control mice (PTP1B
flox/flox), male and female mice deficient in POMC neuronal PTP1B (PTP1B
flox/flox/POMC-Cre) had attenuated body weight gain (males: -18%; females: -16%)
and fat mass (males: -33%; female: -29%) in response to HFD. Glucose tolerance
was improved by 40%, and liver lipid accumulation was reduced by 40% in
PTP1B/POMC-Cre males but not in females. When compared with control mice,
deficiency of POMC neuronal PTP1B did not alter mean arterial pressure (MAP) in
male or female mice (males: 112 +/- 1 vs. 112 +/- 1 mmHg in controls; females:
106 +/- 3 vs. 109 +/- 3 mmHg in controls). Deficiency of POMC neuronal PTP1B also
did not alter MAP response to acute stress in males or females compared with
control mice (males: Delta32 +/- 0 vs. Delta29 +/- 4 mmHg; females: Delta22 +/- 2
vs. Delta27 +/- 4 mmHg). These data demonstrate that POMC-specific PTP1B
deficiency improved glucose tolerance and attenuated diet-induced fatty liver
only in male mice and attenuated weight gain in males and females but did not
enhance the MAP and HR responses to a HFD or to acute stress.
PMID- 29351428
TI - Control of appetite, blood glucose, and blood pressure during melanocortin-4
receptor activation in normoglycemic and diabetic NPY-deficient mice.
AB - Although central melanocortin 4 receptor (MC4R) blockade abolishes the central
nervous system (CNS)-mediated anorexogenic, antidiabetic, and cardiovascular
actions of leptin, chronic MC4R stimulation fails to completely mimic the effects
of leptin. Because neuropeptide Y (NPY) and MC4R exert opposite effects on
cardiovascular and metabolic functions, we tested the role of NPY in offsetting
the long-term actions of MC4R activation. Wild-type (WT) and NPY-deficient (NPY-/
) mice were implanted with telemetry probes for measuring mean arterial pressure
(MAP) and heart rate (HR) 24 h/day. After the mice recovered from surgery and
stable baseline measurements, the MC3/4R agonist melanotan II (MTII, 120 MUg.kg
1.day-1 iv) was infused for 7 days followed by a recovery period. No major
differences between groups were observed at baseline except for slightly higher
food intake and HR in NPY-/- mice (4.3 +/- 0.2 vs. 3.4 +/- 0.2 g/day and 567 +/-
14 vs. 522 +/- 13 beats/min). Chronic MTII infusion reduced food intake in both
groups while causing transient increases in MAP and HR only in WT mice (peaks of
11 +/- 3 mmHg and 126 +/- 13 beats/min). To examine whether NPY deficiency would
amplify the antidiabetic effects of MC4R activation, diabetes was induced with
streptozotocin (STZ) 1 wk before baseline measurements were taken, and the same
experimental protocol was followed. In WT and NPY-/- mice, STZ-induced diabetes
led to similar hyperphagia, hyperglycemia, and weight loss, which were not
reversed by chronic MTII treatment. Our results demonstrate that chronic MC4R
activation, even in NPY-deficient mice, does not mimic chronic antidiabetic,
cardiovascular, or metabolic actions of leptin, and that NPY is not essential for
hyperphagia or cardiovascular changes associated with diabetes.
PMID- 29351430
TI - Dynamic changes in nitric oxide synthase expression are involved in seawater
acclimation of rainbow trout Oncorhynchus mykiss.
AB - Recent research has shown that nitric oxide (NO) produced by nitric oxide
synthases (NOS) is an inhibitor of ion transporter activity and a modulator of
epithelial ion transport in fish, but little is known on changes in the NOS/NO
system during osmotic stress. We hypothesized that the NOS/NO system responds to
salinity changes as an integrated part of the acclimation process. Expression and
localization of nos1/Nos1 and nos2/Nos2 were investigated in gill, kidney, and
intestine of freshwater (FW)- and seawater (SW)-transferred trout using
quantitative PCR, Western blotting, and immunohistochemistry, along with
expressional changes of major ion transporters in the gill. The classical
branchial ion transporters showed expected expressional changes upon SW transfer,
there among a rapid decrease in Slc26a6 mRNA, coding a branchial Cl-/[Formula:
see text] exchanger. There was a major downregulation of nos1/ nos2/Nos2
expression in the gill during SW acclimation. A significant decrease in plasma
nitrite supported an overall decreased Nos activity and NO production. In the
middle intestine, Nos1 was upregulated during SW acclimation, whereas no changes
in nos/Nos expression were observed in the posterior intestine and the kidney.
Nos1 was localized along the longitudinal axis of the gill filament, beneath
smooth muscle fibers of the intestine wall and in blood vessel walls of the
kidney. Nos2 was localized within the epithelium adjacent to the gill filament
axis and in hematopoietic tissues of the kidney. We conclude that downregulation
of branchial NOS is integrated to the SW acclimation process likely to avoid the
inhibitory effects of NO on active ion extrusion.
PMID- 29351429
TI - Removal of interscapular brown adipose tissue increases aortic stiffness despite
normal systemic glucose metabolism in mice.
AB - Brown adipose tissue (BAT) is considered protective against obesity and related
cardiometabolic dysfunction. Indeed, activation of BAT improves glucose
homeostasis and attenuates cardiovascular disease development. However, whether a
reduction in BAT mass perturbs metabolic function and increases risk for
cardiovascular disease remains largely unknown. To address this question,
C57BL/6J male mice underwent a sham procedure or surgical bilateral excision of
interscapular BAT (iBATx) and were fed a normal chow or a Western diet for 18 wk,
creating four groups ( n = 10/group). Mice were housed at 25 degrees C. As
expected, the Western diet increased final body weight and adiposity; however,
contrary to our hypothesis, iBATx did not potentiate adiposity independent of
diet. Furthermore, iBATx did not affect indexes of glycemic control (HbA1c,
fasting glucose and insulin, and glucose area under the curve during a glucose
tolerance test) and produced minimal-to-no effects on lipid homeostasis. The
absence of metabolic disturbances with iBATx was not attributed to regrowth of
iBAT or a "browning" or proliferative compensatory response of other BAT depots.
Notably, iBATx caused an increase in aortic stiffness in normal chow-fed mice
only, which was associated with an increase in aortic uncoupling protein-1.
Collectively, we demonstrated that, at 25 degrees C (i.e., limited thermal stress
conditions), a substantial reduction in BAT mass via iBATx does not disrupt
systemic glucose metabolism, challenging the current dogma that preservation of
BAT is obligatory for optimal metabolic function. However, iBATx caused aortic
stiffening in lean mice, hence supporting the existence of an interplay between
iBAT and aortic stiffness, independent of alterations in glucose homeostasis.
PMID- 29351431
TI - Feasibility of phase-contrast cine magnetic resonance imaging for measuring blood
flow in the sheep fetus.
AB - Phase-contrast cine MRI (PC-MRI) is the gold-standard non-invasive technique for
measuring vessel blood flow and has previously been applied in the human fetal
circulation. We aimed to assess the feasibility of using PC-MRI to define the
distribution of the fetal circulation in sheep. Fetuses were catheterized at 119
120 days gestation (term, 150 days) and underwent MRI at 123 days gestation under
isoflurane anesthesia, ventilated at a FiO2 of 1.0. PC-MRI was performed using a
fetal arterial blood pressure catheter signal for cardiac triggering. Blood flows
were measured in the major fetal vessels, including the main pulmonary artery,
ascending and descending aorta, superior vena cava, ductus arteriosus, left and
right pulmonary arteries, umbilical vein, ductus venosus, and common carotid
artery; and were indexed to estimated fetal weight. The combined ventricular
output, pulmonary blood flow and flow across the foramen ovale were calculated
from vessel flows. Intra-observer, inter-observer agreement and reproducibility
were assessed. Blood flow measurements were successfully obtained in 61 out of 74
vessels (82.4%) interrogated in 9 fetuses. There was good intra-observer
(R=0.998, P<0.0001; ICC=0.997) and inter-observer agreement (R=0.996, P<0.0001;
ICC=0.996). Repeated MRI measurements showed good reproducibility (R=0.989,
P=0.0002; ICC=0.990). We conclude that PC-MRI using fetal catheters for gating
triggers is feasible in the major vessels of late gestation fetal sheep. This
approach may provide a useful new tool for assessing the circulatory
characteristics of fetal sheep models of human disease, including fetal growth
restriction and congenital heart disease.
PMID- 29351433
TI - beta-Nitrostyrene derivatives attenuate LPS-mediated acute lung injury via the
inhibition of neutrophil-platelet interactions and NET release.
AB - Acute lung injury (ALI) and the acute respiratory distress syndrome (ARDS) are
high-mortality and life-threatening diseases that are associated with neutrophil
activation and accumulation within lung tissue. Emerging evidence indicates that
neutrophil-platelet aggregates (NPAs) at sites of injury increase acute
inflammation and contribute to the development of ALI. Although numerous studies
have increased our understanding of the pathophysiology of ALI, there is still a
lack of innovative and useful treatments that reduce mortality, emphasizing that
there is an urgent need for novel treatment strategies. In this study, a new
series of small compounds of beta-nitrostyrene derivatives (BNSDs) were
synthesized, and their anti-inflammatory bioactivities on neutrophils and
platelets were evaluated. The new small compound C7 modulates neutrophil function
by inhibiting superoxide generation and elastase release. Compound C7 elicits
protective effects on LPS-induced paw edema and acute lung injury via the
inhibition of neutrophil accumulation, proinflammatory mediator release, platelet
aggregation, myeloperoxidase activity, and neutrophil extracellular trap (NET)
release. NET formation was identified as the bridge for the critical interactions
between neutrophils and platelets by confocal microscopy and flow cytometry. This
research provides new insights for elucidating the complicated regulation of
neutrophils and platelets in ALI and sheds further light on future drug
development strategies for ALI/ARDS and acute inflammatory diseases.
PMID- 29351432
TI - Diurnal pattern in skin Na+ and water content is associated with salt-sensitive
hypertension in ETB receptor-deficient rats.
AB - Impairment in the ability of the skin to properly store Na+ nonosmotically
(without water) has recently been hypothesized as contributing to salt-sensitive
hypertension. Our laboratory has shown that endothelial production of endothelin
1 (ET-1) is crucial to skin Na+ handling. Furthermore, it is well established
that loss of endothelin type B receptor (ETB) receptor function impairs Na+
excretion by the kidney. Thus we hypothesized that rats lacking functional ETB
receptors (ETB-def) will have a reduced capacity of the skin to store Na+ during
chronic high-salt (HS) intake. We observed that ETB-def rats exhibited salt
sensitive hypertension with an approximate doubling in the diurnal amplitude of
mean arterial pressure compared with genetic control rats on a HS diet. Two weeks
of HS diet significantly increased skin Na+ content relative to water; however,
there was no significant difference between control and ETB-def rats.
Interestingly, HS intake led to a 19% increase in skin Na+ and 16% increase in
water content (relative to dry wt.) during the active phase (zeitgeber time 16)
versus inactive phase (zeitgeber time 4, P < 0.05) in ETB-def rats. There was no
significant circadian variation in total skin Na+ or water content of control
rats fed normal or HS. These data indicate that ETB receptors have little
influence on the ability to store Na+ nonosmotically in the skin during long-term
HS intake but, rather, appear to regulate diurnal rhythms in skin Na+ content and
circadian blood pressure rhythms associated with a HS diet.
PMID- 29351434
TI - Cub domain-containing protein 1 negatively regulates TGF-beta signaling and
myofibroblast differentiation.
AB - Fibroblasts are thought to be the prime cell type for producing and secreting
extracellular matrix (ECM) proteins in the connective tissue. The profibrotic
cytokine transforming growth factor-beta1 (TGF-beta1) activates and
transdifferentiates fibroblasts into alpha-smooth muscle actin (alpha-SMA)
expressing myofibroblasts, which exhibit increased ECM secretion, in particular
collagens. Little information, however, exists about cell-surface molecules on
fibroblasts that mediate this transdifferentiation process. We recently
identified, using unbiased cell-surface proteome analysis, Cub domain-containing
protein 1 (CDCP1) to be strongly downregulated by TGF-beta1. CDCP1 is a
transmembrane glycoprotein, the expression and role of which has not been
investigated in lung fibroblasts to date. Here, we characterized, in detail, the
effect of TGF-beta1 on CDCP1 expression and function, using immunofluorescence,
FACS, immunoblotting, and siRNA-mediated knockdown of CDCP1. CDCP1 is present on
interstitial fibroblasts, but not myofibroblasts, in the normal and idiopathic
pulmonary fibrosis lung. In vitro, TGF-beta1 decreased CDCP1 expression in a time
dependent manner by impacting mRNA and protein levels. Knockdown of CDCP1
enhanced a TGF-beta1-mediated cell adhesion of fibroblasts. Importantly, CDCP1
depleted cells displayed an enhanced expression of profibrotic markers, such as
collagen V or alpha-SMA, which was found to be independent of TGF-beta1. Our data
show, for the very first time that loss of CDCP1 contributes to fibroblast to
myofibroblast differentiation via a potential negative feedback loop between
CDCP1 expression and TGF-beta1 stimulation.
PMID- 29351436
TI - Therapeutic attenuation of the epithelial sodium channel with a SPLUNC1-derived
peptide in airway diseases.
PMID- 29351435
TI - Effects of cigarette smoke on pulmonary endothelial cells.
AB - Cigarette smoking is the leading cause of preventable disease and death in the
United States. Cardiovascular comorbidities associated with both active and
secondhand cigarette smoking indicate the vascular toxicity of smoke exposure.
Growing evidence supports the injurious effect of cigarette smoke on pulmonary
endothelial cells and the roles of endothelial cell injury in development of
acute respiratory distress syndrome (ARDS), emphysema, and pulmonary
hypertension. This review summarizes results from studies of humans, preclinical
animal models, and cultured endothelial cells that document toxicities of
cigarette smoke exposure on pulmonary endothelial cell functions, including
barrier dysfunction, endothelial activation and inflammation, apoptosis, and
vasoactive mediator production. The discussion is focused on effects of cigarette
smoke-induced endothelial injury in the development of ARDS, emphysema, and
vascular remodeling in chronic obstructive pulmonary disease.
PMID- 29351438
TI - Systematic reviews of the literature: a better way of addressing basic science
controversies.
PMID- 29351437
TI - Metabolic reprogramming in the pathogenesis of chronic lung diseases, including
BPD, COPD, and pulmonary fibrosis.
AB - The metabolism of nutrient substrates, including glucose, glutamine, and fatty
acids, provides acetyl-CoA for the tricarboxylic acid cycle to generate energy,
as well as metabolites for the biosynthesis of biomolecules, including
nucleotides, proteins, and lipids. It has been shown that metabolism of glucose,
fatty acid, and glutamine plays important roles in modulating cellular
proliferation, differentiation, apoptosis, autophagy, senescence, and
inflammatory responses. All of these cellular processes contribute to the
pathogenesis of chronic lung diseases, including bronchopulmonary dysplasia,
chronic obstructive pulmonary disease, and pulmonary fibrosis. Recent studies
demonstrate that metabolic reprogramming occurs in patients with and animal
models of chronic lung diseases, suggesting that metabolic dysregulation may
participate in the pathogenesis and progression of these diseases. In this
review, we briefly discuss the catabolic pathways for glucose, glutamine, and
fatty acids, and focus on how metabolic reprogramming of these pathways impacts
cellular functions and leads to the development of these chronic lung diseases.
We also highlight how targeting metabolic pathways can be utilized in the
prevention and treatment of these diseases.
PMID- 29351439
TI - Role of reactive oxygen species and sulfide-quinone oxoreductase in hydrogen
sulfide-induced contraction of rat pulmonary arteries.
AB - Application of H2S ("sulfide") elicits a complex contraction in rat pulmonary
arteries (PAs) comprising a small transient contraction (phase 1; Ph1) followed
by relaxation and then a second, larger, and more sustained contraction (phase 2;
Ph2). We investigated the mechanisms causing this response using isometric
myography in rat second-order PAs, with Na2S as a sulfide donor. Both phases of
contraction to 1,000 MUM Na2S were attenuated by the pan-PKC inhibitor Go6983 (3
MUM) and by 50 MUM ryanodine; the Ca2+ channel blocker nifedipine (1 MUM) was
without effect. Ph2 was attenuated by the mitochondrial complex III blocker
myxothiazol (1 MUM), the NADPH oxidase (NOX) blocker VAS2870 (10 MUM), and the
antioxidant TEMPOL (3 mM) but was unaffected by the complex I blocker rotenone (1
MUM). The bath sulfide concentration, measured using an amperometric sensor,
decreased rapidly following Na2S application, and the peak of Ph2 occurred when
this had fallen to ~50 MUM. Sulfide caused a transient increase in NAD(P)H
autofluorescence, the offset of which coincided with development of the Ph2
contraction. Sulfide also caused a brief mitochondrial hyperpolarization
(assessed using tetramethylrhodamine ethyl ester), followed immediately by
depolarization and then a second more prolonged hyperpolarization, the onset of
which was temporally correlated with the Ph2 contraction. Sulfide application to
cultured PA smooth muscle cells increased reactive oxygen species (ROS)
production (recorded using L012); this was absent when the mitochondrial
flavoprotein sulfide-quinone oxoreductase (SQR) was knocked down using small
interfering RNA. We propose that the Ph2 contraction is largely caused by SQR
mediated sulfide metabolism, which, by donating electrons to ubiquinone,
increases electron production by complex III and thereby ROS production.
PMID- 29351440
TI - JQ1 is a potential therapeutic option for COPD patients with agrin
overexpression.
AB - Chronic obstructive pulmonary disease (COPD) is one of the leading causes of
morbidity and death worldwide. It is characterized by chronic pulmonary
inflammation and obstructed airflow from the lungs. To date, there is no
effective treatment for COPD. The activation of the agrin (AGRN-YAP pathway can
promote heart regeneration. Because agrin can induce only mild cardiomyocyte
proliferation compared with ERBB2 pathway activation, it might exert pleiotropic
effects, such as mitigation of innate inflammation, immune response, and
fibrosis. Previously, we demonstrated that several common pathological gene
regulatory programs such as innate inflammatory and profibrotic transcriptional
networks were shared by COPD and heart failure. In this study, we show that agrin
is inversely correlated with COPD development and progression and may exert its
effects by suppressing innate inflammation and profibrotic signaling pathways.
BET inhibitor JQ1, in line with our previous findings, is a promising therapeutic
option in the treatment of patients with COPD. Nevertheless, wet laboratory
experiments and clinical trials are needed before its application in clinical
practice.
PMID- 29351441
TI - Vx-809/Vx-770 treatment reduces inflammatory response to Pseudomonas aeruginosa
in primary differentiated cystic fibrosis bronchial epithelial cells.
AB - Cystic fibrosis patients exhibit chronic Pseudomonas aeruginosa respiratory
infections and sustained proinflammatory state favoring lung tissue damage and
remodeling, ultimately leading to respiratory failure. Loss of cystic fibrosis
transmembrane conductance regulator (CFTR) function is associated with MAPK
hyperactivation and increased cytokines expression, such as interleukin-8
[chemoattractant chemokine (C-X-C motif) ligand 8 (CXCL8)]. Recently, new
therapeutic strategies directly targeting the basic CFTR defect have been
developed, and ORKAMBI (Vx-809/Vx-770 combination) is the only Food and Drug
Administration-approved treatment for CF patients homozygous for the F508del
mutation. Here we aimed to determine the effect of the Vx-809/Vx-770 combination
on the induction of the inflammatory response by fully differentiated primary
bronchial epithelial cell cultures from CF patients carrying F508del mutations,
following exposure to P. aeruginosa exoproducts. Our data unveiled that CFTR
functional rescue with Vx-809/Vx-770 drastically reduces CXCL8 (as well as CXCL1
and CXCL2) transcripts and p38 MAPK phosphorylation in response to P. aeruginosa
exposure through a CFTR-dependent mechanism. These results suggest that ORKAMBI
has anti-inflammatory properties that could decrease lung inflammation and
contribute to the observed beneficial impact of this treatment in CF patients.
PMID- 29351442
TI - Measurements of pulmonary gas exchange efficiency using expired gas and oximetry:
results in normal subjects.
AB - We are developing a novel, noninvasive method for measuring the efficiency of
pulmonary gas exchange in patients with lung disease. The patient wears an
oximeter, and we measure the partial pressures of oxygen and carbon dioxide in
inspired and expired gas using miniature analyzers. The arterial Po2 is then
calculated from the oximeter reading and the oxygen dissociation curve, using the
end-tidal Pco2 to allow for the Bohr effect. This calculation is only accurate
when the oxygen saturation is <94%, and therefore, these normal subjects breathed
12.5% oxygen. When the procedure is used in patients with hypoxemia, they breathe
air. The Po2 difference between the end-tidal and arterial values is called the
"oxygen deficit." Preliminary data show that this index increases substantially
in patients with lung disease. Here we report measurements of the oxygen deficit
in 20 young normal subjects (age 19 to 31 yr) and 11 older normal subjects (47 to
88 yr). The mean value of the oxygen deficit in the young subjects was 2.02 +/-
3.56 mmHg (means +/- SD). This mean is remarkably small. The corresponding value
in the older group was 7.53 +/- 5.16 mmHg (means +/- SD). The results are
consistent with the age-related trend of the traditional alveolar-arterial
difference, which is calculated from the calculated ideal alveolar Po2 minus the
measured arterial Po2. That measurement requires an arterial blood sample. The
present study suggests that this noninvasive procedure will be valuable in
assessing the degree of impaired gas exchange in patients with lung disease.
PMID- 29351443
TI - Not simply the lesser of two evils.
PMID- 29351444
TI - Activated human T lymphocytes inhibit TGFbeta-induced fibroblast to myofibroblast
differentiation via prostaglandins D2 and E2.
AB - In pulmonary fibrosis (PF), fibroblasts and myofibroblasts proliferate and
deposit excessive extracellular matrix in the interstitium, impairing normal lung
function. Because most forms of PF have a poor prognosis and limited treatment
options, PF represents an urgent unmet need for novel, effective therapeutics.
Although the role of immune cells in lung fibrosis is unclear, recent studies
suggest that T lymphocyte (T cell) activation may be impaired in PF patients.
Furthermore, we have previously shown that activated T cells can produce
prostaglandins with anti-scarring potential. Here, we test the hypothesis that
activated T cells directly inhibit myofibroblast differentiation using a
coculture system. Coculture with activated primary blood-derived T cells, from
both healthy human donors and PF patients, inhibited transforming growth factor
beta-induced myofibroblast differentiation in primary human lung fibroblasts
isolated from either normal or PF lung tissue. Coculture supernatants contained
anti-fibrotic prostaglandins D2 and E2, and the inhibitory effect of coculture on
myofibroblast differentiation was largely reversed when prostaglandin production
was abrogated either by resting the T cells before coculture or via specific
pharmacological inhibitors. Moreover, coculture conditions induced COX-2 in HLFs
but not in T cells, suggesting that T cells deliver an activating signal to HLFs,
which in turn produce anti-fibrotic prostaglandins. We show for the first time
that coculture with activated primary human T lymphocytes strongly inhibits
myofibroblast differentiation, revealing a novel cell-to-cell communication
network with therapeutic implications for fibrotic lung diseases.
PMID- 29351445
TI - Impact of HIV infection on alpha1-antitrypsin in the lung.
AB - Emphysema is one of the most common lung diseases in HIV+ individuals. The
pathogenesis of HIV-associated emphysema remains unclear; however, radiographic
distribution and earlier age of presentation of emphysema in the lungs of HIV+
patients are similar to deficiency of alpha1-antitrypsin (A1AT), a key elastase
inhibitor in the lung. Reduced levels of circulating A1AT in HIV+ patients
suggest a potential mechanism for emphysema development. In the present study we
asked if A1AT levels and activity in the bronchoalveolar lavage fluid (BALF)
differ in HIV+ and HIV- patients with and without emphysema. A1AT levels were
measured by ELISA in plasma and BALF from a cohort of 21 HIV+ and 29 HIV-
patients with or without emphysematous changes on chest CT scan. To analyze A1AT
function, we measured elastase activity in the BALF and assessed oxidation and
polymerization of A1AT by Western blotting. Total A1AT was increased in the BALF,
but not in the plasma, of HIV+ compared with HIV- patients, regardless of the
presence or absence of emphysema. However, antielastase activity was decreased in
BALF from HIV+ patients, suggesting impaired A1AT function. Higher levels of the
oxidized form of A1AT were detected in BALF from HIV+ than HIV- patients, which
may account for the decreased antielastase activity. These findings suggest that,
in the lungs of HIV+ patients, posttranslational modifications of A1AT produce a
"functional deficiency" of this critical elastase inhibitor, which may contribute
to emphysema development.
PMID- 29351447
TI - Cigarette smoke dampens antiviral signaling in small airway epithelial cells by
disrupting TLR3 cleavage.
AB - Cigarette smokers and people exposed to second-hand smoke are at an increased
risk for pulmonary viral infections, and yet the mechanism responsible for this
heightened susceptibility is not understood. To understand the effect of
cigarette smoke on susceptibility to viral infection, we used an air-liquid
interface culture system and exposed primary human small airway epithelial cells
(SAEC) to whole cigarette smoke, followed by treatment with the viral mimetic
polyinosinic polycytidylic acid (poly I:C) or influenza A virus (IAV). We found
that prior smoke exposure strongly inhibited production of proinflammatory
(interleukin-6 and interleukin-8) and antiviral [interferon-gamma-induced protein
10 (IP-10) and interferons] mediators in SAECs in response to poly I:C and IAV
infection. Impaired antiviral responses corresponded to increased infection with
IAV. This was associated with a decrease in phosphorylation of the key antiviral
transcription factor interferon response factor 3 (IRF3). Here, we found that
cigarette smoke exposure inhibited activation of Toll-like receptor 3 (TLR3) by
impairing TLR3 cleavage, which was required for downstream phosphorylation of
IRF3 and production of IP-10. These results identify a novel mechanism by which
cigarette smoke exposure impairs antiviral responses in lung epithelial cells,
which may contribute to increased susceptibility to respiratory infections.
PMID- 29351448
TI - Extracellular oxidation in cystic fibrosis airway epithelium causes enhanced
EGFR/ADAM17 activity.
AB - The EGF receptor (EGFR)/a disintegrin and metalloproteinase 17 (ADAM17) signaling
pathway mediates the shedding of growth factors and secretion of cytokines and is
involved in chronic inflammation and tissue remodeling. Since these are hallmarks
of cystic fibrosis (CF) lung disease, we hypothesized that CF transmembrane
conductance regulator (CFTR) deficiency enhances EGFR/ADAM17 activity in human
bronchial epithelial cells. In CF bronchial epithelial CFBE41o- cells lacking
functional CFTR (iCFTR-) cultured at air-liquid interface (ALI) we found enhanced
ADAM17-mediated shedding of the EGFR ligand amphiregulin (AREG) compared with
genetically identical cells with induced CFTR expression (iCFTR+). Expression of
the inactive G551D-CFTR did not have this effect, suggesting that active CFTR
reduces EGFR/ADAM17 activity. This was confirmed in CF compared with normal
differentiated primary human bronchial epithelial cells (HBEC-ALI). ADAM17
mediated AREG shedding was tightly regulated by the EGFR/MAPK pathway. Compared
with iCFTR+ cells, iCFTR- cells displayed enhanced apical presentation and
phosphorylation of EGFR, in accordance with enhanced EGFR/ADAM17 activity in CFTR
deficient cells. The nonpermeant natural antioxidant glutathione (GSH) strongly
inhibited AREG release in iCFTR and in primary HBEC-ALI, suggesting that ADAM17
activity is directly controlled by extracellular redox potentials in
differentiated airway epithelium. Furthermore, the fluorescent redox probe
glutaredoxin 1-redox-sensitive green fluorescent protein
glycosylphosphatidylinositol (Grx1-roGFP-GPI) indicated more oxidized conditions
in the extracellular space of iCFTR- cells, consistent with the role of CFTR in
GSH transport. Our data suggest that in CFTR-deficient airway epithelial cells a
more oxidized state of the extracellular membrane, likely caused by defective GSH
secretion, leads to enhanced activity of the EGFR/ADAM17 signaling axis. In CF
lungs this could contribute to tissue remodeling and hyperinflammation.
PMID- 29351446
TI - Mechanisms and consequences of oxidative stress in lung disease: therapeutic
implications for an aging populace.
AB - The rapid expansion of the elderly population has led to the recent epidemic of
age-related diseases, including increased incidence and mortality of chronic and
acute lung diseases. Numerous studies have implicated aging and oxidative stress
in the pathogenesis of various pulmonary diseases; however, despite recent
advances in these fields, the specific contributions of aging and oxidative
stress remain elusive. This review will discuss the consequences of aging on lung
morphology and physiology, and how redox imbalance with aging contributes to lung
disease susceptibility. Here, we focus on three lung diseases for which aging is
a significant risk factor: acute respiratory distress syndrome (ARDS), chronic
obstructive pulmonary disease (COPD), and idiopathic pulmonary fibrosis (IPF).
Preclinical and clinical development for redox- and senescence-altering
therapeutic strategies are discussed, as well as scientific advancements that may
direct current and future therapeutic development. A deeper understanding of how
aging impacts normal lung function, redox balance, and injury-repair processes
will inspire the development of new therapies to prevent and/or reverse age
associated pulmonary diseases, and ultimately increase health span and longevity.
This review is intended to encourage basic, clinical, and translational research
that will bridge knowledge gaps at the intersection of aging, oxidative stress,
and lung disease to fuel the development of more effective therapeutic strategies
for lung diseases that disproportionately afflict the elderly.
PMID- 29351449
TI - Personalized medicine in CF: from modulator development to therapy for cystic
fibrosis patients with rare CFTR mutations.
AB - Cystic fibrosis (CF) is the most common life-shortening genetic disease affecting
~1 in 3,500 of the Caucasian population. CF is caused by mutations in the CF
transmembrane conductance regulator (CFTR) gene. To date, more than 2,000 CFTR
mutations have been identified, which produce a wide range of phenotypes. The
CFTR protein, a chloride channel, is normally expressed on epithelial cells
lining the lung, gut, and exocrine glands. Mutations in CFTR have led to
pleiotropic effects in CF patients and have resulted in early morbidity and
mortality. Research has focused on identifying small molecules, or modulators,
that can restore CFTR function. In recent years, two modulators, ivacaftor
(Kalydeco) and lumacaftor/ivacaftor (Orkambi), have been approved by the U.S.
Food and Drug Administration to treat CF patients with certain CFTR mutations.
The development of these modulators has served as proof-of-concept that targeting
CFTR by modulators is a viable therapeutic option. Efforts to discover new
modulators that could deliver a wider and greater clinical benefit are still
ongoing. However, traditional randomized controlled trials (RCTs) require large
numbers of patients and become impracticable to test the modulators' efficacy in
CF patients with CFTR mutations at frequencies much lower than 1%, suggesting the
need for personalized medicine in these CF patients.
PMID- 29351450
TI - Cardiac vanilloid receptor-1 afferent depletion enhances stellate ganglion
neuronal activity and efferent sympathetic response to cardiac stress.
AB - Afferent fibers expressing the vanilloid receptor 1 (VR1) channel have been
implicated in cardiac nociception; however, their role in modulating reflex
responses to cardiac stress is not well understood. We evaluated this role in
Yorkshire pigs by percutaneous epicardial application of resiniferatoxin (RTX), a
toxic activator of the VR1 channel, resulting in the depletion of cardiac VR1
expressing afferents. Hemodynamics, epicardial activation recovery intervals, and
in vivo activity of stellate ganglion neurons (SGNs) were recorded in control and
RTX-treated animals. Stressors included inferior vena cava or aortic occlusion
and rapid right ventricular pacing (RVP) to induce dyssynchrony and ischemia. In
the epicardium, stellate ganglia, and dorsal root ganglia, immunostaining for the
VR1 channel, calcitonin gene-related peptide, and substance P was significantly
diminished by RTX. RTX-treated animals exhibited higher basal systolic blood
pressures and contractility than control animals. Reflex responses to epicardial
bradykinin and capsaicin were mitigated by RTX. Cardiovascular reflex function,
as assessed by inferior vena cava or aortic occlusion, was similar in RTX-treated
versus control animals. RTX-treated animals exhibited resistance to hemodynamic
collapse induced by RVP. Activation recovery interval shortening during RVP, a
marker of cardiac sympathetic outflow, was greater in RTX-treated animals and
exhibited significant delay in returning to baseline values after cessation of
RVP. The basal firing rate of SGNs and firing rates in response to RVP were also
greater in RTX-treated animals, as was the SGN network activity in response to
cardiac stressors. These data suggest that elimination of cardiac nociceptive
afferents reorganizes the central-peripheral nervous system interaction to
enhance cardiac sympathetic outflow. NEW & NOTEWORTHY Our work demonstrates a
role for cardiac vanilloid receptor-1-expressing afferents in reflex processing
of cardiovascular stress. Current understanding suggests that elimination of
vanilloid receptor-1 afferents would decrease reflex cardiac sympathetic outflow.
We found, paradoxically, that sympathetic outflow to the heart is instead
enhanced at baseline and during cardiac stress.
PMID- 29351452
TI - Role of intracellular angiotensin II.
AB - It has become clear that the vasoactive peptide angiotensin II, like other so
called intracrines, can act in the intracellular space. Evidence has accumulated
indicating that such angiotensin II activity can be upregulated in disease states
and cause pathology. Indeed, other intracrines appear to be involved in disease
pathogenesis as well. At the same time, nitric oxide, potentially a cell
protective factor, has been shown to be upregulated by intracellular angiotensin
II. Recently data have been developed indicating that other potentially
protective factors are directly upregulated at neuronal nuclei by angiotensin II.
This led to the suggestion that intracellular angiotensin II is cell protective
and not pathological. Here, the data on both sides of this issue and a possible
resolution are discussed. In summary, there is evidence for both protective and
pathological actions of intracellular angiotensin, just as there is abundant
evidence derived from whole animal physiology to indicate that angiotensin-driven
signaling cascades, including angiotensin II type 2 receptor- and Mas receptor
mediated events, can mitigate the effects of the angiotensin II/angiotensin II
type 1 receptor axis (25). This mitigation does not negate the physiological and
pathological importance of angiotensin II/angiotensin II type 1 receptor action
but does expand our understanding of the workings of both intracellular and
extracellular angiotensin II.
PMID- 29351453
TI - Superoxide inhibition restores endothelium-dependent dilatation in aging arteries
by enhancing impaired adherens junctions.
AB - Endothelium-dependent, nitric oxide-mediated dilatation is impaired in aging
arteries. The dysfunction reflects increased production of reactive oxygen
species (ROS), is reversed by inhibiting superoxide with superoxide dismutase
(SOD) mimics, and is assumed to reflect superoxide-mediated inactivation of
nitric oxide. However, the dysfunction also reflects Src-dependent degradation
and loss of vascular-endothelial (VE)-cadherin from adherens junctions, resulting
in a selective impairment in the ability of the junctions to amplify endothelial
dilatation. Experiments therefore tested the hypothesis that SOD mimics might
restore endothelial dilation in aging arteries by inhibiting Src and protecting
endothelial adherens junctions. Tail arteries from young and aging Fisher 344
rats were processed for functional (pressure myograph), biochemical (immunoblot),
and morphological (immunofluorescence) analyses. Cell-permeable SOD mimics
[manganese(III) tetrakis(1-methyl-4-pyridyl)porphyrin (MnTMPyP) or tempol] did
not affect acetylcholine-induced dilatation in young arteries but increased
responses and restored normal dilator function in aging arteries. In aging
arteries, MnTMPyP decreased Src activity (immunoblots of Tyr416 phosphorylated
compared with total Src), increased the intensity and width of VE-cadherin
staining at endothelial junctions, and increased VE-cadherin levels in Triton X
100-insoluble lysates, which represents the junctional protein. Because of aging
induced junctional disruption, inhibiting VE-cadherin clustering at adherens
junctions with a function-blocking antibody does not affect acetylcholine-induced
dilatation in aging arteries. However, the antibody prevented SOD mimics from
restoring acetylcholine-induced dilatation in aging arteries. Therefore, SOD
mimics improve impaired adherens junctions in aging endothelium, which is
essential for SOD mimics to restore endothelium-dependent dilatation in aging
arteries. The results suggest an important new pathological role for ROS in aging
endothelium, namely, disruption of adherens junctions. NEW & NOTEWORTHY Aging
induced endothelial dysfunction is reversed by SOD mimics. This study
demonstrates that they improve impaired adherens junctions in aging endothelium
and that their restoration of endothelial dilatation is dependent on increased
junctional activity. The results suggest a novel role for oxygen radicals in
vascular aging, namely, disruption of adherens junctions.
PMID- 29351454
TI - Detrimental effects of 2-arachidonoylglycerol on whole blood platelet aggregation
and on cerebral blood flow after a focal ischemic insult in rats.
AB - 2-Arachidonoylglycerol (2-AG) is a major modulator of blood flow and platelet
aggregation and a potential neuroprotectant. The present study investigated, for
the first time, the effects of 2-AG on cerebral blood flow (CBF) in the first
critical hours during middle cerebral artery occlusion (MCAO) and on platelet
aggregation in rats. Adult male Sprague-Dawley rats ( n = 30) underwent permanent
MCAO under isoflurane anesthesia and were randomly assigned to receive either 2
AG (6 mg/kg iv), monoacylglycerol lipase inhibitor JZL-184 (10 mg/kg iv), or
vehicle ( n = 6 rats/group) treatment. CBF and cardiovascular responses were
measured, by a blinded investigator, for up to 4 h. In separate experiments,
platelet aggregation by 2-AG (19-300 uM) was assessed by whole blood aggregometry
( n = 40). 2-AG and JZL-184 significantly increased the severity of the CBF
deficit versus vehicle (20.2 +/- 8.8% and 22.7 +/- 6.4% vs. 56.4 +/- 12.1% of pre
MCAO baseline, respectively, P < 0.05) but had no effect on blood pressure or
heart rate. While JZL-184 significantly increased the number of thrombi after
MCAO, this did not reach significance by 2-AG. 2-AG induced platelet aggregation
in rat whole blood in a similar manner to arachidonic acid and was significantly
reduced by the cyclooxygenase inhibitors indomethacin and flurbiprofen and the
thromboxane receptor antagonist ICI 192,605 ( P < 0.05). This is the first study
showing that 2-AG increases the severity of the CBF deficit during MCAO, and
further interrogation confirmed 2-AG-induced platelet aggregation in rats. These
findings are important because 2-AG had previously been shown to exert
neuroprotective actions and therefore force us to reevaluate the circumstances
under which 2-AG is beneficial. NEW & NOTEWORTHY 2-Arachidonoylglycerol (2-AG)
has neuroprotective properties; however, the present study revealed that 2-AG
increases the severity of the cerebral blood flow deficit during middle cerebral
artery occlusion in rats. Further interrogation showed that 2-AG induces platelet
aggregation in rats. These findings force us to reevaluate the circumstances
under which 2-AG is beneficial.
PMID- 29351455
TI - Thrombosis and systemic and cardiac oxidative stress and DNA damage induced by
pulmonary exposure to diesel exhaust particles and the effect of nootkatone
thereon.
AB - Adverse cardiovascular effects of particulate air pollution persist even at lower
concentrations than those of the current air quality limit. Therefore,
identification of safe and effective measures against particle-induced
cardiovascular toxicity is needed. Nootkatone is a sesquiterpenoid in grapefruit
with diverse bioactivities including anti-inflammatory and antioxidant effects.
However, its protective effect on the cardiovascular injury induced by diesel
exhaust particles (DEPs) has not been studied before. We assessed the possible
protective effect of nootkatone (90 mg/kg) administered by gavage 1 h before
intratracheal instillation of DEPs (30 MUg/mouse). Twenty-four hours after the
intratracheal administration of DEPs, various thrombotic and cardiac parameters
were assessed. Nootkatone inhibited the prothrombotic effect induced by DEPs in
pial arterioles and venules in vivo and platelet aggregation in whole blood in
vitro. Also, nootkatone prevented the shortening of activated partial
thromboplastin time and prothrombin time induced by DEPs. Nootkatone inhibited
the increase of plasma concentration of fibrinogen, plasminogen activator
inhibitor-1, interleukin-6, and lipid peroxidation induced by DEPs.
Immunohistochemically, hearts showed an analogous increase in glutathione and
nuclear factor erythroid-derived 2-like 2 expression by cardiac myocytes and
endothelial cells after DEP exposure, and these effects were enhanced in mice
treated with nootkatone + DEPs. Likewise, heme oxygenase-1 was increased in mice
treated with nootkatone + DEPs compared with those treated with DEPs or
nootkatone + saline. The DNA damage caused by DEPs was prevented by nootkatoone
pretreatment. In conclusion, nootkatoone alleviates DEP-induced thrombogenicity
and systemic and cardiac oxidative stress and DNA damage, at least partly,
through nuclear factor erythroid-derived 2-like 2 and heme oxygenase-1
activation. NEW & NOTEWORTHY Nootkatoone, a sesquiterpenoid found in grapefruit,
alleviates the thrombogenicity and systemic and cardiac oxidative stress and DNA
damage in mice exposed to diesel exhaust particles. Nootkatone-induced boosting
of nuclear factor erythroid-derived 2-like 2 and heme oxygenase-1 levels in the
heart of mice exposed to diesel exhaust particles suggests that its protective
effect is, at least partly, mediated through nuclear factor erythroid-derived 2
like 2 and heme oxygenase-1 activation.
PMID- 29351457
TI - Important role of endothelium-dependent hyperpolarization in the pulmonary
microcirculation in male mice: implications for hypoxia-induced pulmonary
hypertension.
AB - Endothelium-dependent hyperpolarization (EDH) plays important roles in the
systemic circulation, whereas its role in the pulmonary circulation remains
largely unknown. Furthermore, the underlying mechanisms of pulmonary hypertension
(PH) also remain to be elucidated. We thus aimed to elucidate the role of EDH in
pulmonary circulation in general and in PH in particular. In isolated perfused
lung and using male wild-type mice, endothelium-dependent relaxation to
bradykinin (BK) was significantly reduced in the presence of Nomega-nitro-l
arginine by ~50% compared with those in the presence of indomethacin, and the
combination of apamin plus charybdotoxin abolished the residual relaxation,
showing the comparable contributions of nitric oxide (NO) and EDH in the
pulmonary microcirculation under physiological conditions. Catalase markedly
inhibited EDH-mediated relaxation, indicating the predominant contribution of
endothelium-derived H2O2. BK-mediated relaxation was significantly reduced at day
1 of hypoxia, whereas it thereafter remained unchanged until day 28. EDH-mediated
relaxation was diminished at day 2 of hypoxia, indicating a transition from EDH
to NO in BK-mediated relaxation before the development of hypoxia-induced PH.
Mechanistically, chronic hypoxia enhanced endothelial NO synthase expression and
activity associated with downregulation of caveolin-1. Nitrotyrosine levels were
significantly higher in vascular smooth muscle of pulmonary microvessels under
chronic hypoxia than under normoxia. A similar transition of the mediators in BK
mediated relaxation was also noted in the Sugen hypoxia mouse model. These
results indicate that EDH plays important roles in the pulmonary microcirculation
in addition to NO under normoxic conditions and that impaired EDH-mediated
relaxation and subsequent nitrosative stress may be potential triggers of the
onset of PH. NEW & NOTEWORTHY This study provides novel evidence that both
endothelium-dependent hyperpolarization and nitric oxide play important roles in
endothelium-dependent relaxation in the pulmonary microcirculation under
physiological conditions in mice and that hypoxia first impairs endothelium
dependent hyperpolarization-mediated relaxation, with compensatory upregulation
of nitric oxide, before the development of hypoxia-induced pulmonary
hypertension.
PMID- 29351451
TI - Guidelines for experimental models of myocardial ischemia and infarction.
AB - Myocardial infarction is a prevalent major cardiovascular event that arises from
myocardial ischemia with or without reperfusion, and basic and translational
research is needed to better understand its underlying mechanisms and
consequences for cardiac structure and function. Ischemia underlies a broad range
of clinical scenarios ranging from angina to hibernation to permanent occlusion,
and while reperfusion is mandatory for salvage from ischemic injury, reperfusion
also inflicts injury on its own. In this consensus statement, we present
recommendations for animal models of myocardial ischemia and infarction. With
increasing awareness of the need for rigor and reproducibility in designing and
performing scientific research to ensure validation of results, the goal of this
review is to provide best practice information regarding myocardial ischemia
reperfusion and infarction models. Listen to this article's corresponding podcast
at ajpheart.podbean.com/e/guidelines-for-experimental-models-of-myocardial
ischemia-and-infarction/.
PMID- 29351458
TI - Differences in L-type Ca2+ channel activity partially underlie the regional
dichotomy in pumping behavior by murine peripheral and visceral lymphatic
vessels.
AB - We identified a regional dichotomy in murine lymphatic contractile function with
regard to vessel location within the periphery or visceral cavity. All vessels
isolated from peripheral regions [cervical, popliteal, inguinal, axillary, and
internodal inguinal axillary (Ing-Ax)] developed robust contractions with maximal
ejection fractions (EFs) of 50-80% in our ex vivo isobaric myograph experiments.
Conversely, vessels isolated from the visceral cavity (mesenteric, thoracic duct,
and iliac) demonstrated maximal EFs of <=10%. Using pressure myography, sharp
electrode membrane potential recordings, and Ca2+ imaging, we assessed the role
of L-type Ca2+ channels in this contractile dichotomy. Ing-Ax membrane potential
revealed a ~2-s action potential (AP) cycle (resting -35 mV, spike -5 mV, and
plateau -11 mV) with a plateau phase that was significantly lengthened by the L
type Ca2+ channel agonist Bay K8644 (BayK; 100 nM). APs recorded from mesenteric
vessels, however, displayed a slower upstroke and an elongated time over
threshold. BayK (100 nM) increased the mesenteric AP upstroke velocity and
plateau duration but also significantly hyperpolarized the vessel. Contractions
of vessels from both regions were preceded by Ca2+ flashes, detected with a
smooth muscle-specific endogenous Ca2+ reporter, that typically were coordinated
over the length of the vessel. Similar to the membrane potential recordings, Ca2+
flashes in mesenteric vessels were weaker and had a slower rise time but were
longer lasting than those in Ing-Ax vessels. BayK (100 nM) significantly
increased the Ca2+ transient amplitude and duration in both vessels and decreased
time to peak Ca2+ in mesenteric vessels. However, a higher concentration (1 MUM)
of BayK was required to produce even a modest increase in EF in visceral
lymphatics, which remained at <20%. NEW & NOTEWORTHY Lymphatic collecting vessels
isolated from murine peripheral tissues, but not from the visceral cavities,
display robust contractile behavior similar to lymphatic vessels from other
animal models and humans. These differences are partially explained by L-type
Ca2+ channel activity as revealed by the first measurements of murine lymphatic
action potentials and contraction-associated Ca2+ transients.
PMID- 29351460
TI - Macrophage-dependent impairment of alpha2-adrenergic autoreceptor inhibition of
Ca2+ channels in sympathetic neurons from DOCA-salt but not high-fat diet-induced
hypertensive rats.
AB - DOCA-salt and obesity-related hypertension are associated with inflammation and
sympathetic nervous system hyperactivity. Prejunctional alpha2-adrenergic
receptors (alpha2ARs) provide negative feedback to norepinephrine release from
sympathetic nerves through inhibition of N-type Ca2+ channels. Increased neuronal
norepinephrine release in DOCA-salt and obesity-related hypertension occurs
through impaired alpha2AR signaling; however, the mechanisms involved are
unclear. Mesenteric arteries are resistance arteries that receive sympathetic
innervation from the superior mesenteric and celiac ganglia (SMCG). We tested the
hypothesis that macrophages impair alpha2AR-mediated inhibition of Ca2+ channels
in SMCG neurons from DOCA-salt and high-fat diet (HFD)-induced hypertensive rats.
Whole cell patch-clamp methods were used to record Ca2+ currents from SMCG
neurons maintained in primary culture. We found that DOCA-salt, but not HFD
induced, hypertension caused macrophage accumulation in mesenteric arteries,
increased SMCG mRNA levels of monocyte chemoattractant protein-1 and tumor
necrosis factor-alpha, and impaired alpha2AR-mediated inhibition of Ca2+ currents
in SMCG neurons. alpha2AR dysfunction did not involve changes in alpha2AR
expression, desensitization, or downstream signaling factors. Oxidative stress
impaired alpha2AR-mediated inhibition of Ca2+ currents in SMCG neurons and
resulted in receptor internalization in human embryonic kidney-293T cells.
Systemic clodronate-induced macrophage depletion preserved alpha2AR function and
lowered blood pressure in DOCA-salt rats. HFD caused hypertension without obesity
in Sprague-Dawley rats and hypertension with obesity in Dahl salt-sensitive rats.
HFD-induced hypertension was not associated with inflammation in SMCG and
mesenteric arteries or alpha2AR dysfunction in SMCG neurons. These results
suggest that macrophage-mediated alpha2AR dysfunction in the mesenteric
circulation may only be relevant to mineralocorticoid-salt excess. NEW &
NOTEWORTHY Here, we identify a contribution of macrophages to hypertension
development through impaired alpha2-adrenergic receptor (alpha2AR)-mediated
inhibition of sympathetic nerve terminal Ca2+ channels in DOCA-salt hypertensive
rats. Impaired alpha2AR function may involve oxidative stress-induced receptor
internalization. alpha2AR dysfunction may be unique to mineralocorticoid-salt
excess, as it does not occur in obesity-related hypertension.
PMID- 29351456
TI - Guidelines for measuring cardiac physiology in mice.
AB - Cardiovascular disease is a leading cause of death, and translational research is
needed to understand better mechanisms whereby the left ventricle responds to
injury. Mouse models of heart disease have provided valuable insights into
mechanisms that occur during cardiac aging and in response to a variety of
pathologies. The assessment of cardiovascular physiological responses to injury
or insult is an important and necessary component of this research. With
increasing consideration for rigor and reproducibility, the goal of this
guidelines review is to provide best-practice information regarding how to
measure accurately cardiac physiology in animal models. In this article, we
define guidelines for the measurement of cardiac physiology in mice, as the most
commonly used animal model in cardiovascular research. Listen to this article's
corresponding podcast at http://ajpheart.podbean.com/e/guidelines-for-measuring
cardiac-physiology-in-mice/ .
PMID- 29351459
TI - Guidelines for authors and reviewers on antibody use in physiology studies.
AB - Antibody use is a critical component of cardiovascular physiology research, and
antibodies are used to monitor protein abundance (immunoblot analysis) and
protein expression and localization (in tissue by immunohistochemistry and in
cells by immunocytochemistry). With ongoing discussions on how to improve
reproducibility and rigor, the goal of this review is to provide best practice
guidelines regarding how to optimize antibody use for increased rigor and
reproducibility in both immunoblot analysis and immunohistochemistry approaches.
Listen to this article's corresponding podcast at
http://ajpheart.podbean.com/e/guidelines-on-antibody-use-in-physiology-studies/ .
PMID- 29351461
TI - Exercise training induces eNOS coupling and restores relaxation in coronary
arteries of heart failure rats.
AB - Exercise training (ET) has emerged as a nonpharmacological therapy for
cardiovascular diseases because of its helpful milieu for improving vascular
function. The aim of the present study was to assess whether ET reverses the
alterations in vascular reactivity observed in heart failure (HF)-related
coronary arteries and to elucidate the molecular mechanisms involved in these
adjustments. Male Wistar rats were subjected to either coronary artery ligation
or sham operation. Four weeks after the surgery, rats were divided into two
groups: untrained HF (UHF) and exercise-trained HF (THF). ET was conducted on a
treadmill for 8 wk. An untrained SO group was included in the study as a normal
control. ET restored the impaired acetylcholine (ACh)- and sodium nitroprusside
induced relaxation in coronary arteries to levels of the control. Oxidative
stress and reduced nitric oxide (NO) production were observed in UHF, whereas ET
restored both parameters to the levels of the control. Expression levels of
endothelial NO synthase (eNOS) and soluble guanylyl cyclase subunits were
increased in coronary arteries of UHF rats but reduced in THF rats.
Tetrahydrobiopterin restored ACh-induced NO production in the UHF group,
indicating that eNOS was uncoupled. ET increased the eNOS dimer-to-monomer ratio
and expression of GTP cyclohydrolase 1, thus increasing NO bioavailability. Taken
together, these findings demonstrate that ET reverses the dysfunction of the
NO/soluble guanylyl cyclase pathway present in coronary arteries of HF rats.
These effects of ET are associated with increased GTP cyclohydrolase 1
expression, restoration of NO bioavailability, and reduced oxidative stress
through eNOS coupling. NEW & NOTEWORTHY The present study provides a molecular
basis for the exercise-induced improvement in coronary arteries function in heart
failure. Increasing the expression of GTP cyclohydrolase 1, the rate-limiting
enzyme in the de novo biosynthesis of tetrahydrobiopterin, exercise training
couples endothelial nitric oxide synthase, reduces oxidative stress, and
increases nitric oxide bioavailability and sensitivity in coronary arteries of
heart failure rats.
PMID- 29351462
TI - Maximal strength training-induced improvements in forearm work efficiency are
associated with reduced blood flow.
AB - Maximal strength training (MST) improves work efficiency. However, since blood
flow is greatly dictated by muscle contractions in arms during exercise and
vascular conductance is lower, it has been indicated that arms rely more upon
adapting oxygen extraction than legs in response to the enhanced work efficiency.
Thus, to investigate if metabolic and vascular responses are arm specific, we
used Doppler-ultrasound and a catheter placed in the subclavian vein to measure
blood flow and the arteriovenous oxygen difference during steady-state work in
seven young men [24 +/- 3 (SD) yr] following 6 wk of handgrip MST. As expected,
MST improved maximal strength (49 +/- 9 to 62 +/- 10 kg) and the rate of force
development (923 +/- 224 to 1,086 +/- 238 N/s), resulting in a reduced submaximal
oxygen uptake (30 +/- 9 to 24 +/- 10 ml/min) and concomitantly increased work
efficiency (9.3 +/- 2.5 to 12.4 +/- 3.9%) (all P < 0.05). In turn, the work
efficiency improvement was associated with reduced blood flow (486 +/- 102 to 395
+/- 114 ml/min), mediated by a lower blood velocity (43 +/- 8 to 32 +/- 6 cm/s)
(all P < 0.05). Conduit artery diameter and the arteriovenous oxygen difference
remained unaltered. The maximal work test revealed an increased time to
exhaustion (949 +/- 239 to 1,102 +/- 292 s) and maximal work rate (both P < 0.05)
but no change in peak oxygen uptake. In conclusion, despite prior indications of
metabolic and vascular limb-specific differences, these results reveal that
improved work efficiency after small muscle mass strength training in the upper
extremities is accompanied by a blood flow reduction and coheres with what has
been documented for lower extremities. NEW & NOTEWORTHY Maximal strength training
increases skeletal muscle work efficiency. Oxygen extraction has been indicated
to be the adapting component with this increased work efficiency in arms.
However, we document that decreased blood flow, achieved by blood velocity
reduction, is the adapting mechanism responding to the improved aerobic
metabolism in the forearm musculature.
PMID- 29351463
TI - Intermediary metabolism and fatty acid oxidation: novel targets of electron
transport chain-driven injury during ischemia and reperfusion.
AB - Cardiac ischemia-reperfusion (I/R) damages the electron transport chain (ETC),
causing mitochondrial and cardiomyocyte injury. Reversible blockade of the ETC at
complex I during ischemia protects the ETC and decreases cardiac injury. In the
present study, we used an unbiased proteomic approach to analyze the extent of
ETC-driven mitochondrial injury during I/R. Isolated-perfused mouse (C57BL/6)
hearts underwent 25-min global ischemia (37 degrees C) and 30-min reperfusion. In
treated hearts, amobarbital (2 mM) was given for 1 min before ischemia to rapidly
and reversibly block the ETC at complex I. Mitochondria were isolated at the end
of reperfusion and subjected to unbiased proteomic analysis using tryptic
digestion followed by liquid chromatography-mass spectrometry with isotope tags
for relative and absolute quantification. Amobarbital treatment decreased cardiac
injury and protected respiration. I/R decreased the content ( P < 0.05) of
multiple mitochondrial matrix enzymes involved in intermediary metabolism
compared with the time control. The contents of several enzymes in fatty acid
oxidation were decreased compared with the time control. Blockade of ETC during
ischemia largely prevented the decreases. Thus, after I/R, not only the ETC but
also multiple pathways of intermediary metabolism sustain damage initiated by the
ETC. If these damaged mitochondria persist in the myocyte, they remain a potent
stimulus for ongoing injury and the transition to cardiomyopathy during prolonged
reperfusion. Modulation of ETC function during early reperfusion is a key
strategy to preserve mitochondrial metabolism and to decrease persistent
mitochondria-driven injury during longer periods of reperfusion that predispose
to ventricular dysfunction and heart failure. NEW & NOTEWORTHY Ischemia
reperfusion (I/R) damages mitochondria, which could be protected by reversible
blockade of the electron transport chain (ETC). Unbiased proteomics with isotope
tags for relative and absolute quantification analyzed mitochondrial damage
during I/R and found that multiple enzymes in the tricarboxylic acid cycle, fatty
acid oxidation, and ETC decreased, which could be prevented by ETC blockade.
Strategic ETC modulation can reduce mitochondrial damage and cardiac injury.
PMID- 29351465
TI - Emerging potential benefits of modulating NAD+ metabolism in cardiovascular
disease.
AB - Nicotinamide adenine dinucleotide (NAD+) and related metabolites are central
mediators of fuel oxidation and bioenergetics within cardiomyocytes.
Additionally, NAD+ is required for the activity of multifunctional enzymes,
including sirtuins and poly(ADP-ribose) polymerases that regulate
posttranslational modifications, DNA damage responses, and Ca2+ signaling. Recent
research has indicated that NAD+ participates in a multitude of processes
dysregulated in cardiovascular diseases. Therefore, supplementation of NAD+
precursors, including nicotinamide riboside that boosts or repletes the NAD+
metabolome, may be cardioprotective. This review examines the molecular
physiology and preclinical data with respect to NAD+ precursors in heart failure
related cardiac remodeling, ischemic-reperfusion injury, and arrhythmias. In
addition, alternative NAD+-boosting strategies and potential systemic effects of
NAD+ supplementation with implications on cardiovascular health and disease are
surveyed.
PMID- 29351466
TI - Telomerase reverse transcriptase protects against angiotensin II-induced
microvascular endothelial dysfunction.
AB - A rise in reactive oxygen species (ROS) may contribute to cardiovascular disease
by reducing nitric oxide (NO) levels, leading to loss of NO's vasodilator and
anti-inflammatory effects. Although primarily studied in larger conduit arteries,
excess ROS release and a corresponding loss of NO also occur in smaller
resistance arteries of the microcirculation, but the underlying mechanisms and
therapeutic targets have not been fully characterized. We examined whether either
of the two subunits of telomerase, telomerase reverse transcriptase (TERT) or
telomerase RNA component (TERC), affect microvascular ROS production and peak
vasodilation at baseline and in response to in vivo administration to angiotensin
II (ANG II). We report that genetic loss of TERT [maximal dilation: 52.0 +/- 6.1%
with vehicle, 60.4 +/- 12.9% with Nomega-nitro-l-arginine methyl ester (l-NAME),
and 32.2 +/- 12.2% with polyethylene glycol-catalase (PEG-Cat) ( P < 0.05), means
+/- SD, n = 9-19] but not TERC [maximal dilation: 79 +/- 5% with vehicle, 10.7 +/
9.8% with l-NAME ( P < 0.05), and 86.4 +/- 8.4% with PEG-Cat, n = 4-7] promotes
flow-induced ROS formation. Moreover, TERT knockout exacerbates the microvascular
dysfunction resulting from in vivo ANG II treatment, whereas TERT overexpression
is protective [maximal dilation: 88.22 +/- 4.6% with vehicle vs. 74.0 +/- 7.3%
with ANG II (1,000 ng.kg-1.min-1) ( P = not significant), n = 4]. Therefore, loss
of TERT but not TERC may be a key contributor to the elevated microvascular ROS
levels and reduced peak dilation observed in several cardiovascular disease
pathologies. NEW & NOTEWORTHY This study identifies telomerase reverse
transcriptase (TERT) but not telomerase RNA component as a key factor regulating
endothelium-dependent dilation in the microcirculation. Loss of TERT activity
leads to microvascular dysfunction but not conduit vessel dysfunction in first
generation mice. In contrast, TERT is protective in the microcirculation in the
presence of prolonged vascular stress. Understanding the mechanism of how TERT
protects against vascular stress represents a novel target for the treatment of
vascular disorders.
PMID- 29351468
TI - GABAergic contribution to the muscle mechanoreflex-mediated heart rate responses
at the onset of exercise in humans.
AB - Previous studies have indicated that central GABAergic mechanisms are involved in
the heart rate (HR) responses at the onset of exercise. On the basis of previous
research that showed similar increases in HR during passive and active cycling,
we reasoned that the GABAergic mechanisms involved in the HR responses at the
exercise onset are primarily mediated by muscle mechanoreceptor afferents.
Therefore, in this study, we sought to determine whether central GABA mechanisms
are involved in the muscle mechanoreflex-mediated HR responses at the onset of
exercise in humans. Twenty-eight healthy subjects (14 men and 14 women) aged
between 18 and 35 yr randomly performed three bouts of 5-s passive and active
cycling under placebo and after oral administration of diazepam (10 mg), a
benzodiazepine that produces an enhancement in GABAA activity. Beat-to-beat HR
(electrocardiography) and arterial blood pressure (finger photopletysmography)
were continuously measured. Electromyography of the vastus lateralis was obtained
to confirm no electrical activity during passive trials. HR increased from rest
under placebo and further increased after administration of diazepam in both
passive (change: 12 +/- 1 vs. 17 +/- 1 beats/min, P < 0.01) and active (change:
14 +/- 1 vs. 18 +/- 1 beats/min, P < 0.01) cycling. Arterial blood pressure
increased from rest similarly during all conditions ( P > 0.05). Importantly, no
sex-related differences were found in any variables during experiments. These
findings demonstrate, for the first time, that the GABAergic mechanisms
significantly contribute to the muscle mechanoreflex-mediated HR responses at the
onset of exercise in humans. NEW & NOTEWORTHY We found that passive and voluntary
cycling evokes similar increases in heart rate and that these responses were
enhanced after diazepam administration, a benzodiazepine that enhances GABAA
activity. These findings suggest that the GABAergic system may contribute to the
muscle mechanoreflex-mediated vagal withdrawal at the onset of exercise in
humans.
PMID- 29351464
TI - Vitamin D and regulation of vascular cell function.
AB - Vitamin D deficiency is linked to pathogenesis of many diseases including
cardiovascular, cancer, and various eye diseases. In recent years, important
roles for vitamin D in regulation of immune function, inflammation, angiogenesis,
and aging have been demonstrated. Thus, vitamin D and its analogs have been
evaluated for the treatment of various types of cancer and chronic diseases. We
have previously shown that the active form of vitamin D [1,25(OH)2D3] is a potent
inhibitor of angiogenesis. This activity is consistent with the important role
proposed for vitamin D and its analogs in the mitigation of tumor growth through
inhibition of angiogenesis. Here, we review the important nutritional value of
vitamin D and the abnormalities linked to its deficiency. We will explore its
potential role as a regulator of angiogenesis and vascular cell function and the
role vitamin D receptor (VDR) expression plays in these activities during
vascular development and neovascularization. Our studies have established an
important role for 1,25(OH)2D3 and VDR in the regulation of perivascular
supporting cell function. In addition, the interaction of 1,25(OH)2D3 and VDR is
essential for these activities and inhibition of neovascularization. Delineating
the signaling pathways involved and identification of genes that are the target
of 1,25(OH)2D3 regulation in vascular cells will allow us to identify novel
pathways that are targets for regulation of vascular function and angiogenesis.
PMID- 29351467
TI - From ionic to cellular variability in human atrial myocytes: an integrative
computational and experimental study.
AB - Variability refers to differences in physiological function between individuals,
which may translate into different disease susceptibility and treatment efficacy.
Experiments in human cardiomyocytes face wide variability and restricted tissue
access; under these conditions, computational models are a useful complementary
tool. We conducted a computational and experimental investigation in
cardiomyocytes isolated from samples of the right atrial appendage of patients
undergoing cardiac surgery to evaluate the impact of variability in action
potentials (APs) and subcellular ionic densities on Ca2+ transient dynamics.
Results showed that 1) variability in APs and ionic densities is large, even
within an apparently homogenous patient cohort, and translates into +/-100%
variation in ionic conductances; 2) experimentally calibrated populations of
models with wide variations in ionic densities yield APs overlapping with those
obtained experimentally, even if AP characteristics of the original generic model
differed significantly from experimental APs; 3) model calibration with AP
recordings restricts the variability in ionic densities affecting upstroke and
resting potential, but redundancy in repolarization currents admits substantial
variability in ionic densities; and 4) model populations constrained with
experimental APs and ionic densities exhibit three Ca2+ transient phenotypes,
differing in intracellular Ca2+ handling and Na+/Ca2+ membrane extrusion. These
findings advance our understanding of the impact of variability in human atrial
electrophysiology. NEW & NOTEWORTHY Variability in human atrial electrophysiology
is investigated by integrating for the first time cellular-level and ion channel
recordings in computational electrophysiological models. Ion channel calibration
restricts current densities but not cellular phenotypic variability. Reduced
Na+/Ca2+ exchanger is identified as a primary mechanism underlying diastolic Ca2+
fluctuations in human atrial myocytes.
PMID- 29351469
TI - Inhibition of mTOR protects the blood-brain barrier in models of Alzheimer's
disease and vascular cognitive impairment.
AB - An intact blood-brain barrier (BBB) limits entry of proinflammatory and
neurotoxic blood-derived factors into the brain parenchyma. The BBB is damaged in
Alzheimer's disease (AD), which contributes significantly to the progression of
AD pathologies and cognitive decline. However, the mechanisms underlying BBB
breakdown in AD remain elusive, and no interventions are available for treatment
or prevention. We and others recently established that inhibition of the
mammalian/mechanistic target of rapamycin (mTOR) pathway with rapamycin yields
significant neuroprotective effects, improving cerebrovascular and cognitive
function in mouse models of AD. To test whether mTOR inhibition protects the BBB
in neurological diseases of aging, we treated hAPP(J20) mice modeling AD and low
density lipoprotein receptor-null (LDLR-/-) mice modeling vascular cognitive
impairment with rapamycin. We found that inhibition of mTOR abrogates BBB
breakdown in hAPP(J20) and LDLR-/- mice. Experiments using an in vitro BBB model
indicated that mTOR attenuation preserves BBB integrity through upregulation of
specific tight junction proteins and downregulation of matrix metalloproteinase-9
activity. Together, our data establish mTOR activity as a critical mediator of
BBB breakdown in AD and, potentially, vascular cognitive impairment and suggest
that rapamycin and/or rapalogs could be used for the restoration of BBB
integrity. NEW & NOTEWORTHY This report establishes mammalian/mechanistic target
of rapamycin as a critical mediator of blood-brain barrier breakdown in models of
Alzheimer's disease and vascular cognitive impairment and suggests that drugs
targeting the target of rapamycin pathway could be used for the restoration of
blood-brain barrier integrity in disease states.
PMID- 29351470
TI - Increased (pro)renin receptor expression in the subfornical organ of hypertensive
humans.
AB - The central nervous system plays an important role in essential hypertension in
humans and in animal models of hypertension through modulation of sympathetic
activity and Na+ and body fluid homeostasis. Data from animal models of
hypertension suggest that the renin-angiotensin system in the subfornical organ
(SFO) of the brain is critical for hypertension development. We recently reported
that the brain (pro)renin receptor (PRR) is a novel component of the brain renin
angiotensin system and could be a key initiator of the pathogenesis of
hypertension. Here, we examined the expression level and cellular distribution of
PRR in the SFO of postmortem human brains to assess its association with the
pathogenesis of human hypertension. Postmortem SFO tissues were collected from
hypertensive and normotensive human subjects. Immunolabeling for the PRR and a
retrospective analysis of clinical data were performed. We found that human PRR
was prominently expressed in most neurons and microglia, but not in astrocytes,
in the SFO. Importantly, PRR levels in the SFO were elevated in hypertensive
subjects. Moreover, PRR immunoreactivity was significantly correlated with
systolic blood pressure but not body weight, age, or diastolic blood pressure.
Interestingly, this correlation was independent of antihypertensive drug therapy.
Our data indicate that PRR in the SFO may be a key molecular player in the
pathogenesis of human hypertension and, as such, could be an important focus of
efforts to understand the neurogenic origin of hypertension. NEW & NOTEWORTHY
This study provides evidence that, in the subfornical organ of the human brain,
the (pro)renin receptor is expressed in neurons and microglia cells but not in
astrocytes. More importantly, (pro)renin receptor immunoreactivity in the
subfornical organ is increased in hypertensive humans and is significantly
correlated with systolic blood pressure.
PMID- 29351471
TI - When higher cholesterol is better: membrane cholesterol loss and endothelial Ca2+
signaling.
PMID- 29351472
TI - Role of lysyl oxidase on microvascular function.
PMID- 29351473
TI - Sympathetically mediated cardiac responses to isolated muscle metaboreflex
activation following exercise are modulated by body position in humans.
AB - Isolated muscle metaboreflex activation with posthandgrip exercise ischemia (PEI)
increases sympathetic nerve activity and partially maintains the exercise-induced
increase in blood pressure, but a smaller heart rate (HR) response occurs. The
cardiopulmonary baroreceptors, mechanically sensitive receptors that respond to
changes in central blood volume and pressure, are strongly associated with
changes in body position and upon activation elicit reflex sympathoinhibition.
Here, we tested the hypothesis that postural changes modulate the sympathetically
mediated cardiac response to PEI in humans. Beat-to-beat HR (electrocardiography)
and blood pressure (finger photoplethysmography) were continuously measured, and
cardiac function was assessed by echocardiography in 13 healthy men (21 +/- 3
yr). After a 15-min rest period, 90-s static handgrip at 40% maximum voluntary
contraction was performed followed by 3 min of PEI. Four trials were randomly
conducted during either seated or supine position with and without beta1
adrenergic blockade (25 mg atenolol). During PEI under control conditions, HR
remained elevated from baseline in the seated [change (Delta): 4 +/- 1 beats/min]
but not in the supine (change: -1 +/- 1 beats/min) position. Similarly, stroke
volume and cardiac output were increased from baseline in the seated (?13.0 +/-
2.4 ml and ?1.1 +/- 0.2 l/min, respectively) but not in the supine (?2.5 +/- 2.9
ml and ?0.13 +/- 0.20 l/min, respectively) position. During beta1-adrenergic
blockade, HR, stroke volume, and cardiac output remained unchanged in both
conditions. We conclude that sympathetically mediated cardiac responses to PEI
are influenced by changes in body position. These findings indicated that muscle
metaboreflex and cardiopulmonary baroreflex have an interactive influence on the
neural control of cardiovascular function in humans. NEW & NOTEWORTHY In the
present study, we demonstrated that muscle metaboreflex activation increases
heart rate, stroke volume, and cardiac output in the seated position but not in
the supine position and not after beta1-adrenergic blockade. These findings
indicate that sympathetically mediated cardiac responses to isolated muscle
metaboreflex activation after exercise are modulated by central blood volume
mobilization.
PMID- 29351474
TI - The heart in lack of oxygen? A revisited method to improve cardiac performance ex
vivo.
PMID- 29351475
TI - Effect of heart rate reduction in pulmonary arterial hypertension.
PMID- 29351476
TI - Human EndoC-betaH1 beta-cells form pseudoislets with improved glucose sensitivity
and enhanced GLP-1 signaling in the presence of islet-derived endothelial cells.
AB - Three-dimensional (3D) pseudoislets (PIs) can be used for the study of insulin
producing beta-cells in free-floating islet-like structures similar to that of
primary islets. Previously, we demonstrated the ability of islet-derived
endothelial cells (iECs) to induce PIs using murine insulinomas, where PI
formation enhanced insulin production and glucose responsiveness. In this report,
we examined the ability of iECs to spontaneously induce the formation of free
floating 3D PIs using the EndoC-betaH1 human beta-cell line murine MS1 iEC.
Within 14 days, the coculturing of both cell types produced fully humanized EndoC
betaH1 PIs with little to no contaminating murine iECs. The size and shape of
these PIs were similar to primary human islets. iEC-induced PIs demonstrated
reduced dysregulated insulin release under low glucose levels and higher insulin
secretion in response to high glucose and exendin-4 [a glucagon-like peptide-1
(GLP-1) analog] compared with monolayer cells cultured alone. Interestingly, iEC
PIs were also better at glucose sensing in the presence of extendin-4 compared
with PIs generated on a low-adhesion surface plate in the absence of iECs and
showed an overall improvement in cell viability. iEC-induced PIs exhibited
increased expression of key genes involved in glucose transport, glucose sensing,
beta-cell differentiation, and insulin processing, with a concomitant decrease in
glucagon mRNA expression. The enhanced responsiveness to exendin-4 was associated
with increased protein expression of GLP-1 receptor and phosphokinase A. This
rapid coculture system provides an unlimited number of human PIs with improved
insulin secretion and GLP-1 responsiveness for the study of beta-cell biology.
PMID- 29351477
TI - Mathematical analysis of circadian disruption and metabolic re-entrainment of
hepatic gluconeogenesis: the intertwining entraining roles of light and feeding.
AB - The circadian rhythms influence the metabolic activity from molecular level to
tissue, organ, and host level. Disruption of the circadian rhythms manifests to
the host's health as metabolic syndromes, including obesity, diabetes, and
elevated plasma glucose, eventually leading to cardiovascular diseases.
Therefore, it is imperative to understand the mechanism behind the relationship
between circadian rhythms and metabolism. To start answering this question, we
propose a semimechanistic mathematical model to study the effect of circadian
disruption on hepatic gluconeogenesis in humans. Our model takes the light-dark
cycle and feeding-fasting cycle as two environmental inputs that entrain the
metabolic activity in the liver. The model was validated by comparison with data
from mice and rat experimental studies. Formal sensitivity and uncertainty
analyses were conducted to elaborate on the driving forces for hepatic
gluconeogenesis. Furthermore, simulating the impact of Clock gene knockout
suggests that modification to the local pathways tied most closely to the feeding
fasting rhythms may be the most efficient way to restore the disrupted glucose
metabolism in liver.
PMID- 29351478
TI - Pentose phosphate pathway activity parallels lipogenesis but not antioxidant
processes in rat liver.
AB - The pentose phosphate pathway (PPP) is widely assumed to play a key role in both
reductive biosynthesis and protection from oxidative stress because it is the
major source of NADPH. However, little is known about the activity of the PPP in
fatty liver, which is characterized by both oxidative stress and lipogenesis.
This study was designed to test whether the PPP is active in parallel with
lipogenesis and antioxidant processes in the fatty liver of whole animals. Eight-
and 16-wk-old obese Zucker diabetic fatty rats and their lean littermates
received [U-13C3]glycerol, and 13C labeling patterns of glucose and triglycerides
were analyzed for the assessment of hepatic PPP activity and the potentially
related processes simultaneously. Oxidative stress, antioxidant activity, and
NADPH-producing enzymes in the liver were further examined. Both PPP activity and
lipogenesis increased in the fatty liver of young obese Zucker rats but decreased
together in older obese Zucker rats. As expected, lipid peroxidation measured by
malondialdehyde increased in the fatty liver of obese Zucker rats at both ages.
However, evidence for antioxidant processes such as [glutathione] or activities
of glutathione reductase, glutathione peroxidase, and catalase was not altered.
Hepatic PPP activity paralleled lipogenesis but was dissociated from biomarkers
of oxidative stress or antioxidant processes. In summary, NADPH from the PPP was
presumably consumed for reductive biosynthesis rather than antioxidant defense in
the fatty liver.
PMID- 29351479
TI - Using [2H]water to quantify the contribution of de novo palmitate synthesis in
plasma: enabling back-to-back studies.
AB - An increased contribution of de novo lipogenesis (DNL) may play a role in cases
of dyslipidemia and adipose accretion; this suggests that inhibition of fatty
acid synthesis may affect clinical phenotypes. Since it is not clear whether
modulation of one step in the lipogenic pathway is more important than another,
the use of tracer methods can provide a deeper level of insight regarding the
control of metabolic activity. Although [2H]water is generally considered a
reliable tracer for quantifying DNL in vivo (it yields a homogenous and
quantifiable precursor labeling), the relatively long half-life of body water is
thought to limit the ability of performing repeat studies in the same subjects;
this can create a bottleneck in the development and evaluation of novel
therapeutics for inhibiting DNL. Herein, we demonstrate the ability to perform
back-to-back studies of DNL using [2H]water. However, this work uncovered special
circumstances that affect the data interpretation, i.e., it is possible to obtain
seemingly negative values for DNL. Using a rodent model, we have identified a
physiological mechanism that explains the data. We show that one can use
[2H]water to test inhibitors of DNL by performing back-to-back studies in higher
species [i.e., treat nonhuman primates with platensimycin, an inhibitor of fatty
acid synthase]; studies also demonstrate the unsuitability of [13C]acetate.
PMID- 29351480
TI - Mechanistically different effects of fat and sugar on insulin resistance,
hypertension, and gut microbiota in rats.
AB - Insulin resistance (IR) and impaired glucose tolerance (IGT) are the first
manifestations of diet-induced metabolic alterations leading to Type 2 diabetes,
while hypertension is the deadliest risk factor of cardiovascular disease. The
roles of dietary fat and fructose in the development of IR, IGT, and hypertension
are controversial. We tested the long-term effects of an excess of fat or sucrose
(fructose/glucose) on healthy male Wistar-Kyoto (WKY) rats. Fat affects IR and
IGT earlier than fructose through low-grade systemic inflammation evidenced by
liver inflammatory infiltration, increased levels of plasma IL-6, PGE2, and
reduced levels of protective short-chain fatty acids without triggering
hypertension. Increased populations of gut Enterobacteriales and Escherichia coli
may contribute to systemic inflammation through the generation of
lipopolysaccharides. Unlike fat, fructose induces increased levels of
diacylglycerols (lipid mediators of IR) in the liver, urine F2-isoprostanes
(markers of systemic oxidative stress), and uric acid, and triggers hypertension.
Elevated populations of Enterobacteriales and E. coli were only detected in rats
given an excess of fructose at the end of the study. Dietary fat and fructose
trigger IR and IGT in clearly differentiated ways in WKY rats: early low-grade
inflammation and late direct lipid toxicity, respectively; gut microbiota plays a
role mainly in fat-induced IR, and hypertension is independent of inflammation
mediated IR. The results provide evidence that suggests that the combination of
fat and sugar is potentially more harmful than fat or sugar alone when taken in
excess.
PMID- 29351481
TI - Regulation of glucose metabolism in nondiabetic, metabolically obese normal
weight Asians.
AB - Type 2 diabetes in Asia occurs largely in the absence of obesity. The
metabolically obese normal-weight (MONW) phenotype refers to lean subjects with
metabolic dysfunction that is typically observed in people with obesity and is
associated with increased risk for diabetes. Previous studies evaluated MONW
subjects who had greater body mass index (BMI) or total body fat than respective
control groups, making interpretation of the results difficult. We evaluated
insulin sensitivity (hyperinsulinemic-euglycemic clamp); insulin secretion (mixed
meal with oral minimal modeling); intra-abdominal, muscle, and liver fat contents
(magnetic resonance); and fasting and postprandial glucose and insulin
concentrations in 18 MONW subjects and 18 metabolically healthy controls matched
for age (43 +/- 3 and 40 +/- 3 yr; P = 0.52), BMI (both 22 +/- 1 kg/m2; P =
0.69), total body fat (17 +/- 1 and 16 +/- 1 kg; P = 0.33), and sex (9 men and 9
women in each group). Compared with controls, MONW subjects had an approximately
twofold greater visceral adipose tissue volume and an approximately fourfold
greater intrahepatic fat content (but similar muscle fat), 20-30% lower glucose
disposal rates and insulin sensitivity, and 30-40% greater insulin secretion
rates (all P < 0.05). The disposition index, fasting glucose, and HbA1c
concentrations were not different between groups, whereas postprandial glucose
and insulin concentrations were ~15% and ~65% greater, respectively, in MONW than
control subjects (both P < 0.05). We conclude that the MONW phenotype is
associated with accumulation of fat in the intra-abdominal area and the liver,
profound insulin resistance, but also a robust beta-cell insulin secretion
response that compensates for insulin resistance and helps maintain glucose
homeostasis.
PMID- 29351482
TI - Suppression of gut dysbiosis reverses Western diet-induced vascular dysfunction.
AB - Vascular dysfunction represents a critical preclinical step in the development of
cardiovascular disease. We examined the role of the gut microbiota in the
development of obesity-related vascular dysfunction. Male C57BL/6J mice were fed
either a standard diet (SD) ( n = 12) or Western diet (WD) ( n = 24) for 5 mo,
after which time WD mice were randomized to receive either unsupplemented
drinking water or water containing a broad-spectrum antibiotic cocktail (WD +
Abx) ( n = 12/group) for 2 mo. Seven months of WD caused gut dysbiosis, increased
arterial stiffness (SD 412.0 +/- 6.0 vs. WD 458.3 +/- 9.0 cm/s, P < 0.05) and
endothelial dysfunction (28% decrease in max dilation, P < 0.05), and reduced l
NAME-inhibited dilation. Vascular dysfunction was accompanied by significant
increases in circulating LPS-binding protein (LBP) (SD 5.26 +/- 0.23 vs. WD 11 +/
0.86 ug/ml, P < 0.05) and interleukin-6 (IL-6) (SD 3.27 +/- 0.25 vs. WD 7.09 +/-
1.07 pg/ml, P < 0.05); aortic expression of phosphorylated nuclear factor-kappaB
(p-NF-kappaB) ( P < 0.05); and perivascular adipose expression of NADPH oxidase
subunit p67phox ( P < 0.05). Impairments in vascular function correlated with
reductions in Bifidobacterium spp. Antibiotic treatment successfully abrogated
the gut microbiota and reversed WD-induced arterial stiffness and endothelial
dysfunction. These improvements were accompanied by significant reductions in
LBP, IL-6, p-NF-kappaB, and advanced glycation end products (AGEs), and were
independent from changes in body weight and glucose tolerance. These results
indicate that gut dysbiosis contributes to the development of WD-induced vascular
dysfunction, and identify the gut microbiota as a novel therapeutic target for
obesity-related vascular abnormalities.
PMID- 29351483
TI - Prenatal exposure to diesel exhaust PM2.5 causes offspring beta cell dysfunction
in adulthood.
AB - Environmental stressors that encounter in early-life and cause abnormal fetal
and/or neonatal development may increase susceptibility to non-communicable
diseases such as diabetes. Maternal exposure to ambient fine particulate matter
(PM2.5) is associated with various fetal abnormalities, suggesting that it may
program offspring's susceptibility to diabetes. In the present study, we
therefore examined whether maternal exposure to diesel exhaust PM2.5 (DEP), one
of the major sources of ambient PM2.5 in urban areas, programs adult offspring's
glucose metabolism. Female C57Bl/6J mice were intratracheally instilled with DEP
or vehicle throughout a 7-wk preconceptional period, gestation, and lactation,
and the glucose homeostasis of their adult male offspring was assessed.
Intraperitoneal glucose tolerance test (IPGTT) revealed that the maternal
exposure to DEP significantly impaired adult male offspring's glucose tolerance.
Unexpectedly, it did not influence their insulin sensitivity, whereas it
significantly decreased their glucose-induced insulin secretion (GIIS). This
deficit in insulin secretion was corroborated by their significant decrease in
arginine-induced insulin secretion. Histological analysis demonstrated that the
deficit in insulin secretion was accompanied by the decrease in pancreatic islet
and beta cell sizes. To differentiate the effects of maternal exposure to DEP
before birth and during lactation, some offspring were cross-fostered once born.
We did not observe any significant effect of cross-fostering on the glucose
homeostasis of adult male offspring and the function and morphology of their beta
cells. Prenatal exposure to DEP programs the morphology and function of beta
cells and thus homeostatic regulation of glucose metabolism in adult male
offspring.
PMID- 29351484
TI - The serum metabolomics signature of type 2 diabetes is obscured in Alzheimer's
disease.
AB - There is evidence for systemic metabolic impairment in Alzheimer's disease (AD),
and type 2 diabetes (T2D) increases AD risk. Although studies analyzing blood
metabolomics signatures have shown differences between cognitively healthy (CH)
and AD subjects, these signatures have not been compared with individuals with
T2D. We utilized untargeted analysis platforms (primary metabolism and complex
lipids) to characterize the serum metabolome of 126 overnight-fasted elderly
subjects classified into four groups based upon AD status (CH or AD) and T2D
status [nondiabetic (ND) or T2D]. Cognitive diagnosis groups were a priori
weighted equally with T2D subjects. We hypothesized that AD subjects would
display a metabolic profile similar to cognitively normal elderly individuals
with T2D. However, partial least squares-discriminant analysis (PLS-DA) modeling
resulted in poor classification across the four groups (<50% classification
accuracy of test subjects). Binary classification of AD vs. CH was poor, but
binary classification of T2D vs. ND was good, providing >79.5% and >76.9%
classification accuracy for held-out samples using primary metabolism and complex
lipids, respectively. When modeling was limited to CH subjects, T2D
discrimination improved for the primary metabolism platform (>89.5%) and remained
accurate for complex lipids (>73% accuracy). Greater abundances of glucose, fatty
acids (C20:2), and phosphatidylcholines and lower abundances of glycine,
maleimide, octanol, and tryptophan, cholesterol esters, phosphatidylcholines, and
sphingomyelins were identified in CH subjects with T2D relative to those without
T2D. In contrast, T2D was not accurately discriminated within AD subjects.
Results herein suggest that AD may obscure the typical metabolic phenotype of
T2D.
PMID- 29351485
TI - Insulin signaling displayed a differential tissue-specific response to low-dose
dihydrotestosterone in female mice.
AB - Hyperandrogenemia and hyperinsulinemia are believed to play prominent roles in
polycystic ovarian syndrome (PCOS). We explored the effects of low-dose
dihydrotestosterone (DHT), a model of PCOS, on insulin signaling in metabolic and
reproductive tissues in a female mouse model. Insulin resistance in the energy
storage tissues is associated with type 2 diabetes. Insulin signaling in the
ovaries and pituitary either directly or indirectly stimulates androgen
production. Energy storage and reproductive tissues were isolated and molecular
assays were performed. Livers and white adipose tissue (WAT) from DHT mice
displayed lower mRNA and protein expression of insulin signaling intermediates.
However, ovaries and pituitaries of DHT mice exhibited higher expression levels
of insulin signaling genes/proteins. Insulin-stimulated p-AKT levels were blunted
in the livers and WAT of the DHT mice but increased or remained the same in the
ovaries and pituitaries compared with controls. Glucose uptake decreased in liver
and WAT but was unchanged in pituitary and ovary of DHT mice. Plasma membrane
GLUTs were decreased in liver and WAT but increased in ovary and pituitary of DHT
mice. Skeletal muscle insulin-signaling genes were not lowered in DHT mice
compared with control. DHT mice did not display skeletal muscle insulin
resistance. Insulin-stimulated glucose transport increased in skeletal muscles of
DHT mice compared with controls. DHT mice were hyperinsulinemic. However, the
differential mRNA and protein expression pattern was independent of
hyperinsulinemia in cultured hepatocytes and pituitary cells. These findings
demonstrate a differential effect of DHT on the insulin-signaling pathway in
energy storage vs. reproductive tissues independent of hyperinsulinemia.
PMID- 29351486
TI - Different physiological roles of insulin receptors in mediating nutrient
metabolism in zebrafish.
AB - Insulin, the most potent anabolic hormone, is critical for somatic growth and
metabolism in vertebrates. Type 2 diabetes, which is the primary cause of
hyperglycemia, results from an inability of insulin to signal glycolysis and
gluconeogenesis. Our previous study showed that double knockout of insulin
receptor a ( insra) and b ( insrb) caused beta-cell hyperplasia and lethality
from 5 to 16 days postfertilization (dpf) (Yang BY, Zhai G, Gong YL, Su JZ, Han
D, Yin Z, Xie SQ. Sci Bull (Beijing) 62: 486-492, 2017). In this study, we
characterized the physiological roles of Insra and Insrb, in somatic growth and
fueling metabolism, respectively. A high-carbohydrate diet was provided for
insulin receptor knockout zebrafish from 60 to 120 dpf to investigate phenotype
inducement and amplification. We observed hyperglycemia in both insra-/- fish and
insrb-/- fish. Impaired growth hormone signaling, increased visceral adiposity,
and fatty liver were detected in insrb-/- fish, which are phenotypes similar to
the lipodystrophy observed in mammals. More importantly, significantly diminished
protein levels of P-PPARalpha, P-STAT5, and IGF-1 were also observed in insrb-/-
fish. In insra-/- fish, we observed increased protein content and decreased lipid
content of the whole body. Taken together, although Insra and Insrb show
overlapping roles in mediating glucose metabolism through the insulin-signaling
pathway, Insrb is more prone to promoting lipid catabolism and protein synthesis
through activation of the growth hormone-signaling pathway, whereas Insra
primarily acts to promote lipid synthesis via glucose utilization.
PMID- 29351487
TI - Contribution of parasympathetic muscarinic augmentation of insulin secretion to
olanzapine-induced hyperinsulinemia.
AB - Atypical antipsychotic drugs have been associated with the development of obesity
and diabetes. In particular, olanzapine can induce peripheral insulin resistance
and compensatory hyperinsulinemia independent of weight gain or psychiatric
disease. To determine if this compensatory increase in insulin is mediated by
parasympathetic muscarinic stimulation, we randomized 15 healthy subjects 2:1 to
receive double-blind olanzapine or placebo for 9 days under diet- and activity
controlled inpatient conditions. Before and after 7 days of study drug
administration, subjects underwent frequently sampled intravenous glucose
tolerance tests with either saline or atropine infused on subsequent days to
assess insulin secretion and hepatic insulin extraction in the absence or
presence of muscarinic blockade. We found that olanzapine led to an increase in
the acute insulin response to glucose, which was not seen with placebo, and was
attenuated in the olanzapine group by atropine. Deconvolution of C-peptide data
confirmed an increase in insulin secretion with olanzapine, which was blocked by
atropine, with a modest reduction in hepatic insulin extraction with olanzapine.
These results support the contribution of muscarinic augmentation of insulin
secretion to olanzapine-induced hyperinsulinemia, and provide a mechanism for the
compensatory hyperinsulinemia that normally serves to prevent deterioration of
glucose tolerance under conditions of metabolic challenge.
PMID- 29351488
TI - Measurement of postprandial glucose fluxes in response to acute and chronic
endurance exercise in healthy humans.
AB - The effect of endurance exercise on enhancing insulin sensitivity and glucose
flux has been well established with techniques such as the hyperinsulinemic
clamp. Although informative, such techniques do not emulate the physiological
postprandial state, and it remains unclear how exercise improves postprandial
glycaemia. Accordingly, combining mixed-meal tolerance testing and the triple
stable isotope glucose tracer approach, glucose fluxes [rates of meal glucose
appearance (Ra), disposal (Rd), and endogenous glucose production (EGP)] were
determined following acute endurance exercise (1 h cycling; ~70% Vo2max) and 4 wk
of endurance training (cycling 5 days/wk). Training was associated with a modest
increase in Vo2max (~7%, P < 0.001). Postprandial glucose and insulin responses
were reduced to the same extent following acute and chronic training.
Interestingly, this was not accompanied by changes to rates of meal Ra, Rd, or
degree of EGP suppression. Glucose clearance (Rd relative to prevailing glucose)
was, however, enhanced with acute and chronic exercise. Furthermore, the duration
of EGP suppression was shorter with acute and chronic exercise, with EGP
returning toward fasting levels more rapidly than pretraining conditions. These
findings suggest that endurance exercise influences the efficiency of the
glucoregulatory system, where pretraining rates of glucose disposal and
production were achieved at lower glucose and insulin levels. Notably, there was
no influence of chronic training over and above that of a single exercise bout,
providing further evidence that glucoregulatory benefits of endurance exercise
are largely attributed to the residual effects of the last exercise bout.
PMID- 29351489
TI - Cooperative function of Pdx1 and Oc1 in multipotent pancreatic progenitors
impacts postnatal islet maturation and adaptability.
AB - The transcription factors pancreatic and duodenal homeobox 1 (Pdx1) and onecut1
(Oc1) are coexpressed in multipotent pancreatic progenitors (MPCs), but their
expression patterns diverge in hormone-expressing cells, with Oc1 expression
being extinguished in the endocrine lineage and Pdx1 being maintained at high
levels in beta-cells. We previously demonstrated that cooperative function of
these two factors in MPCs is necessary for proper specification and
differentiation of pancreatic endocrine cells. In those studies, we observed a
persistent decrease in expression of the beta-cell maturity factor MafA. We
therefore hypothesized that Pdx1 and Oc1 cooperativity in MPCs impacts postnatal
beta-cell maturation and function. Here our model of Pdx1-Oc1 double
heterozygosity was used to investigate the impact of haploinsufficiency for both
of these factors on postnatal beta-cell maturation, function, and adaptability.
Examining mice at postnatal day (P) 14, we observed alterations in pancreatic
insulin content in both Pdx1 heterozygotes and double heterozygotes. Gene
expression analysis at this age revealed significantly decreased expression of
many genes important for glucose-stimulated insulin secretion (e.g., Glut2,
Pcsk1/2, Abcc8) exclusively in double heterozygotes. Analysis of P14 islets
revealed an increase in the number of mixed islets in double heterozygotes. We
predicted that double-heterozygous beta-cells would have an impaired ability to
respond to stress. Indeed, we observed that beta-cell proliferation fails to
increase in double heterozygotes in response to either high-fat diet or placental
lactogen. We thus report here the importance of cooperation between regulatory
factors early in development for postnatal islet maturation and adaptability.
PMID- 29351492
TI - Moyamoya (????): When cerebral arteries go up in smoke.
PMID- 29351491
TI - Pneumococcal meningitis trends after pneumococcal conjugate vaccine introduction
in Colombia: An interrupted time-series analysis.
AB - Colombia introduced mass pneumococcal conjugate vaccination at the end of 2011.
Using 2005-2015 surveillance data, we conducted a retrospective interrupted time
series analysis. A significant trend towards reduced monthly was observed in the
post-vaccination period (2012-2015) compared with the expected rate, reaching in
2015 a reduction of 90.5% of pneumococcal meningitis. This trend was not observed
for control diseases.
PMID- 29351490
TI - Significant improvement in cardiometabolic health in healthy nonobese individuals
during caloric restriction-induced weight loss and weight loss maintenance.
AB - Calorie restriction (CR) triggers benefits for healthspan including decreased
risk of cardiometabolic disease (CVD). In an ancillary study to CALERIE 2, a 24
mo 25% CR study, we assessed the cardiometabolic effects of CR in 53 healthy,
nonobese (BMI: 22-28 kg/m2) men ( n = 17) and women ( n = 36). The aim of this
study was to investigate whether CR can reduce risk factors for CVD and insulin
resistance in nonobese humans and, moreover, to assess whether improvements are
exclusive to a period of weight loss or continue during weight maintenance.
According to the energy balance method, the 25% CR intervention ( n = 34)
produced 16.5 +/- 1.5% (mean +/- SE) and 14.8 +/- 1.5% CR after 12 and 24 mo
(M12, M24), resulting in significant weight loss (M12 -9 +/- 0.5 kg, M24 -9 +/-
0.5 kg, P < 0.001). Weight was maintained in the group that continued their
habitual diet ad libitum (AL, n = 19). In comparison to AL, 24 mo of CR decreased
visceral (-0.5 +/- 0.01 kg, P < 0.0001) and subcutaneous abdominal adipose tissue
(-1.9 +/- 0.2kg, P < 0.001) as well as intramyocellular lipid content (-0.11 +/-
0.05%, P = 0.031). Furthermore, CR decreased blood pressure (SBP -8 +/- 3 mmHg, P
= 0.005; DBP -6 +/- 2 mmHg, P < 0.001), total cholesterol (-13.6 +/- 5.3 mg/dl, P
= 0.001), and LDL-cholesterol (-12.9 +/- 4.4 mg/dl, P = 0.005), and the 10-yr
risk of CVD-disease was reduced by 30%. Homeostasis model assessment of insulin
resistance (HOMA-IR) decreased during weight loss in the CR group (-0.46 +/-
0.15, P = 0.003), but this decrease was not maintained during weight maintenance
(-0.11 +/- 0.15, P = 0.458). In conclusion, sustained CR in healthy, nonobese
individuals is beneficial in improving risk factors for cardiovascular and
metabolic disease such as visceral adipose tissue mass, ectopic lipid
accumulation, blood pressure, and lipid profile, whereas improvements in insulin
sensitivity were only transient.
PMID- 29351493
TI - Test-retest reliability of the unsupported upper-limb exercise test (UULEX) and 6
min peg board ring test (6PBRT) in healthy adult individuals.
AB - BACKGROUND: The unsupported upper-limb exercise (UULEX) and 6-min peg board ring
tests (6PBRTs) have been developed to measure peak unsupported arm exercise
capacity and arm endurance, respectively, in individuals with chronic obstructive
pulmonary disease. These tests are valid and reproducible in this population;
however, the reproducibility of healthy adults is currently unknown. OBJECTIVE:
To determine the within-day test-retest reliability of the UULEX and 6PBRT in
healthy adults. METHOD: The study included 41 healthy adults, aged 38.3 +/- 17.9
years old, who performed both tests, twice each on the same day, with a 30-min
rest in between. Before and immediately after the tests, blood pressure (BP),
heart rate (HR), perception of exertion, and arm fatigue were measured. Time to
perform the test and number of rings moved were recorded for the UULEX and 6PBRT,
respectively. RESULTS: The UULEX was reproducible on Bland-Altman analysis with
lower and upper limits of agreement: 2.40 and -2.49 min, respectively (bias =
0.05; p = 0.817) and intraclass correlation coefficient (ICC) = 0.85 (p < 0.0001)
for time in minutes for the first and second tests. The 6PBRT was not
reproducible on Bland-Altman analysis with lower and upper limits of agreement of
31.64 and -114.54 (bias = -41.45; p < 0.0001) and ICC = 0.91 (p < 0.0001) for the
number of rings moved. CONCLUSION: UULEX is a reliable test in healthy adults.
Only one test is adequate when measuring peak unsupported arm exercise capacity
using the UULEX in healthy adults, while more than two tests may be needed to
measure arm endurance using the 6PBRT.
PMID- 29351494
TI - Factors Associated with Sarcopenia in Patients with Colorectal Cancer.
AB - Introduction; Sarcopenia are frequently observed in cancer patients and was
associated with poor prognosis. Objectives; to determine the association of
nutritional status, body composition, and clinic parameters with sarcopenia in
patients with colorectal cancer (CRC). Methods; We conducted a cross-sectional
study of 197 patients with CRC. The sarcopenia elements, including lumbar
skeletal muscle index (SMI), handgrip strength, and gait speed were measured. The
SMI was assessed by computed tomography at third lumbar vertebra. Phase angle
(PA), serum albumin (SAlb), muscle attenuation (MA), and the scored patient
generated subjective global assessment (PG-SGA) were also evaluated. Univariate
and multivariate analysis of factors associated with sarcopenia were performed.
Results; Sarcopenia was present in 29 of 195 patients (15%) and was significantly
correlated with advance age, lower body mass index (BMI), SAlb, PA, MA, higher PG
SGA score, and malnutrition (PG-SGA B). In univariate analysis, age, BMI, SAlb,
PA, MA, PG-SGA score, and malnutrition (PG-SGA B) were associated with
sarcopenia. Multivariable analysis revealed that BMI, SAlb, PA, MA, and PG-SGA
score were independent predictors of sarcopenia. Conclusion; BMI, SAlb, PA, MA,
and PG-SGA score were independent predictors of sarcopenia in patients with CRC.
PMID- 29351495
TI - Implementing Prehospital Evidence-Based Guidelines: A Systematic Literature
Review.
AB - OBJECTIVE: As prehospital research advances, more evidence-based guidelines
(EBGs) are implemented into emergency medical services (EMS) practice. However,
incomplete or suboptimal prehospital EBG implementation may hinder improvement in
patient outcomes. To inform future efforts, this study's objective was to review
existing evidence pertaining to prehospital EBG implementation methods. METHODS:
This study was a systematic literature review and evaluation following the
Grading of Recommendations, Assessment, Development, and Evaluation (GRADE)
methodology. PubMed, EMBASE, Scopus, and Google Advanced Search were searched
without language or publication date filters for articles addressing prehospital
EBG implementation. Conference proceedings, textbooks, and non-English articles
were excluded. GRADE was applied to the remaining articles independently by three
of five study investigators. Study characteristics and salient findings from the
included articles are reported. RESULTS: The systematic literature review
identified 1,367 articles, with 41 meeting inclusion criteria. Most articles
described prehospital EBG implementation (n = 24, 59%), or implementation
barriers (n = 13, 32%). Common study designs were statement documents (n = 12,
29%), retrospective cohort studies (n = 12, 29%), and cross-sectional studies (n
= 9, 22%). Using GRADE, evidence quality was rated low (n = 18, 44%), or very low
(n = 23, 56%). Salient findings from the articles included: (i) EBG adherence and
patient outcomes depend upon successful implementation, (ii) published studies
generally lack detailed implementation methods, (iii) EBG implementation takes
longer than planned (mostly for EMS education), (iv) EMS systems' heterogeneity
affects EBG implementation, and (v) multiple barriers limit successful
implementation (e.g., financial constraints, equipment purchasing, coordination
with hospitals, and regulatory agencies). This review found no direct evidence
for best prehospital EBG implementation practices. There were no studies
comparing implementation methods or implementation in different prehospital
settings (e.g., urban vs. rural, advanced vs. basic life support). CONCLUSIONS:
While prehospital EBG implementation barriers are well described, there is a
paucity of evidence for optimal implementation methods. For scientific advances
to reach prehospital patients, EBG development efforts must translate into EMS
practice. Future research should consider comparing implementation methodologies
in different prehospital settings, with a goal of defining detailed, reproducible
best practices.
PMID- 29351496
TI - Implementation of a Prehospital Protocol Change For Asthmatic Children.
AB - BACKGROUND: Respiratory distress due to asthma is a common reason for pediatric
emergency medical services (EMS) transports. Timely initiation of asthma
treatment, including glucocorticoids, improves hospital outcomes. The impact of
EMS-administered glucocorticoids on hospital-based outcomes for pediatric asthma
patients is unknown. OBJECTIVE: The objective of this study was to evaluate the
effect of an evidence-based pediatric EMS asthma protocol update, inclusive of
oral glucocorticoid administration, on time to hospital discharge. METHODS: This
was a retrospective cohort study of children (2-18 years) with an acute asthma
exacerbation transported by an urban EMS system to 10 emergency departments over
2 years. The investigators implemented an EMS protocol update one year into the
study period requiring glucocorticoid administration for all patients, with the
major change being inclusion of oral dexamethasone (0.6 mg/kg, max. dose = 10
mg). Protocol implementation included mandatory paramedic training. Data was
abstracted from linked prehospital and hospital records. Continuous data were
compared before and after the protocol change with the Mann-Whitney test, and
categorical data were compared with the Pearson chi2 test. RESULTS: During the
study period, 482 asthmatic children met inclusion criteria. After the protocol
change, patients were more likely to receive a prehospital glucocorticoid (11%
vs. 18%, p = 0.02). Median total hospital time after the protocol change
decreased from 6.1 hours (95% CI: 5.4-6.8) to 4.5 hours (95% CI: 4.2-4.8), p <
0.001. Total care time, defined as time from ambulance arrival to hospital
discharge, also decreased [6.6 hours (95% CI: 5.8-7.3) vs. 5.2 hours (95% CI: 4.8
5.6), p = 0.01]. Overall, patients were less likely to be admitted to the
hospital (30% vs. 21%, p = 0.02) after the change. Those with more severe
exacerbations were less likely to be admitted to a critical care unit (82% vs.
44%, p = 0.02) after the change, rather than an acute care floor. CONCLUSIONS:
Prehospital protocol change for asthmatic children is associated with shorter
total hospital and total care times. This protocol change was also associated
with decreased hospitalization rates and less need for critical care in those
hospitalized. Further study is necessary to determine if other factors also
contributed.
PMID- 29351497
TI - Screen Targeting Lung and Prostate Cancer Oncogene Identifies Novel Inhibitors of
RGS17 and Problematic Chemical Substructures.
AB - Regulator of G protein signaling (RGS) proteins temporally regulate
heterotrimeric G protein signaling cascades elicited by G protein-coupled
receptor activation and thus are essential for cell homeostasis. The
dysregulation of RGS protein expression has been linked to several pathologies,
spurring discovery efforts to identify small-molecule inhibitors of these
proteins. Presented here are the results of a high-throughput screening (HTS)
campaign targeting RGS17, an RGS protein reported to be inappropriately
upregulated in several cancers. A screen of over 60,000 small molecules led to
the identification of five hit compounds that inhibit the RGS17-Galphao protein
protein interaction. Chemical and biochemical characterization demonstrated that
three of these hits inhibited the interaction through the decomposition of parent
compound into reactive products under normal chemical library storage/usage
conditions. Compound substructures susceptible to decomposition are reported and
the decomposition process characterized, adding to the armamentarium of tools
available to the screening field, allowing for the conservation of resources in
follow-up efforts and more efficient identification of potentially decomposed
compounds. Finally, analogues of one hit compound were tested, and the results
establish the first ever structure-activity relationship (SAR) profile for a
small-molecule inhibitor of RGS17.
PMID- 29351498
TI - High-power diode laser on management of drug-induced gingival overgrowth: Report
of two cases and long-term follow-up.
AB - BACKGROUND: Drug-induced gingival overgrowth (DIGO) is attributed mainly to the
prolonged use of calcium channel blocking agents, anticonvulsants, and anti
calcineurin inhibitors . The management of DIGO depends on the severity of the
disease and includes surgical intervention and plaque control. Compared to
conventional surgical treatment, the recent literature data have shown that
gingivectomy using a high-power laser (HPL) is a short and easy procedure, which
results in minimal postoperative discomfort and greater patient accep- tance.
OBJECTIVE AND METHODS: The purpose of this study was to report two cases of
recurrent DIGO treated surgically using HPL (lambda 808nm, 1.5W). RESULTS:
Minimal bleeding and discomfort were observed during surgery, and patients
reported no pain or bleeding after the procedure. After 1 year of follow-up,
patients presented a minimal increase of gingival volume, indicating that laser
technology can improve the efficiency and prognosis of DIGO. CONCLUSIONS: The HPL
was able to preventing hemorrhage and improving the patient's collaboration with
the treatment and quality of life.
PMID- 29351499
TI - Graduate speech-language pathology students' initial self-perceptions of skills
critical for interprofessional practice.
AB - Interprofessional collaborative practice is critical for quality service
delivery. Given the limited research on speech-language pathology (SLP) students'
interprofessional knowledge and skills, this investigation assessed graduate SLP
students' self-perceived interprofessional competence and their perceptions of
roles of other professionals. Fifty-eight SLP students completed two validated
surveys at the beginning of the first or second semester of graduate school.
Collectively, the students rated themselves positively on communication,
teamwork, attitudes toward interprofessional learning, and professional
relationships. They rated the interactions of other professionals negatively. No
significant differences were found between first- and second-semester students on
communication, teamwork, and attitudes toward interprofessional learning. First
semester students rated interprofessional interactions and personal
interprofessional relationships more positively than second-semester students.
Overall, the students rated other professionals positively. These data describe
the initial self-perceived interprofessional competencies of SLP students early
in their master's program, providing direction in designing interprofessional
experiences for SLP students and practicing clinicians.
PMID- 29351501
TI - Pediatric Anaphylaxis in the Prehospital Setting: Incidence, Characteristics, and
Management.
AB - OBJECTIVE: Although hospital presentations for pediatric anaphylaxis have been
described in the literature, a minimal amount is known regarding the incidence,
characteristics, and management of pediatric anaphylaxis presenting to emergency
medical services (EMS). METHODS: We performed a retrospective observational study
of pediatrics (<=16 years) presenting to EMS in Victoria, Australia. Patients
with suspected anaphylaxis were included if they were treated with epinephrine
before or after EMS arrival. We used descriptive statistics to compare baseline
characteristics and linear regression to assess trends in incidence over time.
RESULTS: Between July 2008 and June 2016, we identified 2,137 pediatric
anaphylaxis presentations. Overall, 59% were male and 70% had pre-existing
anaphylaxis. The age-adjusted incidence increased over the study period, from
11.8 presentations per 100,000 person-years in 2008-09 to 38.7 in 2015-16 (p for
trend < 0.001). Common suspected allergens included nuts (52%) and dairy/milk
formula (17%). In total, 1,333 (62%) patients received epinephrine via an
autoinjector, and 51 (2%) from a doctor before EMS arrival. When compared to
patients receiving epinephrine after EMS arrival, patients treated prior were
more likely to present with vital signs within normal limits, including heart
rate (66% vs. 84%, p < 0.001), systolic blood pressure (77% vs. 93%, p < 0.001)
and respiratory rate (79% vs. 91%, p < 0.001). The most common EMS interventions
were intramuscular epinephrine (45%) and inhaled salbutamol (14%). Three out-of
hospital cardiac arrests were observed, two of whom received endotracheal
intubation. CONCLUSION: The incidence of prehospital pediatric anaphylaxis is
increasing significantly. Despite this, most patients are hemodynamically stable
on presentation and few require emergency treatments beyond the administration of
intramuscular epinephrine.
PMID- 29351500
TI - Differential Learning as a Key Training Approach to Improve Creative and Tactical
Behavior in Soccer.
AB - PURPOSE: The aim of this study was to identify the effects of a differential
learning program, embedded in small-sided games, on the creative and tactical
behavior of youth soccer players. Forty players from under-13 (U13) and under-15
(U15) were allocated into control and experimental groups and were tested using a
randomized pretest to posttest design using small-sided games situations. METHOD:
The experimental group participated in a 5-month differential-learning program
embodied in small-sided games situations, while the control group participated in
a typical small-sided games training program. In-game creativity was assessed
through notational analyses of the creative components, and the players'
positional data were used to compute tactical-derived variables. RESULTS: The
findings suggested that differential learning facilitated the development of
creative components, mainly concerning attempts (U13, small; U15, small),
versatility (U13, moderate; U15, small), and originality (U13, unclear; U15,
small) of players' actions. Likewise, the differential-learning approach provided
a decrease in fails during the game in both experimental groups (moderate).
Moreover, differential learning seemed to favor regularity in pitch-positioning
behavior for the distance between players' dyads (U13, small; U15, small), the
distance to the team target (U13, moderate; U15, small), and the distance to the
opponent target (U13, moderate; U15, small). CONCLUSIONS: The differential
learning program stressed creative and positional behavior in both age groups
with a distinct magnitude of effects, with the U13 players demonstrating higher
improvements over the U15 players. Overall, these findings confirmed that the
technical variability promoted by differential learning nurtures regularity of
positioning behavior.
PMID- 29351502
TI - Malignant Melanoma: How Do We Meet the Needs of Young People and Their Families?
PMID- 29351503
TI - Prehospital Intubation: Further Confounders in Trial Results.
PMID- 29351504
TI - A systematic literature review of physiotherapy and rehabilitation approaches to
lower-limb amputation.
AB - BACKGROUND: Successful use of prostheses after lower-limb amputation (LLA)
depends on undergoing physiotherapy and rehabilitation both physically and
psychologically. The aim of this systematic literature review is to
systematically review the scientific evidence regarding prosthetic rehabilitation
and physiotherapy after LLA. METHODS: A systematic literature search was
conducted using PubMed, Web of Science, Cochrane, CINAHL, EMBASE, SCOPUS, and EMB
Reviews databases on December 31, 2015. Studies with the search keywords were
identified and independently assessed by reviewers. The search yielded 403
potentially relevant articles after the removal of duplicates. Of these, only
nine articles met the inclusion criteria. All studies were original articles, one
of which was a randomized controlled study. Different measurement methods were
used and positive results in terms of functional status, weight-lifting capacity
with prosthesis, walking and balance ability, and acute care process were gained
with a physiotherapy program. Conventional methods still possess high importance;
however, it is safe to say that virtual reality and software-based programs for
rehabilitation are increasingly being developed and getting more and more
support. DISCUSSION: LLA rehabilitation is a topic that requires the focus of
current and future studies; evidence-based studies are required on the approaches
to rehabilitation for specific LLA groups.
PMID- 29351505
TI - Helping, mediating, and gaining recognition: The everyday identity work of
Romanian health social workers.
AB - Health social work is a field with challenges, opportunities, and ways of
professing social work that may vary between different national contexts. In this
article, we look at how Romanian health social workers construct their
professional identity through their everyday identity work. Drawing on a
qualitative study based on interviews with 21 health social workers working in
various organizational contexts, we analyze what health social workers say they
do and how this shapes their self-conception as professionals. Four main themes
emerged from participants' descriptions: being a helping professional, being a
mediator, gaining recognition, and contending with limits. Through these themes,
participants articulated the everyday struggles and satisfactions specific to
working as recently recognized professionals in Romanian health and welfare
systems not always supportive of their work.
PMID- 29351506
TI - Scaling Constraints in Junior Tennis: The Influence of Net Height on Skilled
Players' Match-Play Performance.
AB - PURPOSE: The net height in tennis (0.91 m) is approximately 50% of a professional
tennis player's height. Children are also expected to play with this net height,
even though it is approximately 70% of the average 10-year-old's height. This
study examined the immediate effect of lowering net height on the performance
characteristics of skilled junior tennis players aged 10 years and younger.
METHOD: Sixteen players were matched in 8 pairs of even tennis ability and same
sex. Each pair played 25-min singles matches in 4 conditions that varied in net
height (0.91 m, 0.78 m, 0.65 m, and 0.52 m). Match-play characteristics were
analyzed via video replay. RESULTS: Results showed that lowering the net height
to 0.65 m and 0.52 m led to players adopting a more attacking style of play, as
evidenced by a significant increase in the number of winners without a
commensurate increase in errors and more shots struck inside the baseline. Lower
nets also led to a greater percentage of successful first serves. The lowest net
(0.52 m), however, reduced rally length significantly and therefore decreased
hitting opportunities. CONCLUSION: These results offer support for equipment
scaling to enhance match-play performance for skilled junior tennis players. We
propose that current net height recommendations for junior tennis should be
revised.
PMID- 29351507
TI - "I Smoke Like This to Suppress These Issues That Are Flaws of My Character":
Challenges and Facilitators of Cessation Among Smokers With Bipolar Disorder.
AB - OBJECTIVE: Smokers with bipolar disorder (BD) have low rates of successful
quitting, yet no prior studies have evaluated the process of quitting among these
smokers in the context of a current quit attempt. To facilitate development of
more effective interventions, we conducted a qualitative exploration of
challenges and facilitators of quitting in an intervention study for smokers with
BD. METHODS: Participants were adult daily smokers with BD (n = 10) who completed
a 10-week smoking cessation intervention consisting of Acceptance and Commitment
Therapy (ACT) and nicotine patch. We administered semistructured interviews
focused on the quitting process at the end of treatment and used inductive
content analysis to extract themes. RESULTS: Emergent themes representing
challenges of quitting included social impediments, lack of awareness, avoidance,
maladaptive beliefs, ambivalence, benefits of smoking, and difficulties with
nicotine replacement. Themes representing change facilitators included positive
treatment effects (ACT-specific, nonspecific, and nicotine patch-related), coping
behaviors, reasons to quit, changes in self-perception, and social benefits.
CONCLUSIONS: Results suggest a need for assistance with obtaining social support
and handling social impediments, interrupting the automaticity of smoking,
expanding the behavioral repertoire to handle aversive internal states that tend
to be avoided by smoking, preventing maladaptive beliefs from interfering with
quitting, taking meaningful action toward change while experiencing ambivalence,
either replacing the benefits of smoking or accepting their loss, and
troubleshooting difficulties with nicotine replacement. Findings regarding
facilitators of quitting supported previous quantitative findings that the ACT
intervention impacted theory-based targets and highlighted the importance of the
counseling relationship.
PMID- 29351508
TI - Gaze Behavior of Gymnastics Judges: Where Do Experienced Judges and Gymnasts Look
While Judging?
AB - : Gymnastics judges and former gymnasts have been shown to be quite accurate in
detecting errors and accurately judging performance. PURPOSE: The purpose of the
current study was to examine if this superior judging performance is reflected in
judges' gaze behavior. METHOD: Thirty-five judges were asked to judge 21 gymnasts
who performed a skill on the vault in a video-based test. Classifying 1 sample on
2 different criteria, judging performance and gaze behavior were compared between
judges with a higher license level and judges with a lower license level and
between judges who were able to perform the skill (specific motor experience
[SME]) and those who were not. RESULTS: The results revealed better judging
performance among judges with a higher license level compared with judges with a
lower license level and more fixations on the gymnast during the whole skill and
the landing phase, specifically on the head and arms of the gymnast. Specific
motor experience did not result in any differences in judging performance;
however, judges with SME showed similar gaze patterns to those of judges with a
high license level, with 1 difference in their increased focus on the gymnasts'
feet. CONCLUSION: Superior judging performance seems to be reflected in a
specific gaze behavior. This gaze behavior appears to partly stem from judges'
own sensorimotor experiences for this skill and reflects the gymnasts'
perspective onto the skill.
PMID- 29351509
TI - Social safety in jeopardy due to gendered masculinity.
PMID- 29351510
TI - Scapular focused interventions to improve shoulder pain and function in adults
with subacromial pain: A systematic review and meta-analysis.
AB - The relationship between subacromial pain syndrome (SAPS) and altered scapular
movement has been previously reported. The purpose of this review was to
determine the effect of interventions that focus on addressing scapular
components to improve shoulder pain, function, shoulder range of motion (ROM),
and muscle strength in adults with SAPS. Databases searched in September 2016
were: PubMed, the Cochrane Central Register of Controlled Trials [Central],
EMBASE [via Ovid] and PEDro. All studies selected for this review were randomized
controlled trials. In total, six studies met the inclusion criteria and were
included in the meta-analyses. In adults with SAPS, scapular focused
interventions significantly improved pain with activities (MD [95% CI] = -0.88 [
1.19 to -0.58], I2 43%) and shoulder function (-11.31 [-17.20 to -5.41] I2 65%)
in the short term. No between-group difference in shoulder pain and function were
found at follow up (4 weeks). A between-group difference in shoulder abduction
ROM in the short term only was found (12.71 [7.15 to 18.26] degrees , I2 36%). No
between-group difference in flexion ROM, supraspinatus muscle strength,
pectoralis minor length or forward shoulder posture were found. In conclusion, in
adults with SAPS, scapular focused interventions can improve short-term shoulder
pain and function.
PMID- 29351511
TI - Chemogenetic Tools for Causal Cellular and Neuronal Biology.
AB - Chemogenetic technologies enable selective pharmacological control of specific
cell populations. An increasing number of approaches have been developed that
modulate different signaling pathways. Selective pharmacological control over G
protein-coupled receptor signaling, ion channel conductances, protein
association, protein stability, and small molecule targeting allows modulation of
cellular processes in distinct cell types. Here, we review these chemogenetic
technologies and instances of their applications in complex tissues in vivo and
ex vivo.
PMID- 29351514
TI - The ACE2/Angiotensin-(1-7)/MAS Axis of the Renin-Angiotensin System: Focus on
Angiotensin-(1-7).
AB - The renin-angiotensin system (RAS) is a key player in the control of the
cardiovascular system and hydroelectrolyte balance, with an influence on organs
and functions throughout the body. The classical view of this system saw it as a
sequence of many enzymatic steps that culminate in the production of a single
biologically active metabolite, the octapeptide angiotensin (ANG) II, by the
angiotensin converting enzyme (ACE). The past two decades have revealed new
functions for some of the intermediate products, beyond their roles as substrates
along the classical route. They may be processed in alternative ways by enzymes
such as the ACE homolog ACE2. One effect is to establish a second axis through
ACE2/ANG-(1-7)/MAS, whose end point is the metabolite ANG-(1-7). ACE2 and other
enzymes can form ANG-(1-7) directly or indirectly from either the decapeptide ANG
I or from ANG II. In many cases, this second axis appears to counteract or
modulate the effects of the classical axis. ANG-(1-7) itself acts on the receptor
MAS to influence a range of mechanisms in the heart, kidney, brain, and other
tissues. This review highlights the current knowledge about the roles of ANG-(1
7) in physiology and disease, with particular emphasis on the brain.
PMID- 29351516
TI - In the Shadow of Giants: Challenges and Opportunities for the New Editor of
Physiological Reviews.
PMID- 29351515
TI - Understanding Key Mechanisms of Exercise-Induced Cardiac Protection to Mitigate
Disease: Current Knowledge and Emerging Concepts.
AB - The benefits of exercise on the heart are well recognized, and clinical studies
have demonstrated that exercise is an intervention that can improve cardiac
function in heart failure patients. This has led to significant research into
understanding the key mechanisms responsible for exercise-induced cardiac
protection. Here, we summarize molecular mechanisms that regulate exercise
induced cardiac myocyte growth and proliferation. We discuss in detail the
effects of exercise on other cardiac cells, organelles, and systems that have
received less or little attention and require further investigation. This
includes cardiac excitation and contraction, mitochondrial adaptations, cellular
stress responses to promote survival (heat shock response, ubiquitin-proteasome
system, autophagy-lysosomal system, endoplasmic reticulum unfolded protein
response, DNA damage response), extracellular matrix, inflammatory response, and
organ-to-organ crosstalk. We summarize therapeutic strategies targeting known
regulators of exercise-induced protection and the challenges translating findings
from bench to bedside. We conclude that technological advancements that allow for
in-depth profiling of the genome, transcriptome, proteome and metabolome,
combined with animal and human studies, provide new opportunities for
comprehensively defining the signaling and regulatory aspects of cell/organelle
functions that underpin the protective properties of exercise. This is likely to
lead to the identification of novel biomarkers and therapeutic targets for heart
disease.
PMID- 29351517
TI - Caregiver Difficulties Scale (CDS): Translation and Psychometric Evaluation Among
Iranian Mothers of Cerebral Palsy Children.
AB - The aim of this study was to investigate of psychometric properties of the
Caregiver Difficulties Scale (CDS) for Iranian caregivers of children with
cerebral palsy (CP). After a forward-backward translation, the Persian version of
CDS (P-CDS) was administered to 151 Iranian mothers of CP children. The
confirmatory factor analysis (CFA) was applied to measure the factor structure of
P-CDS. The reliability was evaluated by examining internal consistency and test
retest method over a 2-week period using Cronbach's alpha and the intraclass
correlation coefficient (ICC), respectively. The construct validity was assessed
by measuring the association between the scores of the P-CDS and Caregiver Burden
Scale (CBS), World Health Organization Quality of Life (WHOQOL-BREF), the Beck
Depression Index (BDI II), and the Fatigue Severity Scale (FSS). The fit indices
showed that the original model of CDS was relatively adequate (chi2/df = 2.03,
CFI = 0.90, TLI = 0.88 and RMSEA = 0.08). All domains of P-CDS met the minimum
reliability standards (Cronbach'salpha and ICC > 0.7). All subscales of P-CDS
were positively correlated with the CBS, BDI-II and FSS and negatively correlated
with the WHOQOL-BREF. The results showed that P-CDS is a valid and reliable
measure for assessing the burden of care in Iranian mothers of CP children.
PMID- 29351519
TI - Websites Related to Volume 32, Issue 1.
PMID- 29351518
TI - "I'm still dad": The Impact of Scleroderma on being a Father.
AB - The purpose of this study was to describe the experiences of fathers with
scleroderma. Ten fathers with scleroderma were interviewed by telephone.
Interviews were tape-recorded and transcribed verbatim. Two key themes emerged
related to the emotional impact of the illness and the day to day realities of
the illness with the unpredictability and rareness of the illness leading to
ongoing feelings of isolation and fear of mortality. The negative influences of
being a father with scleroderma included the inability of the fathers to
participate in physical activities with their children such as outdoor sports and
throwing balls. Being able to spend quality time with the child was a positive
influence of the illness.
PMID- 29351521
TI - Corrigendum.
PMID- 29351520
TI - Beyond Clinical Complexity: Nonmedical Barriers to Nursing Home Care for Rural
Residents.
AB - We conducted a qualitative content analysis of barriers to nursing home admission
for rural residents. Data came from semi-structured interviews with 23 rural
hospital discharge planners across five states (Georgia, Idaho, Minnesota,
Pennsylvania, and Wisconsin). From those, we identified four themes around
nonmedical barriers to rural nursing home placement with particular salience in
rural areas: financial issues, transportation, nursing home availability and
infrastructure, and timeliness. We also identified policy and programmatic
interventions across four themes: loosen bureaucratic requirements, improve
communication between facilities, increase rural long-term care capacity, and
address underlying social determinants of health.
PMID- 29351522
TI - Evolution of AO Fracture Treatment Part 1: the Internal Fixator.
AB - Surgical fracture treatment has undergone an extensive evolution in the past
decades. In the early days achieving solid healing in anatomically reduced
position was the primary and nearly exclusive goal of fracture treatment. Since
mainly Lambotte, Danis and Muller in Europe the focus of surgical fracture
treatment shifted to achieving early recovery of the function of the injured limb
with safe healing. Considering the shortcomings of the early fracture treatment
helps understanding the evolution of surgical fracture treatment. The evolution
of the biomechanical and biological principles of AO plate fixation are discussed
as a model.
PMID- 29351513
TI - Neuroimmune Interactions: From the Brain to the Immune System and Vice Versa.
AB - Because of the compartmentalization of disciplines that shaped the academic
landscape of biology and biomedical sciences in the past, physiological systems
have long been studied in isolation from each other. This has particularly been
the case for the immune system. As a consequence of its ties with pathology and
microbiology, immunology as a discipline has largely grown independently of
physiology. Accordingly, it has taken a long time for immunologists to accept the
concept that the immune system is not self-regulated but functions in close
association with the nervous system. These associations are present at different
levels of organization. At the local level, there is clear evidence for the
production and use of immune factors by the central nervous system and for the
production and use of neuroendocrine mediators by the immune system. Short-range
interactions between immune cells and peripheral nerve endings innervating immune
organs allow the immune system to recruit local neuronal elements for fine tuning
of the immune response. Reciprocally, immune cells and mediators play a
regulatory role in the nervous system and participate in the elimination and
plasticity of synapses during development as well as in synaptic plasticity at
adulthood. At the whole organism level, long-range interactions between immune
cells and the central nervous system allow the immune system to engage the rest
of the body in the fight against infection from pathogenic microorganisms and
permit the nervous system to regulate immune functioning. Alterations in
communication pathways between the immune system and the nervous system can
account for many pathological conditions that were initially attributed to strict
organ dysfunction. This applies in particular to psychiatric disorders and
several immune-mediated diseases. This review will show how our understanding of
this balance between long-range and short-range interactions between the immune
system and the central nervous system has evolved over time, since the first
demonstrations of immune influences on brain functions. The necessary
complementarity of these two modes of communication will then be discussed.
Finally, a few examples will illustrate how dysfunction in these communication
pathways results in what was formerly considered in psychiatry and immunology to
be strict organ pathologies.
PMID- 29351523
TI - Treatment of Geriatric Proximal Humerus Fractures: Indications and Outcome.
AB - Treatment algorithms of proximal humerus fractures are still controversially
discussed. The enthusiasm towards operative treatment after the introduction of
locking implants, has not been justified by the functional results in the elderly
population. The majority of those fractures in the geriatric patients can be
successfully treated conservatively. Thorough clinical and radiological
examination for fracture analysis, dynamic stability control with the use of an
image intensifier, and meticulous reduction, in addition with the appropriate
orthesis for its retention and rehabilitation, are the keys for the successful
treatment of the proximal humerus fracture in the elderly patient. The present
review reports on the main treatment aspects of proximal humerus fractures in the
geriatric population and proposes a treatment algorithm.
PMID- 29351524
TI - [Results of Surgically Treated Patients for Hip Fracture - Complications,
Mortality].
AB - PURPOSE OF THE STUDY Our main objective was to evaluate the mortality and
complications of patients following surgical treatment of hip fractures and to
identify the associated risk factors for postoperative mortality. MATERIAL AND
METHODS We retrospectively reviewed all patients over the age of 50 who underwent
surgical treatment for femoral neck and peritrochanteric fractures at our
institution in 2003 and 2013. Mortality was compared between subgroups classified
by age, gender, fracture type, method of treatment. Correlation between mortality
and postoperative complications, time to surgery, and blood transfusion need were
evaluated. Chi-square was used for categorical variables and two-tailed student's
t-test for continuous variables. Survival curves were compared by the log-rank
test. Mortality rates were adjusted for patient age and compared to the mortality
rates of Prague's population in the given years. RESULTS Altogether 425 patients
were surgically treated for proximal femoral fracture in 2013, while 229 patients
were treated in 2003. The overall 1-year mortality decreased by 10% over the
study period (38% in 2003 and 28% in 2013), despite the higher average age in
2013. Survival was better in all subgroups broken down by diagnosis and method of
treatment, statistically relevant in the subgroup of femoral neck fractures,
notwithstanding the method of treatment and in the subgroup treated with total
hip arthroplasty. The strongest prognostic factor for survival was the advanced
age. The mortality rate rises significantly over the age of 75. The largest age
group was between 85-89 years, with 1-year mortality rate of 32%. The annual
mortality of the general population in Prague aged 85-89 years was 13% and has
improved only by 1% in the decade. The reoperative rate was 4% and did not affect
mortality. There was no significant relationship between mortality and
complications or delay of surgery for up to 4 days. DISCUSSION The factors that
might have contributed to better survival are the introduction of guidelines for
hip fracture care to our unit, better prophylaxis of venous thromboembolism,
improvement of surgical skills due to the growing volume of these cases, and a
higher rate of discharges to aftercare units. CONCLUSIONS Mortality has
significantly decreased between 2003 and 2013 (p < 0.001). We didn't find a
correlation between mortality and delay of surgery up to 4 days. That means that
a complicated operation such as hip arthroplasty could be postponed and operated
in more comfortable conditions (in superaseptic OR) by orthopedic surgeons.
Although we haven't identified any modifiable risk factor, we believe that the
reasons for better survival are multifactorial as discussed. Key words: hip
fracture, proximal femoral fracture, mortality, complications, time to surgery.
PMID- 29351525
TI - Impact of Single Center Treatment on Ewing Sarcoma 10-Year Long Term Survival
Rates.
AB - PURPOSE OF THE STUDY Ewing sarcomas (ES) are the second most common solid
malignant bone tumors in both, children and adolescents, and systemic
chemotherapy protocols were established during the last 3 decades which proved to
be a successful approach in addition to local treatment. The purpose of the
present study is (i) to provide survival rates and prognostic factors for
patients with ES which received treatment in a single center and (ii) to compare
data with results of multicenter studies. MATERIALS AND METHODS Patients (n = 38)
were treated by the same surgeon whereas surgery was combined with radiotherapy
in 55.3% of the patients (n = 21). Median age at diagnosis was 17.5 years (4.7
60) and the median follow-up time for all patients was 8.2 years (9.8 years for
survivors, 3.2 years for non-survivors). RESULTS The survival rate for metastasis
free sarcoma decreases from 90.5% to 50% for patients diagnosed with disseminated
disease stage. Patients with a good response to chemotherapy survived in 83.3% of
the cases. In addition, a higher OS was found for patients younger than 15 years
(82.4%) when compared to patients older than 15 years (73.3%). In contrast,
multicenter studies reported lower survival rates for metastasis free (~60%) and
metastasis stages (< 40%). DISCUSSION The survival rates in the present single
center study are higher than the rates reported from multi-center studies
although same chemotherapy protocols were used and no substantially difference
are apparent for patient population. CONCLUSIONS Based on the present data we re
emphasize that patients with Ewing sarcoma receive appropriate treatment in a
large and qualified center particularly considering the survival rates. In
addition, our data underline that a close collaboration between the oncological
team and the experienced surgeon is crucial for patient's care. Key words: Ewing
sarcoma, survival rate, single center, prognostic factors, chemotherapy, surgery,
multi center, single center.
PMID- 29351526
TI - Evaluation of the Quality of Life and Psychiatric Symptoms of Patients with
Primary Coxarthrosis after Total Hip Arthroplasty.
AB - PURPOSE OF THE STUDY In this study, it was aimed to examine the preoperative and
postoperative quality of life and psychiatric symptoms of the patients with
primary coxarthrosis after total hip arthroplasty. MATERIAL AND METHODS 150
patients undergone total hip arthroplasty were involved in this study. The socio
demographical data form prepared by the researchers was utilized before and after
the operation in order to demonstrate disease-related socio-demographical
characteristics of the patient. The Quality of Life Scale Short Form (SF-36),
Beck Depression Inventory (BDI), Beck Anxiety Inventory (BAI), Harris Hip Score
(HHS) and Visual Analog Scale (VSA) were implemented in the preoperative period
and at 6th and 12th week after the operation. RESULTS Of the patients involved in
study, 28.7% were male and 71.3% were female. Their mean age was 58.34+/-11.92
year. While statistically significant differences were found between the
preoperative and postoperative periods in terms of physical function, physical
role limitation, emotional role limitation, energy, social function, pain, and
general health subscales of SF-36, no significant differences were found relating
mental health subscale. In BAI, BDI, VAS, and HHS comparison, statistically
significant differences were found between the preoperative and postoperative
periods, except for BAI. CONCLUSIONS In this study, it was determined that
primary coxarthrosis affects significantly the quality of the patients' lives in
a negative way and can be accompanied by mental symptoms. After total hip
arthroplasty, significant improvement was observed in quality of life, depression
and pain scores. Key words: total hip prosthesis, quality of life, mental
symptoms.
PMID- 29351527
TI - [Treatment of Extensive Chondral Defects of the Patella after Patellar
Dislocation].
AB - PURPOSE OF THE STUDY Patellar dislocation is a fairly frequent knee joint injury
in young patients. In most cases, this injury can be treated conservatively or
surgically. In many cases, patellar cartilage or lateral femoral condyle or both
these parts of articular surface are injured, and in conservative treatment this
chondral damage very often remains undiagnosed. The purpose of this study is to
evaluate the outcomes of treatment in patients who underwent surgery for patellar
dislocation and in whom extensive traumatic cartilage lesions of the patella were
found. MATERIAL AND METHODS The authors carry out a retrospective evaluation of
outcomes in 34 patients from the period 2005-2014, in whom extensive chondral
defect of the posterior side of the patella after its traumatic dislocation with
the mean size of 4.1 cm2 (the maximum size was 28x18 mm) was found, namely in 21
women and 13 men aged 13-28 years. The patients were indicated for arthroscopic
surgery based on the clinical examination which revealed a clear traumatic
patellar dislocation. In 2 radiographs only, an osteochondral fragment was found,
and in these patients a CT scan of the injured knee was made. The patients were
retrospectively broken down into 3 sub-groups by the method of treatment of the
chondral defect. The mean size of the defect in the debridement group was 2.7 cm2
compared to 4.6 cm2 in the group with cartilage fixation. In group I reinsertion
through MiniSynthes 2.0 screws (5 patients) was performed, in group II
reinsertion of the chondral fragment was done using transosseous PDS sutures (19
patients), in group III debridement of injured cartilage was carried out (10
patients). RESULTS The patients were assessed postoperatively using Kujala score
and IKDC score. The mean age of patients at the time of injury was 19.6 years,
with mean follow-up of 30.2 months. No group reported limitations of range of
motion at the time of evaluation. Knee pain under load was present in 3 patients
in group I, in 1 patient in group II and in 7 patients in group III. No patient
suffered from another patellar dislocation. The mean Kujala score was 84.7 (group
I), 89.3 (group II), and 78.5 (group III). The IKDC was 84.6 in group I, 87.2 in
group II, and 74.3 in group III. At the time of evaluation, pre-injury activities
were resumed by 72% of patients from group I, 82% of patients from group II, and
69% of patients from group III. DISCUSSION Treatment of primary patellar
dislocation is still subject to discussions on whether to pursue conservative or
surgical treatment. The main topic of studies is the subsequent rate of patellar
re-dislocation and the rate of cartilage damage in patellofemoral joint, examined
is also the scope of performed surgery, while only very few papers focus on
treating the injured cartilage of the patella. Despite numerous studies, the
optimal method of treatment of this injury has been identified as yet.
CONCLUSIONS Where a serious, extensive fragment of patellar cartilage is found,
the fixation of cartilage with the use of transosseous PDS sutures brings very
good and good results, without the necessity of another surgery, while the
simultaneous treatment of medial patellar retinacula considerably decreases the
risk of patellar re-dislocation, thus reducing the risk of further damage to
cartilage of patelofemoral joint. The use of transosseous suture fixation is
considered by the authors to be more appropriate than the use of screws, mostly
because no further surgery to remove fixation screws is necessary. Key words:
acute patellar dislocations, chondral lesions, chondral repair, arthroscopy.
PMID- 29351528
TI - Cement Augmentation of the Cervical Spine - a Technique Enhancing Stability of
Anterior Cervical Plating.
AB - PURPOSE OF THE STUDY The aim of this study is to describe a new technique for
cement augmentation of primary anterior cervical screw fixation in the sub-axial
cervical spine. MATERIAL AND METHODS Seven patients underwent anterior cervical
spine surgery for trauma (two) or tumor infiltration (five) between 2008 and
2015. The tumor cases underwent corpectomy and anterior plating, with the trauma
cases undergoing anterior cervical decompression and fusion using iliac crest
bone graft. All surgeries were performed through the standard anterior approach.
0.2-0.25 ml of Kyphon cement were introduced into the screw holes before the
screws were locked into the plate of the anterior construct. Karnofsky Index,
Spinal Instability Neoplastic score (SINS) were calculated and radiographic
follow-up performed. RESULTS Median follow-up was 7 months (range 7 weeks-39
months). There were no complications from cement leakage or construct failure
during the follow-up period. There were no wound infections or approach-related
complications. We did not have to re-operate on any patient, cervical spine
remained stable until the end of follow up. DISCUSSION Until now a limited number
of papers on cement augmentation of cervical spine mainly dealt with revision
surgeries, when cement was used as rescue technique to re-establish stability of
previous fixation or cement augmentation was performed in form of vertebroplasty
following plate fixation. Our technique intends to prevent revision surgeries and
to anchor all screws in holes which are evenly filled with bone cement.
CONCLUSIONS This technique of cement augmentation is a useful adjunct in those
few patients where a secondary posterior surgery would be high-risk due to the
general health of the patient, or when life expectancy is limited. We have shown
that anterior alone reconstruction of the cervical spine with cement augmentation
of screws did provide sufficient and sufficiently long stability of the cervical
spine which prevented catastrophic collapse and quadriplegia in patients in poor
general condition. Key words: cement augmentation, cervical spine, corpectomy,
tumor, stabilization, fusion.
PMID- 29351529
TI - [Arthrodesis of the First Metatarsophalangeal Joint by Locking Plate].
AB - PURPOSE OF THE STUDY The authors in their paper evaluate a group of patients who
underwent arthrodesis of the first metatarsophalangeal joint using a locking
plate. MATERIAL AND METHODS In the period 2010-2015, we performed surgery in 51
patients (56 forefeet), of which in 5 cases bilaterally and in 46 cases
unilaterally, in 38 women and 13 men. The mean age was 57.8 years, the mean
follow-up was 3.1 years. The indications for surgery were hallux rigidus in 23
patients, hallux valgus in 15 patients, hallux varus in 3 patients, and hallux
erectus in 2 patients. In 4 patients the surgery was performed for valgus
deformity associated with rheumatoid arthritis, 9 patients were indicated for a
failure of the prior surgical intervention. In all 56 forefeet, the anatomic, low
profile titanium plate Variable Angle LCP 1st MTP Fusion Plate 2.4/2.7 was used.
RESULTS According to Gainor s score the surgical outcomes were assessed as
excellent in 46 patients who underwent surgery (90%), good in 4 patients (8%),
fair in 1 patient (2%), and poor in 0 patient (0%). In 53 forefeet, the control
radiographs showed solid bone union. In 2 patients and 3 forefeet, non-union of
the arthrodesis occurred. In 2 forefeet, revision arthrodesis was performed,
after which solid bone union followed. Malpositioned union was reported in 5
forefeet, of which in 4 cases into valgosity and in 1 case into dorsiflexion.
DISCUSSION Numerous fixation materials can be used for arthrodesis of the first
metatarsophalangeal joint. The use of the least stable Kirschner wires (cerclage)
is being abandoned and substituted with a more stable fixation by screws, memory
staples and locking plates. The achievement of excellent results requires proper
positioning of the arthrodesis. Impingement syndrome between the big toe and the
second toe can result in painful callosities formation, too large dorsiflexion
can lead to a hallux hammertoe, with reduced big toe support function, to
metatarsalgia. CONCLUSIONS The arthrodesis is indicated in patients with Grade
III and IV hallux rigidus, with severe hallux valgus, hallux varus, and in
patients in whom the previous surgeries failed. We tend to prefer stable
arthrodesis. Fixation by anatomic LCP plate facilitates early rehabilitation,
loading and early return to work and sports activities. Key words: arthrodesis,
metatarsophalangeal joint, hallux rigidus, hallux valgus.
PMID- 29351530
TI - [Tibio-Calcaneal Arthrodesis with Vertically Placed Tricortical Bone Graft after
Traumatic Talar Extrusion - a Case Report].
AB - The aim of this publication is to present a case report of a 38-year-old patient
with traumatic astragalectomy and resultant foot reconstruction surgery using a
combination of talus compensation by vertically placed tricortical autograft and
pantalar arthrodesis with a retrograde calcaneotibial nail (hindfoot nail). The
advantage of this treatment is based on a solid, stable osteosynthesis, while
maintaining the length of the limb. Key words: traumatic talar extrusion,
tibiocalcaneal arthrodesis, hindfoot nail, bone graft, pantalar arthrodesis.
PMID- 29351531
TI - Flexor Hallucis Longus Transfer for Foot Drop without Functioning Tibialis
Posterior.
AB - For a foot drop resulting from peroneal nerve palsy transferring the tibialis
posterior tendon is a standard surgical treatment. The situation of foot drop
with no functioning tibialis posterior presents a challenge. We describe a case
of successful flexor hallucis longus transfer in such a case. Key words: foot
drop, flexor hallucis longus, peroneal nerve palsy; tendon transfer.
PMID- 29351532
TI - Proximal Femoral Fractures and Anticoagulation Therapy - When Is Surgery Safe?
AB - Patients presenting with proximal femur fractures are at high risk of developing
DVT and pulmonary embolism. Many of these patients suffer from additional
anticoagulant treatment. Patients on anticoagulation treatment are complex to
manage, especially regarding timing of surgery due to implemented quality control
recommendations. The present review analyses the present data timing of surgery
and perioperative surgical considerations on anticoagulation treatment in this
patients group.
PMID- 29351533
TI - Intramedullary Nailing of Metaphyseal Fractures of the Lower Extremity.
AB - Intramedullary nailing is a worldwide accepted technique for stabilization of
fractures of long bones. Technique, instruments and implants primarily have been
developed for the fixation of short (transverse and oblique) diaphyseal
fractures. First generation nails were hollow and slotted, which gave them some
elasticity. When the tip of the nail passed the fracture gap, picked up the
opposite fracture fragment and was driven further down, the longitudinal axis of
the bone was restored and the extremity realigned. Bone length was restored by
closure of the fracture gap. The tight connection between the deformable hollow
nail and the inner cortex at the isthmus realized a press-fit, which achieved a
very stable bone-implant construct. The nail had the function of a weight
shearing implant. Interlocked nails represent the second-generation nails. They
changed the spectrum of indications for nailing considerably. Not only short
middle-third shaft fractures, but shaft fractures of all types (from transverse
to comminuted) and all localizations can be stabilized with an interlocked nail.
Due to interlocking, length and rotation are controlled. The nail bridges the
area of instability, being a weight-bearing implant. Small diameter, solid nails
formed the next generation of nail implants. They were conceived for the
provisional treatment of fractures with an enhanced risk of postoperative
infection such as open fractures or closed fractures with severe soft tissue
damage. They were increasingly used for minimal invasive treatment of closed
fractures without soft tissue damage as well, as reaming was not necessary and
endosteal blood supply less damaged. Nevertheless, it became clear that they were
connected with a higher incidence of implant failure and revision surgery.
Another development was the creation of nails with multiple and angular stable
interlocking options. Major advantage is that high stability is obtained in the
fracture fragment, in which multiple interlocking is used. This property gives
the possibility of nailing fractures close to a joint with a short fracture
segment (24). Nevertheless, intramedullary nailing of metaphyseal fractures
remains controversial. Major complications to be avoided are malalignment,
delayed union, nonunion and implant failure due to suboptimal fracture reduction
(23).
PMID- 29351534
TI - Cemented Hemiarthroplasties Are Associated with a Higher Mortality Rate after
Femoral Neck Fractures in Elderly Patients.
AB - PURPOSE OF THE STUDY The postoperative outcomes of total hip replacement and
hemiarthroplasty after femoral neck fractures in elderly patients were analysed
to determine general and local complications as well as morbidity and mortality
rates in order to detect risk profiles and assess the best individual treatment
option. MATERIALS AND METHODS One hundred sixty-one femoral neck fractures among
patients aged >= 65 years treated with cemented hemiarthroplasty (HA) or
uncemented total hip arthroplasty (THA) between January 2005 and October 2013
were evaluated. In the presence of articular pathologies as well as a fracture
type Garden III or IV, the indication for joint replacement was given. Criteria
for performing hemiarthroplasty were previously limited mobility. Freely and
fully mobilised patients and patients with manifested osteoarthritis received a
cementless THA. A comparison of the observed complications was made,
differentiating between general and surgery-specific hip-related complications.
Furthermore, the mortality rates were analysed in relation to the respective
surgical treatment. RESULTS Seventy cemented HA and ninety-one uncemented THA
were performed. There was a high complication rate of approximately 19% in both
surgical intervention groups. The patients were more likely to develop general
complications (HA 12.8%; THA 10.8%) even though cardiopulmonary complications
occurred more frequently in the cemented HA group. Four patients died after
cemented hemiarthroplasty due to thromboembolic events (5.7% mortality rate),
whereas no deaths occurred after total hip replacement. Surgery-specific
complications rates were 7.8% in THA and 5.7% in HA patients. CONCLUSIONS THA in
eldery patients with femoral neck fractures is associated with a higher
complication rate, mostly of general medical entity. After cemented HA, our study
reveals a high mortality rate due to thromboembolic events. For patients with
multimorbidity in particular, these findings therefore suggest that uncemented
THA should be considered to prevent lethal complications. Key words: femoral neck
fracture; total hip arthroplasty; hemiarthroplasty; complications.
PMID- 29351535
TI - [Occurrence and Progression of Early Radiolucent Lines around Total Knee
Arthroplasty].
AB - PURPOSE OF THE STUDY Radiolucent (RL) lines may appear around the total knee
arthroplasty (TKA); they occur much more frequently under the tibial component
than under the femoral one. The RL lines are gaps between the TKA and the cement,
or between the cement and the bone bed. They are clearly visible immediately
after the surgery or may appear later. They constitute pathology of the interface
and are subject to research mainly due to their potential association with
aseptic loosening. The aim of this study was to assess how often they are clearly
visible on the first postoperative radiograph in everyday clinical practice, how
they develop during the following two years, and to compare the results with the
available professional literature. Another aim was to assess the relation between
RL lines and the alignment of components, the patient's habitus and clinical
outcomes of the surgery. MATERIAL AND METHODS The group included 62 patients with
a total number of 69 TKA implants, of which 28 were men (45.2%) and 34 women
(54.8%) aged 46 to 79 years of age. The occurrence of RL lines was monitored on
the first postoperative radiograph and subsequently at a one-year interval during
the following 2 years. The location of RL lines and the placement of components
were assessed radiographically in terms of the concept by Meneghini et al. The
evaluation of surgical outcomes was done using the Knee Society Score (KSS), and
the habitus was assessed with the BMI index. Subjective evaluation of the
surgical outcome was done using the 4-point satisfaction scale. RESULTS The first
postoperative radiographs showed a RL line at 9 (0.8%) locations in 9 (13.0%)
TKAs. The control radiographs made 1 year after the surgery showed a RL line at
42 (3.8%) locations in 29 (42.0%) TKAs. During the last check conducted 2 years
after the surgery, a RL line was detected at 60 (5.4%) locations in 33 (47.8%)
TKAs. Throughout the follow-up period, progression of the existing RL line
occurred at 6 locations in 6 (8.7%) TKAs. On the very contrary, the RL line
disappeared at 8 locations in 6 (8.7%) TKAs. An association was found between the
RL line occurrence and postoperative limb axis (a higher risk was posed by the
varus deformity). Moreover, the frequency of RL lines increased with the growing
BMI value. No relation was found between the KSS and satisfaction with the
surgery and the occurrence of RL lines. DISCUSSION AND CONCLUSIONS The occurrence
of RL lines corresponds roughly with the frequency stated in literature. Some
lines show progression, other disappear. So far, we have been unable to
distinguish the predictively significant RL lines from the insignificant ones.
Important will undoubtedly also be the size of surface of RL lines and their
cause. More frequent RL lines were observed in the postoperative varus deformity
of TKA and with the growing BMI value. The RL lines under the anterior part of
the femoral component showed a tendency to progress. In order to avoid them we
recommend modifying the cementing technique. Clinically significant is the fact
that the RL lines occurrence correlates neither with subjective nor with clinical
outcomes of the surgery. Key words: total knee arthroplasty; total knee
replacement; radiolucent lines; progression; alignment; Knee Society Score; BMI.
PMID- 29351536
TI - [Osteochondritis Dissecans in Children - Treated with Arthroscopic Drilling].
AB - PURPOSE OF STUDY Osteochondritis dissecans (OCHD) is an increasingly diagnosed
disease among adolescent patients. It is a condition affecting subchondral bone
and the lining cartilage. If left untreated, it can cause destruction of
cartilage of the affected joint leading to early development of arthrosis. Mostly
affected joints are knees and ankles, but affected elbow and other joints have
been described too. The purpose of our study is to present the patients diagnosed
and treated surgically at our clinic with arthroscopic drilling in the period
2010-2015, and subsequently the clinical findings obtained at follow-up checks
after the surgery. MATERIAL AND METHODS Between 2010 and 2015, a total of 34
patients (36 joints) underwent surgical treatment at our clinic. Their age ranged
from 6 to 19 years at the time of surgery, 17 girls and 17 boys underwent the
surgery. All the patients were treated with transarticular antegrade arthroscopic
drilling. Each patient was diagnosed based on the clinical finding, radiographs,
or MRI. The patients were followed after 6 weeks, thereafter 3, 6, and 12 months
after the surgery. Each patient was evaluated based on the clinical findings
(presence of swelling, range of motion, and pain according to VAS), and
radiographs. RESULTS The preoperative VAS was 2.9 and dropped down to 1.5 at the
first follow-up visit. None of the patients complained of pain at 1-year follow
up. 34 (out of 36) patients suffered joint swelling preoperatively, 6 weeks after
the surgery only 9 patients presented with ongoing swelling, at 1-year follow-up
no patient reported this problem. The X-ray findings showed regression in 35 of
36 patients one year after the surgery. One female patient underwent redo surgery
because of an ongoing restriction of movement and X-ray finding persistence.
DISCUSSION Majority of patients with OCHD can be treated conservatively. Physical
activity modification and temporary immobilization are commonly used treatment
methods of this condition. If conservative treatment is unsuccessful, arthroscopy
should be considered. Stable lesions have a high chance of spontaneous healing
without surgery. There is a variety of arthroscopic treatment methods. Mostly
transarticular transchondral drilling is used to treat this condition. CONCLUSION
Treatment of OCHD with arthroscopic drilling shows promising results in our
cohort of patients. We recommend to use arthroscopic drilling in patients in
stage I to III according to X-ray when 3 months of conservative treatment do not
improve the clinical symptoms, swelling and restriction of movement. Antegrade
drilling is the most frequently used treatment method in OCHD at our clinic, we
consider this technique a simple and effective, with short surgical time needed.
Key words: osteochondritis dissecans, treatment, arthroscopy, drilling.
PMID- 29351537
TI - [Influence of Enhanced Recovery Regime on Early Outcomes of Total Knee
Arthroplasty].
AB - PURPOSE OF THE STUDY Our study compared early outcomes of total knee arthroplasty
performed in conventional and enhanced perioperative care regimes, i.e. without
the use of Redon drain, with intensified perioperative analgesia and more
frequent and intensive rehabilitation regime in the latter. MATERIAL AND METHODS
The prospective study included 194 patients (76 men and 118 women) implanted with
primary knee endoprosthesis. The mean age was 68.8 (44.7 - 88.0 years). The
patients were divided into two groups - the "enhanced" and "conventional"
procedures. In the first group, Redon suction drain was not inserted at the end
of the surgery, and the patients commenced passive knee mobility exercise of the
operated knee immediately after being brought back from the operating theatre.
The general anaesthesia was supplemented by a combined femoral nerve block and
wound infiltration with local anaesthetics. The second group comprised the
patients who underwent conventional surgery, i.e. with the use of drain, without
femoral nerve block, with no wound infiltration with local anaesthetics, and
without immediate post-operative mobilization of the joint. The evaluation was
carried out using regular clinical tools (subjective evaluation, objective
examination, questionnaire and Knee Society Score (KSS)). Standard statistical
methods were applied to data processing. RESULTS The patients under the
"conventional regime" showed a significantly sharper drop in haemoglobin and
haematocrit levels, higher consumption of blood transfusion and analgesics during
the first three days after the surgery. The patients under the "enhanced regime"
showed a better range of joint motion at hospital discharge, flexion in
particular (p = 0.001). During the hospital stay no frequent swelling, secretion
or wound reddening was reported in any of the monitored groups. In the
"conventional" group, however, haematomas were more frequently present. The
postoperative checks did not reveal any differences in satisfaction of the
patients with the surgery. At the first follow-up examination at the outpatient
department the "conventional" group patients more often reported knee pain and a
feeling of a swollen knee. Nonetheless, their statements did not correspond with
the VAS score. In the period between the 6th and 12th months following the
surgery, the differences in the range of motion disappeared. The KSS showed a
noticeable improvement in both the groups as against the preoperative values. In
the "enhanced" group patients, the score increased dramatically at the beginning,
whereas in the "conventional" group, the score was growing slowly and gradually
until the last follow-up check after the surgery. The "conventional" group
patients more frequently reported infectious complications (surface and deep
wound infections: 4 vs. 2 patients) requiring a revision surgery (p = 0.024).
DISCUSSION Recently, attention has been drawn to the rapid recovery approach,
which eliminated postoperative immobilization and enabled the patient to start
exercising already on the day of the surgery, with some patients even walking
independently. The individual enhanced recovery regimes differ in details but
mostly result in achieving the aim much sooner when compared to the conventional
approaches. The patients under the enhanced recovery regimes can accomplish
better functional outcomes in the first few months after the surgery than the
patients undergoing the surgery under the conventional regime. The routine use of
Redon drains in TKA is obviously unnecessary; it tends to be associated with a
higher blood loss and a higher risk of prosthetic joint infection. CONCLUSIONS
The TKA implant without suction drains combined with intensified perioperative
analgesia and intensive postoperative rehabilitation is a safe way to earlier
recovery of the function of the operated knee, or, by extension, the lower limb.
The described approach is not associated with a higher risk of perioperative
complications (bleeding, healing disorders or early infections). Patients also
benefit from lower blood losses. Based on the results of our study, we recommend
performing the TKA surgeries routinely without drains, with perioperative
analgesia and immediate postoperative joint mobilization. Key words: total knee
arthroplasty; perioperative care; rapid recovery; drainage; active movement;
postoperative outcomes; pain; infection.
PMID- 29351538
TI - [Bacteriuria and Symptomatic Urinary Tract Infections during Antimicrobial
Prophylaxis in Patients with Short-Term Urinary Catheters - Prospective
Randomised Study in Patients after Joint Replacement Surgery].
AB - PURPOSE OF THE STUDY A very serious complication following joint replacement
surgery is periprosthetic joint infection that can be caused by a urinary tract
infection. Insertion of an indwelling urinary catheter constitutes a risk factor
that may result in urinary tract infections. The aim of this prospective
randomised study was to compare the occurrence of significant bacteriuria and
symptomatic urinary tract infections during antibiotic prophylaxis at the time of
removal of an indwelling urinary catheter by cotrimoxazole in two doses and with
no administration of antibiotics. We also monitored the incidence of potential
periprosthetic infection following the endoprosthesis implantation. The findings
of preoperative urine tests were compared with the declared negative preoperative
examination. MATERIAL AND METHODS The study included patients indicated for a
total hip or knee replacement with a negative urine culture as a part of the
preoperative testing. Where leukocyteria was detected, urine culture by mid
stream clean catch urine was obtained. The second part included patients, in whom
an indwelling urinary catheter had to be inserted postoperatively for urine
retention and/or monitoring of fluid balance and who were divided into two groups
on a rota basis. No antibiotics were administered to the first group, whereas
Cotrimoxazol 960 mg tablets p.o. was administered to the second group, 14 and 2
hours before the removal of the catheter. The urine culture test was performed 4
hours after the removal of the indwelling urinary catheter, in both the groups.
The test was repeated after 14 days and a questionnaire was filled in to report
urinary tract complications. Considered as significant bacteriuria by urinalysis
was the laboratory finding of > 10x4 CFU/ml in case of a single pathogen or >
10x5 in case of multiple pathogens. The results were statistically processed by
Fischer's exact test with the level of significance = 0.05. RESULTS In the first
part of the study leukocyturia was detected by a test strip in 112 of the total
of 478 patients. In 10 women, significant bacteriuria was found. Altogether 50
women and 50 men were randomly assigned to the second part of the study. The
indwelling urinary catheter was in place for 4 days on average. In men, no
statistically significant difference was detected in significant bacteriuria
findings, in women a statistically significant difference of p = 0.00162 was
found after the removal and after 14 days the borderline of statistical
significance of p = 0.0507 was achieved, but no symptomatic urinary tract
infection was present. In the period from 20 to 32 months following the total
joint replacement, no periprosthetic infection caused by urinary tract infection
was reported. DISCUSSION There is enough evidence to prove the correlation
between the symptomatic urinary tract infection and periprosthetic infection. On
the other hand, asymptomatic bacteriuria is a common finding in patients before
the planned hip of knee joint replacement and its treatment is not recommended.
No consensus has been achieved as yet regarding the method of antibiotic
prophylaxis for an inserted urinary catheter. Antibiotics are administered
throughout the period of catheterisation by an indwelling urinary catheter,
during its removal, or are not administered at all. In our study antibiotics were
administered during the removal of an indwelling urinary catheter and a
statistically significant difference was found in women. It concerned, however,
only a higher incidence of asymptomatic bacteriuria not treated by antibiotics,
which in the next follow-up period did not lead to periprosthetic infection.
CONCLUSIONS Despite the negative pre-operative urine culture, frequent incidence
of leukocyturia and symptomatic urinary infections were detected in a fairly high
number of cases. Therefore, we recommend asking the patients during the hospital
admission process specifically about the urinary infection symptoms. The results
of our study show that antibiotic prophylaxis during the removal of indwelling
urinary catheters placed for a short-term is unnecessary. Key words:
endoprosthesis, urinary catheter, bacteriuria, urinary tract infection.
PMID- 29351539
TI - [Correlation between the Arthroscopic and MRI Findings in Patients Active in
Sports with Clinically Suspected Acetabular Labral Tear - Prospective Study
Results].
AB - PURPOSE OF THE STUDY The aim of our prospective study was to establish
correlation between the arthro-MRI and arthroscopic findings in patients active
in sports clinically suspected of having a hip labral tear. We sought to identify
the benefits of arthro-MRI for clinical practice prior to the considered hip
arthroscopy. MATERIAL AND METHODS The prospective study included 34 patients with
hip pain and clinically suspected of having a labral tear. The group consisted of
19 men (55.9%) and 15 women (44.1%) of the mean age of 32.2 years (18 to 50
years). All our patients were active athletes, either professional (12; 35.3%) or
recreational (22; 64.7%). Included in the study were exclusively patients aged 18
50 with hip pain lasting longer than 3 months, not responding to conservative
therapy, with normal X-ray findings, or FAI syndrome. The patients underwent
arthro-MRI and subsequently also hip arthroscopy, namely within no more than 3
months. The description of findings was translated into simple numerical scales,
assessed using Spearman s rank correlation coefficient. We also examined the
specificity, sensitivity, positive and negative predictive values and the odds
ratio at various cut-off values of the scale for arthro-MRI. The statistical
significance was set at the alpha level = 5%. The quality of tests was also
evaluated using the ROC curve. RESULTS In hips assessed by the radiologist as
reliably with no pathological finding (MRI type 1) the negative predictive value
achieves 83.3% and the positive predictive value of 85.7%, with 96.0% sensitivity
and 55.6% specificity. When evaluating the reliably negative finding together
with the likely negative finding (MRI type 2) as against the pathological and
certainly pathological findings (MRI type 3 and 4), the negative predictive value
is 57.1%, the positive predictive value 95.0%, the specificity 88.9% and the
sensitivity 76.0%. DISCUSSION In our study, the values achieved were comparable
to or better than those reported by foreign authors in their studies establishing
correlations between the arthro-MRI and intraoperative findings. Significantly
higher was primarily the negative predictive value. CONCLUSIONS The results of
our study indicate that the arthro-MRI performed as a part of preoperative
examination prior to hip arthroscopy has adequate specificity and sensitivity in
patients with suspected labral tear. Based on this examination a decision can be
made as to whether to perform arthroscopy. Our study also shows that it is
essential to have a highly competent radiologist to evaluate the arthro-MRI
results. Key words: hip arthroscopy, labral tears, arthro-MRI, hip joint,
predictive value of tests, sensitivity and specificity, minimally invasive
surgical procedures/methods.
PMID- 29351540
TI - [Our Experience with the Total Replacement of the First Metatarsophalangeal Joint
by Medin PH-flex].
AB - PURPOSE OF THE STUDY: The first metatarsophalangeal (MTP) joint replacement ranks
among the treatment methods of patients with hallux rigidus. The paper aims to
evaluate the short-term to mid-term outcomes and to present clinical experience
with our Medin PH-flex implant. MATERIAL AND METHODS In the period from January
2011 to 2016 we performed total replacement of the first MTP joint in 31
patients, in 4 cases bilaterally. In total, 35 implants were evaluated. The mean
age of the patient at the time of surgery was 57.7 years (39-72 years). The
surgery was conducted in 29 women and 2 men. The patients were evaluated using
the AOFAS score (American Orthopaedic Foot and Ankle Society score), the
radiographs were assessed as to the potential occurrence of radiolucent lines,
with major stress put on the assessment of the mobility in MTP joint and its
position. The pain was assessed based on the VAS score. RESULTS Prior to the
joint replacement surgery, the mean AOFAS score in patients was 55.6 (35-65).
Postoperatively, the mean AOFAS score was 80.8 (65-95). The pain suffered by
patients was evaluated with the use of the Pain Visual Analogue Scale (VAS
score). The preoperative mean VAS score was 5 (2-8), whereas the postoperative
score improved to mean VAS 2 (0-4). The range of motion was clinically assessed
with a goniometer. The mean range of motion of plantar flexion and dorsiflexion
was 16.00 degrees (5-35 degrees ) and 28.60 degrees (10-55 degrees ),
respectively. The mean range of motion was 36 degrees (15-60 degrees ). No
intraoperative complications were observed. In all the patients, the surgical
wound healed per primam. In 2 female - (5.7 %) of the whole group of patients who
underwent surgery a deep infection occurred, namely 10 and 21 months following
the implantation. In both the female patients their condition was managed by
joint revision operation and by a simple removal of the implant. DISCUSSION Joint
replacement related matters were repeatedly discussed in professional literature.
There are many papers published in the literature on this topic. A whole range of
the first MTP joint implants of different shapes have been developed, with
extremely different clinical results. CONCLUSIONS An appropriately chosen type of
the implant, a fitting indication and a correctly applied implantation technique
can lead to the desired good outcome. The first MTP joint replacement should be
indicated after careful consideration since the management of a potential joint
replacement failure can often be very technically challenging and quite
mutilating for the patient. The mid-term outcomes of the Medin a.s. first MTP
implant seem to be promising. It will, however, be necessary to wait for long
term outcomes in order to evaluate the final benefits of this type of implant in
patients with hallux rigidus. Key words: hallux rigidus, arthroplasty of the MTP
joint, hemiarthroplasty, silicone implant.
PMID- 29351541
TI - Middle Term Results of Simple Open Hip Reduction of Irreducible DDH - What Is the
Cut-off Age to Safely Perform It with Lower Complications?
AB - PURPOSE OF THE STUDY When developmental dysplasia of the hip (DDH) is irreducible
by conservative means, then surgical open hip reduction is the choice. When done
before walking age simple open hip reduction (SOHR) is most often enough to
stabilize the hip. We tested the hypothesis that simple open hip reduction gives
enough stability even in severe Tonnis 4 grades of dislocation. We tried to find
what is the cut-off age to safely perform SOHR with lower complications. MATERIAL
AND METHODS From 193 open hip reductions (OHR) of irreducible DDH in 123 children
for the period 1995-2010 year with X-ray follow up of at least 8 years and full
documentation we investigated 75 SOHR. Mean age at follow-up was 13 years and 5
months for the whole group and 8 years and 3 months for the SOHR patients. Age at
operation - 7 months to 7.5 years for all patients with OHR, with average OR age
for SOHR - 18 months. The traditional surgical technique of open hip reduction
was performed through lateral Murphy's approach but with sparing the attachment
of the piriformis muscle with the underlying blood supply to the epiphysis during
circumferential capsulotomy. We used McKay clinical criteria, radiological
classifications of Tonnis, Severin, Herring-Mose and Kalamchi & MacEwen. RESULTS
When analyzing the results, there came up a strong statistical correlation
between bilaterality and Tonnis grade 4. The final CE angle of Wiberg was on
average 28o. According to Severin classification: 74% were excellent and 10% good
or 84 % successful results. But according to Herring-Mose sphericity scoring -
60% were good and 30% fair. Mose's fair is a potential cam-type femoro-acetabular
impingement (FAI). Clinically according to McKay criteria - 10% excellent and 54%
good, or 64% of the operated hips were clinically acceptable, which correlates
more with the radiological results according to Mose than with Severin. Avascular
necrosis (AVN) of the femoral head (FH) according to Kalamchi was: Type I - 6%,
Type II - 12 %, Type III - 0%, Type IV - 8 %. Types II and IV represent 20 % of
the operated hips. The presence of ossific nucleus in the femoral epiphysis on
initial X-ray didn't have a statistical impact on AVN frequency. When analyzing
the impact of severity of dislocation and trying to find the cut-off age for
fewer complications, there came up a strong statistical significance between AVN
IV type appearance in Tonnis grade 4 hips when age at operation was above 9
months. CONCLUSIONS The thorough capsuloplasty after FH reduction in the
acetabular socket is enough for stability even in Tonnis 4. When OR age is below
9 months severe AVN is lower and Herring-Mose FH sphericity scoring is higher.
Key words: DDH, simple open hip reduction, avascular necrosis of femoral head.
PMID- 29351542
TI - Carpal Tunnel Syndrome Surgery Anti-Adhesion Gel Is Effective?
AB - PURPOSE OF THE STUDY The purpose of the current study is to assess the efficacy
of anti-adhesion gel on the symptom severity and functional outcomes after mini
incision open surgery for carpal tunnel syndrom (CTS). MATERIAL AND METHODS A
total of 200 CTS patients (154 women, 46 men) were included in this study. Group
I (n = 100; 78 women, 22 men) did not receive local administration of anti
adhesion gel, while anti-adhesion gel consisting of hyaluronic acid
carboxymethylcellulose (Seprafilm(r), SanofiBiosurgery, Bridgewater, NJ, USA) was
locally applied to patients in Group II (n = 100; 76 women, 24 men). All patients
recruited in this study had received conservative treatment comprised of non
steroidal antiinflammatory drugs, steroid injection, replacement of vitamin B and
stabilization with splints. Cases that did not benefit from these therapeutic
options during one year were assigned as candidates for surgical treatment.
RESULTS There was no significant difference between two groups in terms of mean
age, gender distribution, preoperative and postoperative Boston questionnaire
(BQ) scores, difference of BQ scores after the operation, and the presence of the
disease on the left or right side. According to postoperative 1. Year results,
there was no significant difference between two groups. CONCLUSIONS Results of
the current study demonstrated that use of anti-adhesion gel during mini incision
open surgery for CTS did not bring about significant advantages in terms of
functional or symptomatic outcomes. Further, controlled trials on larger series
are warranted to understand the beneficial effects of anti-adhesive materials
during the surgical treatment of CTS. Key words: anti-adhesion gel, Boston
questionnaire, carpal tunnel syndrome.
PMID- 29351543
TI - [Severe Lower Extremity Infections Treated with Hip Disarticulation - Case
Series].
AB - Hip disarticulation is a major ablative procedure with serious risks as well as
consequences for the patient, performed rarely for a lower extremity infection.
According to literature, the mortality rate in these procedures reaches up to
60%. Unfavourable prognostic factors are emergency surgeries without adequate
preparation of the patient and surgeries indicated for an ischemic terrain
infection. The authors present four cases of hip disarticulation for severe lower
extremity infection. In one patient, the procedure was performed urgently for
necrotising fasciitis in the lower extremity extending up to the groin area, in
the other three patients for non-healing femoral stump infection following the
lower extremity amputation for vascular causes, of which two cases got
complicated by the presence of TKA. Two of the patients treated surgically for
stump infection died two months after the surgery due to respiratory
complications. The two surviving patients underwent the last check one year
following the surgery, they are both capable of independent locomotion with two
underarm crutches and use the prosthesis only rarely. In the discussion, the
factors influencing the mortality rate of the procedure, the principles of
surgical and antimicrobial therapy, and the use of the negative-pressure wound
therapy are analysed. The underlying principles of the care for patients with
severe infections of the musculoskeletal system are infection focus debridement
with the removal of foreign material, antibiotic (anti-infective) therapy
targeted based on the cultivation results, wound management aimed to prevent
contamination with nosocomial strains, and multidisciplinary cooperation -
orthopaedist/surgeon, infectious disease physician, intensive care specialist,
nutrition and rehabilitation specialist, nursing and prosthetic care providers.
Key words: hip disarticulation, infection, necrotizing fasciitis.
PMID- 29351544
TI - Long-Term Exposure to Fine Particulate Matter, Blood Pressure, and Incident
Hypertension in Taiwanese Adults.
AB - BACKGROUND: Long-term exposure to particulate matter (PM) air pollution may
increase blood pressure and the risk of hypertension. However, epidemiological
evidence is scarce and inconsistent. OBJECTIVES: We investigated the associations
between long-term exposure to PM with an aerodynamic diameter <2.5MUm (PM2.5),
blood pressure, and incident hypertension in a large Taiwanese cohort. METHODS:
We studied 361,560 adults >=18y old from a large cohort who participated in a
standard medical examination program during 2001 to 2014. Among this group,
125,913 nonhypertensive participants were followed up. A satellite-based
spatiotemporal model was used to estimate the 2-y average PM2.5 concentrations at
each participant's address. Multivariable linear regression was used in the cross
sectional data analysis with the 361,560 participants to investigate the
associations between PM2.5 and systolic blood pressure (SBP), diastolic blood
pressure (DBP), and pulse pressure (PP), and Cox proportional hazard regression
was used in the cohort data analysis with the 125,913 participants to investigate
the associations between PM2.5 and incident hypertension. RESULTS: Each 10-MUg/m3
increment in the 2-y average PM2.5 concentration was associated with increases of
0.45 mmHg [95% confidence interval (CI): 0.40, 0.50], 0.07 mmHg (95% CI: 0.04,
0.11), and 0.38 mmHg (95% CI: 0.33, 0.42) in SBP, DBP, and PP, respectively,
after adjusting for a wide range of covariates and possible confounders. Each 10
MUg/m3 increment in the 2-y average PM2.5 concentration was associated with an
increase of 3% in the risk of developing hypertension [hazard ratio=1.03 (95% CI:
1.01, 1.05)]. Stratified and sensitivity analyses yielded similar results.
CONCLUSIONS: Long-term exposure to PM2.5 air pollution is associated with higher
blood pressure and an increased risk of hypertension. These findings reinforce
the importance of air pollution mitigation strategies to reduce the risk of
cardiovascular disease. https://doi.org/10.1289/EHP2466.
PMID- 29351545
TI - Love Song Blues: DEHP Alters Courtship Vocalizations in Mice.
PMID- 29351546
TI - Accessing an Expanded Exposure Science Module at the Comparative Toxicogenomics
Database.
AB - SUMMARY: The Comparative Toxicogenomics Database (CTD; http://ctdbase.org) is a
free resource that provides manually curated information on chemical, gene,
phenotype, and disease relationships to advance understanding of the effect of
environmental exposures on human health. Four core content areas are
independently curated: chemical-gene interactions, chemical-disease and gene
disease associations, chemical-phenotype interactions, and environmental exposure
data (e.g., effects of chemical stressors on humans). Since releasing exposure
data in 2015, we have vastly increased our coverage of chemicals and
disease/phenotype outcomes; greatly expanded access to exposure content; added
search capability by stressors, cohorts, population demographics, and measured
outcomes; and created user-specified displays of content. These enhancements aim
to facilitate human studies by allowing comparisons among experimental parameters
and across studies involving specified chemicals, populations, or outcomes.
Integration of data among CTD's four content areas and external data sets, such
as Gene Ontology annotations and pathway information, links exposure data with
over 1.8 million chemical-gene, chemical-disease and gene-disease interactions.
Our analysis tools reveal direct and inferred relationships among the data and
provide opportunities to generate predictive connections between environmental
exposures and population-level health outcomes. https://doi.org/10.1289/EHP2873.
PMID- 29351547
TI - Mortality and continuity of care - Definitions matter! A cohort study in
diabetics.
AB - OBJECTIVE: To demonstrate that when investigating the relevance of continuity of
care for patient outcomes, different definitions can lead to contradicting
results. METHODS: We used claims data from the regional public health insurer of
Lower Austria covering the period from 2008 to 2011. The study sample included
subjects with repeated dispensings of anti-diabetic drugs. The continuity of care
index was calculated firstly based on a patient's contacts with general
practitioners (primary COCI) and secondly based on contacts at all medical
disciplines (total COCI). The association of the two continuity of care measures
with mortality was assessed in separate univariable and multivariable Cox
regression models. RESULTS: Our study sample consisted of 51,717 patients with a
median observation time of 3.65 years. The data showed that a high total COCI was
associated with increased mortality, while there was no association between
primary COCI and mortality. CONCLUSIONS: Measures of continuity of care are
highly sensitive to the type of medical disciplines taken into account. The
continuity of care index calculated from contacts at all medical disciplines
might measure diversity rather than continuity of care.
PMID- 29351548
TI - Common cuckoos (Cuculus canorus) affect the bacterial diversity of the eggshells
of their great reed warbler (Acrocephalus arundinaceus) hosts.
AB - The common cuckoo (Cuculus canorus) is an avian brood parasite, laying its eggs
in the nests of other bird species, where these hosts incubate the parasitic
eggs, feed and rear the nestlings. The appearance of a cuckoo egg in a host nest
may change the bacterial community in the nest. This may have consequences on the
hatchability of host eggs, even when hosts reject the parasitic egg, typically
within six days after parasitism. The present study revealed the bacterial
community of cuckoo eggshells and those of the great reed warbler (Acrocephalus
arundinaceus), one of the main hosts of cuckoos. We compared host eggs from non
parasitized clutches, as well as host and cuckoo eggs from parasitized clutches.
As incubation may change bacterial assemblages on eggshells, we compared these
egg types in two stages: the egg-laying stage, when incubation has not been
started, and the mid-incubation stage (ca. on days 5-7 in incubation), where heat
from the incubating female dries eggshells. Our results obtained by the 16S rRNA
gene sequencing technique showed that fresh host and cuckoo eggs had partially
different bacterial communities, but they became more similar during incubation
in parasitized nests. Cluster analysis revealed that fresh cuckoo eggs and
incubated host eggs in unparasitized nests (where no cuckoo effect could have
happened) were the most dissimilar from the other groups of eggs. Cuckoo eggs did
not reduce the hatchability of great reed warbler eggs. Our results on the cuckoo
great reed warbler relationship supported the idea that brood parasites may
change bacterial microbiota in the host nest. Further studies should reveal how
bacterial communities of cuckoo eggshells may vary by host-specific races
(gentes) of cuckoos.
PMID- 29351549
TI - Weeds and ground-dwelling predators' response to two different weed management
systems in glyphosate-tolerant cotton: A farm-scale study.
AB - The use of glyphosate, as a post-emergence broad-spectrum herbicide in
genetically modified glyphosate-tolerant (GT) cotton, supposes a big change in
weed management programs with respect to a conventional regime. Thus, alterations
in arable flora and arthropod fauna must be considered when evaluating their
potential impacts. A 3-year farm-scale study was conducted in a 2-ha GT cotton
crop, in southern Spain, to compare the effects of conventional and glyphosate
herbicide regimes on weed abundance and diversity and their consequences for
ground-dwelling predators. Surveys reveal that weed density was relatively low
within all treatments with a few dominant species, with significantly higher weed
densities and modifications of the floristic composition in glyphosate-treated
plots that led to an increase in the abundance of Portulaca oleracea and to a
reduction in plant diversity. The activity-density of the main predatory
arthropod taxa (spiders, ground beetles, rove beetles and earwigs) varied among
years, but no significant differences were obtained between conventional and
glyphosate herbicide regimes. However, significant differences between treatments
were obtained for ground beetles species richness and diversity, being higher
under the glyphosate herbicide regime, and a positive correlation with weed
density could be established for both parameters. The implications of these
findings to weed control in GT cotton are discussed.
PMID- 29351551
TI - Periodontal health status and lung function in two Norwegian cohorts.
AB - RATIONALE AND OBJECTIVES: The oral cavity is united with the airways, and thus
poor oral health may affect respiratory health. However, data on the interaction
of periodontal and respiratory health is limited. We aimed to evaluate whether
periodontal health status, assessed by the Community Periodontal Index (CPI), was
related to lung function among young and middle-aged adults in two Norwegian
cohorts. METHODS: Periodontal health status and lung function were measured among
656 participants in the Norwegian part of the European Community Respiratory
Health Survey (ECHRS III) and the RHINESSA offspring study. Each participant was
given a CPI-index from 0 to 4 where higher values reflect poorer periodontal
status. The association between CPI and lung function was estimated with linear
regression adjusting for age, gender, smoking, body mass index, exercise,
education, use of antibiotics, inhaled medication and corrected for clustering
within families. MAIN RESULTS: Participants with CPI 3-4 had significantly lower
FEV1/FVC ratio compared to participants with CPI 0, b (95% CI) = -0.032 (-0.055,
0.009). Poorer periodontal health was associated with a significant decrease in
the FEV1/FVC ratio with an adjusted regression coefficient for linear trend b
(95% CI) = -0.009 (-0.015, -0.004) per unit increase in CPI. This negative
association remained when excluding asthmatics and smokers (-0.014 (-0.022,
0,006)). CONCLUSIONS: Poorer periodontal health was associated with increasing
airways obstruction in a relatively young, healthy population. The oral cavity is
united with the airways and our findings indicate an opportunity to influence
respiratory health by improving oral health.
PMID- 29351550
TI - Differential actions of PPAR-alpha and PPAR-beta/delta on beige adipocyte
formation: A study in the subcutaneous white adipose tissue of obese male mice.
AB - BACKGROUND AND AIMS: Obesity compromises adipocyte physiology. PPARs are
essential to adipocyte plasticity, but its isolated role in the browning
phenomenon is not clear. This study aimed to examine whether activation of PPAR
alpha or PPAR-beta/delta could induce beige cell depots in the subcutaneous white
adipose tissue of diet-induced obese mice. MATERIAL AND METHODS: Sixty animals
were randomly assigned to receive a control diet (C, 10% lipids) or a high-fat
diet (HF, 50% lipids) for ten weeks. Then each group was re-divided to begin the
treatments that lasted 4 weeks, totalizing six groups: C, C-alpha (C plus PPAR
alpha agonist, 2.5 mg/kg BM), C-beta (C plus PPAR-beta/delta agonist, 1 mg/kg
BM), HF, HF-alpha (HF plus PPAR-alpha agonist), HF-beta (HF plus PPAR-beta/delta
agonist). RESULTS: HF animals presented with overweight, glucose intolerance and
subcutaneous white adipocyte hypertrophy. Both treatments significantly
attenuated these parameters. Browning, verified by UCP1 positive beige cells and
enhanced body temperature, was just observed in PPAR-alpha treated groups. PPAR
alpha agonism also elicited an enhanced gene expression of the thermogenesis
effector UCP1, the beige-selective gene TMEM26 and the PRDM16, an essential gene
for brown-like phenotype maintenance in the beige adipocytes when compared to
their counterparts. The enhanced CIDEA and the reduced UCP1 gene levels might
justify the white phenotype predominance after the treatment with the PPAR
beta/delta agonist. CONCLUSIONS: This work provides evidence that the PPAR
beta/delta agonist ameliorated metabolic disorders through enhanced beta
oxidation and better tolerance to glucose, whereas the PPAR-alpha agonism was
confirmed as a promising therapeutic target for treating metabolic diseases via
beige cell induction and enhanced thermogenesis.
PMID- 29351552
TI - In-situ recording of ionic currents in projection neurons and Kenyon cells in the
olfactory pathway of the honeybee.
AB - The honeybee olfactory pathway comprises an intriguing pattern of convergence and
divergence: ~60.000 olfactory sensory neurons (OSN) convey olfactory information
on ~900 projection neurons (PN) in the antennal lobe (AL). To transmit this
information reliably, PNs employ relatively high spiking frequencies with complex
patterns. PNs project via a dual olfactory pathway to the mushroom bodies (MB).
This pathway comprises the medial (m-ALT) and the lateral antennal lobe tract (l
ALT). PNs from both tracts transmit information from a wide range of similar
odors, but with distinct differences in coding properties. In the MBs, PNs form
synapses with many Kenyon cells (KC) that encode odors in a spatially and
temporally sparse way. The transformation from complex information coding to
sparse coding is a well-known phenomenon in insect olfactory coding. Intrinsic
neuronal properties as well as GABAergic inhibition are thought to contribute to
this change in odor representation. In the present study, we identified intrinsic
neuronal properties promoting coding differences between PNs and KCs using in
situ patch-clamp recordings in the intact brain. We found very prominent K+
currents in KCs clearly differing from the PN currents. This suggests that odor
coding differences between PNs and KCs may be caused by differences in their
specific ion channel properties. Comparison of ionic currents of m- and l-ALT PNs
did not reveal any differences at a qualitative level.
PMID- 29351553
TI - Hierarchical and hybrid energy storage devices in data centers: Architecture,
control and provisioning.
AB - Recently, a new approach has been introduced that leverages and over-provisions
energy storage devices (ESDs) in data centers for performing power capping and
facilitating capex/opex reductions, without performance overhead. To fully
realize the potential benefits of the hierarchical ESD structure, we propose a
comprehensive design, control, and provisioning framework including (i) designing
power delivery architecture supporting hierarchical ESD structure and hybrid ESDs
for some levels, as well as (ii) control and provisioning of the hierarchical ESD
structure including run-time ESD charging/discharging control and design-time
determination of ESD types, homogeneous/hybrid options, ESD provisioning at each
level. Experiments have been conducted using real Google data center workloads
based on realistic data center specifications.
PMID- 29351554
TI - Field data-based mathematical modeling by Bode equations and vector fitting
algorithm for renewable energy applications.
AB - The power system always has several variations in its profile due to random load
changes or environmental effects such as device switching effects when generating
further transients. Thus, an accurate mathematical model is important because
most system parameters vary with time. Curve modeling of power generation is a
significant tool for evaluating system performance, monitoring and forecasting.
Several numerical techniques compete to fit the curves of empirical data such as
wind, solar, and demand power rates. This paper proposes a new modified
methodology presented as a parametric technique to determine the system's
modeling equations based on the Bode plot equations and the vector fitting (VF)
algorithm by fitting the experimental data points. The modification is derived
from the familiar VF algorithm as a robust numerical method. This development
increases the application range of the VF algorithm for modeling not only in the
frequency domain but also for all power curves. Four case studies are addressed
and compared with several common methods. From the minimal RMSE, the results show
clear improvements in data fitting over other methods. The most powerful features
of this method is the ability to model irregular or randomly shaped data and to
be applied to any algorithms that estimating models using frequency-domain data
to provide state-space or transfer function for the model.
PMID- 29351555
TI - Omega-3 fatty acid desaturase gene family from two omega-3 sources, Salvia
hispanica and Perilla frutescens: Cloning, characterization and expression.
AB - Omega-3 fatty acid desaturase (omega-3 FAD, D15D) is a key enzyme for alpha
linolenic acid (ALA) biosynthesis. Both chia (Salvia hispanica) and perilla
(Perilla frutescens) contain high levels of ALA in seeds. In this study, the
omega-3 FAD gene family was systematically and comparatively cloned from chia and
perilla. Perilla FAD3, FAD7, FAD8 and chia FAD7 are encoded by single-copy (but
heterozygous) genes, while chia FAD3 is encoded by 2 distinct genes. Only 1 chia
FAD8 sequence was isolated. In these genes, there are 1 to 6 transcription start
sites, 1 to 8 poly(A) tailing sites, and 7 introns. The 5'UTRs of PfFAD8a/b
contain 1 to 2 purine-stretches and 2 pyrimidine-stretches. An alternative splice
variant of ShFAD7a/b comprises a 5'UTR intron. Their encoded proteins harbor an
FA_desaturase conserved domain together with 4 trans-membrane helices and 3
histidine boxes. Phylogenetic analysis validated their identity of dicot
microsomal or plastidial omega-3 FAD proteins, and revealed some important
evolutionary features of plant omega-3 FAD genes such as convergent evolution
across different phylums, single-copy status in algae, and duplication events in
certain taxa. The qRT-PCR assay showed that the omega-3 FAD genes of two species
were expressed at different levels in various organs, and they also responded to
multiple stress treatments. The functionality of the ShFAD3 and PfFAD3 enzymes
was confirmed by yeast expression. The systemic molecular and functional features
of the omega-3 FAD gene family from chia and perilla revealed in this study will
facilitate their use in future studies on genetic improvement of ALA traits in
oilseed crops.
PMID- 29351556
TI - Testosterone-induced benign prostatic hyperplasia rat and dog as facile models to
assess drugs targeting lower urinary tract symptoms.
AB - Benign prostatic hyperplasia (BPH) is an age-related disease, affecting a
majority of elderly men worldwide. Medical management of BPH is an alternative to
surgical treatment of this disease. Currently, alpha1-adrenergic receptor (alpha1
AR) antagonists are among the first line drugs to treat BPH by reducing the
tension of urinary track and thus the obstructive symptoms in voiding. In drug
development, old male dogs with spontaneous BPH are considered the golden
standard of the animal models. However, old dogs (>6 years) are expensive and not
all old dogs develop BPH. So it is necessary to develop more accessible animal
models for drug efficacy evaluation. Here we describe the development of
testosterone-induced BPH models in both rats and young adult dogs and their
applications in the in vivo evaluation of alpha1-AR antagonist. The BPH rats and
dogs induced by chronic testosterone treatment have significantly increased
micturition frequency and reduced mean voided volume, very similar to the
clinical symptoms of BPH patients. Silodosin, an alpha1-AR antagonist,
significantly reduces the urinary frequency and increases the voided volume in
BPH model animals in a dose-dependent manner. The results demonstrate that
testosterone-induced BPH rat and dog models might provide a more efficient way to
evaluate micturition behavior in anti-BPH drug studies.
PMID- 29351557
TI - Effects of night-time and weekend admissions on in-hospital mortality in acute
myocardial infarction patients in Japan.
AB - BACKGROUND: Patients admitted to hospital during off-hours may experience poorer
quality of care and clinical outcomes. However, few studies have examined the
variations in clinical processes and outcomes across admission times and days of
the week in acute myocardial infarction (AMI) patients. This study aimed to
comparatively analyze the effect of weekend and weekday admissions stratified by
admission time on in-hospital mortality in AMI patients. METHODS AND RESULTS:
Using a large nationwide administrative database, we analyzed 103,908 AMI
patients admitted to 639 Japanese acute care hospitals between April 2011 and
March 2015. We divided patients into the following 4 groups: weekday daytime
admissions, weekday night-time admissions, weekend daytime admissions, and
weekend night-time admissions. A hierarchical logistic regression model was used
to comparatively examine in-hospital mortality among the groups after adjusting
for age, sex, ambulance use, Killip class, comorbidities, and the number of
cardiologists in the admitting hospital. In addition, we also calculated and
compared the adjusted odds ratios of various AMI therapies among the groups. The
in-hospital mortality rate of weekend daytime admissions was higher than those
admitted during other times (weekday daytime: 6.8%; weekday night-time; 6.5%,
weekend daytime; 7.6%; weekend night-time: 6.6%; P < 0.001), even after adjusting
for the covariates (adjusted odds ratio for weekend daytime admissions: 1.10; 95%
confidence interval: 1.03-1.19). The prescription rates of guideline-based
medications provided on the first day of admission were higher in night-time
admissions than in daytime admissions. CONCLUSIONS: In-hospital mortality rates
were higher in AMI patients admitted during weekend daytime hours when compared
with patients admitted during other times. Furthermore, patients admitted during
daytime hours had lower prescription rates of guideline-based medications. Our
findings indicate that weekend daytime admissions may be a potential target for
improvement in the Japanese healthcare system.
PMID- 29351558
TI - Analysis of 500 anterior cruciate ligament reconstructions from a private
institutional register.
AB - PURPOSE: The aims of this study are to describe the epidemiological
characteristics of anterior cruciate ligament reconstructions in a private
hospital in Brazil and to determine trends in medical practice for comparison
with previous studies. METHODS: We retrospectively reviewed the anterior cruciate
ligament institutional register to obtain data from all patients who underwent
primary anterior cruciate ligament reconstruction from July 2014 to June 2016.
Descriptive statistics were used to summarize the sample. Specific statistical
tests were used to assess associations between the meniscal lesion and other
variables. RESULTS: During the study period, 72.6% out of 500 patients were male.
The mean age at surgery was 35.1 years. The mean age was higher among females
than among males (37.3 +/- 12.1 vs 34.3 +/- 10.8 years). The median time from
injury to surgery was 44 days. The most common femoral and tibial fixations used
were suspensory fixation (60.8%) and interference screw (96%), respectively. The
most commonly used graft was hamstring tendon (70.2%), followed by bone-patellar
tendon-bone (28.8%). A meniscal lesion was noted in 44.8% of cases. Partial
meniscectomy was performed in 69.5% of meniscal lesions, and meniscal repair was
performed in 14.1% of lesions. The mean length of hospital stay was 1.4 days. The
proportion of men in the group of patients with an associated meniscal lesion was
higher than that in the group of patients without a meniscal lesion (p = 0.007).
CONCLUSIONS: In this study, we identified that the vast majority of surgeries
were performed in male patients in all age groups, and patients older than 30
years and with a short time from injury to surgery predominated. Concerning
surgical technique, we noted a low rate of meniscal repair and a higher
preference for the use of hamstring graft and suspensory fixation on the femoral
side.
PMID- 29351559
TI - Characterisation of ictal and interictal states of epilepsy: A system dynamic
approach of principal dynamic modes analysis.
AB - Epilepsy is a brain disorder characterised by the recurrent and unpredictable
interruptions of normal brain function, called epileptic seizures. The present
study attempts to derive new diagnostic indices which may delineate between ictal
and interictal states of epilepsy. To achieve this, the nonlinear modeling
approach of global principal dynamic modes (PDMs) is adopted to examine the
functional connectivity of the temporal and frontal lobes with the occipital
brain segment using an ensemble of paediatric EEGs having the presence of
epileptic seizure. The distinct spectral characteristics of global PDMs are found
to be in line with the neural rhythms of brain dynamics. Moreover, we find that
the linear trends of associated nonlinear functions (ANFs) associated with the
2nd and 4th global PDMs (representing delta, theta and alpha bands) of Fp1-F3 may
differentiate between ictal and interictal states of epilepsy. These findings
suggest that global PDMs and their associated ANFs may offer potential utility as
diagnostic neural measures for ictal and interictal states of epilepsy.
PMID- 29351560
TI - The correlation of background parenchymal enhancement in the contralateral breast
with patient and tumor characteristics of MRI-screen detected breast cancers.
AB - PURPOSE: Higher background parenchymal enhancement (BPE) could be used for
stratification of MRI screening programs since it might be related to a higher
breast cancer risk. Therefore, the purpose of this study is to correlate BPE to
patient and tumor characteristics in women with unilateral MRI-screen detected
breast cancer who participated in an intermediate and high risk screening
program. As BPE in the affected breast may be difficult to discern from enhancing
cancer, we assumed that BPE in the contralateral breast is a representative
measure for BPE in women with unilateral breast cancer. MATERIALS AND METHODS:
This retrospective study was approved by our local institutional board and a
waiver for consent was granted. MR-examinations of women with unilateral breast
cancers screen-detected on breast MRI were evaluated by two readers. BPE in the
contralateral breast was rated according to BI-RADS. Univariate analyses were
performed to study associations. Observer variability was computed. RESULTS:
Analysis included 77 breast cancers in 76 patients (age: 48+/-9.8 years),
including 62 invasive and 15 pure ductal carcinoma in-situ cases. A negative
association between BPE and tumor grade (p<=0.016) and a positive association
with progesterone status (p<=0.021) was found. The correlation was stronger when
only considering invasive disease. Inter-reader agreement was substantial.
CONCLUSION: Lower BPE in the contralateral breast in women with unilateral breast
cancer might be associated to higher tumor grade and progesterone receptor
negativity. Great care should be taken using BPE for stratification of patients
to tailored screening programs.
PMID- 29351561
TI - Preconception use of cART by HIV-positive pregnant women increases the risk of
infants being born small for gestational age.
AB - BACKGROUND: The benefits of combination anti-retroviral therapy (cART) in HIV
positive pregnant women (improved maternal health and prevention of mother to
child transmission [pMTCT]) currently outweigh the adverse effects due to cART.
As the variety of cART increases, however, the question arises as to which type
of cART is safest for pregnant women and women of childbearing age. We studied
the effect of timing and exposure to different classes of cART on adverse birth
outcomes in a large HIV cohort in the Netherlands. MATERIALS AND METHODS: We
included singleton HEU infants registered in the ATHENA cohort from 1997 to 2015.
Multivariate logistic regression analysis for single and multiple pregnancies was
used to evaluate predictors of small for gestational age (SGA, birth weight <10th
percentile for gestational age), low birth weight and preterm delivery. RESULTS:
A total of 1392 children born to 1022 mothers were included. Of these, 331
(23.8%) children were SGA. Women starting cART before conception had an increased
risk of having a SGA infant compared to women starting cART after conception (OR
1.35, 95% CI 1.03-1.77, p = 0.03). The risk for SGA was highest in women who
started a protease inhibitor-(PI) based regimen prior to pregnancy, compared with
women who initiated PI-based cART during pregnancy. While the association of
preterm delivery and preconception cART was significant in univariate analysis,
on multivariate analysis only a non-significant trend was observed (OR 1.39, 95%
CI 0.94-1.92, p = 0.06) in women who had started cART before compared to after
conception. In multivariate analysis, the risk of low birth weight (OR 1.34, 95%
CI 0.94-1.92, p = 0.11) was not significantly increased in women who had started
cART prior to conception compared to after conception. CONCLUSION: In our cohort
of pregnant HIV-positive women, the use of cART prior to conception, most notably
a PI-based regimen, was associated with intrauterine growth restriction resulting
in SGA. Data showed a non-significant trend in the risk of PTD associated with
preconception use of cART compared to its use after conception. More studies are
needed with regard to the mechanisms taking place in the placenta during fetal
growth in pregnant HIV-positive women using cART. It will only be with this
knowledge that we can begin to understand the potential impact of HIV and cART on
the fetus, in order to be able to determine the optimal individualised drug
regimen for HIV-infected women of childbearing age.
PMID- 29351562
TI - Clinical and ultrasonographic features associated to response to intraarticular
corticosteroid injection. A one year follow up prospective cohort study in knee
osteoarthritis patient with joint effusion.
AB - OBJECTIVES: Intraarticular injection is used for pain relief in knee
osteoarthritis (OA), but there is not a well defined profile of patient who could
get more benefit from it. The purpose of this study was to evaluate the frequency
of pain relief at one year after corticosteroids intraarticular injection and to
identify clinical factors associated to response in patients with knee
osteoarthritis with joint effusion. METHODS: One-year prospective cohort study of
patients with knee OA with joint effusion confirmed by ultrasound. An
intraarticular injection was performed following a clinical protocol.
Anthropometric measurements, laboratory parameters, clinical severity, ultrasound
parameters and radiological severity were collected. Response regarding pain and
presence of synovial fluid on ultrasound at one month and at one year were
evaluated. Clinical responder were consider in subjects with enough improvement
to carry out normal daily activities with pain VAS<40mm. RESULTS: One hundred and
thirty-two patients were included.A significant number of patients (61.4%)
improved pain at one year following the protocol established in this study. Pain
and ultrasound synovial fluid at one month appeared to predict the response at
one year. The Lequesne index and the percentage of body fat were independently
associated to pain at one year while the Lequesne index and ultrasound synovial
hypertrophy were independently related to the presence of synovial fluid at one
year. CONCLUSIONS: The status regarding pain or ultrasound synovial fluid at one
month after an intraarticular joint injection appeared to predict the status at
one year in patients with knee osteoarthritis and synovial effusion.
PMID- 29351563
TI - A consensus linkage map of lentil based on DArT markers from three RIL mapping
populations.
AB - BACKGROUND: Lentil (Lens culinaris ssp. culinaris Medikus) is a diploid (2n = 2x
= 14), self-pollinating grain legume with a haploid genome size of about 4 Gbp
and is grown throughout the world with current annual production of 4.9 million
tonnes. MATERIALS AND METHODS: A consensus map of lentil (Lens culinaris ssp.
culinaris Medikus) was constructed using three different lentils recombinant
inbred line (RIL) populations, including "CDC Redberry" x "ILL7502" (LR8),
"ILL8006" x "CDC Milestone" (LR11) and "PI320937" x "Eston" (LR39). RESULTS: The
lentil consensus map was composed of 9,793 DArT markers, covered a total of
977.47 cM with an average distance of 0.10 cM between adjacent markers and
constructed 7 linkage groups representing 7 chromosomes of the lentil genome. The
consensus map had no gap larger than 12.67 cM and only 5 gaps were found to be
between 12.67 cM and 6.0 cM (on LG3 and LG4). The localization of the SNP markers
on the lentil consensus map were in general consistent with their localization on
the three individual genetic linkage maps and the lentil consensus map has longer
map length, higher marker density and shorter average distance between the
adjacent markers compared to the component linkage maps. CONCLUSION: This high
density consensus map could provide insight into the lentil genome. The consensus
map could also help to construct a physical map using a Bacterial Artificial
Chromosome library and map based cloning studies. Sequence information of DArT
may help localization of orientation scaffolds from Next Generation Sequencing
data.
PMID- 29351564
TI - Steatosis in South African women: How much and why?
AB - BACKGROUND: Globally, steatosis is the commonest type of liver pathology and is
closely associated with obesity and the metabolic syndrome. Obesity is common in
urban African females but no data is available on hepatic fat content in this
population group when compared to other ethnic groups. The aim of this study was
therefore to compare hepatic fat content in woman from different ethnic groups in
South Africa and to characterise the principle determinants of liver fat.
MATERIALS AND METHODS: A convenience sample of 106 (48 Indian, 29 African and 29
Caucasian) female volunteers aged 20-60 years and having no history of
cardiometabolic disorders were recruited. Hepatic fat was determined from CT
scans using the liver-spleen attenuation ratio (LAR), which decreases with
increasing levels of hepatic fat. Anthropometric and cardiometabolic parameters
were measured with insulin resistance determined using the HOMA index and
dysglycaemia defined as fasting glucose >=5.60 mmol/L. RESULTS: The African
subjects had significantly lower hepatic fat content (LAR as median
[interquartile range]: 1.35 [1.28, 1.41]) than the Indian (1.22 [1.10, 1.35];
p<0.005) and Caucasian (1.27 [1.16, 1.33]; p<0.05) females even though they had
significantly higher BMIs than both groups (p<0.0005 and p<0.05, respectively).
Linear regression showed that: subcutaneous abdominal fat was a significant
(unstandardised beta = 0.007; p = 0.03) negative, whilst insulin resistance (beta
= -0.97; p = 0.01) and dysglycaemia (beta = -3.58; p = 0.01) were significant
positive determinants of liver fat; higher hepatic fat levels in subjects with
the metabolic syndrome were explained by insulin resistance and dysglycaemia.
DISCUSSION: African ethnicity is associated with low liver fat content.
Subcutaneous abdominal fat protects against steatosis, possibly by acting as a
triglyceride reservoir. Insulin resistance and dysglycaemia lead to greater
hepatic fat deposition and explain higher liver fat levels in subjects with the
metabolic syndrome. These observations must be further investigated in
longitudinal surveys.
PMID- 29351566
TI - Patterns in reef fish assemblages: Insights from the Chagos Archipelago.
AB - Understanding the drivers of variability in the composition of fish assemblages
across the Indo-Pacific region is crucial to support coral reef ecosystem
resilience. Whilst numerous relationships and feedback mechanisms between the
functional roles of coral reef fishes and reef benthic composition have been
investigated, certain key groups, such as the herbivores, are widely suggested to
maintain reefs in a coral-dominated state. Examining links between fishes and
reef benthos is complicated by the interactions between natural processes,
disturbance events and anthropogenic impacts, particularly fishing pressure. This
study examined fish assemblages and associated benthic variables across five
atolls within the Chagos Archipelago, where fishing pressure is largely absent,
to better understand these relationships. We found high variability in fish
assemblages among atolls and sites across the archipelago, especially for key
groups such as a suite of grazer-detritivore surgeonfish, and the parrotfishes
which varied in density over 40-fold between sites. Differences in fish
assemblages were significantly associated with variable levels of both live and
recently dead coral cover and rugosity. We suggest these results reflect
differing coral recovery trajectories following coral bleaching events and a
strong influence of 'bottom-up' control mechanisms on fish assemblages. Species
level analyses revealed that Scarus niger, Acanthurus nigrofuscus and Chlorurus
strongylocephalos were key species driving differences in fish assemblage
structure. Clarifying the trophic roles of herbivorous and detritivorous reef
fishes will require species-level studies, which also examine feeding behaviour,
to fully understand their contribution in maintaining reef resilience to climate
change and fishing impacts.
PMID- 29351565
TI - SUMO targeting of a stress-tolerant Ulp1 SUMO protease.
AB - SUMO proteases of the SENP/Ulp family are master regulators of both sumoylation
and desumoylation and regulate SUMO homeostasis in eukaryotic cells. SUMO
conjugates rapidly increase in response to cellular stress, including nutrient
starvation, hypoxia, osmotic stress, DNA damage, heat shock, and other
proteotoxic stressors. Nevertheless, little is known about the regulation and
targeting of SUMO proteases during stress. To this end we have undertaken a
detailed comparison of the SUMO-binding activity of the budding yeast protein
Ulp1 (ScUlp1) and its ortholog in the thermotolerant yeast Kluyveromyces
marxianus, KmUlp1. We find that the catalytic UD domains of both ScUlp1 and
KmUlp1 show a high degree of sequence conservation, complement a ulp1Delta mutant
in vivo, and process a SUMO precursor in vitro. Next, to compare the SUMO
trapping features of both SUMO proteases we produced catalytically inactive
recombinant fragments of the UD domains of ScUlp1 and KmUlp1, termed ScUTAG and
KmUTAG respectively. Both ScUTAG and KmUTAG were able to efficiently bind a
variety of purified SUMO isoforms and bound immobilized SUMO1 with nanomolar
affinity. However, KmUTAG showed a greatly enhanced ability to bind SUMO and SUMO
modified proteins in the presence of oxidative, temperature and other stressors
that induce protein misfolding. We also investigated whether a SUMO-interacting
motif (SIM) in the UD domain of KmULP1 that is not conserved in ScUlp1 may
contribute to the SUMO-binding properties of KmUTAG. In summary, our data reveal
important details about how SUMO proteases target and bind their sumoylated
substrates, especially under stress conditions. We also show that the robust pan
SUMO binding features of KmUTAG can be exploited to detect and study SUMO
modified proteins in cell culture systems.
PMID- 29351567
TI - Whole thorax irradiation of non-human primates induces persistent nuclear damage
and gene expression changes in peripheral blood cells.
AB - We investigated the cytogenetic and gene expression responses of peripheral blood
cells of non-human primates (NHP, Macaca mulatta) that were whole-thorax
irradiated with a single dose of 10 Gy. In this model, partial irradiation of
NHPs in the thoracic region (Whole Thorax Lung Irradiation, WTLI) allows the
study of late radiation-induced lung injury, while avoiding acute radiation
syndromes related to hematopoietic and gastrointestinal injury. A transient drop
in circulating lymphocytes and platelets was seen by 9 days, followed by
elevations in respiratory rate, circulating neutrophils, lymphocytes, and
monocytes at 60-100 days, corresponding to computed tomography (CT) and
histologic evidence of pneumonitis, and elective euthanasia of four animals. To
evaluate long-term DNA damage in NHP peripheral blood lymphocytes after 10 Gy
WTLI, we used the cytokinesis-block micronucleus (CBMN) assay to measure
chromosomal aberrations as post-mitotic micronuclei in blood samples collected up
to 8 months after irradiation. Regression analysis showed significant induction
of micronuclei in NHP blood cells that persisted with a gradual decline over the
8-month study period, suggesting long-term DNA damage in blood lymphocytes after
WTLI. We also report transcriptomic changes in blood up to 30 days after WTLI. We
isolated total RNA from peripheral blood at 3 days before and then at 2, 5 and 30
days after irradiation. We identified 1187 transcripts that were significantly
changed across the 30-day time course. From changes in gene expression, we
identified biological processes related to immune responses, which persisted
across the 30-day study. Response to oxygen-containing compounds and bacteria
were implicated by gene-expression changes at the earliest day 2 and latest, day
30 time-points. Gene expression changes suggest a persistent altered state of the
immune system, specifically response to infection, for at least a month after
WTLI.
PMID- 29351569
TI - Neighborhood disadvantage and body mass index: a study of residential relocation.
AB - Natural experiments, such as longitudinal observational studies which follow-up
residents as they relocate, provide a strong basis to infer causation between the
neighborhood environment and health. This study examined whether changes in the
level of neighborhood disadvantage were associated with changes in body mass
index (BMI) following residential relocation. This analysis included data from
928 residents who relocated between 2007 and 2013, across four waves of the
HABITAT study in Brisbane, Australia. Neighborhood disadvantage was measured
using a census-derived composite index. For individual-level data participants
self-reported their height, weight, education, occupation and household income.
Data were analyzed using multilevel (hybrid) linear models. Women residing in
less disadvantaged neighborhoods had a lower BMI, but there was no association
among men. Neighborhood disadvantage was not associated with within-individual
changes in BMI among men or women when moving to a new neighborhood. Despite a
growing body of literature suggesting an association between neighborhood
disadvantage and BMI, the current study suggests that this association may not be
causal among mid-older aged adults. Observing associations between neighborhood
socioeconomic disadvantage and BMI over the life course, including the impact of
residential relocation in the younger years remains a priority for future
research.
PMID- 29351568
TI - Habits and beliefs related to food supplements: Results of a survey among Italian
students of different education fields and levels.
AB - The increasing availability of food supplements, aggressive media advertising,
and common beliefs that these substances have only positive effects on health and
sport performance indicate a need for continuous monitoring of this phenomenon.
The aim of this study was to investigate the habits and beliefs related to diet
supplementation among medical, health professional, and other university/high
school students by means of a cross-sectional anonymous survey online. Among the
respondents aware of supplements, 37.4% were taking or had taken them in the
past. Food supplement use was more common among university students (in
particular, those in health professional graduate courses) than high school
students. Individual sport practice, rather than team sport, was associated with
higher likelihood of food supplement use. Multivitamins were most commonly used,
while weight-loss formulations were the least popular. Strikingly, filling
nutrient gaps was statistically not considered the main reason for taking food
supplements. Instead, they were used to enhance mental performance or enhance
well-being. There was statistical evidence that students not enrolled in health
or medical professional studies strongly agreed more often than medical students
that taking food supplements prevents illness. These results indicate a striking
difference between the evidence-based and personal reasons for food supplement
use. Arguably, it calls for an improvement in education about diet
supplementation and a change in attitude of health care providers to its
implementation.
PMID- 29351570
TI - Joint adolescent-adult early phase clinical trials to improve access to new drugs
for adolescents with cancer: proposals from the multi-stakeholder platform
ACCELERATE.
PMID- 29351571
TI - The barriers and facilitators for recognising distress in people with severe
dementia on general hospital wards.
AB - Introduction: psychological symptoms and delirium are common, but underreported
in people with dementia on hospital wards. Unrecognised and untreated symptoms
can manifest as distress. Identifying distress accurately therefore could act as
a trigger for better investigation and treatment of the underlying causes. The
challenges faced by healthcare professionals to recognise and report distress are
poorly understood. Methods: semi-structured interviews with a purposive sample of
25 healthcare professionals working with older people in general hospitals were
conducted. Interviews were analysed generating themes that describe the
facilitators and barriers of recognising and caring for distress in dementia.
Results: regardless of training or experience all participants had a similar
understanding of distress, and identified it as a term that is easily understood
and communicated. All participants believed they recognised distress innately.
However, the majority also believed it was facilitated by experience, being
familiar with their patients and listening to the concerns of the person's usual
carers. Barriers to distress recognition included busy ward environments, and
that some people may lack the skill to identify distress in hypoactive patients.
Conclusion: distress may be a simple and easily identified marker of unmet need
in people with dementia in hospital. However, modifiable and unmodifiable
barriers are suggested that reduce the chance of distress being identified or
acted on. Improving our understanding of how distress is identified in this
environment, and in turn developing systems that overcome these barriers, may
improve the accuracy with which distress is identified on hospital wards.
PMID- 29351572
TI - Differential Susceptibility in Ambient Particle-Related Risk of First-Ever
Stroke: Findings From a National Case-Crossover Study.
AB - Different populations may respond differently to exposure to ambient fine
particulate matter, defined as particulate matter with an aerodynamic diameter
less than or equal to 2.5 MUm (PM2.5); however, less is known about the
distribution of susceptible individuals among the entire population. We conducted
a time-stratified case-crossover study to assess associations between stroke risk
and exposure to PM2.5. During 2013-2015, 1,356 first-ever stroke events were
derived from a large representative sample, the China National Stroke Screening
Survey (CNSSS) database. Daily PM2.5 average exposures with a spatial resolution
of 0.1 degrees were estimated using a data assimilation approach combining
satellite measurements, air model simulations, and monitoring values. The
distribution of susceptibility was derived according to individual-specific
associations with PM2.5 modified by different combinations of individual-level
characteristics and their joint frequencies among all of the CNSSS participants
(n = 1,292,010). We found that first-ever stroke was statistically significantly
associated with PM2.5 (per 10-MUg/m3 increment of exposure, odds ratio = 1.049,
95% confidence interval (CI): 1.038, 1.061). This association was modified by
demographic (e.g., sex), lifestyle (e.g., overweight/obesity), and medical
history (e.g., diabetes) variables. The combined association with PM2.5 varied
from 0.966 (95% CI: 0.920, 1.013) to 1.145 (95% CI: 1.080, 1.215) per 10-MUg/m3
increment in different subpopulations. We found that most of the CNSSS
participants were at increased risk of PM2.5-related stroke, while only a small
proportion were highly susceptible.
PMID- 29351573
TI - Multicenter harmonization study for PD-L1 IHC testing in non-small-cell lung
cancer.
AB - Background: Various programed death ligand 1 (PD-L1) immunohistochemistry (IHC)
assays have been developed and used in clinical trials in association with
different drugs. In order to harmonize and make PD-L1 testing in non-small-cell
lung cancer (NSCLC) widely available, we conducted a multicenter study comparing
PD-L1 standardized assays and laboratory-developed tests (LDTs). Methods: IHC
with five anti-PD-L1 monoclonal antibodies (28-8, 22C3, E1L3N, SP142 and SP263)
was performed concomitantly on 41 NSCLC surgical specimens in 7 centers using
Dako Autostainer Link 48 (3 centers), Leica Bond (2 centers) or Ventana BenchMark
Ultra (2 centers) platforms. For each matching platform, 22C3, 28-8 and SP263
assays were performed. For nonmatching platforms and other antibodies, LDTs were
developed in each center. A total of 35 stainings were performed for each case
across different platforms and antibodies. PD-L1 staining was assessed in tumor
cells and immune cells by seven trained thoracic pathologists. For statistical
analysis, 1%, 50% and 1%, 5%, 10% expression thresholds were used for tumor cells
and immune cells, respectively. Results: 28-8, 22C3 and SP263 assays were highly
concordant for tumor cells staining across the five Dako or Ventana platforms.
Among 27 LDTs developed in 7 centers on Dako, Ventana and Leica platforms, 14
(51.8%) demonstrated similar concordance when compared with reference assays for
tumor cell staining. Clone SP263 achieved the highest concordance rate across all
platforms. Lower concordance was observed for immune cells staining when using a
four categories scale. Conclusion: 28-8, 22C3 and SP263 assays had close
analytical performance for tumor cell staining across seven centers. Some LDTs on
Dako, Ventana and Leica platforms achieved similar concordance, but caution is
warranted for their validation. These LDTs will be further validated in order to
provide recommendations for the use of assays and LDT for PD-L1 testing in NSCLC.
PMID- 29351575
TI - Waterlogging tolerance, tissue nitrogen and oxygen transport in the forage legume
Melilotus siculus: a comparison of nodulated and nitrate-fed plants.
AB - Background and Aims: Soil waterlogging adversely impacts most plants. Melilotus
siculus is a waterlogging-tolerant annual forage legume, but data were lacking
for the effects of root-zone hypoxia on nodulated plants reliant on N2 fixation.
The aim was to compare the waterlogging tolerance and physiology of M. siculus
reliant on N2 fixation or with access to NO3-. Methods: A factorial experiment
imposed treatments of water level (drained or waterlogged), rhizobia (nil or
inoculated) and mineral N supply (nil or 11 mm NO3-) for 21 d on plants in pots
of vermiculite in a glasshouse. Nodulation, shoot and root growth and tissue N
were determined. Porosity (gas volume per unit tissue volume) and respiration
rates of root tissues and nodules, and O2 microelectrode profiling across
nodules, were measured in a second experiment. Key Results: Plants inoculated
with the appropriate rhizobia, Ensifer (syn. Sinorhizobium) medicae, formed
nodules. Nodulated plants grew as well as plants fed NO3-, both in drained and
waterlogged conditions. The growth and total N content of nodulated plants
(without any NO3- supplied) indicated N2 fixation. Respiration rates (mass basis)
were highest in nodules and root tips and lowest in basal root tissues. Secondary
aerenchyma (phellem) formed along basal root parts and a thin layer of this
porous tissue also covered nodules, which together enhanced gas-phase diffusion
of O2 to the nodules; O2 was below detection within the infected zone of the
nodule interior. Conclusions: Melilotus siculus reliant on N2 fixation grew well
both in drained and waterlogged conditions, and had similar tissue N
concentrations. In waterlogged conditions the relatively high respiration rates
of nodules must rely on O2 movement via the aerenchymatous phellem in hypocotyl,
roots and the outer tissue layers of nodules.
PMID- 29351574
TI - Self-Reported Knowledge, Correct Knowledge and use of UK Drinking Guidelines
Among a Representative Sample of the English Population.
AB - Aims: Promotion of lower risk drinking guidelines is a commonly used public
health intervention with various purposes, including communicating alcohol
consumption risks, informing drinkers' decision-making and, potentially, changing
behaviour. UK drinking guidelines were revised in 2016. To inform potential
promotion of the new guidelines, we aimed to examine public knowledge and use of
the previous drinking guidelines, including by population subgroup. Methods: A
demographically representative, cross-sectional online survey of 2100 adults
living in England in July 2015 (i.e. two decades after adoption of previous
guidelines and prior to introduction of new guidelines). Univariate and
multivariate logistic regressions examined associations between demographic
variables, alcohol consumption (AUDIT-C), smoking, and knowledge of health
conditions and self-reported knowledge and use of drinking guidelines.
Multinomial logistic regression examined the same set of variables in relation to
accurate knowledge of drinking guidelines (underestimation, accurate-estimation,
overestimation). Results: In total, 37.8% of drinkers self-reported knowing their
own-gender drinking guideline, of whom 66.2% gave an accurate estimate. Compared
to accurate estimation, underestimation was associated with male gender, lower
education and AUDIT-C score, while overestimation was associated with smoking.
Few (20.8%) reported using guidelines to monitor drinking at least sometimes.
Drinking guideline use was associated with higher education, overestimating
guidelines and lower AUDIT-C. Correctly endorsing a greater number of health
conditions as alcohol-related was associated with self-reported knowledge of
guidelines, but was not consistently associated with accurate estimation or use
to monitor drinking. Conclusions: Two decades after their introduction, previous
UK drinking guidelines were not well known or used by current drinkers. Those who
reported using them tended to overestimate recommended daily limits. SHORT
SUMMARY: We examined public knowledge and use of UK drinking guidelines just
before new guidelines were released (2016). Despite previous guidelines being in
place for two decades, only one in four drinkers accurately estimated these, with
even fewer using guidelines to monitor drinking. Approximately 8% of drinkers
overestimated maximum daily limits.
PMID- 29351576
TI - Nivolumab-associated bone marrow necrosis.
PMID- 29351577
TI - Effects of excess thromboxane A2 on placental development and nutrient
transporters in a Mus musculus model of fetal growth restriction.
AB - Hypertensive disease of pregnancy (HDP) with placental insufficiency is the most
common cause of fetal growth restriction (FGR) in the developed world. Despite
the known negative consequences of HDP both to the mother and fetus, little is
known about the longitudinal placental changes that occur as HDP progresses in
pregnancy. This is because longitudinal sampling of human placentae during each
gestation is impossible. Therefore, using a mouse model of thromboxane A2-analog
infusion to mimic human HDP in the last trimester, we calculated placental
efficiencies based on fetal and placental weights; quantified spongiotrophoblast
and labyrinth thicknesses and vascular density within these layers; examined
whether hypoxia signaling pathway involving vascular endothelial growth factor A
(VEGFA) and its receptors (VEGFR1, VEGFR2) and matrix metalloproteinases (MMPs)
contributed to vascular change; and examined nutrient transporter abundance
including glucose transporters 1 and 3 (GLUT1, GLUT3), neutral amino acid
transporters 1, 2, and 4 (SNAT1, SNAT2, and SNAT4), fatty acid transporters 2 and
4 (FATP2, FATP4), and fatty acid translocase (CD36) from embryonic day 15.5 to 19
in a 20-day C57Bl/6J mouse gestation. We conclude that early-to-mid gestation
hypertensive placentae show compensatory mechanisms to preserve fetal growth by
increasing placental efficiencies and maintaining abundance of important nutrient
transporters. As placental vascular network diminishes over late hypertension,
placental efficiency diminishes and fetal growth fails. Neither hypoxia signaling
pathway nor MMPs mediated the vascular diminution in this model. Hypertensive
placentae surprisingly exhibit a sex-differential expression of nutrient
transporters in late gestation despite showing fetal growth failure in both
sexes.
PMID- 29351578
TI - Circulating tumour DNA analyses reveal novel resistance mechanisms to CDK
inhibition in metastatic breast cancer.
PMID- 29351579
TI - Lack of Methyl-CpG Binding Protein 2 (MeCP2) Affects Cell Fate Refinement During
Embryonic Cortical Development.
AB - During differentiation, neurons progressively restrict their fate repressing the
expression of specific genes. Here we describe the involvement in such
developmental steps of the methyl-CpG binding protein 2 (MeCP2), an epigenetic
factor that participates to chromatin folding and transcriptional regulation. We
previously reported that, due to transcriptional impairments, the maturation of
Mecp2 null neurons is delayed. To evaluate whether this could stem from altered
progenitors proliferation and differentiation, we investigated whether lack of
Mecp2 affects these features both in vitro and in vivo. We show that in Mecp2
null embryonic cortexes the expression of genes defining the identity of
proliferating neuroprogenitors is enriched and that their permanence in the G1
phase is prolonged. Moreover, the number of cells transitioning from a stage of
maturation to a more mature one is increased in Mecp2 null embryonic cortices, in
line with the central role of G1 for cell identity refinement. We thus suggest
that, possibly due to the lack of proper transcriptional control normally exerted
by Mecp2, fate refinement is impaired in developing null cells. We propose that
the maturation delay affecting the developing Mecp2 null cortex originates, at
least in part, from deranged mechanisms of cell fate refinement.
PMID- 29351580
TI - Maternal nutrient restriction impairs young adult offspring ovarian signaling
resulting in reproductive dysfunction and follicle loss.
AB - Reproductive abnormalities are included as health complications in offspring
exposed to poor prenatal nutrition. We have previously shown in a rodent model
that offspring born to nutrient restriction during pregnancy are born small,
enter puberty early, and display characteristics of early ovarian aging as
adults. The present study investigated whether key proteins involved in follicle
recruitment and growth mediate ovarian follicle loss. Pregnant rats were
randomized to a standard diet throughout pregnancy and lactation (CON), or a
calorie-restricted (50% of control) diet (UN) during pregnancy. Offspring
reproductive phenotype was investigated at postnatal days 4, 27, and 65. Maternal
UN resulted in young adult (P65) irregular estrous cyclicity due to persistent
estrus, a significant loss of antral follicles, corpora lutea, and an increase in
atretic follicles. This decrease in growing follicles in UN offspring appears to
be due to increased apoptosis as seen by immunopositive staining of pro-apoptotic
factor CASP3 (caspase 3) in ovaries of young adult offspring. UN prepubertal
offspring had reduced expression levels of Fshr in antral follicles, which may
contribute to a decrease in PI3K/AKT activation evident as a decrease in pAKT
immunolocalization in prepubertal antral follicles. Moreover, neonatal ovaries of
UN offspring show decreased levels of immunopositive staining for AMHR2 (anti
mullerian hormone receptor 2). Collectively, these data demonstrate that maternal
UN during pregnancy impacts ovarian function in offspring as early as P65 and
provides a model for understanding the mechanisms driving early life UN-induced
follicle loss and reproductive dysfunction.
PMID- 29351512
TI - Physiology of Astroglia.
AB - Astrocytes are neural cells of ectodermal, neuroepithelial origin that provide
for homeostasis and defense of the central nervous system (CNS). Astrocytes are
highly heterogeneous in morphological appearance; they express a multitude of
receptors, channels, and membrane transporters. This complement underlies their
remarkable adaptive plasticity that defines the functional maintenance of the CNS
in development and aging. Astrocytes are tightly integrated into neural networks
and act within the context of neural tissue; astrocytes control homeostasis of
the CNS at all levels of organization from molecular to the whole organ.
PMID- 29351581
TI - Unifying control over the body: consciousness and cross-cueing in split-brain
patients.
PMID- 29351582
TI - SCO2 mutations cause early-onset axonal Charcot-Marie-Tooth disease associated
with cellular copper deficiency.
AB - Recessive mutations in the mitochondrial copper-binding protein SCO2, cytochrome
c oxidase (COX) assembly protein, have been reported in several cases with fatal
infantile cardioencephalomyopathy with COX deficiency. Significantly expanding
the known phenotypic spectrum, we identified compound heterozygous variants in
SCO2 in two unrelated patients with axonal polyneuropathy, also known as Charcot
Marie-Tooth disease type 4. Different from previously described cases, our
patients developed predominantly motor neuropathy, they survived infancy, and
they have not yet developed the cardiomyopathy that causes death in early infancy
in reported patients. Both of our patients harbour missense mutations near the
conserved copper-binding motif (CXXXC), including the common pathogenic variant
E140K and a novel change D135G. In addition, each patient carries a second
mutation located at the same loop region, resulting in compound heterozygote
changes E140K/P169T and D135G/R171Q. Patient fibroblasts showed reduced levels of
SCO2, decreased copper levels and COX deficiency. Given that another Charcot
Marie-Tooth disease gene, ATP7A, is a known copper transporter, our findings
further underline the relevance of copper metabolism in Charcot-Marie-Tooth
disease.
PMID- 29351583
TI - Travelers' Diarrhea in Thailand: A Quantitative Analysis Using TaqMan(r) Array
Card.
AB - Background: Travelers' diarrhea (TD) is a common illness experienced by travelers
from developed countries who visit developing countries. Recent questionnaire
based surveillance studies showed that approximately 6%-16% of travelers
experienced TD while visiting Thailand; however, a majority of TD information was
limited mainly to US military populations. Methods: A TD surveillance study was
conducted at Bumrungrad International Hospital in 2012-2014 in Bangkok, Thailand.
Enteropathogens were identified using conventional methods and the TaqMan(r)
array card (TAC), which uses real-time polymerase chain reaction for the
simultaneous detection of multiple pathogens. Analyses to determine pathogen
disease and symptoms association were performed to elucidate the clinical
relevance of each enteropathogen. Results: TAC identified more pathogens per
sample than conventional methods. Campylobacter spp. were the most prevalent,
followed by the diarrheagenic Escherichia coli and norovirus GII. These agents
had significant pathogen-disease associations as well as high attributable
fractions among diarrheal cases. A wide range of pathogen loads for Campylobacter
spp. was associated with TD, while heat-labile toxin enterotoxigenic Escherichia
coli was associated with an increased pathogen load. Most cases were associated
with inflammatory diarrhea, while Campylobacter spp. and Shigella spp. were
associated with dysentery. Conclusions: A pan-molecular diagnostic method such as
TAC produces quantifiable and comparable results of all tested pathogens, thereby
reducing the variability associated with multiple conventional methods. This
allows better determination of the clinical relevance of each diarrhea etiologic
agent, as well as their geographical relevance in Thailand.
PMID- 29351586
TI - Computational deconvolution of transcriptomics data from mixed cell populations.
AB - Summary: Gene expression analyses of bulk tissues often ignore cell type
composition as an important confounding factor, resulting in a loss of signal
from lowly abundant cell types. In this review, we highlight the importance and
value of computational deconvolution methods to infer the abundance of different
cell types and/or cell type-specific expression profiles in heterogeneous samples
without performing physical cell sorting. We also explain the various
deconvolution scenarios, the mathematical approaches used to solve them and the
effect of data processing and different confounding factors on the accuracy of
the deconvolution results. Contact: katleen.depreter@ugent.be. Supplementary
information: Supplementary data are available at Bioinformatics online.
PMID- 29351585
TI - Core Differences in Synaptic Signaling Between Primary Visual and Dorsolateral
Prefrontal Cortex.
AB - Neurons in primary visual cortex (V1) are more resilient than those in
dorsolateral prefrontal cortex (dlPFC) in aging, schizophrenia and Alzheimer's
disease. The current study compared glutamate and neuromodulatory actions in
macaque V1 to those in dlPFC, and found striking regional differences. V1
neuronal firing to visual stimuli depended on AMPA receptors, with subtle NMDA
receptor contributions, while dlPFC depends primarily on NMDA receptors.
Neuromodulatory actions also differed between regions. In V1, cAMP signaling
increased neuronal firing, and the phosphodiesterase PDE4A was positioned to
regulate cAMP effects on glutamate release from axons. HCN channels in V1 were
classically located on distal dendrites, and enhanced cell firing. These data
contrast with dlPFC, where PDE4A and HCN channels are concentrated in thin
spines, and cAMP-HCN signaling gates inputs and weakens firing. These regional
differences may explain why V1 neurons are more resilient than dlPFC neurons to
the challenges of age and disease.
PMID- 29351584
TI - The Roles of Left Versus Right Anterior Temporal Lobes in Semantic Memory: A
Neuropsychological Comparison of Postsurgical Temporal Lobe Epilepsy Patients.
AB - The presence and degree of specialization between the anterior temporal lobes
(ATLs) is a key issue in debates about the neural architecture of semantic
memory. Here, we comprehensively assessed multiple aspects of semantic cognition
in a large group of postsurgical temporal lobe epilepsy (TLE) patients with left
versus right anterior temporal lobectomy (n = 40). Both subgroups showed deficits
in expressive and receptive verbal semantic tasks, word and object recognition,
naming and recognition of famous faces and perception of faces and emotions.
Graded differences in performance between the left and right groups were
secondary to the overall mild semantic impairment; primarily, left resected TLE
patients showed weaker performance on tasks that required naming or accessing
semantic information from a written word. Right resected TLE patients were
relatively more impaired at recognizing famous faces as familiar, although this
effect was observed less consistently. These findings unify previous partial,
inconsistent results and also align directly with fMRI and transcranial magnetic
stimulation results in neurologically intact participants. Taken together, these
data support a model in which the 2 ATLs act as a coupled bilateral system for
the representation of semantic knowledge, and in which graded hemispheric
specializations emerge as a consequence of differential connectivity to
lateralized speech production and face perception regions.
PMID- 29351587
TI - Heat exposure induces oxidative stress and DNA damage in the male germ line.
AB - The reproductive consequences of global warming are not currently understood. In
order to address this issue, we have examined the reproductive consequences of
exposing male mice to a mild heat stress. For this purpose, adult male mice were
exposed to an elevated ambient temperature of 35 degrees C under two exposure
models. The first involved acute exposure for 24 h, followed by recovery periods
between 1 day and 6 weeks. The alternative heating regimen involved a daily
exposure of 8 h for periods of 1 or 2 weeks. In our acute model, we identified
elevated sperm mitochondrial ROS generation (P < 0.05), increased sperm membrane
fluidity (P < 0.05), DNA damage in the form of single-strand breaks (P < 0.001),
and oxidative DNA damage (P < 0.05), characteristic of an oxidative stress
cascade. This DNA damage was detected in pachytene spermatocytes (P < 0.001) and
round spermatids (P < 0.001) isolated from testes after 1 day heat recovery.
Despite these lesions, the spermatozoa of heat-treated mice exhibited no
differences in their ability to achieve hallmarks of capacitation or to fertilize
the oocyte and support development of embryos to the blastocyst stage (all P >
0.05). Collectively, our acute heat stress model supports the existence of heat
susceptible stages of germ cell development, with the round spermatids being most
perturbed and spermatogonial stem cells exhibiting resistance to this insult.
Such findings were complemented by our chronic heat stress model, which further
supported the vulnerability of the round spermatid population.
PMID- 29351589
TI - Reply to the letter to the editor 'Concurrent cisplatin and radiotherapy versus
cetuximab and radiotherapy, an unsolved problem' by Guler et al.
PMID- 29351590
TI - Characteristics and evolution of the ecosystem of software tools supporting
research in molecular biology.
AB - Daily work in molecular biology presently depends on a large number of
computational tools. An in-depth, large-scale study of that 'ecosystem' of Web
tools, its characteristics, interconnectivity, patterns of usage/citation,
temporal evolution and rate of decay is crucial for understanding the forces that
shape it and for informing initiatives aimed at its funding, long-term
maintenance and improvement. In particular, the long-term maintenance of these
tools is compromised because of their specific development model. Hundreds of
published studies become irreproducible de facto, as the software tools used to
conduct them become unavailable. In this study, we present a large-scale survey
of >5400 publications describing Web servers within the two main bibliographic
resources for disseminating new software developments in molecular biology. For
all these servers, we studied their citation patterns, the subjects they address,
their citation networks and the temporal evolution of these factors. We also
analysed how these factors affect the availability of these servers (whether they
are alive). Our results show that this ecosystem of tools is highly
interconnected and adapts to the 'trendy' subjects in every moment. The servers
present characteristic temporal patterns of citation/usage, and there is a
worrying rate of server 'death', which is influenced by factors such as the
server popularity and the institutions that hosts it. These results can inform
initiatives aimed at the long-term maintenance of these resources.
PMID- 29351588
TI - Sorghum root-system classification in contrasting P environments reveals three
main rooting types and root-architecture-related marker-trait associations.
AB - Background and Aims: Roots facilitate acquisition of macro- and micronutrients,
which are crucial for plant productivity and anchorage in the soil. Phosphorus
(P) is rapidly immobilized in the soil and hardly available for plants.
Adaptation to P scarcity relies on changes in root morphology towards rooting
systems well suited for topsoil foraging. Root-system architecture (RSA) defines
the spatial organization of the network comprising primary, lateral and stem
derived roots and is important for adaptation to stress conditions. RSA
phenotyping is a challenging task and essential for understanding root
development. Methods: In this study, 19 traits describing RSA were analysed in a
diversity panel comprising 194 sorghum genotypes, fingerprinted with a 90-k
single-nucleotide polymorphism (SNP) array and grown under low and high P
availability. Key Results: Multivariate analysis was conducted and revealed three
different RSA types: (1) a small root system; (2) a compact and bushy rooting
type; and (3) an exploratory root system, which might benefit plant growth and
development if water, nitrogen (N) or P availability is limited. While several
genotypes displayed similar rooting types in different environments, others
responded to P scarcity positively by developing more exploratory root systems,
or negatively with root growth suppression. Genome-wide association studies
revealed significant quantitative trait loci (P < 2.9 * 10-6) on chromosomes SBI
02, SBI-03, SBI-05 and SBI-09. Co-localization of significant and suggestive (P <
5.7 * 10-5) associations for several traits indicated hotspots controlling root
system development on chromosomes SBI-02 and SBI-03. Conclusions: Sorghum
genotypes with a compact, bushy and shallow root system provide potential
adaptation to P scarcity in the field by allowing thorough topsoil foraging,
while genotypes with an exploratory root system may be advantageous if N or water
is the limiting factor, although such genotypes showed highest P uptake levels
under the artificial conditions of the present study.
PMID- 29351591
TI - Could seasonally deteriorating environments favour the evolution of autogamous
selfing and a drought escape physiology through indirect selection? A test of the
time limitation hypothesis using artificial selection in Clarkia.
AB - Background and Aims: The evolution of selfing from outcrossing may be the most
common transition in plant reproductive systems and is associated with a variety
of ecological circumstances and life history strategies. The most widely
discussed explanation for these associations is the reproductive assurance
hypothesis - the proposition that selfing is favoured because it increases female
fitness when outcross pollen receipt is limited. Here an alternative explanation,
the time limitation hypothesis, is addressed, one scenario of which proposes that
selfing may evolve as a correlated response to selection for a faster life cycle
in seasonally deteriorating environments. Methods: Artificial selection for
faster maturation (early flowering) or for low herkogamy was performed on Clarkia
unguiculata (Onagraceae), a largely outcrossing species whose closest relative,
C. exilis, has evolved higher levels of autogamous selfing. Direct responses to
selection and correlated evolutionary changes in these traits were measured under
greenhouse conditions. Direct responses to selection on early flowering and
correlated evolutionary changes in the node of the first flower, herkogamy,
dichogamy, gas exchange rates and water use efficiency (WUE) were measured under
field conditions. Key Results: Lines selected for early flowering and for low
herkogamy showed consistent, statistically significant responses to direct
selection. However, there was little or no evidence of correlated evolutionary
changes in flowering date, floral traits, gas exchange rates or WUE. Conclusions:
These results suggest that the maturation rate and mating system have evolved
independently in Clarkia and that the time limitation hypothesis does not explain
the repeated evolution of selfing in this genus, at least through its indirect
selection scenario. They also suggest that the life history and physiological
components of drought escape are not genetically correlated in Clarkia, and that
differences in gas exchange physiology between C. unguiculata and C. exilis have
evolved independently of differences in mating system and life history.
PMID- 29351593
TI - Usefulness of the Serial Measurement of Vi Antibodies.
PMID- 29351592
TI - Outcome after long-segment tracheal resection: study of 52 cases.
AB - OBJECTIVES: Resection of long-segment trachea is challenging, and although 50% of
adult trachea can be removed, anastomotic complications arise proportionally.
Different release manoeuvres have been described to gain length and reduce
tension at the suture line. The aim of the study was to evaluate the outcome when
different release manoeuvres have been utilized during resection and
reconstruction of the trachea. METHODS: From January 2005 to December 2015, 52
patients with long segments of trachea >=40 mm requiring resection and
reconstruction were treated at our institute. Demographic, operative and
postoperative data were retrospectively analysed. RESULTS: Fifty-two patients
with long-segment tracheal disorders >=40 mm were analysed in this stud.
Transient swallowing and phonation dysfunction occurred in 17 (32.2%) patients,
exclusively in patients who underwent laryngeal release. Swallowing dysfunction
was Grade I in all patients, except 2 who suffered Grade II dysphagia and were
relieved in the early postoperative period. Forty-five (86.5%) patients were
symptom free, and 7 (13.4%) patients were symptomatic (dyspnoea on exertion
and/or stridor) and required reintervention. Four (7.6%) patients responded to 1
or 2 sessions of bronchoscopic dilatation, and 3 patients were left with
permanent tracheostomies. Patients with neoplastic pathology were followed up
without any neoplastic recurrence. CONCLUSIONS: Long-segment resection and
reconstruction of the trachea utilizing one or more release manoeuvres can be
safely done, with low complication rates. Although swallowing and phonation
dysfunction after laryngeal release were commonly encountered (almost one-third
of patients), they were mild, transient, self-limited and recovered within 2-3
weeks of the early postoperative period.
PMID- 29351594
TI - Seroefficacy of Vi Polysaccharide-Tetanus Toxoid Typhoid Conjugate Vaccine
(Typbar TCV).
AB - Background: Salmonella Typhi is the major cause of enteric fever in lower-income
countries. New conjugate vaccines show promise as public health interventions,
but there are no efficacy data available from endemic areas. Methods: Data were
obtained from a previously published phase 3 randomized controlled trial
comparing Vi polysaccharide-tetanus toxoid conjugate vaccine (Vi-TT) with Vi
polysaccharide vaccine (Vi-PS) in participants aged 2-45 years. An additional
open-label arm administered Vi-TT to children aged 6-23 months. The proportion of
participants with presumed clinical or subclinical infection ("seroincidence")
was determined using mixture models and compared using relative risks (RRs).
Results: Of 387 participants, 81 (21%) were classified as having presumed typhoid
infection during the 2-year postvaccination period. Seroincidence was lower in
participants randomized to Vi-TT rather than Vi-PS among those aged 2-45 years
(RR, 0.372; 95% confidence interval [CI], .235-.588; P < .001) and those aged 2
15 years (RR, 0.424; 95% CI, .231-.778; P = .004). There was no difference in
seroincidence for Vi-TT between those aged 2-45 years and those aged 6-23 months
(RR, 1.073; 95% CI, .563-2.046; P = .83). Vaccine seroefficacy was 85% (95% CI,
80%-88%). Conclusion: This is the first field estimate of the seroefficacy of a
Vi-TT vaccine and shows that Typbar TCV substantially reduces the number of
serologically defined clinical or subclinical infections in infants, children,
and adults. These results support the recent World Health Organization
recommendations for deployment of typhoid conjugate vaccines in high-burden
areas.
PMID- 29351595
TI - Propensity-matched comparison between minimally invasive and conventional
sternotomy in aortic valve resuspension.
AB - OBJECTIVES: The aim of the study was to compare the results of David procedure
through conventional or minimally invasive approach. METHODS: A propensity
matched comparison in patients undergoing a minimally invasive (partial upper
sternotomy, n = 103) or complete sternotomy (n = 103) David procedure from 1991
to 2016 was performed. Patients were 57 +/- 14 years old on average in both
groups. The David technique was modified by generating a neosinus (P < 0.01) in
99 (96%) patients (minimally invasive group) and in 42 (41%) patients (complete
sternotomy group), respectively. The average follow-up time was 3 +/- 2 years
(minimally invasive group) and 8 +/- 4 years (complete sternotomy group).
RESULTS: There was only 1 in-hospital death (in the full sternotomy group, P =
0.5). The applied quantity of packed red blood cells (pRBC) was significantly
higher in the complete sternotomy group (3.4 +/- 4 vs 1 +/- 0.5, P < 0.01). There
were no late deaths in the minimally invasive group but 14 died during a longer
follow-up period in the full sternotomy group (P < 0.01). Freedom from
reoperation or aortic valve insufficiency >=2 degrees was 95% vs 93% (minimally
invasive versus complete sternotomy group) at 5 years and 95% vs 79% at 10 years
(P < 0.01). CONCLUSIONS: The minimally invasive aortic valve reimplantation
procedure for selected patients with aortic root aneurysm and aortic valve
incompetence is a durable procedure with minor valve-related morbidity and
mortality at the mid-term follow-up. The intra- and perioperative application of
pRBC was significantly lower in the minimally invasive group. However, comparison
of long-term follow-up data in both groups is necessary to evaluate valve
function.
PMID- 29351596
TI - Freedom Solo(r) versus Trifecta(r) bioprostheses: clinical and haemodynamic
evaluation after propensity score matching.
AB - OBJECTIVES: The goal of this study was to compare the stentless Freedom Solo(r)
(FS) and the stented Trifecta(r) (TF) aortic bioprostheses with regard to
haemodynamic profile, left ventricular mass regression and early and late
postoperative outcomes and survival. METHODS: A longitudinal cohort study of
consecutive patients undergoing aortic valve replacement (2009-16) with either
the FS or TF at 1 centre was performed. Local databases and national records were
queried. Prosthesis haemodynamics and left ventricular dimensions were obtained
from postoperative echocardiograms. After propensity score matching (21
covariates), the Kaplan-Meier and competing risk analyses were performed for
survival and the combined outcome of structural valve deterioration and
endocarditis, respectively. Haemodynamics and mass regression were assessed by a
mixed-effects model including propensity score as a covariate. RESULTS: From a
total sample of 397 patients with the FS and 525 TF bioprostheses with a median
follow-up time of 4.0 (2.2-6.0) and 2.4 (1.4-3.7) years, respectively, a matched
sample of 329 pairs was obtained. Matched groups showed no differences in
survival (hazard ratio = 1.04, 95% confidence interval = 0.69-1.56) or cumulative
hazards of combined outcome (subdistribution hazard ratio = 0.54, 95% confidence
interval = 0.21-1.39). Although the TF showed an improved haemodynamic profile,
no difference was found in mass regression. Patients with TF bioprostheses had
higher rates of prolonged mechanical ventilation, whereas patients with the FS
bioprosthesis showed higher rates of thrombocytopenia. CONCLUSIONS: The TF showed
an improved haemodynamic profile compared to the FS, but this did not translate
into further reverse remodelling. Postoperative outcomes and survival rates were
comparable for both bioprostheses. Long-term follow-up is needed for comparisons
with classical bioprosthesis models.
PMID- 29351598
TI - Smooth muscle cells of intracranial vessels: from development to disease.
AB - Cerebrovascular diseases that cause ischaemic or haemorrhagic stroke with
subsequent loss of life or functional capacity due to damage of the brain tissue
are among the leading causes of human suffering and economic burden inflicted by
diseases in the developed world. Diseases affecting intracranial vessels are
significant contributors to ischaemic and haemorrhagic strokes. Brain
arteriovenous malformations, which are a collection of abnormal blood vessels
connecting arteries to veins, are the most common cause of intracranial
haemorrhage in children and young adults. Saccular intracranial aneurysms, which
are pathological saccular dilations mainly occurring at bifurcations of the large
intracranial arteries near the circle of Willis, are highly prevalent in the
middle-aged population, causing significant anxiety and concern; their rupture,
although rare, is a significant cause of intracranial haemorrhage in those past
middle age that is associated with a very sinister prognosis. Cerebral small
vessel disease, which comprise all pathological processes affecting vessels <500
microns in diameter, account for the majority of intracerebral haemorrhages and
~25% of ischaemic strokes and 45% of dementias in the elderly. In this review, we
summarize the developmental, structural, and functional features of intracranial
vessels. We then describe the role of smooth muscle cells in brain arteriovenous
malformations, intracranial aneurysms, and small-vessel diseases, and discuss how
the peculiar ontogeny, structure, and function of intracranial vessels are
related to the development of these diseases.
PMID- 29351597
TI - A systematic review of health promotion interventions to increase breast cancer
screening uptake: from the last 12 years.
AB - Background: The outcome of breast cancer treatment largely depends on the timing
of detection. The health promotion interventions have an immense contribution to
early detection and improved survival. Therefore, this review aimed to provide
evidence on the efficacy of the health promotion interventions to increase the
uptake of breast cancer screening and to develop effective interventions
targeting women. Methods: Online databases (PubMed/MEDLINE/PubMed Central,
Ovid/MEDILINE, EMBASE, Web of Science and Google Scholar) were searched for
studies published between January 2005 and January 2017. A quality coding system
was assessed using Cochrane checklists for randomized controlled trial (RCT) and
Downs and Black checklists for non-RCT. The score was rated for the included
articles by each researcher independently and the average score is given
accordingly. This study was registered in PROSPERO as [PROSPERO 2017:
CRD42017060488]. Results: The review dovetailed 22 studies. Thirteen studies
(59.10%) were conducted in the Unite States, 4 in Iran (18.18%), 2 in India
(9.09%) and 1 each in Turkey, Saudi Arabia and Israel. The interventions were
classified as 'individual-based', 'community-based', 'group-based teachings and
training' and 'behavioral model based'. The majority of the studies showed
favorable outcomes after health promotion interventions, including improvements
in women's view of breast screening, breast self-examination and knowledge of
breast screening. Conclusion: The review confirmed that most of the health
promotion interventions targeting women boosted the breast screening in one or
another way. However, the limited quality of the included studies showed that
further research is needed to improve the trials in the next future.
PMID- 29351599
TI - ST-segment elevation myocardial infarction caused by aortic pseudoaneurysm
following surgical mitral valvuloplasty.
AB - Pseudoaneurysm is one of the complications after arterial cannulation. We report
the case of a patient with ST-segment elevation myocardial infarction due to
aortic pseudoaneurysm after surgical mitralvalvuloplasty. Careful evaluation
should be performed in cases of ST-segment elevation myocardial infarction
following cardiac surgery, even after several months.
PMID- 29351600
TI - GTC: how to maintain huge genotype collections in a compressed form.
AB - Motivation: Nowadays, genome sequencing is frequently used in many research
centers. In projects, such as the Haplotype Reference Consortium or the Exome
Aggregation Consortium, huge databases of genotypes in large populations are
determined. Together with the increasing size of these collections, the need for
fast and memory frugal ways of representation and searching in them becomes
crucial. Results: We present GTC (GenoType Compressor), a novel compressed data
structure for representation of huge collections of genetic variation data. It
significantly outperforms existing solutions in terms of compression ratio and
time of answering various types of queries. We show that the largest of publicly
available database of about 60 000 haplotypes at about 40 million SNPs can be
stored in <4 GB, while the queries related to variants are answered in a fraction
of a second. Availability and implementation: GTC can be downloaded from
https://github.com/refresh-bio/GTC or http://sun.aei.polsl.pl/REFRESH/gtc.
Contact: sebastian.deorowicz@polsl.pl. Supplementary information: Supplementary
data are available at Bioinformatics online.
PMID- 29351601
TI - What causes health inequality? A systematic review on the relative importance of
social causation and health selection.
PMID- 29351602
TI - The integrity and organization of the human AIPL1 functional domains is critical
for its role as a HSP90-dependent co-chaperone for rod PDE6.
PMID- 29351603
TI - The unexpected function of a Flavin-dependent oxidoreductase from Variovorax
paradoxus TBEA6.
AB - 3,3'-Thiodipropionic acid (TDP) is used as an additive in food and cosmetic
industry and as precursor substrate for biotechnical polythioester production.
Its catabolism was investigated in Variovorax paradoxus TBEA6 previous to this
study. It was reported that the insertion of the transposon Tn5::mob into a gene
showing high homology to flavin-dependent oxidoreductases (fox) resulted in
impaired growth with TDP. Therefore, it was assumed that the initial cleavage of
TDP is catalyzed by an FAD-dependent oxidoreductase (Fox, VPARA_05580).
Accordingly, fox was heterologously expressed as a thioredoxin fusion protein.
Analytical size exclusion chromatography revealed a homodimeric structure and the
presence of the cofactor FAD. In vitro experiments showed that FoxTBEA6 is a D-2
hydroxy acid specific dehydrogenase and that its activity is enhanced in presence
of either Ni2+, Co2+ or Zn2+. Cleavage of TDP by FoxTBEA6 was not observed. The
findings are contrary to restricted growth with TDP of the transposon mutants and
the previously published deletion mutant V. paradoxus TBEA6 Deltafox. In this
study, this contradiction was investigated by generation of additional deletion
mutants, in which partial or complete deletion of fox did not affect utilization
of TDP, and the mapping of single nucleotide polymorphisms (SNPs) in V. paradoxus
TBEA6 Deltafox.
PMID- 29351604
TI - Evaluation of results-based financing in the Republic of the Congo: a comparison
group pre-post study.
AB - Results-based financing (RBF) has been advocated and increasingly scaled up in
low- and middle-income countries to increase utilization and quality of key
primary care services, thereby reducing maternal and child mortality rates. This
pilot RBF study in the Republic of the Congo from 2012 to 2014 used a quasi
experimental research design. The authors conducted pre- and post-household
surveys and gathered health facility services data from both intervention and
comparison groups. Using a difference-in-differences approach, the study
evaluated the impact of RBF on maternal and child health services. The household
survey found statistically significant improvements in quality of services
regarding the availability of medicines, perceived quality of care, hygiene of
health facilities and being respected at the reception desk. The health facility
survey showed no adverse effects and significantly favourable impacts on:
curative visits, patient referral, children receiving vitamin A, HIV testing of
pregnant women and assisted deliveries. These improvements, in relative terms,
ranged from 42% (assisted deliveries) to 155% (children receiving vitamin A).
However, the household survey found no statistically significant impacts on the
five indicators measuring the use of maternal health services, including the
percentage of pregnant women using prenatal care, 3+ prenatal care, postnatal
care, assisted delivery, and family planning. Surprisingly, RBF was found to be
associated with a reduction of coverage of the third diphtheria, pertussis, and
tetanus immunization among children in the household survey. From the health
facility survey, no association was found between RBF and full immunization among
children. Overall, the study shows a favourable impact of an RBF programme on
most, but not all, targeted maternal and child health services. Several aspects
of programme implementation, such as timely disbursement of incentives,
monitoring health facility performance, and transparency of using funds could be
further strengthened to maximize RBF's impact.
PMID- 29351605
TI - Association analyses of East Asian individuals and trans-ancestry analyses with
European individuals reveal new loci associated with cholesterol and triglyceride
levels.
PMID- 29351606
TI - Analysis of metal tolerance in Rhizobium leguminosarum strains isolated from an
ultramafic soil.
AB - Natural habitats containing high amounts of heavy metals provide a valuable
source of bacteria adapted to deal with metal toxicity. A functional analysis of
the population of legume endosymbiotic bacteria in an ultramafic soil was
undertaken by studying a collection of Rhizobium leguminosarum bv viciae (Rlv)
isolates obtained using pea as trap plant. One of the isolates, Rlv UPM1137, was
selected on the basis of its higher tolerance to nickel and cobalt and presence
of inducible mechanisms for such tolerance. A random transposon mutagenesis of
Rlv UPM1137 allowed the generation of 14 transposant derivatives with increased
nickel sensitivity; five of these transposants were also more sensitive to
cobalt. Sequencing of the insertion sites revealed that one of the transposants
(D2250) was affected in a gene homologous to the cation diffusion facilitator
gene dmeF first identified in the metal-resistant bacterium Cupriavidus
metallidurans CH34. The symbiotic performance of D2250 and two other transposants
bearing single transposon insertions was unaffected under high-metal conditions,
suggesting that, in contrast to previous observations in other Rlv strain, metal
tolerance in UPM1137 under symbiotic conditions might be supported by functional
redundancy between several mechanisms.
PMID- 29351607
TI - Sector-wide or disease-specific? Implications of trends in development assistance
for health for the SDG era.
AB - The record of the Millennium Development Goals broadly reflects the trade-offs of
disease-specific financing: substantial progress in particular areas, facilitated
by time-bound targets that are easy to measure and communicate, which shifted
attention and resources away from other areas, masked inequalities and
exacerbated fragmentation. In many ways, the Sustainable Development Goals
reflect a profound shift towards a more holistic, system-wide approach. To inform
responses to this shift, this article builds upon existing work on aggregate
trends in donor financing, bringing together what have largely been disparate
analyses of sector-wide and disease-specific financing approaches. Looking across
the last 26 years, the article examines how international donors have allocated
development assistance for health (DAH) between these two approaches and how
attempts to bridge them have fared in practice. Since 1990, DAH has
overwhelmingly favoured disease-specific earmarks over health sector support,
with the latter peaking in 1998. Attempts to integrate system strengthening
elements into disease-specific funding mechanisms have varied by disease, and
more integrated funding platforms have failed to gain traction. Health sector
support largely remains an unfulfilled promise: proportionately low amounts
(albeit absolute increases) which have been inconsistently allocated, and the
overall approach inconsistently applied in practice. Thus, the expansive
orientation of the Sustainable Development Goals runs counter to trends over the
last several decades. Financing proposals and efforts to adapt global health
institutions must acknowledge and account for the persistent challenges in the
financing and implementation of integrated, cross-sector policies. National and
subnational experimentation may offer alternatives within and beyond the health
sector.
PMID- 29351609
TI - Inequity in contraceptive care between refugees and other migrant women?: a
retrospective study in Dutch general practice.
AB - Background: Female refugees are at high risk of reproductive health problems
including unmet contraceptive needs. In the Netherlands, the general practitioner
(GP) is the main entrance to the healthcare system and plays a vital role in the
prescription of contraceptives. Little is known about contraceptive care in
female refugees in primary care. Objective: To get insight into GP care related
to contraception in refugees and other migrants compared with native Dutch women.
Methods: A retrospective descriptive study of patient records of refugees, other
migrants and native Dutch women was carried out in five general practices in the
Netherlands. The prevalence of discussions about contraception and prescriptions
of contraceptives over the past 6 years was compared in women of reproductive age
(15-49 years). Results: In total, 104 refugees, 58 other migrants and 162 native
Dutch women were included. GPs in our study (2 male, 3 female) discussed
contraceptives significantly less often with refugees (51%) and other migrants
(66%) than with native Dutch women (84%; P < 0.001 and P = 0.004, respectively).
Contraceptives were less often prescribed to refugees (34%) and other migrants
(55%) than to native Dutch women (79%; P < 0.001 and P = 0.001). Among refugees
from Sub-Saharan Africa, contraception was significantly less often discussed
(28.9%) compared with refugees from other regions (67.8%; P < 0.001). More
refugees and other migrants had experienced unwanted pregnancies (14%
respectively 9%) and induced abortions (12% respectively 7%) than native Dutch
women (4% respectively 4%). Conclusion: Contraceptives were significantly less
often discussed with and prescribed to refugees and other migrant women compared
with native Dutch women. More research is needed to elicit the reproductive
health needs and preferences of migrant women regarding GP's care and experiences
in discussing these issues. Such insights are vital in order to provide equitable
reproductive healthcare to every woman regardless of her background.
PMID- 29351608
TI - Feasibility of drugs in Brugada syndrome: Authors' reply.
PMID- 29351610
TI - Orthotopic branched endovascular aortic arch repair in patients who cannot
undergo classical surgery.
AB - OBJECTIVES: Our goal was to assess the results after orthotopic branched
endovascular aortic arch repair using a new double-branch endoprosthesis in
patients with thoracic aortic disease affecting the aortic arch who cannot
undergo classical surgery. METHODS: Within a 4-year period, 15 patients with
thoracic aortic disease affecting the aortic arch were treated with the Bolton
Relay plus double-branch endoprosthesis (Bolton Medical, Sunrise, FL, USA). We
assessed clinical outcome, occurrence of endoleaks and the need for secondary
interventions. The median logistic EuroSCORE I level was 13.6 (4.2; 22.8).
RESULTS: The in-hospital mortality rate was 6.7%. A disabling stroke was observed
in 1 (6.7%) patient, whereas non-disabling strokes occurred in 2 (13.3%)
patients. Type I and III endoleaks occurred in 6.7%. The median follow-up period
was 263 (1st quartile 84; 2nd quartile 564) days. Four patients died during the
follow-up period. Aortic-related survival was 100%. CONCLUSIONS: Orthotopic
branched endovascular aortic arch repair using the Bolton Relay Plus double
branch endoprosthesis is a safe and feasible technique enriching the
armamentarium to treat patients with thoracic aortic disease who cannot undergo
classical surgery. Aortic-related survival is excellent, and the occurrence of
disabling stroke and endoleaks warranting treatment is low. Further studies are
needed to assess the long-term durability of this new method.
PMID- 29351611
TI - THE AUTHORS REPLY.
PMID- 29351613
TI - The beginning at the end: non-invasive assessment of post-transplant coronary
allograft vasculopathy at the microcirculatory level.
PMID- 29351612
TI - A mutational signature associated with alcohol consumption and prognostically
significantly mutated driver genes in esophageal squamous cell carcinoma.
AB - Background: Esophageal squamous cell carcinoma (ESCC) is often diagnosed at an
advanced and incurable stage. Information on driver genes and prognosticators in
ESCC remains incomplete. The objective was to elucidate significantly mutated
genes (SMGs), mutational signatures, and prognosticators in ESCC. Patients and
methods: Three MutSig algorithms (i.e. MutSigCV, MutSigCL and MutSigFN) and
'20/20+' ratio-metric were employed to identify SMGs. Nonnegative matrix
factorization was used to decipher mutational signatures. Kaplan-Meier survival
analysis, multivariate Cox and logistic regression models were applied to analyze
association between mutational features and clinical parameters. Results: We
identified 26 SMGs, including 8 novel (NAV3, TENM3, PTCH1, TGFBR2, RIPK4, PBRM1,
USP8 and BAP1) and 18 that have been previously reported. Three mutational
signatures were identified to be prevalent in ESCC including clocklike C>T at
CpG, APOBEC overactive C>T at TpCp[A/T], and a signature featured by T>C
substitution. The T>C mutational signature was significantly correlated with
alcohol consumption (OR: 3.59; 95% CI: 2.30-5.67; P < 0.001). This alcohol
consumption signature was also observed in liver cancer and head and neck
squamous cell carcinoma, and its mutational activity was substantially higher in
samples with mutations in TP53. Survival analysis revealed that TENM3 mutations
(HR: 5.54; CI: 2.68-11.45; P < 0.001) and TP53 hotspot mutation p.R213* (HR:
3.37; CI: 1.73-8.06; P < 0.001) were significantly associated with shortened
survival outcome. The association remained statistically significant after
controlling for age, gender, TNM stage and tumor grade. Conclusions: We have
uncovered several new SMGs in ESCC and defined an alcohol consumption related
mutational signature. TENM3 mutations and the TP53 hotspot mutation p.R213* are
independent prognosticators for poor survival in ESCC.
PMID- 29351615
TI - 18F-fluorodeoxyglucose positron emission/computed tomography and computed
tomography angiography in prosthetic heart valve endocarditis: from guidelines to
clinical practice.
AB - The timely diagnosis of prosthetic heart valve endocarditis remains challenging
yet of utmost importance. 18F-fluorodeoxyglucose (18 F-FDG) positron
emission/computed tomography (PET/CT) and cardiac computed tomography angiography
(CTA) were recently introduced as additional diagnostic tools in the most recent
ESC guidelines on infective endocarditis. However, how to interpret PET/CT
findings with regard to what is to be considered abnormal, what the potential
confounders may be, as well as which patients benefit most from these additional
imaging techniques and how to best perform them in these often-complex patients,
remains unclear. This review focusses on factors regarding patient selection and
image acquisition that need to be taken into account when employing 18F-FDG
PET/CT and CTA in daily clinical practice, and the importance of a
multidisciplinary Endocarditis Team herein. Furthermore, it emphasizes the need
for standardized acquisition protocols and image interpretation, especially now
that these techniques are starting to be widely embraced by the cardiovascular
society.
PMID- 29351614
TI - A Membrane G-Protein-Coupled Estrogen Receptor Is Necessary but Not Sufficient
for Sex Differences in Zebra Finch Auditory Coding.
AB - Estradiol acts as a neuromodulator in brain regions important for cognition and
sensory processing. Estradiol also shapes brain sex differences but rarely have
these concepts been considered simultaneously. In male and female songbirds,
estradiol rapidly increases within the auditory forebrain during song exposure
and enhances local auditory processing. We tested whether G-protein-coupled
estrogen receptor 1 (GPER1), a membrane-bound estrogen receptor, is necessary and
sufficient for neuroestrogen regulation of forebrain auditory processing in male
and female zebra finches (Taeniopygia guttata). At baseline, we observed that
females had elevated single-neuron responses to songs vs males. In males, narrow
spiking (NS) neurons were more responsive to conspecific songs than broad-spiking
(BS) neurons, yet cell types were similarly auditory responsive in females.
Following acute inactivation of GPER1, auditory responsiveness and coding were
suppressed in male NS yet unchanged in female NS and in BS of both sexes. By
contrast, GPER1 activation did not mimic previously established estradiol actions
in either sex. Lastly, the expression of GPER1 and its coexpression with an
inhibitory neuron marker were similarly abundant in males and females, confirming
anatomical similarity in the auditory forebrain. In this study, we found: (1) a
role for GPER1 in regulating sensory processing and (2) a sex difference in
auditory processing of complex vocalizations in a cell type-specific manner.
These results reveal sex specificity of a rapid estrogen signaling mechanism in
which neuromodulation accounts and/or compensates for brain sex differences,
dependent on cell type, in brain regions that are anatomically similar in both
sexes.
PMID- 29351617
TI - Haemodynamic deterioration due to intra-aortic balloon counterpulsation in
takotsubo cardiomyopathy.
PMID- 29351616
TI - Deficient Glucagon Response to Hypoglycemia During a Mixed Meal in Total
Pancreatectomy/Islet Autotransplantation Recipients.
AB - Context: Total pancreatectomy and intrahepatic islet autotransplantation (TP/IAT)
is performed to alleviate severe abdominal pain, avoid narcotic use, maintain
islet function, and avoid diabetes in patients with chronic pancreatitis.
However, many TP/IAT recipients complain of postprandial hypoglycemia. Objective:
This study was designed to discover the mechanisms of this problem. Design:
Participants consumed a triple-isotope mixed meal. Setting: This study was
performed in a hospital research unit. Participants: We studied 10 TP/IAT
recipients and 10 age- and body mass index-matched control subjects. Seven of 10
recipients had a history of postprandial hypoglycemia. Interventions:
Participants were given a [1-13C]-labeled mixed meal and two tracer infusions
([6,6-2H2]- and [6-3H]-glucose). Main Outcome Measures: Glucose kinetics and
concentrations of regulatory hormones were determined. Results: Immediately after
the meal, peak glucose was elevated in recipients compared with control subjects
[266 +/- 20 mg/dL (14.8 +/- 1.1 mmol/L) vs 185 +/- 13 mg/dL (10.3 +/- 0.7
mmol/L); P = 0.01]. However, mean Delta glucose for TP/IAT recipients between
minutes 240 and 360 postprandially was significantly lower than for control
subjects (P < 0.05); six of the seven recipients with a history of hypoglycemia
experienced abnormally low postprandial Delta glucose. Delta Glucagon remained
unchanged (minutes 240 to 360; P = 0.58) in TP/IAT recipients despite abnormal
decreases in postprandial glucose. Radioisotopic studies revealed that meal
appearance, glucose disappearance, and endogenous glucose production in TP/IAT
recipients were not different from control subjects. Conclusion: Initially high
glucose levels followed by hypoglycemia with an absent glucagon response is a
mechanistic sequence that contributes to postprandial hypoglycemia after TP/IAT.
PMID- 29351618
TI - Maintenance hormonal therapy after treatment with medroxyprogesterone acetate for
patients with atypical polypoid adenomyoma.
AB - Background: As atypical polypoid adenomyoma (APA) has been reported to be a
hormone-related tumor, we aimed to analyze the efficacy and safety of maintenance
hormonal therapy after fertility-preserving treatment of these patients with
medroxyprogesterone acetate (MPA). Methods: Data were retrospectively analyzed
from patients with APA who were treated with a fertility-preserving regimen
including MPA between October 2001 and December 2011. Eighteen patients were
treated with MPA and 14 (77.8%) achieved either a complete or a partial response
after the planned treatment. Five patients took progestin for maintenance
therapy. Results: Eighteen patients were treated for a mean observation period of
96.7 months. While taking the maintenance therapy, no patient had APA relapse.
One patient developed well-differentiated endometrioid adenocarcinoma 18 months
after she stopped taking maintenance progestin. Eleven patients without
maintenance therapy underwent hysterectomy, andnine of them developed well
differentiated endometrial cancer. Through univariate analysis, there was a
significant difference in time to hysterectomy between patients with and without
maintenance therapy (P = 0.015). Through multivariate analysis, body mass index
(BMI), menstrual status before protocol therapy, maintenance treatment, and
pregnancy were found to be significantly associated with a lower risk of
hysterectomy. No patient had a recurrence of APA after hysterectomy during the
observation period (median, 54 months; range, 2-148 months). Conclusion: No
patient showed progression while receiving hormonal therapy, including initial
protocol therapy. Maintenance hormonal therapy after treatment with MPA was
highly effective and safe, particularly in patients with BMI ?24 kg/m2 and
irregular menstruation cycle.
PMID- 29351619
TI - Repairing folding-defective alpha-sarcoglycan mutants by CFTR correctors, a
potential therapy for limb-girdle muscular dystrophy 2D.
AB - Limb-girdle muscular dystrophy type 2D (LGMD2D) is a rare autosomal-recessive
disease, affecting striated muscle, due to mutation of SGCA, the gene coding for
alpha-sarcoglycan. Nowadays, more than 50 different SGCA missense mutations have
been reported. They are supposed to impact folding and trafficking of alpha
sarcoglycan because the defective polypeptide, although potentially functional,
is recognized and disposed of by the quality control of the cell. The secondary
reduction of alpha-sarcoglycan partners, beta-, gamma- and delta-sarcoglycan,
disrupts a key membrane complex that, associated to dystrophin, contributes to
assure sarcolemma stability during muscle contraction. The complex deficiency is
responsible for muscle wasting and the development of a severe form of dystrophy.
Here, we show that the application of small molecules developed to rescue
DeltaF508-CFTR trafficking, and known as CFTR correctors, also improved the
maturation of several alpha-sarcoglycan mutants that were consequently rescued at
the plasma membrane. Remarkably, in myotubes from a patient with LGMD2D,
treatment with CFTR correctors induced the proper re-localization of the whole
sarcoglycan complex, with a consequent reduction of sarcolemma fragility.
Although the mechanism of action of CFTR correctors on defective alpha
sarcoglycan needs further investigation, this is the first report showing a
quantitative and functional recovery of the sarcoglycan-complex in human
pathologic samples, upon small molecule treatment. It represents the proof of
principle of a pharmacological strategy that acts on the sarcoglycan maturation
process and we believe it has a great potential to develop as a cure for most of
the patients with LGMD2D.
PMID- 29351620
TI - Correlates of atherosclerotic cardiovascular disease risk in older Colorado
firefighters.
AB - Background: It has been documented that cardiovascular disease is the leading
cause of mortality in on-duty firefighters and little is known about the
association between cardiorespiratory fitness and 10-year risk of atherosclerotic
cardiovascular disease (ASCVD). Aims: To estimate the probability of 10-year
ASCVD risk and its association with per cent body fat (%BF), metabolic syndrome
(MetS) and physical fitness in Colorado firefighters. Methods: Ten-year ASCVD
risk was calculated using the newly developed pooled cohort equations in
firefighters. Logistic regression was conducted to examine the association of 10
year ASCVD risk (<5 versus >=5%) with estimated maximum volume of oxygen (VO2
max), %BF and MetS. Results: Out of 294 firefighters, 9% had a 10-year ASCVD risk
>5%. In the unadjusted models, %BF (odds ratio [OR] = 1.13, P < 0.01), VO2 max
(OR = 2.87, P < 0.05) and MetS (OR = 2.66, P < 0.05) were significantly
associated with 10-year ASCVD risk. In the adjusted model, only %BF (OR = 1.17, P
< 0.01) was significantly associated with 10-year ASCVD risk. Conclusions: Among
Colorado firefighters, %BF, but not cardiorespiratory fitness, was independently
associated with 10-year ASCVD.
PMID- 29351622
TI - Antimicrobial Peptide Resistance Mechanism Contributes to Staphylococcus aureus
Infection.
AB - Antimicrobial peptides (AMPs) constitute an important part of innate host
defense. Possibly limiting the therapeutic potential of AMPs is the fact that
bacteria have developed AMP resistance mechanisms during their co-evolution with
humans. However, there is no direct evidence that AMP resistance per se is
important during an infection. Here we show that the Staphylococcus aureus Pmt
ABC transporter defends the bacteria from killing by important human AMPs and
elimination by human neutrophils. By showing that Pmt contributes to virulence
during skin infection in an AMP-dependent manner, we provide evidence that AMP
resistance plays a key role in bacterial infection.
PMID- 29351621
TI - Familial paroxysmal kinesigenic dyskinesia is associated with mutations in the
KCNA1 gene.
PMID- 29351623
TI - Development of sugar chain-binding single-chain variable fragment antibody to
adult T-cell leukemia cells using glyco-nanotechnology and phage display method.
AB - Adult T-cell leukemia (ATL) is an intractable blood cancer caused by the
infection of human T-cell leukemia virus type-1, and effective medical treatment
is required. It is known that the structure and expression levels of cell surface
sugar chains vary depending on cell states such as inflammation and cancer. Thus,
it is expected that the antibody specific for ATL cell surface sugar chain would
be an effective diagnostic tool and a strong candidate for the development of an
anti-ATL drug. Here, we developed a stable sugar chain-binding single-chain
variable fragment antibody (scFv) that can bind to ATL cells using a fibre-type
Sugar Chip and phage display method. The fiber-type Sugar Chips were prepared
using O-glycans released from ATL cell lines. The scFv-displaying phages derived
from human B cells (diversity: 1.04 * 108) were then screened using the fiber
type Sugar Chips, and an O-glycan-binding scFv was obtained. The flow cytometry
analysis revealed that the scFv predominantly bound to ATL cell lines. The sugar
chain-binding properties of the scFv was evaluated by array-type Sugar Chip
immobilized with a library of synthetic glycosaminoglycan disaccharide
structures. Highly sulphated disaccharide structures were found to have high
affinity to scFv.
PMID- 29351624
TI - Takotsubo Cardiomyopathy Associated With Work-Place Bullying.
AB - Background: Takotsubo cardiomyopathy (TC) is a condition of transient left
ventricular dysfunction precipitated by acute physical or emotional stress. The
pathogenesis of TC is not well understood, but it is known to predominantly
affect postmenopausal women in the context of physical or emotional triggers.
Aims: To describe a case of TC with an association to a never previously
described work place stressor of bullying. Case Report: A 48-year-old female
lawyer developed acute chest pain after experiencing significant emotional
distress at a workplace meeting. She had experienced 18 months of increasing work
related mental stress in a new managerial role. She was initially thought to have
a non-ST-elevation myocardial infarction (NSTEMI) with widespread T wave
inversion on electrocardiogram and elevated serial troponin. However, a diagnosis
of TC was subsequently made given the characteristic apical ballooning morphology
of the left ventricle found on echocardiogram, normal coronary arteries on
angiography and a normal echocardiogram 3 weeks later. This case report describes
TC in a younger demographic and a link with workplace bullying. Conclusions:
Chronic workplace bullying has the potential for serious physical harm by
precipitating Takotsubo cardiomyopathy.
PMID- 29351625
TI - Physical activity, weight and functional limitations in elderly Spanish people:
the National Health Survey (2009-2014).
AB - Background: The purpose of this study was to analyze physical activity (PA),
functional limitations, weight status, self-perceived health status and disease
or chronic health problems in older people aged 65 and over using the European
Health Survey in Spain (EHSS) conducted one in 2009 and one in 2014. Methods:
This study included 12,546 older people, 6026 [2330 men and 3696 women; age
(Mean, SD) =75.61 +/- 7.11 years old] in 2009 and 6520 [2624 men and 3896 women;
age (Mean, SD) =75.90 +/- 7.59 years old] in 2014. The sample was divided into
three age groups: 65-74 years old, 75-84 years old and >=85 years old. Results:
In 2014, participants exhibited lower values for moderate PA, and self-perceived
health status compared to 2009. Moreover, in 2014 more people with disease or
chronic health problems, and severe difficulty walking 500 m without assistance
were found and severe difficulty going up and down 12 stairs than people in 2009.
In relation to weight status there were no significant differences between older
people in 2009 and 2014. Conclusions: From 2009 to 2014, the PA levels of Spanish
older people have decreased, while the BMI has not increased. That fact is in
consonance with a worst perception of health status in 2014 and with an increase
of their disease levels. The current data highlight the importance of
incorporating exercise programmes at an early stage of ageing in order to
preserve physical performance, and to prevent the negative consequences of
ageing.
PMID- 29351626
TI - Neuroendovascular Fellowship Training: Self-Assessment of a Program Accredited by
the Committee on Advanced Subspecialty Training.
AB - The University at Buffalo's neuroendovascular fellowship is one of the longest
running fellowship programs in North America. The burgeoning neurointerventional
workforce and the rapid growth in the neurointerventional space on the heels of
groundbreaking clinical trials prompted us to assess the fellowship's academic
impact and its graduates' perceptions and productivity. An anonymized web-based
survey was sent to all former neuroendovascular fellows with specific questions
pertaining to current practice, research and funding, and perceptions about the
fellowship's impact on their skills, competitiveness, and compensation.
Additionally, the h-index was calculated to assess the academic productivity of
each graduated fellow. Among 50 former fellows, 42 (84%) completed the survey.
The fellows came from various countries, ethnic backgrounds, and specialties
including neurosurgery (n = 39, 93%), neurology (n = 2, 5%), and neuroradiology
(n = 1, 2%). Twenty (48%) respondents were currently chairs or directors of their
practice. Most (n = 30, 71%) spent at least 10% of their time on research
activities, with 27 (64%) receiving research funding. The median h-index of all
50 former fellows was 14. The biggest gains from the fellowship were reported to
be improvement in endovascular skills (median = 10 on a scale of 0-10 [highest])
and increase in competitiveness for jobs in vascular neurosurgery (median = 10),
followed by increase in academic productivity (median = 8), and knowledge of
vascular disease (median = 8). In an era with open calls for moratoriums on
endovascular fellowships, concerns over market saturation, and pleas to improve
training, fellowship programs perhaps merit a more objective assessment. The
effectiveness of a fellowship program may best be measured by the academic impact
and leadership roles of former fellows.
PMID- 29351628
TI - 'A new staging system for cardiac transthyretin amyloidosis': is it already on
the verge of obsolescence?
PMID- 29351629
TI - Housing conditions and risk of physical function limitations: a prospective study
of community-dwelling older adults.
AB - Background: Poor housing conditions have been associated with an increased risk
of morbidity and mortality in old age. Methods: Prospective cohort of 1602 older
adults followed from 2012 to 2015. Poor conditions were defined as living in a
walk-up building, lacking piped hot water or heating, feeling frequently cold at
home, lacking a bathtub/shower, a refrigerator, a washing machine, an own room or
a landline. Frailty was assessed with the Fried criteria, lower extremities
performance with the Short Physical Performance Battery (SPPB), and disability in
instrumental activities of daily living (IADL) with the Lawton and Brody
questionnaire. Results: During follow-up, 55 individuals (4.2%) developed frailty
and 107 (7.2%) IADL disability. Mean (SD) SPPB values at baseline and at follow
up were 8.5 (2.5) and 8.6 (2.4), respectively. After multivariate adjustment,
participants who lived in homes with >=1 poor conditions showed a higher risk of
frailty (odds ratio [OR] = 2.02; 95% confidence interval [95% CI]: 1.09-3.75) and
transportation disability (OR = 3.50; 95% CI: 1.38-8.88). Lacking heating and
feeling frequently cold were associated with an increased risk of exhaustion (OR
= 2.34; 95% CI: 1.00-5.48) and transportation disability (OR = 3.31; 95% CI: 1.07
10.2), respectively. Conclusions: Prevention programs targeting functional
limitations in older adults should ensure that they live in suitable housing
conditions.
PMID- 29351627
TI - Repair kinetics of DNA double-strand breaks and incidence of apoptosis in mouse
neural stem/progenitor cells and their differentiated neurons exposed to ionizing
radiation.
AB - Neuronal loss leads to neurodegenerative disorders, including Alzheimer's
disease, Parkinson's disease and Huntington's disease. Because of their long
lifespans, neurons are assumed to possess highly efficient DNA repair ability and
to be able to protect themselves from deleterious DNA damage such as DNA double
strand breaks (DSBs) produced by intrinsic and extrinsic sources. However, it
remains largely unknown whether the DSB repair ability of neurons is more
efficient compared with that of other cells. Here, we investigated the repair
kinetics of X-ray-induced DSBs in mouse neural cells by scoring the number of
phosphorylated 53BP1 foci post irradiation. We found that p53-independent
apoptosis was induced time dependently during differentiation from neural
stem/progenitor cells (NSPCs) into neurons in culture for 48 h. DSB repair in
neurons differentiated from NSPCs in culture was faster than that in mouse
embryonic fibroblasts (MEFs), possibly due to the higher DNA-dependent protein
kinase activity, but it was similar to that in NSPCs. Further, the incidence of
p53-dependent apoptosis induced by X-irradiation in neurons was significantly
higher than that in NSPCs. This difference in response of X-ray-induced apoptosis
between neurons and NSPCs may reflect a difference in the fidelity of non
homologous end joining or a differential sensitivity to DNA damage other than
DSBs.
PMID- 29351630
TI - Prevalence and trends of transfusion-transmittable infections among blood donors
in Southwest China.
AB - Background: The high prevalence of transfusion-transmitted infections (TTIs) is
causing serious harm to human health worldwide. The aim of this research was to
assess the prevalence and influencing factors of TTIs in Southwest China.
Methods: A retrospective study of blood donor records from January 2008 to
December 2015 was conducted. All samples were screened for HBV, HCV, HIV and
syphilis. The donor's data was recorded and analyzed statistically using SPSS
software. Results: We revealed that the prevalence of TTIs showed a decreasing
trend from 2.39 to 1.98%, and this was slightly lower than that in other regions
of China. Syphilis infection was the most serious issue among blood donors in
Southwest China, which demonstrated a significantly higher rate than that in
other areas of China. The high infection rate of the female and farmer groups in
rural regions is worth noting. The logistic regression model showed that age,
occupation and donor category was the influential factors for TTIs. Conclusions:
The overall prevalence of TTIs demonstrated a decreasing trend from 2008 to 2015
in Southwest China, but there is still a sufficient threat to blood safety, and
more efforts are needed to further guarantee blood safety in China.
PMID- 29351631
TI - Atypical radiological presentation of pulmonary invasion of diffuse large B-cell
lymphoma mimicking Pneumocystis jiroveci pneumonia.
PMID- 29351632
TI - Presenteeism in academic employees-occupational and individual factors.
AB - Background: There is growing evidence that presenteeism can be damaging for
individuals and organizations. It is, therefore, important to identify the
prevalence of working while sick in different working environments and the
factors that contribute to such behaviour. Aims: To examine the prevalence of
self-reported presenteeism in academic staff working in UK universities and
colleges and the extent to which job demands, control, support and work
engagement are risk factors. Methods: Scales from the Health and Safety Executive
Management Standards Indicator Tool were used to measure job demands, control and
support from managers and co-workers. Work engagement was assessed using a
validated measure and the frequency of self-reported presenteeism was measured.
The effects of demands, control, support and engagement on presenteeism were
examined with ordinal regression analysis. Results: The study sample comprised
6874 people working in academic roles in UK colleges and universities (59%
female). Most respondents (88%) reported working while sick at least sometimes.
The risk factors for presenteeism were job demands, control, support from
managers and work engagement. Conclusions: The findings of this study indicate
that presenteeism is commonplace in UK colleges and universities. Some of the
features of the job that might encourage employees to work while sick are
highlighted, whereas engagement in work was an additional risk factor.
PMID- 29351634
TI - Commentary: How Should Hospitals Respond to Surgeons' Requests to Schedule
Overlapping Surgeries?
PMID- 29351633
TI - Evolutionary Genetics of Cytoplasmic Incompatibility Genes cifA and cifB in
Prophage WO of Wolbachia.
AB - The bacterial endosymbiont Wolbachia manipulates arthropod reproduction to
facilitate its maternal spread through host populations. The most common
manipulation is cytoplasmic incompatibility (CI): Wolbachia-infected males
produce modified sperm that cause embryonic mortality, unless rescued by embryos
harboring the same Wolbachia. The genes underlying CI, cifA and cifB, were
recently identified in the eukaryotic association module of Wolbachia's prophage
WO. Here, we use transcriptomic and genomic approaches to address three important
evolutionary facets of the cif genes. First, we assess whether or not cifA and
cifB comprise a classic toxin-antitoxin operon in wMel and show that the two
genes exhibit striking, transcriptional differences across host development. They
can produce a bicistronic message despite a predicted hairpin termination element
in their intergenic region. Second, cifA and cifB strongly coevolve across the
diversity of phage WO. Third, we provide new domain and functional predictions
across homologs within Wolbachia, and show that amino acid sequences vary
substantially across the genus. Finally, we investigate conservation of cifA and
cifB and find frequent degradation and loss of the genes in strains that no
longer induce CI. Taken together, we demonstrate that cifA and cifB exhibit
complex transcriptional regulation in wMel, provide functional annotations that
broaden the potential mechanisms of CI induction, and report recurrent erosion of
cifA and cifB in non-CI strains, thus expanding our understanding of the most
widespread form of reproductive parasitism.
PMID- 29351635
TI - Reversibility of severe mitral valve regurgitation after left ventricular assist
device implantation: single-centre observations from a real-life population of
patients.
AB - OBJECTIVES: This study evaluates the impact of untreated preoperative severe
mitral valve regurgitation (MR) on outcomes after left ventricular assist device
(LVAD) implantation. METHODS: Of the 234 patients who received LVAD therapy in
our centre during a 6-year period, we selected those who had echocardiographic
images of good quality and excluded those who underwent mitral valve replacement
prior to or mitral valve repair during LVAD placement. The 128 patients selected
were divided into 2 groups: Group A with severe MR (n = 65) and Group B with none
to moderate MR (n = 63, 28 with moderate MR). We evaluated transthoracic
echocardiography preoperatively [15 (7-28) days before LVAD implantation; median
(interquartile range)] and postoperatively up to the last available follow-up
[501 (283-848) days after LVAD]. We collected mortality, complications and
clinical status indicators of the patient cohort. RESULTS: We observed a
significant decrease in the severity of MR after LVAD implantation (severe MR 51%
pre- vs 6% post-LVAD implantation, P < 0.001). There was no difference between
groups in terms of right heart failure, rate of urgent heart transplantation,
pump thrombosis or ventricular arrhythmias. There was no difference in 1-year
survival and 3-year survival (87.7% vs 88.4% and 71.8% vs 66.6% for Groups A and
B, respectively, P = 0.97). CONCLUSIONS: Preoperative severe MR resolves in the
majority of patients early on after LVAD implantation and is not associated with
worse clinical outcomes or intermediate-term survival.
PMID- 29351636
TI - Effectiveness of Respiratory Syncytial Virus Immunoprophylaxis in Reducing
Bronchiolitis Hospitalizations Among High-Risk Infants.
AB - We sought to determine the real-world effectiveness of respiratory syncytial
virus (RSV) immunoprophylaxis in a population-based cohort to inform policy. The
study population included infants born during 1996-2008 and enrolled in the
Kaiser Permanente Northern California integrated health-care delivery system.
During the RSV season (November-March), the date of RSV immunoprophylaxis
administration and the following 30 days were defined as RSV immunoprophylaxis
protected period(s), and all other days were defined as unprotected period(s).
Numbers of bronchiolitis hospitalizations were determined using International
Classification of Diseases, Ninth Revision, codes during RSV season. We used a
proportional hazards model to estimate risk of bronchiolitis hospitalization when
comparing infants' protected period(s) with unprotected period(s). Infants who
had ever received RSV immunoprophylaxis had a 32% decreased risk of bronchiolitis
hospitalization (adjusted hazard ratio = 0.68, 95% confidence interval: 0.46,
1.00) when protected periods were compared with unprotected periods. Infants with
chronic lung disease (CLD) had a 52% decreased risk of bronchiolitis
hospitalization (adjusted hazard ratio = 0.48, 95% confidence interval: 0.25,
0.94) when protected periods were compared with unprotected periods. Under the
new 2014 American Academy of Pediatrics (AAP) guidelines, 48% of infants eligible
for RSV immunoprophylaxis on the basis of AAP guidelines in place at birth would
no longer be eligible, but nearly all infants with CLD would remain eligible. RSV
immunoprophylaxis is effective in decreasing hospitalization. This association is
greatest for infants with CLD, a group still recommended for receipt of RSV
immunoprophylaxis under the new AAP guidelines.
PMID- 29351637
TI - Prospective Multicenter Assessment of All-Cause Mortality Following Surgery for
Adult Cervical Deformity.
AB - BACKGROUND: Surgical treatments for adult cervical spinal deformity (ACSD) are
often complex and have high complication rates. OBJECTIVE: To assess all-cause
mortality following ACSD surgery. METHODS: ACSD patients presenting for surgical
treatment were identified from a prospectively collected multicenter database.
Clinical and surgical parameters and all-cause mortality were assessed. RESULTS:
Of 123 ACSD patients, 120 (98%) had complete baseline data (mean age, 60.6 yr).
The mean number of comorbidities per patient was 1.80, and 80% had at least 1
comorbidity. Surgical approaches included anterior only (15.8%), posterior only
(50.0%), and combined anterior/posterior (34.2%). The mean number of vertebral
levels fused was 8.0 (standard deviation [SD] = 4.5), and 23.3% had a 3-column
osteotomy. Death was reported for 11 (9.2%) patients at a mean of 1.1 yr (SD =
0.76 yr; range = 7 d to 2 yr). Mean follow-up for living patients was 1.2 yr (SD
= 0.64 yr). Causes of death included myocardial infarction (n = 2),
pneumonia/cardiopulmonary failure (n = 2), sepsis (n = 1), obstructive sleep
apnea/narcotics (n = 1), subsequently diagnosed amyotrophic lateral sclerosis (n
= 1), burn injury related to home supplemental oxygen (n = 1), and unknown (n =
3). Deceased patients did not significantly differ from alive patients based on
demographic, clinical, or surgical parameters assessed, except for a higher major
complication rate (excluding mortality; 63.6% vs 22.0%, P = .006). CONCLUSION:
All-cause mortality at a mean of 1.2 yr following surgery for ACSD was 9.2% in
this prospective multicenter series. Causes of death were reflective of the
overall high level of comorbidities. These findings may prove useful for
treatment decision making and patient counseling in the context of the
substantial impact of ACSD.
PMID- 29351638
TI - A rare case of granulomatosis with polyangiitis-induced burnout cardiomyopathy:
role of combined viability and metabolic imaging.
PMID- 29351639
TI - Call to Action: Prevention of Mother-to-Child Transmission of Hepatitis B in
Africa.
AB - Hepatitis B virus (HBV) is a significant public health issue that has not been
adequately addressed, especially in the high-prevalence region of Africa. Despite
the incorporation of HBV vaccines into the Expanded Program on Immunization,
children continue to be infected with HBV through maternal-to-child transmission
(MTCT). The addition of a birth dose of HBV vaccine would be a cost-effective
method to reduce MTCT. Birth-dose HBV vaccine policies have been adopted in the
Western Pacific region but not yet in Africa. Even better protection against HBV
MTCT can be achieved by treatment of pregnant women with high HBV viral loads
with tenofovir. Tenofovir is already widely used in prevention of HIV MTCT
(PMTCT) programs. We suggest that existing HIV PMTCT programs could be expanded
to deliver care for HBV-infected pregnant women. With appropriate adoption of
birth-dose vaccination policies and expansion of PMTCT programs, elimination of
HBV MTCT in Africa is achievable.
PMID- 29351640
TI - Emergency fast Bentall operation.
AB - We herein report an emergency technique of composite Bentall operation using a
fast release valve. The technique was successfully performed in 2 emergency cases
after failed supracoronary ascending aortic replacement in acute Type A aortic
dissection. The speed and ease of execution are the main advantages of the
procedure.
PMID- 29351641
TI - RE: "INVITED COMMENTARY: EXPOSURE BIOMARKERS INDICATE MORE THAN JUST EXPOSURE".
PMID- 29351642
TI - Caregiver-Provided Physical Therapy Home Programs for Children with Motor Delay:
A Scoping Review.
AB - Background: Caregiver-provided physical therapy home programs (PTHP) play an
important role in enhancing motor outcomes in pediatric patient populations.
Purpose: This scoping review systematically mapped clinical trials of caregiver
provided PTHP that were aimed at enhancing motor outcomes in children who have or
who are at risk for motor delay, with the purpose of (1) describing trial
characteristics; (2) assessing methodologic quality; and (3) examining the
reporting of caregiver-related components. Data Sources: Physiotherapy Evidence
Database (PEDro), Cochrane CENTRAL, PubMed, Scopus, ScienceDirect, ProQuest
Central, CINAHL, LILACS, and OTseeker were searched up to July 31, 2017. Study
Selection: Two reviewers independently assessed study eligibility. Randomized or
quasi-randomized controlled trials on PTHP administered by parents, other family
members, friends, or informal caregivers to children who had or who were at risk
for motor delay were included. Data Extraction: Two reviewers independently
appraised trial quality on the PEDro scale and extracted data. Data Synthesis:
Twenty-four articles representing 17 individual trials were identified.
Populations and interventions investigated were heterogeneous. Most of the trials
had important research design limitations and methodological issues that could
limit usefulness in ascertaining the effectiveness of caregiver-provided PTHP.
Few (4 of 17) trials indicated involvement of caregivers in the PTHP planning,
assessed how the caregivers learned from the training or instructions provided,
or carried out both. Limitations: Included studies were heterogeneous, and
unpublished data were excluded. Conclusions: Although caregiver-provided PTHP are
important in addressing motor outcomes in this population, there is a lack of
evidence at the level of clinical trials to guide practice. More research is
urgently needed to determine the effectiveness of care-giver-provided PTHP.
Future studies should address the many important issues identified in this
scoping review to improve the usefulness of the trial results.
PMID- 29351643
TI - Genetic dissection of the fuzzless seed trait in Gossypium barbadense.
AB - Cotton fibres are single-celled trichomes arising from the epidermal cells of the
seed coat and may be either long (lint) or very short (fuzz). The dominant
fuzzless N1 of Gossypium hirsutum is a defective allele of the At-subgenome
homoeolog of MYB25-like, but the genetic components underlying the recessive
fuzzless trait from G. barbadense (Gb) are unknown. We have identified five
genetic loci, including a major contributing locus containing MYB25-like_Dt,
associated with Gb fuzzless seeds based on genotyping of fuzzy and fuzzless near
isogenic lines (NILs) from an interspecies cross (G. barbadense * G. hirsutum).
At 3 d post-anthesis when fuzz fibres are initiating, expression of MYB25-like_Dt
was significantly lower in fuzzless NILs than in fuzzy seeded NILs, while higher
MYB25-like_Dt expression was associated with more seed fuzz across different
cotton genotypes. Phenotypic and genotypic analysis of MYB25-like homoeoalleles
in cottons showing different fibre phenotypes and their crossing progeny
indicated that both MYB25-like_At and MYB25-like_Dt are associated with lint
development, and that fuzz development is mainly determined by the expression
level of MYB25-like_Dt at ~3 d post-anthesis. Expression of Gb fuzzless seeds
depends on genetic background and interactions amongst the multiple loci
identified. MYB25-like_Dt is one of the best candidates for N2.
PMID- 29351644
TI - The role of skeletal muscle in the pathophysiology and management of knee
osteoarthritis.
AB - The role of skeletal muscle in the pathophysiology of knee OA is poorly
understood. To date, the majority of literature has focused on the association of
muscle strength with OA symptoms, disease onset and progression. However,
deficits or improvements in skeletal muscle strength do not fully explain the
mechanisms behind outcome measures in knee OA, such as pain, function and
structural disease. This review aims to summarize components of skeletal muscle,
providing a holistic view of skeletal muscle mechanisms that includes muscle
function, quality and composition and their interactions. Similarly, the role of
skeletal muscle in the management of knee OA will be discussed.
PMID- 29351645
TI - DOSIMETRY DURING PERCUTANEOUS CORONARY INTERVENTIONS OF CHRONIC TOTAL OCCLUSIONS.
AB - Percutaneous coronary interventions (PCI) of coronary chronic total occlusions
(CTO) increase the risk of high radiation exposure for both the patient and the
cardiologist. This study evaluated the maximum dose to the patients' skin (MSD)
and the exposure of the cardiologists during CTO-PCI. Moreover, the efficiency of
radioprotective drapes to reduce cardiologist exposure was assessed. Patient dose
was measured during 31 procedures; dose to the cardiologist's extremities were
measured during 65 procedures, among which 31 were performed with radioprotective
drapes. The MSD was high (median: 1254 mGy; max: 6528 mGy), and higher than 2 Gy
for 33% of the patients. The dose to the cardiologists' extremities per procedure
was also of concern (median: 25-465 MUSv), particularly to the left eye (median:
68 MUSv; max: 187 MUSv). Radioprotective drapes reduced the exposure to
physician's upper limbs and eyes; especially to the left side (from -28 to -49%).
PMID- 29351646
TI - Effect of a Postpartum Training Program on the Prevalence of Diastasis Recti
Abdominis in Postpartum Primiparous Women: A Randomized Controlled Trial.
AB - Background: Diastasis recti abdominis affects a significant number of women
during the prenatal and postnatal period. Objective: The objective was to
evaluate the effect of a postpartum training program on the prevalence of
diastasis recti abdominis. Design: The design was a secondary analysis of an
assessor-masked randomized controlled trial. Methods: One hundred seventy-five
primiparous women (mean age = 29.8 +/- 4.1 years) were randomized to an exercise
or control group. The interrectus distance was palpated using finger widths, with
a cutoff point for diastasis as >=2 finger widths. Measures were taken 4.5 cm
above, at, and 4.5 cm below the umbilicus. The 4-month intervention started 6
weeks postpartum and consisted of a weekly, supervised exercise class focusing on
strength training of the pelvic floor muscles. In addition, the women were asked
to perform daily pelvic floor muscle training at home. The control group received
no intervention. Analyses were based on intention to treat. The Mantel-Haenszel
test (relative risk [RR] ratio) and the chi-square test for independence were
used to evaluate between-group differences on categorical data. Results: At 6
weeks postpartum, 55.2% and 54.5% of the participants were diagnosed with
diastasis in the intervention and control groups, respectively. No significant
differences between groups in prevalence were found at baseline (RR: 1.01 [0.77
1.32]), at 6 months postpartum (RR: 0.99 [0.71-1.38]), or at 12 months postpartum
(RR: 1.04 [0.73-1.49]). Limitations: The interrecti distance was palpated using
finger widths, and the sample included women with and without diastasis.
Conclusions: A weekly, postpartum, supervised exercise program, including
strength training of the pelvic floor and abdominal muscles, in addition to daily
home training of the pelvic floor muscles, did not reduce the prevalence of
diastasis.
PMID- 29351647
TI - Minimally Invasive Lateral Retroperitoneal Approach for Resection of
Extraforaminal Lumbar Plexus Schwannomas: Operative Techniques and Literature
Review.
AB - BACKGROUND: Traditional approaches for retroperitoneal lumbar plexus schwannomas
involve anterior open or laparoscopic resection. For select tumors, the lateral
retroperitoneal approach provides a minimally invasive alternative. OBJECTIVE: To
describe a minimally invasive lateral transpsoas approach for the resection of
retroperitoneal schwannomas. METHODS: A lateral retroperitoneal transpsoas
approach was used to resect a 3.1 * 2.7 * 4.1 cm schwannoma embedded within the
psoas muscle. A minimally invasive retractor system allows for appropriate
visualization and complete resection with the aid of the microscope. The patient
tolerated the procedure without complication and was discharged on postoperative
day 2 in good condition at her neurological baseline. RESULTS: The lateral
retroperitoneal approach provides a minimally invasive alternative for select
retroperitoneal schwannomas. In theory, this procedure allows for faster recovery
and less blood loss compared to traditional open anterior approaches. For a
subset of tumors, anterior laparoscopy may provide better access, but the lateral
approach is well known to most neurosurgeons who perform lateral interbody
fusions and can be easily tailored to extraforaminal tumor resection. CONCLUSION:
Retroperitoneal schwannomas pose a challenge due to their deep location. The
lateral retroperitoneal approach provides a useful alternative for resection of a
subset of retroperitoneal schwannomas.
PMID- 29351648
TI - Transarterial Onyx Embolization of Intracranial Dural Fistulas: A Prospective
Cohort, Systematic Review, and Meta-Analysis.
AB - BACKGROUND: Onyx is important embolic material in the endovascular treatment of
intracranial dural arteriovenous fistula (DAVF). However, its impact on DAVF
occlusion rates, morbidity, mortality, and complication rates is not fully
examined. OBJECTIVE: To improve understanding of safety and effectiveness
profiles associated with transarterial endovascular treatment using Onyx for
intracranial DAVF. METHODS: We analyzed data from our prospective clinical
registry and conducted a systematic review of all previous transarterial
embolization studies using Onyx published between January 2005 and December 2015
in MEDLINE and EMBASE. RESULTS: In the prospective study, 41 transarterial
procedures were performed in 33 consecutive patients harboring 36 DAVFs. Complete
initial exclusion was obtained in 32 of 36 (88.9%) fistulas; 31 fistulas were
followed up showing 4 (12.9%) recurrences. Procedure-related morbidity and
mortality were 3% and 0%, respectively. The literature review identified 19
studies involving a total of 425 patients with 463 DAVFs. Meta-analysis,
including our registry data, showed an initial complete occlusion rate of 82%
(95% confidence interval [CI]: 74%, 88%; I2, 70.6%), and recurrence rate at
midterm of 2% (95% CI: 0%, 5%; I2, 21.5%). Pooled postoperative neurological
deficit, procedure-related morbidity, and mortality rates were 4% (95% CI: 2%,
6%; I2, 0%), 3% (95% CI: 1%, 5%; I2, 0%), and 0%, respectively. CONCLUSION: This
meta-analysis suggests that transarterial embolization with Onyx is a safe
treatment modality for DAVFs. Although Onyx showed a low recurrence rate at
midterm, the long-term risk is poorly addressed in our study and should warrant a
longer follow-up.
PMID- 29351649
TI - Sex-dependent interactions between leptin, wasting and humoral immunity in two
ethnic communities of school-aged children differentially exposed to Schistosoma
haematobium.
AB - Background: Leptin is a nutritional hormone whose production is generally higher
in females. We investigated how leptin is associated with sex dimorphism during
urinary schistosomiasis in relation with wasting. Methods: A cross-sectional
study was carried out in three villages in northern Senegal. Ninety-eight school
aged children belonging to the Fulani or Wolof villages were enrolled. We
performed parasitic diagnosis and anthropometric measurement to evaluate
nutritional status. We collected peripheral blood to determine the amount of
circulating leptin and immunoglobulin G (IgG), IgG4 and IgE directed to soluble
worm antigen preparation (SWAP). Results: The prevalence of Schistosoma
haematobium infection was higher among boys regardless of ethnic group, but
exposure to parasites did not exacerbate malnutrition. The greater ability of
girls to produce leptin was not altered by schistosomiasis and was recovered in
both ethnic groups. However, while the usual correlation between leptin and fat
storage was preserved in Fulani girls, it was disrupted in Fulani boys, who
displayed a remarkable susceptibility for wasting. Finally, we observed that
leptin was negatively associated with the level of antibodies in Wolof boys.
Conclusions: Leptin can be disconnected from body fat and may exert a sex
dependent influence on host immune response to S. haematobium infection in
Senegalese children.
PMID- 29351650
TI - Are evidence-based, community-engaged energy balance interventions enough for
extremely vulnerable populations?
AB - Well-documented associations between lifestyle behaviors and disease outcomes
necessitate evidence-based health promotion interventions. To enhance potential
efficacy and effectiveness, interventionists increasingly respond to community
priorities, employ comprehensive theoretical frameworks, invest heavily to ensure
cultural fit, implement evidence-based programming, and deploy research gold
standards. We describe a project that followed all of these recommended
strategies, but did not achieve desired outcomes. This community-based
participatory research (CBPR) energy balance (diet and physical activity)
intervention, conducted in Appalachian Kentucky among 900+ residents, employed a
wait list control cluster randomized design. We engaged faith institutions, took
an intergenerational approach, and modified two existing evidence-based
interventions to enhance cultural relevance. Despite these efforts, fruit and
vegetable consumption and physical activity did not change from baseline to post
test or differed significantly between intervention and wait list control groups.
Barriers to engaging in optimal energy balance focused more on motivation and
attitude than on structural and material barriers. The complex interplay of
psychosocial, structural, and physiological processes offers significant
challenges to groups with entrenched health challenges.
PMID- 29351651
TI - RADIOTHERAPY PROTON BEAM PROFILOMETRY WITH scCVD DIAMOND DETECTOR IN SINGLE
PARTICLE MODE.
AB - Proton radiotherapy requires precise knowledge of the volumetric dose
distribution. In proton beam delivery systems, based on narrow pencil beams, a
contribution from small doses in low-intensity regions, consisting mainly of
scattered protons, may have not negligible influence on total dose delivered to
patient. Insufficient information about dose profile can cause underestimation of
dose and potential delivery of inflated dose during hadrontherapy treatment.
Presented work aims to verify applicability of diamond detectors, produced by
Chemical Vapor Deposition method, for therapeutic proton beam profilometry at
large fields. This requires the capability of measuring the core of the beam
intensity profile (wide dynamic range) as well as its lateral spread (very high
sensitivity) with a single device.
PMID- 29351652
TI - Early Results in Flow Diverter Sizing by Computational Simulation: Quantification
of Size Change and Simulation Error Assessment.
AB - BACKGROUND: Sizing of flow diverters (FDs) stent in the treatment of intracranial
aneurysms is a challenging task due to the change of stent length after
implantation. OBJECTIVE: To quantify the size change and assess the error in
length prediction in 82 simulated FD deployments. METHODS: Eighty-two consecutive
patients treated with FDs were retrospectively analyzed. Implanted FD length was
measured from angiographic images and compared to the nominal sizes of the
implanted device. Length change was obtained by subtracting the nominal length
from the real length and dividing by the nominal length. Implanted devices were
simulated on 3-dimensional models of each patient. Simulation error was obtained
by subtracting real length from simulated length and dividing by the real length
of the FD. Subanalysis was done using ANOVA. Statistical significance was set to
P < .05, and bootstrap resampling was used. RESULTS: When assessing the length
change of the FD after implantation, changes of 30% in average and up to 80% with
reference to the nominal length of the device were observed. The simulation
results showed a lower error of 3.52% in average with a maximum of 30%. Paired t
test showed nonsignificant differences between measured and real length (P = .07,
with the mean of differences at 0.45 mm, 95% confidence interval [-0.950 0.038]).
CONCLUSION: Nominal length is not an accurate sizing metric when choosing the
size of an FD irrespective of the brand and manufacturer. Good estimation of the
final length of the stent after deployment as expressed by an error of 3.5% in
average.
PMID- 29351653
TI - CALIBRATION OF GAFCHROMIC EBT3 FILM FOR DOSIMETRY OF SCANNING PROTON PENCIL BEAM
(PBS).
AB - Gafchromic EBT3 films are applied in proton radiotherapy for 2D dose mapping
because they demonstrate spatial resolution well below 1 mm. However, the film
response must be corrected in order to reach the accuracy of dose measurements
required for the clinical use. The in-house developed AnalyseGafchromic software
allows to analyze and correct the measured response using triple channel dose
calibration, statistical scan-to-scan fluctuations as well as experimentally
determined dose and LET dependence. Finally, the optimized protocol for
evaluation of response of Gafchromic EBT3 films was applied to determine 30 * 40
cm2 dose profiles of the scanning therapy unit at the Cyclotron Centre Bronowice,
CCB in Krakow, Poland.
PMID- 29351654
TI - What is the role of imaging in the clinical diagnosis of osteoarthritis and
disease management?
AB - While OA is predominantly diagnosed on the basis of clinical criteria, imaging
may aid with differential diagnosis in clinically suspected cases. While plain
radiographs are traditionally the first choice of imaging modality, MRI and US
also have a valuable role in assessing multiple pathologic features of OA,
although each has particular advantages and disadvantages. Although modern
imaging modalities provide the capability to detect a wide range of osseous and
soft tissue (cartilage, menisci, ligaments, synovitis, effusion) OA-related
structural damage, this extra information has not yet favourably influenced the
clinical decision-making and management process. Imaging is recommended if there
are unexpected rapid changes in clinical outcomes to determine whether it relates
to disease severity or an additional diagnosis. On developing specific
treatments, imaging serves as a sensitive tool to measure treatment response.
This narrative review aims to describe the role of imaging modalities to aid in
OA diagnosis, disease progression and management. It also provides insight into
the use of these modalities in finding targeted treatment strategies in clinical
research.
PMID- 29351655
TI - ESTABLISHING DIAGNOSTIC REFERENCE LEVELS FOR CARDIAC COMPUTED TOMOGRAPHY
ANGIOGRAPHY IN SAUDI ARABIA.
AB - Cardiac computed tomography angiography (CCTA) is a commonly used diagnostic
imaging tool for cardiovascular disease. Despite constant improvements to imaging
technologies, the radiation dose to patients remains a concern when using this
procedure. Diagnostic reference levels (DRLs) are used as a trigger to identify
and alert individual facilities that are using high doses during CT. This study
aims to assess patient radiation dose and establish new national DRLs (NDRL)
associated with CCTA in Saudi clinical practices. A structured booklet survey was
designed for recording patient and scanning protocols during CCTA procedures. The
data were collected retrospectively from the participating centres. NDRLs for
CCTA were defined as the 75th and 25th of volumetric CT dose index (CTDIvol) and
dose length product (DLP). Specific DRLs based on two main ECG-gating modes were
also proposed. Data sets related to 197 CCTAs with a mean weight of 77 kg were
analysed in detail. The DRL values for CTDIvol and DLP for prospective gating
mode and retrospective gating mode were 29 and 62 mGy and 393 and 1057 mGy cm,
respectively. NDRLs for CCTA in Saudi Arabia are comparable or slightly lower
than European DRLs due to the current use of dose-saving technology. There are
major variations in patient doses during CCTA due to differences in CT scanners,
scanning modes and departmental CCTA protocols.
PMID- 29351656
TI - Evaluation of a new neutron energy spectrum unfolding code based on an Adaptive
Neuro-Fuzzy Inference System (ANFIS).
AB - The purpose of the present study was to reconstruct the energy spectrum of a poly
energetic neutron source using an algorithm developed based on an Adaptive Neuro
Fuzzy Inference System (ANFIS). ANFIS is a kind of artificial neural network
based on the Takagi-Sugeno fuzzy inference system. The ANFIS algorithm uses the
advantages of both fuzzy inference systems and artificial neural networks to
improve the effectiveness of algorithms in various applications such as modeling,
control and classification. The neutron pulse height distributions used as input
data in the training procedure for the ANFIS algorithm were obtained from the
simulations performed by MCNPX-ESUT computational code (MCNPX-Energy engineering
of Sharif University of Technology). Taking into account the normalization
condition of each energy spectrum, 4300 neutron energy spectra were generated
randomly. (The value in each bin was generated randomly, and finally a
normalization of each generated energy spectrum was performed). The randomly
generated neutron energy spectra were considered as output data of the developed
ANFIS computational code in the training step. To calculate the neutron energy
spectrum using conventional methods, an inverse problem with an approximately
singular response matrix (with the determinant of the matrix close to zero)
should be solved. The solution of the inverse problem using the conventional
methods unfold neutron energy spectrum with low accuracy. Application of the
iterative algorithms in the solution of such a problem, or utilizing the
intelligent algorithms (in which there is no need to solve the problem), is
usually preferred for unfolding of the energy spectrum. Therefore, the main
reason for development of intelligent algorithms like ANFIS for unfolding of
neutron energy spectra is to avoid solving the inverse problem. In the present
study, the unfolded neutron energy spectra of 252Cf and 241Am-9Be neutron sources
using the developed computational code were found to have excellent agreement
with the reference data. Also, the unfolded energy spectra of the neutron sources
as obtained using ANFIS were more accurate than the results reported from
calculations performed using artificial neural networks in previously published
papers.
PMID- 29351657
TI - Characterization of the Spatial and Temporal Distribution of Nipah Virus
Spillover Events in Bangladesh, 2007-2013.
AB - Nipah virus is a zoonotic virus harbored by bats and lethal to humans. Bat-to
human spillovers occur every winter in Bangladesh. However, there is significant
heterogeneity in the number of spillovers detected by district and year that
remains unexplained. We analyzed data from all 57 spillovers during 2007-2013 and
found that temperature differences explained 36% of the year-to-year variation in
the total number of spillovers each winter and that distance to surveillance
hospitals explained 45% of spatial heterogeneity. Interventions to prevent human
infections may be most important during colder winters. Further work is needed to
understand how dynamics of bat infections explains spillover risk.
PMID- 29351658
TI - Intensive versus conventional blood pressure monitoring in a general practice
population. The Blood Pressure Reduction in Danish General Practice trial: a
randomized controlled parallel group trial.
AB - Objective: To compare the effect of a conventional to an intensive blood pressure
monitoring regimen on blood pressure in hypertensive patients in the general
practice setting. Design: Randomized controlled parallel group trial with 12
month follow-up. Setting: One hundred and ten general practices in all regions of
Denmark. Participants: One thousand forty-eight patients with essential
hypertension. Intervention: Conventional blood pressure monitoring ('usual
group') continued usual ad hoc blood pressure monitoring by office blood pressure
measurements, while intensive blood pressure monitoring ('intensive group')
supplemented this with frequent home blood pressure monitoring and 24-hour
ambulatory blood pressure monitoring. Primary outcome measures: Mean day- and
night-time systolic and diastolic 24-hour ambulatory blood pressure. Secondary
outcome measures: Change in systolic and diastolic office blood pressure and
change in cardiovascular risk profile. Results: Of the patients, 515 (49%) were
allocated to the usual group, and 533 (51%) to the intensive group. The
reductions in day- and night-time 24-hour ambulatory blood pressure were similar
(usual group: 4.6 +/- 13.5/2.8 +/- 82 mmHg; intensive group: 5.6 +/- 13.0/3.5 +/-
8.2 mmHg; P = 0.27/P = 0.20). Cardiovascular risk scores were reduced in both
groups at follow-up, but more so in the intensive than in the usual group (P =
0.02). Conclusion: An intensive blood pressure monitoring strategy led to a
similar blood pressure reduction to conventional monitoring. However, the
intensive strategy appeared to improve patients' cardiovascular risk profile
through other effects than a reduction of blood pressure. Trial registration:
Clinical Trials NCT00244660.
PMID- 29351659
TI - Smoking status and survival among a national cohort of lung and colorectal cancer
patients.
AB - Introduction: The purpose of this study was to explore the association of smoking
status and clinically relevant duration of smoking cessation with long-term
survival after lung cancer (LC) or colorectal cancer (CRC) diagnosis. We compared
survival of patients with LC and CRC who were never-smokers, long-term, medium
term, and short-term quitters, and current smokers around diagnosis. Methods: We
studied 5,575 patients in Cancer Care Outcomes Research and Surveillance
(CanCORS), a national, prospective observational cohort study, who provided
smoking status information approximately 5 months after LC or CRC diagnosis.
Smoking status was categorized as: never-smoker, quit > 5 years prior to
diagnosis, quit between 1-5 years prior to diagnosis, quit less than 1 year
before diagnosis, and current smoker. We examined the relationship between
smoking status around diagnosis with mortality using Cox regression models.
Results: Among participants with LC, never-smokers had lower mortality risk
compared with current smokers (HR 0.71 95% CI 0.57 to 0.89). Among participants
with CRC, never smokers had a lower mortality risk as compared to current smokers
(HR 0.79, 95% CI 0.64 to 0.99). Conclusions: Among both LC and CRC patients,
current smokers at diagnosis have higher mortality than never-smokers. This
effect should be further studied in the context of tumor biology. However,
smoking cessation around the time of diagnosis did not affect survival in this
sample. Implications: The results from our analysis of patients in the Cancer
Care Outcomes Research and Surveillance (CanCORS) consortium, a large,
geographically diverse cohort, show that both lung and colorectal cancer patients
who were actively smoking at diagnosis have worse survival as compared to never
smokers. While current smoking is detrimental to survival, cessation upon
diagnosis may not mitigate this risk.
PMID- 29351660
TI - Behavior change is not one size fits all: psychosocial phenotypes of childhood
obesity prevention intervention participants.
AB - Variability in individuals' responses to interventions may contribute to small
average treatment effects of childhood obesity prevention interventions. But,
neither the causes of this individual variability nor the mechanism by which it
influences behavior are clear. We used qualitative methods to characterize
variability in students' responses to participating in a childhood obesity
prevention intervention and psychosocial characteristics related to the behavior
change process. We interviewed 18 students participating in a school-based
curriculum and policy behavior change intervention. Descriptive coding, summary,
and case-ordered descriptive meta-matrices were used to group participants by
their psychosocial responses to the intervention and associated behavior changes.
Four psychosocial phenotypes of responses emerged: (a) Activated-successful
behavior-changers with strong internal supports; (b) Inspired-motivated, but not
fully successful behavior-changers with some internal supports, whose taste
preferences and food environment overwhelmed their motivation; (c) Reinforced
already practiced target behaviors, were motivated, and had strong family
support; and (d) Indifferent-uninterested in behavior change and only did target
behaviors if family insisted. Our findings contribute to the field of behavioral
medicine by suggesting the presence of specific subgroups of participants who
respond differently to behavior change interventions and salient psychosocial
characteristics that differentiate among these phenotypes. Future research should
examine the utility of prospectively identifying psychosocial phenotypes for
improving the tailoring of nutrition behavior change interventions.
PMID- 29351661
TI - Estimation of Measurement Uncertainty in Quantitation of Benzoylecgonine (BZE)
and 11-nor-Delta9-THC-9-carboxylic acid (THCA).
AB - Calculating measurement uncertainty is a helpful exercise for identifying
components or steps in a forensic analytical procedure that contribute to
measurement variance. In this study, we used a bottom up approach to identify
components in our procedures that contribute to measurement variance in our
Department of Defense (DoD) Drug Demand Reduction Program (DDRP) Gas
Chromatography Mass Spectroscopy (GCMS) analytical procedures for benzoylecgonine
(BZE) and the THC metabolite, 11-nor-Delta9-THC-9-carboxylic acid (THCA) at 125%
the DDRP concentration threshold (cutoff). Each assay was run 10 times over 30
days, each assay containing five calibrators and five samples (125%). Measurement
uncertainty was estimated to be +/-7.6 and +/-0.6 ng/mL, for the BZE and THCA
methods, respectively (alpha = 0.05). In both assays, method precision and the
preparation of calibrator and samples were major contributors to measurement
uncertainty. While this exercise will help with evaluating assay performance from
a Quality Assurance perspective, these estimates should not be applied in
interpreting DDRP test results. DDRP cut offs are already inherently conservative
being above the Limit of Quantitation and were developed taking into
consideration variability in assay performance across instruments and
laboratories within the DDRP drug testing system.
PMID- 29351662
TI - The Versatile Tanycyte: A Hypothalamic Integrator of Reproduction and Energy
Metabolism.
AB - The fertility and survival of an individual rely on the ability of the periphery
to promptly, effectively, and reproducibly communicate with brain neural networks
that control reproduction, food intake, and energy homeostasis. Tanycytes, a
specialized glial cell type lining the wall of the third ventricle in the median
eminence of the hypothalamus, appear to act as the linchpin of these processes by
dynamically controlling the secretion of neuropeptides into the portal
vasculature by hypothalamic neurons and regulating blood-brain and blood
cerebrospinal fluid exchanges, both processes that depend on the ability of these
cells to adapt their morphology to the physiological state of the individual. In
addition to their barrier properties, tanycytes possess the ability to sense
blood glucose levels, and play a fundamental and active role in shuttling
circulating metabolic signals to hypothalamic neurons that control food intake.
Moreover, accumulating data suggest that, in keeping with their putative descent
from radial glial cells, tanycytes are endowed with neural stem cell properties
and may respond to dietary or reproductive cues by modulating hypothalamic
neurogenesis. Tanycytes could thus constitute the missing link in the loop
connecting behavior, hormonal changes, signal transduction, central neuronal
activation and, finally, behavior again. In this article, we will examine these
recent advances in the understanding of tanycytic plasticity and function in the
hypothalamus and the underlying molecular mechanisms. We will also discuss the
putative involvement and therapeutic potential of hypothalamic tanycytes in
metabolic and fertility disorders.
PMID- 29351663
TI - Mini-review: Can non-human leucocyte antigen genes determine susceptibility to
severe dengue syndromes?
AB - Dengue viral infections are endemic or epidemic in virtually all tropical
countries. Among individuals infected with the dengue virus, severe dengue
syndromes (i.e., dengue haemorrhagic fever and dengue shock syndromes) tend to
affect only some and this may be due to a combination of host genetic
susceptibility and viral factors. In this review article we analyse and discuss
the present knowledge of non-human leucocyte antigen host genetic susceptibility
to severe dengue syndromes. The relevance of genetic polymorphisms in the
pathways of antigen recognition, uptake, processing and presentation, activation
of interferon alpha responses, mast cell and complement activation and T cell
activation and dengue disease severity has been reviewed and analysed.
PMID- 29351664
TI - Lipoma arborescens-a rare cause of bilateral ankle tenosynovitis.
PMID- 29351665
TI - Swiss consumers' willingness to pay and attitudes regarding dual-purpose poultry
and eggs.
AB - Chick culling is an efficient and cost-effective method in modern poultry
farming, but it raises ethical concerns. Dual-purpose poultry (DP), in which
males are fattened and females are used for egg production, is currently the most
realistic alternative to culling, as in ovo sexing is not yet viable for
practical application. Consumers' acceptance of DP products and their willingness
to pay (WTP) for them have not been studied yet, and we expect that both aspects
are closely related to the acceptance of and WTP for products from systems
claiming beyond-conventional animal welfare, such as organic products. Results
from a survey conducted among 402 consumers at 8 Swiss supermarkets revealed that
the practice of chick culling was largely unknown (75% of respondents).
Generally, respondents' knowledge about poultry production was low. The DP
alternative was preferred to chick culling, but no preference emerged between DP
and in ovo sexing. Furthermore, the WTP for DP products was proportionally lower
for chicken than for eggs, probably because of the different price elasticity
between these products. A regression analysis was used to determine the factors
influencing consumers' WTP for DP products. Consumers' WTP was positively related
to knowledge about poultry production, habits tied to purchasing organic or free
range poultry products, and familiarity with DP products. Therefore, a
combination of the DP alternative with an organic label is recommended.
PMID- 29351666
TI - Intraoperative Identification and Preservation of Verbal Memory in Diffuse
Gliomas: A Matched-Pair Cohort Study.
AB - BACKGROUND: Recent glioma surgery series with intraoperative electrical
stimulation (IES) language mapping have demonstrated high rates of postoperative
memory impairment, raising a question regarding the efficacy of this approach to
preserve memory. OBJECTIVE: To evaluate if intraoperative identification and
preservation of verbal memory sites with IES mapping in diffuse gliomas in
eloquent areas consistently protect patients from long-term postoperative decline
in short-term memory. METHODS: A cohort of 16 subjects with diffuse low-grade or
anaplastic gliomas that were operated with IES and intraoperative evaluation of
language and verbal memory (cohort A) was matched by tumor side, pathology, and
radiotherapy with a cohort of 16 subjects that were operated with IES and
evaluation of language (cohort B). Detailed neuropsychological assessment was
performed before and 6 mo after surgery. RESULTS: Intraoperative memory mapping
was a strong predictor of verbal memory prognosis. In cohort A, 4 patients
(26.7%) had a decline of at least one of the 4 short-term memory tests evaluated.
In cohort B, 11 patients (73.3%) had a decline of at least one of the 4 tests.
This difference was statistically significant in multivariate analysis (P = .022;
odds ratio = 9.88; 95% confidence interval = 1.39-70.42). CONCLUSION: Verbal
memory areas identified intraoperatively with the current paradigm are critically
involved in verbal memory, as memory impairment can be significantly reduced by
adapting the resection to avoid those memory areas. Incorporation of verbal
memory evaluation in stimulation mapping protocols might assist in reducing
postoperative sequelae and preserving the patient's quality of life.
PMID- 29351667
TI - What to expect and when: benznidazole toxicity in chronic Chagas' disease
treatment.
AB - Background: Benznidazole is one of the two most effective antiparasitic drugs for
Chagas' disease treatment. However, knowledge about its toxicity profile is
mostly based on post-marketing observational studies. Objectives: Our study
combines data from two prospective clinical trials designed to assess the safety
of the drug newly produced by ELEA Laboratories (Abarax(r)). Methods: Eligible
participants were selected using a consecutive sampling strategy in the CINEBENZ
and BIOMARCHA studies between 2013 and 2016 (EUDRACT 2011-002900-34 and 2012
002645-38, respectively, and clinicaltrials.gov NCT01755403 and NCT01755377,
respectively). Enrolled subjects received treatment with 5 mg/kg/day benznidazole
orally in two divided doses for 8 weeks and were followed up fortnightly.
Results: We observed 305 adverse reactions in 85 of 99 participants (85.9%). Each
patient had a median of three adverse reactions, 89.5% were mild and the median
duration was 12 days. Most adverse reactions appeared in the first month of
treatment except arthritis and peripheral neuropathy. Twenty-six patients did not
complete treatment: 2 were withdrawn, 1 for ectopic pregnancy and 1 for epilepsy
relapse due to cysticercosis; 2 were lost to follow-up; and 22 were owing to
adverse reactions, two of them severe. We observed some unexpected adverse
reactions that have not been described previously, such as psychiatric symptoms,
erectile dysfunction, menstrual cycle alterations and lung infiltration.
Conclusions: There is a very high frequency of adverse reactions to benznidazole.
Most adverse reactions are mild, but the treatment burden is significant and
unexpected reactions are not rare. Severe reactions are uncommon, but they can be
life-threatening. Further studies are necessary to optimize treatment.
PMID- 29351668
TI - Evaluation of the rapid carbapenem inactivation method (rCIM): a phenotypic
screening test for carbapenemase-producing Enterobacteriaceae.
AB - Objectives: Fast and accurate diagnostic tests to identify carbapenemase
producing Enterobacteriaceae (CPE) are mandatory for proper antimicrobial therapy
and implementing infection control measures. Here, we have developed a rapid
Carbapenem Inactivation Method (rCIM) for CPE detection. Methods: The rCIM
consists of the incubation of a potential carbapenemase producer with meropenem
discs and use of the resulting supernatant to challenge a susceptible indicator
strain. Growth of the indicator strain is monitored using a nephelometer. The
performances of the rCIM were compared with the CIM and Carba NP tests using a
collection of 113 well-characterized carbapenem-resistant enterobacterial
isolates, including 85 carbapenemase producers and 28 non-carbapenemase
producers. In addition, rCIM was compared with the Carba NP test and PCR
sequencing in a prospective analysis of 101 carbapenem-resistant enterobacterial
isolates addressed to the French National Reference Center for Antimicrobial
Resistance in July 2017. Results and discussion: The rCIM correctly identified
84/85 carbapenemase producers and 28/28 non-carbapenemase producers, yielding a
sensitivity of 99% and a specificity of 100%, slightly higher than the CIM and
Carba NP test. In the prospective validation study, the rCIM showed a sensitivity
and specificity of 97% and 95%, respectively. Two cephalosporinase-hyperproducing
Enterobacter cloacae gave false-positive results, whereas an IMI-17-producing
Enterobacter asburiae gave a false-negative result. The result was, however,
positive when the isolate was grown on selective antibiotic-containing media.
Conclusions: The rCIM is a rapid (less than 3 h), cheap and accurate test for the
detection of CPEs, which can be implemented in low-resource settings, making it a
useful tool for microbiology laboratories.
PMID- 29351669
TI - How Pairwise Coevolutionary Models Capture the Collective Residue Variability in
Proteins?
AB - Global coevolutionary models of homologous protein families, as constructed by
direct coupling analysis (DCA), have recently gained popularity in particular due
to their capacity to accurately predict residue-residue contacts from sequence
information alone, and thereby to facilitate tertiary and quaternary protein
structure prediction. More recently, they have also been used to predict fitness
effects of amino-acid substitutions in proteins, and to predict evolutionary
conserved protein-protein interactions. These models are based on two currently
unjustified hypotheses: 1) correlations in the amino-acid usage of different
positions are resulting collectively from networks of direct couplings; and 2)
pairwise couplings are sufficient to capture the amino-acid variability. Here, we
propose a highly precise inference scheme based on Boltzmann-machine learning,
which allows us to systematically address these hypotheses. We show how
correlations are built up in a highly collective way by a large number of
coupling paths, which are based on the proteins three-dimensional structure. We
further find that pairwise coevolutionary models capture the collective residue
variability across homologous proteins even for quantities which are not imposed
by the inference procedure, like three-residue correlations, the clustered
structure of protein families in sequence space or the sequence distances between
homologs. These findings strongly suggest that pairwise coevolutionary models are
actually sufficient to accurately capture the residue variability in homologous
protein families.
PMID- 29351670
TI - Comparison of two methods for determination of intestinal villus to crypt ratios
and documentation of early age-associated ratio changes in broiler chickens,.
AB - The determination of intestinal villus to crypt ratios (VCR) is a common method
utilized to evaluate effects of various diet regimens on gut microanatomy and for
the histologic quantification of intestinal responses to disease processes. Two
methods for the determination of small intestinal VCR were compared in early age
chickens. A standard method for VCR determination based on 10 villus and crypt
length measurements in the jejunal region of the small intestine was employed for
the calculation of villus to crypt length ratio (VCLR). That method was compared
to a new approach based on a single histomorphometric determination of the crypt
and total mucosal areas using image analysis software. Subtraction of the crypt
area from the total area provided the villus area and allowed for the subsequent
calculation of villus to crypt area ratio (VCAR). At 4 and 18 h posthatch, VCLR
was higher than that of VCAR, but there was no significant difference between
VCLR and VCAR at 0 h (hatch) and at 168 h (d 7) posthatch. Nevertheless, the
pattern of age-associated changes for VCLR and VCAR were comparable throughout
the early posthatch period. Furthermore, the new method used in determining VCAR
is subject to less human error, allows for an appreciable reduction in the number
of measurements required, and facilitates a larger intestinal segment evaluation.
Standard linear measurements require the selection of variable numbers of villi
and crypts, whereas the area method only requires selection of a single region
that incorporates numerous villi and crypts of variable sizes in providing a less
subjective approach. This is particularly advantageous in studies on intestinal
disease conditions resulting in marked multifocal variation in villus stature.
This study further documented age-associated changes occurring in the VCR of the
small intestine during the early posthatch period. Across the 2 methods used for
VCR determination, a major and highly significant reduction in the VCR was
observed to occur between 18 h and 168 h posthatch.
PMID- 29351672
TI - High treatment success rate among multidrug-resistant tuberculosis patients in
Myanmar, 2012-2014: a retrospective cohort study.
AB - Background: Since 2011, Myanmar has adopted domiciliary care for multidrug
resistant tuberculosis (MDR-TB) patients and implemented several patient-support
measures such as community-based directly observed treatment, nutritional support
and financial incentives for patients and providers. We assessed treatment
outcomes among MDR-TB patients registered for treatment in the Yangon and
Mandalay Regions of Myanmar during 2012-2014 and factors associated with
unfavourable treatment outcomes. Methods: We performed a retrospective cohort
study involving secondary analysis of routine programmatic data extracted from
the electronic MDR-TB treatment registries. We calculated the adjusted risk ratio
(aRR) and 95% confidence interval (CI). Results: Of 2185 MDR-TB patients (75% HIV
tested, 14% HIV positive with 70% of them receiving antiretroviral therapy), 1746
(80%) were successfully treated (cured and treatment completed) and 20% had
unfavourable outcomes (14% died, 3% lost to follow-up, 2% failure and 1% not
evaluated). Compared with young patients (<25 y), patients 25-54 y of age (aRR
2.0 [95% CI 1.3 to 2.9]) and >55 y (aRR 3.2 [95% CI 2.1 to 4.8]) were more likely
to have unfavourable outcomes. HIV-positive patients (especially not receiving
ART; aRR 2.2 [95% CI 1.4 to 3.6]) and patients with 'unknown HIV status' (aRR 1.9
[95% CI 1.5-2.4]) had a higher risk of unfavourable outcomes compared with HIV
negative patients. Conclusions: Treatment success was high and deaths accounted
for three-fourths of unfavourable outcomes. Joint care and management of MDR-TB
and HIV co-infected patients should be strengthened.
PMID- 29351671
TI - A novel non-invasive method for evaluating electroencephalograms on laying hens.
AB - The use of electroencephalograms (EEG) to study the avian brain relative to
behavior was conducted as early as the 1960's. EEG readings, combined with visual
cues, provide the ability to elucidate and correlate behaviors to neurological
and physiological changes in a chicken. The use of EEG recordings in animal
models require access to the brain to implant electrodes. Having the ability to
observe EEG activity on sensible birds without surgical implantation could
broaden the research in this area and give further insight related to the hen's
state of awareness. The development, construction, and implementation of a
minimally invasive EEG electrode placement method is described. After
implementation, test animals were exposed to extreme environmental stressors as
part of a concurrent depopulation methods study and EEG placement withstood the
condition changes and corresponding animal physical activity. Sixteen white
commercial laying hens had three monopolar 32-gauge needle electrodes inserted
subcutaneously and secured to their head and body. Electrodes were attached to a
pre-amplifier which transferred EEG signals to a laptop based recording system.
Once the electrodes were in place, the hens were placed in individual
treatment/observation chamber then various environmental stressors were applied.
Verification that the observed brainwave activity was neural and not muscular was
done using a photic stimulation validation test. Behavior observations were
recorded to correlate sensible and insensible brainwave activity. The validation
test and behavior observations demonstrated the method was successful in
measuring EEG in sensible laying hens. The use of a non-surgical method for
recording EEG will broaden research capabilities and enhance the understanding of
a hen's response its environment, eliminate the need for invasive surgical
procedures, and minimizes the confounding components of anesthesia, brain
surgery, and recovery. With further refinements, the method could open new
avenues in avian behavioral and physiological research.
PMID- 29351674
TI - Erratum.
PMID- 29351675
TI - Quality in Spine Surgery.
AB - Health care is expensive in the United States. There is an increasing focus on
value rather than volume. Accurately measuring quality is a critically important
step in determining value of care delivered. This article discusses the trials
and techniques of measuring quality in spine surgery. A detailed analysis of
advantages and disadvantages of various measures of quality, including process
measurements, patient-reported outcome measurements, and safety measurements is
included-from a historical perspective through modern practice.
PMID- 29351676
TI - Congress of Neurological Surgeons Systematic Review and Evidence-Based Guidelines
on the Treatment of Adults with Vestibular Schwannomas: Introduction and Methods.
PMID- 29351677
TI - Use of Risk Model for Assessment of Residents' Perception of Complexity of
Surgical Steps: Example of Modular Component Steps of Lumbar Spinal Fusion
Surgery.
AB - BACKGROUND: Quality improvement projects increasingly emphasize standardization
of surgical work flow to optimize operative room efficiency. Removing special
cause variability resulting from nonsurgical waste is an obvious target; however,
resident surgical education must be maintained, even in the setting of process
improvement. OBJECTIVE: To describe the impact of resident-identified "risky" or
"uncomfortable" procedural steps on operative time during transforaminal lumbar
interbody fusion (TLIF). METHODS: TLIF procedure steps were defined. An 8 2-part
questions survey regarding comfort level and perceived risk assessment at each
step was developed and completed by junior (17) and senior residents (10), and by
faculty (6) from orthopedic, and neurological surgery. A risk matrix was
constructed defining 2 zones: a "danger zone"; responses were high risk (3-5) and
low comfort (1-3), and a "safe zone"; responses were low risk (1-2) and high
comfort (4-5). One-tailed Chi-square with Yates correction was performed.
RESULTS: Risk matrix analysis showed a statistical difference among "danger zone"
respondents between junior resident and faculty groups for exposure, pedicle
screw placement, neural decompression, interbody placement, posterolateral
fusion, and hemostasis. A radar graph identifies percent of respondents who fall
within the "danger zone". CONCLUSION: Resident perception of surgical complexity
can be evaluated for procedural steps using a risk matrix survey. For TLIF,
residents may assign more risk and may be less comfortable performing steps in a
training-level-dependent manner. Identification of particular high-risk or
uncomfortable steps should prompt strict faculty oversight to improve patient
safety, monitor resident education, and reduce operative time.
PMID- 29351673
TI - The Barrow Neurological Institute Grading Scale as a Predictor for Delayed
Cerebral Ischemia and Outcome After Aneurysmal Subarachnoid Hemorrhage: Data From
a Nationwide Patient Registry (Swiss SOS).
AB - BACKGROUND: The Barrow Neurological Institute (BNI) scale is a novel quantitative
scale measuring maximal subarachnoid hemorrhage (SAH) thickness to predict
delayed cerebral ischemia (DCI). This scale could replace the Fisher score, which
was traditionally used for DCI prediction. OBJECTIVE: To validate the BNI scale.
METHODS: All patient data were obtained from the prospective aneurysmal SAH
multicenter registry. In 1321 patients, demographic data, BNI scale, DCI, and
modified Rankin Scale (mRS) score up to the 1-yr follow-up (1FU) were available
for descriptive and univariate statistics. Outcome was dichotomized in favorable
(mRS 0-2) and unfavorable (mRS 3-6). Odds ratios (OR) for DCI of Fisher 3
patients (n = 1115, 84%) compared to a control cohort of Fisher grade 1, 2, and 4
patients (n = 206, 16%) were calculated for each BNI grade separately. RESULTS:
Overall, 409 patients (31%) developed DCI with a high DCI rate in the Fisher 3
cohort (34%). With regard to the BNI scale, DCI rates went up progressively from
26% (BNI 2) to 38% (BNI 5) and corresponding OR for DCI increased from 1.9 (1.0
3.5, 95% confidence interval) to 3.4 (2.1-5.3), respectively. BNI grade 5
patients had high rates of unfavorable outcome with 75% at discharge and 58% at
1FU. Likelihood for unfavorable outcome was high in BNI grade 5 patients with OR
5.9 (3.9-8.9) at discharge and OR 6.6 (4.1-10.5) at 1FU. CONCLUSION: This
multicenter external validation analysis confirms that patients with a higher BNI
grade show a significantly higher risk for DCI; high BNI grade was a predictor
for unfavorable outcome at discharge and 1FU.
PMID- 29351678
TI - Endoscopic Transcranial Duraplasty for Rhinoliquorrhea: A Cadaveric Study.
AB - BACKGROUND: Repair of the anterior skull base for cerebrospinal fluid leak
requires either endoscopic endonasal approach or open transcranial approach.
OBJECTIVE: To present a less invasive surgical procedure for sealing of the
entire anterior fossa floor for traumatic rhinoliquorrhea. METHODS: Cadaver study
in 3 head specimens. Endoscopic placement of a furled bovine pericardial patch
bilaterally along the sphenoid ridge and planum in guidewire technique. Unfurling
and spreading of the patch under endoscope assistance. RESULTS: Patch placement
was feasible in all 3 specimens without visible injuries to the frontal lobes.
Dissection and preservation of the olfactory tracts was only possible for 50% of
olfactory tracts. CONCLUSION: Endoscopic transcranial keyhole duraplasty is a
promising concept for traumatic rhinoliquorrhea.
PMID- 29351679
TI - Landmarks for Identifying the Suprascapular Foramen Anteriorly: Application to
Anterior Neurotization and Decompressive Procedures.
AB - BACKGROUND: Additional landmarks for identifying the suprascapular nerve at its
entrance into the suprascapular foramen from an anterior approach would be useful
to the surgeon. OBJECTIVE: To identify landmarks for the identification of this
hidden site within an anterior approach. METHODS: In 8 adult cadavers (16 sides),
lines were used to connect the superior angle of the scapula, the acromion, and
the coracoid process tip thus creating an anatomic triangle. The suprascapular
nerve's entrance into the suprascapular foramen was documented regarding its
position within this anatomical triangle. Depths from the skin surface and
specifically from the medial-most point of the clavicular attachment of the
trapezius to the suprascapular nerve's entrance into the suprascapular foramen
were measured using calipers and a ruler. The clavicle was then fractured and
retracted superiorly to verify the position of the nerve's entrance into the
suprascapular foramen. RESULTS: From the trapezius, the nerve's entrance into the
foramen was 3 to 4.2 cm deep (mean, 3.5 cm). The mean distance from the tip of
the corocoid process to the suprascapular foramen was 3.8 cm. The angle best used
to approach the suprascapular foramen from the surface was 15 degrees to 20
degrees . CONCLUSION: Based on our study, an anterior suprascapular approach to
the suprascapular nerve as it enters the suprascapular foramen can identify the
most medial fibers of the trapezius attachment onto the clavicle and insert a
finger at an angle of 15 degrees to 20 degrees laterally and advanced to an
average depth of 3.5 cm.
PMID- 29351680
TI - Tentative Stacking Technique with Tandem Clipping and Bypass for an MCA Aneurysm:
3-Dimensional Operative Video.
PMID- 29351681
TI - Keyhole Contralateral Interhemispheric Endoscopic-Assisted Resection of an
Ependymoma of the Third Ventricle.
PMID- 29351682
TI - Radial Artery Fascial Flow-Through Free Flap for Combined Revascularization in
Moyamoya Disease.
AB - BACKGROUND: Free flaps are commonly used by other surgical subspecialties for
soft tissue reconstruction and revascularization. Cranial applications of these
flaps have been limited to only a single case report. OBJECTIVE: To present a new
technique for combined revascularization in moyamoya disease using a flow-through
free flap. METHODS: Data were obtained from an Institutional Review Board
approved, prospectively maintained database with informed consent from the
patient. RESULTS: A 28-yr-old patient presented with progressive stenosis of the
proximal anterior cerebral artery resulting in ischemic infarcts. Direct
revascularization of the anterior cerebral artery territory and indirect
revascularization of the middle cerebral artery with a large vascularized fascial
pedicle was performed. CONCLUSION: Flow-through free flaps offer a unique
combination of revascularization and a large vascularized pedicle. This technique
highlights the application of these flaps for revascularization in moyamoya
disease and the value of multidisciplinary collaboration. Revascularization will
likely play an increasing role in the open surgical treatment of cerebrovascular
disease. We believe that flow-through free flaps will be a contribution to the
future of revascularization in neurosurgery.
PMID- 29351683
TI - Novel Bilateral Extracavitary Approach for Thoracolumbar Decompression.
AB - BACKGROUND: Bilateral extracavitary approach (BECA) is an option for
decompression cases that require a more extended ventral approach. The clear
advantage is increased accessibility to the most ventral aspects of the spine
from a bilateral perspective. OBJECTIVE: To assess the safety and efficacy of
thoracic and thoracolumbar decompression and/or reconstruction from BECA.
METHODS: A retrospective chart review was performed reviewing all patients who
underwent BECA for thoracolumbar decompression from 2003 to 2012 at our
institution. We recorded patient baseline characteristics, physical exam,
surgical indications, perioperative interventions, and outcomes. RESULTS: We
performed 82 lateral extracavitary approaches and 10 BECAs. BECA indications
included neoplasm, infection, and kyphotic deformity. Average patient age was 58
yr; 80% of BECA patients were male. Estimated blood loss was typically 1 to 3 L.
Average length of stay postoperative was 12 d. Two patients required revisions;
one for infection and one for revision of misplaced hardware. Eight patients
improved at least one grade on the American Spinal Injury Association Impairment
Scale (ASIA) or was originally ASIA E. Two patients declined 1 to 2 ASIA grades.
Average length of follow-up was 16.8 mo. CONCLUSION: BECA is an efficient
technique with acceptable complication rates and similar risks to unilateral
approaches. It should be considered when extensive bilateral decompression and/or
reconstruction of the anterior thoracic or thoracolumbar spine is required.
PMID- 29351684
TI - Endoscopic Occipital Transtentorial Approach for Pineal Region Tumor.
PMID- 29351685
TI - Extended Retrosigmoid Craniotomy and Clip Occlusion of a Petrosal Tentorial Dural
Arteriovenous Fistula (Type 5): Operative Video.
PMID- 29351686
TI - Basilar Artery Ectasia Causing Trigeminal Neuralgia: An Evolved Technique of
Transpositional Suture-Pexy.
AB - BACKGROUND: Microvascular decompression for patients with trigeminal neuralgia
(TGN) is widely accepted as one of the modalities of treatment. The standard
approach has been retrosigmoid suboccipital craniotomy with placement of a Teflon
pledget to cushion the trigeminal nerve from the offending artery, or cauterize
and divide the offending vein(s). However, in cases of severe compression caused
by a large artery, the standard decompression technique may not be effective.
OBJECTIVE: To describe a unique technique of vasculopexy of the ectatic basilar
artery to the tentorium in a patient with TGN attributed to a severely ectatic
and tortuous basilar artery. A case series of patients who underwent this
technique of vasculopexy for arterial compression is presented. METHODS: The
patient underwent a subtemporal transtentorial approach and the basilar artery
was mobilized away from the trigeminal nerve. A suture was then passed through
the wall of the basilar artery (tunica media) and secured to the tentorial edge,
to keep the artery away from the nerve. RESULTS: The neuralgia was promptly
relieved after the operation, with no complications. A postoperative magnetic
resonance imaging scan showed the basilar artery to be away from the trigeminal
root. In a series of 7 patients who underwent this technique of vasculopexy, no
arterial complications were noted at short- or long-term follow-up. CONCLUSION:
Repositioning and vasculopexy of an ectatic basilar artery for the treatment of
TGN is safe and effective. This technique can also be used for other neuropathies
that result from direct arterial compression.
PMID- 29351687
TI - Intraoperative Transpedicular Onyx Injection to Reduce Vascularity of a Thoracic
Hemangiopericytoma After Unsuccessful Preoperative Endovascular Embolization: a
Technical Report.
AB - BACKGROUND AND IMPORTANCE: Hemangiopericytoma is a rare vascular tumor with
central nervous system involvement representing only 1% of central nervous system
tumors. They rarely affect the vertebral column. Complete surgical resection is
the treatment of choice for hemangiopericytoma given their high rates of local
recurrence. However, the high vascularity of such tumors with the risk of massive
bleeding during surgery represents a significant challenge to surgeons.
Therefore, preoperative endovascular embolization via the transarterial route has
been advocated. CLINICAL PRESENTATION: In the current study, we present a case of
a T12 hemangiopericytoma that was managed by a 2-stage surgical resection, with
the use of intraoperative transpedicular onyx injection to reduce intraoperative
blood loss following an unsuccessful trial of preoperative endovascular
embolization. CONCLUSION: Preoperative endovascular embolization is not feasible
in some cases due to the location of the segmental or radiculomedullary arteries
in relation to tumor feeders and, rarely, small size of these arterial feeders.
Percutaneous injection of onyx is an option. In this case report, we discuss
direct intraoperative injection via a transpedicular route as a safe and
effective method for decreasing the vascularity of some lesions and improving
intraoperative blood loss.
PMID- 29351688
TI - Three-Hand Endoscopic Endonasal Transsphenoidal Surgery: Experience With an
Anatomy-Preserving Mononostril Approach Technique.
AB - BACKGROUND: Variations on the endoscopic transsphenoidal approach present unique
surgical techniques that have unique effects on surgical outcomes, extent of
resection (EOR), and anatomical complications. OBJECTIVE: To analyze the learning
curve and perioperative outcomes of the 3-hand endoscopic endonasal mononostril
transsphenoidal technique. METHODS: Prospective case series and retrospective
data analysis of patients who were treated with the 3-hand transsphenoidal
technique between January 2007 and May 2015 by a single neurosurgeon. Patient
characteristics, preoperative presentation, tumor characteristics, operative
times, learning curve, and postoperative outcomes were analyzed. Volumetric EOR
was evaluated, and a logistic regression analysis was used to assess predictors
of EOR. RESULTS: Two hundred seventy-five patients underwent an endoscopic
transsphenoidal surgery using the 3-hand technique. One hundred eighteen patients
in the early group had surgery between 2007 and 2010, while 157 patients in the
late group had surgery between 2011 and 2015. Operative time was significantly
shorter in the late group (161.6 min) compared to the early group (211.3 min, P =
.001). Both cohorts had similar EOR (early group 84.6% vs late group 85.5%, P =
.846) and postoperative outcomes. The learning curve showed that it took 54 cases
to achieve operative proficiency with the 3-handed technique. Multivariate
modeling suggested that prior resections and preoperative tumor size are
important predictors for EOR. CONCLUSION: We describe a 3-hand, mononostril
endoscopic transsphenoidal technique performed by a single neurosurgeon that has
minimal anatomic distortion and postoperative complications. During the learning
curve of this technique, operative time can significantly decrease, while EOR,
postoperative outcomes, and complications are not jeopardized.
PMID- 29351689
TI - Microvascular Decompression for Patients With Hemifacial Spasm Associated With
Common Trunk Anomaly of the Cerebellar Arteries-Case Study and Review of
Literature.
AB - BACKGROUND: Few previous studies have described the origin of both anterior and
posterior inferior cerebellar arteries from one vessel as a common trunk anomaly.
No previous studies have clearly described the aforementioned anomaly depending
on intraoperative endoscopic visualization. OBJECTIVE: To evaluate the
association of a common trunk anomaly with hemifacial spasm, which makes
microvascular decompression more challenging. METHODS: All patients with common
trunk anomaly associated with hemifacial spasm who received surgical treatment
between 2006 and 2015 in our institution were identified in our prospectively
collected database. Detection of the common trunk anomaly was performed using the
intraoperative high-definition endoscopic inspection and confirmed by a
retrospective review of the obtained operative videos. RESULTS: Out of 248 cases
of hemifacial spasm, 21 cases with a common trunk anomaly were detected, with an
incidence rate of 8.5%. In 6 cases, the spasm was caused by more than 1 offending
vessel "complex compression." In 19 cases, total recovery occurred on follow-up,
while in 1 case, 90% recovery occurred. One patient was spasm-free immediately
after surgery, but died 3 wk after operation from herpes encephalitis.
CONCLUSION: Common trunk anomaly in hemifacial spasm is rare. The surgical
technique is mostly identical to decompression with normally arising vessels.
However, in case of a bifurcation situated close to the compression site where
the offending vessel cannot be transposed freely, an extensive cushioning along
the trunk and the offending vessels with teflon pledgets should be performed. The
presence of a common trunk anomaly does not affect the surgical results.
PMID- 29351690
TI - The Transcondylar Approach to Access Symptomatic Arachnoid Cysts of the
Hypoglossal Canal.
AB - BACKGROUND AND IMPORTANCE: A direct transcondylar approach to treat symptomatic
arachnoid cysts of the hypoglossal canal has not yet been described in the
literature. CLINICAL PRESENTATION: Here, we present a skull base approach in 2
female patients (68 and 38 yr) with progressive dysphagia, dysarthria, half-sided
weakness, and atrophy of the tongue due to an arachnoid cyst of the hypoglossal
canal. After patient informed consent, both patients were successfully operated
on without complications using a transcondylar approach, and their symptoms
improved 3 mo after surgery; in both patients, resection of the cyst was
confirmed using magnetic resonance imaging. Review of the literature revealed 4
surgically treated cases of hypoglossal cysts operated on through a paramedian
suboccipital craniotomy from a medial to lateral surgical corridor. CONCLUSION:
This is the first description of the transcondylar surgical approach to safely
operate on symptomatic arachnoid cysts located in the hypoglossal canal. The
isolated transcondylar approach is useful in selective cases when the pathology
is located within the hypoglossal canal only and the skull base anatomy allows a
direct approach.
PMID- 29351691
TI - OUT-OF-FIELD DOSES IN CHILDREN TREATED FOR LARGE ARTERIOVENOUS MALFORMATIONS
USING HYPOFRACTIONATED GAMMA KNIFE RADIOSURGERY AND INTENSITY-MODULATED RADIATION
THERAPY.
AB - The purpose of this study was to measure out-of-field organ doses in two
anthropomorphic child phantoms for the treatment of large brain arteriovenous
malformations (AVMs) using hypofractionated gamma knife (GK) radiosurgery and to
compare these with an alternative treatment using intensity-modulated radiation
therapy (IMRT). Target volume was identical in size and shape in all cases.
Radiophotoluminescent (RPL), thermoluminescent (TL) and optically stimulated
luminescent (OSL) dosimeters were used for out-of-field dosimetry during GK
treatment and a good agreement within 1-2% between results was shown. In
addition, the use of multiple dosimetry systems strengthens the reliability of
the findings. The number of GK isocentres was confirmed to be important for the
magnitude of out-of-field doses. Measured GK doses for the same distance from the
target, when expressed per target dose and isocentre, were comparable in both
phantoms. GK out-of-field doses averaged for both phantoms were evaluated to be
120 mGy/Gy for eyes then sharply reduced to 20 mGy/Gy for mandible and slowly
reduced up to 0.8 mGy/Gy for testes. Taking into account the fractionation
regimen used to treat AVM patients, the total treatment organ doses to the out-of
field organs were calculated and compared with IMRT. The eyes were better spared
with GK whilst for more distant organs doses were up to a factor of 2.8 and 4
times larger for GK compared to IMRT in 5-year and 10-year old phantoms,
respectively. Presented out-of-field dose values are specific for the
investigated AVM case, phantoms and treatment plans used for GK and IMRT, but
provide useful information about out-of-field dose levels and emphasise their
importance.
PMID- 29351692
TI - Homonymous Hemianopia in Children and Adolescents: An MRI Study.
AB - AIM: Diagnosing homonymous hemianopia (HH) in children can be difficult due to
inability to comply with perimetry. Therefore, HH can often only be suspected by
magnetic resonance imaging (MRI) showing lesions to the retrochiasmatic visual
pathways. The aim of our retrospective observational cross-sectional study was to
improve the radiologic detection of HH. METHODS: MRIs of 21 subjects (5-17 years
old) with ophthalmologically confirmed HH (14 complete, 7 incomplete hemianopias)
were analyzed. In addition, we asked four questions looking at everyday problems
possibly related to the HH. The questions asked for (1) problems in avoiding
objects/people, (2) bumping into objects/people, (3) difficulties in judging
stairs, and (4) difficulties in grasping objects. RESULTS: We found
neuroanatomical correlates of the HH in all 21 participants, with the optic
radiation being involved in almost all participants (20/21). Everyday problems
possibly related to the HH were reported for all nine patients with
postneonatally acquired complete hemianopias. In contrast, no such problems were
reported for seven patients with incomplete HH (7/7) and for 3/5 patients with
complete hemianopias due to pre-, peri- or neonatally acquired brain lesions.
INTERPRETATION: A dedicated radiologic analysis of the retrochiasmatic optic
pathway should routinely be performed in children with brain lesions to identify
children with HH. Early onset and incomplete HH are predictors for successful
compensation.
PMID- 29351693
TI - Editor's Commentary.
PMID- 29351694
TI - Treatment Outcomes for Right-Sided Endocarditis in Intravenous Drug Users: A
Systematic Review and Analysis of Outcomes in a Tertiary Centre.
AB - BACKGROUND: The increasing prevalence of intravenous drug users (IVDU) has
resulted in higher incidence of right-sided infective endocarditis (RSIE).
However, treatment guidelines for RSIE in IVDU are not well defined. The aim is
to evaluate efficacy of different treatment strategies in reducing mortality and
to describe treatment outcomes. METHODS: We systematically reviewed the
literature using PubMed, Cochrane, CENTRAL, OvidEMBASE, Web of Science, and
Medline databases to include prospective studies that compare mortality rates
among IVDU with RSIE receiving isolated medical treatment versus those receiving
medical-surgical treatment. In conjunction, analysis of 27 RSIE patients
(including IVDU) treated at authors' institution was done to supplement the
findings. Kaplan-Meier survival rates following hospital admission and cumulative
incidence estimates for hospital re-admission were obtained. RESULTS: A total of
nine studies (all with low or marginal risk of bias) met inclusion criteria. The
prevalence of RSIE among IVDU with infective endocarditis varied from 34% to
100%. Seven studies compared medical versus medical-surgical therapy with less
than 30% needing surgery. Mortality was higher in patients receiving surgical
therapy. There were 27 RSIE (16 non-IVDU and 11 IVDU) analyzed at the authors'
institution. Survival at 30 days, 1 year, and 3 years were 89%, 82%, and 78%,
respectively, and repeat hospitalization for recurrent endocarditis were 8%, 17%,
and 23%, respectively. CONCLUSIONS: There is paucity around optimal RSIE
management strategy for IVDU that can decrease mortality. Surgical management of
RSIE may be associated with increased mortality over medical management mainly
due to advanced surgical indications.
PMID- 29351695
TI - Reasons for Failure of Systemic-to-Pulmonary Artery Shunts in Neonates.
AB - BACKGROUND: Systemic-to-pulmonary artery shunt placement is an established
palliative procedure for congenital heart disease. Although it is thought to be a
simple operation, it is associated with significant morbidity and mortality.
METHODS: Data for all neonates who underwent surgery for a systemic-to-pulmonary
artery shunt between 2000 and 2016 were reviewed. The study endpoints were shunt
failure and shunt-related mortality. Shunt failure was defined as a shunt
dysfunction because of thrombosis or stenosis requiring intervention or
reoperation; shunt mortality was defined as death because of a shunt dysfunction.
RESULTS: A total of 305 shunts (central shunt, n = 135; Blalock-Taussig shunt, n
= 170) were implanted in 280 patients. The median patients' age at the time of
surgery was 9 days (1-31 days). The median shunt size was 3.5 mm (3-4 mm). Twenty
four patients (8%) were diagnosed with a shunt failure, with a median time of 7
days (0-438 days). Freedom from shunt failure at 1 year was 91.6% +/- 2%. A shunt
related mortality was ascertained for 12 patients (4%). Freedom from shunt
related mortality at 1 year was 96% +/- 1%. Perioperative platelet transfusion (p
= 0.01), central shunt (p = 0.02), 3-mm shunt size (p = 0.02), and postoperative
extra corporeal membrane oxygenation (ECMO) (p < 0.01) were identified as risk
factors for shunt failure. Platelet transfusion (p = 0.04) and postoperative ECMO
(p < 0.01) were further identified as risk factors for shunt mortality.
CONCLUSION: Based on these data, we recommend implanting a modified Blalock
Taussig shunt of at least 3.5 mm in neonates. Perioperative platelet transfusion
and postoperative ECMO increase the risk of shunt failure.
PMID- 29351696
TI - Risk of Primary Spontaneous Pneumothorax According to Chest Configuration.
AB - BACKGROUND: We compared the chest configurations of patients with primary
spontaneous pneumothorax (PSP) and age-sex-matched controls to determine the
presence of chest wall deformities in patients with PSP. METHODS: We
retrospectively enrolled 166 male patients with PSP (age, 18-19 years) and 85 age
sex-matched controls without PSP, who simultaneously underwent chest computed
tomography (CT) and radiography at one of two institutes. After correcting for
height, the following thoracic parameters were comparatively evaluated between
the two groups: maximal internal transverse (T) and anteroposterior (W) diameters
of the chest, maximal internal lung height (H), Haller index (T/W), and T/Height,
T/H, W/Height, W/H, and H/Height ratios. RESULTS: Patients were taller than the
control subjects (176.5 cm +/- 5.9 cm versus 174.4 cm +/- 5.6 cm; p = 0.007).
After controlling for height, the patient group exhibited lower T and W and
greater H and Haller index values than the control group (T: 95% confidence
interval [CI], 24.8-25.2 cm versus 25.9-26.5; W: 95% CI, 8.9-9.2 cm versus 10.1
10.6 cm; H: 95% CI, 25.2-25.9 cm versus 23.4-24.4 cm; and Haller index, 95% CI,
2.7-2.9 versus 2.4-2.6; all, p < 0.001). The patient group also exhibited lower
T/Height, T/H, W/Height, and W/H ratios and greater H/Height ratio than the
control group. CONCLUSIONS: Patients with PSP have an anteroposteriorly flatter,
laterally narrower, and craniocaudally taller thorax than subjects without PSP,
suggesting that chest configuration is associated with the development of
pneumothorax.
PMID- 29351697
TI - Roles of Transit-Time Flow Measurement for Coronary Artery Bypass Surgery.
AB - Transit-time flow measurement (TTFM) has been increasingly applied to detect
graft failure during coronary artery bypass grafting (CABG), because TTFM is less
invasive, more reproducible, and less time consuming. Many authors have attempted
to validate TTFM and to gain the clear cutoff values and algorithm in TTFM to
predict graft failure. The TTFM technology has also been shown to be a useful
tool to investigate CABG graft flow characteristics and coronary circulation
physiology. It is important to recognize the practical roles of TTFM in the
cardiac operating room by review and summarize the literatures.
PMID- 29351698
TI - Endoscopic mucosal resection of rectal squamous cell papilloma.
PMID- 29351699
TI - Endoscopic submucosal tunnel dissection for early squamous cell carcinoma of the
esophagus.
PMID- 29351700
TI - Successful treatment of an impacted lithotripter basket in the common bile duct
with intracorporeal electrohydraulic lithotripsy.
PMID- 29351701
TI - A rare cause of small-bowel bleeding: haemorrhagic small-bowel lymphangioma
diagnosed by antegrade double-balloon enteroscopy.
PMID- 29351702
TI - Endoscopic ultrasonography-guided obliteration of a left inferior phrenic artery
pseudoaneurysm in a patient with alcoholic chronic pancreatitis.
PMID- 29351703
TI - A wormy surprise: ERCP for intrabiliary drainage of a hydatid cyst.
PMID- 29351704
TI - Safety of cold snare polypectomy for duodenal adenomas in familial adenomatous
polyposis: a prospective exploratory study.
AB - BACKGROUND: Cold snare polypectomy (CSP) to remove multiple duodenal adenomas
(MDAs) in patients with familial adenomatous polyposis (FAP) could be an
effective and less invasive method than more extensive surgery. The aim of the
present study was to determine the safety of this procedure. METHODS: This
prospective exploratory study included 10 consecutive patients with FAP and MDAs
who underwent CSP for as many as 50 duodenal adenomas. The primary outcome was
the incidence of severe adverse events. RESULTS: 10 patients were enrolled and
underwent 332 CSPs from June 2016 to January 2017. The median procedure time was
33 minutes (range 25 - 53), and the median number of polyps removed during a
single session was 35 (range 10 - 50). Most of the removed polyps were <= 10 mm.
None of the 10 patients experienced a severe adverse event. One patient developed
arterial bleeding during the procedure, but it was easily managed using
hemoclips. CONCLUSIONS: CSP for MDAs in patients with FAP was safe. The long
term efficacy of this procedure should be investigated.
PMID- 29351705
TI - Percutaneous-endoscopic rendezvous procedure for the management of bile duct
injuries after cholecystectomy: short- and long-term outcomes.
AB - BACKGROUND: Bile duct injury (BDI) remains a daunting complication of
laparoscopic cholecystectomy. In patients with complex BDI, a percutaneous
endoscopic rendezvous procedure may be required to establish bile duct
continuity. The aim of this study was to assess short- and long-term outcomes of
the rendezvous procedure. METHODS: All consecutive patients with BDI referred to
our tertiary referral center between 1995 and 2016 were analyzed. A rendezvous
procedure was performed when endoscopic or radiologic intervention failed, and
when deemed feasible by a dedicated multidisciplinary team including
hepatopancreaticobiliary surgeons, gastrointestinal endoscopists, and
interventional radiologists. Classification of BDI, technical success of the
rendezvous procedure, procedure-related adverse events, and outcomes were
assessed. RESULTS: Among a total of 812 patients, rendezvous was performed in 47
(6 %), 31 (66 %) of whom were diagnosed with complete transection of the bile
duct (Amsterdam type D/Strasberg type E injury). The primary success rate of
rendezvous was 94 % (44 /47 patients). Overall morbidity was 18 % (10 /55
procedures). No life-threatening adverse events or 90-day mortality occurred.
After a median follow-up of 40 months (interquartile range 23 - 54 months),
rendezvous was the final successful treatment in 26 /47 patients (55 %). In 14
/47 patients (30 %), rendezvous acted as a bridge to surgery, with
hepaticojejunostomy being chosen either primarily or secondarily to treat
refractory or relapsing stenosis. CONCLUSIONS: In experienced hands, rendezvous
was a safe procedure, with a long-term success rate of 55 %. When endoscopic or
transhepatic interventions fail to restore bile duct continuity in patients with
BDI, rendezvous should be considered, either as definitive treatment or as a
bridge to elective surgery.
PMID- 29351707
TI - [Crying Retina Sign].
PMID- 29351706
TI - MicroRNAs and Target Genes in Pituitary Adenomas.
AB - Pituitary adenomas account for the top three primary intracranial tumors in terms
of total incidence rates. The clinical symptoms presented by the disease are
often characterized by a series of systemic endocrine disorders, severe
occupational lesions, and even some malignant features, and therefore early
diagnosis and predicting recurrence would be instructive for clinical treatment
of pituitary adenomas. An increasing number of specific microRNA (miRNA)
expression signatures have been identified in pituitary, and miRNAs are related
with the pituitary tumorigenesis, dysfunction, neurodegeneration, and metastatic
non-functioning pituitary carcinoma. Here, this paper reviews the effects of
aberrant miRNA expression in human pituitary adenomas and summarizes some
corresponding target genes and biological significance over the last 7 years
(2010-2017).
PMID- 29351708
TI - Eyelid Reconstruction: Pediculated versus Non-Pediculated.
AB - BACKGROUND: To compare the functional and cosmetic outcome of pediculated versus
free anterior and posterior lamella reconstruction after large eyelid defects due
to malignancy excision. PATIENTS AND METHODS: A retrospective study over 2 years
with 12 patients matching the criterion of pediculated versus non-pediculated
transplants out of a cohort of 124 tumor excisions. The mean age was 76 +/- 8
years of the 7 male and 5 female patients. In the majority of cases, more than
half of the eyelid was excised. The posterior lamella was always reconstructed
with tarsal tissue, and the anterior lamella mostly with an upper eyelid skin
graft. The postoperative follow-up time was between 2 months and 1 year. RESULTS:
Nodular basal cell carcinoma was the prevailing histology (6 patients). The
reconstruction techniques included a Hughes procedure (four patients) or a free
tarsal graft with a pediculated skin flap (four patients), respectively. In the
remaining four patients, a combination of pediculated/free anterior AND posterior
lamellae was performed. Four patients had a one-stage and eight patients a 2nd
stage procedure with a mean time until tarsoconjunctival flap reopening of 16 +/-
2 days. CONCLUSIONS: No difference was found in the final functional outcome in
pediculted versus free grafts. The cosmetic result was better in anterior lamella
reconstructions with a pediculated flap, which usually allows a one-stage
procedure. The 2nd stage procedure could be performed after 2 weeks without any
complications.
PMID- 29351709
TI - [Validation of the Screening for Complex PTSD (SkPTBS) - Revision].
AB - BACKGROUND: The aim was to develop further a screening instrument for complex
posttraumatic stress disorder (cPTSD). The screening for cPTSD (SkPTBS) tests a)
potential traumatic experiences, b) influential features and risk factors, and c)
symptoms of cPTSD. The SkPTBS by Dorr et al. (2016) was revised according to the
current ICD-11 criteria set proposal for cPTSD and is explored in its revised
edition. METHOD: Impacts of long-lasting interpersonal trauma were tested in
inpatients of psychosomatic rehabilitation and in patients consulting a
university outpatient center for trauma therapy. Patients filled out the
screening at the beginning of their treatment (N=525, among these n=359
rehabilitation patients and n=157 in the outpatient center, mean age 48 years,
68% female). Later diagnosed complex PTSD as well as results of the SCID and the
Interview for complex PTSD (IkPTBS) served as primary validation criteria.
RESULTS: 88 patients (17%) were diagnosed with complex PTSD. Metric SkPTBS items
were selective and the scale had an internal reliability of Cronbachs alpha=0.91.
The one-dimensional factor structure was replicated. SkPTBS correlated moderately
with posttraumatic symptoms measured by IES-15, with dissociative symptoms (DES
Taxon), depressive symptoms (in BDI-II, PHQ-9), and global symptom severity (in
SCL-90-R). Finally, norms for different groups of age, diagnosis and treatment
settings were developed. CONCLUSION: The SkPTBS revision is a feasible and brief
instrument to identify patients at risk for complex PTSD. It is provided freely
and can be applied for diagnostic and therapeutic purposes.
PMID- 29351710
TI - [Implementation of an Internet-Based Self-Help for Patients Waiting for
Outpatient Psychotherapy - First Results].
AB - INTRODUCTION: Numerous studies prove the efficacy of internet-based self-help
programs, but integration into the health-care system was rarely investigated.
The present study addresses the implementation of an internet-based self-help
program into routine care of patients with depressive symptoms waiting for
psychotherapy at the university outpatient center. MATERIAL AND METHODS: Patients
waiting for outpatient psychotherapy were randomly assigned to either a control
group or an intervention group that received access to the internet-based program
Deprexis during the waiting period. Depressive symptoms were assessed before and
after waiting. Additionally, expectations and program use of participants were
exploratively examined. RESULTS: Only half of the patients who were informed
about the study were interested in participation. Participants used about half of
the modules in the program Deprexis. Depressive symptoms were reduced in both
groups during the waiting time. However, the symptom improvements were not
significant. DISCUSSION: Integration of internet-based self-help programs into
the German health-care system should include support by a psychotherapist.
Preferences of participants should also be considered to enhance adherence and
efficacy of the program. CONCLUSION: Internet-based self-help programs for use in
the waiting time for outpatient psychotherapy of patients with depression should
involve guidance.
PMID- 29351711
TI - [Beck Anxiety Inventory German Version - A Reliable, Valid, Patientfriendly
Instrument for Measuring Clinical Anxiety].
AB - The Beck Anxiety Inventory (BAI) is available in German. Apart from data in its
manual, there are no further reports on the psychometric properties of this
questionnaire and on its suitability for clinical use in Germany. This study
therefore offers an independent investigation into the psychometrics as well as
the clinical characteristics of the BAI. Three samples with n=145, n=90, and
n=174 comprised inpatients diagnosed with anxiety disorders and treated in a
large psychosomatic hospital. In addition to the BAI, the clinician rating
Hamilton Anxiety Scale (HamA), the State-Trait-Anxiety Inventory (STAI) as well
as the two anxiety subscales of the Symptom Checklist 90 (SCL 90) were used to
establish convergent validity. For divergent validity, patients filled out
questionnaires measuring the motivational construct of Action versus State
Orientation as well as the motivational scale of the Freiburg Personality
Inventory (FPI). The Beck Depression Inventory II (BDI II) and a single item
targeting a patient's psychotherapy goal achievement complemented the measures.
Data collection times were admission to inpatient treatment, discharge from the
hospital, and 6-month follow-up. For a subsample of patients, additional data
from the weeks prior to hospital admission allowed for a test of the nonspecific
Hope for Success in the absence of therapy. The inpatient intervention consisted
of approximately 6 weeks of cognitive-behavioral psychotherapy. The German BAI is
considered to be unidimensional. It is a homogeneous, reliable (3-day test
retest) inventory with excellent item characteristics. The data suggested good
convergent validity with other anxiety measures, and divergent validity. However,
the BAI and the BDI-II exhibited a midrange correlation with each other, which
was an unexpected result. Groups of patients with different diagnoses could be
differentiated. The questionnaire shows sensitivity to specific and nonspecific
change. Goal attainment goes along with BAI results. In conclusion, the BAI is a
psychometrically sound inventory; it is brief, user-friendly and therefore
appropriate for use in inpatient and outpatient psychotherapy settings.
PMID- 29351712
TI - [Immigrated Physicians: Chances and Challenges].
AB - BACKGROUND AND OBJECTIVE: In the health care infrastructure of Germany a demand
for physicians with immigrant background exists. The situation of immigrated
physicians is largely unexplored so far. In the framework of a pilot study
stressors and resources of physicians with immigrant background have been
explored concerning their migration-related experiences at German hospitals, and
within the medical team. METHODS: As part of a qualitative analysis 8 physicians
with immigrant background have been interviewed (problem-centered interview) from
July to September 2014. The respondents stemmed from countries of the European
Union and of non-EU countries. They have worked for 1-4,5 years in different
German hospitals. RESULTS: Stressors and challenges derived from a lack in German
language skills, different medical skills, cooperation in the team, and from
dealing with a new health care system. Perceived discrimination by colleagues and
patients represented a particular burden. In the meantime physicians with
immigrant background disposed resources on different levels as on
communicational, medical, social and organizational levels. DISCUSSION: The
results highlight the particular demands that physicians with immigrant
background face. Future research should explore potentials of stressors and
resources for physicians with immigrant background by using quantitative methods;
in terms of a multi-perspective approach German colleagues and patients should be
included.
PMID- 29351713
TI - [Living with Localized Prostate Cancer - Decision-Making and Coping with the
Illness].
AB - BACKGROUND: Men diagnosed with localized prostate cancer must make a choice
between treatment strategies that differ considerably in their side effects and
have different long-term requirements for coping with the disease. The aim of
this study was to describe how men perceive their treatment decision
retrospectively and which coping strategies they use. MATERIAL & METHODS: Fifteen
men (age mean=67.13+/-9.38 years) diagnosed with localized prostate cancer
participated in three focus groups, grouped according to the treatment strategies
radical prostatectomy, radiotherapy, and active surveillance. An interview guide
structured the focus group discussions. In analogy to the structured interview,
the material was deductively sorted in a first step; in a second step, sub
categories were developed inductively from the material. RESULTS: The content
analysis revealed four particularly relevant topics: (1) The communication of the
diagnosis and the associated reactions. (2) The decision-making process and the
perceived time pressure. (3) The coping strategies, which showed a broad spectrum
ranging from distraction and information search to lifestyle changes. (4) The
perception of the disease over time. In particular men under active surveillance
highlighted the importance of deceleration in their decision making and the role
of lifestyle changes. DISCUSSION: The time of the diagnosis is still very much
present even a long time after the diagnosis has been communicated. It is
possible that a decrease in time pressure and deceleration would lead to more men
deciding in favor of an observational strategy. Lifestyle changes could
especially help men who choose active surveillance to overcome the feeling of
"doing nothing" and gain a sense of control. CONCLUSION: In view of the variety
of possible treatment strategies, an ethic of action is required that meets the
need for an individual and preference-sensitive decision.
PMID- 29351714
TI - [Prevalence and Phenomenology of Psychotic-Like Symptoms in Borderline
Personality Disorders - Associations with Suicide Attempts and Use of Psychiatric
Inpatient Treatment].
AB - BACKGROUND: Psychotic-like symptoms are found in a subgroup of borderline
patients (BPD). Reported prevalence is heterogeneous (up to 50% affected).
Investigations in Germany have not been conducted so far. Furthermore, the
precise phenomenology of the psychotic symptoms and the effects on suicidal
behavior and the use of inpatient psychiatric treatment are unclear. OBJECTIVES:
The aim of the study was to investigate prevalence rates and phenomenology of
psychotic-like symptoms. Associations between the latter and suicidality as well
as the use of inpatient psychiatric treatment were examined. Further influencing
factors were taking into account. METHODS: Psychotic-like symptoms were assessed
with the Structured Clinical Interview-I in 95 BPD patients. To investigate the
associations between psychotic-like symptoms and suicidality as well as the use
of inpatient psychiatric treatment, correlation and regression analyzes were
calculated, considering severity of PTSD, BPD and depression. RESULTS: 36% of the
patients reported alterations of perception and 21% delusions, both multiform and
long lasting. The number of suicide attempts was associated with delusions,
alterations of perception and severity of PTSD, BPS, and depression. Only
delusions and severity of PTSD explained together 25.8% of the variance for the
prediction of the number of suicide attempts. Age of initial hospitalization
showed fewer and number of hospitalizations no associations at all. DISCUSSION:
Psychotic-like symptoms should not be trivialized, which may happen by using
terms such as pseudo-hallucinations or transient paranoid ideas, and may be
particularly associated with suicidal tendencies complicating the clinical
course.
PMID- 29351715
TI - The Impact of Migration Background on the Health Outcomes of Preschool Children:
Linking a Cross-Sectional Survey to the School Entrance Health Examination
Database in Bavaria, Germany.
AB - AIM OF THE STUDY: This study investigated the impact of migration background on
the health outcomes of preschool children from families of various social status
levels. METHODS: During the school entrance health examination
(Schuleingangsuntersuchung (SEU)) from October 2012 to August 2013, the parents
of 5052 preschool children (62% response rate) participated in a cross-sectional
health survey within the framework of health-monitoring units (Gesundheits
Monitoring-Einheiten (GME)) in Bavaria, Germany. They were handed a self
administered questionnaire with questions relating to the language spoken at home
and other socio-demographic characteristics. By applying the record linkage
method, 3747 participants were correctly linked to the corresponding data of the
SEU. Based on the merged dataset, 4 definitions of migration background were
explored regarding demographic indicators, the parents' mother tongue, and the
language spoken at home. The association between migration background and health
outcomes of preschool children was analyzed using multivariable logistic
regression models separately. The risk estimates were presented for the study
population and stratified into high, medium, and low social status. The models
were repeated for each of the 4 migration background variables. RESULTS: The
results show significant associations between migration background and health
outcomes of preschool children (except in pronunciation). A migration background
had the strongest impact on the development of word/sentence formation disorder.
Compared to non-migrant preschool children, migrant children had twice the
chances for full vaccination coverage (OR [95% CI]: 2.4 [1.9-3.1]) but only half
the chance to participate in all health examinations from U1 to U9 (0.5 [0.4
0.6]). These children were also at two-fold increased risk to be overweight/obese
(2.2 [1.7-2.8]). Moreover, preschool children with double-sided migration from
medium social status families faced the highest risk for word/sentence formation
disorder (9.5 [5.6-16.1] with SEU definition and 23.3 [10.3-52.6] with GME
definition of a migration background). CONCLUSIONS: Future prevention programs at
the preschool level should focus on children with double-sided migration from
medium social status families. With respect to social and cultural integration,
the definition of migration background based on the language spoken at home
should be appropriate for future SEUs.
PMID- 29351717
TI - Prediction of Protein Configurational Entropy (Popcoen).
AB - A knowledge-based method for configurational entropy prediction of proteins is
presented; this methodology is extremely fast, compared to previous approaches,
because it does not involve any type of configurational sampling. Instead, the
configurational entropy of a query fold is estimated by evaluating an artificial
neural network, which was trained on molecular-dynamics simulations of ~1000
proteins. The predicted entropy can be incorporated into a large class of protein
software based on cost-function minimization/evaluation, in which configurational
entropy is currently neglected for performance reasons. Software of this type is
used for all major protein tasks such as structure predictions, proteins design,
NMR and X-ray refinement, docking, and mutation effect predictions. Integrating
the predicted entropy can yield a significant accuracy increase as we show
exemplarily for native-state identification with the prominent protein software
FoldX. The method has been termed Popcoen for Prediction of Protein
Configurational Entropy. An implementation is freely available at
http://fmc.ub.edu/popcoen/ .
PMID- 29351716
TI - Effect of metformin on glycaemic control in patients with type 1 diabetes: A meta
analysis of randomized controlled trials.
AB - BACKGROUND: For type 1 diabetes (T1D) patients, adding metformin to insulin
therapies is thought to improve blood glucose levels, but current evidence does
not support this clinical benefit. Additional data from large clinical trials are
now available; therefore, we conducted a meta-analysis of studies on assessing
the efficacy and adverse effects of metformin. METHODS: We searched the MEDLINE,
EMBASE, and Cochrane Library databases for data from randomized controlled
trials. We performed statistical analyses by using Review Manager 5.2. RESULTS:
Thirteen randomized controlled trials that compared metformin versus placebo met
our inclusion criteria and were included in the study. The final meta-analysis
included a total of 1183 participants with T1D. Metformin was associated with
reductions in BMI (-1.14, 95% CI -2.05 to -0.24, P = .01), insulin requirements (
0.47, 95% CI -0.70 to -0.23, P = .0001), total cholesterol (-0.23, 95% CI -0.34
to -0.12, P < .0001), and low-density lipoprotein cholesterol (-0.20, 95% CI
0.29 to -0.11, P < .0001) in T1D patients. No clear evidence indicated that
metformin improved HbA1c, triglyceride, or high-density lipoprotein cholesterol
levels. A safety analysis showed that metformin slightly increased the risk of
severe hypoglycaemia (1.23, 95% CI 1.00 to 1.52, P = .05) and mainly
gastrointestinal adverse events (2.67, 95% CI 2.06 to 3.45, P < .00001). No
evidence showed that metformin increased diabetic ketoacidosis events.
CONCLUSIONS: Compared with placebo, metformin was not associated with glycaemic
control in T1D patients. Although it exhibited other benefits, such as lower BMI
and reduced insulin requirements, total cholesterol, and low-density lipoprotein
cholesterol, negative outcomes, such as gastrointestinal adverse effects and
severe hypoglycaemia, should also be considered in the use of metformin for T1D
patients.
PMID- 29351718
TI - "Good versus Good Enough?" Empirical Tests of Methane Leak Detection Sensitivity
of a Commercial Infrared Camera.
AB - Methane, a key component of natural gas, is a potent greenhouse gas. A key
feature of recent methane mitigation policies is the use of periodic leak
detection surveys, typically done with optical gas imaging (OGI) technologies.
The most common OGI technology is an infrared camera. In this work, we
experimentally develop detection probability curves for OGI-based methane leak
detection under different environmental and imaging conditions. Controlled single
blind leak detection tests show that the median detection limit (50% detection
likelihood) for FLIR-camera based OGI technology is about 20 g CH4/h at an
imaging distance of 6 m, an order of magnitude higher than previously reported
estimates of 1.4 g CH4/h. Furthermore, we show that median and 90% detection
likelihood limit follows a power-law relationship with imaging distance. Finally,
we demonstrate that real-world marginal effectiveness of methane mitigation
through periodic surveys approaches zero as leak detection sensitivity improves.
For example, a median detection limit of 100 g CH4/h is sufficient to detect the
maximum amount of leakage that is possible through periodic surveys. Policy
makers should take note of these limits while designing equivalence metrics for
next-generation leak detection technologies that can trade sensitivity for cost
without affecting mitigation priorities.
PMID- 29351719
TI - Synergistic and Antagonistic Interactions among the Particulate Matter Components
in Generating Reactive Oxygen Species Based on the Dithiothreitol Assay.
AB - We assessed the interactions among the particulate matter (PM) components in
generating the reactive oxygen species (ROS) based on a dithiothreitol (DTT)
assay. We started with the standard solutions of known redox-active substances,
i.e., quinones (9,10-phenanthraquinone, 1,2-naphthoquinone, 1,4-naphthoquinone,
and 5-hydroxy-1,4-naphthoquinone) and metals [Fe (II), Mn (II), and Cu (II)].
Both DTT consumption and hydroxyl radical (.OH) generation were measured in the
DTT assay. The interactions of Fe were additive with quinones in DTT consumption
but strongly synergistic in .OH generation. Cu showed antagonistic interactions
with quinones in both DTT consumption and .OH generation. Mn interacted
synergistically with quinones in DTT oxidation but antagonistically in .OH
generation. The nature of the interactions of these metals (Fe, Mn, and Cu) with
ambient humic-like substances (HULIS) resembled that with quinones, although the
intensity of interactions were weaker in DTT consumption than .OH generation.
Finally, we demonstrated that the DTT consumption capability of ambient PM can be
well explained by HULIS, three transition metals (Fe, Mn, and Cu), and their
interactions, but .OH generation involves a contribution (~50%) from additional
compounds (aliphatic species or metals other than Fe, Mn, and Cu) present in the
hydrophilic PM fraction. The study highlights the need to account for the
interactions between organic compounds and metals, while apportioning the
relative contributions of chemical components in the PM oxidative potential.
PMID- 29351720
TI - Structural Interconversion in Alzheimer's Amyloid-beta(16-35) Peptide in an
Aqueous Solution.
AB - Structural properties of Abeta(16-35) fragment are investigated as a model for
the amyloid-beta peptide excluding its coil-inducing terminals. Our replica
exchange molecular dynamics simulations using all-atom and explicit aqueous
solvation widely reduce any structural bias. The principal folding pathway shows
direct conversion of coil to beta-sheet, without the long proposed helix
intermediates. Our principal component analysis indicates that the fragment is
also intrinsically disordered, as the full amyloid-beta peptide. Thus, the
observed folding mechanism lacks free-energy barriers and any peaks in the
thermal capacity.
PMID- 29351721
TI - Myeloperoxidase and Neurological Disorder: A Crosstalk.
AB - Myeloperoxidase (MPO) is a protein present in azurophilic granules, macrophages,
and neutrophils that are released into extracellular fluid (ECF) during
inflammation. MPO releases hypochlorous acid (HOCl) and other chlorinated
species. It is derived from hydrogen peroxide (H2O2) showing response during
inflammatory conditions and plays a role in the immune defense against pathogens.
MPO may show unwanted effects by indirectly increasing the formation of reactive
nitrogen species (RNS), reactive oxygen species (ROS), and tumor necrosis factor
alpha (TNF-alpha) leading to inflammation and oxidative stress. As
neuroinflammation is one of the inevitable biological components among most of
neurological disorders, MPO and its receptor may be explored as candidates for
future clinical interventions. The purpose of this review is to provide an
overview of the pathophysiological characteristics of MPO and further explore the
possibilities to target it for clinical use. Targeting MPO is promising and may
open an avenue to act as a biomarker for diagnosis with defined risk
stratification in patients with various neurological disorders.
PMID- 29351722
TI - Evaluation of matrix effects on TOF-SIMS data of leu-enkephalin and 1,2-dioleoyl
sn-glycero-3-phosphocholine mixed samples.
AB - Time-of-flight secondary ion mass spectrometry (TOF-SIMS) is one of the most
powerful methods to analyze biomolecules in biological tissues and cells because
it provides detailed chemical structure information and chemical images with a
high spatial resolution. However, in terms of quantitative analysis, there are
issues such as matrix effects that often cause secondary ion intensity changes
regardless of the actual concentration in a sample. For instance, the intensity
of secondary ions related to peptides is generally suppressed when lipids
coexist. Since the evaluation of biomolecules is crucial to understand biological
phenomena, it is required to analyze peptides or lipids without matrix effects.
Therefore, the mechanism of matrix effects regarding peptides and lipids in TOF
SIMS was investigated in this study. Leu-enkephalin (YGGFL, molecular weight of
555.3 Da) and 1,2-dioleoyl-sn-glycero-3-phosphocholine (DOPC, C44H84NO8P,
molecular weight 785.6 Da) were employed to prepare model samples. Model samples
contain different weight ratios of these two molecules. The intensity of
secondary ions related to the peptide or the lipid was compared with control
samples containing pure leu-enkephalin or DOPC. As a result, it is indicated that
the intensity of DOPC related secondary ions is strongly enhanced by coexisting
leu-enkephalin, while the intensity of leu-enkephalin related secondary ions is
suppressed by coexisting DOPC especially in a low concentration range of the
peptide.
PMID- 29351724
TI - The role of extracellular matrix components in angiogenesis and fibrosis:
Possible implication for Systemic Sclerosis.
AB - Extracellular matrix (ECM) plays a crucial role in the regulation of both
physiological and pathological angiogenesis. ECM homeostasis and function is
ensuring by the tightly regulation of the different ECM components including,
collagens, proteoglycans and a variety of different glycoproteins. An altered
expression of the above ECM molecules as well as an imbalance between the action
of matrix remodeling enzymes and their tissue inhibitors is known to be
responsible for impaired angiogenesis and fibrosis. Systemic Sclerosis (SSc) is
an autoimmune disease characterized by micro-angiopathy, failure of reparative
angiogenesis, and excessive fibrosis of the skin and various internal organs,
dues to an increased production of ECM. A comprehensive search through
Medline/PubMed and Scopus was performed for English-language original papers,
using the keywords related to ECM components and SSc. This review will analyze
the role played by ECM components in the deregulation of angiogenic mechanisms
and in the persistence of a pro-fibrotic phenotype, during SSc. A better
knowledge of these processes might provide information about molecules, which
could be considered targets for future pro-angiogenic and/or anti-fibrotic
therapies.
PMID- 29351725
TI - Daylight-Mediated Photodynamic Therapy With Methyl Aminolevulinate in Actinic
Keratosis Treatment.
AB - BACKGROUND: Research has shown daylight-mediated photodynamic therapy (PDT) for
the treatment of actinic keratosis (AK) to be effective, tolerable, and
convenient, with excellent patient satisfaction and cosmesis. Although success
has been demonstrated in areas with similar latitudes to Switzerland and
Scandinavia, this treatment has not been studied in a Canadian population.
OBJECTIVES: The purpose of this study is to investigate the effectiveness,
safety, and patient satisfaction of daylight-mediated methyl 5-aminolevulinate
(MAL)-PDT to make recommendations for its use in Canadian practice. METHODS: A
retrospective chart review of patients who received treatment of daylight
mediated MAL-PDT for the indication of AK at the Institute of Cosmetic and Laser
Surgery in Oakville, Ontario, between 2009 and 2016. RESULTS: A total of 112
patients were included, consisting of 94 males and 18 females with a mean age of
63.79 years. A total of 177 sites were treated among all patients, mostly
consisting of the face (n = 92) and scalp (n = 55). A total of 13.4% of patients
experienced side effects, the most common being redness (n = 4) and scabbing (n =
4). Of the 42 patients who expressed their level of satisfaction, 83.3% reported
being happy with the treatment, chi2(1) = 18.67, P <= .05; 6.3% of patients were
noted to be completely clear, 86.6% had a good response, 0.9% had a mild
response, and 0% had no response, chi2(1) = 101.04, P <= .05. CONCLUSIONS:
Daylight-mediated MAL-PDT is a suitable treatment option for AK lesions in a
Canadian population due to the demonstrated efficacy, patient satisfaction,
tolerability, and convenience.
PMID- 29351726
TI - Paper Tools and Periodic Tables: Newlands and Mendeleev Draw Grids.
AB - This essay elaborates on Ursula Klein's methodological concept of "paper tools"
by drawing on several examples from the history of the periodic table. Moving
from John A. R. Newlands's "Law of Octaves," to Dmitrii Mendeleev's first drafts
of his periodic system in 1869, to Mendeleev's chemical speculations on the place
of the ether within his classification, one sees that the ways in which the
scientists presented the balance between empirical data and theoretical
manipulation proved crucial for the chemical community's acceptance or rejection
of their proposed innovations. This negotiated balance illustrates an
underemphasised feature of Klein's conceptualisation of the ways in which a paper
tool generates new knowledge.
PMID- 29351727
TI - Report of a review of the induction and refresher scheme placement report tools.
AB - GPs undertaking the Induction and Refresher (I&R) Scheme in England spend a
period of between 4 weeks and 6 months in placements in a General Practice
setting. During this time they have to complete a number of workplace-based
assessments, and their Educational Supervisor is required to complete a report
which confirms that they have demonstrated an adequate level of competence to
enable them to be accepted by NHS England onto the National Medical Performers
List without conditions. This report describes a process (using an online survey
completed by Educational Supervisors) of reviewing and improving the end-of
placement report forms to make them clearer and easier to use. The word pictures
used in the revised forms could be adapted to become a competency framework for
members of the primary care workforce.
PMID- 29351728
TI - Corrigendum.
PMID- 29351729
TI - The Brief Assessment of Family Functioning Scale (BAFFS): a three-item version of
the General Functioning Scale of the Family Assessment Device.
AB - The purpose of the present study is to compare results from the 12-item General
Functioning Scale (GF-FAD) of the Family Assessment Device (FAD) to a three-item
version, the Brief Assessment of Family Functioning Scale (BAFFS), designed to be
used when brevity is especially important. We used principal components analysis
of the GF-FAD, followed by multiple sample confirmatory factor analyses to test
the robustness of the BAFFS in different samples. The BAFFS correlated highly
with the GF-FAD, and demonstrated good concurrent validity with another measure
of global marital functioning, the Dyadic Adjustment Scale-4 in a help-seeking
sample. Like the 12-item version, the BAFFS moderately correlated with an
objective, interview-based rating of family functioning, the McMaster Clinical
Rating Scale. The BAFFS appears to serve as a good proxy for the GF-FAD when an
ultra-brief family assessment measure is needed. Clinical or methodological
significance of this article: This article introduces an ultra-brief measure of
general family functioning that can easily be used in routine clinical practice.
The measure can be used to track change over time, and to get a quick "snap shot"
of how family members perceive the general emotional climate in their family.
PMID- 29351730
TI - Population structure of Venturia inaequalis, a causal agent of apple scab, in
response to heterogeneous apple tree cultivation.
AB - BACKGROUND: Tracking newly emergent virulent populations in agroecosystems
provides an opportunity to increase our understanding of the co-evolution
dynamics of pathogens and their hosts. On the one hand host plants exert
selective pressure on pathogen populations, thus dividing them into
subpopulations of different virulence, while on the other hand they create an
opportunity for secondary contact between the two divergent populations on one
tree. The main objectives of the study were to explore whether the previously
reported structure between two Venturia inaequalis population types, virulent or
avirulent towards Malus x domestica cultivars carrying Rvi6 gene, is maintained
or broken several years after the first emergence of new virulent strains in
Poland, and to investigate the relationship between 'new' and 'native'
populations derived from the same commercial orchards. For this purpose, we
investigated the genetic structure of populations of the apple scab fungus,
occurring on apple tree cultivars containing Rvi6, Rvi1 or Rvi17 resistance gene
or no resistance at all, based on microsatellite data obtained from 606 strains
sampled in 10 orchards composed of various host cultivars. RESULTS: Application
of genetic distance inferring and clustering methods allowed us to observe clear
genetic distinctness of the populations virulent towards cultivars carrying Rvi6
gene from the Rvi6-avirulent populations and substructures within the Rvi6-group
as a consequence of independent immigration events followed by rare, long
distance dispersals. We did not observe such a structuring effect of other genes
determining apple scab resistance on any other populations, which in turn were
genetically homogenous. However, in two orchards the co-occurrence of strains of
different virulence pattern on the same trees was detected, blurring the genetic
boundaries between populations. CONCLUSIONS: Among several resistance genes
studied, only Rvi6 exerted selective pressure on pathogens populations: those
virulent toward Rvi6 hosts show unique and clear genetic and virulence pattern.
For the first time in commercial Malus x domestica orchards, we reported
secondary contacts between populations virulent and avirulent toward Rvi6 hosts.
These two populations, first diverged in allopatry, second came into contact and
subsequently began interbreeding, in such way that they show unambiguous
footprints of gene flow today.
PMID- 29351723
TI - Mitochondrial Uncoupling Proteins: Subtle Regulators of Cellular Redox Signaling.
AB - SIGNIFICANCE: Mitochondria are the energetic, metabolic, redox, and information
signaling centers of the cell. Substrate pressure, mitochondrial network
dynamics, and cristae morphology state are integrated by the protonmotive force
Deltap or its potential component, DeltaPsi, which are attenuated by proton
backflux into the matrix, termed uncoupling. The mitochondrial uncoupling
proteins (UCP1-5) play an eminent role in the regulation of each of the mentioned
aspects, being involved in numerous physiological events including redox
signaling. Recent Advances: UCP2 structure, including purine nucleotide and fatty
acid (FA) binding sites, strongly support the FA cycling mechanism: UCP2 expels
FA anions, whereas uncoupling is achieved by the membrane backflux of protonated
FA. Nascent FAs, cleaved by phospholipases, are preferential. The resulting
Deltap dissipation decreases superoxide formation dependent on Deltap. UCP
mediated antioxidant protection and its impairment are expected to play a major
role in cell physiology and pathology. Moreover, UCP2-mediated aspartate,
oxaloacetate, and malate antiport with phosphate is expected to alter metabolism
of cancer cells. CRITICAL ISSUES: A wide range of UCP antioxidant effects and
participations in redox signaling have been reported; however, mechanisms of UCP
activation are still debated. Switching off/on the UCP2 protonophoretic function
might serve as redox signaling either by employing/releasing the extra capacity
of cell antioxidant systems or by directly increasing/decreasing mitochondrial
superoxide sources. Rapid UCP2 degradation, FA levels, elevation of purine
nucleotides, decreased Mg2+, or increased pyruvate accumulation may initiate UCP
mediated redox signaling. FUTURE DIRECTIONS: Issues such as UCP2 participation in
glucose sensing, neuronal (synaptic) function, and immune cell activation should
be elucidated. Antioxid. Redox Signal. 29, 667-714.
PMID- 29351732
TI - Nutrient depletion and TOR inhibition induce 18S and 25S ribosomal RNAs resistant
to a 5'-phosphate-dependent exonuclease in Candida albicans and other yeasts.
AB - BACKGROUND: Messenger RNA (mRNA) represents a small percentage of RNAs in a cell,
with ribosomal RNA (rRNA) making up the bulk of it. To isolate mRNA from
eukaryotes, typically poly-A selection is carried out. Recently, a 5'-phosphate
dependent, 5'->3' processive exonuclease called Terminator has become available.
It will digest only RNA that has a 5'-monophosphate end and therefore it is very
useful to eliminate most of rRNAs in cell. RESULTS: We have found that in the
pathogenic yeast Candida albicans, while 18S and 25S components isolated from
yeast in robust growth phase are easily eliminated by Terminator, those isolated
from cells in the nutritionally diminished stationary phase, become resistant to
digestion by this enzyme. Additional digestions with alkaline phosphatase,
tobacco pyrophosphatase combined with Terminator point toward the 5'-prime end of
18S and 25S as the source of this resistance. Inhibition of TOR by rapamycin also
induces resistance by these molecules. We also find that these molecules are
incorporated into the ribosome and are not just produced incidentally. Finally,
we show that three other yeasts show the same behavior. CONCLUSIONS: Digestion of
RNA by Terminator has revealed 18S and 25S rRNA molecules different from the
accepted processed ones seen in ribosome generation. The reason for these
molecules and the underlying mechanism for their formation is unknown. The
preservation of this behavior across these yeasts suggests a useful biological
role for it, worthy of further inquiry.
PMID- 29351731
TI - A chelicerate-specific burst of nonclassical Dscam diversity.
AB - BACKGROUND: The immunoglobulin (Ig) superfamily receptor Down syndrome cell
adhesion molecule (Dscam) gene can generate tens of thousands of isoforms via
alternative splicing, which is essential for both nervous and immune systems in
insects. However, further information is required to develop a comprehensive view
of Dscam diversification across the broad spectrum of Chelicerata clades, a basal
branch of arthropods and the second largest group of terrestrial animals.
RESULTS: In this study, a genome-wide comprehensive analysis of Dscam genes
across Chelicerata species revealed a burst of nonclassical Dscams, categorised
into four types-mDscam, sDscamalpha, sDscambeta, and sDscamgamma-based on their
size and structure. Although the mDscam gene class includes the highest number of
Dscam genes, the sDscam genes utilise alternative promoters to expand protein
diversity. Furthermore, we indicated that the 5' cassette duplicate is inversely
correlated with the sDscam gene duplicate. We showed differential and sDscam-
biased expression of nonclassical Dscam isoforms. Thus, the Dscam isoform
repertoire across Chelicerata is entirely dominated by the number and expression
levels of nonclassical Dscams. Taken together, these data show that Chelicerata
evolved a large conserved and lineage-specific repertoire of nonclassical Dscams.
CONCLUSIONS: This study showed that arthropods have a large diversified
Chelicerata-specific repertoire of nonclassical Dscam isoforms, which are
structurally and mechanistically distinct from those of insects. These findings
provide a global framework for the evolution of Dscam diversity in arthropods and
offer mechanistic insights into the diversification of the clade-specific Ig
superfamily repertoire.
PMID- 29351734
TI - EOGD: the Euplotes octocarinatus genome database.
AB - BACKGROUND: Euplotes, a ciliated protozoan, is a useful unicellular model
organism. Studies on Euplotes have provided excellent insights into various basic
biological principles. We have recently sequenced the macronuclear genome of the
common freshwater species Euplotes octocarinatus to provide novel insights into
Euplotes genetics and molecular biology. RESULTS: In this study, we present the
E. octocarinatus Genome Database (EOGD), a functional annotation and analysis
platform for the global study of the Euplotes genome. EOGD includes macronuclear
genomic and transcriptomic data, predicted gene models, coding sequences, protein
sequences, and functional annotations. The GBrowser and BLAST tools are embedded
in EOGD to enable the search, visualization and analysis of E. octocarinatus
genomic and transcriptomic data. CONCLUSIONS: EOGD is a useful resource for the
research community, particularly for researchers who conduct genome-scale
analysis and molecular biology studies of Euplotes or other ciliates. EOGD will
be continuously updated to integrate more datasets and analytical tools. EOGD is
freely available at http://ciliates.ihb.ac.cn/database/home/#eo .
PMID- 29351733
TI - Glutamate synthases from conifers: gene structure and phylogenetic studies.
AB - BACKGROUND: Plants synthesize glutamate from ammonium by the combined activity of
the enzymes glutamine synthetase (GS) and glutamate synthase (GOGAT) through the
glutamate synthase cycle. In plants, there are two forms of glutamate synthases
that differ in their electron donors, NADH-GOGAT (EC 1.4.1.14) and Fd-GOGAT (EC
1.4.7.1), which have differential roles either in primary ammonia assimilation or
in the reassimilation of ammonium from different catabolic processes. Glutamate
synthases are complex iron-sulfur flavoproteins containing functional domains
involved in the control and coordination of their catalytic activities in annual
plants. In conifers, partial cDNA sequences for GOGATs have been isolated and
used for gene expression studies. However, knowledge of the gene structure and of
phylogenetic relationships with other plant enzymes is quite scant. RESULTS:
Technological advances in conifer megagenomes sequencing have made it possible to
obtain full-length cDNA sequences encoding Fd- and NADH-GOGAT from maritime pine,
as well as BAC clones containing sequences for NADH-GOGAT and Fd-GOGAT genes. In
the current study, we studied the genomic organization of pine GOGAT genes, the
size of their exons/introns, copy numbers in the pine genome and relationships
with other plant genes. Phylogenetic analysis was performed, and the degree of
preservation and dissimilarity of key domains for the catalytic activities of
these enzymes in different taxa were determined. CONCLUSIONS: Fd- and NADH-GOGAT
are encoded by single-copy genes in the maritime pine genome. The Fd-GOGAT gene
is extremely large spanning more than 330 kb and the presence of very long
introns highlights the important contribution of LTR retrotransposons to the gene
size in conifers. In contrast, the structure of the NADH-GOGAT gene is similar to
the orthologous genes in angiosperms. Our phylogenetic analysis indicates that
these two genes had different origins during plant evolution. The results provide
new insights into the structure and molecular evolution of these essential genes.
PMID- 29351735
TI - Assessing the effect of a partly unobserved, exogenous, binary time-dependent
covariate on survival probabilities using generalised pseudo-values.
AB - BACKGROUND: Investigating the impact of a time-dependent intervention on the
probability of long-term survival is statistically challenging. A typical example
is stem-cell transplantation performed after successful donor identification from
registered donors. Here, a suggested simple analysis based on the exogenous donor
availability status according to registered donors would allow the estimation and
comparison of survival probabilities. As donor search is usually ceased after a
patient's event, donor availability status is incompletely observed, so that this
simple comparison is not possible and the waiting time to donor identification
needs to be addressed in the analysis to avoid bias. It is methodologically
unclear, how to directly address cumulative long-term treatment effects without
relying on proportional hazards while avoiding waiting time bias. METHODS: The
pseudo-value regression technique is able to handle the first two issues; a novel
generalisation of this technique also avoids waiting time bias. Inverse
probability-of-censoring weighting is used to account for the partly unobserved
exogenous covariate donor availability. RESULTS: Simulation studies demonstrate
unbiasedness and satisfying coverage probabilities of the new method. A real data
example demonstrates that study results based on generalised pseudo-values have a
clear medical interpretation which supports the clinical decision making process.
CONCLUSIONS: The proposed generalisation of the pseudo-value regression technique
enables to compare survival probabilities between two independent groups where
group membership becomes known over time and remains partly unknown. Hence,
cumulative long-term treatment effects are directly addressed without relying on
proportional hazards while avoiding waiting time bias.
PMID- 29351736
TI - Studying medical communication with video vignettes: a randomized study on how
variations in video-vignette introduction format and camera focus influence
analogue patients' engagement.
AB - BACKGROUND: Video vignettes are used to test the effects of physicians'
communication on patient outcomes. Methodological choices in video-vignette
development may have far-stretching consequences for participants' engagement
with the video, and thus the ecological validity of this design. To supplement
the scant evidence in this field, this study tested how variations in video
vignette introduction format and camera focus influence participants' engagement
with a video vignette showing a bad news consultation. METHODS: Introduction
format (A = audiovisual vs. B = written) and camera focus (1 = the physician
only, 2 = the physician and the patient at neutral moments alternately, 3 = the
physician and the patient at emotional moments alternately) were varied in a
randomized 2 * 3 between-subjects design. One hundred eighty-one students were
randomly assigned to watch one of the six resulting video-vignette conditions as
so-called analogue patients, i.e., they were instructed to imagine themselves
being in the video patient's situation. Four dimensions of self-reported
engagement were assessed retrospectively. Emotional engagement was additionally
measured by recording participants' electrodermal and cardiovascular activity
continuously while watching. Analyses of variance were used to test the effects
of introduction format, camera focus and their interaction. RESULTS: The
audiovisual introduction induced a stronger blood pressure response during
watching the introduction (p = 0.048, [Formula: see text]= 0.05) and the
consultation part of the vignette (p = 0.051, [Formula: see text]= 0.05), when
compared to the written introduction. With respect to camera focus, results
revealed that the variant focusing on the patient at emotional moments evoked a
higher level of electrodermal activity (p = 0.003, [Formula: see text]= 0.06),
when compared to the other two variants. Furthermore, an interaction effect was
shown on self-reported emotional engagement (p = 0.045, [Formula: see text]=
0.04): the physician-only variant resulted in lower emotional engagement if the
vignette was preceded by the audiovisual introduction. No effects were shown on
the other dimensions of self-reported engagement. CONCLUSIONS: Our findings imply
that using an audiovisual introduction combined with alternating camera focus
depicting patient's emotions results in the highest levels of emotional
engagement in analogue patients. This evidence can inform methodological
decisions during the development of video vignettes, and thereby enhance the
ecological validity of future video-vignettes studies.
PMID- 29351737
TI - Identifying genome-wide immune gene variation underlying infectious disease in
wildlife populations - a next generation sequencing approach in the gopher
tortoise.
AB - BACKGROUND: Infectious disease is the single greatest threat to taxa such as
amphibians (chytrid fungus), bats (white nose syndrome), Tasmanian devils (devil
facial tumor disease), and black-footed ferrets (canine distemper virus, plague).
Although understanding the genetic basis to disease susceptibility is important
for the long-term persistence of these groups, most research has been limited to
major-histocompatibility and Toll-like receptor genes. To better understand the
genetic basis of infectious disease susceptibility in a species of conservation
concern, we sequenced all known/predicted immune response genes (i.e., the
immunomes) in 16 Florida gopher tortoises, Gopherus polyphemus. All tortoises
produced antibodies against Mycoplasma agassizii (an etiologic agent of
infectious upper respiratory tract disease; URTD) and, at the time of sampling,
either had (n = 10) or lacked (n = 6) clinical signs. RESULTS: We found several
variants associated with URTD clinical status in complement and lectin genes,
which may play a role in Mycoplasma immunity. Thirty-five genes deviated from
neutrality according to Tajima's D. These genes were enriched in functions
relating to macromolecule and protein modifications, which are vital to immune
system functioning. CONCLUSIONS: These results are suggestive of genetic
differences that might contribute to disease severity, a finding that is
consistent with other mycoplasmal diseases. This has implications for management
because tortoises across their range may possess genetic variation associated
with a more severe response to URTD. More generally: 1) this approach
demonstrates that a broader consideration of immune genes is better able to
identify important variants, and; 2) this data pipeline can be adopted to
identify alleles associated with disease susceptibility or resistance in other
taxa, and therefore provide information on a population's risk of succumbing to
disease, inform translocations to increase genetic variation for disease
resistance, and help to identify potential treatments.
PMID- 29351738
TI - Oscillometric blood pressure by age and height for non overweight children and
adolescents in Lubumbashi, Democratic Republic of Congo.
AB - BACKGROUND: The diagnosis of hypertension in children is complex because based on
normative values by sex, age and height, and these values vary depending on the
environment. Available BP references used, because of the absence of local data,
do not correspond to our pediatric population. Accordingly, our study aimed to
provide the BP threshold for children and adolescents in Lubumbashi (DRC) and to
compare them with German (KIGGS study), Polish (OLAF study) and Chinese (CHNS
study) references. METHODS: We conducted a cross-sectional study among 7523
school-children aged 3 to 17 years. The standardized BP measurements were
obtained using a validated oscillometric device (Datascope Accutor Plus). After
excluding overweight and obese subjects according to the IOTF definition (n =
640), gender-specific SBP and DBP percentiles, which simultaneously accounted for
age and height by using an extension of the LMS method, namely GAMLSS, were
tabulated. RESULTS: The 50th, 90th and 95th percentiles of SBP and DBP for 3373
boys and 3510 girls were tabulated simultaneously by age and height (5th, 25th,
50th, 75th and 95th height percentile). Before 13 years the 50th and 90th
percentiles of SBP for boys were higher compared with those of KIGGS and OLAF,
and after they became lower: the difference for adolescents aged 17 years was
respectively 8 mmHg (KIGGS) and 4 mmHg (OLAF). Concerning girls, the SBP 50th
percentile was close to that of OLAF and KIGGS studies with differences that did
not exceed 3 mmHg; whereas the 90th percentile of girls at different ages was
high. Our oscillometric 50th and 90th percentiles of SBP and DBP were very high
compared to referential ausculatory percentiles of the CHNS study respectively
for boys from 8 to 14 mmHg and 7 to 13 mmHg; and for girls from 10 to 16 mmHg and
11 to 16 mmHg. CONCLUSIONS: The proposed BP thresholds percentiles enable early
detection and treatment of children and adolescents with high BP and develop a
local program of health promotion in schools and family.
PMID- 29351739
TI - A study of the association of rs12040273 with susceptibility and severity of
coronary artery disease in a Chinese Han population.
AB - BACKGROUND: The single nucleotide polymorphism (SNP) rs12040273, a variant of UDP
N-acetylgalactosamine, polypeptide GalNAc-transferase 2, has recently been
reported to be significantly associated with development of carotid artery intima
media thickness (IMT) in a Chinese population based on a genome-wide association
study. Because IMT is a potent marker of coronary artery disease (CAD), the aim
of this study was to evaluate the relation of rs12040273 to susceptibility and
severity of CAD in a Chinese Han population. METHODS: We performed a hospital
based case-control study. Three hundred and thirty-one individuals (199 CAD
patients and 112 non-CAD controls) undergoing coronary angiography were
consecutively enrolled in the study. The Gensini score results were used to
assess the severity of CAD. The method of polymerase chain reaction-ligase
detection reaction (PCR-LDR) was used to distinguish different genotypes at
rs12040273. RESULTS: The distribution of genotypes at rs12040273 was comparable
between CAD patients and non-CAD controls (P > 0.05). The frequencies of the
genotypes were also not significantly associated with the risk of CAD and its
severity assessed by the Gensini score method, with the OR of 1.38 (95% CI = 0.80
2.40, P = 0.24) and 1.14 (95% CI = 0.69-1.86, P = 0.60) respectively. However,
stratified analysis showed that the serum HDL-C levels of subjects with the CC
genotype were significantly higher than those with CT/TT genotypes in non-CAD
controls (P = 0.002). CONCLUSION: Our results suggest that the rs12040273
variants might not be associated with the susceptibility of CAD or its severity
in a Chinese Han population. Moreover, the CC genotype could be associated with
elevated serum HDL-C levels.
PMID- 29351740
TI - Population size may shape the accumulation of functional mutations following
domestication.
AB - BACKGROUND: Population genetics theory predicts an important role of differences
in the effective population size (N e ) among species on shaping the accumulation
of functional mutations by regulating the selection efficiency. However, this
correlation has never been tested in domesticated animals. RESULTS: Here, we
synthesized 62 whole genome data in eight domesticated species (cat, dog, pig,
goat, sheep, chicken, cattle and horse) and compared domesticates with their wild
(or ancient) relatives. Genes with significantly different selection pressures
(revealed by nonsynonymous/synonymous substitution rate ratios, Ka/Ks or omega)
between domesticated (Domega) and wild animals (Womega) were determined by
likelihood-ratio tests. Species-level effective population sizes (N e ) were
evaluated by the pairwise sequentially Markovian coalescent (PSMC) model, and
Domega/Womega were calculated for each species to evaluate the changes in
accumulation of functional mutations after domestication relative to pre
domestication period. Correlation analysis revealed that the most recent (~
10.000 years ago) N e (s) are positively correlated with Domega/Womega. This
result is consistent with the corollary of the nearly neutral theory, that higher
N e could boost the efficiency of positive selection, which might facilitate the
overall accumulation of functional mutations. In addition, we also evaluated the
accumulation of radical and conservative mutations during the domestication
transition as: Dradical/Wradical and Dconservative/Wconservative, respectively.
Surprisingly, only Dradical/Wradical ratio exhibited a positive correlation with
N e (p < 0.05), suggesting that domestication process might magnify the
accumulation of radical mutations in species with larger N e . CONCLUSIONS: Our
results confirm the classical population genetics theory prediction and highlight
the important role of species' N e in shaping the patterns of accumulation of
functional mutations, especially radical mutations, in domesticated animals. The
results aid our understanding of the mechanisms underlying the accumulation of
functional mutations after domestication, which is critical for understanding the
phenotypic diversification associated with this process.
PMID- 29351741
TI - Risk factors for Mycobacterium ulcerans infection (Buruli Ulcer) in Togo ? a case
control study in Zio and Yoto districts of the maritime region.
AB - BACKGROUND: Buruli ulcer (BU) is a neglected mycobacterial skin infection caused
by Mycobacterium ulcerans. This disease mostly affects poor rural populations,
especially in areas with low hygiene standards and sanitation coverage. The
objective of this study was to identify these risk factors in the districts of
Zio and Yoto of the Maritime Region in Togo. METHODS: We conducted a case-control
study in Zio and Yoto, two districts proved BU endemic from November 2014 to May
2015. BU cases were diagnosed according to the WHO clinical case definition at
the Centre Hospitalier Regional de Tsevie (CHR Tsevie) and confirmed by Ziehl
Neelsen (ZN) microscopy and IS2404 polymerase chain reaction (PCR). For each
case, up to two controls matched by sex and place of residence were recruited.
Socio-demographic, environmental or behavioral data were collected and
conditional logistic regression analysis was used to identify and compare risk
factors between BU cases and controls. RESULTS: A total of 83 cases and 128
controls were enrolled. The median age was 15 years (range 3-65 years).
Multivariate conditional logistic regression analysis after adjustment for
potential confounders identified age (< 10 years (OR =11.48, 95% CI = 3.72-35.43)
and 10-14 years (OR = 3.63, 95% CI = 1.22-10.83)), receiving insect bites near a
river (OR = 7.8, 95% CI = 1.48-41.21) and bathing with water from open borehole
(OR = 5.77, (1.11-29.27)) as independent predictors of acquiring BU infection.
CONCLUSIONS: This study identified age, bathing with water from open borehole and
receiving insect bites near a river as potential risk of acquiring BU infection
in Zio and Yoto districts of the Maritime Region in south Togo.
PMID- 29351742
TI - HERV-W group evolutionary history in non-human primates: characterization of ERV
W orthologs in Catarrhini and related ERV groups in Platyrrhini.
AB - BACKGROUND: The genomes of all vertebrates harbor remnants of ancient retroviral
infections, having affected the germ line cells during the last 100 million
years. These sequences, named Endogenous Retroviruses (ERVs), have been
transmitted to the offspring in a Mendelian way, being relatively stable
components of the host genome even long after their exogenous counterparts went
extinct. Among human ERVs (HERVs), the HERV-W group is of particular interest for
our physiology and pathology. A HERV-W provirus in locus 7q21.2 has been coopted
during evolution to exert an essential role in placenta, and the group expression
has been tentatively linked to Multiple Sclerosis and other diseases. Following
up on a detailed analysis of 213 HERV-W insertions in the human genome, we now
investigated the ERV-W group genomic spread within primate lineages. RESULTS: We
analyzed HERV-W orthologous loci in the genome sequences of 12 non-human primate
species belonging to Simiiformes (parvorders Catarrhini and Platyrrhini),
Tarsiiformes and to the most primitive Prosimians. Analysis of HERV-W orthologous
loci in non-human Catarrhini primates revealed species-specific insertions in the
genomes of Chimpanzee (3), Gorilla (4), Orangutan (6), Gibbon (2) and especially
Rhesus Macaque (66). Such sequences were acquired in a retroviral fashion and, in
the majority of cases, by L1-mediated formation of processed pseudogenes. There
were also a number of LTR-LTR homologous recombination events that occurred
subsequent to separation of Catarrhini sub-lineages. Moreover, we retrieved 130
sequences in Marmoset and Squirrel Monkeys (family Cebidae, Platyrrhini
parvorder), identified as ERV1-1_CJa based on RepBase annotations, which appear
closely related to the ERV-W group. Such sequences were also identified in
Atelidae and Pitheciidae, representative of the other Platyrrhini families. In
contrast, no ERV-W-related sequences were found in genome sequence assemblies of
Tarsiiformes and Prosimians. CONCLUSIONS: Overall, our analysis now provides a
detailed picture of the ERV-W sequences colonization of the primate lineages
genomes, revealing the exact dynamics of ERV-W locus formations as well as novel
insights into the evolution and origin of the group.
PMID- 29351743
TI - Phosphate signaling through alternate conformations of the PstSCAB phosphate
transporter.
AB - BACKGROUND: Phosphate is an essential compound for life. Escherichia coli employs
a signal transduction pathway that controls the expression of genes that are
required for the high-affinity acquisition of phosphate and the utilization of
alternate sources of phosphorous. These genes are only expressed when
environmental phosphate is limiting. The seven genes for this signaling pathway
encode the two-component regulatory proteins PhoB and PhoR, as well as the high
affinity phosphate transporter PstSCAB and an auxiliary protein called PhoU. As
the sensor kinase PhoR has no periplasmic sensory domain, the mechanism by which
these cells sense environmental phosphate is not known. This paper explores the
hypothesis that it is the alternating conformations of the PstSCAB transporter
which are formed as part of the normal phosphate transport cycle that signal
phosphate sufficiency or phosphate limitation. RESULTS: We tested two variants of
PstB that are predicted to lock the protein in either of two conformations for
their signaling output. We observed that the pstBQ160K mutant, predicted to
reside in an inward-facing, open conformation signaled phosphate sufficiency
whereas the pstBE179Q mutant, predicted to reside in an outward-facing, closed
conformation signaled phosphate starvation. Neither mutant showed phosphate
transport. CONCLUSIONS: These results support the hypothesis that the alternating
conformations of the PstSCAB transporter are sensed by PhoR and PhoU. This
sensory mechanism thus controls the alternate autokinase and phospho-PhoB
phosphatase activities of PhoR, which ultimately control the signaling state of
the response regulator PhoB.
PMID- 29351744
TI - Risk factors for first-time acute myocardial infarction patients in Trinidad.
AB - BACKGROUND: The relative importance of coronary artery disease (CAD) risk varies
globally. The aim of this study was to determine CAD risk factors for acute
myocardial infarction (AMI) among patients in public health care institutions in
Trinidad using a case-control type study design. METHODS: The sample comprised
251 AMI patients hospitalized between March 1, 2011 and April 30, 2012 and 464
age- and sex-matched non-AMI patients with no terminal or life-threatening
illness and who did not undergo treatment for CAD. SPSS version 19 was used for
data analysis that included chi-square tests, unadjusted and adjusted odds ratios
(OR) and conditional multiple binary logistic regression. RESULTS: There was no
difference in age between AMI and non-AMI patients (p = 0.551). Chi-square test
revealed that clinical and lifestyle variables including stressful life,
diabetes, hypertension, hypercholesterolaemia, ischaemic heart disease (IHD), a
family history of IHD (p <= 0.001), smoking (p = 0.007) and alcohol consumption
(p = 0.013) were associated with AMI; sex (p = 0.441), ethnicity (p = 0.366), age
group (p = 0.826) and renal failure (p = 0.487) were not. Both unadjusted and
adjusted (for age) ORs showed that the odds of hypertension, IHD and alcohol
consumption were greater among AMI patients than among non-AMI patients for
males; diabetes and IHD for females; and that the odds of a stressful life was
greater among non-AMI patients and were the same for both groups with respect to
sex, age > 45 years, hypercholesterolemia, renal insufficiency, and family
history of IHD. Conditional multiple logistic regression showed that smoking [OR:
0.274, p <= 0.001, 95% CI for OR (0.140, 0.537)], a stressful life [OR: 2.697, p
<= 0.001, 95% CI for OR (1.585, 4.587)], diabetes [OR: 0.530, p = 0.020, 95% CI
for OR (0.310, 0.905)], hypertension [OR: 0.48, p = 0.10. 95% CI for OR (0.275,
0.837)] and IHD [OR: 0.111, p <= 0.001, 95% CI for OR (0.057, 0.218)] were the
only useful AMI predictors. CONCLUSIONS: Smoking, diabetes, hypertension, IHD and
decrease stress are useful AMI predictors.
PMID- 29351746
TI - Concomitant glenohumeral injuries in Neer type II distal clavicle fractures.
AB - BACKGROUND: To identify the prevalence of concomitant glenohumeral injuries in
surgically treated Neer type II distal clavicle fractures and relate its clinical
importance. METHODS: Between 11/2011 and 11/2015 41 patients, suffering from a
displaced and unstable distal clavicle fracture were included. 20 patients (group
1) received surgical treatment by means of plate osteosynthesis in combination
with an arthroscopically assisted coraco-clavicular ligament augmentation. In
group 2 (n = 21 patients) the fracture was treated by hooked plating solely, and
diagnostic arthroscopy was conducted during hardware retrieval after the fracture
had healed. All arthroscopies were performed in a standardized fashion, images
were blinded retrospectively, and evaluated by two independent investigators.
RESULTS: In total, concomitant glenohumeral pathologies were found in 26.8% of
cases (41 patients, mean age 43.6 +/- 16.6 years). In Group 1 (n = 20,
arthroscopically assisted fracture treatment) the prevalence was 25%, in Group 2
(n = 21, diagnostic arthroscopy during implant removal) 28.5% (p = 0.75).
Concomitant glenohumeral injuries included Labrum- and SLAP-tears, partial and
full thickness rotator cuff tears as well as lesions to the biceps pulley system.
Concomitant injuries were addressed in 2 patients of group 1 (10%, 2* labrum
repair) and in 3 patients of group 2 (14.3%, of Group 2 (2* arthroscopic cuff
repair of full thickness tear, 1* subpectoral biceps tenodesis in an type IV SLAP
lesion, p = 0.68). CONCLUSION: The present study could clarify the acute and for
the first time mid-term implication and clinical relevance of concomitant
glenohumeral injuries. They have been observed in averaged 27% of Neer type II
distal clavicle fractures at these two times. However, the findings of this study
show that not all concomitant lesions remain symptomatic. While lesions are still
present after fracture healing, it's treatment may be depicted upon symptoms at
the time of implant removal. In turn, early diagnosis and treatment of
concomitant injuries seems reasonable, as untreated injuries can remain
symptomatic for more than 6 months after the fracture and recovery may be
delayed.
PMID- 29351745
TI - The associations between weight-related anthropometrics during childhood and lung
function in late childhood: a retrospective cohort study.
AB - BACKGROUND: An association between body weight in childhood and subsequent lung
function and asthma has been suggested, but few longitudinal studies exist. Our
aim was to explore whether weight-related anthropometric measurements through
childhood were associated with lung function in late childhood. METHODS: From an
original nested case-control study, a cohort study was conducted, where lung
function was measured in 463 children aged 12.8 years, and anthropometry was
measured at several ages from birth through 12.8 years of age. Associations
between anthropometrics and lung function were analysed using multiple linear and
fractional polynomial regression analysis. RESULTS: Birthweight and body mass
index (BMI; kg/m2) at different ages through childhood were positively associated
with forced vital capacity in percent of predicted (FVC %) and forced expiratory
volume in the first second in percent of predicted (FEV1%) at 12.8 years of age.
BMI, waist circumference, waist-to-height ratio and skinfolds at 12.8 years of
age and the change in BMI from early to late childhood were positively associated
with FVC % and FEV1% and negatively associated with FEV1/FVC and forced
expiratory flow at 25-75% of FVC/FVC. Interaction analyses showed that positive
associations between anthropometrics other than BMI and lung function were mainly
found in girls. Inverse U-shaped associations were found between BMI at the ages
of 10.8/11.8 (girls/boys) and 12.8 years (both genders) and FVC % and FEV1% at
12.8 years of age. CONCLUSIONS: Weight-related anthropometrics through childhood
may influence lung function in late childhood. These findings may be
physiological or associated with air flow limitation. Inverse U-shaped
associations suggest a differential impact on lung function in normal-weight and
overweight children. TRIAL REGISTRATION: This study was observational without any
health care intervention for the participants. Therefore, no trial registration
number is available.
PMID- 29351747
TI - Combination of Pelargonium sidoides and Coptis chinensis root inhibits nuclear
factor kappa B-mediated inflammatory response in vitro and in vivo.
AB - BACKGROUND: Pelargonium sidoides (PS) and Coptis chinensis root (CR) have
traditionally been used to treat various diseases, including respiratory and
gastrointestinal infections, dysmenorrhea, and hepatic disorders. The present
study was conducted to evaluate the anti-inflammatory effects of a combination of
PS and CR in vitro and in vivo. METHODS: The in vitro effects of PS + CR on the
induction of inflammation-related proteins were evaluated in lipopolysaccharide
(LPS)-stimulated RAW 264.7 cells. The levels of nitric oxide (NO) and of
inflammatory cytokines and prostaglandin E2 (PGE2) were measured using the Griess
reagent and enzyme-linked immunosorbent assay (ELISA) methods, respectively. The
expression of inflammation-related proteins was confirmed by Western blot.
Additionally, the effects of PS + CR on paw edema volume, skin thickness, and
numbers of infiltrated inflammatory cells, mast cells, COX-2-, iNOS-, and TNF
alpha-immunoreactive cells in dorsum and ventrum pedis skin were evaluated in a
rat model of carrageenan (CA)-induced paw edema. RESULTS: PS + CR significantly
reduced production of NO, PGE2 and three pro-inflammatory cytokines (tumor
necrosis factor-alpha (TNF-alpha), interleukin (IL)-1beta, and IL-6) and also
decreased levels of inducible nitric oxide synthase (iNOS) and cyclooxygenase-2
(COX-2). Treatment with PS + CR significantly reduced the protein expression
levels of LPS-stimulated nuclear factor kappa B (NF-kappaB) and phosphorylated
inhibitor of NF-kappaB (p-I-kappaBalpha). Additionally, PS + CR significantly
inhibited the increases in paw swelling, skin thickness, infiltrated inflammatory
cells, mast cell degranulation, COX-2-, iNOS-, and TNF-alpha-immunoreactive cells
in the rat model of CA-induced acute edematous paw. CONCLUSIONS: These results
demonstrate that PS + CR exhibits anti-inflammatory properties through decreasing
the production of pro-inflammatory mediators (NO, PGE2, TNF-alpha, IL-1beta, and
IL-6), suppressing NF-kappaB signaling in LPS-induced RAW 264.7 cells.
Additionally, the results of the CA-induced rat paw edema assay revealed an anti
edema effect of PS + CR. Furthermore, it is suggested that PS + CR also inhibits
acute edematous inflammation by suppressing mast cell degranulation and
inflammatory mediators (COX-2, iNOS, and TNF-alpha). Thus, PS + CR may be a
potential candidate for the treatment of various inflammatory diseases, and it
may also contribute to a better understanding of the molecular mechanisms
underlying inflammatory response regulation.
PMID- 29351748
TI - A comparative study of three conservative treatments in patients with lumbar
spinal stenosis: lumbar spinal stenosis with acupuncture and physical therapy
study (LAP study).
AB - BACKGROUND: Although the efficiency of conservative management for lumbar spinal
stenosis (LSS) has been examined, different conservative management approaches
have not been compared. We have performed the first comparative trial of three
types of conservative management (medication with acetaminophen, exercise, and
acupuncture) in Japanese patients with LSS. METHODS: Patients with L5 root
radiculopathy associated with LSS who visited our hospital for surgical treatment
were enrolled between December 2011 and January 2014. In this open-label study,
patients were assigned to three treatment groups (medication, exercise,
acupuncture) according to the visit time. The primary outcomes were Zurich
claudication questionnaire (ZCQ) scores before and after 4 weeks of treatment.
Least square mean analysis was used to assess the following dependent variables
in the treatment groups: changes in symptom severity and physical function scores
of the ZCQ and the ZCQ score of patient's satisfaction after treatment. RESULTS:
Thirty-eight, 40, and 41 patients were allocated to the medication, exercise, and
acupuncture groups, respectively. No patient underwent surgical treatment during
the study period. The symptom severity scores of the ZCQ improved significantly
after treatment in the medication (p = 0.048), exercise (p = 0.003), and
acupuncture (p = 0.04) groups. The physical function score improved significantly
in the acupuncture group (p = 0.045) but not in the medication (p = 0.20) and
exercise (p = 0.29) groups. The mean reduction in the ZCQ score for physical
function was significantly greater for acupuncture than for exercise. The mean
ZCQ score for treatment satisfaction was significantly greater for acupuncture
than for medication. CONCLUSIONS: Acupuncture was significantly more effective
than physical exercise according to the physical function score of the ZCQ and
than medication according to the satisfaction score. The present study provides
new important information that will aid decision making in LSS treatment. TRIAL
REGISTRATION: This study was registered with the UMIN Clinical Trials Registry (
UMIN000006957 ).
PMID- 29351749
TI - Meta-analysis of serum C-reactive protein and cartilage oligomeric matrix protein
levels as biomarkers for clinical knee osteoarthritis.
AB - BACKGROUND: The roles of C-reactive protein (CRP) and cartilage oligomeric matrix
protein (COMP) in knee osteoarthritis (KOA) remain controversial, thus the
present study is aimed to explore the relationships between CRP, COMP, and the
incidence/progression of KOA. METHODS: A systematic search was conducted on
PubMed and Embase until September, 2016 for all the relevant studies. The pooled
mean difference (MD) with its 95% confidence interval (95% CI) based on fixed
effects model or random effects model was calculated to assess the potential role
of CRP and COMP in the incidence or progression of KOA. Heterogeneity was
evaluated by Cochran's Q and I2 tests. When P < 0.05 or I2 > 50%, a random
effects model was chosen, otherwise, a fixed effects model was used. Moreover,
the role of CRP in different degrees of pain was also analyzed. Sensitivity
analysis was performed to evaluate the strength of the meta-analysis. RESULTS:
Fourteen studies were enrolled in the meta-analysis. No difference was found
between baseline CRP and CRP levels in the last follow-up period of KOA (MD = -
0.09, 95% CI: -0.30, 0.13). Pooled data showed higher CRP concentration in
patients with incident KOA when compared with controls (MD = 0.33, 95% CI: 0.04,
0.63). Moreover, higher serum COMP levels were found in patients with incident
KOA (MD = 1.69, 95% CI: 0.61, 2.76) Additionally, significant higher CRP
concentration was observed in KOA patients with highest degree of pain (MD =
1.60, 95% CI: 0.52, 2.67). CONCLUSION: CRP and COMP serum levels were both
associated with the incidence of KOA. Patients with a higher CRP and COMP
concentration might have an increased probability of developing KOA. However,
higher CRP serum levels was not related with KOA progression. Furthermore, KOA
patients with more pain had higher CRP concentrations.
PMID- 29351750
TI - Associations of land, cattle and food security with infant feeding practices
among a rural population living in Manyara, Tanzania.
AB - BACKGROUND: Livelihoods strategies and food security experiences can positively
and negatively affect infant and young child feeding (IYCF) practices. This study
contributes to this literature by exploring how variation in household economics
among rural farmers in Tanzania relates to IYCF patterns over the first 8 months
of an infant's life. METHODS: These data were produced from a longitudinal study
in which a cohort of mother-infant dyads was followed from birth to 24 months. In
addition to baseline maternal, infant, and household characteristics, mothers
were queried twice weekly and monthly about infant feeding practices and diet.
Weekly and monthly datasets were merged and analyzed to assess infant feeding
patterns through the first 8 months. Standard statistical methods including
survival and logistic regression analyses were used. RESULTS: Aside from
breastfeeding initiation, all other IYCF practices were suboptimal in this
cohort. Land and cattle ownership were associated with the early introduction of
non-breastmilk food items. Food insecurity also played a role in patterning and
inadequate complementary feeding was commonplace. CONCLUSIONS: Health promotion
programs are needed to delay the introduction of animal milks and grain-based
porridge, and to achieve a minimum acceptable diet after 6 months of age among
smallholder farmers in rural Tanzania. Results highlight that livelihoods-based
health promotion interventions, built from a flexible and integrated design, may
be an important strategy to address community-level variation in infant feeding
practices and promote optimal IYCF practices.
PMID- 29351751
TI - Social determinants of male health: a case study of Leeds, UK.
AB - BACKGROUND: The social determinants of health have a disproportionate impact on
mortality in men. A study into the state of health of the male population in
Leeds was undertaken to guide public health commissioning decisions. This paper
reports on the data relating to the social lives of men. METHODS: A cross
sectional study was undertaken, comprising descriptive analysis of data relating
to educational attainment, housing, employment (including benefit claimants),
marital status and relationships. Data was considered for the whole city and
localised at the Middle Super Output Area (MSOA) level and mapped against the
Index of Deprivation. RESULTS: Boys' educational attainment was found to be
lagging behind girls' from their earliest assessments (Early Years Foundation
Stage Profile, 46% vs. 60%, P = 0.00) to GCSEs (53% vs. 63%, P = 0.00), leaving
many men with no qualifications. There were 68% more men than women identified as
being unemployed, with more men claiming benefits. Men living in social housing
are more likely to be housed in high-rise flats. Almost 50% of men aged 16-64 are
single, with 2254 lone fathers. CONCLUSIONS: There appears to be a lack of
sex/gender analysis of current cross city data. In areas of deprivation a complex
picture of multiple social problems emerges, with marked gender differences in
the social determinants of health, with males seeming to be more negatively
affected. There is a need for more focused planning for reaching out and
targeting boys and men in the most deprived inner city areas, so that greater
efficiency in service delivery can be obtained.
PMID- 29351752
TI - Prevalence of gingivitis and calculus in 12-year-old Puerto Ricans: a cross
sectional study.
AB - BACKGROUND: Gingivitis is a common oral health problem. Untreated gingivitis may
progress to periodontitis, a common cause of tooth loss. The prevalence of
gingivitis and calculus among Puerto Rican children is unknown. Understanding
this prevalence can support early public health preventative strategies. This
study aims to estimate the prevalence of gingivitis and calculus among 12-year
old Puerto Ricans by health region and to explore differences in distribution by
school type (proxy for socio-economic status) and gender. METHODS: A probability
based sample of 113 schools was selected proportional to enrollment size and
stratified by health region, school type, and gender. Two trained examiners
evaluated the presence of gingivitis and both supragingival and subgingival
dental calculus. Gingivitis was defined as the presence of gingival bleeding upon
gentle probing (BOP) in at least one site, and the extent of the problem was
classified according to the percentage of teeth whose gingiva presented BOP
(limited: 25-49% of the teeth tested; extensive: >50% of teeth tested). Logistic
and linear regression models, adjusted for health regions, were used to compare
gingivitis and calculus prevalence and extent between genders and school types.
RESULTS: Gingivitis was found in 80.41% of the 1586 children evaluated. Urban
public schoolchildren had a slightly higher prevalence (83.24%) compared to
private (79.15%, p = 0.16); those in rural-public (77.59%) and private schools
had similar prevalence (p = 0.15). Extensive gingivitis was present in 60.81% of
all children. The mean percentage of sites presenting BOP (BOP%) was 17.79%.
Rural and urban public schoolchildren presented significantly higher BOP%
compared to children from private schools (p = 0.0005, p = 0.002, respectively).
Dental calculus was detected in 61.59% of the sample, boys presenting
significantly higher (p = 0.005) total and supragingival calculus. Rural-public
schoolchildren had a significantly higher prevalence of subgingival calculus
compared to private schoolchildren (p = 0.02). CONCLUSIONS: Gingivitis prevalence
is higher among 12-year-old Puerto Ricans compared to data reported for U.S.
adolescents. Public schoolchildren presented significantly higher BOP% sites
compared to private schoolchildren. Boys presented a significantly higher total
and supragingival calculus prevalence than girls. Oral health disparities related
to gender and school type were identified by this study. Studies exploring the
reasons for these disparities are recommended.
PMID- 29351753
TI - Mesenchymal stem cells show functional defect and decreased anti-cancer effect
after exposure to chemotherapeutic drugs.
AB - BACKGROUND: Mesenchymal stem cells (MSC) are used for several therapeutic
applications to improve the functions of bone, cardiac, nervous tissue as well as
to facilitate the repopulation of hematopoietic stem cells. MSC give rise to the
non-hematopoietic stromal cells of the bone marrow and are important for the
maintenance of normal hematopoiesis. Chemotherapeutic drugs used for treatment of
leukemia extensively damage the stromal cells and alter their gene expression
profiles. METHODS: We determined the changes in adipogenic, osteogenic
differentiation, phenotypic and gene expression in MSC during treatment with
chemotherapeutic drugs cytarabine, daunorubicin and vincristine. We also tested
anti-cancer effects of drug treated MSC on leukemia cells. RESULTS: Treatment
with the chemotherapeutic drugs resulted in functional defects in MSC, leading to
reduced proliferation, osteogenic and adipogenic differentiation. The drug
treated MSC also showed decreased expression of cell surface receptors, and the
changes in proliferation, phenotype and differentiation defect was partially
reversible after withdrawing the drugs from the cells. The drug treated MSC
showed increased expression of cytokines, IL6, FGF2 and TNFA but reduced levels
of differentiation markers SOX9 and ACTC1. Drug treated MSC also contributed to
reduced anti-cancer effects in leukemia cells. CONCLUSIONS: Chemotherapeutic drug
treatment altered the phenotype, osteogenic and adipogenic differentiation
potential of MSC and modified the gene expression profile of the cells to render
them more chemoprotective of the leukemic cells. Thus, additional therapeutic
efforts to target the stromal cell population will help in preventing
chemoresistance, disease relapse in leukemia and to maintain a healthy bone
marrow stroma.
PMID- 29351754
TI - Chronic airflow obstruction in Tanzania - a cross-sectional study.
AB - BACKGROUND: Chronic obstructive pulmonary disease is a global problem and
available data from sub-Saharan Africa is very limited. METHODS: A cross
sectional facility-based pilot study among patients and visitors to an urban and
a rural primary healthcare facility was conducted in coastal Tanzania. The
primary outcome was the prevalence of chronic airflow obstruction. RESULTS: The
final analysis included 598 participants with valid post-bronchodilator
spirometry. Applying ATS/ERS spirometric criteria, chronic airflow obstruction
was found in n = 24 (4%, CI95 2.7-5.9) participants and in n = 30 (5%, CI95 3.5
7.1) applying GOLD spirometric criteria. To analyse risk factors for chronic
airflow obstruction including those not meeting ATS/ERS or GOLD criteria, FEF25
75 and FEV1% predicted was analysed in participants without evidence of pulmonary
restriction among those exposed or not exposed to risk factors (n = 552). FEV1%
predicted, but in particular FEF25-75 decreased with increasing symptom severity
of shortness of breath as well as limitations in daily activities of
participants. Cooking in general and cooking with biomass fuels vs. gas or
electricity was associated with significantly lower FEF25-75, but not with lower
FEV1% predicted. Participants having refrained from taking a job because of
shortness of breath exhibited lower FEF25-75 (p < 0.01). A history of prior
active TB was the most relevant risk factor associated with a decrease in FEF25
75 as well as FEV1% predicted. CONCLUSION: This study demonstrated a relevant
prevalence of chronic airflow obstruction in primary healthcare attendants and
healthy visitors of a Tanzanian hospital. Using the baseline data provided,
larger and population-based studies are needed to validate these findings. TB may
have more impact on development of chronic airway obstruction than smoking in
Africa. Due to the influence of age on the GOLD definition of chronic airflow
obstruction, studies should report results using both ATS/ERS and GOLD
definitions and include age-stratified analysis. Analysis of FEV1 and in
particular FEF25-75 may yield additional information on risk factors and earlier
stages of chronic airflow obstruction.
PMID- 29351755
TI - Bone mineral density at the hip and its relation to fat mass and lean mass in
adolescents: the Tromso Study, Fit Futures.
AB - BACKGROUND: Positive association between body weight and bone mass is well
established, and the concept of body mass index (BMI) is associated with higher
areal bone mineral density (aBMD) and reduced fracture risk. BMI, that comprises
both fat mass (FM) and lean mass (LM) may contribute to peak bone mass
achievement in different ways. This study explored the influence of body
composition in terms of total body LM and FM on hip aBMD-values in adolescence.
METHODS: In 2010/2011, 93% of the region's first-year upper-secondary school
students (15-17 years old) in Tromso, Norway attended the Tromso Study, Fit
Futures. Areal BMD at femoral neck (aBMDFN) and total hip (aBMDTH) (g/cm2), total
body LM and FM (g) were measured by dual energy X-ray absorptiometry (DXA).
Height and weight were measured, and BMI calculated. Lifestyle variables were
collected by self-administered questionnaires and interviews, including questions
on time spent on leisure time physical activity. Stratified analyses of
covariance and regression models included 395 girls and 363 boys. Crude results
were adjusted for age, height, sexual maturation, physical activity levels,
vitamin D levels, calcium intake, alcohol consumption and smoking habits.
RESULTS: Unadjusted distribution indicated higher aBMD-levels at higher LM-levels
in both genders (p < 0.001), but higher aBMD at higher FM-levels were found only
in girls (p < 0.018). After multiple adjustments, aBMDFN-levels in girls were
associated by 0.053 g/cm2 and 0.032 g/cm2 per standard deviation (SD) change in
LM and FM (p < 0.001). Corresponding values in boys were 0.072 and 0.025 (p <
0.001). The high LM groups accounted for the highest aBMD-levels, while aBMD
levels at the LM/FM-combinations indicated different patterns in girls compared
to boys. The adjusted odds ratio (95% CI) for low levels of aBMDFN was 6.6
(3.4,13.0) in boys, compared to 2.8 (1.6,4.9) in girls per SD lower LM.
CONCLUSIONS: LM and FM should be regarded as strong predictors for bone mass and
hence bone strength in adolescents. A gender specific difference indicated that
high lean mass is of crucial importance prominently in boys. In adolescents with
low lean mass, especially in girls, high fat mass may partially ameliorate the
effect of deficient lean mass levels.
PMID- 29351756
TI - Disability due to knee pain and somatising tendency in Japanese adults.
AB - BACKGROUND: Knee pain is common and related to knee osteoarthritis. However,
there is a discrepancy between knee pain and radiographic osteoarthritis. In the
general population, knee pain is associated with psychological and cognitive
factors, which would be one explanation for the discrepancy. Limited evidence
demonstrates that somatization is associated with knee pain. This study examined
the association between disability due to knee pain and a high somatising
tendency. METHODS: Japanese adults (aged 20-64 years) who had experienced knee
pain in the past four weeks were included in this study (n = 14,695, 50% women).
Data were extracted from a large internet survey. Somatising tendency was
assessed using the Somatic Symptom Scale-8 (SSS-8). Disability due to knee pain
was categorized into three levels: 1) knee pain without difficulty with
activities of daily living (ADL), 2) knee pain with ADL difficulty but without
requiring sick leave, and 3) knee pain requiring sick leave. The association
between >= high somatising tendency (SSS-8 score >= 12) as well as very high
somatising tendency (SSS-8 score >= 16) and disability due to knee pain was
examined using logistic regression models adjusted for age, sex, body mass index,
depressive symptoms, education level, regular exercise, chronicity of knee pain
(>=3 months), osteoarthritis, rheumatoid arthritis, and fibromyalgia. RESULTS:
Greater disability due to knee pain was associated with a higher odds ratio for
>= high somatising tendency (adjusted odds ratio (aOR) = 2.36 [2.10-2.66] in
group 2 vs. group 1, aOR = 3.23 [2.66-3.92] in group 3 vs. group 1). Stronger
associations were found for a very high somatising tendency (aOR = 2.80 [2.42
3.23] in group 2 vs. group 1, aOR = 4.51 [3.64-5.58] in group 3 vs. group 1).
CONCLUSIONS: Somatization may play a role in disability due to knee pain in the
general adult population with knee pain, similar to the role of somatization in
low back pain.
PMID- 29351757
TI - Major depressive disorder and access to health services among people who use
illicit drugs in Vancouver, Canada.
AB - BACKGROUND: People who use illicit drugs (PWUD) are commonly diagnosed with major
depressive disorder (MDD). However, little is known about whether PWUD living
with MDD experience additional barriers to accessing health services compared to
those without MDD. We sought to identify whether MDD symptoms were associated
with perceived barriers to accessing health services among people who use illicit
drugs (PWUD) in Vancouver, Canada. METHODS: Data were collected through
prospective cohorts of PWUD in Vancouver, Canada between 2005 and 2016. Using
multiple logistic regression, we examined the relationship between MDD symptoms,
defined as a Centre for Epidemiologic Studies Depression (CES-D) scale total
score of >=16, and barriers to access health services. We also used descriptive
statistics to examine common barriers among participants who reported any
barriers. RESULTS: Among a total of 1529 PWUD, including 521 (34.1%) females, 415
(27.1%) reported barriers to accessing health services, and 956 (62.5%) reported
MDD symptoms at baseline. In multiple logistic regression analyses, after
adjusting for a range of potential confounders, MDD symptoms (adjusted odds ratio
[AOR] = 1.40; 95% confidence interval [CI]: 1.03-1.92) were positively and
significantly associated with barriers to accessing health services. Among those
who reported MDD symptoms and barriers to access, commonly reported barriers
included: long wait lists/times (38.1%); and treated poorly by health care
professionals (30.0%). CONCLUSION: These findings show that the likelihood of
experiencing barriers to accessing health services was higher among PWUD with MDD
symptoms compared to their counterparts. Policies and interventions tailored to
address these barriers are urgently needed for this subpopulation of PWUD.
PMID- 29351758
TI - Autophagy promotes metastasis and glycolysis by upregulating MCT1 expression and
Wnt/beta-catenin signaling pathway activation in hepatocellular carcinoma cells.
AB - BACKGROUND: Autophagy is a dynamic physiological process that can generate energy
and nutrients for cell survival during stress. Autophagy can regulate the
migration and invasive ability in cancer cells. However, the connection between
autophagy and metabolism is unclear. Monocarboxylate transporter 1 (MCT1) plays
an important role in lactic acid transport and H+ clearance in cancer cells, and
Wnt/beta-catenin signaling can increase cancer cell glycolysis. We investigated
whether autophagy promotes glycolysis in hepatocellular carcinoma (HCC) cells by
activating the Wnt/beta-catenin signaling pathway, accompanied by MCT1
upregulation. METHODS: Autophagic activity was evaluated using western blotting,
immunoblotting, and transmission electron microscopy. The underlying mechanisms
of autophagy activation on HCC cell glycolysis were studied via western blotting,
and Transwell, lactate, and glucose assays. MCT1 expression was detected using
quantitative reverse transcription-PCR (real-time PCR), western blotting, and
immunostaining of HCC tissues and the paired adjacent tissues. RESULTS: Autophagy
promoted HCC cell glycolysis accompanied by MCT1 upregulation. Wnt/beta-catenin
signaling pathway activation mediated the effect of autophagy on HCC cell
glycolysis. beta-Catenin downregulation inhibited the autophagy-induced
glycolysis in HCC cells, and reduced MCT1 expression in the HCC cells. MCT1 was
highly expressed in HCC tissues, and high MCT1 expression correlated positively
with the expression of microtubule-associated protein light chain 3 (LC3).
CONCLUSION: Activation of autophagy can promote metastasis and glycolysis in HCC
cells, and autophagy induces MCT1 expression by activating Wnt/beta-catenin
signaling. Our study describes the connection between autophagy and glucose
metabolism in HCC cells and may provide a potential therapeutic target for HCC
treatment.
PMID- 29351759
TI - Tracheal intubation in critically ill patients: a comprehensive systematic review
of randomized trials.
AB - BACKGROUND: We performed a systematic review of randomized controlled studies
evaluating any drug, technique or device aimed at improving the success rate or
safety of tracheal intubation in the critically ill. METHODS: We searched PubMed,
BioMed Central, Embase and the Cochrane Central Register of Clinical Trials and
references of retrieved articles. Finally, pertinent reviews were also scanned to
detect further studies until May 2017. The following inclusion criteria were
considered: tracheal intubation in adult critically ill patients; randomized
controlled trial; study performed in Intensive Care Unit, Emergency Department or
ordinary ward; and work published in the last 20 years. Exclusion criteria were
pre-hospital or operating theatre settings and simulation-based studies. Two
investigators selected studies for the final analysis. Extracted data included
first author, publication year, characteristics of patients and clinical
settings, intervention details, comparators and relevant outcomes. The risk of
bias was assessed with the Cochrane Collaboration's Risk of Bias tool. RESULTS:
We identified 22 trials on use of a pre-procedure check-list (1 study), pre
oxygenation or apneic oxygenation (6 studies), sedatives (3 studies),
neuromuscular blocking agents (1 study), patient positioning (1 study), video
laryngoscopy (9 studies), and post-intubation lung recruitment (1 study). Pre
oxygenation with non-invasive ventilation (NIV) and/or high-flow nasal cannula
(HFNC) showed a possible beneficial role. Post-intubation recruitment improved
oxygenation, while ramped position increased the number of intubation attempts
and thiopental had negative hemodynamic effects. No effect was found for use of a
checklist, apneic oxygenation (on oxygenation and hemodynamics),
videolaryngoscopy (on number and length of intubation attempts), sedatives and
neuromuscular blockers (on hemodynamics). Finally, videolaryngoscopy was
associated with severe adverse effects in multiple trials. CONCLUSIONS: The
limited available evidence supports a beneficial role of pre-oxygenation with NIV
and HFNC before intubation of critically ill patients. Recruitment maneuvers may
increase post-intubation oxygenation. Ramped position increased the number of
intubation attempts; thiopental had negative hemodynamic effects and
videolaryngoscopy might favor adverse events.
PMID- 29351760
TI - Glycaemic control targets after traumatic brain injury: a systematic review and
meta-analysis.
AB - BACKGROUND: Optimal glycaemic targets in traumatic brain injury (TBI) remain
unclear. We performed a systematic review and meta-analysis of randomised
controlled trials (RCTs) comparing intensive with conventional glycaemic control
in TBI requiring admission to an intensive care unit (ICU). METHODS: We
systematically searched MEDLINE, EMBASE and the Cochrane Central Register of
Controlled Trials to November 2016. Outcomes of interest included ICU and in
hospital mortality, poor neurological outcome, the incidence of hypoglycaemia and
infective complications. Data were analysed by pairwise random effects models
with secondary analysis of differing levels of conventional glycaemic control.
RESULTS: Ten RCTs, involving 1066 TBI patients were included. Three studies were
conducted exclusively in a TBI population, whereas in seven trials, the TBI
population was a sub-cohort of a mixed neurocritical or general ICU population.
Glycaemic targets with intensive control ranged from 4.4 to 6.7 mmol/L, while
conventional targets aimed to keep glucose levels below thresholds of 8.4-12
mmol/L. Conventional versus intensive control showed no association with ICU or
hospital mortality (relative risk (RR) (95% CI) 0.93 (0.68-1.27), P = 0.64 and
1.07 (0.84-1.36), P = 0.62, respectively). The risk of a poor neurological
outcome was higher with conventional control (RR (95% CI) = 1.10 (1.001-1.24), P
= 0.047). However, severe hypoglycaemia occurred less frequently with
conventional control (RR (95% CI) = 0.22 (0.09-0.52), P = 0.001). CONCLUSIONS:
This meta-analysis of intensive glycaemic control shows no association with
reduced mortality in TBI. Intensive glucose control showed a borderline
significant reduction in the risk of poor neurological outcome, but markedly
increased the risk of hypoglycaemia. These contradictory findings should motivate
further research.
PMID- 29351762
TI - Inclusion of edaphic predictors for enhancement of models to determine
distribution of soil-transmitted helminths: the case of Zimbabwe.
AB - BACKGROUND: Reliable mapping of soil-transmitted helminth (STH) parasites
requires rigorous statistical and machine learning algorithms capable of
integrating the combined influence of several determinants to predict
distributions. This study tested whether combining edaphic predictors with
relevant environmental predictors improves model performance when predicting the
distribution of STH, Ascaris lumbricoides and hookworms at a national scale in
Zimbabwe. METHODS: Geo-referenced parasitological data obtained from a 2010/2011
national survey indicating a confirmed presence or absence of STH among school
children aged 10-15 years was used to calibrate ten species distribution models
(SDMs). The performance of SDMs calibrated with a set of environmental and
edaphic variables was compared to that of SDMs calibrated with environmental
variables only. Model performance was evaluated using the true skill statistic
and receiver operating characteristic curve. RESULTS: Results show a significant
improvement in model performance for both A. lumbricoides and hookworms for all
ten SDMs after edaphic variables were combined with environmental variables in
the modelling of the geographical distribution of the two STHs at national scale.
Using the top three performing models, a consensus prediction was developed to
generate the first continuous maps of the potential distribution of the two STHs
in Zimbabwe. CONCLUSIONS: The findings from this study demonstrate significant
model improvement if relevant edaphic variables are included in model calibration
resulting in more accurate mapping of STH. The results also provide spatially
explicit information to aid targeted control of STHs in Zimbabwe and other
countries with STH burden.
PMID- 29351761
TI - Selective serotonin reuptake inhibitor use and breast cancer survival: a
population-based cohort study.
AB - BACKGROUND: Nearly 50% of breast cancer patients suffer from depression or
anxiety. Selective serotonin reuptake inhibitors (SSRIs), the first-line
pharmacological treatment for depression, have been implicated in breast cancer
development through increased prolactin levels and tamoxifen metabolism
inhibition. Previous studies of breast cancer progression have focused on
tamoxifen users, or have been limited by their small sample size and methodology.
Therefore, we used UK population-based data to more robustly investigate the
association between SSRI use and cancer-specific mortality. METHODS: A cohort of
patients with newly-diagnosed breast cancer between 1998 and 2012 was selected
from English cancer registries and linked to prescription records from the
Clinical Practice Research Datalink, and to death records from the Office for
National Statistics. We used Cox regression models to calculate hazard ratios
(HRs) comparing mortality between post-diagnostic SSRI users and non-users (using
time-dependant covariates), after adjusting for demographics, comorbidities and
pre-diagnosis use of hormone replacement therapy or oral contraceptives. We
conducted several additional analyses to assess causality. RESULTS: Our cohort
included 23,669 breast cancer patients, of which 2672 used SSRIs and 3053 died
due to their breast cancer during follow-up. After adjustment, SSRI users had
higher breast cancer-specific mortality than non-users (HR = 1.27; 95% confidence
interval (CI) 1.16, 1.40). However, this association was attenuated when
restricting to patients with a prior history of depression (HR = 1.14; 95% CI
0.98, 1.33), and when comparing to users of other antidepressant medications (HR
= 1.06; 95% CI 0.93, 1.20). There was some evidence of higher mortality among
long-term SSRI users, even when restricting to patients with prior depression (HR
= 1.54; 95% CI 1.03, 2.29). CONCLUSIONS: In this large breast cancer cohort, SSRI
use was associated with a 27% increase in breast cancer mortality. The cause of
this is unknown; however, confounding by indication seems likely as it was
largely attenuated when restricting to patients with prior depression, or when
comparing SSRIs to other antidepressant medications. Clinicians should not be
unduly concerned when prescribing SSRIs to breast cancer patients, but the
increase in mortality among long-term SSRI users warrants further investigation.
PMID- 29351763
TI - An overview of the impact of rare disease characteristics on research
methodology.
AB - BACKGROUND: About 30 million individuals in the United States are living with a
rare disease, which by definition have a prevalence of 200,000 or fewer cases in
the United States ([National Organization for Rare Disorders], [About NORD],
[2016]). Disease heterogeneity and geographic dispersion add to the difficulty of
completing robust studies in small populations. Improving the ability to conduct
research on rare diseases would have a significant impact on population health.
The purpose of this paper is to raise awareness of methodological approaches that
can address the challenges to conducting robust research on rare diseases.
APPROACH: We conducted a landscape review of available methodological and
analytic approaches to address the challenges of rare disease research. Our
objectives were to: 1. identify algorithms for matching study design to rare
disease attributes and the methodological approaches applicable to these
algorithms; 2. draw inferences on how research communities and infrastructure can
contribute to the efficiency of research on rare diseases; and 3. to describe
methodological approaches in the rare disease portfolio of the Patient-Centered
Outcomes Research Institute (PCORI), a funder promoting both rare disease
research and research infrastructure. RESULTS: We identified three algorithms for
matching study design to rare disease or intervention characteristics (Gagne,
et.al, BMJ 349:g6802, 2014); (Gupta, et.al, J Clin Epidemiol 64:1085-1094, 2011);
(Cornu, et. al, Orphet J Rare Dis 8:48,2012) and summarized the applicable
methodological and analytic approaches. From this literature we were also able to
draw inferences on how an effective research infrastructure can set an agenda,
prioritize studies, accelerate accrual, catalyze patient engagement and terminate
poorly performing studies. Of the 24 rare disease projects in the PCORI
portfolio, 11 are randomized controlled trials (RCTs) using standard designs.
Thirteen are observational studies using case-control, prospective cohort, or
natural history designs. PCORI has supported the development of 9 Patient-Powered
Research Networks (PPRNs) focused on rare diseases. CONCLUSION: Matching research
design to attributes of rare diseases and interventions can facilitate the
completion of RCTs that are adequately powered. An effective research
infrastructure can improve efficiency and avoid waste in rare disease research.
Our review of the PCORI research portfolio demonstrates that it is feasible to
conduct RCTs in rare disease. However, most of these studies are using standard
RCT designs. This suggests that use of a broader array of methodological
approaches to RCTs --such as adaptive trials, cross-over trials, and early escape
designs can improve the productivity of robust research in rare diseases.
PMID- 29351764
TI - Quantification and kinetics of viral RNA transcripts produced in Orthohantavirus
infected cells.
AB - BACKGROUND: Rodent borne viruses of the Orthohantavirus genus cause hemorrhagic
fever with renal syndrome among people in Eurasia, and hantavirus cardiopulmonary
syndrome in the Americas. At present, there are no specific treatments or
efficient vaccines against these diseases. Improved understanding of viral
transcription and replication may instigate targeted treatment of Orthohantavirus
infections. For this purpose, we investigated the kinetics and levels of viral
RNA transcription during an ongoing infection in-vitro. METHODS: Vero E6 cells
were infected with Puumala Orthohantavirus (strain Kazan) before cells and
supernatants were collected at different time points post infection for the
detection of viral RNAs. A plasmid containing primer binding sites of the three
Orthohantavirus segments small (S), medium (M) and large (L) was constructed and
standard curves were generated to calculate the copy numbers of the individual
transcripts in the collected samples. RESULTS: Our results indicated a rapid
increase in the copy number of viral RNAs after 9 h post infection. At peak days,
2-6 days after infection, the S- and M-segment transcripts became thousand and
hundred-fold more abundant than the copy number of the L-segment RNA,
respectively. The presence of viral RNA in the cell culture media was detected at
later time-points. CONCLUSIONS: We have developed a method to follow RNA
transcription in-vitro after synchronous infection of Vero cells. The obtained
results may contribute to the understanding of the viral replication, and may
have implications in the development of antiviral drugs targeting transcription
or replication of negative stranded RNA viruses.
PMID- 29351765
TI - Retrospective analysis of insulin responses to standard dosed oral glucose tests
(OGTs) via naso-gastric tubing towards definition of an objective cut-off value.
AB - BACKGROUND: Insulin dysregulation (ID) with basal or postprandial
hyperinsulinemia is one of the key findings in horses and ponies suffering from
the equine metabolic syndrome (EMS). Assessment of ID can easily be performed in
clinical settings by the use of oral glucose challenge tests. Oral glucose test
(OGT) performed with 1 g/kg bodyweight (BW) glucose administered via naso-gastric
tube allows the exact administration of a defined glucose dosage in a short time.
However, reliable cut-off values have not been available so far. Therefore, the
aim of the study was to describe variations in insulin response to OGT via naso
gastric tubing and to provide a clinical useful cut-off value for ID when using
the insulin quantification performed with an equine-optimized insulin enzyme
linked immunosorbent assay. RESULTS: Data visualization revealed no clear
separation in the serum insulin concentration of insulin sensitive and insulin
dysregulated horses during OGT. Therefore, a model based clustering method was
used to circumvent the use of an arbitrary limit for categorization. This method
considered all data-points for the classification, taking into account the
individual insulin trajectory during the OGT. With this method two clusters were
differentiated, one with low and one with high insulin responses during OGT. The
cluster of individuals with low insulin response was consistently detected,
independently of the initialization parameters of the algorithm. In this cluster
the 97.5% quantile of insulin is 110 uLU/mL at 120 min. We suggest using this
insulin concentration of 110 uLU/mL as a cut-off value for samples obtained at
120 min in OGT. CONCLUSION: OGT performed with 1 g/kg BW glucose and
administration via naso-gastric tubing can easily be performed under clinical
settings. Application of the cut-off value of 110 uLU/mL at 120 min allows
assessment of ID in horses.
PMID- 29351766
TI - Efficacy and safety of Sofosbuvir-containing regimens in patients co-infected
with chronic hepatitis C virus and human immunodeficiency virus: a meta-analysis.
AB - BACKGROUND: The treatment of hepatitis C virus (HCV) in HCV/human
immunodeficiency virus (HIV) co-infected patients remains complex. This present
meta-analysis evaluated the efficacy and safety of Sofosbuvir (SOF) for treatment
in HCV/HIV co-infected patients using the most recent and available data.
METHODS: A systematic search of the published data was conducted in PubMed
Medline, EMBASE and Cochrane databases. Eligible studies were clinical trials,
case-control studies or prospective cohort studies aiming at assessing the
efficacy and safety of the SOF-containing regimens in patients co-infected with
HCV and HIV. Heterogeneity of results was assessed and a pooled analysis was
performed using random effects model with maximum likelihood estimate and 95%
confidence intervals (95%CI). Subgroup analysis and assessment of publication
bias through Egger's test were also performed. STATA 13.0 software was used to
analyze the data. RESULTS: Seven studies (n = 1167 co-infected patients) were
included in this analysis. The pooled estimate of sustained virological response
at 12 weeks (SVR12) was 94.0% (95%CI: 92.0%-95.0%). Subgroup analysis showed that
the treatment-naive patients had higher SVR12 compared with patients that were
treated before (chi2 = 21.39, P < 0.01). The pooled incidence of any adverse
events (AEs) was 79.6% (95%CI: 77.1%-82.1%). Publication bias did not exist.
CONCLUSION: The results of this study showed that the treatment response of SOF
containing regimens in patients co-infected with HIV and HCV was satisfied.
Attention should be paid to the high rates of AEs.
PMID- 29351767
TI - Leading for the long haul: a mixed-method evaluation of the Sustainment
Leadership Scale (SLS).
AB - BACKGROUND: Despite our progress in understanding the organizational context for
implementation and specifically the role of leadership in implementation, its
role in sustainment has received little attention. This paper took a mixed-method
approach to examine leadership during the sustainment phase of the Exploration,
Preparation, Implementation, Sustainment (EPIS) framework. Utilizing the
Implementation Leadership Scale as a foundation, we sought to develop a short,
practical measure of sustainment leadership that can be used for both applied and
research purposes. METHODS: Data for this study were collected as a part of a
larger mixed-method study of evidence-based intervention, SafeCare(r),
sustainment. Quantitative data were collected from 157 providers using web-based
surveys. Confirmatory factor analysis was used to examine the factor structure of
the Sustainment Leadership Scale (SLS). Qualitative data were collected from 95
providers who participated in one of 15 focus groups. A framework approach guided
qualitative data analysis. Mixed-method integration was also utilized to examine
convergence of quantitative and qualitative findings. RESULTS: Confirmatory
factor analysis supported the a priori higher order factor structure of the SLS
with subscales indicating a single higher order sustainment leadership factor.
The SLS demonstrated excellent internal consistency reliability. Qualitative
analyses offered support for the dimensions of sustainment leadership captured by
the quantitative measure, in addition to uncovering a fifth possible factor,
available leadership. CONCLUSIONS: This study found qualitative and quantitative
support for the pragmatic SLS measure. The SLS can be used for assessing
leadership of first-level leaders to understand how staff perceive leadership
during sustainment and to suggest areas where leaders could direct more attention
in order to increase the likelihood that EBIs are institutionalized into the
normal functioning of the organization.
PMID- 29351768
TI - Overweight in adult cats: a cross-sectional study.
AB - BACKGROUND: Overweight in cats is a major risk factor for diabetes mellitus and
has also been associated with other disorders. Overweight and obesity are
believed to be increasing problems in cats, as is currently seen in people, with
important health consequences. The objectives of the present study were to
determine the prevalence of overweight in cats from two different cohorts in a
cross-sectional study design and to assess associations between overweight and
diagnoses, and between overweight and demographic and environmental factors. Data
were obtained from medical records for cats (n = 1072) visiting an academic
medical center during 2013-2015, and from a questionnaire on insured cats (n =
1665). From the medical records, information on body condition score, breed, age,
sex, neutering status, and diagnosis was obtained. The questionnaire included
questions relating to the cat's body condition, breed, age, sex, neutering
status, outdoor access, activity level, and diet. Data were analyzed by
multivariable logistic regression. RESULTS: The prevalence of overweight was 45%
in the medical records cohort and 22% in the questionnaire cohort, where owners
judged their pet's body condition. Overweight cats in the medical records cohort
were more likely to be diagnosed with lower urinary tract disease, diabetes
mellitus, respiratory disease, skin disorders, locomotor disease, and trauma.
Eating predominantly dry food, being a greedy eater, and inactivity were factors
associated with an increased risk of overweight in the final model in the
questionnaire cohort. In both cohorts, the Birman and Persian breeds, and
geriatric cats, were less likely to be overweight, and male cats were more likely
to be overweight. CONCLUSIONS: The prevalence of overweight cats (45%) as
assessed by trained personnel was high and in the same range as previously
reported. Birman and Persian cats had a lower risk of overweight. The association
with dry food found in adult, neutered cats is potentially important because this
type of food is commonly fed to cats worldwide, and warrants further attention.
Drawbacks related to the study design need to be acknowledged when interpreting
the results, such as a potential for selection bias for cats visiting an animal
hospital, and an information bias for questionnaire data. The high occurrence of
overweight in cats needs to be addressed because it negatively affects their
health.
PMID- 29351770
TI - "I struggle to count my blessings": recovery after hip fracture from the
patients' perspective.
AB - BACKGROUND: Recovery outlooks of physical functioning and quality of life after
hip fracture have not changed significantly over the past 25 years. Previous
research has mainly dealt with causalities and acute treatment, while the
recovery process from the patients' perspective has been less comprehensively
described. Expanded knowledge of what the patients consider important in their
recovery process may have important consequences for how these patients are
treated in the future and thereby on future patient outcomes. The aim presently
is therefore to explore how elderly patients with hip fracture enrolled in an
ongoing RCT have experienced their recovery process. METHOD: The study was
qualitative in design. Eight frail elderly in recovery after hip fracture (aged
69-91) were interviewed in their home four months after their fracture. The
interviews covered issues related to their experiences of facilitators and
barriers throughout the different stages in the recovery process. The patients
were already enrolled in an ongoing randomized controlled trial, examining the
effects of habitual functional training during their short term stays at nursing
homes. The patients were chosen strategically according to age, gender, and
participation in rehabilitation. The interviews were recorded, transcribed and
subjected to a method of systematic text condensation inspired by Giorgi's
phenomenological method. RESULTS: The results revealed that the patients'
experiences of the recovery process fell into three main themes: "Feeling
vulnerable", "A span between self-reliance and dependency" and "Disruption from a
normal life". The feeling of gloominess and vulnerability persisted throughout.
Being in recovery was also experienced as a tension between self-reliance and
dependency; a disrupted life where loss of mobility and the impact of age was
profoundly present. CONCLUSION: Being in recovery after hip fracture was
experienced as a life breaking event. Based on these findings, increased focus on
individualized treatment to each patient through each stage of the recovery
process should be emphasized.
PMID- 29351769
TI - Protocol to disseminate a hospital-site controlled intervention using audit and
feedback to implement guidelines concerning inappropriate treatment of
asymptomatic bacteriuria.
AB - BACKGROUND: Antimicrobial stewardship to combat the spread of antibiotic
resistant bacteria has become a national priority. This project focuses on
reducing inappropriate use of antimicrobials for asymptomatic bacteriuria (ASB),
a very common condition that leads to antimicrobial overuse in acute and long
term care. We previously conducted a successful intervention, entitled "Kicking
Catheter Associated Urinary Tract Infection (CAUTI): the No Knee-Jerk Antibiotics
Campaign," to decrease guideline-discordant ordering of urine cultures and
antibiotics for ASB. The current objective is to facilitate implementation of a
scalable version of the Kicking CAUTI campaign across four geographically diverse
Veterans Health Administration facilities while assessing what aspects of an
antimicrobial stewardship intervention are essential to success and
sustainability. METHODS: This project uses an interrupted time series design with
four control sites. The two main intervention tools are (1) an evidence-based
algorithm that distills the guidelines into a streamlined clinical pathway and
(2) case-based audit and feedback to train clinicians to use the algorithm. Our
conceptual framework for the development and implementation of this intervention
draws on May's General Theory of Implementation. The intervention is directed at
providers in acute and long-term care, and the goal is to reduce inappropriate
screening for and treatment of ASB in all patients and residents, not just those
with urinary catheters. The start-up for each facility consists of centrally-led
phone calls with local site champions and baseline surveys. Case-based audit and
feedback will begin at a given site after the start-up period and continue for 12
months, followed by a sustainability assessment. In addition to the clinical
outcomes, we will explore the relationship between the dose of the intervention
and clinical outcomes. DISCUSSION: This project moves from a proof-of-concept
effectiveness study to implementation involving significantly more sites, and
uses the General Theory of Implementation to embed the intervention into normal
processes of care with usual care providers. Aspects of implementation that will
be explored include dissemination, internal and external facilitation, and
organizational partnerships. "Less is More" is the natural next step from our
prior successful Kicking CAUTI intervention, and has the potential to improve
patient care while advancing the science of implementation.
PMID- 29351771
TI - Characterization of Salmonella enterica from invasive bloodstream infections and
water sources in rural Ghana.
AB - BACKGROUND: Non-typhoidal Salmonella (NTS) cause the majority of bloodstream
infections in Ghana, however the mode of transmission and source of invasive NTS
in Africa are poorly understood. This study compares NTS from water sources and
invasive bloodstream infections in rural Ghana. METHODS: Blood from hospitalised,
febrile children and samples from drinking water sources were analysed for
Salmonella spp. Strains were serotyped to trace possible epidemiological links
between human and water-derived isolates.. Antibiotic susceptibility testing was
performed, RESULTS: In 2720 blood culture samples, 165 (6%) NTS were isolated. S.
Typhimurium (70%) was the most common serovar followed by S. Enteritidis (8%) and
S. Dublin (8%). Multidrug resistance (MDR) was found in 95 (58%) NTS isolates,
including five S. Enteritidis. One S. Typhimurium showed reduced fluroquinolone
susceptibility. In 511 water samples, 19 (4%) tested positive for S. enterica
with two isolates being resistant to ampicillin and one isolate being resistant
to cotrimoxazole. Serovars from water samples were not encountered in any of the
clinical specimens. CONCLUSION: Water analyses demonstrated that common drinking
water sources were contaminated with S. enterica posing a potential risk for
transmission. However, a link between S. enterica from water sources and patients
could not be established, questioning the ability of water-derived serovars to
cause invasive bloodstream infections.
PMID- 29351772
TI - Effect of an interactive E-learning tool for delirium on patient and nursing
outcomes in a geriatric hospital setting: findings of a before-after study.
AB - BACKGROUND: Education of healthcare workers is a core element of multicomponent
delirium strategies to improve delirium care and, consequently, patient outcomes.
However, traditional educational strategies are notoriously difficult to
implement. E-learning is hypothesised to be easier and more cost effective, but
research evaluating effectiveness of delirium education through e-learning is
scarce at present. Aim is to determine the effect of a nursing e-learning tool
for delirium on: (1) in-hospital prevalence, duration and severity of delirium or
mortality in hospitalized geriatric patients, and (2) geriatric nurses' knowledge
and recognition regarding delirium. METHODS: A before-after study in a sample of
patients enrolled pre-intervention (non-intervention cohort (NIC); n = 81) and
post-intervention (intervention cohort (IC); n = 79), and nurses (n = 17) of a
geriatric ward (university hospital). The intervention included an information
session about using the e-learning tool, which consisted of 11 e-modules
incorporating development of knowledge and skills in the prevention, detection
and management of delirium, and the completion of a delirium e-learning tool
during a three-month period. Key patient outcomes included in-hospital prevalence
and duration of delirium (Confusion Assessment Method), delirium severity
(Delirium Index) and mortality (in-hospital; 12 months post-admission); key nurse
outcomes included delirium knowledge (Delirium Knowledge Questionnaire) and
recognition (Case vignettes). Logistic regression and linear mixed models were
used to analyse patient data; Wilcoxon Signed Rank tests, McNemar's or paired t
tests for nursing data. RESULTS: No significant difference was found between the
IC and NIC for in-hospital prevalence (21.5% versus 25.9%; p = 0.51) and duration
of delirium (mean 4.2 +/- SD 4.8 days versus 4.9 +/- SD 4.8 days; p = 0.38). A
trend towards a statistically significant lower delirium severity (IC versus NIC:
difference estimate - 1.59; p = 0.08) was noted for delirious IC patients in a
linear mixed model. No effect on patient mortality and on nurses' delirium
knowledge (p = 0.43) and recognition (p = 1.0) was found. CONCLUSION: Our study,
the first in its area to investigate effects of delirium e-learning on patient
outcomes, demonstrated no benefits on both geriatric patients and nurses. Further
research is needed to determine whether delirium e-learning nested within a
larger educational approach inclusive of enabling and reinforcing strategies,
would be effective. TRIAL REGISTRATION: ISRCTN ( 82,293,702 , 27/06/2017).
PMID- 29351773
TI - Treatment of long-segment Barrett's adenocarcinoma by complete circular
endoscopic submucosal dissection: a case report.
AB - BACKGROUND: We present the first description of en bloc endoscopic submucosal
dissection (ESD) for total circumferential Barrett's adenocarcinoma,
predominantly of the long-segment Barrett's esophagus (LSBE), with a 2-year
follow-up and management strategies for esophageal stricture prevention. CASE
PRESENTATION: A 59-year-old man was diagnosed with LSBE and Barrett's
adenocarcinoma by esophagogastroduodenoscopy (EGD). A 55-mm-long circumferential
tumor was completely resected by ESD. Histopathology revealed a well
differentiated adenocarcinoma within the LSBE superficial muscularis mucosa. For
post-ESD stricture prevention, the patient underwent an endoscopic triamcinolone
injection administration, oral prednisolone administration, and preemptive
endoscopic balloon dilatation. Two years later, there is no evidence of
esophageal stricture or recurrence. CONCLUSIONS: ESD appears to be a safe,
effective option for total circumferential Barrett's adenocarcinoma in LSBE.
PMID- 29351774
TI - Inflammatory bowel disease (IBD) in horses: a retrospective study exploring the
value of different diagnostic approaches.
AB - BACKGROUND: Diagnosing IBD in horses is challenging and requires a multimodal
approach, since no conclusive diagnostic test is available. The objectives of
this study were to provide an overview of population characteristics, results of
applied diagnostic tests, treatment modalities and outcome in a large group of
horses thought to have IBD and that were presented to four large equine referral
hospitals, and to provide an exploratory investigation of possible associations
between results of applied diagnostic tests, applied treatment modalities and
outcome. A retrospective case series was performed across four large equine
referral hospitals. Seventy-eight horses, thought to have IBD were included. Case
history, clinical findings, diagnostic test results including oral glucose
tolerance test (OGTT) and enteral biopsies (both duodenal and rectal), applied
therapy and outcome were studied. A Chi-Square test was used to identify
associations between results of diagnostic tests, treatment and outcome. P-values
< 0.05 were considered significant. RESULTS: Lethargy, diarrhoea, recurrent colic
and weight loss were recorded in respectively 21,8%; 14,1%; 28,2% and 78,2% of
cases. Over 70% of horses thought to have IBD had an abnormal OGTT. Only weight
loss was significantly associated with aberrant enteral biopsy results, but not
with abnormal OGTT results or low blood total protein. There was no association
between an aberrant biopsy result and a disturbed OGTT. There was no association
between either OGTT results or enteral biopsy results and a low blood total
protein content, presence of gastric ulcer disease or an aberrant endoscopic
aspect of the duodenal entrance. CONCLUSIONS: Weight loss is a highly prevalent
symptom in IBD suspected horses. Enteral biopsies may be a useful diagnostic aid
in the work-up of horses thought to suffer from IBD, however further research is
required to demonstrate their true diagnostic value. Until more standardized
scientific research is available, one should be careful with the interpretation
of enteral biopsy results There is a need for better standardization of enteral
biopsy procedures and the histopathological scoring of biopsies.
PMID- 29351775
TI - Vaccine resistant pseudorabies virus causes mink infection in China.
AB - BACKGROUND: Pseudorabies, a highly contagious infectious disease of swine is
caused by pseudorabies virus (PRV). PRV can cause fatal infection in other animal
species. RESULTS: We report a deadly outbreak of pseudorabies that killed 87.2%
(3522/4028) minks in a farm in 2014 in Shandong Province, China. PRV was isolated
by using Vero cell culture and detected in mink samples by PCR from minks died
during the outbreak. Epidemiological analysis indicated that 5.8% of minks
(33/566) were PCR positive to PRV in Shandong Province. Phylogenetic analysis
indicated that the PRV strains isolated from minks in this study were in the same
clade with the Chinese porcine PRV isolates, which are resistant to the PRV
vaccine. CONCLUSIONS: We demonstrated that pseudorabies virus caused an outbreak
of minks in a farm in Shandong Province of China and the virus has a very high
infection rate in minks in Shandong Province, which is a challenge for the fur
industry in China.
PMID- 29351776
TI - Readmission rates in not-for-profit vs. proprietary hospitals before and after
the hospital readmission reduction program implementation.
AB - BACKGROUND: The Patient Protection and Affordable Care Act established the
Hospital Readmission Reduction Program (HRRP) to penalize hospitals with
excessive 30-day hospital readmissions of Medicare enrollees for specific
conditions. This policy was aimed at increasing the quality of care delivered to
patients and decreasing the amount of money paid for potentially preventable
hospital readmissions. While it has been established that the number of 30-day
hospital readmissions decreased after program implementation, it is unknown
whether this effect occurred equally between not-for-profit and proprietary
hospitals. The aim of this study was to determine whether or not the HRRP
decreased readmission rates equally between not-for-profit and proprietary
hospitals between 2010 and 2012. METHODS: Data on readmissions came from the
Dartmouth Atlas and hospital ownership data came from the Centers for Medicare
and Medicaid Services. Data were joined using the Medicare provider number. Using
a difference-in-differences approach, bivariate and regression analyses were
conducted to compare readmission rates between not-for-profit and proprietary
hospitals between 2010 and 2012 and were adjusted for hospital characteristics.
RESULTS: In 2010, prior to program implementation, unadjusted readmission rates
for proprietary and not-for-profit hospitals were 16.16% and 15.78%,
respectively. In 2012, following program implementation, 30-day readmission rates
dropped to 15.76% and 15.29% for proprietary and not-for-profit hospitals. The
data suggest that the implementation of the Hospital Readmission Reduction
Program had similar effects on not-for-profit and proprietary hospitals with
respect to readmission rates, even after adjusting for confounders. CONCLUSIONS:
Although not-for-profit hospitals had lower 30-day readmission rates than
proprietary hospitals in both 2010 and 2012, they both decreased after the
implementation of the HRRP and the decreases were not statistically significantly
different. Thus, this study suggests that the Hospital Readmission Reduction
Program was equally effective in reducing readmission rates, despite ownership
status.
PMID- 29351777
TI - Are minor alleles more likely to be risk alleles?
AB - BACKGROUND: Genome-wide association studies (GWASs) have revealed relationships
between over 57,000 genetic variants and diseases. However, unlike Mendelian
diseases, complex diseases arise from the interplay of multiple genetic and
environmental factors. Natural selection has led to a high tendency of risk
alleles to be enriched in minor alleles in Mendelian diseases. Therefore, an
allele that was previously advantageous or neutral may later become harmful,
making it a risk allele. METHODS: Using data in the NHGRI-EBI Catalog and the
VARIMED database, we investigated whether (1) GWASs more easily detect risk
alleles and (2) facilitate evolutionary insights by comparing risk allele
frequencies of different diseases. We conducted computer simulations of P-values
for association tests when major and minor alleles were risk alleles. We compared
the expected proportion of SNVs whose risk alleles were minor alleles with the
observed proportion. RESULTS: Our statistical results revealed that risk alleles
were enriched in minor alleles, especially for variants with low minor allele
frequencies (MAFs < 0.1). Our computer simulations revealed that > 50% risk
alleles were minor alleles because of the larger difference in the power of GWASs
to differentiate between minor and major alleles, especially with low MAFs or
when the number of controls exceeds the number of cases. However, the observed
ratios between minor and major alleles in low MAFs (< 0.1) were much larger than
the expected ratios of GWAS's power imbalance, especially for diseases whose
average risk allele frequencies were low, such as myopia, sudden cardiac arrest,
and systemic lupus erythematosus. CONCLUSIONS: Minor alleles are more likely to
be risk alleles in the published GWASs on complex diseases. One reason is that
minor alleles are more easily detected as risk alleles in GWASs. Even when
correcting for the GWAS's power imbalance, minor alleles are more likely to be
risk alleles, especially in some diseases whose average risk allele frequencies
are low. These analyses serve as a starting point for future studies on
quantifying the degree of negative natural selection in various complex diseases.
PMID- 29351778
TI - A qualitative analysis of men's involvement in maternal and child health as a
policy intervention in rural Central Malawi.
AB - BACKGROUND: Men's involvement in maternal and child health presents an
opportunity for the advancement of maternal and child nutrition as men often play
a key role in decision-making particularly regarding women's reproductive health.
While most research on men's involvement in maternal and child health has focused
on men's participation in antenatal care, this study focuses specifically on
men's involvement in maternal and child nutrition. The purpose of the study is to
explore how men's involvement is conceptualised in rural Central Malawi,
highlighting the key factors influencing men's involvement in maternal and child
health. METHODS: Focus group discussions and in-depth interviews were conducted
with 26 informants and 44 community members. Critical policy was used as the
theoretical framework to inform the analysis of research findings. RESULTS: In
this study, we identified several factors that facilitate men's involvement in
maternal and child health, but we also identified several barriers. Facilitators
of men's involvement included: recognition by men of the impact of their
involvement, pride, advocacy, incentives and disincentives and male champions.
Barriers included socio-cultural beliefs, stigmatisation and opportunity costs.
The study also found that there were several limitations that had unintended
consequences on desired programme outcomes. These included: discriminating
against women, marginalisation of married women and reinforcing men's decision
making roles. CONCLUSION: The study findings highlight the importance of
involving men in maternal and child health for improved nutrition outcomes. We
emphasise the need for nutrition policy-makers to be aware that gender dynamics
are changing. It is no longer just women who are involved in nutrition
activities, therefore policy-makers need to revise their approach to ensure that
they consider men's role in nutrition.
PMID- 29351779
TI - The effect of twin-to-twin delivery time intervals on neonatal outcome for second
twins.
AB - BACKGROUND: The objective was to examine the effect of twin-to-twin delivery
intervals on neonatal outcome for second twins. METHODS: This was a
retrospective, hospital-based study, performed at a university teaching hospital
in Western Sweden. Twin deliveries between 2008 and 2014 at >=32 + 0 weeks of
gestation, where the first twin was delivered vaginally, were included. Primary
outcome was a composite outcome of metabolic acidosis, Apgar < 4 at 5 min or
peri/neonatal mortality in the second twin. Secondary outcome was a composite
outcome of neonatal morbidity. RESULTS: A total of 527 twin deliveries were
included. The median twin-to-twin delivery interval time was 19 min (range 2-399
min) and 68% of all second twins were delivered within 30 min. Primary outcome
occurred in 2.6% of the second twins. Median twin-to-twin delivery interval was
34 min (8-78 min) for the second twin with a primary outcome, and 19 min (2-399
min) for the second twin with no primary outcome (p = 0.028). Second twins
delivered within a twin-to-twin interval of 0-30 min had a higher pH in umbilical
artery blood gas than those delivered after 30 min (pH 7.23 and pH 7.20, p <
0.0001). Secondary outcome was not associated with twin-to-twin delivery interval
time. The combined vaginal-cesarean delivery rate was 6.6% (n = 35) and the rate
was higher with twin-to-twin delivery interval > 30 min (p < 0.0001).
CONCLUSIONS: An association, but not necessarily a causality, between twin-to
twin delivery interval and primary outcome was seen. An upper time limit on twin
to-twin delivery time intervals may be justified. However, the optimal time
interval needs further studies.
PMID- 29351780
TI - FANCM and RECQL genetic variants and breast cancer susceptibility: relevance to
South Poland and West Ukraine.
AB - BACKGROUND: FANCM and RECQL have recently been reported as breast cancer
susceptibility genes and it has been suggested that they should be included on
gene panel tests for breast cancer predisposition. However, the clinical value of
testing for mutations in RECQL and FANCM remains to be determined. In this study,
we have characterised the spectrum of FANCM and RECQL mutations in women affected
with breast or ovarian cancer from South-West Poland and West Ukraine. METHODS:
We applied Hi-Plex, an amplicon-based enrichment method for targeted massively
parallel sequencing, to screen the coding exons and proximal intron-exon
junctions of FANCM and RECQL in germline DNA from unrelated women affected with
breast cancer (n = 338) and ovarian cancer (n = 89) from Poland (n = 304) and
Ukraine (n = 123). These women were at high-risk of carrying a genetic
predisposition to breast and/or ovarian cancer due to a family history and/or
early-onset disease. RESULTS: Among 427 women screened, we identified one carrier
of the FANCM:c.1972C > T nonsense mutation (0.23%), and two carriers of the
frameshift insertion FANCM:c.1491dup (0.47%). None of the variants we observed in
RECQL were predicted to be loss-of-function mutations by standard variant effect
prediction tools. CONCLUSIONS: Our study of the Polish and Ukrainian populations
has identified a carrier frequency of truncating mutations in FANCM consistent
with previous reports. Although initial reports suggesting that mutations in
RECQL could be associated with increased breast cancer risk included women from
Poland and identified the RECQL:c.1667_1667 + 3delAGTA mutation in 0.23-0.35% of
breast cancer cases, we did not observe any carriers in our study cohort.
Continued screening, both in research and diagnostic settings, will enable the
accumulation of data that is needed to establish the clinical utility of
including RECQL and FANCM on gene panel tests.
PMID- 29351781
TI - MINDMAP: establishing an integrated database infrastructure for research in
ageing, mental well-being, and the urban environment.
AB - BACKGROUND: Urbanization and ageing have important implications for public mental
health and well-being. Cities pose major challenges for older citizens, but also
offer opportunities to develop, test, and implement policies, services,
infrastructure, and interventions that promote mental well-being. The MINDMAP
project aims to identify the opportunities and challenges posed by urban
environmental characteristics for the promotion and management of mental well
being and cognitive function of older individuals. METHODS: MINDMAP aims to
achieve its research objectives by bringing together longitudinal studies from 11
countries covering over 35 cities linked to databases of area-level environmental
exposures and social and urban policy indicators. The infrastructure supporting
integration of this data will allow multiple MINDMAP investigators to safely and
remotely co-analyse individual-level and area-level data. Individual-level data
is derived from baseline and follow-up measurements of ten participating cohort
studies and provides information on mental well-being outcomes, sociodemographic
variables, health behaviour characteristics, social factors, measures of frailty,
physical function indicators, and chronic conditions, as well as blood derived
clinical biochemistry-based biomarkers and genetic biomarkers. Area-level
information on physical environment characteristics (e.g. green spaces,
transportation), socioeconomic and sociodemographic characteristics (e.g.
neighbourhood income, residential segregation, residential density), and social
environment characteristics (e.g. social cohesion, criminality) and national and
urban social policies is derived from publically available sources such as
geoportals and administrative databases. The linkage, harmonization, and analysis
of data from different sources are being carried out using piloted tools to
optimize the validity of the research results and transparency of the
methodology. DISCUSSION: MINDMAP is a novel research collaboration that is
combining population-based cohort data with publicly available datasets not
typically used for ageing and mental well-being research. Integration of various
data sources and observational units into a single platform will help to explain
the differences in ageing-related mental and cognitive disorders both within as
well as between cities in Europe, the US, Canada, and Russia and to assess the
causal pathways and interactions between the urban environment and the individual
determinants of mental well-being and cognitive ageing in older adults.
PMID- 29351782
TI - Stakeholders' perspectives on facilitators of and barriers to the utilisation of
and access to maternal health services in Eritrea: a qualitative study.
AB - BACKGROUND: Wars affect maternal health services by destroying health systems.
Eritrea experienced two wars with neighbouring Ethiopia. Despite this, the
maternal mortality ratio (MMR) in Eritrea fell by 69% from 1590 per 100,000 live
births in 1990 to 501 in 2015. This study aimed to examine facilitators of and
barriers to the utilisation of and access to maternal health services in Eritrea.
METHODS: Using in-depth interviews and field observations for data collection,
this qualitative study was conducted in five healthcare facilities in Asmara, the
capital of Eritrea, in February and March 2016. The participants were: women (n =
40), husbands (n = 5), healthcare providers (n = 10), and decision makers (n =
5). RESULTS: There were two perceived facilitators of utilisation of and access
to maternal health services: health education (related to the WHO health service
delivery building blocks) and improvement in gender equality driven by the role
played by Eritrean women as combatants during the War of Independence (1961
1991). The only perceived barrier was poor quality of care due to lack of
ultrasound machines, short clinic opening hours, and shortage of healthcare
workers (related to the WHO health workforce building block). CONCLUSION: This
study assessed women and their husbands/partners' perceptions and the possible
effects of contemporary Eritrean culture and the history of war on the
utilisation of and access to maternal health services in the country. As well, we
examined healthcare providers' and decision makers' perspectives. The two key
facilitators of women's utilisation of and access to maternal health services
were health education and women's empowerment driven by their role as combatants
during the War of Independence. One main barrier was poor quality of care due to
lack of ultrasound machines, short clinic opening hours, and a shortage of
healthcare workers. As only a limited number of qualitative studies have been
published about maternal health services in war-affected sub-Saharan African
countries, our findings regarding health education and women's empowerment could
be considered in other war-affected countries similar to Eritrea. Nevertheless,
further research is needed to investigate our findings - particularly regarding
female empowerment driven by women's role in combat in relation to their maternal
health.
PMID- 29351783
TI - Simple citrate anticoagulation protocol for low flux haemodialysis.
AB - BACKGROUND: For patients unable to receive heparin anticoagulation during
haemodialysis, saline flushes to reduce circuit clotting are often the norm.
Regional citrate anticoagulation (RCA) although effective is not used by many
centres including in Singapore. We wanted to demonstrate the superiority and
safety of a simple regional citrate anticoagulation regime, compared to saline
flushes, for heparin-free low flux haemodialysis. METHODS: This is a prospective,
open label, cross over study on 25 sequential haemodialysis sessions for
inpatients receiving heparin-free haemodialysis. Patients were allocated either
to regional citrate anticoagulation or standard heparin free haemodialysis and
subsequently cross over to the alternate method. RCA was carried out using a
protocol derived from previous studies. Assessment of anticoagulation was
performed using visual inspection of clot formation in dialysis circuits and post
filter ionized calcium (iCa2+) using point-of-care Ionized calcium device at
stipulated intervals. Intravenous Calcium gluconate replacement was given to
patients receiving citrate adjusting the rate according to pre-filter iCa2+.
Laboratory analyses of electrolytes were also assessed at the start and end of
the RCA sessions. RESULTS: There were no clots in the RCA arm, with 79% (n = 19)
in the saline flush arm having some clot, including 1 clotted circuit. Post
filter iCa2+ at various time points were within acceptable range. Electrolyte
readings in the RCA group were all within normal limits except for 4 cases of
total Calcium:iCa2+ ratio > 2.5. CONCLUSION: RCA is confirmed to be superior to
saline flushes for circuit patency. We have a simple and safe protocol that can
be followed for low flux haemodialysis. The study was approved by Singapore
National Health Group domain-specific ethnical committee. NHG DSRB reference
number 2014/01037. TRIAL REGISTRATION: Trial registration number: ISRCTN69952745
(registration date 8/11/17).
PMID- 29351784
TI - Predictors of quality of life among inpatients in forensic mental health:
implications for occupational therapists.
PMID- 29351785
TI - Theorizing the complexity of HIV disclosure in vulnerable populations: a grounded
theory study.
AB - BACKGROUND: HIV disclosure is an important step in delivering the right care to
people. However, many people with an HIV positive status choose not to disclose.
This considerably complicates the delivery of adequate health care. METHODS: We
conducted a grounded theory study to develop a theoretical model explaining how
local contexts impact on HIV disclosure and what the mechanisms are that
determine whether people choose to disclose or not. We conducted in-depth
interviews among 23 people living with HIV, 8 health workers and 5 family and
community members, and 1 community development worker in Achham, Nepal. Data were
analysed using constant-comparative method, performing three levels of open,
axial, and selective coding. RESULTS: Our theoretical model illustrates how two
dominant systems to control HIV, namely a community self-coping and a public
health system, independently or jointly, shape contexts, mechanisms and outcomes
for HIV disclosure. CONCLUSION: This theoretical model can be used in
understanding processes of HIV disclosure in a community where HIV is
concentrated in vulnerable populations and is highly stigmatized, and in
determining how public health approaches would lead to reduced stigma levels and
increased HIV disclosure rates.
PMID- 29351786
TI - Factors associated with intended use of a maternity waiting home in Southern
Ethiopia: a community-based cross-sectional study.
AB - BACKGROUND: Although Ethiopia is scaling up Maternity Waiting Homes (MWHs) to
reduce maternal and perinatal mortality, women's use of MWHs varies markedly
between facilities. To maximize MWH utilization, it is essential that
policymakers are aware of supportive and inhibitory factors. This study had the
objective to describe factors and perceived barriers associated with potential
utilization of an MWH among recently delivered and pregnant women in Southern
Ethiopia. METHODS: A community-based cross-sectional study was conducted between
March and November 2014 among 428 recently delivered and pregnant women in the
Eastern Gurage Zone, Southern Ethiopia, where an MWH was established for high
risk pregnant women to await onset of labour. The structured questionnaire
contained questions regarding possible determinants and barriers. Logistic
regression with 95% Confidence Intervals (CI) was used to examine association of
selected variables with potential MWH use. RESULTS: While only thirty women
(7.0%) had heard of MWHs prior to the study, 236 (55.1%), after being explained
the concept, indicated that they intended to stay at such a structure in the
future. The most important factors associated with intended MWH use in the
bivariate analysis were a woman's education (secondary school or higher vs. no
schooling: odds ratio [OR] 6.3 [95% CI 3.46 to 11.37]), her husband's education
(secondary school or higher vs. no schooling: OR 5.4 [95% CI 3.21 to 9.06]) and
envisioning relatively few barriers to MWH use (OR 0.32 [95% CI 0.25 to 0.39]).
After adjusting for possible confounders, potential users had more frequently
suffered complications in previous childbirths (adjusted odds ratio [aOR] 4.0
[95% CI 1.13 to 13.99]) and envisioned fewer barriers to MWH use (aOR 0.3 [95% CI
0.23 to 0.38]). Barriers to utilization included being away from the household
(aOR 18.1 [95% CI 5.62 to 58.46]) and having children in the household cared for
by the community during a woman's absence (aOR 9.3 [95% CI 2.67 to 32.65]).
CONCLUSIONS: Most respondents had no knowledge about MWHs. Having had
complications during past births and envisioning few barriers were factors found
to be positively associated with intended MWH use. Unless community awareness of
preventive maternity care increases and barriers for women to stay at MWHs are
overcome, these facilities will continue to be underutilized, especially among
marginalized women.
PMID- 29351787
TI - SCL20A2 mutation presenting with acute ischemic stroke: a case report.
AB - BACKGROUND: Primary familial brain calcification (PFBC) is a rare disorder
characterized by distinctive bilateral brain calcification and variable clinical
presentations. However, cerebrovascular attack was rarely reported in PFBC
patients. We here reported a SLC20A2 mutation patient presenting with acute
ischemic stroke. CASE PRESENTATION: A 56 years old man was transferred to our
hospital because of 6 days of melena and 3 days of somnolence, agitation and mood
changes. Computed tomography (CT) scan showed symmetrical calcifications in
bilateral basal ganglia, caudate nucleus, thalami, subcortical white matter and
cerebellum, which is consistent with PFBC. Brain magnetic resonance imaging (MRI)
revealed acute ischemic stroke in bilateral basal ganglia and periventricular
regions. Mutational analysis identified a SLC20A2 gene mutation c.344C > T
(p.Thr115Met) in exon 3. One of his daughters had also suffered from brain
calcification. MR perfusion imaging revealed hypoperfusion in bilateral basal
ganglia, prefrontal and temporal lobe. After treatment, he discharged with a
favorable functional outcome but cognitive impairment. CONCLUSIONS: Ischemic
stroke can occur in PFBC patients, which may be associated with hypoperfusion and
calcification of arteries. And hypoperfusion in frontotemporal lobar may be
related with their cognitive impairment.
PMID- 29351788
TI - Elevated inflammatory cytokines in aqueous cytokine profile in HIV-1 infected
patients with cataracts in Uganda.
AB - BACKGROUND: Cataracts occur earlier among HIV-infected adults and this is
attributed to various intraocular inflammatory processes that result in early
degeneration. In this study we purposed to investigate whether HIV infected
individuals with cataracts develop heightened intraocular inflammatory processes
compared to their HIV negative counterparts by determining the concentration of 8
cytokines in the aqueous humour of HIV-positive adults with cataracts and their
HIV-negative counterparts. METHODS: A cross-sectional study was conducted among
consecutive adults with cataracts that were operated in an ophthalmology surgical
camp in western Uganda. We determined levels of Granulocyte macrophage
stimulating factor (GM-CSF), interleukin 6 (IL-6), interleukin 8 (IL-8), tumour
necrotic factor alpha (TNF-a), interferon gamma (IFN-g), interleukin 4 (IL-4),
interleukin 2 (IL-2), and interleukin (IL-10) in the aqueous fluid using a
multiplexed cytokine analysis. Data was entered in the SPSS version 10 and
analyzed using STATA statistical software version 7.0. Categorical and continuous
variables were compared using the chi2 test, Fisher's exact test and the
Student's t-test. Bonferroni correction was used to cater for multiple comparison
of p values for the various cytokines. RESULTS: The 50 adults that underwent
cataract surgery were outdoor peasants with similar exposure hours to UV
radiation. The HIV-positive patients were younger {median age 43 years (SD
11.741)} compared to the HIV -negative patients {median age 66.5 years (SD
21.4)}. The mean CD4+ T cell count of the HIV-positive patients was 161 cells
/mm3, and 12(48%) had started anti-retroviral therapy (ART). Pro inflammatory
cytokines, GM-CSF, IL-8 and IL-10 were significantly higher among HIV-positive
individuals (p = 0.001, 0.030, < 0.001 respectively). HIV-positive individuals on
ART also showed significantly higher levels of GM-CSF, IL-8 and IL - 10 (p =
0.002, 0.021, < 0.001 respectively). TNF-a and IL-4 were significantly higher
among those with a CD4+ T cell count greater than 200cells/mm3 compared to those
with CD4+ T cell count less than 200 cells/mm3 (p = 0.022, 0.032 respectively).
CONCLUSION: Cataracts among HIV-positive adults were associated with higher
intraocular inflammation relative to the healthy elderly individuals with
cataracts. There is need to explore the potential role of intra-ocular anti
inflammatory agents in the management of cataracts among HIV positive patients.
PMID- 29351789
TI - Prevention of severe infectious complications after colorectal surgery using
preoperative orally administered antibiotic prophylaxis (PreCaution): study
protocol for a randomized controlled trial.
AB - BACKGROUND: Colorectal surgery is frequently complicated by surgical site
infections (SSIs). The most important consequences of SSIs are prolonged
hospitalization, an increased risk of surgical reintervention and an increase in
mortality. Perioperative intravenously administered antibiotic prophylaxis is the
standard of care to reduce the risk of SSIs. In the last few decades,
preoperative orally administered antibiotics have been suggested as additional
prophylaxis to further reduce the risk of infection, but are currently not part
of routine practice in most hospitals. The objective of this study is to evaluate
the efficacy of a preoperative orally administered antibiotic prophylaxis (Pre
OP) in addition to intravenously administered perioperative antibiotic
prophylaxis to reduce the incidence of deep SSIs and/or mortality after elective
colorectal surgery. METHODS/DESIGN: The PreCaution trial is designed as a
multicenter, double-blind, randomized, placebo-controlled clinical trial that
will be carried out in The Netherlands. Adult patients who are scheduled for
elective colorectal surgery are eligible to participate. In total, 966 patients
will be randomized to receive the study medication. This will either be Pre-OP, a
solution that consists of tobramycin and colistin sulphate, or a placebo
solution. The study medication will be administered four times daily during the 3
days prior to surgery. Perioperative intravenously administered antibiotic
prophylaxis will be administered to all patients in accordance with national
infection control guidelines. The primary endpoint of the study is the cumulative
incidence of deep SSIs and/or mortality within 30 days after surgery. Secondary
endpoints include both infectious and non-infectious complications of colorectal
surgery, and will be evaluated 30 days and/or 6 months after surgery. DISCUSSION:
To date, conclusive evidence on the added value of preoperative orally
administered antibiotic prophylaxis in colorectal surgery is lacking. The
PreCaution trial should determine the effects of orally administered antibiotics
in preventing infectious complications in elective colorectal surgery. TRIAL
REGISTRATION: Netherlands Trial Register, ID: NTR6113 . Registered on 11 October
2016; EudraCT 2015-005736-17.
PMID- 29351790
TI - Development of a framework to improve the process of recruitment to randomised
controlled trials (RCTs): the SEAR (Screened, Eligible, Approached, Randomised)
framework.
AB - BACKGROUND: Research has shown that recruitment to trials is a process that
stretches from identifying potentially eligible patients, through eligibility
assessment, to obtaining informed consent. The length and complexity of this
pathway means that many patients do not have the opportunity to consider
participation. This article presents the development of a simple framework to
document, understand and improve the process of trial recruitment. METHODS: Eight
RCTs integrated a QuinteT Recruitment Intervention (QRI) into the main trial,
feasibility or pilot study. Part of the QRI required mapping the patient
recruitment pathway using trial-specific screening and recruitment logs. A
content analysis compared the logs to identify aspects of the recruitment pathway
and process that were useful in monitoring and improving recruitment. Findings
were synthesised to develop an optimised simple framework that can be used in a
wide range of RCTs. RESULTS: The eight trials recorded basic information about
patients screened for trial participation and randomisation outcome. Three trials
systematically recorded reasons why an individual was not enrolled in the trial,
and further details why they were not eligible or approached, or declined
randomisation. A framework to facilitate clearer recording of the recruitment
process and reasons for non-participation was developed: SEAR - Screening, to
identify potentially eligible trial participants; Eligibility, assessed against
the trial protocol inclusion/exclusion criteria; Approach, the provision of oral
and written information and invitation to participate in the trial, and
Randomised or not, with the outcome of randomisation or treatment received.
CONCLUSIONS: The SEAR framework encourages the collection of information to
identify recruitment obstacles and facilitate improvements to the recruitment
process. SEAR can be adapted to monitor recruitment to most RCTs, but is likely
to add most value in trials where recruitment problems are anticipated or
evident. Further work to test it more widely is recommended.
PMID- 29351791
TI - Efficacy of electrical acupuncture on vascular cognitive impairment with no
dementia: study protocol for a randomized controlled trial.
AB - BACKGROUND: Vascular cognitive impairment with no dementia (VCIND), manifested
mainly as mild impairment of concentration and executive function, is the early
phase of vascular dementia (VD). Currently, there is no specific treatment for
VCIND. We hypothesize that electrical acupuncture can improve the mental and
motor functions of patients with VCIND. Thus, we designed this randomized
controlled trial to test this hypothesis by comparing the therapeutic effect of
electrical acupuncture versus sham acupuncture in patients with VCIND.
METHOD/DESIGN: In this single-center 3-year study, 120 eligible patients will be
recruited and randomly assigned to receive electrical acupuncture treatment (n =
60) or sham acupuncture (n = 60) for 8 consecutive weeks (24 sessions in total),
with the same acupoint prescription (DU20, EX-HN3, DU24, DU17, DU26, EX-HN1, HT7,
PC6, GB20, SP6). The primary assessment is the Montreal Cognitive Assessment. The
secondary assessments are the Modified Barthel Index and Event-Related Potential.
All outcomes will be assessed at baseline, endpoint, and follow-up at 8 and 24
weeks after the end of treatment. DISCUSSION: If the outcome confirms the
effectiveness and safety of electrical acupuncture in treating VCIND, this
treatment is expected to be promoted in clinical practice to treat such patients.
TRIAL REGISTRATION: Chinese Clinical Trial Registry identifier: ChiCTR-IIR
17011513 ; Registered on 27 May 2017.
PMID- 29351792
TI - Successful recruitment to trials: findings from the SCIMITAR+ Trial.
AB - BACKGROUND: Randomised controlled trials (RCT) can struggle to recruit to target
on time. This is especially the case with hard to reach populations such as those
with severe mental ill health. The SCIMITAR+ trial, a trial of a bespoke smoking
cessation intervention for people with severe mental ill health achieved their
recruitment ahead of time and target. This article reports strategies that helped
us to achieve this with the aim of aiding others recruiting from similar
populations. METHODS: SCIMITAR+ is a multi-centre pragmatic two-arm parallel
group RCT, which aimed to recruit 400 participants with severe mental ill health
who smoke and would like to cut down or quit. The study recruited primarily in
secondary care through community mental health teams and psychiatrists with a
smaller number of participants recruited through primary care. Recruitment opened
in October 2015 and closed in December 2016, by which point 526 participants had
been recruited. We gathered information from recruiting sites on strategies which
led to the successful recruitment in SCIMITAR+ and in this article present our
approach to trial management along with the strategies employed by the recruiting
sites. RESULTS: Alongside having a dedicated trial manager and trial management
team, we identified three main themes that led to successful recruitment. These
were: clinicians with a positive attitude to research; researchers and clinicians
working together; and the use of NHS targets. The overriding theme was the
importance of relationships between both the researchers and the recruiting
clinicians and the recruiting clinicians and the participants. CONCLUSIONS: This
study makes a significant contribution to the limited evidence base of real-world
cases of successful recruitment to RCTs and offers practical guidance to those
planning and conducting trials. Building positive relationships between
clinicians, researchers and participants is crucial to successful recruitment.
PMID- 29351793
TI - Retinal complications of gout: a case report and review of the literature.
AB - BACKGROUND: There have been few reported findings of posterior segment
complications of gout. While exudative lesions, an increased risk of macular
degeneration, and vascular occlusions have been previously reported, to our
knowledge, refractile macular lesions have not been reported in a patient with
chronic uncontrolled gout. CASE PRESENTATION: Highly refractile, crystal-like
lesions were found in the macula of a 62 year old male patient with chronically
uncontrolled gout. The lesions appeared at the termination of retinal arterioles
and were located at the level of the retinal pigment epithelium. The lesions did
not stain with fluorescein and were associated with larger areas geographic
atrophy. Review of the patient's blood tests revealed well-controlled
vasculopathic risk factors. Fundus appearance and best-corrected visual acuity
remained stable over 12 months of follow-up during which the uric acid levels
were well controlled. CONCLUSION: Retinopathy may be associated with chronically
uncontrolled gout and patients with visual complaints should undergo a dilated
examination in addition to the typical anterior segment slit-lamp exam.
PMID- 29351794
TI - Metabolic syndrome severity is significantly associated with future coronary
heart disease in Type 2 diabetes.
AB - BACKGROUND: The severity of the metabolic syndrome (MetS) is significantly
associated with future coronary heart disease (CHD) among individuals without
baseline Type 2 diabetes. However, the validity of assessing MetS severity among
individuals with diabetes is unknown. OBJECTIVE: To assess for differences in
MetS severity by timing of Type 2 diabetes diagnosis and to assess for
associations between MetS severity and future CHD among individuals with
diabetes. METHODS: We analyzed data from participants of the Atherosclerosis Risk
in Communities study, including 1419 with- and 7241 without diabetes, followed
during 4 visits and adjudicated CHD diagnoses over a 20-year period. We used Cox
regression techniques to assess hazard ratios (HR) of CHD based on a sex- and
race/ethnicity-specific MetS-severity Z-score (standard MetS score) and a similar
MetS-severity score formulated without incorporating glucose as a component of
MetS (no-glucose MetS score). RESULTS: For both the standard- and no-glucose MetS
severity scores, scores were highest in the baseline-diabetes group, lowest in
the never-diabetes group and intermediate in the incident-diabetes groups. Among
participants with diabetes, increasing MetS-severity score at baseline was
associated with incident CHD, using both the standard MetS score (HR 1.29, 95%
confidence interval [CI] 1.21, 1.39) and the no-glucose score (HR 1.42, CI 1.24,
1.62) (both p < 0.001). For the baseline-diabetes group, this relationship
remained significant when Visit 2 Hemoglobin-A1c was included in the model, both
for the standard MetS score (HR 1.21, CI 1.09, 1.34; p < 0.001) and the no
glucose score (HR 1.25, CI 1.04, 1.51; p = 0.02). CONCLUSIONS: MetS severity
appears to provide an estimate of metabolic disarray in the setting of diabetes
and is predictive of future CHD events beyond HbA1c. Identifying MetS severity
among individuals with diabetes may help in identifying those at higher risk, who
could then receive further preventative treatment.
PMID- 29351795
TI - Malaria knowledge and bed net use in three transmission settings in southern
Africa.
AB - BACKGROUND: Insecticide-treated nets (ITNs) reduce malaria morbidity and
mortality in endemic areas. Despite increasing availability, the use of ITNs
remains limited in some settings. Poor malaria knowledge is a barrier to the
widespread use of ITNs. The goal of this study was to assess the levels of
malaria knowledge and evaluate factors associated with bed net use among
individuals residing in three regions of southern Africa with different levels of
malaria transmission and control. METHODS: A cross-sectional study was conducted
on a sample of 7535 residents recruited from 2066 households in Mutasa District,
Zimbabwe (seasonal malaria transmission), Choma District, Zambia (low
transmission) and Nchelenge District, Zambia (high transmission), between March
2012 and March 2017. A standardized questionnaire was used to collect data on
demographics, malaria-related knowledge and use of preventive measures.
Multivariate logistic regression analyses were used to assess determinants of bed
net use. RESULTS: Most of the 3836 adult participants correctly linked mosquito
bites to malaria (85.0%), mentioned at least one malaria symptom (95.5%) and knew
of the benefit of sleeping under an ITN. Bed net ownership and use were highest
in Choma and Nchelenge Districts and lowest in Mutasa District. In multivariate
analyses, knowledge of ITNs was associated with a 30-40% increased likelihood of
bed net use after adjusting for potential confounders across all sites. Other
factors significantly associated with bed net use were age, household size and
socioeconomic status, although the direction, strength and size of association
varied by study site. Importantly, participants aged 5-14 years had reduced odds
of sleeping under a bed net compared to children younger than 5 years.
CONCLUSION: Relevant knowledge of ITNs translated into the expected preventive
behaviour of sleeping under a bed net, underscoring the need for continued health
messaging on malaria prevention. The implementation and delivery of malaria
control and elimination interventions needs to consider socioeconomic equity
gaps, and target school-age children to ensure access to and improve utilization
of ITNs.
PMID- 29351796
TI - The Jun/miR-22/HuR regulatory axis contributes to tumourigenesis in colorectal
cancer.
AB - BACKGROUND: Colorectal cancer (CRC) is a severe health problem worldwide.
Clarifying the mechanisms for the deregulation of oncogenes and tumour
suppressors in CRC is vital for its diagnosis, treatment, prognosis and
prevention. Hu antigen R (HuR), which is highly upregulated in CRC, functions as
a pivotal oncogene to promote CRC progression. However, the underlying cause of
its dysregulation is poorly understood. METHODS: In CRC tissue sample pairs, HuR
protein levels were measured by Western blot and immunohistochemical (IHC)
staining, respectively. HuR mRNA levels were also monitored by qRT-PCR. Combining
meta-analysis and microRNA (miRNA) target prediction software, we predicted
miRNAs that targeted HuR. Pull-down assay, Western blot and luciferase assay were
utilized to demonstrate the direct binding of miR-22 on HuR's 3'-UTR. The
biological effects of HuR and miR-22 were investigated both in vitro by CCK-8,
EdU and Transwell assays and in vivo by a xenograft mice model. JASPAR and
SABiosciences were used to predict transcriptional factors that could affect miR
22. Luciferase assay was used to explore the validity of putative Jun binding
sites for miR-22 regulation. ChIP assay was performed to test the Jun's occupancy
on the C17orf91 promoter. RESULTS: We observed a significant upregulation of HuR
in CRC tissue pairs and confirmed the oncogenic function of HuR both in vitro and
in vivo. We found that an important tumour-suppressive miRNA, miR-22, was
significantly downregulated in CRC tissues and inversely correlated with HuR in
both CRC tissues and CRC cell lines. We demonstrated that miR-22 directly bound
to the 3'-UTR of HuR and led to inhibition of HuR protein, which repressed CRC
proliferation and migration in vitro and decelerated CRC xenografted tumour
growth in vivo. Furthermore, we found that the onco-transcription factor Jun
could inhibit the transcription of miR-22. CONCLUSIONS: Our findings highlight
the critical roles of the Jun/miR-22/HuR regulatory axis in CRC progression and
may provide attractive potential targets for CRC prevention and treatment.
PMID- 29351797
TI - Evaluating the impact of a quality management intervention on post-abortion
contraceptive uptake in private sector clinics in western Kenya: a pre- and post
intervention study.
AB - BACKGROUND: Integration of family planning counselling and method provision into
safe abortion services is a key component of quality abortion care. Numerous
barriers to post-abortion family planning (PAFP) uptake exist. This study aimed
to evaluate the effect of a quality management intervention for providers on PAFP
uptake. METHODS: We conducted a pre- and post-intervention study between November
2015 and July 2016 in nine private clinics in Western Kenya. We collected
baseline and post-intervention data using in-person interviews on the day of
procedure, and follow-up telephone interviews to measure contraceptive uptake in
the 2 weeks following abortion. We also conducted semi-structured interviews with
providers. The intervention comprised a 1-day orientation, a counselling job
aide, and enhanced supervision visits. The primary outcome was the proportion of
clients receiving any method of PAFP (excluding condoms) within 14 days of
obtaining an abortion. Secondary outcomes were the proportion of clients
receiving PAFP counselling, and the proportion of clients receiving long-acting
reversible contraception (LARC) within 14 days of the service. We used chi
squared tests and multivariate logistic regression to determine whether there
were significant differences between baseline and post-intervention, adjusting
for potential confounding factors and clustering at the clinic level. RESULTS:
Interviews were completed with 769 women, and 54% (414 women) completed a follow
up telephone interview. Reported quality of counselling and satisfaction with
services increased between baseline and post-intervention. Same-day uptake of
PAFP was higher at post-intervention compared to baseline (aOR 1.94, p < 0.001),
as was same-day uptake of LARC (aOR 1.72, p < 0.001). There was no overall
increase in uptake of PAFP 2 weeks following abortion. Providers reported mixed
opinions about the effectiveness of the intervention but most reported that the
supervision visits helped them improve the quality of their services.
CONCLUSIONS: A quality management intervention was successful in improving the
quality of PAFP counselling and provision. Uptake of same-day PAFP, including
LARC, increased, but there was no increase in overall uptake of PAFP 2 weeks
after the abortion.
PMID- 29351798
TI - Comparative salivary proteomics analysis of children with and without dental
caries using the iTRAQ/MRM approach.
AB - BACKGROUND: Dental caries is a major worldwide oral disease afflicting a large
proportion of children. As an important host factor of caries susceptibility,
saliva plays a significant role in the occurrence and development of caries. The
aim of the present study was to characterize the healthy and cariogenic salivary
proteome and determine the changes in salivary protein expression of children
with varying degrees of active caries, also to establish salivary proteome
profiles with a potential therapeutic use against dental caries. METHODS: In this
study, unstimulated saliva samples were collected from 30 children (age 10-12
years) with no dental caries (NDC, n = 10), low dental caries (LDC, n = 10), and
high dental caries (HDC, n = 10). Salivary proteins were extracted, reduced,
alkylated, trypsin digested and labeled with isobaric tags for relative and
absolute quantitation, and then they were analyzed with GO annotation, biological
pathway analysis, hierarchical clustering analysis, and protein-protein
interaction analysis. Targeted verifications were then performed using multiple
reaction monitoring mass spectrometry. RESULTS: A total of 244 differentially
expressed proteins annotated with GO annotation in biological processes, cellular
component and molecular function were identified in comparisons among children
with varying degrees of active caries. A number of caries-related proteins as
well as pathways were identified in this study. As compared with caries-free
children, the most significantly enriched pathways involved by the up-regulated
proteins in LDC and HDC were the ubiquitin mediated proteolysis pathway and
African trypanosomiasis pathway, respectively. Subsequently, we selected 53
target proteins with differential expression in different comparisons, including
mucin 7, mucin 5B, histatin 1, cystatin S and cystatin SN, basic salivary proline
rich protein 2, for further verification using MRM assays. Protein-protein
interaction analysis of these proteins revealed complex protein interaction
networks, indicating synergistic action of salivary proteins in caries resistance
or cariogenicity. CONCLUSIONS: Overall, our results afford new insight into the
salivary proteome of children with dental caries. These findings might have
bright prospect in future in developing novel biomimetic peptides with preventive
and therapeutic benefits for childhood caries.
PMID- 29351799
TI - Effect of the replacement of dietary vegetable oils with a low dose of
extravirgin olive oil in the Mediterranean Diet on cognitive functions in the
elderly.
AB - BACKGROUND: Numerous studies have investigated the role of the monounsaturated
fatty acid and other dietary factors in the prevention of cognitive decline but
the short-term effect of a low dose of extravirgin olive oil on cognitive
performances in the elderly have not still been investigated. Our aim was to
investigate whether the replacement of all vegetable oils with a lower amount of
extravirgin olive oil, in the contest of a Mediterranean Diet, would improve
cognitive performances, among elderly Italian individuals. METHODS: 180 elderly
individuals were randomly assigned to these treatment groups for 1 year: (1)
MedDiet plus extravirgin OO, 20-30 g/day; (2) control MedDiet. The cognitive sub
test of ADAScale was used to detect cognitive decline progression over 12 months.
RESULTS: ADAS-cog score variation after 1 year, adjusted for food groups which
were different between groups, was - 1.6 +/- 0.4 and - 3.0 +/- 0.4 in the MedDiet
and MedDiet plus extravirgin OO groups, respectively (p = 0.024). Extravirgin OO
intake was 30 g +/- 12 and 26 g +/- 6 in the MedDiet and MedDiet plus extravirgin
OO groups, respectively (p = 0.044). CONCLUSIONS: We demonstrated the higher
short-term improvement of cognitive functions scores in individuals of the
MedDiet plus low dose of extravirgin olive oil rather than MedDiet alone.
Extravirgin olive oil is the best quality oil and may have a neuroprotective
effect.
PMID- 29351800
TI - Drug resistance genes: pvcrt-o and pvmdr-1 polymorphism in patients from malaria
endemic South Western Coastal Region of India.
AB - BACKGROUND: Malaria is highly prevalent in many parts of India and is mostly
caused by the parasite species Plasmodium vivax followed by Plasmodium
falciparum. Chloroquine (CQ) is the first-line treatment for blood stage P. vivax
parasites, but cases of drug resistance to CQ have been reported from India. One
of the surveillance strategies which is used to monitor CQ drug resistance, is
the analysis of single nucleotide polymorphisms (SNPs) of the associated gene
markers. Susceptibility to CQ can also be determined by copy number assessment of
multidrug resistant gene (mdr-1). The current study has examined the prevalence
of SNPs in P. vivax orthologs of P. falciparum chloroquine resistant and multi
drug resistant genes (pvcrt-o and pvmdr-1, respectively) and pvmdr-1 copy number
variations in isolates from the highly endemic Mangaluru city near the South
Western Coastal region of India. METHODS: A total of 140 blood samples were
collected from P. vivax infected patients attending Wenlock Hospital Mangaluru
during July 2014 to January 2016. Out of these 140 samples, sequencing was
carried out for 54 (38.5%) and 85 (60.7%) isolates for pvcrt-o and pvmdr-1,
respectively. Single nucleotide polymorphisms (SNPs) in the pvcrt-o and pvmdr-1
genes were analysed by direct sequencing method, while copy number variations of
60 isolates (42. 8%) were determined by real time PCR. RESULTS: Out of 54
clinical isolates analysed for pvcrt-o, three (5.6%) showed K10 insertion and the
rest had wild type sequence. This is the first report to show K10 insertion in P.
vivax isolates from India. Further, out of 85 clinical isolates of P. vivax
analysed for mutations in pvmdr-1 gene, only one isolate had wild type sequence
(~ 1%) while the remaining (99%) carried mutant alleles. Seven non-synonymous
mutations with two novel mutations (I946V and Y1028C) were observed. Of all the
observed mutations in pvmdr-1 gene, T958M was most highly prevalent (present in
90% of samples) followed by F1076L (76%), and Y976F (7%). Amplification of pvmdr
1 gene was observed in 31.6% of the isolates, out of 60 amplified. CONCLUSION:
The observed variations both in pvmdr-1 and pvcrt-o genes indicate a trend
towards parasite acquiring CQ resistance in this endemic area.
PMID- 29351801
TI - High expression of TMEM40 is associated with the malignant behavior and
tumorigenesis in bladder cancer.
AB - BACKGROUND: Bladder cancer (BCa) is one of the most common cancers in the urinary
system among the world. Previous studies suggested that TMEM40 expression level
was significantly associated with clinicopathological parameters including
histological grade, clinical stage and pT status of bladder cancer. However, the
molecular mechanism of TMEM40 in BCa remains poorly understood. METHODS: Real
time quantitative RT-PCR (qRT-PCR) and western blot (WB) were used to examine the
expression levels of TMEM40 in BCa tissues, paired non-cancer tissues and cell
lines. A series of experiments, including CCK-8, wound healing, flow cytometry,
transwell and EdU assays were performed to assess the effects of TMEM40 on cell
proliferation, cell cycle and apoptosis, migration and invasion. In addition,
tumor growth was evaluated in vivo using a xenogenous subcutaneously implant
model. All statistical analyses were executed by using the SPSS 20.0 software.
All experimental data from three independent experiments were analyzed by
Student's t test and results were expressed as mean +/- standard deviation.
RESULTS: In this study, we identified the role of TMEM40 in the tumorigenesis of
bladder cancer and found that it was upregulated in bladder cancer tissues and
cell lines, compared with their normal counterparts. The results demonstrated
that effective silence of TMEM40 expression suppressed cell proliferation,
blocked G1-to-S cell cycle transition, and inhibited cell migration and invasion
in human bladder 5637 and EJ cell lines. Consistently, in vivo data showed that
TMEM40 silencing could dramatically decreased tumor growth. Further study
revealed that TMEM40 knockdown resulted in accumulation of p53 and p21 protein
and decrease of c-MYC and cyclin D1 protein. CONCLUSION: These data suggest that
TMEM40 represents a potential oncogene, which exert a crucial role in the
proliferation and apoptosis via the p53 signaling pathway in BCa, thus probably
serve as a novel candidate biomarker and a potential therapeutic target for
patients with BCa.
PMID- 29351802
TI - Objectively measured active transportation to school and other destinations among
10-13 year olds.
AB - BACKGROUND: Descriptive data on active transportation in children focuses on the
trip to school and has relied on subjective reports. The purpose of this study
was to use objective measures to describe total active transportation and active
transportation to common destinations within children. METHODS: This was a
descriptive study of 388 children aged 10-13 years from Kingston, Ontario,
Canada. Participants wore a Garmin GPS watch during waking hours for seven days.
Personal Activity Measurement Location System software used the GPS data to
identify trips, time spent in each trip and the trip modality (walking, bicycle
or vehicle). Google Maps software was used to identify trip destinations.
RESULTS: A total of 8875 trips were identified. Most (69%) trips were made by
vehicle; 25% were made by walking and 6% by bicycle. Mean time spent in active
transportation was 10.3 min/day (95% CI: 7.4, 14.5). Time spent in active
transportation was higher for boys (12.1 min/day [95% CI: 8.8, 17.0) than for
girls (8.5 min/day [95% CI: 6.1, 12.0]) and increased from 7.7 min/day (95% CI:
5.5, 11.1) at age 10 to 14.3 min/day (95% CI: 10.3, 19.9) at age 13. Time spent
in active transportation was lower in the winter by comparison to the other
seasons. The four most common active transportation destinations were the
participant's home, school, other people's homes, and parks or greenspace with
69%, 39%, 37% and 32% of participants walking or bicycling to these destinations
at least once over the 7-day measurement period. CONCLUSION: Over 65% of trips
made and time spent travelling occurred in a vehicle. When active transportation
was used, the most common destinations were home, school, other people's homes,
and parks.
PMID- 29351803
TI - Psychometric properties and measurement equivalence of the Multidimensional
Fatigue Syndrome Inventory- Short Form (MFSI-SF) amongst breast cancer and
lymphoma patients in Singapore.
AB - BACKGROUND: Currently, several fatigue measurement instruments are available to
evaluate and measure cancer-related fatigue. Amongst them, Multidimensional
Fatigue Syndrome Inventory-Short Form (MFSI-SF) is a self-reported instrument and
a multidimensional scale that aims to capture the global, somatic, affective,
cognitive and behavioural symptoms of fatigue. This study examines the
psychometric properties and measurement equivalence of the English and Chinese
versions of MFSI-SF in breast cancer and lymphoma patients in Singapore. METHODS:
Patients were recruited from National Cancer Centre Singapore. Validity,
reliability and responsiveness of MFSI-SF were evaluated in this study.
Convergent validity was evaluated by correlating total and subscales of MFSI-SF
to known related constructs in EORTC QLQ-C30. Known group validity was assessed
based on patients' cancer stage, pain, insomnia and depression symptoms.
Reliability was evaluated by Cronbach's alpha. Responsiveness analyses were
performed with patients who have undergone at least one cycle of chemotherapy.
Multiple regression was used to compare the total and subscale scores of MSFI-SF
between the two language versions. RESULTS: Data from 246 (160 English and 86
Chinese version) breast cancer and lymphoma patients were included in the study.
Moderate to high correlations were observed between correlated MFSI-SF subscales
and EORTC QLQ-C30 domains (|r| = 0.524 to 0.774) except for a poor correlation (r
= 0.394) observed between MFSI-SF vigour subscale and EORTC QLQ-C30 role
functioning subscale. Total MFSI-SF scores could differentiate between patients
with higher depression, pain and insomnia status. Internal consistency of MFSI-SF
was also high (alpha = 0.749 to 0.944). Moderate correlation was observed between
change in total MFSI-SF score and change in fatigue symptom scale score and
global QoL score on EORTC QLQ-C30 (|r| = 0.478 and 0.404 respectively). Poor
correlations were observed between change in scores of hypothesised subscales
(|r| = 0.202 to 0.361) except for a moderate correlation between change in MFSI
SF emotional fatigue score and change in EORTC QLQ-C30 emotional functioning
domain score. Measurement equivalence was established for all subscales and total
MFSI-SF score except for the emotional and vigour subscales. CONCLUSIONS: This
study supports the use of MFSI-SF as a reasonably valid scale with good internal
consistency for measuring fatigue levels in the Singapore cancer population.
PMID- 29351804
TI - A metastasized hepatocellular carcinoma in the capsule of an undescended testis
in the right inguinal area: report of a rare case.
AB - BACKGROUND: Hepatocellular Carcinoma (HCC) is the most common primary carcinoma
of the liver, which mainly metastasizes through the portal vein system. CASE
PRESENTATION: Here, we report an extremely rare case in which HCC metastasized to
the capsule of an undescended testis in the right inguinal area of the patient. A
tumor approximately 8.8 * 7.0 cm in size was found in the patient's liver during
a health check-up. Initially, it was considered a metastatic tumor because the
patient was found to have cryptorchidism, which had been left untreated before he
presented to our hospital. The patient underwent a radical orchiectomy via
inguinal approach, and the resected testis in the right inguinal region was
examined via microscopy. The cancer cells were arranged in nests and showed
abundant red or clear cytoplasm and marked nuclear atypia. Immunohistochemical
staining showed that the tumor cells were positive for CK, CK8/18, AFP,
hepatocyte, GCP3, but negative for PLAP, CD10, CD30, CD34, and vimentin.
CONCLUSION: According to these findings, the tumor in the inguinal region was
considered a metastatic HCC arising from the liver, rather than a seminoma that
had originated in the undescended testis. We suggest that during the diagnosis of
malignancies, metastatic tumors should always be considered in the differential
diagnosis even if the original presentation is at rare metastatic sites or
concurrent with other disease(s).
PMID- 29351805
TI - Neuroprotection by chitosan nanoparticles in oxidative stress-mediated injury.
AB - OBJECTIVE: Oxidative stress is a critical component of nervous system secondary
injury. Oxidative stress produces toxic chemical byproducts including reactive
aldehydes that traverse intact membranes and attack neighboring healthy cells.
This secondary damage often leads to further patho-biochemical cascades that
exacerbate the original insult. In this work, we investigate the therapeutic
effects of chitosan nanoparticles on cell cultures exposed to oxidative stress.
RESULTS: We found chitosan nanoparticles can rescue BV-2 glial cells from death,
but only for cells undergoing necrosis. Necrosis occurred when cultures were
challenged with high concentrations of H2O2 (> 110 MUM) whereas a slow and
progressive loss of cultures was observed in more dilute (50-100 MUM) peroxide
applications. In the latter case, the primary mode of cell death was apoptosis.
These studies revealed that while rescue of H2O2 challenged cultures was achieved
for necrotic cell death, no such sparing was observed in apoptotic cells. Based
on the current and cumulative data regarding the membrane fusogenic properties of
chitosan, we conclude that chitosan neuroprotection arises from its membrane
sealing effects. Consistent with this hypothesis is the observation that
apoptotic cells did not exhibit early stage membrane damage. These in vitro
results elucidate mechanisms by which membrane fusogens may provide therapeutic
benefit.
PMID- 29351806
TI - Isolated septic arthritis of hip joint: a rare presentation of melioidosis. A
case report.
AB - BACKGROUND: Despite, Sri Lanka lies in the melioidosis endemic belt between 5
degrees N and 10 degrees N surrounded by countries known to have endemic
melioidosis for many years, comparatively fewer cases of melioidosis infection
have been reported in Sri Lanka. Melioidosis has a wide spectrum of clinical
presentation, ranging from severe pneumonia to abscess formation in various
organs. Isolated septic arthritis, which is a rare but well-recognized
manifestation of melioidosis, could be the sole presenting problem in some
patients with melioidosis. CASE PRESENTATION: We report a middle aged diabetic
female who has been on azathioprine for autoimmune hepatitis, presenting with
pain and swelling of left hip joint. Investigations confirmed the clinical
suspicion of septic arthritis, but all relevant microbiological investigations
failed to isolate a causative organism. Due to the history of diabetes, possible
immunosuppression with azathioprine, and failure to recognise the possible
causative organism by initial investigations prompted us to investigate for
melioidosis. Diagnosis of melioidosis was made by presence high titre of
antibodies to melioidin antigen, and rapid response to appropriate treatment. The
patient was treated with intravenous imipenem 1000 mg 6 hourly and oral
cotrimoxazole (1920 mg 12 hourly) for 4 weeks followed by eradication therapy
with cotrimoxazole and doxycycline. CONCLUSION: Given that melioidosis-induced
septic arthritis share common features with septic arthritis due to other common
pyogenic bacteria, differentiation of these two conditions is extremely
difficult. Therefore, melioidosis needs to be considered as a possibility, when a
patient with risk factors for melioidosis such as diabetes or immunosuppression
presents with isolated septic arthritis. This case report has been presented to
raise the awareness of an unusual presentation of melioidosis; isolated septic
arthritis.
PMID- 29351807
TI - Vitamin D receptor rs2228570 polymorphism is associated with LH levels in men
exposed to anabolic androgenic steroids.
AB - OBJECTIVE: The primary aim of this study was to investigate the association
between the vitamin D receptor polymorphisms rs2228570 (Fok1) and rs731236 (TaqI)
and LH and FSH levels in relation to anabolic androgenic steroid (AAS) use.
RESULTS: Two cohorts were analyzed. Cohort 1 comprised healthy volunteers given
single supra-physiological doses of 500 mg testosterone (n = 25). Cohort 2
comprised 45 self-reporting AAS users. Healthy volunteers homozygous for the C
allele of the Fok1 polymorphism exhibited 30% higher LH levels than T-carriers at
baseline (p = 0.04) and twice the levels 14 days after testosterone
administration (p = 0.01). AAS users homozygous for the C-allele had four times
higher LH levels than TT-individuals (p < 0.05). FSH levels were not associated
with Fok1 polymorphism, nor were LH and FSH levels associated with the TaqI
polymorphism. In conclusion, there is an association between LH levels and the
Fok1 VDR polymorphism and this difference is even more pronounced in AAS users
and subjects with suppressed LH levels.
PMID- 29351808
TI - Clinicopathologic features of colorectal carcinoma: features predicting higher T
stage and nodal metastasis.
AB - OBJECTIVES: A rising frequency of colorectal carcinoma has been noted in recent
years in Pakistan. In the present study, we aimed to evaluate clinicopathologic
features of colorectal carcinoma in our population so that protocols could be
developed to stratify patients that may require further biomarker/molecular
testing. Furthermore, histological features which predict higher T and N stage
were also evaluated. RESULTS: Median age at diagnosis was 54.5 (19-85) years. 79%
cases were of conventional adenocarcinoma while 13% cases were of mucinous
carcinoma. Most of the cases were at T3 stage (81%), while 27 and 68% of cases
revealed lymphovascular invasion and nodal metastasis respectively. Mucinous and
signet ring tumors were associated with a higher N stage. Pre-existing polyp was
associated with lower T and N stage. We found a high proportion of our cases to
present at advanced T-stage. Tumor grade and lymphovascular invasion were found
to be associated with higher N-stage while tumor infiltrating lymphocytes was
associated with lower T and N-stage. Moreover, a high frequency of mucinous
differentiation may be linked to microsatellite instability in our cases of
colorectal carcinoma; therefore, we suggest that microsatellite instability
testing in colorectal carcinoma should be evaluated in our setup.
PMID- 29351809
TI - Axonal transport in a peripheral diabetic neuropathy model: sex-dimorphic
features.
AB - BACKGROUND: Disruption of axonal transport plays a pivotal role in diabetic
neuropathy. A sex-dimorphism exists in the incidence and symptomatology of
diabetic neuropathy; however, no studies so far have addressed sex differences in
axonal motor proteins expression in early diabetes as well as the possible
involvement of neuroactive steroids. Interestingly, recent data point to a role
for mitochondria in the sexual dimorphism of neurodegenerative diseases.
Mitochondria have a fundamental role in axonal transport by producing the motors'
energy source, ATP. Moreover, neuroactive steroids can also regulate
mitochondrial function. METHODS: Here, we investigated the impact of short-term
diabetes in the peripheral nervous system of male and female rats on key motor
proteins important for axonal transport, mitochondrial function, and neuroactive
steroids levels. RESULTS: We show that short-term diabetes alters mRNA levels and
axoplasm protein contents of kinesin family member KIF1A, KIF5B, KIF5A and Myosin
Va in male but not in female rats. Similarly, the expression of peroxisome
proliferator-activated receptor gamma co-activator-1alpha, a subunit of the
respiratory chain complex IV, ATP levels and the key regulators of mitochondrial
dynamics were affected in males but not in females. Concomitant analysis of
neuroactive steroid levels in sciatic nerve showed an alteration of testosterone,
dihydrotestosterone, and allopregnanolone in diabetic males, whereas no changes
were observed in female rats. CONCLUSIONS: These findings suggest that sex
specific decrease in neuroactive steroid levels in male diabetic animals may
cause an alteration in their mitochondrial function that in turn might impact in
axonal transport, contributing to the sex difference observed in diabetic
neuropathy.
PMID- 29351810
TI - Identify Down syndrome transcriptome associations using integrative analysis of
microarray database and correlation-interaction network.
AB - BACKGROUND: Long non-coding RNAs (lncRNAs) have previously been emerged as key
players in a series of biological processes. Dysregulation of lncRNA is
correlated to human diseases including neurological disorders. Here, we developed
a multi-step bioinformatics analysis to study the functions of a particular Down
syndrome-associated gene DSCR9 including the lncRNAs. The method is named
correlation-interaction-network (COIN), based on which a pipeline is implemented.
Co-expression gene network analysis and biological network analysis results are
presented. METHODS: We identified the regulation function of DSCR9, a lncRNA
transcribed from the Down syndrome critical region (DSCR) of chromosome 21, by
analyzing its co-expression genes from over 1700 sets and nearly 60,000 public
Affymetrix human U133-Plus 2 transcriptional profiling microarrays. After proper
evaluations, a threshold is chosen to filter the data and get satisfactory
results. Microarray data resource is from EBI database and protein-protein
interaction (PPI) network information is incorporated from the most complete
network databases. PPI integration strategy guarantees complete information
regarding DSCR9. Enrichment analysis is performed to identify significantly
correlated pathways. RESULTS: We found that the most significant pathways
associated with the top DSCR9 co-expressed genes were shown to be involved in
neuro-active ligand-receptor interaction (GLP1R, HTR4, P2RX2, UCN3, and UTS2R),
calcium signaling pathway (CACNA1F, CACNG4, HTR4, P2RX2, and SLC8A3), neuronal
system (KCNJ5 and SYN1) by the KEGG, and GO analysis. The A549 and U251 cell
lines with stable DSCR9 overexpression were constructed. We validated 10 DSCR9 co
expression genes by qPCR in both cell lines with over 70% accuracy. CONCLUSIONS:
DSCR9 was highly correlated with genes that were known as important factors in
the developments and functions of nervous system, indicating that DSCR9 may
regulate neurological proteins regarding Down syndrome and other neurological
related diseases. The pipeline can be properly adjusted to other applications.
PMID- 29351811
TI - Rate and determinants of non-adherence to a gluten-free diet and nutritional
status assessment in children and adolescents with celiac disease in a tertiary
Brazilian referral center: a cross-sectional and retrospective study.
AB - BACKGROUND: Compliance with a gluten-free diet (GFD) is difficult at all ages but
particularly for teenagers due to social, cultural, economic, and practical
pressures. The multidisciplinary team responsible for the treatment of patients
with celiac disease and give support to their parents plays a special role on
strengthening GFD and assessing the nutritional and physical health. METHODS: A
cross-sectional and retrospective study including patients under 20 years of age,
with biopsy-confirmed CD, followed regularly at the Department of Pediatrics,
Division of Gastroenterology, Hospital das Clinicas, University of Sao Paulo,
School of Medicine, Sao Paulo, Brazil, were surveyed using a questionnaire and
serologic test applied between November 2011 and February 2012. A retrospective
chart review of these patients was performed to collect the anthropometric data
along with the results of the serologic test performed at the time of diagnosis
and after at least 1 year of treatment with a GFD. RESULTS: We evaluated 35
patients aged between 2.4 and 19.9 years. Of these 68.6% were female, 88.6% had
the typical form of the disease and 51.4% had other comorbidities. The mean age
at diagnosis was 5.4 years. Despite dietary guidance, 20% reported non-adherence
to the diet. Most children recovered the weight and height deficit after 5 years
of treatment, and in some children, excessive weight gain became a concern.
CONCLUSION: The majority of transgressions occurred intentionally at home or at
parties. There was a risk of excessive weight gain, especially in the first two
years of treatment. More alternatives and easier access to low cost gluten-free
foods, increasing the discussion about the benefits of adhering to a GFD among
patients, families, and the general population, besides the acquisition of self
management skills, are crucial to fostering independent children and adolescents
who have the knowledge and tools to manage life with CD.
PMID- 29351812
TI - A hybrid protein containing MSP1a repeats and Omp7, Omp8 and Omp9 epitopes
protect immunized BALB/c mice against anaplasmosis.
AB - Anaplasma marginale (A. marginale) has a remarkable impact on livestock
production, and an effective vaccine is not currently available due to the
inexistence of a small animal model. Recently, BALB/c mice were successfully
infected with A. marginale, resulting in an acute and persistent anaplasmosis
infection. Here, we designed a hybrid protein containing repeats of polypeptide
1a from major surface protein-1 complex (MSP1a) repeats and common epitopes of
outer membrane proteins (OMPs) OMP7, OMP8 and OMP9 expressed in Escherichia coli.
Our proof-of-concept assessed vaccinal effectiveness against a challenge with
live bacteria. The MSP1a/OMP7/8/9 immunized BALB/C mice exhibited a strong
reduction in rickettsemia and had no signs of anaplasmosis or hepatic lesions. In
contrast, the non-immunized mice exhibited signs of anaplasmosis and a body
weight loss associated with increases in monocyte and neutrophil counts.
Furthermore, the non-immunized mice displayed atrophies with chronic inflammatory
infiltrates in the spleen and increased binucleation and hydropic degeneration in
the hepatocytes. Our findings demonstrated that immunization with our hybrid
protein induced a strong reduction in rickettsemia and conferred protection
against anaplasmosis. Therefore, given the strong evidence of the protective
effect against anaplasmosis, hybrid protein designs are potential candidates for
the rational design of vaccinal subunits.
PMID- 29351813
TI - Integrated biogeography of planktonic and sedimentary bacterial communities in
the Yangtze River.
AB - BACKGROUND: Bacterial communities are essential to the biogeochemical cycle in
riverine ecosystems. However, little is presently known about the integrated
biogeography of planktonic and sedimentary bacterial communities in large rivers.
RESULTS: This study provides the first spatiotemporal pattern of bacterial
communities in the Yangtze River, the largest river in Asia with a catchment area
of 1,800,000 km2. We find that sedimentary bacteria made larger contributions
than planktonic bacteria to the bacterial diversity of the Yangzte River
ecosystem with the sediment subgroup providing 98.8% of 38,906 operational
taxonomic units (OTUs) observed in 280 samples of synchronous flowing water and
sediment at 50 national monitoring stations covering a 4300 km reach. OTUs within
the same phylum displayed uniform seasonal variations, and many phyla
demonstrated autumn preference throughout the length of the river. Seasonal
differences in bacterial communities were statistically significant in water,
whereas bacterial communities in both water and sediment were geographically
clustered according to five types of landforms: mountain, foothill, basin,
foothill-mountain, and plain. Interestingly, the presence of two huge dams
resulted in a drastic fall of bacterial taxa in sediment immediately downstream
due to severe riverbed scouring. The integrity of the biogeography is
satisfactorily interpreted by the combination of neutral and species sorting
perspectives in meta-community theory for bacterial communities in flowing water
and sediment. CONCLUSIONS: Our study fills a gap in understanding of bacterial
communities in one of the world's largest river and highlights the importance of
both planktonic and sedimentary communities to the integrity of bacterial
biogeographic patterns in a river subject to varying natural and anthropogenic
impacts.
PMID- 29351814
TI - SETDB1 prevents TET2-dependent activation of IAP retroelements in naive embryonic
stem cells.
AB - BACKGROUND: Endogenous retroviruses (ERVs), which are responsible for 10% of
spontaneous mouse mutations, are kept under control via several epigenetic
mechanisms. The H3K9 histone methyltransferase SETDB1 is essential for ERV
repression in embryonic stem cells (ESCs), with DNA methylation also playing an
important role. It has been suggested that SETDB1 protects ERVs from TET
dependent DNA demethylation, but the relevance of this mechanism for ERV
expression remains unclear. Moreover, previous studies have been performed in
primed ESCs, which are not epigenetically or transcriptionally representative of
preimplantation embryos. RESULTS: We use naive ESCs to investigate the role of
SETDB1 in ERV regulation and its relationship with TET-mediated DNA
demethylation. Naive ESCs show an increased dependency on SETDB1 for ERV
silencing when compared to primed ESCs, including at the highly mutagenic
intracisternal A particles (IAPs). We find that in the absence of SETDB1, TET2
activates IAP elements in a catalytic-dependent manner. Surprisingly, TET2 does
not drive changes in DNA methylation levels at IAPs, suggesting that it regulates
these retrotransposons indirectly. Instead, SETDB1 depletion leads to a TET2
dependent loss of H4R3me2s, which is indispensable for IAP silencing during
epigenetic reprogramming. CONCLUSIONS: Our results demonstrate a novel and
unexpected role for SETDB1 in protecting IAPs from TET2-dependent histone
arginine demethylation.
PMID- 29351815
TI - Ready-to-use protein G-conjugated gold nanorods for biosensing and biomedical
applications.
AB - BACKGROUND: Gold nanorods (GNRs) display unique capacity to absorb and scatter
near infrared light, which arises from their peculiar composition of surface
plasmon resonances. For this reason, GNRs have become an innovative material of
great hope in nanomedicine, in particular for imaging and therapy of cancer, as
well as in photonic sensing of biological agents and toxic compounds for e.g.
biomedical diagnostics, forensic analysis and environmental monitoring. As the
use of GNRs is becoming more and more popular, in all these contexts, there is
emerging a latent need for simple and versatile protocols for their modification
with targeting units that may convey high specificity for any analyte of interest
of an end-user. RESULTS: We introduce protein G-coated GNRs as a versatile
solution for the oriented immobilization of antibodies in a single step of
mixing. We assess this strategy against more standard covalent binding of
antibodies, in terms of biocompatibility and efficiency of molecular recognition
in buffer, serum and plasma, in the context of the development of a direct
immunoenzymatic assay. In both cases, we estimate an average of around 30 events
of molecular recognition per particle. In addition, we disclose a convenient
protocol to store these particles for months in a freezer, without any
detrimental effect. CONCLUSIONS: The biocompatibility and efficiency of molecular
recognition is similar in either case of GNRs that are modified with antibodies
by covalent binding or oriented immobilization through protein G. However,
protein G-coated GNRs are most attractive for an end-user, owing to their unique
versatility and ease of bioconjugation with antibodies of her/his choice.
PMID- 29351817
TI - Standard Method Performance Requirements (SMPRs(r)) and Corresponding AOAC First
and Final Action Official MethodsSM.
PMID- 29351816
TI - Effects of gut-derived endotoxin on anxiety-like and repetitive behaviors in male
and female mice.
AB - BACKGROUND: Gut dysbiosis is observed in several neuropsychiatric disorders
exhibiting increases in anxiety behavior, and recent work suggests links between
gut inflammation and such disorders. One source of this inflammation may be
lipopolysaccharide (LPS), a toxic component of gram-negative bacteria. Here, we
(1) determine whether oral gavage of LPS, as a model of gut-derived endotoxemia,
affects anxiety-like and/or repetitive behaviors; (2) test whether these changes
depend on TLR4 signaling; and (3) test the extent to which gut-derived endotoxin
and TLR4 antagonism affects males and females differently. METHODS: In experiment
1, male wild-type (WT) and Tlr4-/- mice were tested for locomotor, anxiety-like,
and repetitive behaviors in an automated open field test apparatus, 2 h after
oral gavage of LPS or saline. In experiment 2, male and female WT mice received
an oral gavage of LPS and an injection of one or two TLR4 antagonists that target
different TLR4 signaling pathways ((+)-naloxone and LPS derived from R.
sphaeroides (LPS-RS)). Univariate and multivariate analyses were used to identify
effects of treatment, sex, and genotype and their interaction. RESULTS: In
experiment 1, oral gavage of LPS increased anxiety-like behavior in male WT mice
but not in Tlr4-/- mice. In experiment 2, oral gavage of LPS increased anxiety
like and decreased repetitive behaviors in WT mice of both sexes. Neither
antagonist directly blocked the effects of orally administered LPS. However,
treatment with (+)-naloxone, which blocks the TRIF pathway of TLR4, had opposing
behavioral effects in males and females (independent of LPS treatment). We also
identified sex differences in the expression of interleukin-6, a pro-inflammatory
cytokine, in the gut both in basal conditions and in response to LPS. CONCLUSION:
In spite of the ubiquitous nature of LPS in the gut lumen, this is the first
study to demonstrate that intestinally derived LPS can initiate behavioral
aspects of the sickness response. While an increased enteric load of LPS
increases anxiety-like behavior in both sexes, it likely does so via sex-specific
mechanisms. Similarly, TLR4 signaling may promote baseline expression of
repetitive behavior differently in males and females. This study lays the
groundwork for future interrogations into connections between gut-derived
endotoxin and behavioral pathology in males and females.
PMID- 29351818
TI - Obstructive Sleep Apnea in Patients With Congenital Central Hypoventilation
Syndrome Ventilated by Diaphragm Pacing Without Tracheostomy.
AB - STUDY OBJECTIVES: To determine presence of obstructive sleep apnea (OSA) in
patients with congenital central hypoventilation syndrome (CCHS) ventilated by
diaphragm pacing (DP) without tracheostomy, and to determine if OSA can be
improved by DP setting changes. METHODS: We reviewed polysomnography (PSG)
results of 15 patients with CCHS from October 2001 to April 2014, age 15.4 +/-
7.8 years, body mass index 22.0 +/- 6.0 kg/m2, and 60% female. RESULTS: Of the 22
PSG results obtained for the 15 patients with CCHS, 9 were performed with
tracheostomy capped, and 13 were performed after patients underwent
decannulation. OSA was present on 6 of 9 tests in patients with tracheostomy
capped, including 3 patients with immediate, severe OSA necessitating that the
studies be completed with tracheostomy uncapped. OSA was present on 2 of 13 tests
in patients in whom decannulation had been performed. Hypoventilation was seen on
only one test without OSA. On 2 of 5 tests showing OSA, OSA improved by
decreasing DP amplitude settings; apnea-hypopnea index decreased from 11.1 +/-
2.5 to 1.8 +/- 2.5 events/h; PETCO2 decreased from 57.5 +/- 3.5 to 38.5 +/- 0.7
torr; SpO2 increased from 76.5 +/- 0.7% to 93.0 +/- 7.1%. OSA improved in one
patient with slight increase in respiratory rate. Settings were manipulated in 4
tests showing OSA; no changes were attempted in the remaining study. One patient
was placed on bilevel positive airway pressure with temporary suspension of DP.
Age (P < .119), previous adenotonsillectomy (P < .211), and body mass index (P <
.112) did not significantly contribute to OSA. CONCLUSIONS: OSA occurs in
patients with CCHS ventilated by DP. However, decreasing DP amplitude settings
can lessen upper airway obstruction without compromising gas exchange.
PMID- 29351819
TI - Patient-Reported Outcomes in Older Adults With Obstructive Sleep Apnea Treated
With Continuous Positive Airway Pressure Therapy.
AB - STUDY OBJECTIVES: Although continuous positive airway pressure (CPAP) therapy is
regarded as the gold standard treatment for obstructive sleep apnea (OSA) in
adults, outcomes in older patients are limited. This study examines the effect of
CPAP therapy in older adults with OSA (older than 60 years) compared to younger
adults (age 18 to 60 years) to inform the current standard of practice. METHODS:
We performed a retrospective study with a convenience sample to compare responses
to CPAP treatment in older versus younger adults with OSA using validated,
patient-reported outcomes (PROs). A total of 532 patients were analyzed at
baseline and at 3, 6, and 12 months after CPAP initiation. The effects of CPAP
adherence and OSA severity on PRO scores were measured in each age group.
RESULTS: Patients older than 60 years had higher apnea-hypopnea indices but lower
Epworth Sleepiness Scale (ESS), Fatigue Severity Scale (FSS), and Patient Health
Questionnaire-9 (PHQ-9) scores and higher Functional Outcomes of Sleep
Questionnaire (FOSQ) scores at baseline compared to patients age 18 to 60 years.
At 1 year after CPAP initiation, significant and comparable improvements in ESS,
FSS, PHQ-9, and FOSQ scores were observed in patients older than 60 years
relative to younger patients. When stratifying by OSA severity, change between
age groups was significantly different only for PHQ-9 in patients with mild OSA,
with greater improvements observed in younger patients. CONCLUSIONS: This study
adds to the limited data on treatment outcomes with CPAP therapy in older adults
with OSA. Patients older than 60 years achieve improvement in sleepiness,
fatigue, depressive symptoms, and quality of life analogous to that of younger
adults.
PMID- 29351820
TI - Restless Legs Syndrome in Iranian People With Type 2 Diabetes Mellitus: The Role
in Quality of Life and Quality of Sleep.
AB - STUDY OBJECTIVES: To investigate the prevalence of restless legs syndrome (RLS)
in patients with type 2 diabetes mellitus (T2DM) and explore its role in quality
of life (QoL) and quality of sleep of these patients. METHODS: This is a cross
sectional study performed on 210 Iranian people with T2DM. The diagnosis of RLS
was established based on the essential diagnostic criteria for RLS recommended by
the National Institutes of Health. Sleep quality and QoL were assessed in all
participants using Pittsburgh Sleep Quality Index and EuroQol five-dimension
questionnaire, respectively. Regression models were used for final analysis of
data. RESULTS: The prevalence of RLS was 19.5%; of whom 38.1% had poor quality of
sleep. Male sex, being single, body mass index (BMI), and RLS were associated
with poor quality of sleep. Patients with RLS were almost three times as likely
as the patients without RLS to have poor sleep quality. Moreover, being female,
BMI value, level of glycosylated hemoglobine (HbA1C), and RLS were associated
with lower QoL. RLS lowers the score of QoL even more than BMI and HbA1C. In
addition, the QoL and sleep quality of this population of patients with diabetes
have not been affected by the severity of RLS as well as presence or absence of
neuropathy. CONCLUSIONS: RLS has an independent and significant role in sleep
quality and QoL in the patients with diabetes. Neuropathy with RLS does not
confer any additive burden on QoL and sleep quality of this population of
patients with diabetes.
PMID- 29351821
TI - Reliability of the American Academy of Sleep Medicine Rules for Assessing Sleep
Depth in Clinical Practice.
AB - STUDY OBJECTIVES: The American Academy of Sleep Medicine has published manuals
for scoring polysomnograms that recommend time spent in non-rapid eye movement
sleep stages (stage N1, N2, and N3 sleep) be reported. Given the well-established
large interrater variability in scoring stage N1 and N3 sleep, we determined the
range of time in stage N1 and N3 sleep scored by a large number of technologists
when compared to reasonably estimated true values. METHODS: Polysomnograms of 70
females were scored by 10 highly trained sleep technologists, two each from five
different academic sleep laboratories. Range and confidence interval (CI =
difference between the 5th and 95th percentiles) of the 10 times spent in stage
N1 and N3 sleep assigned in each polysomnogram were determined. Average values of
times spent in stage N1 and N3 sleep generated by the 10 technologists in each
polysomnogram were considered representative of the true values for the
individual polysomnogram. Accuracy of different technologists in estimating delta
wave duration was determined by comparing their scores to digitally determined
durations. RESULTS: The CI range of the ten N1 scores was 4 to 39 percent of
total sleep time (% TST) in different polysomnograms (mean CI +/- standard
deviation = 11.1 +/- 7.1 % TST). Corresponding range for N3 was 1 to 28 % TST
(14.4 +/- 6.1 % TST). For stage N1 and N3 sleep, very low or very high values
were reported for virtually all polysomnograms by different technologists.
Technologists varied widely in their assignment of stage N3 sleep, scoring that
stage when the digitally determined time of delta waves ranged from 3 to 17
seconds. CONCLUSIONS: Manual scoring of non-rapid eye movement sleep stages is
highly unreliable among highly trained, experienced technologists. Measures of
sleep continuity and depth that are reliable and clinically relevant should be a
focus of clinical research.
PMID- 29351822
TI - Influence of Obstructive Sleep Apnea in the Functional Aspects of Patients With
Osteoarthritis.
AB - STUDY OBJECTIVES: It has been demonstrated in recent studies that obstructive
sleep apnea (OSA) is the most prevalent sleep disorder in patients with
osteoarthritis (OA), and thus the current study aimed to investigate the
influence of OSA on knee extensor torque, pain, stiffness, and physical function
in men with low-grade knee OA. METHODS: The study included 60 male volunteers,
aged 40 to 70 years, allocated into four groups: Group 1 (G1) Control (n = 15):
without OA and without OSA; Group 2 (G2) (n = 15): with OA and without OSA; Group
3 (G3) (n = 15): without OA and with OSA; and Group 4 (G4) (n = 15) with OA and
with OSA. All volunteers were examined using knee radiographs and
polysomnography, responded to the Western Ontario McMaster Osteoarthritis Index
(WOMAC) questionnaire, and completed a test on an isokinetic dynamometer to
evaluate peak isometric knee extensor torque, both concentric and eccentric (90
degrees /s and 180 degrees /s). RESULTS: Regarding the data from the WOMAC
questionnaire (for pain, stiffness, and physical function), it was observed that
G4 showed higher values compared to G1 or G3. For the concentric isometric and
isokinetic peak knee extensor torque, lower values were observed in G4 compared
to G1 or G3. CONCLUSIONS: Patients who have knee OA in the early grades, when
associated with OSA, have higher changes of the peak extensor torque, pain,
stiffness, and physical function, compared with patients who did not have OSA.
CLINICAL TRIAL REGISTRATION: Registry: ClinicalTrials.gov, Identifier:
NCT01422967, Title: Changes Of Sleep on the Sensoriomotor and Cytokine In
Patients With Osteoarthritis, URL:
https://clinicaltrials.gov/ct2/show/NCT01422967.
PMID- 29351824
TI - Nurse Practitioners and Physician Assistants Are Important to the Sleep Team.
PMID- 29351823
TI - Nurse Practitioners and Physician Assistants Are Qualified to Perform Home Sleep
Apnea Test Clinical Evaluations.
PMID- 29351825
TI - CPAP Adherence and Readmission: Marker of Health or Cost-Effective Tool?
PMID- 29351826
TI - Nonadherence to CPAP Associated With Increased 30-Day Hospital Readmissions.
AB - STUDY OBJECTIVES: The primary objective of this study was to determine if
nonadherence to continuous positive airway pressure (CPAP) is associated with
increased 30-day all-cause, cardiovascular-cause, and pulmonary-cause hospital
readmissions. METHODS: Retrospective cohort study at a Veterans Affairs hospital
of patients with obstructive sleep apnea (OSA) who were hospitalized from January
1, 2007 to December 31, 2015. Odds ratio of 30-day readmission was calculated for
all-cause, cardiovascular-cause, and pulmonary-cause readmissions. Logistic
regression model was used to evaluate odds of nonadherent versus adherent group
while adjusting for age, sex, body mass index, living situation, race,
comorbidities, and medication adherence. RESULTS: Out of 2,077 records reviewed,
345 patients (183 adherent and 162 nonadherent) met our inclusion criteria. The
adherent group had a total of 215 initial admissions, and the nonadherent group
had a total of 268 index admissions. Thirty-day all-cause readmission rate was
significantly higher in the nonadherent group, with an adjusted odds ratio (OR)
of 3.52 (95% confidence interval [CI], 2.04-6.08, P < .001). Thirty-day
cardiovascular-cause readmission rate was significantly higher in the nonadherent
group, with an adjusted OR of 2.31 (95% CI, 1.11-4.78, P = .024). Difference in
30-day pulmonary-cause readmissions was not statistically significant, with an
adjusted OR of 3.66 (95% CI, 0.41-32.76, P = .25). CONCLUSIONS: Nonadherence to
CPAP is associated with increased 30-day all-cause and cardiovascular-cause
readmission in patients with OSA. Ensuring CPAP adherence is crucial in
addressing general and cardiovascular-related healthcare utilization and
morbidity in patients with OSA. COMMENTARY: A commentary on this article appears
in this issue on page 161.
PMID- 29351828
TI - Activity During Sleep Measured by a Sheet-Shaped Body Vibrometer and the Severity
of Atopic Dermatitis in Adults: A Comparison With Wrist Actigraphy.
AB - STUDY OBJECTIVES: To use a sheet-shaped body vibrometer (SBV) for measuring sleep
in adult patients with atopic dermatitis (AD) of various severities and to
compare the results with those measured by wrist actigraphy (WA). METHODS:
Simultaneous measurements of activity during sleep by WA and the SBV were
performed in 20 outpatients with AD for 5 to 10 days. The mean activity count per
minute (ACT) and sleep efficiency (SE) were obtained using each device. The
severity of AD was evaluated by the severity scoring of AD (SCORAD), serum thymus
and activation-regulated chemokine (TARC) level, serum total immunoglobulin E
level, and peripheral eosinophil count. RESULTS: The ACT measured by WA was
correlated with SCORAD (Spearman correlation coefficient [rs] = .64, P = .002)
and TARC (rs = .60, P = .005). The ACT obtained by the SBV was significantly
correlated with TARC (rs = .58, P = .008) and ACT obtained by WA (rs = .63, P =
.003). SE obtained by WA resulted in lower values compared with SE obtained by
the SBV (69.7 +/- 9.4% versus 82.9 +/- 9.3%, P < .001), although SE obtained by
WA was highly correlated with SE obtained by the SBV (rs = .82, P < .001). Bland
Altman plots revealed that SE measured by WA always had lower values in all the
patients. CONCLUSIONS: Activity during sleep, presumably composed of scratching
and other motions, is more vigorous in patients with severe adult AD. This was
successfully demonstrated by the SBV and WA assessment. However, we consider that
ACT measured by WA is more suited for the scratch evaluation and SE measured by
the SBV is preferable for the sleep evaluation.
PMID- 29351827
TI - Nocturnal REM Sleep Without Atonia Is a Diagnostic Biomarker of Pediatric
Narcolepsy.
AB - STUDY OBJECTIVES: Compare nocturnal REM sleep without atonia (nRWA) and REM sleep
behavior disorder (RBD) between pediatric patients with and without narcolepsy
and determine if the nRWA index is a valid diagnostic biomarker for narcolepsy.
METHODS: Retrospective cohort study of children ages 6 to 18 years who completed
a nocturnal polysomnogram (PSG) and Multiple Sleep Latency Test (MSLT). Our study
sample included 11 patients with narcolepsy type 1 (NT1), 6 with narcolepsy type
2 (NT2), 12 with idiopathic hypersomnia (IH), and 11 with subjective hypersomnia
(sHS). We compared group nRWA indices (epochs of RWA/total stage R sleep epochs)
from the nocturnal PSGs and analyzed nRWA index receiver operating curve (ROC)
statistics for narcolepsy diagnosis. RESULTS: The median nRWA index of patients
with NT1 was 15 to 30 times higher compared to sHS and IH (Ps < .005) but similar
to that of the NT2 group (P = .46). RBD was present in 25% of patients with
narcolepsy (NT1 and NT2). In comparing those with and without narcolepsy, the
nRWA index area under the curve was 0.87 (0.6), 95% confidence interval (CI) =
0.75 to 0.99, P < .001. The threshold of having >= 1% of stage R sleep epochs
with nRWA yielded a sensitivity of 88.2%, 95% CI = 63.6-98.5 and specificity of
60.9%, 95% CI = 38.5 to 80.3 for diagnosis of narcolepsy. In contrast, a
threshold of >= 8% yielded a specificity of 95.7%, 95% CI = 78.1 to 99.9 and
sensitivity of 52.9%, 95% CI = 27.8 to 77. CONCLUSIONS: The nRWA index is a very
good diagnostic biomarker of pediatric narcolepsy. Depending on the clinical
cutoffs utilized, this biomarker can identify more children/adolescents with
narcolepsy using just the PSG or reduce false-positive diagnostic results.
PMID- 29351829
TI - Inhibition of dynamin-related protein 1 has neuroprotective effect comparable
with therapeutic hypothermia in a rat model of cardiac arrest.
AB - Dynamin-related protein 1 (Drp1) regulates mitochondrial fission, it has been
proven that inhibition of Drp1 by mdivi-1 improves survival and attenuates
cerebral ischemic injury after cardiac arrest. In this study, we compared the
effects of Drp1 inhibition with therapeutic hypothermia on post-resuscitation
neurologic injury in a rat model of cardiac arrest. Rats were randomized into 4
groups: mdivi-1 treatment group (n = 39), hypothermic group (n = 38),
normothermic group (n = 41), and sham group (n = 12). The rats in the mdivi-1
treatment group were received intravenously 1.2 mg/kg of mdivi-1 at 1 minute
after the return of spontaneous circulation (ROSC). In rats in hypothermia group,
rapid cooling was initiated at 5 minutes after resuscitation, and the core
temperature was maintained to 33 +/- 0.5 degrees C for 2 hours. The results
showed that both Drp1 inhibition and therapeutic hypothermia increased 3-day
survival time (all P <0.05) and improved neurologic function up to 72 hours post
cardiac arrest. In addition, both Drp1 inhibition and therapeutic hypothermia
decreased cell injury, apoptosis in hippocampal cornu ammonis 1 region and brain
mitochondrial dysfunction including adenosine triphosphate production, reactive
oxygen species and mitochondrial membrane potential after cardiac arrest.
Moreover, therapeutic hypothermia decreased mitochondrial Drp1 expression and
mitochondrial fission after cardiac arrest. In conclusion, inhibition of Drp1 has
a similar effect to therapeutic hypothermia on neurologic outcome after
resuscitation in this cardiac arrest rat model, and the neuroprotective effects
of therapeutic hypothermia are associated with inhibition of mitochondrial
fission.
PMID- 29351830
TI - Anticoagulation for Portal Vein Thrombosis in Cirrhosis: Selection of Appropriate
Patients.
PMID- 29351831
TI - An Unexpected Cause of Recurrent Melena.
PMID- 29351832
TI - Reply.
PMID- 29351833
TI - Hepatic Mass in a Patient With Bladder Cancer History.
PMID- 29351834
TI - Reply.
PMID- 29351835
TI - Anticoagulation for Portal Vein Thrombosis in Liver Cirrhosis: Not Only
Recanalize the Portal Vein.
PMID- 29351836
TI - Interrogating Interactions and Modifications of Histones in Live Cells.
AB - In this issue of Cell Chemical Biology, new methods are reported to interrogate
histone interactions and modifications. Kleiner et al. (2018) develop a chemical
proteomics platform for profiling of direct, context-dependent histone-protein
interactions in living cells, and Delachat et al. (2018) engineer fluorescent
sensors for coexisting histone modifications in live stem cells.
PMID- 29351837
TI - Try Me: Promiscuous Inhibitors Still Allow for Selective Targeted Protein
Degradation.
AB - In this issue of Cell Chemical Biology, Bondeson et al. (2018), Burslem et al.
(2018), and Huang et al. (2018) systematically characterize proteolysis-targeting
chimeras (PROTACs) regarding their specificity and general advantages of targeted
proteolysis of cellular proteins and provide interesting insights into possible
future developments.
PMID- 29351838
TI - The mediating effect of coping style on personality and mental health among
elderly Chinese empty-nester: A cross-sectional study.
AB - BACKGROUND: Mental health is a growing concern among older people all over the
world. China has a rapidly aging population, and the number of elderly empty
nesters, who have no children or whose children have already left home, is on the
rise. These older adults live alone or with a spouse. Therefore, we should be
concerned about these empty-nester, especially their mental health, due to its
vital role. METHODS: This cross-sectional study was performed from March to
December 2015. The participants were 350 empty-nest elderly adults from Chifeng
City in Inner Mongolia. Data were collected with the Elderly Mental Health
Questionnaire, the Eysenck Personality Questionnaire-Revised Short Scale for
Chinese (EPQ-RSC) and the Simplified Coping Style Questionnaire. For the
analyses, correlations, regressions, and structural equation models were used.
Bootstrapping was performed to confirm the mediation effect. RESULTS: The mean
age of the study population was 70.33 +/- 6.67 years. Mental health showed
significant correlations with extraversion, neuroticism, and coping style (all
P<0.01). Extraversion, neuroticism, and psychoticism were the independent
variables, while mental health was the dependent variable in the linear
regression model with stepwise analysis. Extraversion and neuroticism were
entered into the regression equation. All standardized coefficients (beta) were
significant (all P<0.01) in the model, and bootstrapping indicated that the
mediating role of coping style was statistically significant. CONCLUSION: Coping
style partially mediated the relationship between the personality and mental
health of the empty-nest elderly. Consequently, to improve the mental health of
the empty-nest elderly, coping style should be the focus.
PMID- 29351839
TI - Activating and Repressing IRE1alpha: The Hsp47 and BiP Tug of War.
AB - In this issue of Molecular Cell, Sepulveda et al. (2018) discovered an
interesting role of Hsp47 in regulating the unfolded protein response (UPR)
wherein Hsp47 binds to IRE1alpha and displaces BiP, thereby activating the
IRE1alpha arm of the UPR pathway by a previously undetermined mechanism.
PMID- 29351840
TI - Membrane Protein Dislocation by the Rhomboid Pseudoprotease Dfm1: No Pore Needed?
AB - Defective ER-resident membrane proteins need to be ejected into the cytoplasm in
order to be degraded by the proteasome, but the exact mechanism remains unclear.
In this issue of Molecular Cell, Neal et al. (2018) reveal that the rhomboid
pseudoprotease Dfm1 defines the central ERAD component for membrane protein
dislocation.
PMID- 29351841
TI - No Excess Baggage: New Life Starts with a Clean Slate.
AB - A new mechanism for clearing protein damage from maturing oocytes has been
described in a recent study by Bohnert and Kenyon (2017), who demonstrated that
sperm-secreted hormones activate a vascular H+-ATPase pump that acidifies
lysosomes and thus restores protein homeostasis.
PMID- 29351843
TI - Cellular Handling of Protein Aggregates by Disaggregation Machines.
AB - Both acute proteotoxic stresses that unfold proteins and expression of disease
causing mutant proteins that expose aggregation-prone regions can promote protein
aggregation. Protein aggregates can interfere with cellular processes and deplete
factors crucial for protein homeostasis. To cope with these challenges, cells are
equipped with diverse folding and degradation activities to rescue or eliminate
aggregated proteins. Here, we review the different chaperone disaggregation
machines and their mechanisms of action. In all these machines, the coating of
protein aggregates by Hsp70 chaperones represents the conserved, initializing
step. In bacteria, fungi, and plants, Hsp70 recruits and activates Hsp100
disaggregases to extract aggregated proteins. In the cytosol of metazoa, Hsp70 is
empowered by a specific cast of J-protein and Hsp110 co-chaperones allowing for
standalone disaggregation activity. Both types of disaggregation machines are
supported by small Hsps that sequester misfolded proteins.
PMID- 29351842
TI - Maintaining a Healthy Proteome during Oxidative Stress.
AB - Some of the most challenging stress conditions that organisms encounter during
their lifetime involve the transient accumulation of reactive oxygen and chlorine
species. Extremely reactive to amino acid side chains, these oxidants cause
widespread protein unfolding and aggregation. It is therefore not surprising that
cells draw on a variety of different strategies to counteract the damage and
maintain a healthy proteome. Orchestrated largely by direct changes in the thiol
oxidation status of key proteins, the response strategies involve all layers of
protein protection. Reprogramming of basic biological functions helps decrease
nascent protein synthesis and restore redox homeostasis. Mobilization of
oxidative stress-activated chaperones and production of stress-resistant non
proteinaceous chaperones prevent irreversible protein aggregation. Finally, redox
controlled increase in proteasome activity removes any irreversibly damaged
proteins. Together, these systems pave the way to restore protein homeostasis and
enable organisms to survive stress conditions that are inevitable when living an
aerobic lifestyle.
PMID- 29351844
TI - Interactome Screening Identifies the ER Luminal Chaperone Hsp47 as a Regulator of
the Unfolded Protein Response Transducer IRE1alpha.
AB - Maintenance of endoplasmic reticulum (ER) proteostasis is controlled by a dynamic
signaling network known as the unfolded protein response (UPR). IRE1alpha is a
major UPR transducer, determining cell fate under ER stress. We used an
interactome screening to unveil several regulators of the UPR, highlighting the
ER chaperone Hsp47 as the major hit. Cellular and biochemical analysis indicated
that Hsp47 instigates IRE1alpha signaling through a physical interaction. Hsp47
directly binds to the ER luminal domain of IRE1alpha with high affinity,
displacing the negative regulator BiP from the complex to facilitate IRE1alpha
oligomerization. The regulation of IRE1alpha signaling by Hsp47 is evolutionarily
conserved as validated using fly and mouse models of ER stress. Hsp47 deficiency
sensitized cells and animals to experimental ER stress, revealing the
significance of Hsp47 to global proteostasis maintenance. We conclude that Hsp47
adjusts IRE1alpha signaling by fine-tuning the threshold to engage an adaptive
UPR.
PMID- 29351845
TI - A Precise Cdk Activity Threshold Determines Passage through the Restriction
Point.
AB - At the restriction point (R), mammalian cells irreversibly commit to divide. R
has been viewed as a point in G1 that is passed when growth factor signaling
initiates a positive feedback loop of Cdk activity. However, recent studies have
cast doubt on this model by claiming R occurs prior to positive feedback
activation in G1 or even before completion of the previous cell cycle. Here we
reconcile these results and show that whereas many commonly used cell lines do
not exhibit a G1 R, primary fibroblasts have a G1 R that is defined by a precise
Cdk activity threshold and the activation of cell-cycle-dependent transcription.
A simple threshold model, based solely on Cdk activity, predicted with more than
95% accuracy whether individual cells had passed R. That a single measurement
accurately predicted cell fate shows that the state of complex regulatory
networks can be assessed using a few critical protein activities.
PMID- 29351846
TI - Dual Strategies for Argonaute2-Mediated Biogenesis of Erythroid miRNAs Underlie
Conserved Requirements for Slicing in Mammals.
AB - While Slicer activity of Argonaute is central to RNAi, conserved roles of slicing
in endogenous regulatory biology are less clear, especially in mammals.
Biogenesis of erythroid Dicer-independent mir-451 involves Ago2 catalysis, but
mir-451-KO mice do not phenocopy Ago2 catalytic-dead (Ago2-CD) mice, suggesting
other needs for slicing. Here, we reveal mir-486 as another dominant erythroid
miRNA with atypical biogenesis. While it is Dicer dependent, it requires slicing
to eliminate its star strand. Thus, in Ago2-CD conditions, miR-486-5p is
functionally inactive due to duplex arrest. Genome-wide analyses reveal miR-486
and miR-451 as the major slicing-dependent miRNAs in the hematopoietic system.
Moreover, mir-486-KO mice exhibit erythroid defects, and double knockout of mir
486/451 phenocopies the cell-autonomous effects of Ago2-CD in the hematopoietic
system. Finally, we observe that Ago2 is the dominant-expressed Argonaute in
maturing erythroblasts, reflecting a specialized environment for processing
slicing-dependent miRNAs. Overall, the mammalian hematopoietic system has evolved
multiple conserved requirements for Slicer-dependent miRNA biogenesis.
PMID- 29351848
TI - A Distinct Class of Genome Rearrangements Driven by Heterologous Recombination.
AB - Erroneous DNA repair by heterologous recombination (Ht-REC) is a potential threat
to genome stability, but evidence supporting its prevalence is lacking. Here we
demonstrate that recombination is possible between heterologous sequences and
that it is a source of chromosomal alterations in mitotic and meiotic cells.
Mechanistically, we find that the RTEL1 and HIM-6/BLM helicases and the BRCA1
homolog BRC-1 counteract Ht-REC in Caenorhabditis elegans, whereas mismatch
repair does not. Instead, MSH-2/6 drives Ht-REC events in rtel-1 and brc-1
mutants and excessive crossovers in rtel-1 mutant meioses. Loss of vertebrate
Rtel1 also causes a variety of unusually large and complex structural variations,
including chromothripsis, breakage-fusion-bridge events, and tandem duplications
with distant intra-chromosomal insertions, whose structure are consistent with a
role for RTEL1 in preventing Ht-REC during break-induced replication. Our data
establish Ht-REC as an unappreciated source of genome instability that underpins
a novel class of complex genome rearrangements that likely arise during
replication stress.
PMID- 29351847
TI - Phosphorylation of EZH2 by AMPK Suppresses PRC2 Methyltransferase Activity and
Oncogenic Function.
AB - Sustained energy starvation leads to activation of AMP-activated protein kinase
(AMPK), which coordinates energy status with numerous cellular processes
including metabolism, protein synthesis, and autophagy. Here, we report that AMPK
phosphorylates the histone methyltransferase EZH2 at T311 to disrupt the
interaction between EZH2 and SUZ12, another core component of the polycomb
repressive complex 2 (PRC2), leading to attenuated PRC2-dependent methylation of
histone H3 at Lys27. As such, PRC2 target genes, many of which are known tumor
suppressors, were upregulated upon T311-EZH2 phosphorylation, which suppressed
tumor cell growth both in cell culture and mouse xenografts. Pathologically,
immunohistochemical analyses uncovered a positive correlation between AMPK
activity and pT311-EZH2, and higher pT311-EZH2 correlates with better survival in
both ovarian and breast cancer patients. Our finding suggests that AMPK agonists
might be promising sensitizers for EZH2-targeting cancer therapies.
PMID- 29351850
TI - Systematic Gene-to-Phenotype Arrays: A High-Throughput Technique for Molecular
Phenotyping.
AB - We have developed a highly parallel strategy, systematic gene-to-phenotype arrays
(SGPAs), to comprehensively map the genetic landscape driving molecular
phenotypes of interest. By this approach, a complete yeast genetic mutant array
is crossed with fluorescent reporters and imaged on membranes at high density and
contrast. Importantly, SGPA enables quantification of phenotypes that are not
readily detectable in ordinary genetic analysis of cell fitness. We benchmark
SGPA by examining two fundamental biological phenotypes: first, we explore
glucose repression, in which SGPA identifies a requirement for the Mediator
complex and a role for the CDK8/kinase module in regulating transcription.
Second, we examine selective protein quality control, in which SGPA identifies
most known quality control factors along with U34 tRNA modification, which acts
independently of proteasomal degradation to limit misfolded protein production.
Integration of SGPA with other fluorescent readouts will enable genetic
dissection of a wide range of biological pathways and conditions.
PMID- 29351849
TI - The Dfm1 Derlin Is Required for ERAD Retrotranslocation of Integral Membrane
Proteins.
AB - Endoplasmic reticulum (ER)-associated degradation (ERAD) removes misfolded
proteins from the ER membrane and lumen by the ubiquitin-proteasome pathway.
Retrotranslocation of ubiquitinated substrates to the cytosol is a universal
feature of ERAD that requires the Cdc48 AAA-ATPase. Despite intense efforts, the
mechanism of ER exit, particularly for integral membrane (ERAD-M) substrates, has
remained unclear. Using a self-ubiquitinating substrate (SUS), which undergoes
normal retrotranslocation independently of known ERAD factors, and the new SPOCK
(single plate orf compendium kit) micro-library to query all yeast genes, we
found the rhomboid derlin Dfm1 was required for retrotranslocation of both HRD
and DOA ERAD pathway integral membrane substrates. Dfm1 recruited Cdc48 to the ER
membrane with its unique SHP motifs, and it catalyzed substrate extraction
through its conserved rhomboid motifs. Surprisingly, dfm1Delta can undergo rapid
suppression, restoring wild-type ERAD-M. This unexpected suppression explained
earlier studies ruling out Dfm1, and it revealed an ancillary ERAD-M
retrotranslocation pathway requiring Hrd1.
PMID- 29351851
TI - Visualizing Dynamics of Cell Signaling In Vivo with a Phase Separation-Based
Kinase Reporter.
PMID- 29351852
TI - EGFR-Induced and PKCepsilon Monoubiquitylation-Dependent NF-kappaB Activation
Upregulates PKM2 Expression and Promotes Tumorigenesis.
PMID- 29351853
TI - Cardiac biomarkers as sensitive tools to evaluate the impact of xenobiotics on
amphibians: the effects of anionic surfactant linear alkylbenzene sulfonate
(LAS).
AB - Amphibian populations have been experiencing a drastic decline worldwide. Aquatic
contaminants are among the main factors responsible for this decline, especially
in the aquatic environment. The linear alkylbenzene sulfonate (LAS) is of
particular concern, since it represents 84% of the anionic surfactants' trade. In
Brazil, the maximal LAS concentration allowed in fresh waters is 0.5mgL-1, but
its potential harmful effects in amphibians remain unknown. Therefore, this study
aimed to analyze the effects of a sublethal concentration of LAS (0.5mgL-1) for
96h on sensitive cardiac biomarkers of bullfrog tadpoles, Lithobates catesbeianus
(Shaw, 1802). For this, we measured the activity level (AL - % of animals), in
situ heart rate (fH - bpm), relative ventricular mass (RVM - % of body mass), in
vitro myocardial contractility and cardiac histology of the ventricles. Tadpoles'
AL and fH decreased in LAS group. In contrast, the RVM increased, as a result of
a hypertrophy of the myocardium, which was corroborated by the enlargement of the
nuclear measures and the increase of myocytes' diameters. These cellular effects
resulted in an elevation of the in vitro contractile force of ventricle strips.
Acceleration in the contraction (TPT - ms) also occurred, although no alterations
in the time to relaxation (THR -ms) were observed. Therefore, it can be concluded
that even when exposed to an environmentally safe concentration, this surfactant
promotes several alterations in the cardiac function of bullfrog tadpoles that
can impair their development, making them more susceptible to predators and less
competitive in terms of reproduction success. Thus, LAS concentrations that are
considered safe by Brazilian by regulatory agencies must be revised in order to
minimize a drastic impact over amphibian populations. This study demonstrates the
relevance of employing cardiac biomarkers at different levels (e.g.,
morphological, physiological and cellular) to evaluate effects of xenobiotics in
tadpoles.
PMID- 29351854
TI - Electric field induced charging of colloidal particles in a nonpolar liquid.
AB - HYPOTHESIS: Colloidal particles in a pure nonpolar solvent are expected to be in
a state of dynamic equilibrium where a particle's charge fluctuates around a
stable mean value. However, we find that PHSA-coated PMMA microparticles in
dodecane gain positive charge over time. We hypothesize that this phenomenon is
prompted by the high electric field (~1 V/um) that is applied in these
measurements. Hence, we expect the reaction rate at which charge builds up on the
particle to change when modifying the measurement parameters. EXPERIMENTS: Single
elementary charging and discharging events can be resolved by measuring the
charge of PHSA-coated PMMA particles with optical trapping electrophoresis. With
this technique, the influence of the electric field amplitude and frequency,
particle size, electrode material and acquired charge can be investigated.
FINDINGS: The rate of the charging phenomenon is proportional to the amplitude of
the applied electric field and the charging stops when the voltage is switched
off. We propose a reaction mechanism where the particle sheds negatively charged
ions. This mechanism can account for all the experimental observations of the
electric field induced charging phenomenon.
PMID- 29351855
TI - The relationship of circulating fibroblast growth factor 21 levels with incident
atrial fibrillation: The Multi-Ethnic Study of Atherosclerosis.
AB - BACKGROUND AND AIMS: Elevated circulating levels of fibroblast growth factor 21
(FGF21) are associated with multiple cardiovascular disease (CVD) risk factors
and incident events. Previous small cross-sectional studies, mainly in Chinese
populations, have suggested FGF21 may play a role in the development of atrial
fibrillation (AF). We therefore investigated the relationship of FGF21 levels
with incident AF in participants free of clinically apparent CVD at baseline in a
large, multi-ethnic cohort. METHODS: A total of 5729 participants of four major
ethnic groups (Caucasian, African American, Hispanic American, and Chinese
American) from the Multi-Ethnic Study of Atherosclerosis (MESA), who were free of
AF and had plasma FGF21 levels measured by ELISA at the baseline exam, were
included in the analysis. Participants were followed up for incident AF over a
median period of 12.9 years. Cox proportional hazards regression analysis was
used. RESULTS: Among the 5729 participants, 778 participants developed incident
AF. Participants with incident AF had significantly higher baseline FGF21 levels
than those without incident AF (median = 166.0 and 142.8 pg/mL, p < 0.001). After
adjusting for possible confounders, including demographic, socioeconomic and
lifestyle factors, traditional CVD risk factors and circulating inflammatory
markers, higher baseline FGF21 levels did not predict incident AF over the follow
up period. There was no effect modification by sex or ethnicity. CONCLUSIONS:
Baseline FGF21 levels were not associated with the development of AF in an
ethnically diverse population followed long-term. Our findings do not support an
important role of FGF21 in AF development.
PMID- 29351857
TI - Psychometric properties of outcome measures evaluating decline in gait in
cerebellar ataxia: A systematic review.
AB - Cerebellar ataxia often results in impairment in ambulation secondary to gait
pattern dysfunction and compensatory gait adjustments. Pharmaceutical and therapy
based interventions with potential benefit for gait in ataxia are starting to
emerge, however evaluation of such interventions is hampered by the lack of
outcome measures that are responsive, valid and reliable for measurement of gait
decline in cerebellar ataxia. This systematic review aimed for the first time to
evaluate the psychometric properties of gait and walking outcomes applicable to
individuals with cerebellar ataxia. Only studies evaluating straight walking were
included. A comprehensive search of three databases (MEDLINE, CINAHL and EMBASE)
identified 53 studies meeting inclusion criteria. Forty-nine were rated as 'poor'
as assessed by the COnsensus-based Standards for the selection of health
Measurement INstruments checklist. The primary objective of most studies was to
explore changes in gait related to ataxia, rather than to examine psychometric
properties of outcomes. This resulted in methodologies not specific for
psychometric assessment. Thirty-nine studies examined validity, 11 examined
responsiveness and 12 measured reliability. Review of the data identified double
and single support and swing percentage of the gait cycle, velocity, step length
and the Scale for Assessment and Rating of Ataxia (SARA) gait item as the most
valid and responsive measures of gait in cerebellar ataxia. However, further
evaluation to establish their reliability and applicability for use in clinical
trials is clearly warranted. We recommend that inter-session reliability of gait
outcomes should be evaluated to ensure changes are reflective of intervention
effectiveness in cerebellar ataxia.
PMID- 29351856
TI - High density lipoprotein with apolipoprotein C-III is associated with carotid
intima-media thickness among generally healthy individuals.
AB - BACKGROUND AND AIMS: About 6-7% of high density lipoprotein (HDL) has a protein
called apolipoprotein (apo) C-III that regulates lipoprotein metabolism and can
provoke an inflammatory response. HDL without apoC-III is inversely associated
with coronary heart disease (CHD), whereas HDL with apoC-III is directly
associated with CHD. We investigated how the presence of apoC-III affects the
association between HDL and early stages of atherosclerosis measured as carotid
intima-media thickness (cIMT). METHODS: We examined the cross-sectional
associations between the apoA-I concentrations of HDL subspecies with and without
apoC-III and cIMT measured by high resolution B-mode carotid ultrasonography
among 847 participants from the European multi-center Relationship between
Insulin Sensitivity and Cardiovascular disease (RISC) study. RESULTS: HDL with
and without apoC-III demonstrated significantly opposite associations with both
cIMT indexes (p-heterogeneity of associations comparing the two subspecies was
0.002 for cIMT at common carotid artery (cIMT at CCA) and 0.006 for the maximum
cIMT in any carotid segment (cIMT max)). Compared to the lowest quintile, the
highest quintile of apoA-I in HDL without apoC-III was associated with 3.7% lower
cIMT at CCA (p-trend = 0.01) or 7.3% lower cIMT max (p-trend = 0.003), while the
highest quintile of apoA-I in HDL with apoC-III was associated with 4.4% higher
cIMT at CCA (p-trend = 0.001) or 7.9% higher cIMT max (p-trend = 0.002). Total
apoA-I as well as total HDL cholesterol was not associated with cIMT whereas
higher levels of total apoC-III and apoC-III contained in HDL were significantly
associated with higher cIMT (p-trend<0.01). CONCLUSIONS: HDL apoC-III is a
promising target for atherosclerosis prevention and treatment.
PMID- 29351858
TI - Determination of semicarbazide in fish by molecularly imprinted stir bar sorptive
extraction coupled with high performance liquid chromatography.
AB - A novel molecularly imprinted stir bar (MI-SB) for sorptive extraction of
semicarbazide (SEM) was prepared in present paper. The coating of the stir bar
was characterized by scanning electron microscopy, Fourier-transform infrared
spectroscopy, dynamic adsorption and static adsorption tests. The saturated
adsorption of MI-SB was about 4 times over that of non-imprinted stir bar (NI
SB). The selectivity of MI-SB for SEM was much better than NI-SB. A method to
determine SEM was established by coupling MI-SB sorptive extraction with HPLC-UV.
The liner range was 1-100ng/mL for SEM with a correlation coefficient of 0.9985.
The limit of detection was about 0.59ng/mL, which was below the minimum required
performance limit of SEM in meat products regulated by European Union. The method
was applied to the determination of SEM in fish samples with satisfactory
results.
PMID- 29351859
TI - Structural and immunological characterization of hydroxyl radical modified human
IgG: Clinical correlation in rheumatoid arthritis.
AB - Structural alterations in proteins under oxidative stress have been widely
implicated in the immuno-pathology of various disorders. This study has evaluated
the extent of damage in the conformational characteristics of IgG by hydroxyl
radical (OH) and studied its implications in the immuno-pathology of rheumatoid
arthritis (RA). Using various biophysical and biochemical techniques, changes in
aromatic microenvironment of the IgG and the protein aggregation became evident
after treatment with OH. The SDS-PAGE study confirmed the protein aggregation
while far ultraviolet circular dichroism spectroscopy (Far-UV CD) and fourier
transform infrared spectroscopy (FTIR) inferred towards the alterations in
secondary structure of IgG under OH stress. Dynamic light scattering showed that
the modification increased the hydrodynamic radius and polydispersity of IgG. The
free arginine and lysine content reduced upon modification. OH induced
aggregation was confirmed by enhanced thioflavin-T (ThT) fluorescence and red
shift in the congo red (CR) absorbance. The study on experimental animals
reiterates the earlier findings of enhanced immunogenicity of OH treated IgG (OH
IgG) compared to that of native IgG. OH-IgG strongly interacted with the
antibodies derived from the serum of 80 rheumatoid arthritis (RA) patients. The
overwhelming and strong tendency of OH-IgG to bind the antibodies derived from
the serum of RA patients points towards the modification of IgG under patho
physiological conditions in RA that generate neo-epitopes and eventually cause
the generation of auto antibodies that circulate in the patient sera. Further
studies on this aspect may possibly lead to the development of a biomarker for
RA.
PMID- 29351860
TI - Microtubule dynamics: moving toward a multi-scale approach.
AB - Microtubule self-assembly dynamics serve to facilitate many vital cellular
functions, such as chromosome segregation during mitosis and synaptic plasticity.
However, the detailed atomistic basis of assembly dynamics has remained an
unresolved puzzle. A key challenge is connecting together the vast range of
relevant length-time scales, events happening at time scales ranging from
nanoseconds, such as tubulin molecular interactions (A-nm), to minutes-hours,
such as the cellular response to microtubule dynamics during mitotic progression
(MUm). At the same time, microtubule interactions with associated proteins and
binding agents, such as anti-cancer drugs, can strongly affect this dynamic
process through atomic-level mechanisms that remain to be elucidated. New high
resolution technologies for investigating these interactions, including cryo
electron microscopy (EM) techniques and total internal reflection fluorescence
(TIRF) microscopy, are yielding important new insights. Here, we focus on recent
studies of microtubule dynamics, both theoretical and experimental, and how these
findings shed new light on this complex phenomenon across length-time scales,
from A to MUm and from nanoseconds to minutes.
PMID- 29351861
TI - Improving implementation of evidence based practice for people with psychosis
through training the wider workforce: Results of the GOALS feasibility randomised
controlled trial.
AB - BACKGROUND AND OBJECTIVES: There is a pressing need to improve access to evidence
based practice for people with psychosis. The primary aim of this study was to
assess clinical feasibility of a manualised, evidence-based CBT intervention
(GOALS) targeting a personalised recovery goal, delivered by the frontline
workforce, following brief training. Secondly, we aimed to conduct preliminary
statistical analyses of key outcomes and costs. METHODS: The GOALS study is a
feasibility randomised controlled trial (ISRCTN 73188383). 75 participants with
current psychosis were recruited and randomly allocated to receive either
treatment as usual alone or with GOALS therapy. RESULTS: Brief training enabled
frontline staff to deliver the therapy according to protocol and 74% of therapy
participants partially or fully achieved their goals. There were significant
improvements with a moderate effect size of 0.56 on goal attainment. However,
preliminary statistical analyses found no significant differences between groups
on our primary outcome of activity levels or other secondary outcomes Health
economic analysis found that point estimates of costs, controlling for baseline
costs, implied savings (even including intervention costs), but the difference
was not statistically significant. LIMITATIONS: The study was designed as a
feasibility RCT, and therefore the results of secondary estimates of efficacy
effects should be treated with caution. CONCLUSIONS: This approach holds promise
in supporting people with psychosis to reach personal recovery goals, cost
effectively.
PMID- 29351862
TI - Social capital, socioeconomic status, and depression in community-living elderly.
AB - A growing body of evidence has suggested that social capital is an upstream
social determinant of mental health. We investigated the association of cognitive
social capital, including interpersonal trust and reciprocity, with depressive
symptoms in the elderly. We also explored the mediating role of cognitive social
capital in the association between socioeconomic status (SES) and depressive
symptoms and the moderating effect of SES on the relationship between social
capital and depressive symptoms. Data from the 2012 Korea Welfare Panel Study
(KOWEPS) was analyzed for 5969 participants aged 60 years or older. Cognitive
components of social capital, including interpersonal trust and reciprocity, were
evaluated using single-item questionnaires. Socioeconomic and health-related
characteristics were investigated and depressive symptoms were evaluated by an 11
item version of the Center for Epidemiologic Studies Depression Scale. Low
interpersonal trust and reciprocity levels were significantly associated with
depressive symptoms in the elderly. Reciprocity level mediated the association
between household income level and depressive symptoms. We did not observe any
significant moderating effect of SES on the association between cognitive social
capital and depressive symptoms. A significant association between cognitive
social capital and depressive symptoms in Korean elderly was found. We elucidated
how SES interacted with depressive symptoms through the mediation pathway of
cognitive social capital using a representative sample of the Korean elderly
population.
PMID- 29351863
TI - Size congruity influences visual search via the target template.
AB - In numerical comparison experiments, participants are presented with two digits
that vary in numerical and physical size, and they select the numerically (or
physically) larger (or smaller) of the two digits. Response times are typically
faster when numerical and physical size are congruent than when they are
incongruent, which is called the size congruity effect (SCE). Although numerical
size is unlikely to be a guiding feature in visual search, recent studies have
nevertheless observed the SCE in the visual search paradigm. To explain this
puzzling fact, we hypothesized that the incongruity between a target's numerical
and physical size affects visual search primarily when an attended item is
compared to the target template in visual short-term memory. In three
experiments, participants searched for a target whose numerical and physical size
were distinct from non-target distractors. The SCE and shallow search slopes in
Experiment 1 suggest that the target's physical size captured attention, and only
then did incongruent numerical size interfere with the response. Instructing
participants to attend to physical size in Experiment 2 abolished the SCE,
suggesting that participants did not analyze the target's numerical size when
they could be confident that physical size was a reliable target cue. Presenting
each of two possible target digits in blocks as in Experiment 3 enabled
participants to load the visual features of shape and physical size into their
target template, and once again the SCE was abolished. The three experiments show
that the SCE in visual search can be reduced or eliminated by restricting the
target template based on specific physical features and thus discouraging
participants from analyzing the target's numerical size.
PMID- 29351864
TI - Prolonging the response movement inhibits the feed-forward motor program in the
sustained attention to response task.
AB - Despite widespread use in clinical and experimental contexts, debate continues
over whether or not the Sustained Attention to Response Task (SART) successfully
measures sustained attention. Altering physical aspects of the response movement
required to SART stimuli may help identify whether performance is a better
measure of perceptual decoupling, or response strategies and motor inhibition.
Participants completed a SART where they had to manually move a mouse cursor to
respond to stimuli, and another SART where this extra movement was not required,
as in a typical SART. Additionally, stimuli were located at either a close or a
far distance away. Commission errors were inversely related to distance in the
manual movement condition, as the farther distance led to longer response times
which gave participants more time to inhibit prepotent responses and thus prevent
commission errors. Self-reported measures of mental demand and fatigue suggested
there were no differences in mental demands between the manual and automatic
condition; instead the differences were primarily in physical demands. No
differences were found for task-unrelated thoughts between the manual and
automatic condition. The movement effect combined with participants' subjective
reports are evidence for time dependent action stopping, not greater cognitive
engagement. These findings support a response strategy perspective as opposed to
a perceptual decoupling perspective, and have implications for authors
considering using the SART. Applied implications of this research are also
discussed.
PMID- 29351866
TI - Bioanalysis of a panel of neurotransmitters and their metabolites in plasma
samples obtained from pediatric patients with neuroblastoma and Wilms' tumor.
AB - This paper details the quantitative analysis of neurotransmitters, including
dopamine (DA), norepinephrine (NE), epinephrine (E), and serotonin (5-HT), along
with their respective precursors and metabolites in children with solid tumors:
Wilms' tumor (WT) and neuroblastoma (NB). A panel of neurotransmitters was
determined with the use of dispersive liquid-liquid microextraction (DLLME)
technique combined with liquid-chromatography mass spectrometry (LC-MS/MS) in
plasma samples obtained from a group of pediatric subjects with solid tumors and
a control group of healthy children. Next, statistical univariate analysis (t
test) and multivariate analysis (Principal Component Analysis) were performed
using chromatographic data. The levels of tyrosine (Tyr) and tryptophan (Trp)
(the precursors of analyzed neurotransmitters) as well as 3,4
dihydroxyphenylacetic acid (DOPAC) (a product of metabolism of DA) were
significantly higher in the plasma samples obtained from pediatric patients with
WT than in the samples taken from the control group. Moreover, statistically
significant differences were observed between the levels of 5-HT and homovanillic
acid (HVA) in the plasma samples from pediatric patients with solid tumors and
the control group. However, elevated levels of these analytes did not facilitate
a clear distinction between pediatric patients with WT and those with NB.
Nonetheless, the application of advanced statistical tools allowed the healthy
controls to be differentiated from the pediatric oncological patients. The
identification and quantification of a panel of neurotransmitters as potential
prognostic factors in selected childhood malignancies may provide clinically
relevant information about ongoing metabolic alterations, and it could
potentially serve as an adjunctive strategy in the effective diagnosis and
treatment of solid tumors in children.
PMID- 29351865
TI - Interventions to support effective communication between maternity care staff and
women in labour: A mixed-methods systematic review.
AB - OBJECTIVES: the objectives of this review were (1) to assess whether
interventions to support effective communication between maternity care staff and
healthy women in labour with a term pregnancy could improve birth outcomes and
experiences of care; and (2) to synthesize information related to the feasibility
of implementation and resources required. DESIGN: a mixed-methods systematic
review. SETTING AND PARTICIPANTS: studies which reported on interventions aimed
at improving communication between maternity care staff and healthy women during
normal labour and birth, with no apparent medical or obstetric complications, and
their family members were included. 'Maternity care staff' included medical
doctors (e.g. obstetricians, anaesthetists, physicians, family doctors,
paediatricians), midwives, nurses and other skilled birth attendants providing
labour, birth and immediate postnatal care. Studies from all birth settings (any
country, any facility including home birth, any resource level) were included.
FINDINGS: two papers met the inclusion criteria. One was a step wedge randomised
controlled trial conducted in Syria, and the other a sub-analysis of a randomised
controlled trial from the United Kingdom. Both studies aimed to assess effects of
communication training for maternity care staff on women's experiences of labour
care. The study from Syria reported that a communication skills training
intervention for resident doctors was not associated with higher satisfaction
reported by women. In the UK study, patient-actors' (experienced midwives)
perceptions of safety and communication significantly improved for postpartum
haemorrhage scenarios after training with patient-actors in local hospitals,
compared with training using manikins in simulation centres, but no differences
were identified for other scenarios. Both studies had methodological limitations.
KEY CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: the review identified a lack of
evidence on impact of interventions to support effective communication between
maternity care staff and healthy women during labour and birth. Very low quality
evidence was found on effectiveness of communication training of maternity care
staff. Robust studies which are able to identify characteristics of interventions
to support effective communication in maternity care are urgently needed.
Consideration also needs to be given to how organisations prepare, monitor and
sustain interventions to support effective communication, which reflect outcomes
of priority for women, local culture and context of labour and birth care.
PMID- 29351867
TI - Electrosynthesized MIPs for transferrin: Plastibodies or nano-filters?
AB - Molecularly imprinted polymer (MIP) nanofilms for transferrin (Trf) have been
synthesized on gold surfaces by electro-polymerizing the functional monomer
scopoletin in the presence of the protein target or around pre-adsorbed Trf. As
determined by atomic force microscopy (AFM) the film thickness was comparable
with the molecular dimension of the target. The target (re)binding properties of
the electro-synthesized MIP films was evaluated by cyclic voltammetry (CV) and
square wave voltammetry (SWV) through the target-binding induced permeability
changes of the MIP nanofilms to the ferricyanide redox marker, as well as by
surface plasmon resonance (SPR) and surface enhanced infrared absorption
spectroscopy (SEIRAS) of the immobilized protein molecules. For Trf a linear
concentration dependence in the lower micromolar range and an imprinting factor
of ~5 was obtained by SWV and SPR. Furthermore, non-target proteins including the
iron-free apo-Trf were discriminated by pronounced size and shape specificity.
Whilst it is generally assumed that the rebinding of the target or of cross
reacting proteins exclusively takes place at the polymer here we considered also
the interaction of the protein molecules with the underlying gold transducers. We
demonstrate by SWV that adsorption of proteins suppresses the signal of the redox
marker even at the bare gold surface and by SEIRAS that the treatment of the MIP
with proteinase K or NaOH only partially removes the target protein. Therefore,
we conclude that when interpreting binding of proteins to directly MIP-covered
gold electrodes the interactions between the protein and the gold surface should
also be considered.
PMID- 29351868
TI - An aptamer nanopore-enabled microsensor for detection of theophylline.
AB - This paper reports an aptamer-based nanopore thin film sensor for detecting
theophylline in the buffer solution and complex fluids including plant extracts
and serum samples. Compared to antibody-based detection, aptamer-based detection
offers many advantages such as low cost and high stability at elevated
temperatures. Experiments found that this type of sensor can readily detect
theophylline at a concentration as low as 0.05uM, which is much lower than the
detection limit of current lab-based equipment such as liquid chromatography
(LC). Experiments also found that the aptamer-based sensor has good specificity,
selectivity, and reasonable reusability with a significantly improved dynamic
detection range. By using the same nanopore thin film sensors as the reference
sensors to further mitigate the non-specific binding effect, the theophylline in
plant extracts and serum has been detected. Only a small amount (~1MUL) of plant
extracts or serum samples is required to measure theophylline. Its low cost and
ease-of-operation make this type of sensor suitable for point-of-care application
to monitor the theophylline level of patients in real time.
PMID- 29351869
TI - Allosteric kissing complex-based electrochemical biosensor for sensitive,
regenerative and versatile detection of proteins.
AB - Herein, an allosteric kissing complex-based electrochemical biosensor was
ingeniously proposed for the simple, sensitive, regenerative and versatile
detection of proteins. Two hairpins (Hp1 and Hp2) were designed and the Hp1 was
immobilized on the electrode surface, which could form a kissing complex with Hp2
through the apical loop-loop or kissing interaction of the RNA-RNA base
sequences. The Hp2 possesses the appended single-stranded tails on each end,
which hybridize with the recognition element-conjugated DNA strands to construct
a protein responsive switch of Hp2 scaffold. After kissing complex formation
between the Hp2 scaffold and the immobilized Hp1, the streptavidin-labeled
alkaline phosphatase (SA-ALP) can be introduced onto the electrode surface for
the generation of electrochemical signal. In the presence of target protein, its
binding to the recognition elements linked onto the Hp2 scaffold endows the
steric strain to open the Hp2 stem, propagated by the disruption of the kissing
complex structure, resulting into a decreased electrochemical signal related with
the protein quantification. Also, the Hp1 immobilized electrode can be directly
regenerated after protein-induced kissing complex dissociation. The current
kissing complex-based electrochemical biosensing strategy can be easily extended
for the detection toward different protein targets of interest by simply changing
the recognition elements conjugated onto the Hp2 scaffold. The sensitive and
selective detection toward proteins could be achieved with the detection limits
toward Anti-Dig antibody and thrombin of about 1ng/mL and 10pM, respectively. The
developed kissing complex-based protein biosensing strategy should be a
beneficial supplement in current biosensor field, providing a promising means for
the applications in bioanalysis, disease diagnostics, and clinical biomedicine.
PMID- 29351870
TI - De novo generation in an in vivo rat model and biomechanical characterization of
autologous transplants for ligament and tendon reconstruction.
AB - BACKGROUND: Surgical reconstruction of ligaments and tendons is frequently
required in clinical practice. The commonly used autografts, allografts, or
synthetic transplants present limitations in terms of availability,
biocompatibility, cost, and mechanical properties that tissue bioengineering aims
to overcome. It classically combines an exogenous extracellular matrix with
cells, but this approach remains complex and expensive. Using a rat model, we
tested a new bioengineering strategy for the in vivo and de novo generation of
autologous grafts without the addition of extracellular matrix or cells, and
analyzed their biomechanical and structural properties. METHODS: A silicone
perforated tubular implant (PTI) was designed and implanted in the spine of male
Wistar rats to generate neo-transplants. The tensile load to failure, stiffness,
Young modulus, and ultrastructure of the generated tissue were determined at 6
and 12weeks after surgery. The feasibility of using the transplant that was
generated in the spine as an autograft for reconstruction of medial collateral
ligaments (MCL) and Achilles tendons was also tested. FINDINGS: Use of the PTI
resulted in de novo transplant generation. Their median load to failure and Young
modulus increased between 6 and 12weeks (respectively 12N vs 34N and 48MPa vs
178MPa). At 12weeks, the neo-transplants exhibited collagen bundles (mainly type
III) parallel to their longitudinal axis and elongated fibroblasts. Six weeks
after their transfer to replace the MCL or the Achilles tendon, the transplants
were still present, with their ends healed at their insertion point.
INTERPRETATION: This animal study is a first step in the design and validation of
a new bioengineering strategy to develop autologous transplants for ligament and
tendon reconstructions.
PMID- 29351871
TI - Systemic signaling in response to wounding and pathogens.
AB - Plants possess systemic signaling networks that allow the perception of local
stresses to be translated into plant-wide responses. Although information can be
propagated via a variety of molecules such as hormones and RNAs moving within the
bulk flow of the phloem or in the transpiration stream, the vasculature also
appears to be a major pathway whereby extremely rapid signals move bi
directionally throughout the plant. In these cases, the movement mechanisms are
not dependent on redistribution through bulk flow. For example, self-reinforcing
systems based around changes in Ca2+ and reactive oxygen species, coupled to
parallel electrical signaling events appear able to generate waves of information
that can propagate at hundreds of MUm/s. These signals then elicit distant
responses that prime the plant for a more effective defense or stress response in
unchallenged tissues. Although ion channels, Ca2+, reactive oxygen species and
associated molecular machineries, such as the NADPH oxidases, have been
identified as likely important players in this propagation system, the precise
nature of these signaling networks remains to be defined. Critically, whether
different stimuli are using the same rapid, systemic signaling network, or
whether multiple, parallel pathways for signal propagation are operating to
trigger specific systemic outputs remains a key open question.
PMID- 29351873
TI - Biochar amendment for batch composting of nitrogen rich organic waste: Effect on
degradation kinetics, composting physics and nutritional properties.
AB - Composting is an efficient technology to reduce pathogenic bodies and stabilize
the organic matter in organic wastes. This research work investigates an effect
of biochar as amendment to improve the composting efficiency and its effect on
degradation kinetics, physical and nutritional properties. Biochar (2.5, 5 and
10% (w/w)) were added into a mixture of Hydrilla verticillata, cow dung and
sawdust having ratio of 8:1:1 (control), respectively. Biochar addition resulted
in advanced thermophilic temperatures (59 degrees C) and could improve the
physical properties of composting process. Owing to addition of 5% biochar as a
bulking agent in composting mixture, the final product from composting, total
nitrogen increased by 45% compared to the other trials, and air-filled porosity
decreased by 39% and was found to be within recommended range from literature
studies. Considering temperature, degradation rate and nitrogen transformation
the amendment of 5% biochar is recommended for Hydrilla verticillata composting.
PMID- 29351872
TI - Low cortical iron and high entorhinal cortex volume promote cognitive functioning
in the oldest-old.
AB - The aging brain is characterized by an increased presence of neurodegenerative
and vascular pathologies. However, there is substantial variation regarding the
relationship between an individual's pathological burden and resulting cognitive
impairment. To identify correlates of preserved cognitive functioning at highest
age, the relationship between beta-amyloid plaque load, presence of small vessel
cerebrovascular disease (SVCD), iron-burden, and brain atrophy was investigated.
Eighty cognitively unimpaired participants (44 oldest-old, aged 85-96 years; 36
younger-old, aged 55-80 years) were scanned by integrated positron emission
tomography-magnetic resonance imaging for assessing beta regional amyloid plaque
load (18F-flutemetamol), white matter hyperintensities as an indicator of SVCD
(fluid-attenuated inversion recovery-magnetic resonance imaging), and iron load
(quantitative susceptibility mapping). For the oldest-old group, lower cortical
volume, increased beta-amyloid plaque load, prevalence of SVCD, and lower
cognitive performance in the normal range were found. However, compared to normal
old, cortical iron burden was lower in the oldest-old. Moreover, only in the
oldest-old, entorhinal cortex volume positively correlated with beta-amyloid
plaque load. Our data thus indicate that the co-occurrence of aging-associated
neuropathologies with reduced quantitative susceptibility mapping measures of
cortical iron load constitutes a lower vulnerability to cognitive loss.
PMID- 29351874
TI - Phenol preparation from catalytic pyrolysis of palm kernel shell at low
temperatures.
AB - In the present study, the characteristics of phenol preparation from palm kernel
shell (PKS) pyrolysis at the temperature range of 265-320 degrees C were
investigated using TG-FTIR-MS analyses, based on the analysis about the
decomposition characteristics of PKS comparing to other biomass samples. The GC
MS analysis was subsequently employed to qualitatively and quantitatively
characterize the phenol in bio-oils from PKS catalytic pyrolysis at 265-320
degrees C. Two significant weight loss peaks with the closer values were observed
in DTG curve of PKS that differentiated with other samples, which was mainly
attributed to the content and especially the structural characteristics of lignin
in the PKS. Phenol was mainly in bio-oils from decomposition of the "first weight
loss peak" during PKS pyrolysis at 265-320 degrees C. The relative content in
bio-oil, selectivity in phenolic compounds, and mass yield of phenol from PKS
catalytic pyrolysis with CaO could reach to 83.21 area%, 100%, and 0.0075 g/(g
biomass), respectively.
PMID- 29351875
TI - Trunk and pelvic dynamics during transient turns among individuals with
unilateral traumatic lower limb amputation.
AB - Prior work has identified alterations in trunk-pelvic dynamics with lower limb
amputation (LLA) during in-line walking; however, evaluations of other ambulatory
tasks are limited. Turns are ubiquitous in daily life but can be challenging for
individuals with LLA, prompting additional or unique proximal compensations when
changing direction, which over time may lead to development of low back pain. We
hypothesized such proximal kinematic differences between persons with and without
LLA would exist in the sagittal and frontal planes. Three-dimensional trunk and
pelvic kinematics, translational and rotational momenta, and coordination
phase/variability were compared among eight persons with unilateral LLA (4 with
transfemoral amputation and 4 with transtibial amputation), and five uninjured
controls, who performed 90-degree turns to the left (n = 10) and right (n = 10).
Participants self-selected the turn strategy (i.e., step vs. spin) and pivot limb
in response to verbal cues regarding when and which direction to turn.
Coordination variability and translational angular momenta did not differ between
groups in either turn type. During spin turns, frontal rotational angular momenta
were larger and frontal trunk-pelvis range of motion was smaller among persons
with vs. without LLA. During step turns, pelvis leading transverse coordination
was more frequent, frontal trunk rotational angular momentum was smaller, and
sagittal pelvis range of motion was larger among persons with vs. without LLA.
Altered and task-dependent modulation of trunk-pelvic dynamics among persons with
LLA provides additional support for a potential link between repeated exposures
to altered trunk-pelvic dynamics with elevated low back pain risk.
PMID- 29351876
TI - Vital signs analysis algorithm detects inflammatory response in premature infants
with late onset sepsis and necrotizing enterocolitis.
AB - BACKGROUND: Nonspecific clinical signs and suboptimal diagnostic tests limit
accurate identification of late onset sepsis (LOS) and necrotizing enterocolitis
(NEC) in premature infants, resulting in significant morbidity and antibiotic
overuse. An infant's systemic inflammatory response may be identified earlier
than clinical suspicion through analysis of multiple vital signs by a
computerized algorithm (RALIS). AIM: To evaluate the revised RALIS algorithm for
detection of LOS and NEC in preterm infants. METHODS: In this nested case-control
study, VS data (heart rate, respiratory rate, temperature, desaturations,
bradycardias) were extracted from medical records of infants 23-32 weeks
gestation. RALIS generated an output, with score >= 5 triggering an alert.
Patient episodes were classified based on culture, radiograph, and antibiotic
data into categories: LOS, expanded LOS, NEC, and controls. Paired t-tests,
linear regression and cross-validation analyses were used to evaluate the
relationship between RALIS alert and LOS/NEC. RESULTS: Among 155 infants with 161
episodes, there were 41 expanded LOS (+blood, CSF, urine, respiratory culture),
31 LOS (+blood, CSF, urine), 9 NEC, and 93 controls. RALIS alert was 43.1 +/- 79
h before culture in LOS (p = .012). There was a significant association between
RALIS alert and LOS/NEC (beta = 0.72, p < .0001). Sensitivity and specificity for
LOS/NEC were 84% and 80%, (PPV = 63%; NPV = 93%). The regression model
demonstrated an AUC of 89.9%. CONCLUSIONS: For infants <=32 weeks, RALIS detects
systemic inflammatory responses in LOS and NEC in the first month of life. The
algorithm can identify infection earlier than clinical suspicion, even for NEC
with negative cultures. RALIS has high NPV to rule-out LOS and NEC, and may,
after prospective validation, aid in antibiotic treatment decisions.
PMID- 29351878
TI - Kinetic studies of the AOP radical-based oxidative and reductive destruction of
pesticides and model compounds in water.
AB - Absolute second-order rate constants for hydroxyl radical (HO) reaction with four
organophosphorus pesticides, malathion, parathion, fenthion and ethion, and a
suite of model compounds of structure (EtO)2P(S)-X (where X = Cl, F, SH, SEt,
OCH2CF3, OEt, NH2, and CH3) were measured using electron pulse radiolysis and
transient absorption techniques. Specific values were determined for these four
pesticides as k = (3.89 +/- 0.28) x 109, (2.20 +/- 0.15) x 109, (2.02 +/- 0.15) x
109 and (2.93 +/- 0.10) x 109 M-1 s-1, respectively, at 20 +/- 2 degrees C. The
corresponding Bronsted plot for all these compounds demonstrated that the HO
oxidation reaction mechanism for the pesticides was consistent with the model
compounds, attributed to initial HO-adduct formation at the P(S) moiety. For
malathion, steady-state 60Co radiolysis and 31P NMR analyses showed that hydroxyl
radical-induced oxidation produces the far more potent isomalathion, but only
with an efficiency of 4.9 +/- 0.3%. Analogous kinetic measurements for the
hydrated electron induced reduction of these pesticides gave specific rate
constants of k = (3.38 +/- 0.14) x 109, (1.38 +/- 0.10) x 109, (1.19 +/- 0.12) x
109 and (1.20 +/- 0.06) x 109 M-1 s-1, respectively, for malathion, parathion,
fenthion and ethion. Model compound measurements again supported a single
reduction reaction mechanism, proposed to be electron addition at the PS bond to
form the radical anion. These results demonstrate, for the first time, that the
radical-based treatment of organophosphorus contaminated waters may present a
potential toxicological risk if advanced oxidative processes are used.
PMID- 29351877
TI - REST, a master transcriptional regulator in neurodegenerative disease.
AB - The restrictive element-1 silencing transcription factor)/NRSF (neuron
restrictive silencing factor (NRSF) is a transcriptional repressor which acts via
epigenetic remodeling to silence target genes. Emerging evidence indicates that
REST is a master transcriptional regulator of neuron-specific genes not only in
neurogenesis and neuronal differentiation, but also in differentiated neurons
during the critical period in postnatal brain development, where it plays a role
in fine-tuning of genes involved in synaptic plasticity, and in normal aging,
where it promotes neuroprotection by repressing genes involved in oxidative
stress and beta-amyloid toxicity. This review focuses on recent findings that
dysregulation of REST and REST-dependent epigenetic remodeling provide a central
mechanism critical to the progressive neurodegeneration associated with
neurologic disorders and diseases including global ischemia, stroke, epilepsy,
Alzheimer's and Huntington's disease.
PMID- 29351879
TI - The importance of monochromatic lights in the production of phenolic acids and
flavonoids in shoot cultures of Aronia melanocarpa, Aronia arbutifolia and Aronia
* prunifolia.
AB - Shoot cultures of Aronia melanocarpa, A. arbutifolia and A. * prunifolia were
maintained on Murashige and Skoog medium with 1 mg/l each of BA and NAA under
monochromatic lights (far-red, red, blue lights, UV-A-irradiation), in darkness,
and under white light (control). HPLC-DAD analyses of 19 phenolic acids and 11
flavonoids in methanolic extracts from the shoots revealed in all of them the
presence of three depsides (chlorogenic, neochlorogenic and rosmarinic acids),
protocatechuic acid, four flavonoid glycosides (cynaroside, quercitrin,
hyperoside and rutoside), and additionally, in A. arbutifolia, 3,4
dihydroxyphenylacetic acid. Depending on light quality, the total amounts of
these metabolites increased 1.8-5.9 times, reaching maximum values under blue
light: 527.40 and 144.61 mg 100 g-1 DW (A. melanocarpa), 543.27 and 85.82 mg 100
g-1 DW (A. arbutifolia) and 1615.18 and 220.65 mg 100 g-1 DW (A. * prunifolia),
respectively. The maximum total amounts were 1.3-3.6 times higher than under
white light. The quantities of individual metabolites changed from 1.2 to 11.0
times, with high amounts of neochlorogenic acid and quercitrin in A. melanocarpa
(243.35 and 75.64 mg 100 g-1 DW), and of chlorogenic and rosmarinic acids and
quercitrin in A. arbutifolia (236.52, 219.35 and 51.01 mg 100 g-1 DW). Extremely
high amounts of depsides (418.83, 644.68, 548.86 mg 100 g-1 DW) and quercitrin
(165.88 mg 100 g-1 DW) were produced in cultures of the hybrid - A. * prunifolia.
The results are potentially useful for practical applications. This is the first
report documented the importance of light quality on the production of phenolic
acids and flavonoids in three aronia in vitro cultures.
PMID- 29351880
TI - Preparation and characterization of biocompatible silver nanoparticles using
pomegranate peel extract.
AB - The potential application of any nanoparticles, including silver nanoparticles
(AgNPs), strongly depends on their stability against aggregation. In the current
study, an aqueous extract of pomegranate peel was used as a stabilizer during
synthesis of AgNPs. Nanoparticles have been prepared by the chemical reduction
method from an aqueous solution of silver nitrate in the presence of sodium
borohydride as a reducing agent. The AgNPs were characterized by dynamic light
scattering (DLS), zeta-potential measurements, UV-Vis spectroscopy and
transmission electron microscopy (TEM). The antibacterial efficiency of AgNPs
against Escherichia coli was investigated. The size, polydispersity index, FWHM,
and colloidal stability of nanoparticles in dispersion depends on the extract
concentrations. In the presence of pomegranate peel extract, the nanoparticles
suspension shows colloidal stability at least for a week. Our studies show that
synthesized AgNPs with the above described procedure were stable at pH = 3-12 and
in the temperature range of 25-85 degrees C. Additionally, AgNPs exhibit
antibacterial properties, especially at the lowest amount of extract to silver
ratio (KExtract/Ag).
PMID- 29351882
TI - Surface characterization of arsenopyrite during chemical and biological
oxidation.
AB - The surface properties of arsenopyrite during chemical and biological oxidation
were investigated by synchrotron X-ray diffraction (S-XRD), X-ray absorption near
edge structure (XANES) and scanning electron microscope (SEM), accompanying with
leaching behaviors elucidation. The moderate thermophile S. thermosulfidooxdians
was used as the bioleaching microorganism. Leaching experiments showed that only
16.26% and 44.37% of total arsenic extractions were obtained for sterile acid and
culture medium controls, whereas 79.20% of total arsenic was recovered at the end
of bioleaching. SEM indicated that new products were layered on the surface of
arsenopyrite after chemical and biological oxidation. As displayed in S-XRD
patterns, scorodite and elemental sulfur were formed after acid leaching, while
only elemental sulfur was detected in the residue leached by acid culture medium.
During bioleaching, elemental sulfur was produced from day 4 and jarosite was
produced from day 9. The results of iron and arsenic L-edge XANES were in good
consistence with S-XRD. The accumulation of scorodite and jarosite on
arsenopyrite surface should be the main reason for the hindered dissolution of
arsenopyrite during acid leaching and bioleaching. These studies are pretty
meaningful for better understanding the oxidation mechanism of arsenopyrite and
evaluating arsenic risk to the environment.
PMID- 29351881
TI - Phonotactic processing deficit following left-hemisphere stroke.
AB - The neural basis of speech processing is still a matter of great debate.
Phonotactic knowledge-knowledge of the allowable sound combinations in a language
remains particularly understudied. The purpose of this study was to investigate
the brain regions crucial to phonotactic knowledge in left-hemisphere stroke
survivors. Results were compared to areas in which gray matter anatomy related to
phonotactic knowledge in healthy controls. 44 patients with chronic left
hemisphere stroke, and 32 controls performed an English-likeness rating task on
60 auditory non-words of varying phonotactic regularities. They were asked to
rate on a 1-5 scale, how close each non-word sounded to English. Patients'
performance was compared to that of healthy controls, using mixed effects
modeling. Multivariate lesion-symptom mapping and voxel-based morphometry were
used to find the brain regions important for phonotactic processing in patients
and controls respectively. The results showed that compared to controls, stroke
survivors were less sensitive to phonotactic regularity differences. Lesion
symptom mapping demonstrated that a loss of sensitivity to phonotactic
regularities was associated with lesions in left angular gyrus and posterior
middle temporal gyrus. Voxel-based morphometry also revealed a positive
correlation between gray matter density in left angular gyrus and sensitivity to
phonotactic regularities in controls. We suggest that the angular gyrus is used
to compare the incoming speech stream to internal predictions based on the
frequency of sound sequences in the language derived from stored lexical
representations in the posterior middle temporal gyrus.
PMID- 29351883
TI - Titanium dioxide nanoparticle exposure reduces algal biomass and alters algal
assemblage composition in wastewater effluent-dominated stream mesocosms.
AB - A 5-week mesocosm experiment was conducted to investigate the toxicity of
titanium dioxide nanoparticles (TiO2NPs) to periphytic algae in an
environmentally-realistic scenario. We used outdoor experimental streams to
simulate the characteristics of central Texas streams receiving large discharges
of wastewater treatment plant effluent during prolonged periods of drought. The
streams were continually dosed and maintained at two concentrations. The first
represents an environmentally relevant concentration of 0.05 mg L-1 (low
concentration). The second treatment of 5 mg L-1 (high concentration) was
selected to represent a scenario where TiO2NPs are used for photocatalytic
degradation of pharmaceuticals in wastewater. Algal cell density, chlorophyll-a,
ash-free dry mass, algal assemblage composition, and Ti accumulation were
determined for the periphyton in the riffle sections of each stream. The high
concentration treatment of TiO2NPs significantly decreased algal cell density,
ash-free dry mass, and chlorophyll-a, and altered algal assemblage composition.
Decreased abundance of three typically pollution-sensitive taxa and increased
abundance of two genera associated with heavy metal sorption and organic
pollution significantly contributed to algal assemblage composition changes in
response to TiO2NPs. Benefits of the use of TiO2NPs in wastewater treatment
plants will need to be carefully weighed against the demonstrated ability of
these NPs to cause large changes in periphyton that would likely propagate
significant effects throughout the stream ecosystem, even in the absence of
direct toxicity to higher trophic level organisms.
PMID- 29351884
TI - Crucial role of chelatable iron in silver nanoparticles induced DNA damage and
cytotoxicity.
AB - Damage to mitochondria and subsequent ROS leakage is a commonly accepted
mechanism of nanoparticle toxicity. However, malfunction of mitochondria results
in generation of superoxide anion radical (O2*-), which due to the relatively low
chemical reactivity is rather unlikely to cause harmful effects triggered by
nanoparticles. We show that treatment of HepG2 cells with silver nanoparticles
(AgNPs) resulted in generation of H2O2 instead of O2*-, as measured by ROS
specific mitochondrial probes. Moreover, addition of a selective iron chelator
diminished AgNPs toxicity. Altogether these results suggest that O2*- generated
during NPs induced mitochondrial collapse is rapidly dismutated to H2O2, which in
the presence of iron ions undergoes a Fenton reaction to produce an extremely
reactive hydroxyl radical (*OH). Clarification of the mechanism of NPs-dependent
generation of *OH and demonstration of the crucial role of iron ions in NPs
toxicity will facilitate our understanding of NPs toxicity and the design of safe
nanomaterials.
PMID- 29351885
TI - Repetitive TMS to augment cognitive processing therapy in combat veterans of
recent conflicts with PTSD: A randomized clinical trial.
AB - BACKGROUND: The objective was to test whether repetitive Transcranial Magnetic
Stimulation (rTMS) just prior to Cognitive Processing Therapy (CPT) would
significantly improve the clinical outcome compared to sham rTMS prior to CPT in
veterans with PTSD. METHODS: Veterans 18-60 years of age with current combat
related PTSD symptoms were randomized, using a 1:1 ratio in a parallel design, to
active (rTMS+CPT) versus sham (sham+CPT) rTMS just prior to weekly CPT for 12-15
sessions. Blinded raters evaluated veterans at baseline, after the 5th and 9th
treatments, and at 1, 3, and 6 months post-treatment. Clinician Administered PTSD
Scale (CAPS) was the primary outcome measure with the PTSD Checklist (PCL) as a
secondary outcome measure. The TMS coil (active or sham) was positioned over the
right dorsolateral prefrontal cortex (110% MT, 1Hz continuously for 30min, 1800
pulses/treatment). RESULTS: Of the 515 individuals screened for the study, 103
participants were randomized to either active (n = 54) or sham rTMS (n = 49).
Sixty-two participants (60%) completed treatment and 59 (57%) completed the 6
month assessment. The rTMS+CPT group showed greater symptom reductions from
baseline on both CAPS and PCL across CPT sessions and follow-up assessments, t(df
>= 325) <= -2.01, p <= 0.023, one-tailed and t(df >= 303) <= -2.14, p <= 0.017,
one-tailed, respectively. LIMITATIONS: Participants were predominantly male and
limited to one era of conflicts as well as those who could safely undergo rTMS.
CONCLUSIONS: The addition of rTMS to CPT compared to sham with CPT produced
significantly greater PTSD symptom reduction early in treatment and was sustained
up to six months post-treatment.
PMID- 29351886
TI - Self-harm among the homeless population in Ireland: A national registry-based
study of incidence and associated factors.
AB - BACKGROUND: Self-harm is a strong predictor of future suicide, but little is
known about self-harm among the homeless population. The study aim was to
estimate the incidence of self-harm among the homeless population and to assess
factors associated with self-harm. METHODS: Data on self-harm presentations to 34
hospital emergency departments in Ireland were collected by the National Self
Harm Registry Ireland (NSHRI). Index presentations between 2010 and 2014 were
included for the homeless and fixed residence populations. Incidence rates of
self-harm were calculated using NSHRI data and census estimates. Factors
associated with self-harm and repeated self-harm were analysed by multivariable
adjusted logistic regression. RESULTS: The age-standardised incidence rate of
self-harm was 30 times higher among the homeless (5572 presentations per 100,000)
compared with those with a fixed residence (187 presentations per 100,000).
Homeless people had significantly higher odds of being male (OR 1.86, 95%CI 1.56
2.23), presenting with self-cutting (vs. overdose, OR 2.15, 95%CI 1.74-2.66) and
having psychiatric admission (vs. general admission, OR 2.43, 95%CI 1.66-3.57).
Homeless people had higher odds of self-harm repetition within 12 months (vs.
fixed residence, OR 1.46, 95%CI 1.21-1.77). The odds of repetition were
significantly increased among homeless who engaged in self-cutting (vs. overdose,
OR 1.76, 95%CI 1.17-2.65) and did not receive psychiatric review at index
presentation (vs. reviewed, OR 1.54, 95%CI 1.05-2.26). LIMITATIONS: The study
only reflects self-harm presenting to hospital, and assumes no change in
homelessness status after index presentation. Residual confounding may affect the
results. CONCLUSION: There is a disproportionate burden of self-harm among the
homeless. Targeted preventive actions are warranted.
PMID- 29351887
TI - Synthesis and anti-tumor activity of EF24 analogues as IKKbeta inhibitors.
AB - EF24 is an IKKbeta inhibitor (IC50: 72 MUM) containing various anti-tumor
activities. In this study, a series of EF24 analogs targeting IKKbeta were
designed and synthesized. Several IKKbeta inhibitors with better activities than
EF24 were screened out and B3 showed best IKKbeta inhibitory (IC50: 6.6 MUM).
Molecular docking and dynamic simulation experiments further confirmed this
inhibitory effect. B3 obviously suppressed the viability of Hela229, A549, SGC
7901 and MGC-803 cells. Then, in SGC-7901 and MGC-803 cells, B3 blocked the NF
kappaB signal pathway by inhibiting IKKbeta phosphorylation, and followed
arrested the cell cycle at G2/M phase by suppressing the Cyclin B1 and Cdc2 p34
expression, induced the cell apoptosis by down-regulating Bcl-2 protein and up
regulating cleaved-caspase3. Moreover, B3 significantly reduced tumor growth and
suppressed the IKKbeta-NF-kappaB signal pathway in SGC-7901 xenograft model. In
total, this study present a potential IKKbeta inhibitor as anti-tumor precursor.
PMID- 29351888
TI - Distribution and availability of mercury and methylmercury in different waters
from the Rio Madeira Basin, Amazon.
AB - Waters from the Amazon Basin have distinct physicochemical characteristics that
can be optically classified as "black", "clear" and "white". We studied the
distribution of total-Hg (THg) and methyl-Hg (MeHg) in these waters and
respective suspended solids, sediment, phytoplankton, zooplankton, and benthic
macroinvertebrates (BM) in the Madeira River Basin. Compared with the other types
of water, the more acidic "black" kind had the highest THg and MeHg
concentrations. The trend (black > clear > white) occurred for the concentrations
of THg and MeHg in sediments and in the biotic compartment (plankton,
macroinvertebrates). Organic Hg accounted for a small percentage (0.6-0.4%) of
the THg in sediments but was highest in water (17-15%). For plankton and BM, the
biota sediment accumulation factor (BSAFs) of MeHg (53-125) were greater than
those of THg (4.5-15); however, the BSAF trend according to water type (black >
clear > white) was only significant for MeHg. Sediment THg is correlated with all
forms of Hg in biotic and abiotic matrices. The results indicate that water
acidity in the Amazon is an important chemical characteristic in assessing Hg
contamination of sediments and bioaccumulation in the aquatic food web. The
differences in the BSAFs between THg and MeHg support the use of this factor for
evaluating the bioaccumulation potential of sediment-bound Hg. The results add
information critical to assessing environmental and health risks related to Hg
methylation and potential fish-MeHg contamination, especially in tropical aquatic
environments.
PMID- 29351890
TI - Evolutionary mechanisms studied through protein fitness landscapes.
AB - Biology has, and continues to be, shaped by evolutionary mechanisms. Within the
past decade, local fitness landscapes have become experimentally tractable and
are providing new perspectives on evolutionary mechanisms. Powered by next
generation sequencing, the impacts of all individual amino acid substitutions on
function have been quantified for dozens of proteins. These fitness maps have
been utilized to investigate the biophysical underpinnings of existing protein
function as well as the appearance and enhancement of new protein functions. This
review highlights emerging trends from this rapidly growing area of research,
including an expanded understanding of the biophysical mechanisms underlying
existing and new protein function, the roles epistasis and adaptation play in
shaping evolution, and the prediction of disease-causing alleles in humans.
PMID- 29351889
TI - Disentangling the effects of low pH and metal mixture toxicity on
macroinvertebrate diversity.
AB - One of the primary goals of biological assessment of streams is to identify which
of a suite of chemical stressors is limiting their ecological potential. Elevated
metal concentrations in streams are often associated with low pH, yet the effects
of these two potentially limiting factors of freshwater biodiversity are rarely
considered to interact beyond the effects of pH on metal speciation. Using a
dataset from two continents, a biogeochemical model of the toxicity of metal
mixtures (Al, Cd, Cu, Pb, Zn) and quantile regression, we addressed the relative
importance of both pH and metals as limiting factors for macroinvertebrate
communities. Current environmental quality standards for metals proved to be
protective of stream macroinvertebrate communities and were used as a starting
point to assess metal mixture toxicity. A model of metal mixture toxicity
accounting for metal interactions was a better predictor of macroinvertebrate
responses than a model considering individual metal toxicity. We showed that the
direct limiting effect of pH on richness was of the same magnitude as that of
chronic metal toxicity, independent of its influence on the availability and
toxicity of metals. By accounting for the direct effect of pH on
macroinvertebrate communities, we were able to determine that acidic streams
supported less diverse communities than neutral streams even when metals were
below no-effect thresholds. Through a multivariate quantile model, we untangled
the limiting effect of both pH and metals and predicted the maximum diversity
that could be expected at other sites as a function of these variables. This
model can be used to identify which of the two stressors is more limiting to the
ecological potential of running waters.
PMID- 29351891
TI - Overexpression of a wheat (Triticum aestivum L.) bZIP transcription factor gene,
TabZIP6, decreased the freezing tolerance of transgenic Arabidopsis seedlings by
down-regulating the expression of CBFs.
AB - The basic leucine zipper (bZIP) proteins play important roles against abiotic
stress in plants, including cold stress. However, most bZIPs involved in plant
freezing tolerance are positive regulators. Only a few bZIPs function negatively
in cold stress response. In this study, TabZIP6, a Group C bZIP transcription
factor gene from common wheat (Triticum aestivum L.), was cloned and
characterized. The transcript of TabZIP6 was strongly induced by cold treatment
(4 degrees C). TabZIP6 is a nuclear-localized protein with transcriptional
activation activity. Arabidopsis plants overexpressing TabZIP6 showed decreased
tolerance to freezing stress. Microarray as well as quantitative real-time PCR
(qRT-PCR) analysis showed that CBFs and some key COR genes, including COR47 and
COR15B, were down-regulated by cold treatment in TabZIP6-overexpressing
Arabidopsis lines. TabZIP6 was capable of binding to the G-box motif and the CBF1
and CBF3 promoters in yeast cells. A yeast two-hybrid assay revealed that
TabZIP6, as well as the other two Group S bZIP proteins involved in cold stress
tolerance in wheat, Wlip19 and TaOBF1, can form homodimers by themselves and
heterodimers with each other. These results suggest that TabZIP6 may function
negatively in the cold stress response by binding to the promoters of CBFs, and
thereby decreasing the expression of downstream COR genes in TabZIP6
overexpressing Arabidopsis seedlings.
PMID- 29351892
TI - Identification and functional analysis of cassava DELLA proteins in plant disease
resistance against cassava bacterial blight.
AB - Gibberellin (GA) is an essential plant hormone in plant growth and development as
well as various stress responses. DELLA proteins are important repressors of GA
signal pathway. GA and DELLA have been extensively investigated in several model
plants. However, the in vivo roles of GA and DELLA in cassava, one of the most
important crops and energy crops in the tropical area, are unknown. In this
study, systematic genome-wide analysis identified 4 MeDELLAs in cassava, as
evidenced by the evolutionary tree, gene structures and motifs analyses. Gene
expression analysis found that 4 MeDELLAs were commonly regulated by flg22 and
Xanthomonas axonopodis pv manihotis (Xam). Through overexpression in Nicotiana
benthamiana, we found that 4 MeDELLAs conferred improved disease resistance
against cassava bacterial blight. Through virus-induced gene silencing (VIGS) in
cassava, we found that MeDELLA-silenced plants exhibited decreased disease
resistance, with less callose deposition and lower transcript levels of defense
related genes. This is the first study identifying MeDELLAs as positive
regulators of disease resistance against cassava bacterial blight.
PMID- 29351893
TI - A Clinical Decision Support Engine Based on a National Medication Repository for
the Detection of Potential Duplicate Medications: Design and Evaluation.
AB - BACKGROUND: A computerized physician order entry (CPOE) system combined with a
clinical decision support system can reduce duplication of medications and thus
adverse drug reactions. However, without infrastructure that supports patients'
integrated medication history across health care facilities nationwide,
duplication of medication can still occur. In Taiwan, the National Health
Insurance Administration has implemented a national medication repository and Web
based query system known as the PharmaCloud, which allows physicians to access
their patients' medication records prescribed by different health care facilities
across Taiwan. OBJECTIVE: This study aimed to develop a scalable, flexible, and
thematic design-based clinical decision support (CDS) engine, which integrates a
national medication repository to support CPOE systems in the detection of
potential duplication of medication across health care facilities, as well as to
analyze its impact on clinical encounters. METHODS: A CDS engine was developed
that can download patients' up-to-date medication history from the PharmaCloud
and support a CPOE system in the detection of potential duplicate medications.
When prescribing a medication order using the CPOE system, a physician receives
an alert if there is a potential duplicate medication. To investigate the impact
of the CDS engine on clinical encounters in outpatient services, a clinical
encounter log was created to collect information about time, prescribed drugs,
and physicians' responses to handling the alerts for each encounter. RESULTS: The
CDS engine was installed in a teaching affiliate hospital, and the clinical
encounter log collected information for 3 months, during which a total of 178,300
prescriptions were prescribed in the outpatient departments. In all,
43,844/178,300 (24.59%) patients signed the PharmaCloud consent form allowing
their physicians to access their medication history in the PharmaCloud. The rate
of duplicate medication was 5.83% (1843/31,614) of prescriptions. When
prescribing using the CDS engine, the median encounter time was 4.3 (IQR 2.3-7.3)
min, longer than that without using the CDS engine (median 3.6, IQR 2.0-6.3 min).
From the physicians' responses, we found that 42.06% (1908/4536) of the potential
duplicate medications were recognized by the physicians and the medication orders
were canceled. CONCLUSIONS: The CDS engine could easily extend functions for
detection of adverse drug reactions when more and more electronic health record
systems are adopted. Moreover, the CDS engine can retrieve more updated and
completed medication histories in the PharmaCloud, so it can have better
performance for detection of duplicate medications. Although our CDS engine
approach could enhance medication safety, it would make for a longer encounter
time. This problem can be mitigated by careful evaluation of adopted solutions
for implementation of the CDS engine. The successful key component of a CDS
engine is the completeness of the patient's medication history, thus further
research to assess the factors in increasing the PharmaCloud consent rate is
required.
PMID- 29351894
TI - The Use of Technology in Identifying Hospital Malnutrition: Scoping Review.
AB - BACKGROUND: Malnutrition is a condition most commonly arising from the inadequate
consumption of nutrients necessary to maintain physiological health and is
associated with the development of cardiovascular disease, osteoporosis, and
sarcopenia. Malnutrition occurring in the hospital setting is caused by
insufficient monitoring, identification, and assessment efforts. Furthermore, the
ability of health care workers to identify and recognize malnourished patients is
suboptimal. Therefore, interventions focusing on the identification and treatment
of malnutrition are valuable, as they reduce the risks and rates of malnutrition
within hospitals. Technology may be a particularly useful ally in identifying
malnutrition due to scalability, timeliness, and effectiveness. In an effort to
explore the issue, this scoping review synthesized the availability of
technological tools to detect and identify hospital malnutrition. OBJECTIVE: Our
objective was to conduct a scoping review of the different forms of technology
used in addressing malnutrition among adults admitted to hospital to (1) identify
the extent of the published literature on this topic, (2) describe key findings,
and (3) identify outcomes. METHODS: We designed and implemented a search strategy
in 3 databases (PubMed, Scopus, and CINAHL). We completed a descriptive numerical
summary and analyzed study characteristics. One reviewer independently extracted
data from the databases. RESULTS: We retrieved and reviewed a total of 21
articles. We categorized articles by the computerized tool or app type:
malnutrition assessment (n=15), food intake monitoring (n=5), or both (n=1).
Within those categories, we subcategorized the different technologies as either
hardware (n=4), software (n=13), or both (n=4). An additional subcategory under
software was cloud-based apps (n=1). Malnutrition in the acute hospital setting
was largely an unrecognized problem, owing to insufficient monitoring,
identification, and initial assessments of identifying both patients who are
already malnourished and those who are at risk of malnourishment. Studies went on
to examine the effectiveness of health care workers (nurses and doctors) with a
knowledge base focused on clinical care and their ability to accurately and
consistently identify malnourished geriatric patients within that setting.
CONCLUSIONS: Most articles reported effectiveness in accurately increasing
malnutrition detection and awareness. Computerized tools and apps may also help
reduce health care workers' workload and time spent assessing patients for
malnutrition. Hospitals may also benefit from implementing malnutrition
technology through observing decreased length of stay, along with decreased
foregone costs related to missing malnutrition diagnoses. It is beneficial to
study the impact of these technologies to examine possible areas of improvement.
A future systematic review would further contribute to the evidence and
effectiveness of the use of technologies in assessing and monitoring hospital
malnutrition.
PMID- 29351895
TI - A Tailored Web-Based Intervention to Improve Parenting Risk and Protective
Factors for Adolescent Depression and Anxiety Problems: Postintervention Findings
From a Randomized Controlled Trial.
AB - BACKGROUND: Depression and anxiety disorders in young people are a global health
concern. Parents have an important role in reducing the risk of these disorders,
but cost-effective, evidence-based interventions for parents that can be widely
disseminated are lacking. OBJECTIVE: This study aimed to examine the
postintervention effects of the Partners in Parenting (PiP) program on parenting
risk and protective factors for adolescent depression and anxiety, and on
adolescent depression and anxiety symptoms. METHODS: A two-arm randomized
controlled trial was conducted with 359 parent-adolescent dyads, recruited
primarily through schools across Australia. Parents and adolescents were assessed
at baseline and 3 months later (postintervention). Parents in the intervention
condition received PiP, a tailored Web-based parenting intervention designed
following Persuasive Systems Design (PSD) principles to target parenting factors
associated with adolescents' risk for depression and anxiety problems. PiP
comprises a tailored feedback report highlighting each parent's strengths and
areas for improvement, followed by a set of interactive modules (up to nine) that
is specifically recommended for the parent based on individually identified areas
for improvement. Parents in the active-control condition received a standardized
package of five Web-based factsheets about adolescent development and well-being.
Parents in both conditions received a 5-min weekly call to encourage progress
through their allocated program to completion. Both programs were delivered
weekly via the trial website. The primary outcome measure at postintervention was
parent-reported changes in parenting risk and protective factors, which were
measured using the Parenting to Reduce Adolescent Depression and Anxiety Scale
(PRADAS). Secondary outcome measures were the adolescent-report PRADAS, the
parent- and child-report Short Mood and Feelings Questionnaire (depressive
symptoms), and parent- and child-report Spence Children's Anxiety Scale (anxiety
symptoms). RESULTS: Parents in the intervention condition completed a mean of
73.7% of their intended personalized PiP program. A total of 318 parents (88.6%,
318/359) and 308 adolescents (92.8%, 308/332) completed the postintervention
assessment. Attrition was handled using mixed model of repeated measures analysis
of variance. As hypothesized, we found a significant condition-by-time
interaction on the PRADAS, with a medium effect size, Cohen d=0.57, 95% CI 0.34
0.79. No significant differences between conditions were found at
postintervention on any of the secondary outcome measures, with adolescent
depressive (parent-report only) and anxiety (both parent- and adolescent-report)
symptoms decreasing significantly from baseline to postintervention in both
conditions. CONCLUSIONS: The fully automated PiP intervention showed promising
short-term effects on parenting behaviors that are associated with adolescents'
risk for depression and anxiety. Long-term follow-up is required to ascertain
whether these effects translate into reduced adolescent depression and anxiety
problems. The intervention may be useful as a low-cost universal public health
program to increase parenting practices believed to benefit adolescents' mental
health. TRIAL REGISTRATION: Australia New Zealand Clinical Trials Registry:
ACTRN12615000328572; https://www.anzctr.org.au/
Trial/Registration/TrialReview.aspx? id=368274 (Archived by WebCite at
http://www.webcitation.org/6qgsZ3Aqj).
PMID- 29351896
TI - Internet Exposure Associated With Canadian Parents' Perception of Risk on
Childhood Immunization: Cross-Sectional Study.
AB - BACKGROUND: There is a large presence of provaccination and antivaccination
content on the Internet. The Internet has been identified as an important source
for parents to seek and share vaccine information. There are concerns that
parental fears or hesitancy on childhood immunizations are increasing due to the
popularity of social media and exposure to online antivaccination sentiment. No
other studies have investigated the association between seeking vaccine
information online and Canadian parents' perception of risk on childhood
immunization. OBJECTIVE: We aimed to investigate the potential association
between seeking vaccine information on the Internet and Canadian parents'
perception of risk on childhood immunization in order to quantify the perceived
association and increase our understanding on the impact of the Internet to help
guide public health interventions. METHODS: We analyzed this association in two
population samples: a self-selecting Web-based sample of Canadian parents
recruited through Facebook (n=966) and a population-based sample of parents
recruited by random digit dialing (RDD; n=951). The outcome was parental
perception of vaccine safety on a seven-point ordinal scale from "not safe" to
"extremely safe." An ordinal regression model was used to investigate if Internet
information seeking on childhood vaccination predicted parental perception of
vaccine safety. RESULTS: After adjusting for income level, Internet reliability,
age of parent, and region, the odds of perceiving vaccines as less safe rather
than more safe were 1.6 times higher (95% CI 1.3-2.1) for parents who used the
Internet to search for vaccination information compared to parents who did not
search the Internet in the Web-based sample, and 2.0 times higher (95% CI 1.6
2.5) in the population-based RDD sample. CONCLUSIONS: The results suggest the
Internet is significantly associated with Canadian parents' negative perception
of vaccine risk. Governmental and scientific sectors should consider the
development and implementation of Web-based vaccine interventions to promote
confidence in immunization.
PMID- 29351898
TI - Young People's, Parents', and Professionals' Views on Required Components of
Mobile Apps to Support Self-Management of Juvenile Arthritis: Qualitative Study.
AB - BACKGROUND: There is growing evidence that supporting self-management of Juvenile
Arthritis can benefit both patients and professionals. Young people with Juvenile
Arthritis and their healthy peers increasingly use mobile technologies to access
information and support in day-to-day life. Therefore, a user-led, rigorously
developed and evaluated mobile app could be valuable for facilitating young
people's self-management of Juvenile Arthritis. OBJECTIVE: The objective of this
study was to seek the views of young people with Juvenile Arthritis, their
parents or carers, and health care professionals (HCPs) as to what should be
included in a mobile app to facilitate young people's self-management of chronic
Juvenile Arthritis. METHODS: A qualitative approach was adopted with a purposeful
sample of 9 young people aged 10-18 years with Juvenile Arthritis, 8 parents or
carers, and 8 HCPs involved in their care. Data were gathered through semi
structured focus group and individual interviews with young people and their
parents or carers and HCPs. Interview discussion was facilitated through
demonstration of four existing health apps to explore participants' views on
strengths and limitations of these, barriers and facilitators to mobile app use,
preferred designs, functionality, levels of interaction, and data sharing
arrangements. Data were analyzed using the framework approach. RESULTS: Analysis
revealed three interlinked, overarching themes: (1) purpose, (2) components and
content, and (3) social support. Despite some differences in emphasis on
essential content, general agreement was found between young people with Juvenile
Arthritis their parents or carers, and professionals that a mobile app to aid
self-management would be useful. Underpinning the themes was a prerequisite that
young people are enabled to feel a sense of ownership and control of the app, and
that it be an interactive, engaging resource that offers developmentally
appropriate information and reminders, as well as enabling them to monitor their
symptoms and access social support. CONCLUSIONS: Findings justify and pave the
way for a future feasibility study into the production and preliminary testing of
such an app. This would consider issues such as compatibility with existing
technologies, costs, age, and cross-gender appeal as well as resource
implications.
PMID- 29351897
TI - Transitions Between Circulatory States After Out-of-Hospital Cardiac Arrest:
Protocol for an Observational, Prospective Cohort Study.
AB - BACKGROUND: The post cardiac arrest syndrome (PCAS) is responsible for the
majority of in-hospital deaths following cardiac arrest (CA). The major elements
of PCAS are anoxic brain injury and circulatory failure. OBJECTIVE: This study
aimed to investigate the clinical characteristics of circulatory failure and
inflammatory responses after out-of-hospital cardiac arrest (OHCA) and to
identify patterns of circulatory and inflammatory responses, which may predict
circulatory deterioration in PCAS. METHODS: This study is a single-center cohort
study of 50 patients who receive intensive care after OHCA. The patients are
followed for 5 days where detailed information from circulatory variables,
including measurements by pulmonary artery catheters (PACs), is obtained in high
resolution. Blood samples for inflammatory and endothelial biomarkers are taken
at inclusion and thereafter daily. Every 10 min, the patients will be assessed
and categorized in one of three circulatory categories. These categories are
based on mean arterial pressure; heart rate; serum lactate concentrations;
superior vena cava oxygen saturation; and need for fluid, vasoactive medications,
and other interventions. We will analyze predictors of circulatory failure and
their relation to inflammatory biomarkers. RESULTS: Patient inclusion started in
January 2016. CONCLUSIONS: This study will obtain advanced hemodynamic data with
high resolution during the acute phase of PCAS and will analyze the details in
circulatory state transitions related to circulatory failure. We aim to identify
early predictors of circulatory deterioration and favorable outcome after CA.
TRIAL REGISTRATION: ClinicalTrials.gov: NCT02648061;
https://clinicaltrials.gov/ct2/show/NCT02648061 (Archived by WebCite at
http://www.webcitation.org/6wVASuOla).
PMID- 29351899
TI - Web-Based Survey Application to Collect Contextually Relevant Geographic Data
With Exposure Times: Application Development and Feasibility Testing.
AB - BACKGROUND: Although studies that characterize the risk environment by linking
contextual factors with individual-level data have advanced infectious disease
and substance use research, there are opportunities to refine how we define
relevant neighborhood exposures; this can in turn reduce the potential for
exposure misclassification. For example, for those who do not inject at home,
injection risk behaviors may be more influenced by the environment where they
inject than where they live. Similarly, among those who spend more time away from
home, a measure that accounts for different neighborhood exposures by weighting
each unique location proportional to the percentage of time spent there may be
more correlated with health behaviors than one's residential environment.
OBJECTIVE: This study aimed to develop a Web-based application that interacts
with Google Maps application program interfaces (APIs) to collect contextually
relevant locations and the amount of time spent in each. Our analysis examined
the extent of overlap across different location types and compared different
approaches for classifying neighborhood exposure. METHODS: Between May 2014 and
March 2017, 547 participants enrolled in a Baltimore HIV care and prevention
study completed an interviewer-administered Web-based survey that collected
information about where participants were recruited, worked, lived, socialized,
injected drugs, and spent most of their time. For each location, participants
gave an address or intersection which they confirmed using Google Map and Street
views. Geographic coordinates (and hours spent in each location) were joined to
neighborhood indicators by Community Statistical Area (CSA). We computed a
weighted exposure based on the proportion of time spent in each unique location.
We compared neighborhood exposures based on each of the different location types
with one another and the weighted exposure using analysis of variance with
Bonferroni corrections to account for multiple comparisons. RESULTS: Participants
reported spending the most time at home, followed by the location where they
injected drugs. Injection locations overlapped most frequently with locations
where people reported socializing and living or sleeping. The least time was
spent in the locations where participants reported earning money and being
recruited for the study; these locations were also the least likely to overlap
with other location types. We observed statistically significant differences in
neighborhood exposures according to the approach used. Overall, people reported
earning money in higher-income neighborhoods and being recruited for the study
and injecting in neighborhoods with more violent crime, abandoned houses, and
poverty. CONCLUSIONS: This analysis revealed statistically significant
differences in neighborhood exposures when defined by different locations or
weighted based on exposure time. Future analyses are needed to determine which
exposure measures are most strongly associated with health and risk behaviors and
to explore whether associations between individual-level behaviors and
neighborhood exposures are modified by exposure times.
PMID- 29351900
TI - Employees' Perspectives on the Facilitators and Barriers to Engaging With Digital
Mental Health Interventions in the Workplace: Qualitative Study.
AB - BACKGROUND: Prevalence rates of work-related stress, depression, and anxiety are
high, resulting in reduced productivity and increased absenteeism. There is
evidence that these conditions can be successfully treated in the workplace, but
take-up of psychological treatments among workers is low. Digital mental health
interventions delivered in the workplace may be one way to address this
imbalance, but although there is evidence that digital mental health is effective
at treating stress, depression, and anxiety in the workplace, uptake of and
engagement with these interventions remains a concern. Additionally, there is
little research on the appropriateness of the workplace for delivering these
interventions or on what the facilitators and barriers to engagement with digital
mental health interventions in an occupational setting might be. OBJECTIVE: The
aim of this research was to get a better understanding of the facilitators and
barriers to engaging with digital mental health interventions in the workplace.
METHODS: Semistructured interviews were held with 18 participants who had access
to an occupational digital mental health intervention as part of a randomized
controlled trial. The interviews were transcribed, and thematic analysis was used
to develop an understanding of the data. RESULTS: Digital mental health
interventions were described by interviewees as convenient, flexible, and
anonymous; these attributes were seen as being both facilitators and barriers to
engagement in a workplace setting. Convenience and flexibility could increase the
opportunities to engage with digital mental health, but in a workplace setting
they could also result in difficulty in prioritizing time and ensuring a temporal
and spatial separation between work and therapy. The anonymity of the Internet
could encourage use, but that benefit may be lost for people who work in open
plan offices. Other facilitators to engagement included interactive and
interesting content and design features such as progress trackers and reminders
to log in. The main barrier to engagement was the lack of time. The perfect
digital mental health intervention was described as a website that combined a
short interactive course that was accessed alongside time-unlimited information
and advice that was regularly updated and could be dipped in and out of.
Participants also wanted access to e-coaching support. CONCLUSIONS: Occupational
digital mental health interventions may have an important role in delivering
health care support to employees. Although the advantages of digital mental
health interventions are clear, they do not always fully translate to
interventions delivered in an occupational setting and further work is required
to identify ways of minimizing potential barriers to access and engagement. TRIAL
REGISTRATION: ClinicalTrials.gov: NCT02729987;
https://clinicaltrials.gov/ct2/show/NCT02729987?term=NCT02729987& rank=1
(Archived at WebCite at http://www.webcitation.org/6wZJge9rt).
PMID- 29351901
TI - XIAP Regulation by MNK Links MAPK and NFkappaB Signaling to Determine an
Aggressive Breast Cancer Phenotype.
AB - Hyperactivation of the NFkappaB pathway is a distinct feature of inflammatory
breast cancer (IBC), a highly proliferative and lethal disease. Gene expression
studies in IBC patient tissue have linked EGFR (EGFR/HER2)-mediated MAPK
signaling to NFkappaB hyperactivity, but the mechanism(s) by which this occurs
remain unclear. Here, we report that the X-linked inhibitor of apoptosis protein
(XIAP) plays a central role in linking these two pathways. XIAP overexpression
correlated with poor prognoses in breast cancer patients and was frequently
observed in untreated IBC patient primary tumors. XIAP drove constitutive
NFkappaB transcriptional activity, which mediated ALDH positivity (a marker of
stem-like cells), in vivo tumor growth, and an IBC expression signature in
patient-derived IBC cells. Using pathway inhibitors and mathematical models, we
defined a new role for the MAPK interacting (Ser/Thr)-kinase (MNK) in enhancing
XIAP expression and downstream NFkappaB signaling. Furthermore, targeted XIAP
knockdown and treatment with a MNK inhibitor decreased tumor cell migration in a
dorsal skin fold window chamber murine model that allowed for intravital imaging
of local tumor growth and migration. Together, our results indicate a novel role
for XIAP in the molecular cross-talk between MAPK and NFkappaB pathways in
aggressive tumor growth, which has the potential to be therapeutically
exploited.Significance: Signaling by the MNK kinase is essential in inflammatory
breast cancer, and it can be targeted to inhibit XIAP-NFkappaB signaling and the
aggressive phenotype of this malignancy. Cancer Res; 78(7); 1726-38. (c)2018
AACR.
PMID- 29351902
TI - Targeting the SphK1/S1P/S1PR1 Axis That Links Obesity, Chronic Inflammation, and
Breast Cancer Metastasis.
AB - Although obesity with associated inflammation is now recognized as a risk factor
for breast cancer and distant metastases, the functional basis for these
connections remain poorly understood. Here, we show that in breast cancer
patients and in animal breast cancer models, obesity is a sufficient cause for
increased expression of the bioactive sphingolipid mediator sphingosine-1
phosphate (S1P), which mediates cancer pathogenesis. A high-fat diet was
sufficient to upregulate expression of sphingosine kinase 1 (SphK1), the enzyme
that produces S1P, along with its receptor S1PR1 in syngeneic and spontaneous
breast tumors. Targeting the SphK1/S1P/S1PR1 axis with FTY720/fingolimod
attenuated key proinflammatory cytokines, macrophage infiltration, and tumor
progression induced by obesity. S1P produced in the lung premetastatic niche by
tumor-induced SphK1 increased macrophage recruitment into the lung and induced
IL6 and signaling pathways important for lung metastatic colonization.
Conversely, FTY720 suppressed IL6, macrophage infiltration, and S1P-mediated
signaling pathways in the lung induced by a high-fat diet, and it dramatically
reduced formation of metastatic foci. In tumor-bearing mice, FTY720 similarly
reduced obesity-related inflammation, S1P signaling, and pulmonary metastasis,
thereby prolonging survival. Taken together, our results establish a critical
role for circulating S1P produced by tumors and the SphK1/S1P/S1PR1 axis in
obesity-related inflammation, formation of lung metastatic niches, and breast
cancer metastasis, with potential implications for prevention and
treatment.Significance: These findings offer a preclinical proof of concept that
signaling by a sphingolipid may be an effective target to prevent obesity-related
breast cancer metastasis. Cancer Res; 78(7); 1713-25. (c)2018 AACR.
PMID- 29351903
TI - Integrative Genomic Analysis Predicts Causative Cis-Regulatory Mechanisms of the
Breast Cancer-Associated Genetic Variant rs4415084.
AB - Previous genome-wide association studies (GWAS) have identified several common
genetic variants that may significantly modulate cancer susceptibility. However,
the precise molecular mechanisms behind these associations remain largely
unknown; it is often not clear whether discovered variants are themselves
functional or merely genetically linked to other functional variants. Here, we
provide an integrated method for identifying functional regulatory variants
associated with cancer and their target genes by combining analyses of expression
quantitative trait loci, a modified version of allele-specific expression that
systematically utilizes haplotype information, transcription factor (TF)-binding
preference, and epigenetic information. Application of our method to a breast
cancer susceptibility region in 5p12 demonstrates that the risk allele rs4415084
T correlates with higher expression levels of the protein-coding gene
mitochondrial ribosomal protein S30 (MRPS30) and lncRNA RP11-53O19.1 We propose
an intergenic SNP rs4321755, in linkage disequilibrium (LD) with the GWAS SNP
rs4415084 (r2 = 0.988), to be the predicted functional SNP. The risk allele
rs4321755-T, in phase with the GWAS rs4415084-T, created a GATA3-binding motif
within an enhancer, resulting in differential GATA3 binding and chromatin
accessibility, thereby promoting transcription of MRPS30 and RP11-53O19.1. MRPS30
encodes a member of the mitochondrial ribosomal proteins, implicating the role of
risk SNP in modulating mitochondrial activities in breast cancer. Our
computational framework provides an effective means to integrate GWAS results
with high-throughput genomic and epigenomic data and can be extended to
facilitate rapid functional characterization of other genetic variants modulating
cancer susceptibility.Significance: Unification of GWAS results with information
from high-throughput genomic and epigenomic profiles provides a direct link
between common genetic variants and measurable molecular perturbations. Cancer
Res; 78(7); 1579-91. (c)2018 AACR.
PMID- 29351904
TI - ERalpha-Mediated Nuclear Sequestration of RSK2 Is Required for ER+ Breast Cancer
Tumorigenesis.
AB - Although ribosomal protein S6 kinase A3 (RSK2) activation status positively
correlates with patient responses to antiestrogen hormonal therapies, the
mechanistic basis for these observations is unknown. Using multiple in vitro and
in vivo models of estrogen receptor-positive (ER+) breast cancer, we report that
ERalpha sequesters active RSK2 into the nucleus to promote neoplastic
transformation and facilitate metastatic tumor growth. RSK2 physically interacted
with ERalpha through its N terminus to activate a proneoplastic transcriptional
network critical to the ER+ lineage in the mammary gland, thereby providing a
gene signature that effectively stratified patient tumors according to ERalpha
status. ER+ tumor growth was strongly dependent on nuclear RSK2, and transgenic
mice engineered to stably express nuclear RSK2 in the mammary gland developed
high-grade ductal carcinoma in situ Mammary cells isolated from the transgenic
model and introduced systemically successfully disseminated and established
metastatic lesions. Antiestrogens disrupted the interaction between RSK2 and
ERalpha, driving RSK2 into the cytoplasm and impairing tumor formation. These
findings establish RSK2 as an obligate participant of ERalpha-mediated
transcriptional programs, tumorigenesis, and divergent patient responses to
antiestrogen therapies.Significance: Nuclear accumulation of active RSK drives a
protumorigenic transcriptional program and renders ER+ breast cancer susceptible
to endocrine-based therapies. Cancer Res; 78(8); 2014-25. (c)2018 AACR.
PMID- 29351905
TI - Cancer treatment in childhood and testicular function: the importance of the
somatic environment.
AB - Testicular function and future fertility may be affected by cancer treatment
during childhood. Whilst survival of the germ (stem) cells is critical for
ensuring the potential for fertility in these patients, the somatic cell
populations also play a crucial role in providing a suitable environment to
support germ cell maintenance and subsequent development. Regulation of the
spermatogonial germ-stem cell niche involves many signalling pathways with
hormonal influence from the hypothalamo-pituitary-gonadal axis. In this review,
we describe the somatic cell populations that comprise the testicular germ-stem
cell niche in humans and how they may be affected by cancer treatment during
childhood. We also discuss the experimental models that may be utilized to
manipulate the somatic environment and report the results of studies that
investigate the potential role of somatic cells in the protection of the germ
cells in the testis from cancer treatment.
PMID- 29351906
TI - EDC IMPACT: Molecular effects of developmental FM 550 exposure in Wistar rat
placenta and fetal forebrain.
AB - Firemaster 550 (FM 550) is a flame retardant (FR) mixture that has become one of
the most commonly used FRs in foam-based furniture and baby products. Human
exposure to this commercial mixture, composed of brominated and organophosphate
components, is widespread. We have repeatedly shown that developmental exposure
can lead to sex-specific behavioral effects in rats. Accruing evidence of
endocrine disruption and potential neurotoxicity has raised concerns regarding
the neurodevelopmental effects of FM 550 exposure, but the specific mechanisms of
action remains unclear. Additionally, we observed significant, and in some cases
sex-specific, accumulation of FM 550 in placental tissue following gestational
exposure. Because the placenta is an important source of hormones and
neurotransmitters for the developing brain, it may be a critical target of
toxicity to consider in the context of developmental neurotoxicity. Using a
mixture of targeted and exploratory approaches, the goal of the present study was
to identify possible mechanisms of action in the developing forebrain and
placenta. Wistar rat dams were orally exposed to FM 550 (0, 300 or 1000 ug/day)
for 10 days during gestation and placenta and fetal forebrain tissue collected
for analysis. In placenta, evidence of endocrine, inflammatory and
neurotransmitter signaling pathway disruption was identified. Notably, 5-HT
turnover was reduced in placental tissue and fetal forebrains indicating that 5
HT signaling between the placenta and the embryonic brain may be disrupted. These
findings demonstrate that environmental contaminants, like FM 550, have the
potential to impact the developing brain by disrupting normal placental
functions.
PMID- 29351907
TI - Energy Connections and Misconnections across Chemistry and Biology.
AB - Despite the number of university students who take courses in multiple science
disciplines, little is known about how they connect concepts between disciplines.
Energy is a concept that underlies all scientific phenomena and, as such,
provides an appropriate context in which to investigate student connections and
misconnections across disciplines. In this study, university students
concurrently enrolled in introductory chemistry and biology were interviewed to
explore their perceptions of the integration of energy both within and across the
disciplines, and how they attempted to accommodate and reconcile different
disciplinary approaches to energy, to inform future, interdisciplinary course
reform. Findings suggest that, while students believed energy to be important to
the scientific world and to the disciplines of biology and chemistry, the extent
to which it was seen as central to success in their courses varied. Differences
were also apparent in students' descriptions of the molecular-level mechanisms by
which energy transfer occurs. These findings reveal a disconnect between how
energy is understood and used in introductory science course work and uncovers
opportunities to make stronger connections across the disciplines. We recommend
that instructors engage in interdisciplinary conversations and consider the
perspectives and goals of other disciplines when teaching introductory science
courses.
PMID- 29351908
TI - Enhancing Conceptual Knowledge of Energy in Biology with Incorrect
Representations.
AB - Energy is an important concept in all natural sciences, and a challenging one for
school science education. Students' conceptual knowledge of energy is often low,
and they entertain misconceptions. Educational research in science and
mathematics suggests that learning through depictive representations and learning
from errors, based on the theory of negative knowledge, can potentially foster
students' knowledge of abstract concepts such as energy. Thus, we propose here an
instructional approach that combines these two strategies to foster conceptual
knowledge of energy. It involves inserting an error in a biological energy flow
diagram, an error that we derived from two prevalent misconceptions about energy:
1) plants get some of their energy from the soil or 2) energy cycles in an
ecosystem. The approach's effect on students' conceptual knowledge of energy was
tested in an intervention study with pre-post design and 304 ninth grade students
(M = 14.79 years). Students who successfully identified and explained the error
achieved larger gains in conceptual knowledge than students learning with a
correct diagram. Thus, the proposed instructional approach holds promise for
improving energy teaching.
PMID- 29351909
TI - Arrows in Biology: Lack of Clarity and Consistency Points to Confusion for
Learners.
AB - In this article, we begin to unpack the phenomenon of representational competence
by exploring how arrow symbols are used in introductory biology textbook figures.
Out of 1214 figures in an introductory biology textbook, 632 (52%) of them
contained arrows that were used to represent many different concepts or
processes. Analysis of these figures revealed little correlation between arrow
style and meaning. A more focused study of 86 figures containing 230 arrows from
a second textbook showed the same pattern of inconsistency. Interviews with
undergraduates confirmed that arrows in selected textbook figures were confusing
and did not readily convey the information intended by the authors. We also
present findings from an online survey in which subjects were asked to infer
meaning of different styles of arrows in the absence of context. Few arrow styles
had intrinsic meaning to participants, and illustrators did not always use those
arrows for the meanings expected by students. Thus, certain styles of arrows
triggered confusion and/or incorrect conceptual ideas. We argue that 1)
illustrators need to be more clear and consistent when using arrow symbols, 2)
instructors need to be cognizant of the level of clarity of representations used
during instruction, and 3) instructors should help students learn how to
interpret representations containing arrows.
PMID- 29351910
TI - Visual Literacy in Bloom: Using Bloom's Taxonomy to Support Visual Learning
Skills.
AB - Vision and Change identifies science communication as one of the core
competencies in undergraduate biology. Visual representations are an integral
part of science communication, allowing ideas to be shared among and between
scientists and the public. As such, development of scientific visual literacy
should be a desired outcome of undergraduate instruction. We developed the
Visualization Blooming Tool (VBT), an adaptation of Bloom's taxonomy specifically
focused on visual representations, to aid instructors in designing instruction
and assessments to target scientific visual literacy in undergraduate
instruction. In this article, we identify the need for the VBT, describe its
development, and provide concrete examples of its application to a curriculum
redesign effort in undergraduate biochemistry.
PMID- 29351911
TI - Does Context Matter? Convergent and Divergent Findings in the Cross-Institutional
Evaluation of Graduate Teaching Assistant Professional Development Programs.
AB - Graduate teaching assistants (GTAs) play important instructional roles in
introductory science courses, yet they often have little training in pedagogy.
The most common form of teaching professional development (PD) for GTAs is a
presemester workshop held at the course, department, or college level. In this
study, we compare the effectiveness of presemester workshops at three
northeastern research universities, each of which incorporated scientific
teaching as the pedagogical content framework. The comparison of GTA PD program
outcomes at three different institutions is intended to test theoretical
assertions about the key role of contextual factors in GTA PD efficacy. Pretest
and posttest surveys were used to assess changes in GTA teaching self-efficacy
and anxiety following the workshops, and an objective test was used to assess
pedagogical knowledge. Analysis of pretest/posttest data revealed statistically
significant gains in GTA teaching self-efficacy and pedagogical knowledge and
reductions in teaching anxiety across sites. Changes in teaching anxiety and self
efficacy, but not pedagogical knowledge, differed by training program. Student
ratings of GTAs at two sites showed that students had positive perceptions of
GTAs in all teaching dimensions, and relatively small differences in student
ratings of GTAs were observed between institutions. Divergent findings for some
outcome variables suggest that program efficacy was influenced as hypothesized by
contextual factors such as GTA teaching experience.
PMID- 29351912
TI - A Longitudinal Study of How Quality Mentorship and Research Experience Integrate
Underrepresented Minorities into STEM Careers.
AB - African Americans, Latinos, and Native Americans are historically
underrepresented minorities (URMs) among science, technology, engineering, and
mathematics (STEM) degree earners. Viewed from a perspective of social influence,
this pattern suggests that URMs do not integrate into the STEM academic community
at the same rate as non-URM students. Estrada and colleagues recently showed that
Kelman's tripartite integration model of social influence (TIMSI) predicted URM
persistence into science fields. In this paper, we longitudinally examine the
integration of URMs into the STEM community by using growth-curve analyses to
measure the development of TIMIS's key variables (science efficacy, identity, and
values) from junior year through the postbaccalaureate year. Results showed that
quality mentorship and research experience occurring in the junior and senior
years were positively related to student science efficacy, identity, and values
at that same time period. Longitudinal modeling of TIMSI further shows that,
while efficacy is important, and perhaps a necessary predictor of moving toward a
STEM career, past experiences of efficacy may not be sufficient for maintaining
longer-term persistence. In contrast, science identity and values do continue to
be predictive of STEM career pathway persistence up to 4 years after graduation.
PMID- 29351914
TI - High-resolution transcription maps reveal the widespread impact of roadblock
termination in yeast.
AB - Transcription termination delimits transcription units but also plays important
roles in limiting pervasive transcription. We have previously shown that
transcription termination occurs when elongating RNA polymerase II (RNAPII)
collides with the DNA-bound general transcription factor Reb1. We demonstrate
here that many different DNA-binding proteins can induce termination by a similar
roadblock (RB) mechanism. We generated high-resolution transcription maps by the
direct detection of RNAPII upon nuclear depletion of two essential RB factors or
when the canonical termination pathways for coding and non-coding RNAs are
defective. We show that RB termination occurs genomewide and functions
independently of (and redundantly with) the main transcription termination
pathways. We provide evidence that transcriptional readthrough at canonical
terminators is a significant source of pervasive transcription, which is
controlled to a large extent by RB termination. Finally, we demonstrate the
occurrence of RB termination around centromeres and tRNA genes, which we suggest
shields these regions from RNAPII to preserve their functional integrity.
PMID- 29351915
TI - Chronic hip pain.
PMID- 29351916
TI - Pathways Impacted by Genomic Alterations in Pulmonary Carcinoid Tumors.
AB - Purpose: Pulmonary carcinoid tumors account for up to 5% of all lung malignancies
in adults, comprise 30% of all carcinoid malignancies, and are defined
histologically as typical carcinoid (TC) and atypical carcinoid (AC) tumors. The
role of specific genomic alterations in the pathogenesis of pulmonary carcinoid
tumors remains poorly understood. We sought to identify genomic alterations and
pathways that are deregulated in these tumors to find novel therapeutic targets
for pulmonary carcinoid tumors.Experimental Design: We performed integrated
genomic analysis of carcinoid tumors comprising whole genome and exome
sequencing, mRNA expression profiling and SNP genotyping of specimens from normal
lung, TC and AC, and small cell lung carcinoma (SCLC) to fully represent the lung
neuroendocrine tumor spectrum.Results: Analysis of sequencing data found
recurrent mutations in cancer genes including ATP1A2, CNNM1, MACF1, RAB38, NF1,
RAD51C, TAF1L, EPHB2, POLR3B, and AGFG1 The mutated genes are involved in
biological processes including cellular metabolism, cell division cycle, cell
death, apoptosis, and immune regulation. The top most significantly mutated genes
were TMEM41B, DEFB127, WDYHV1, and TBPL1 Pathway analysis of significantly
mutated and cancer driver genes implicated MAPK/ERK and amyloid beta precursor
protein (APP) pathways whereas analysis of CNV and gene expression data suggested
deregulation of the NF-kappaB and MAPK/ERK pathways. The mutation signature was
predominantly C>T and T>C transitions with a minor contribution of T>G
transversions.Conclusions: This study identified mutated genes affecting cancer
relevant pathways and biological processes that could provide opportunities for
developing targeted therapies for pulmonary carcinoid tumors. Clin Cancer Res;
24(7); 1691-704. (c)2018 AACR.
PMID- 29351913
TI - The RIG-I-like receptor LGP2 inhibits Dicer-dependent processing of long double
stranded RNA and blocks RNA interference in mammalian cells.
AB - In vertebrates, the presence of viral RNA in the cytosol is sensed by members of
the RIG-I-like receptor (RLR) family, which signal to induce production of type I
interferons (IFN). These key antiviral cytokines act in a paracrine and autocrine
manner to induce hundreds of interferon-stimulated genes (ISGs), whose protein
products restrict viral entry, replication and budding. ISGs include the RLRs
themselves: RIG-I, MDA5 and, the least-studied family member, LGP2. In contrast,
the IFN system is absent in plants and invertebrates, which defend themselves
from viral intruders using RNA interference (RNAi). In RNAi, the endoribonuclease
Dicer cleaves virus-derived double-stranded RNA (dsRNA) into small interfering
RNAs (siRNAs) that target complementary viral RNA for cleavage. Interestingly,
the RNAi machinery is conserved in mammals, and we have recently demonstrated
that it is able to participate in mammalian antiviral defence in conditions in
which the IFN system is suppressed. In contrast, when the IFN system is active,
one or more ISGs act to mask or suppress antiviral RNAi. Here, we demonstrate
that LGP2 constitutes one of the ISGs that can inhibit antiviral RNAi in mammals.
We show that LGP2 associates with Dicer and inhibits cleavage of dsRNA into
siRNAs both in vitro and in cells. Further, we show that in differentiated cells
lacking components of the IFN response, ectopic expression of LGP2 interferes
with RNAi-dependent suppression of gene expression. Conversely, genetic loss of
LGP2 uncovers dsRNA-mediated RNAi albeit less strongly than complete loss of the
IFN system. Thus, the inefficiency of RNAi as a mechanism of antiviral defence in
mammalian somatic cells can be in part attributed to Dicer inhibition by LGP2
induced by type I IFNs. LGP2-mediated antagonism of dsRNA-mediated RNAi may help
ensure that viral dsRNA substrates are preserved in order to serve as targets of
antiviral ISG proteins.
PMID- 29351917
TI - A Novel Method for Rapid Molecular Subgrouping of Medulloblastoma.
AB - Purpose: The classification of medulloblastoma into WNT, SHH, group 3, and group
4 subgroups has become of critical importance for patient risk stratification and
subgroup-tailored clinical trials. Here, we aimed to develop a simplified,
clinically applicable classification approach that can be implemented in the
majority of centers treating patients with medulloblastoma.Experimental Design:
We analyzed 1,577 samples comprising previously published DNA methylation
microarray data (913 medulloblastomas, 457 non-medulloblastoma tumors, 85 normal
tissues), and 122 frozen and formalin-fixed paraffin-embedded medulloblastoma
samples. Biomarkers were identified applying stringent selection filters and
Linear Discriminant Analysis (LDA) method, and validated using DNA methylation
microarray data, bisulfite pyrosequencing, and direct-bisulfite
sequencing.Results: Using a LDA-based approach, we developed and validated a
prediction method (EpiWNT-SHH classifier) based on six epigenetic biomarkers that
allowed for rapid classification of medulloblastoma into the clinically relevant
subgroups WNT, SHH, and non-WNT/non-SHH with excellent concordance (>99%) with
current gold-standard methods, DNA methylation microarray, and gene signature
profiling analysis. The EpiWNT-SHH classifier showed high prediction capacity
using both frozen and formalin-fixed material, as well as diverse DNA methylation
detection methods. Similarly, we developed a classifier specific for group 3 and
group 4 tumors, based on five biomarkers (EpiG3-G4) with good discriminatory
capacity, allowing for correct assignment of more than 92% of tumors. EpiWNT-SHH
and EpiG3-G4 methylation profiles remained stable across tumor primary,
metastasis, and relapse samples.Conclusions: The EpiWNT-SHH and EpiG3-G4
classifiers represent a new simplified approach for accurate, rapid, and cost
effective molecular classification of single medulloblastoma DNA samples, using
clinically applicable DNA methylation detection methods. Clin Cancer Res; 24(6);
1355-63. (c)2018 AACR.
PMID- 29351918
TI - Personalized Chemosensitivity Assays for Mesothelioma: Are They Worth the Effort?
AB - Cell lines formed from an individual's tumor can be used to predict response to
specific therapies and determine genomic predictors. For mesothelioma, where
chemotherapy remains the backbone of current therapeutic paradigms, such assays
could be used to treat patients with the most effective agents specific to their
"chemical profile." Clin Cancer Res; 24(7); 1513-5. (c)2018 AACRSee related
article by Schunselaar et al., p. 1761.
PMID- 29351919
TI - High Yield of Pathogenic Germline Mutations Causative or Likely Causative of the
Cancer Phenotype in Selected Children with Cancer.
AB - Purpose: In many children with cancer and characteristics suggestive of a genetic
predisposition syndrome, the genetic cause is still unknown. We studied the yield
of pathogenic mutations by applying whole-exome sequencing on a selected cohort
of children with cancer.Experimental Design: To identify mutations in known and
novel cancer-predisposing genes, we performed trio-based whole-exome sequencing
on germline DNA of 40 selected children and their parents. These children were
diagnosed with cancer and had at least one of the following features: (1)
intellectual disability and/or congenital anomalies, (2) multiple malignancies,
(3) family history of cancer, or (4) an adult type of cancer. We first analyzed
the sequence data for germline mutations in 146 known cancer-predisposing genes.
If no causative mutation was found, the analysis was extended to the whole
exome.Results: Four patients carried causative mutations in a known cancer
predisposing gene: TP53 and DICER1 (n = 3). In another 4 patients, exome
sequencing revealed mutations causing syndromes that might have contributed to
the malignancy (EP300-based Rubinstein-Taybi syndrome, ARID1A-based Coffin-Siris
syndrome, ACTB-based Baraitser-Winter syndrome, and EZH2-based Weaver syndrome).
In addition, we identified two genes, KDM3B and TYK2, which are possibly involved
in genetic cancer predisposition.Conclusions: In our selected cohort of patients,
pathogenic germline mutations causative or likely causative of the cancer
phenotype were found in 8 patients, and two possible novel cancer-predisposing
genes were identified. Therewith, our study shows the added value of sequencing
beyond a cancer gene panel in selected patients, to recognize childhood cancer
predisposition. Clin Cancer Res; 24(7); 1594-603. (c)2018 AACR.
PMID- 29351920
TI - Exceptional Response to Pembrolizumab in a Metastatic, Chemotherapy/Radiation
Resistant Ovarian Cancer Patient Harboring a PD-L1-Genetic Rearrangement.
AB - Purpose: Ovarian carcinoma no longer responsive to surgery and chemotherapy
remains an incurable disease. Alternative therapeutic options remain desperately
needed.Patients and Methods: We describe a heavily pretreated patient with
ovarian cancer with recurrent disease experiencing a remarkable clinical response
to treatment with the anti-PD1 immune checkpoint inhibitor pembrolizumab. The
clinical, pathological, and genomic characteristics of this exceptional ovarian
cancer responder were carefully investigated using immunohistochemistry (IHC),
quantitative multiplex fluorescence methods (i.e., automated quantitative
analysis, AQUA) and whole-exome sequencing (WES) techniques.Results: The patient
harbored a recurrent/metastatic radiation and chemotherapy-resistant high-grade
ovarian carcinoma with clear cell features. While progressing on any standard
treatment modality, she demonstrated a remarkable complete response to the anti
PD1 immune checkpoint inhibitor pembrolizumab. WES results were notable for the
presence a relative low number of mutations (tumor mutation load/Mb = 4.31, total
mutations = 164) and a peculiar structural variant disrupting the 3' region of
the PD-L1 gene causing aberrant PD-L1 surface expression as confirmed by IHC and
AQUA technology. Heavy infiltration of the PD-L1-mutated and PD-L1-overexpressing
tumor with T-cell lymphocytes (i.e., CD4+/CD8+ TIL), CD68+ macrophages, and CD20+
B cells was detected in the surgical specimen strongly suggesting immune evasion
as a key mechanism of tumor growth and survival. Patient's complete clinical
responses remain unchanged at the time of the writing of this report with no
significant side effects reported to date.Conclusions: Anti-PD1 inhibitors may
represent a novel treatment option for recurrent/metastatic human tumors
refractory to salvage treatment harboring PD-L1 gene structural variations
causing aberrant PD-L1 expression. Clin Cancer Res; 24(14); 3282-91. (c)2018
AACRSee related commentary by Lheureux, p. 3233.
PMID- 29351921
TI - Inhibitory Effects of Endogenous Linoleic Acid and Glutaric Acid on the Renal
Glucuronidation of Berberrubine in Mice and on Recombinant Human UGT1A7, 1A8, and
1A9.
AB - Berberrubine (BRB) has a strong lipid-lowering effect and can be extensively
metabolized into berberrubine-9-O-beta-d-glucuronide (BRBG) in vivo. Recently,
pharmacokinetics studies showed that the production of BRBG was significantly
decreased in the urine of mice fed with a high-fat diet (HFD), indicating a
decreased glucuronidation capacity. Based on the UDP-glucuronosyltransferase
(UGT) isoform identification, hepatic and renal microsomal incubation,
glucuronidation was examined to suggest the metabolism of BRB in liver and
kidneys. The results showed that the renal UGT activity for metabolizing BRB
markedly decreased, which may be highly related to the decreased expression and
activity of renal Ugt1a7c. Surprisingly, in vitro studies revealed neither BRB
nor BRBG inhibited the renal UGT activity. By employing an integrated strategy of
metabolomics and pharmacokinetics, we identified and confirmed for the first time
the inhibitory effect of some potential endogenous molecules on the renal
glucuronidation of C57BL/6J mice, such as glutaric acid (GA) and linoleic acid
(LA). By employing recombinant human UGTs, we found that GA and LA efficiently
affect the activity of recombinant human UGT1A7, 1A9, and 1A8 at their normal or
abnormal physiologic levels in vivo. GA (2 mM) markedly inhibited the activity of
UGT1A7 by 89.4% and UGT1A9 by 32.8%. The inhibition rates reached 99.3% for
UGT1A9, 48.3% for UGT1A7, and 46.8% for UGT1A8 with LA at 200 MUM. It has been
suggested that the endogenous molecules have the potential to affect the
efficiency of glucuronidation, which might be a key factor contributing to
individual differences in drug metabolism.
PMID- 29351923
TI - Association of Surgeons in Training responds to GMC erasure case.
PMID- 29351922
TI - Activation of Constitutive Androstane Receptor Ameliorates Renal Ischemia
Reperfusion-Induced Kidney and Liver Injury.
AB - Acute kidney injury (AKI) is associate with high mortality. Despite evidence of
AKI-induced distant organ injury, a relationship between AKI and liver injury has
not been clearly established. The goal of this study is to investigate whether
renal ischemia-reperfusion (IR) can affect liver pathophysiology. We showed that
renal IR in mice induced fatty liver and compromised liver function through the
downregulation of constitutive androstane receptor (CAR; -90.4%) and inhibition
of hepatic very-low-density lipoprotein triglyceride (VLDL-TG) secretion (
28.4%). Treatment of mice with the CAR agonist 1,4-bis[2-(3,5
dichloropyridyloxy)] benzene (TCPOBOP) prevented the development of AKI-induced
fatty liver and liver injury, which was associated with the attenuation of AKI
induced inhibition of VLDL-TG secretion. The hepatoprotective effect of TCPOBOP
was abolished in CAR-/- mice. Interestingly, alleviation of fatty liver by
TCPOBOP also improved the kidney function, whereas CAR ablation sensitized mice
to AKI-induced kidney injury and lethality. The serum concentrations of
interleukin-6 (IL-6) were elevated by 27-fold after renal IR, but were normalized
in TCPOBOP-treated AKI mice, suggesting that the increased release of IL-6 from
the kidney may have mediated the AKI responsive liver injury. Taken together, our
results revealed an interesting kidney-liver organ cross-talk in response to AKI.
Given the importance of CAR in the pathogenesis of renal IR-induced fatty liver
and impaired kidney function, fatty liver can be considered as an important risk
factor for kidney injury, and a timely management of hepatic steatosis by CAR
activation may help to restore kidney function in patients with AKI or kidney
transplant.
PMID- 29351926
TI - BET 1: Is routine irrigation of a cutaneous abscess necessary?
AB - A short cut review was carried out to establish whether the addition of
irrigation of the abscess cavity improved outcomes after incision and drainage of
a cutaneous abscess. One paper presented the best evidence to answer the clinical
question. The author, date and country of publication, patient group studied,
study type, relevant outcomes, results and study weaknesses of this paper are
tabulated. It is concluded that in adult patients with cutaneous abscesses
routine irrigation during incision and drainage does not improve clinical
outcomes.
PMID- 29351924
TI - A man with sudden loss of consciousness.
PMID- 29351927
TI - BET 2: Is early chemical thromboprophylaxis safe in patients with blunt trauma
solid organ injury (SOI) undergoing non-operative management (NOM)?
AB - A short cut review was carried out to establish whether chemical
thromboprophylaxis was a safe early intervention in patients with solid organ
injury that is being managed non-operatively. Eight papers presented the best
evidence to answer the clinical question. The author, date and country of
publication, patient group studied, study type, relevant outcomes, results and
study weaknesses of these papers are tabulated. It is concluded that there is
inadequate evidence assessing safety of low molecular weight heparin (LMWH)
within 24 hours of trauma. The current available evidence does suggest that
administration of LMWH within 48 hours is safe in non-operative management of
patients who have sustained solid organ injury from blunt trauma.
PMID- 29351928
TI - Mapping and Quantification of Over 2000 O-linked Glycopeptides in Activated Human
T Cells with Isotope-Targeted Glycoproteomics (Isotag).
AB - Post-translational modifications (PTMs) on proteins often function to regulate
signaling cascades, with the activation of T cells during an adaptive immune
response being a classic example. Mounting evidence indicates that the
modification of proteins by O-linked N-acetylglucosamine (O-GlcNAc), the only
mammalian glycan found on nuclear and cytoplasmic proteins, helps regulate T cell
activation. Yet, a mechanistic understanding of how O-GlcNAc functions in T cell
activation remains elusive, partly because of the difficulties in mapping and
quantifying O-GlcNAc sites. Thus, to advance insight into the role of O-GlcNAc in
T cell activation, we performed glycosite mapping studies via direct glycopeptide
measurement on resting and activated primary human T cells with a technique
termed Isotope Targeted Glycoproteomics. This approach led to the identification
of 2219 intact O-linked glycopeptides across 1045 glycoproteins. A significant
proportion (>45%) of the identified O-GlcNAc sites lie near or coincide with a
known phosphorylation site, supporting the potential for PTM crosstalk.
Consistent with other studies, we find that O-GlcNAc sites in T cells lack a
strict consensus sequence. To validate our results, we employed gel shift assays
based on conjugating mass tags to O-GlcNAc groups. Notably, we observed that the
transcription factors c-JUN and JUNB show higher levels of O-GlcNAc glycosylation
and higher levels of expression in activated T cells. Overall, our findings
provide a quantitative characterization of O-GlcNAc glycoproteins and their
corresponding modification sites in primary human T cells, which will facilitate
mechanistic studies into the function of O-GlcNAc in T cell activation.
PMID- 29351929
TI - Prevalence of invehicle smoking and secondhand smoke exposure in Uruguay.
AB - INTRODUCTION: Protection from secondhand smoke (SHS) is one of the fundamental
principles of the WHO Framework Convention for Tobacco Control. Objective data on
SHS exposure in vehicles in South America is scarce. This study aimed to estimate
prevalence of smoking inside vehicles. METHODS: The point prevalence of smoking
in vehicles was observed, and a method for estimating smoking prevalence was
piloted. RESULTS: We observed 10 011 vehicles. In 219 (2.2%; 95% CI 1.91 to 2.49)
of them, smoking was observed, and in 29.2% of these, another person was exposed
to SHS. According to the 'expansion factor' we constructed, direct observation
detected one of six to one to nine vehicles in which smoking occurred. The
observed prevalence of smoking in vehicles (2.2%) could reflect a real prevalence
between 12% and 19%. In 29.2% (95% CI 23.6 to 35.5) and 4.6% (95% CI 2.2 to 8.3)
of vehicles in which smoking was observed, another adult or a child,
respectively, was exposed to SHS. CONCLUSIONS: Smoking was estimated to occur in
12%-19% of vehicles, with involuntary exposure in one of three of vehicles
observed. These data underscore a need for new public policies to eliminate SHS
in vehicles to protect public health.
PMID- 29351930
TI - Effect of the Smoke-Free Illinois Act on casino admissions and revenue.
AB - OBJECTIVE: As part of the Smoke-Free Illinois Act, smoking on the gambling floors
of all commercial casinos in Illinois became prohibited. This study examined the
effects of the Smoke-Free Illinois Act on casino admissions per-capita and real
per-capita adjusted gross receipts using 18 years of data (10 years before and 8
years after the Illinois law went into effect). METHODS: We employed a difference
in-difference regression technique using monthly data for the states of Illinois,
Indiana, Iowa and Missouri and control for numerous determinants expected to
affect casino admissions and revenue. RESULTS: The Smoke-free Illinois Act was
found not to be a statistically significant determinant of per-capita casino
admissions and of real per-capita gross adjusted receipts in all the models we
estimated. CONCLUSIONS: The estimates from this study clearly indicated that the
Illinois law that banned smoking in casinos has had no significant negative
economic consequences for casinos in terms of per-capita admissions or revenues.
PMID- 29351931
TI - Stent-assisted coil embolization on down-the-barrel view with spring-shaped
microcatheter in patient with M1 ultrawide necked circumferential aneurysm.
AB - Herein, we describe a technique for stent-assisted coil embolization with a
spring-shaped microcatheter in a patient with an M1 ultrawide-necked
circumferential aneurysm in the middle cerebral artery (MCA). A 49-year-old man
was referred for treatment of an incidentally detected M1 large-circumference
aneurysm on magnetic resonance angiography. Subsequent digital subtraction
angiography revealed an 18.2*16.5 mm ultrawide-necked circumferential aneurysm on
the distal M1 portion of the left MCA, and we planned stent-assisted coil
embolization using a spring-shaped microcatheter. After we deployed the stent, we
performed coil embolization under the down-the-barrel view by pulling out the
microcatheter little by little. Using this technique, we could fill the coil mass
evenly into the aneurysmal sac around the stent. And there were no immediate or
delayed complications after the procedure. Stent-assisted coiling using a spring
shaped microcatheter is a useful and safe technique for treating ultrawide-necked
circumferential aneurysm or fusiform aneurysms.
PMID- 29351932
TI - Megaduodenum in a 59-year-old man: a very late postoperative complication after
duodenal atresia.
AB - Intestinal malformations are common defects of the newborn, treated in
experienced centres. Reports on long-term follow-up and associated complications
are scarce, possibly leading to misinterpretation of clinical signs and symptoms
in adulthood. To prevent treatment errors, it is important that physicians are
aware of long-term complications of intestinal malformations.
PMID- 29351933
TI - Vanadium allergy following total knee arthroplasty.
AB - Allergic reactions to metals following joint arthroplasty represent a rare and
poorly understood phenomenon. Much is still unknown regarding the natural history
of this complication, and how it can best be prevented and managed. We present a
case of a 68-year-old woman who underwent a left total knee arthroplasty for
treatment of osteoarthritis. After an initial uneventful postoperative course,
she developed a troublesome erythematous rash both around the incision site and
over her trunk. Blood testing revealed no evidence of infection and clinically
her prosthesis was functioning well. Skin patch testing revealed positive results
for vanadium (+) and palladium (+). Her cutaneous symptoms are currently being
managed conservatively and have shown a partial response to topical steroids.
Revision surgery remains a long-term treatment option should conservative therapy
fail; however, it would require a custom-made prosthesis as no standard tibial
component is free from vanadium.
PMID- 29351934
TI - Continuous subcutaneous levetiracetam in end-of-life care.
AB - Seizures constitute a determining aspect in quality of life and are frequently
challenging in palliative care-a field where treatment has yet to be
standardised. Levetiracetam-a new generation anticonvulsant-has proved efficacy
both through oral, as well as intravenous administration in the general
population. This case reports on the use of continuous subcutaneous levetiracetam
to effectively control seizures in a terminally ill patient without patent oral
route.
PMID- 29351936
TI - Intravitreal bevacizumab for postviral fever retinitis: a novel approach for
early resolution of macular oedema.
AB - Severe macular oedema causing marked loss of vision is seen in cases of retinitis
developing postviral fever. The use of antivascular endothelial growth factor
agents for macular oedema and submacular fluid secondary to viral retinitis has
not been studied or well established in the past. We report a case series of two
patients of postviral retinitis with severe macular oedema resistant to steroid
therapy, treated with intravitreal bevacizumab. The patients showed significant
symptomatic improvement in the visual acuity. The retinitis lesions resolved
slowly and macular oedema regressed. Bevacizumab appears to be a safe and useful
agent to manage macular oedema subsequent to postviral retinitis. An early
resolution of macular oedema helps in the preservation of visual acuity which
left untreated can cause severe visual loss.
PMID- 29351935
TI - An adult case with shigellosis-associated encephalopathy.
AB - A 45-year-old man was presented at the emergency department with altered
neurological status and a 1-day history of diarrhoea and fever. The patient's
sexual history revealed multiple male partners. As bacterial meningitis or viral
encephalitis was suspected, treatment was started accordingly. Cerebrospinal
fluid investigations only showed a slight increase of leucocytes, and
microbiological studies remained negative. Stool culture revealed Shigella
flexneri, after which Shigella-associated encephalopathy was suspected. The
patient recovered quickly with antibiotic treatment. The incidence of Shigella
infections in the Western world is rising due to sexual transmission among men
who have sex with men. Shigella-induced encephalopathy is a notorious
complication among children with a severe form known as the Ekiri syndrome,
though rarely seen in adults. This is the second report of encephalopathy in an
adult with S. flexneri enteric infection.
PMID- 29351937
TI - Anterior choroidal artery infarction.
PMID- 29351938
TI - Unusual skin mass (primary cutaneous mucinous carcinoma).
AB - Primary mucinous carcinoma of the skin is a rare malignant tumour of sweat gland
origin. Diagnostic concerns include its deceptively benign appearance in some
cases and the difficulty in differentiating it from secondary mucinous carcinoma
of skin metastasising from a primary source elsewhere. A case of a 75-year-old
man is reported who presented with a slowly growing painless mass near the
lateral canthus of the right eye for about 2 years. Clinically, a diagnosis of
basal cell carcinoma was made whereas histopathology revealed mucinous carcinoma
of the skin. The primary source of the tumour could not be found on detailed
physical examination and laboratory investigations. Immunohistochemistry,
performed later, was consistent with primary cutaneous mucinous carcinoma.
PMID- 29351939
TI - Retroperitoneal bile leak after laparoscopic cholecystectomy.
AB - Bile duct injury (BDI) is a well-recognised complication of laparoscopic
cholecystectomy (LC). Following a BDI, bile usually leaks into the peritoneal
space and causes biliary peritonitis. This manifests as non-specific abdominal
pain and fever occurring several days after the surgery. It can be managed by
laparoscopic washout with or without bile duct repair. We present a rare case of
retroperitoneal bile leak post-LC. The mechanism of injury here was likely
partial avulsion from excessive traction of the cystic duct during intraoperative
cholangiogram. Diagnosing retroperitoneal bile leak can be difficult because it
is extremely rare and the presenting symptoms can be similar to an
intraperitoneal bile leak. A high index of clinical suspicion is required. In
cases of suspected bile leak, any mismatch between the exploratory laparoscopic
findings and imaging findings should alert surgeons to consider the rare
possibility of a retroperitoneal bile leak.
PMID- 29351940
TI - Angiodysplastic Sturge Weber syndrome.
PMID- 29351941
TI - Moyamoya tipping point: fatal bilateral MCA territory infarction following
cocaine abuse.
PMID- 29351942
TI - Abnormal deep dorsal vein resulting in veno-occlusive erectile dysfunction.
AB - A 59-year-old man with a 6-year history of erectile dysfunction presented to the
andrology outpatient clinic. Multimodality assessment with ultrasound, MRI
venography and fluoroscopic venography demonstrated an aberrant emissary vein
arising from the corporal bodies causing venogenic erectile dysfunction.
Selective coil embolisation of the collateral vein resulted in an almost
immediate and sustained improvement in his erections.
PMID- 29351943
TI - Role of modified Eloesser flap in the treatment of bronchopleural fistula caused
by pulmonary coccidioidomycosis.
PMID- 29351944
TI - Sacral bone cyst treatment resulting in paraplegia.
PMID- 29351946
TI - Popliteal artery entrapment syndrome: an approach to diagnosis and management.
PMID- 29351945
TI - Concurrent endocrine neoplasias in dogs and cats: a retrospective study (2004
2014).
AB - Multiple endocrine neoplasia (MEN) is a well-known syndrome in human medicine,
whereas only a few cases of concurrent endocrine neoplasias have been reported in
dogs and cats. The aim of this study was to evaluate the prevalence of concurrent
endocrine neoplasias in dogs and cats at our clinic, identify possible breed and
sex predispositions and investigate similarities with MEN syndromes in humans.
Postmortem reports of 951 dogs and 1155 cats that died or were euthanased at the
Clinic for Small Animal Internal Medicine, University of Zurich, between 2004 and
2014 were reviewed, and animals with at least two concurrent endocrine neoplasias
and/or hyperplasias were included. Twenty dogs and 15 cats met the inclusion
criteria. In dogs, the adrenal glands were most commonly affected. Multiple
tumours affecting the adrenal glands and the association of these tumours with
pituitary adenomas were the most common tumour combinations. Only one dog had a
combination resembling human MEN type 1 syndrome (pituitary adenoma and
insulinoma). In cats, the thyroid glands were most commonly affected and there
were no similarities to human MEN syndromes. The prevalence of concurrent
endocrine neoplasia was 2.1 per cent in dogs and 1.3 per cent in cats and MEN
like syndromes are very rare in these species.
PMID- 29351947
TI - Advancing adherence research in sport injury prevention.
PMID- 29351948
TI - Order from chaos: unravelling the determinants of mixed martial arts performance
(PhD Academy Award).
PMID- 29351949
TI - PT and OT Helper Golf Elbow: a mobile app user guide for getting a grip on medial
epicondylalgia.
PMID- 29351950
TI - Use of event-specific tertiles to analyse the relationship between serum
androgens and athletic performance in women.
PMID- 29351951
TI - Hyperandrogenism controversy in elite women's sport: an examination and critique
of recent evidence.
PMID- 29351952
TI - Bright Spots, physical activity investments that work: Choose to Move: scaling up
a physical activity model for older adults.
PMID- 29351953
TI - Developing consensus on clinical assessment of acute lateral ankle sprain
injuries: protocol for an international and multidisciplinary modified Delphi
process.
PMID- 29351954
TI - Bright spots, physical activity investments that work: Indigenous Marathon
Foundation.
PMID- 29351956
TI - What counts as 'the evidence'? A need for an urgent review of injury risk in
school rugby.
PMID- 29351955
TI - Mitigating risk of injury in alpine skiing in the Pyeongchang 2018 Paralympic
Winter Games: the time is now!
PMID- 29351957
TI - Understanding and removing barriers to physical activity: one key in addressing
child obesity.
PMID- 29351958
TI - Recent data from radiofrequency denervation trials further emphasise that
treating nociception is not the same as treating pain.
PMID- 29351959
TI - Diagnosis and Significance of Pulmonary Microvascular Disease in Diabetes.
AB - OBJECTIVE: To determine whether pulmonary microvascular disease is detectable in
subjects with diabetes and associated with diminished exercise capacity using a
novel echocardiographic marker quantifying the pulmonary transit of agitated
contrast bubbles (PTAC). RESEARCH DESIGN AND METHODS: Sixty participants (40 with
diabetes and 20 control subjects) performed cardiopulmonary (maximal oxygen
consumption [VO2peak]) and semisupine bicycle echocardiography exercise tests
within a 1-week period. Pulmonary microvascular disease was assessed using PTAC
(the number of bubbles traversing the pulmonary circulation to reach the left
ventricle, categorized as low PTAC or high PTAC). Echocardiographic measures of
cardiac output, pulmonary artery pressures, and biventricular function were
obtained during exercise. RESULTS: Subjects with diabetes and control subjects
were of similar age (44 +/- 13 vs. 43 +/- 13 years, P = 0.87) and sex composition
(70% vs. 65% male, P = 0.7). At peak exercise, low PTAC was present in more
participants with diabetes than control subjects (41% vs. 12.5%, chi2P = 0.041)
and, in particular, in more subjects with diabetes with microvascular
complications compared with both those without complications and control subjects
(55% vs. 26% vs. 13%, chi2P = 0.02). When compared with high PTAC, low PTAC was
associated with a 24% lower VO2peak (P = 0.006), reduced right ventricular
function (P = 0.015), and greater pulmonary artery pressures during exercise (P =
0.02). CONCLUSIONS: PTAC is reduced in diabetes, particularly in the presence of
microvascular pathology in other vascular beds, suggesting that it may be a
meaningful indicator of pulmonary microvascular disease with important
consequences for cardiovascular function and exercise capacity.
PMID- 29351960
TI - The Impact of Diabetes on Breast Cancer Treatments and Outcomes: A Population
Based Study.
AB - OBJECTIVE: Women with breast cancer and diabetes face worse outcomes than those
with breast cancer without diabetes; however, the contribution of comorbidity to
these disparities remains unclear. We evaluated the impact of diabetes on receipt
of cancer treatments as well as mortality while accounting for other
comorbidities. RESEARCH DESIGN AND METHODS: Ontario administrative databases were
used to compare the rate of receipt of breast cancer treatments between women
with and without diabetes. We also performed adjusted cause-specific hazard
models to account for comorbidities when evaluating differences in treatments
received and mortality outcomes between the two groups. RESULTS: Women with
diabetes and stage III breast cancer were slightly less likely to receive
chemotherapy (relative risk [RR] 0.93 [95% CI 0.89-0.97]), although this
difference was not significant when we adjusted for comorbidities (adjusted
hazard ratio [aHR] 1.03 [95% CI 0.93-1.13]). We saw similar trends for receipt of
guideline-adherent radiotherapy (RR 0.97 [0.95-0.99], aHR 0.98 [0.94-1.02]). All
cause mortality was increased in women with diabetes after adjusting for
comorbidities (aHR 1.16 [1.06-1.27]), but breast cancer-specific mortality was
not increased overall. Women with a longer duration of diabetes and those with
preexisting cardiovascular disease had increased all-cause and cancer-specific
mortality. CONCLUSIONS: Although cancer treatments received were similar between
women with and without diabetes, breast cancer-specific mortality remains higher
among women with diabetes who have longer diabetes duration or preexisting
cardiovascular disease. This study uncovers new information about key risk
factors for poorer prognosis in women with diabetes and breast cancer.
PMID- 29351961
TI - Occupational Therapy Intervention Improves Glycemic Control and Quality of Life
Among Young Adults With Diabetes: the Resilient, Empowered, Active Living with
Diabetes (REAL Diabetes) Randomized Controlled Trial.
AB - OBJECTIVE: To assess the efficacy of a manualized occupational therapy (OT)
intervention (Resilient, Empowered, Active Living with Diabetes [REAL Diabetes])
to improve glycemic control and psychosocial well-being among ethnically diverse
young adults with low socioeconomic status (SES) who have type 1 or type 2
diabetes. RESEARCH DESIGN AND METHODS: Eighty-one young adults (age 22.6 +/- 3.5
years; hemoglobin A1c [HbA1c] = 10.8%/95 mmol/mol +/- 1.9%/20.8 mmol/mol) were
randomly assigned to the REAL Diabetes intervention group (IG) or an attention
control group (CG) over 6 months. IG participants received biweekly sessions
guided by a manual composed of seven content modules; CG participants received
standardized educational materials and biweekly phone calls. Blinded assessors
collected data at baseline and 6 months. The primary outcome was HbA1c; secondary
outcomes included diabetes self-care, diabetes-related quality of life (QOL),
diabetes distress, depressive symptoms, and life satisfaction. Change scores were
analyzed using Wilcoxon rank sum tests. RESULTS: Intent-to-treat analyses showed
that IG participants showed significant improvement in HbA1c (-0.57%/6.2 mmol/mol
vs. +0.36%/3.9 mmol/mol, P = 0.01), diabetes-related QOL (+0.7 vs. +0.15, P =
0.04), and habit strength for checking blood glucose (+3.9 vs. +1.7, P = 0.05) as
compared with CG participants. There was no statistically significant effect
modification by sex, ethnicity, diabetes type, recruitment site, or SES. No study
related serious adverse events were reported. CONCLUSIONS: The REAL Diabetes
intervention improved blood glucose control and diabetes-related QOL among a
typically hard-to-reach population, thus providing evidence that a structured OT
intervention may be beneficial in improving both clinical and psychosocial
outcomes among individuals with diabetes.
PMID- 29351963
TI - The exon junction complex: structural insights into a faithful companion of
mammalian mRNPs.
AB - During splicing, the exon junction complex (EJC) is deposited upstream of exon
exon boundaries. The EJC and its peripheral bound proteins play an essential role
in mediating mRNA export, translation and turnover. However, the exact sequence
of EJC assembly and the involved factors during splicing remain elusive. Recently
published structures of the human C* spliceosome clarified the position of the
EJC at this phase of splicing and have given insight into previously unidentified
interactions between the EJC and spliceosomal proteins. Here, these new
observations are presented and the significance for EJC assembly is discussed.
Furthermore, the vast landscape of EJC interacting proteins and their manifold
functions are described. Finally, the factors involved in EJC disassembly and
recycling are recapitulated. This review aims to integrate structural,
biochemical and physiological data to obtain a comprehensive picture of EJC
components during the lifetime of the EJC.
PMID- 29351964
TI - Chitinase-like proteins as regulators of innate immunity and tissue repair:
helpful lessons for asthma?
AB - Chitinases and chitinase-like proteins (CLPs) belong to the glycoside hydrolase
family 18 of proteins. Chitinases are expressed in mammals and lower organisms,
facilitate chitin degradation, and hence act as host-defence enzymes. Gene
duplication and loss-of-function mutations of enzymatically active chitinases
have resulted in the expression of a diverse range of CLPs across different
species. CLPs are genes that are increasingly associated with inflammation and
tissue remodelling not only in mammals but also across distant species. While the
focus has remained on understanding the functions and expression patterns of CLPs
during disease in humans, studies in mouse and lower organisms have revealed
important and overlapping roles of the CLP family during physiology, host defence
and pathology. This review will summarise recent insights into the regulatory
functions of CLPs on innate immune pathways and discuss how these effects are not
only important for host defence and tissue injury/repair after pathogen invasion,
but also how they have extensive implications for pathological processes involved
in diseases such as asthma.
PMID- 29351962
TI - RNA helicases in RNA decay.
AB - RNA molecules have the tendency to fold into complex structures or to associate
with complementary RNAs that exoribonucleases have difficulties processing or
degrading. Therefore, degradosomes in bacteria and organelles as well as exosomes
in eukaryotes have teamed-up with RNA helicases. Whereas bacterial degradosomes
are associated with RNA helicases from the DEAD-box family, the exosomes and
mitochondrial degradosome use the help of Ski2-like and Suv3 RNA helicases.
PMID- 29351965
TI - Cost-effectiveness of Cognitive Behavioral Therapy for Depressed Youth Declining
Antidepressants.
AB - BACKGROUND AND OBJECTIVES: Adolescents with depression identified in primary care
settings often have limited treatment options beyond antidepressant (AD) therapy.
We assessed the cost-effectiveness of a brief cognitive behavioral therapy (CBT)
program among depressed adolescents who declined or quickly stopped using ADs.
METHODS: A total of 212 youth with depression were randomly assigned to treatment
as usual (TAU) or TAU plus brief individual CBT. Clinical outcomes included
depression-free days (DFDs) and estimated quality-adjusted life-years (QALYs).
Costs were adjusted to 2008 US dollars. Incremental cost-effectiveness ratios
(ICERs) comparing CBT to TAU were calculated over 12- and 24-month follow-up
periods. RESULTS: Youth randomly assigned to CBT had 26.8 more DFDs (P = .044)
and 0.067 more QALYs (P = .044) on average compared with TAU over 12 months.
Total costs were $4976 less (P = .025) by the end of the 24-month follow-up among
youth randomly assigned to CBT. Total costs per DFD were -$51 (ICER = -$51; 95%
confidence interval [CI]: -$394 to $9) at 12 months and -$115 (ICER = -$115; 95%
CI: -$1090 to -$6) at 24 months. Total costs per QALY were -$20 282 (ICER = -$20
282; 95% CI: -$156 741 to $3617) at 12 months and -$45 792 (ICER = -$45 792; 95%
CI: -$440 991 to -$2731) at 24 months. CONCLUSIONS: Brief primary care CBT among
youth declining AD therapy is cost-effective by widely accepted standards in
depression treatment. CBT becomes dominant over TAU over time, as revealed by a
statistically significant cost offset at the end of the 2-year follow-up.
PMID- 29351967
TI - Veterinary salaries in the UK are stagnating or in decline, surveys show.
PMID- 29351966
TI - Why are vet salaries so stubbornly flat?
PMID- 29351969
TI - Avian influenza cases confirmed in Dorset.
PMID- 29351970
TI - Government pledges to reduce the ocean's plastic.
AB - Georgina Mills explains how prime minister Theresa May has committed to reducing
the UK's plastic waste, in a bid to save the world's marine wildlife.
PMID- 29351972
TI - Cobalt deficiency in illthriven lambs.
PMID- 29351973
TI - Claw condition and claw health in dairy cows: how important is access to pasture?
PMID- 29351975
TI - Porcine circovirus type 3: a threat to the pig industry?
PMID- 29351976
TI - Being your own vet.
PMID- 29351977
TI - Unanticipated hyperkalaemia.
PMID- 29351978
TI - Death notice.
PMID- 29351979
TI - When is the right time?
AB - Veterinary medicine is veering further towards prolongation of life at all costs,
without the safeguard of being able to robustly measure quality of life. Jacky
Reid, Andrea Nolan and Marian Scott discuss major steps forward in the ability to
measure changes in health-related quality of life.
PMID- 29351980
TI - How to improve your salary.
PMID- 29351983
TI - Partial tandem duplication of KMT2A (MLL) may predict a subset of myelodysplastic
syndrome with unique characteristics and poor outcome.
PMID- 29351984
TI - Venetoclax induced a complete response in a patient with immunoglobulin light
chain amyloidosis plateaued on cyclophosphamide, bortezomib and dexamethasone.
PMID- 29351982
TI - Prevalence and characteristics of metabolic syndrome in adults from the French
childhood leukemia survivors' cohort: a comparison with controls from the French
population.
AB - The prevalence of the metabolic syndrome among adults from the French LEA
childhood acute leukemia survivors' cohort was prospectively evaluated
considering the type of anti-leukemic treatment received, and compared with that
of controls. The metabolic profile of these patients was compared with that of
controls. A total of 3203 patients from a French volunteer cohort were age- and
sex-matched 3:1 to 1025 leukemia survivors (in both cohorts, mean age: 24.4
years; females: 51%). Metabolic syndrome was defined according to the National
Cholesterol Education Program's Adult Treatment Panel III criteria. Metabolic
syndrome was found in 10.3% of patients (mean follow-up duration: 16.3+/-0.2
years) and 4.5% of controls, (OR=2.49; P<0.001). Patients transplanted with total
body irradiation presented the highest risk (OR=6.26; P<0.001); the other
treatment groups also showed a higher risk than controls, including patients
treated with chemotherapy only. Odd Ratios were 1.68 (P=0.005) after chemotherapy
only, 2.32 (P=0.002) after chemotherapy and cranial irradiation, and 2.18
(P=0.057) in patients transplanted without irradiation. Total body irradiation
recipients with metabolic syndrome displayed a unique profile compared with
controls: smaller waist circumference (91 vs 99.6 cm; P=0.01), and increased
triglyceride levels (3.99 vs 1.5 mmol/L; P<0.001), fasting glucose levels (6.2 vs
5.6 mmol/L; P=0.049), and systolic blood pressure (137.9 vs 132.8 mmHg; P=0.005).
By contrast, cranial irradiation recipients with metabolic syndrome had a larger
waist circumference (109 vs 99.6 cm; P=0.007) than controls. Regardless of the
anti-leukemic treatment, metabolic syndrome risk was higher among childhood
leukemia survivors. Its presentation differed depending on the treatment type,
thus suggesting a divergent pathophysiology. This study is registered at
clinicaltrials.gov identifier: 01756599.
PMID- 29351985
TI - Tocilizumab, tacrolimus and methotrexate for the prevention of acute graft-versus
host disease: low incidence of lower gastrointestinal tract disease.
AB - We conducted a phase 2 study in which patients undergoing allogeneic
hematopoietic stem cell transplantation received tocilizumab in addition to
standard immune suppression with tacrolimus and methotrexate for graft-versus
host disease prophylaxis. Thirty-five patients were enrolled between January 2015
and June 2016. The median age of the cohort was 66 (range: 22-76). All patients
received busulfan-based conditioning, and were transplanted with human leukocyte
antigen-matched related or matched unrelated bone marrow or peripheral stem cell
grafts. The cumulative incidences of grades II-IV and III-IV acute graft-versus
host disease were 14% (95% CI 5-30) and 3% (95% CI 0-11) at day 100, and 17% (95%
CI 7-31) and 6% (95% CI 1-16) at day 180, respectively. Notably, there were no
cases of graft-versus-host disease of the lower gastrointestinal tract within the
first 100 days. A comparison to 130 matched controls who only received tacrolimus
and methotrexate demonstrated a lower cumulative incidence of grades II-IV acute
graft-versus-host disease (17% versus 45%, P=0.003) and a significant increase in
grades II-IV acute graft-versus-host disease-free survival at six months (69%
versus 42%, P=0.001) with tocilizumab, tacrolimus and methotrexate, which was the
primary endpoint of the study. Immune reconstitution was preserved in patients
treated with tocilizumab, tacrolimus and methotrexate, as T-cell and B-cell
subsets recovered to near normal levels by 6-12 months post-transplantation. We
conclude that tocilizumab has promising activity in preventing acute graft-versus
host disease, particularly in the lower gastrointestinal tract, and warrants
examination in a randomized setting.
PMID- 29351986
TI - A phase II study of the oral JAK1/JAK2 inhibitor ruxolitinib in advanced
relapsed/refractory Hodgkin lymphoma.
AB - JAK2 constitutive activation/overexpression is common in classical Hodgkin
lymphoma, and several cytokines stimulate Hodgkin lymphoma cells by recognizing
JAK1-/JAK2-bound receptors. JAK blockade may thus be therapeutically beneficial
in Hodgkin lymphoma. In this phase II study we assessed the safety and efficacy
of ruxolitinib, an oral JAK1/2 inhibitor, in patients with relapsed/refractory
Hodgkin lymphoma. The primary objective was overall response rate according to
the International Harmonization Project 2007 criteria. Thirty-three patients with
advanced disease (median number of prior lines of treatment: 5; refractory: 82%)
were included; nine (27.3%) received at least six cycles of ruxolitinib and six
(18.2%) received more than six cycles. The overall response rate after six cycles
was 9.4% (3/32 patients). All three responders had partial responses; another 11
patients had transient stable disease. Best overall response rate was 18.8% (6/32
patients). Rapid alleviation of B-symptoms was common. The median duration of
response was 7.7 months, median progression-free survival 3.5 months (95% CI: 1.9
4.6), and the median overall survival 27.1 months (95% CI: 14.4-27.1). Forty
adverse events were reported in 14/33 patients (42.4%). One event led to
treatment discontinuation, while 87.5% of patients recovered without sequelae.
Twenty-five adverse events were grade 3 or higher. These events were mostly
anemia (n=11), all considered related to ruxolitinib. Other main causes of grade
3 or higher adverse events included lymphopenia and infections. Of note, no cases
of grade 4 neutropenia or thrombocytopenia were observed. Ruxolitinib shows signs
of activity, albeit short-lived, beyond a simple anti-inflammatory effect. Its
limited toxicity suggests that it has the potential to be combined with other
therapeutic modalities. ClinicalTrials.gov: NCT01877005.
PMID- 29351987
TI - CD83 is a new potential biomarker and therapeutic target for Hodgkin lymphoma.
AB - Chemotherapy and hematopoietic stem cell transplantation are effective treatments
for most Hodgkin lymphoma patients, however there remains a need for better tumor
specific target therapy in Hodgkin lymphoma patients with refractory or relapsed
disease. Herein, we demonstrate that membrane CD83 is a diagnostic and
therapeutic target, highly expressed in Hodgkin lymphoma cell lines and Hodgkin
and Reed-Sternberg cells in 29/35 (82.9%) Hodgkin lymphoma patient lymph node
biopsies. CD83 from Hodgkin lymphoma tumor cells was able to trogocytose to
surrounding T cells and, interestingly, the trogocytosing CD83+T cells expressed
significantly more programmed death-1 compared to CD83-T cells. Hodgkin lymphoma
tumor cells secreted soluble CD83 that inhibited T-cell proliferation, and anti
CD83 antibody partially reversed the inhibitory effect. High levels of soluble
CD83 were detected in Hodgkin lymphoma patient sera, which returned to normal in
patients who had good clinical responses to chemotherapy confirmed by positron
emission tomography scans. We generated a human anti-human CD83 antibody, 3C12C,
and its toxin monomethyl auristatin E conjugate, that killed CD83 positive
Hodgkin lymphoma cells but not CD83 negative cells. The 3C12C antibody was tested
in dose escalation studies in non-human primates. No toxicity was observed, but
there was evidence of CD83 positive target cell depletion. These data establish
CD83 as a potential biomarker and therapeutic target in Hodgkin lymphoma.
PMID- 29351988
TI - Confirmation of intersubunit connectivity and topology of designed protein
complexes by native MS.
AB - Computational protein design provides the tools to expand the diversity of
protein complexes beyond those found in nature. Understanding the rules that
drive proteins to interact with each other enables the design of protein-protein
interactions to generate specific protein assemblies. In this work, we designed
protein-protein interfaces between dimers and trimers to generate dodecameric
protein assemblies with dihedral point group symmetry. We subsequently analyzed
the designed protein complexes by native MS. We show that the use of ion mobility
MS in combination with surface-induced dissociation (SID) allows for the rapid
determination of the stoichiometry and topology of designed complexes. The
information collected along with the speed of data acquisition and processing
make SID ion mobility MS well-suited to determine key structural features of
designed protein complexes, thereby circumventing the requirement for more time-
and sample-consuming structural biology approaches.
PMID- 29351989
TI - Iterative random forests to discover predictive and stable high-order
interactions.
AB - Genomics has revolutionized biology, enabling the interrogation of whole
transcriptomes, genome-wide binding sites for proteins, and many other molecular
processes. However, individual genomic assays measure elements that interact in
vivo as components of larger molecular machines. Understanding how these high
order interactions drive gene expression presents a substantial statistical
challenge. Building on random forests (RFs) and random intersection trees (RITs)
and through extensive, biologically inspired simulations, we developed the
iterative random forest algorithm (iRF). iRF trains a feature-weighted ensemble
of decision trees to detect stable, high-order interactions with the same order
of computational cost as the RF. We demonstrate the utility of iRF for high-order
interaction discovery in two prediction problems: enhancer activity in the early
Drosophila embryo and alternative splicing of primary transcripts in human
derived cell lines. In Drosophila, among the 20 pairwise transcription factor
interactions iRF identifies as stable (returned in more than half of bootstrap
replicates), 80% have been previously reported as physical interactions.
Moreover, third-order interactions, e.g., between Zelda (Zld), Giant (Gt), and
Twist (Twi), suggest high-order relationships that are candidates for follow-up
experiments. In human-derived cells, iRF rediscovered a central role of H3K36me3
in chromatin-mediated splicing regulation and identified interesting fifth- and
sixth-order interactions, indicative of multivalent nucleosomes with specific
roles in splicing regulation. By decoupling the order of interactions from the
computational cost of identification, iRF opens additional avenues of inquiry
into the molecular mechanisms underlying genome biology.
PMID- 29351990
TI - Saa3 is a key mediator of the protumorigenic properties of cancer-associated
fibroblasts in pancreatic tumors.
AB - Pancreatic ductal adenocarcinoma (PDAC) is characterized by the presence of
abundant desmoplastic stroma primarily composed of cancer-associated fibroblasts
(CAFs). It is generally accepted that CAFs stimulate tumor progression and might
be implicated in drug resistance and immunosuppression. Here, we have compared
the transcriptional profile of PDGFRalpha+ CAFs isolated from genetically
engineered mouse PDAC tumors with that of normal pancreatic fibroblasts to
identify genes potentially implicated in their protumorigenic properties. We
report that the most differentially expressed gene, Saa3, a member of the serum
amyloid A (SAA) apolipoprotein family, is a key mediator of the protumorigenic
activity of PDGFRalpha+ CAFs. Whereas Saa3-competent CAFs stimulate the growth of
tumor cells in an orthotopic model, Saa3-null CAFs inhibit tumor growth. Saa3
also plays a role in the cross talk between CAFs and tumor cells. Ablation of
Saa3 in pancreatic tumor cells makes them insensitive to the inhibitory effect of
Saa3-null CAFs. As a consequence, germline ablation of Saa3 does not prevent PDAC
development in mice. The protumorigenic activity of Saa3 in CAFs is mediated by
Mpp6, a member of the palmitoylated membrane protein subfamily of the peripheral
membrane-associated guanylate kinases (MAGUK). Finally, we interrogated whether
these observations could be translated to a human scenario. Indeed, SAA1, the
ortholog of murine Saa3, is overexpressed in human CAFs. Moreover, high levels of
SAA1 in the stromal component correlate with worse survival. These findings
support the concept that selective inhibition of SAA1 in CAFs may provide
potential therapeutic benefit to PDAC patients.
PMID- 29351991
TI - Differing roles of CD1d2 and CD1d1 proteins in type I natural killer T cell
development and function.
AB - MHC class I-like CD1 molecules have evolved to present lipid-based antigens to T
cells. Differences in the antigen-binding clefts of the CD1 family members
determine the conformation and size of the lipids that are presented, although
the factors that shape CD1 diversity remain unclear. In mice, two homologous
genes, CD1D1 and CD1D2, encode the CD1d protein, which is essential to the
development and function of natural killer T (NKT) cells. However, it remains
unclear whether both CD1d isoforms are equivalent in their antigen presentation
capacity and functions. Here, we report that CD1d2 molecules are expressed in the
thymus of some mouse strains, where they select functional type I NKT cells.
Intriguingly, the T cell antigen receptor repertoire and phenotype of CD1d2
selected type I NKT cells in CD1D1-/- mice differed from CD1d1-selected type I
NKT cells. The structures of CD1d2 in complex with endogenous lipids and a
truncated acyl-chain analog of alpha-galactosylceramide revealed that its A'
pocket was restricted in size compared with CD1d1. Accordingly, CD1d2 molecules
could not present glycolipid antigens with long acyl chains efficiently, favoring
the presentation of short acyl chain antigens. These results indicate that the
two CD1d molecules present different sets of self-antigen(s) in the mouse thymus,
thereby impacting the development of invariant NKT cells.
PMID- 29351992
TI - Involvement of Aryl hydrocarbon receptor in myelination and in human nerve sheath
tumorigenesis.
AB - Aryl hydrocarbon receptor (AHR) is a ligand-activated transcription factor
involved in xenobiotic metabolism. Plexiform neurofibromas (PNFs) can transform
into malignant peripheral nerve sheath tumors (MPNSTs) that are resistant to
existing therapies. These tumors are primarily composed of Schwann cells. In
addition to neurofibromatosis type 1 (NF1) gene inactivation, further genetic
lesions are required for malignant transformation. We have quantified the mRNA
expression levels of AHR and its associated genes in 38 human samples. We report
that AHR and the biosynthetic enzymes of its endogenous ligand are overexpressed
in human biopsies of PNFs and MPNSTs. We also detect a strong nuclear AHR
staining in MPNSTs. The inhibition of AHR by siRNA or antagonists, CH-223191 and
trimethoxyflavone, induces apoptosis in human MPNST cells. Since AHR
dysregulation is observed in these tumors, we investigate AHR involvement in
Schwann cell physiology. Hence, we studied the role of AHR in myelin structure
and myelin gene regulation in Ahr-/- mice during myelin development. AHR ablation
leads to locomotion defects and provokes thinner myelin sheaths around the axons.
We observe a dysregulation of myelin gene expression and myelin developmental
markers in Ahr-/- mice. Interestingly, AHR does not directly bind to myelin gene
promoters. The inhibition of AHR in vitro and in vivo increased beta-catenin
levels and stimulated the binding of beta-catenin on myelin gene promoters. Taken
together, our findings reveal an endogenous role of AHR in peripheral myelination
and in peripheral nerve sheath tumors. Finally, we suggest a potential
therapeutic approach by targeting AHR in nerve tumors.
PMID- 29351993
TI - Fluorine-donating electrolytes enable highly reversible 5-V-class Li metal
batteries.
AB - Lithium metal has gravimetric capacity ~10* that of graphite which incentivizes
rechargeable Li metal batteries (RLMB) development. A key factor that limits
practical use of RLMB is morphological instability of Li metal anode upon
electrodeposition, reflected by the uncontrolled area growth of solid-electrolyte
interphase that traps cyclable Li, quantified by the Coulombic inefficiency (CI).
Here we show that CI decreases approximately exponentially with increasing
donatable fluorine concentration of the electrolyte. By using up to 7 m of Li
bis(fluorosulfonyl)imide in fluoroethylene carbonate, where both the solvent and
the salt donate F, we can significantly suppress anode porosity and improve the
Coulombic efficiency to 99.64%. The electrolyte demonstrates excellent
compatibility with 5-V LiNi0.5Mn1.5O4 cathode and Al current collector beyond 5
V. As a result, an RLMB full cell with only 1.4* excess lithium as the anode was
demonstrated to cycle above 130 times, at industrially significant loading of
1.83 mAh/cm2 and 0.36 C. This is attributed to the formation of a protective LiF
nanolayer, which has a wide bandgap, high surface energy, and small Burgers
vector, making it ductile at room temperature and less likely to rupture in
electrodeposition.
PMID- 29351994
TI - Full molecular trajectories of RNA polymerase at single base-pair resolution.
AB - In recent years, highly stable optical tweezers systems have enabled the
characterization of the dynamics of molecular motors at very high resolution.
However, the motion of many motors with angstrom-scale dynamics cannot be
consistently resolved due to poor signal-to-noise ratio. Using an acousto-optic
deflector to generate a "time-shared" dual-optical trap, we decreased low
frequency noise by more than one order of magnitude compared with conventional
dual-trap optical tweezers. Using this instrument, we implemented a protocol that
synthesizes single base-pair trajectories, which are used to test a Large State
Space Hidden Markov Model algorithm to recover their individual steps. We then
used this algorithm on real transcription data obtained in the same instrument to
fully uncover the molecular trajectories of Escherichia coli RNA polymerase. We
applied this procedure to reveal the effect of pyrophosphate on the distribution
of dwell times between consecutive polymerase steps.
PMID- 29351995
TI - Enucleated cells reveal differential roles of the nucleus in cell migration,
polarity, and mechanotransduction.
AB - The nucleus has long been postulated to play a critical physical role during cell
polarization and migration, but that role has not been defined or rigorously
tested. Here, we enucleated cells to test the physical necessity of the nucleus
during cell polarization and directed migration. Using enucleated mammalian cells
(cytoplasts), we found that polarity establishment and cell migration in one
dimension (1D) and two dimensions (2D) occur without the nucleus. Cytoplasts
directionally migrate toward soluble (chemotaxis) and surface-bound (haptotaxis)
extracellular cues and migrate collectively in scratch-wound assays. Consistent
with previous studies, migration in 3D environments was dependent on the nucleus.
In part, this likely reflects the decreased force exerted by cytoplasts on
mechanically compliant substrates. This response is mimicked both in cells with
nucleocytoskeletal defects and upon inhibition of actomyosin-based contractility.
Together, our observations reveal that the nucleus is dispensable for
polarization and migration in 1D and 2D but critical for proper cell mechanical
responses.
PMID- 29351997
TI - Spatiotemporal organization of exocytosis emerges during neuronal shape change.
AB - Neurite elongation and branching in developing neurons requires plasmalemma
expansion, hypothesized to occur primarily via exocytosis. We posited that
exocytosis in developing neurons and nonneuronal cells would exhibit distinct
spatiotemporal organization. We exploited total internal reflection fluorescence
microscopy to image vesicle-associated membrane protein (VAMP)-pHluorin-mediated
exocytosis in mouse embryonic cortical neurons and interphase melanoma cells, and
developed computer-vision software and statistical tools to uncover
spatiotemporal aspects of exocytosis. Vesicle fusion behavior differed between
vesicle types, cell types, developmental stages, and extracellular environments.
Experiment-based mathematical calculations indicated that VAMP2-mediated vesicle
fusion supplied excess material for the plasma membrane expansion that occurred
early in neuronal morphogenesis, which was balanced by clathrin-mediated
endocytosis. Spatial statistics uncovered distinct spatiotemporal regulation of
exocytosis in the soma and neurites of developing neurons that was modulated by
developmental stage, exposure to the guidance cue netrin-1, and the brain
enriched ubiquitin ligase tripartite motif 9. In melanoma cells, exocytosis
occurred less frequently, with distinct spatial clustering patterns.
PMID- 29351996
TI - Altered chemomechanical coupling causes impaired motility of the kinesin-4 motors
KIF27 and KIF7.
AB - Kinesin-4 motors play important roles in cell division, microtubule organization,
and signaling. Understanding how motors perform their functions requires an
understanding of their mechanochemical and motility properties. We demonstrate
that KIF27 can influence microtubule dynamics, suggesting a conserved function in
microtubule organization across the kinesin-4 family. However, kinesin-4 motors
display dramatically different motility characteristics: KIF4 and KIF21 motors
are fast and processive, KIF7 and its Drosophila melanogaster homologue Costal2
(Cos2) are immotile, and KIF27 is slow and processive. Neither KIF7 nor KIF27 can
cooperate for fast processive transport when working in teams. The mechanistic
basis of immotile KIF7 behavior arises from an inability to release adenosine
diphosphate in response to microtubule binding, whereas slow processive KIF27
behavior arises from a slow adenosine triphosphatase rate and a high affinity for
both adenosine triphosphate and microtubules. We suggest that evolutionarily
selected sequence differences enable immotile KIF7 and Cos2 motors to function
not as transporters but as microtubule-based tethers of signaling complexes.
PMID- 29351999
TI - MLL1 Promotes IL-7 Responsiveness and Survival during B Cell Differentiation.
AB - B lymphocyte differentiation is an exquisitely regulated homeostatic process
resulting in continuous production of appropriately selected B cells. Relatively
small changes in gene expression can result in deregulation of this process,
leading to acute lymphocytic leukemia (ALL), immune deficiency, or autoimmunity.
Translocation of MLL1 (KMT2A) often results in a pro-B cell ALL, but little is
known about its role in normal B cell differentiation. Using a Rag1-cre mouse
knock-in to selectively delete Mll1 in developing lymphocytes, we show that B
cell, but not T cell, homeostasis depends on MLL1. Mll1-/- B progenitors fail to
differentiate efficiently through the pro- to pre-B cell transition, resulting in
a persistent reduction in B cell populations. Cells inefficiently transit the pre
BCR checkpoint, despite normal to higher levels of pre-BCR components, and
rearranged IgH expression fails to rescue this differentiation block. Instead of
IgH-rearrangement defects, we find that Mll1-/- pre-B cells exhibit attenuated
RAS/MAPK signaling downstream of the pre-BCR, which results in reduced survival
in physiologic levels of IL-7. Genome-wide expression data illustrate that MLL1
is connected to B cell differentiation and IL-7-dependent survival through a
complex transcriptional network. Overall, our data demonstrate that wild-type
MLL1 is a regulator of pre-BCR signaling and B cell differentiation and further
suggest that targeting its function in pro-B cell ALL may be more broadly
effective than previously anticipated.
PMID- 29351998
TI - Detection of Experimental and Clinical Immune Complexes by Measuring SHIP-1
Recruitment to the Inhibitory FcgammaRIIB.
AB - Fc gamma receptors (FcgammaR) are involved in multiple aspects of immune cell
regulation, are central to the success of mAb therapeutics, and underpin the
pathology of several autoimmune diseases. However, reliable assays capable of
accurately measuring FcgammaR interactions with their physiological ligands, IgG
immune complexes (IC), are limited. A method to study and detect IC interactions
with FcgammaRs was therefore developed. This method, designed to model the
signaling pathway of the inhibitory FcgammaRIIB (CD32B), used NanoLuc Binary
Interaction Technology to measure recruitment of the Src homology 2 domain
containing inositol phosphatase 1 to the ITIM of this receptor. Such recruitment
required prior cross-linking of an ITAM-containing activatory receptor, and
evoked luciferase activity in discrete clusters at the cell surface,
recapitulating the known biology of CD32B signaling. The assay detected varying
forms of experimental IC, including heat-aggregated IgG, rituximab-anti-idiotype
complexes, and anti-trinitrophenol-trinitrophenol complexes in a sensitive manner
(<=1 MUg/ml), and discriminated between complexes of varying size and isotype.
Proof-of-concept for the detection of circulating ICs in autoimmune disease was
provided, as responses to sera from patients with systemic lupus erythematosus
and rheumatoid arthritis were detected in small pilot studies. Finally, the
method was translated to a stable cell line system. In conclusion, a rapid and
robust method for the detection of IC was developed, which has numerous potential
applications including the monitoring of IC in autoimmune diseases and the study
of underlying FcgammaR biology.
PMID- 29352000
TI - Mesenchymal Stem Cells Recruit CCR2+ Monocytes To Suppress Allergic Airway
Inflammation.
AB - Mesenchymal stem cells (MSC) exert immune modulatory properties and previous
studies demonstrated suppressive effects of MSC treatment in animal models of
allergic airway inflammation. However, the underlying mechanisms have not been
fully elucidated. We studied the role of MSC in immune activation and subsequent
recruitment of monocytes in suppressing airway hyperresponsiveness and airway
inflammation using a mouse model of allergic airway inflammation. MSC
administration prior to or after allergen challenge inhibited the development of
airway inflammation in allergen-sensitized mice. This was accompanied by an
influx of CCR2-positive monocytes, which were localized around injected MSC in
the lungs. Notably, IL-10-producing monocytes and/or macrophages were also
increased in the lungs. Systemic administration of liposomal clodronate or a CCR2
antagonist significantly prevented the suppressive effects of MSC. Activation of
MSC by IFN-gamma leading to the upregulation of CCL2 expression was essential for
the suppressive effects, as administration of wild-type MSC into IFN-gamma
deficient recipients, or IFN-gamma receptor-deficient or CCL2-deficient MSC into
wild-type mice failed to suppress airway inflammation. These results suggest that
MSC activation by IFN-gamma, followed by increased expression of CCL2 and
recruitment of monocytes to the lungs, is essential for suppression by MSC in
allergen-induced airway hyperresponsiveness and airway inflammation.
PMID- 29352001
TI - KEAP1 Editing Using CRISPR/Cas9 for Therapeutic NRF2 Activation in Primary Human
T Lymphocytes.
AB - Oxidant stress modifies T lymphocyte activation and function. Previous work
demonstrated that murine T cell-specific kelch like-ECH-associated protein 1
(Keap1) deletion enhances antioxidant capacity and protects from experimental
acute kidney injury. In this study, we used CRISPR technology to develop
clinically translatable human T cell-specific KEAP1 deletion. Delivery of KEAP1
exon 2 specific Cas9:guide RNA in Jurkat T cells led to significant (~70%)
editing and upregulation of NRF2-regulated antioxidant genes NADPH dehydrogenase
quinone 1 (NQO1) (up to 11-fold), heme oxygenase 1 (HO1) (up to 11-fold), and
GCLM (up to 2-fold). In primary human T cells, delivery of KEAP1 exon 2 target
site 2-specific ATTO 550-labeled Cas9:guide RNA edited KEAP1 in ~40% cells and
significantly (p <= 0.04) increased NQO1 (16-fold), HO1 (9-fold), and GCLM (2
fold) expression. To further enrich KEAP1-edited cells, ATTO 550-positive cells
were sorted 24 h after electroporation. Assessment of ATTO 550-positive cells
showed KEAP1 editing in ~55% cells. There was no detectable off-target cleavage
in the top three predicted genes in the ATTO 550-positive cells. Gene expression
analysis found significantly (p <= 0.01) higher expression of NQO1 mRNA in ATTO
550-positive cells compared with control cells. Flow cytometric assessment showed
increased (p <= 0.01) frequency of CD4-, CD25-, and CD69-expressing KEAP1 edited
cells whereas frequency of CD8- (p <= 0.01) and IL-17- (p <= 0.05) expressing
cells was reduced compared with control cells. Similar experimental conditions
resulted in significant KEAP1 editing, increased antioxidant gene expression, and
frequency of CD69 and IL-10 positive cells in highly enriched KEAP1-edited
regulatory T cells. KEAP1-edited T cells could potentially be used for treating
multiple human diseases.
PMID- 29352002
TI - Intestinal Epithelial Cell-Derived LKB1 Suppresses Colitogenic Microbiota.
AB - Dysregulation of the immune barrier function of the intestinal epithelium can
often result in dysbiosis. In this study we report a novel role of intestinal
epithelial cell (IEC)-derived liver kinase B1 (LKB1) in suppressing colitogenic
microbiota. IEC-specific deletion of LKB1 (LKB1DeltaIEC) resulted in an increased
susceptibility to dextran sodium sulfate (DSS)-induced colitis and a definitive
shift in the composition of the microbial population in the mouse intestine.
Importantly, transfer of the microbiota from LKB1DeltaIEC mice was sufficient to
confer increased susceptibility to DSS-induced colitis in wild-type recipient
mice. Collectively, the data indicate that LKB1 deficiency in intestinal
epithelial cells nurtures the outgrowth of colitogenic bacteria in the commensal
community. In addition, LKB1 deficiency in the intestinal epithelium reduced the
production of IL-18 and antimicrobial peptides in the colon. Administration of
exogenous IL-18 restored the expression of antimicrobial peptides, corrected the
outgrowth of several bacterial genera, and rescued the LKB1DeltaIEC mice from
increased sensitivity to DSS challenge. Taken together, our study reveals an
important function of LKB1 in IECs for suppressing colitogenic microbiota by IL
18 expression.
PMID- 29352004
TI - Therapeutic Application of an Extract of Helicobacter pylori Ameliorates the
Development of Allergic Airway Disease.
AB - Epidemiological and experimental studies have shown that exposure to the gastric
bacterium Helicobacter pylori, especially in early life, prevents the development
of asthma. Recent mouse studies have shown that this protective effect does not
require live bacteria and that treatment with an extract of H. pylori in neonates
prevents the development of airway inflammation and goblet cell metaplasia. In
the current study, the effect of administration of an extract of H. pylori was
assessed in a therapeutic study design with application of the extract just prior
to allergen challenge. C57BL/6 mice were sensitized and challenged with OVA or
house dust mite. Treatment with H. pylori extract just prior to the challenge
significantly reduced airway inflammation, as assessed in bronchoalveolar lavage
fluid and lung tissue, and reduced airway remodeling, as assessed by goblet cell
quantification. These effects were apparent in the OVA model and in the house
dust mite model. Injection of H. pylori extract reduced the processing of
allergen by dendritic cells in the lungs and mediastinal lymph node. Bone marrow
derived dendritic cells exposed to H. pylori extract were affected with regard to
their ability to process Ag. These data show that application of H. pylori
extract after sensitization effectively inhibits allergic airway disease.
PMID- 29352003
TI - Gene Expression Signatures Characterized by Longitudinal Stability and
Interindividual Variability Delineate Baseline Phenotypic Groups with Distinct
Responses to Immune Stimulation.
AB - Human immunity exhibits remarkable heterogeneity among individuals, which
engenders variable responses to immune perturbations in human populations.
Population studies reveal that, in addition to interindividual heterogeneity,
systemic immune signatures display longitudinal stability within individuals, and
these signatures may reliably dictate how given individuals respond to immune
perturbations. We hypothesize that analyzing relationships among these signatures
at the population level may uncover baseline immune phenotypes that correspond
with response outcomes to immune stimuli. To test this, we quantified global gene
expression in peripheral blood CD4+ cells from healthy individuals at baseline
and following CD3/CD28 stimulation at two time points 1 mo apart. Systemic CD4+
cell baseline and poststimulation molecular immune response signatures (MIRS)
were defined by identifying genes expressed at levels that were stable between
time points within individuals and differential among individuals in each state.
Iterative differential gene expression analyses between all possible phenotypic
groupings of at least three individuals using the baseline and stimulated MIRS
gene sets revealed shared baseline and response phenotypic groupings, indicating
the baseline MIRS contained determinants of immune responsiveness. Furthermore,
significant numbers of shared phenotype-defining sets of determinants were
identified in baseline data across independent healthy cohorts. Combining the
cohorts and repeating the analyses resulted in identification of over 6000
baseline immune phenotypic groups, implying that the MIRS concept may be useful
in many immune perturbation contexts. These findings demonstrate that patterns in
complex gene expression variability can be used to define immune phenotypes and
discover determinants of immune responsiveness.
PMID- 29352005
TI - Differential Expression of CD8+ T Cell Cytotoxic Effector Molecules in Blood and
Gastrointestinal Mucosa in HIV-1 Infection.
AB - We previously reported that CD8+ T cells in human gastrointestinal mucosa exhibit
reduced perforin expression and weak or impaired cytotoxic capacity compared with
their counterparts in blood. Nevertheless, these cells degranulate and express
cytokines and chemokines in response to cognate Ag. In addition to weak
expression of perforin, earlier studies suggested differential regulation of
perforin and granzymes (Gzms), with GzmA and B expressed by significantly higher
percentages of mucosal CD8+ T cells than perforin. However, this topic has not
been fully explored. The goal of this study was to elucidate the expression and
coexpression patterns of GzmA, B, and K in conjunction with perforin in
rectosigmoid CD8+ T cells during HIV-1 infection. We found that expression of
both perforin and GzmB, but not GzmA or GzmK, was reduced in mucosa compared with
blood. A large fraction of rectosigmoid CD8+ T cells either did not express Gzms
or were single-positive for GzmA. Rectosigmoid CD8+ T cells appeared skewed
toward cytokine production rather than cytotoxic responses, with cells expressing
multiple cytokines and chemokines generally lacking in perforin and Gzm
expression. These data support the interpretation that perforin and Gzms are
differentially regulated, and display distinct expression patterns in blood and
rectosigmoid T cells. These studies may help inform the development of strategies
to combat HIV-1 and other mucosal pathogens.
PMID- 29352006
TI - Machine learning in cardiovascular medicine: are we there yet?
AB - Artificial intelligence (AI) broadly refers to analytical algorithms that
iteratively learn from data, allowing computers to find hidden insights without
being explicitly programmed where to look. These include a family of operations
encompassing several terms like machine learning, cognitive learning, deep
learning and reinforcement learning-based methods that can be used to integrate
and interpret complex biomedical and healthcare data in scenarios where
traditional statistical methods may not be able to perform. In this review
article, we discuss the basics of machine learning algorithms and what potential
data sources exist; evaluate the need for machine learning; and examine the
potential limitations and challenges of implementing machine in the context of
cardiovascular medicine. The most promising avenues for AI in medicine are the
development of automated risk prediction algorithms which can be used to guide
clinical care; use of unsupervised learning techniques to more precisely
phenotype complex disease; and the implementation of reinforcement learning
algorithms to intelligently augment healthcare providers. The utility of a
machine learning-based predictive model will depend on factors including data
heterogeneity, data depth, data breadth, nature of modelling task, choice of
machine learning and feature selection algorithms, and orthogonal evidence. A
critical understanding of the strength and limitations of various methods and
tasks amenable to machine learning is vital. By leveraging the growing corpus of
big data in medicine, we detail pathways by which machine learning may facilitate
optimal development of patient-specific models for improving diagnoses,
intervention and outcome in cardiovascular medicine.
PMID- 29352007
TI - Outcomes in anticoagulated patients with atrial fibrillation and with mitral or
aortic valve disease.
AB - OBJECTIVE: To assess stroke/systemic embolism, major bleeding and other outcomes,
and treatment effect of apixaban versus warfarin, in patients with atrial
fibrillation (AF) and different types of valvular heart disease (VHD), using data
from the Apixaban for Reduction in Stroke and Other Thromboembolic Events in
Atrial Fibrillation trial. METHODS: There were 14 793 patients with known VHD
status, categorised as having moderate or severe mitral regurgitation (MR)
(n=3382), aortic regurgitation (AR) (n=842) or aortic stenosis (AS) (n=324);
patients with moderate or severe mitral stenosis were excluded from the trial.
Baseline characteristics, efficacy and safety outcomes were compared between each
type and no significant VHD. Treatment effect was assessed using an adjusted
model. RESULTS: Patients with MR or AR had similar rates of stroke/systemic
embolism and bleeding compared with patients without MR or AR, respectively.
Patients with AS had significantly higher event rates (presented as rate per 100
patient-years of follow-up) of stroke/systemic embolism (3.47 vs 1.36; adjusted
HR (adjHR) 2.21, 95% CI 1.35 to 3.63), death (8.30 vs 3.53; adjHR 1.92, 95% CI
1.41 to 2.61), major bleeding (5.31 vs 2.53; adjHR 1.80, 95% CI 1.19 to 2.75) and
intracranial bleeding (1.29 vs 0.51; adjHR 2.54, 95% CI 1.08 to 5.96) than
patients without AS. The superiority of apixaban over warfarin on stroke/systemic
embolism was similar in patients with versus without MR (HR 0.69, 95% CI 0.46 to
1.04 vs HR 0.79, 95% CI 0.63 to 1.00; interaction P value 0.52), with versus
without AR (HR 0.57, 95% CI 0.27 to 1.20 vs HR 0.78, 95% CI 0.63 to 0.96;
interaction P value 0.52), and with versus without AS (HR 0.44, 95% CI 0.17 to
1.13 vs HR 0.79, 95% CI 0.64 to 0.97; interaction P value 0.19). For each of the
primary and secondary efficacy and safety outcomes, there was no evidence of a
different effect of apixaban over warfarin in patients with any VHD subcategory.
CONCLUSIONS: In anticoagulated patients with AF, AS is associated with a higher
risk of stroke/systemic embolism, bleeding and death. The efficacy and safety
benefits of apixaban compared with warfarin were consistent, regardless of
presence of MR, AR or AS. CLINICAL TRIAL REGISTRATION: ARISTOTLE clinical trial
number NCT00412984.
PMID- 29352009
TI - Cardiovascular health: a global primordial need.
PMID- 29352008
TI - Incidence, predictors and clinical outcomes of residual stenosis after aortic
valve-in-valve.
AB - OBJECTIVE: We aimed to analyse the incidence of prosthesis-patient mismatch (PPM)
and elevated gradients after aortic valve in valve (ViV), and to evaluate
predictors and associations with clinical outcomes of this adverse event.
METHODS: A total of 910 aortic ViV patients were investigated. Elevated residual
gradients were defined as >=20 mm Hg. PPM was identified based on the indexed
effective orifice area (EOA), measured by echocardiography, and patient body mass
index (BMI). Moderate and severe PPM (cases) were defined by European Association
of Cardiovascular Imaging (EACVI) criteria and compared with patients without PPM
(controls). RESULTS: Moderate or greater PPM was found in 61% of the patients,
and severe in 24.6%. Elevated residual gradients were found in 27.9%. Independent
risk factors for the occurrence of lower indexed EOA and therefore severe PPM
were higher gradients of the failed bioprosthesis at baseline (unstandardised
beta -0.023; 95% CI -0.032 to -0.014; P<0.001), a stented (vs a stentless)
surgical bioprosthesis (unstandardised beta -0.11; 95% CI -0.161 to -0.071;
P<0.001), higher BMI (unstandardised beta -0.01; 95% CI -0.013 to -0.007;
P<0.001) and implantation of a SAPIEN/SAPIEN XT/SAPIEN 3 transcatheter device
(unstandardised beta -0.064; 95% CI -0.095 to -0.032; P<0.001). Neither severe
PPM nor elevated gradients had an association with VARC II-defined outcomes or 1
year survival (90.9% severe vs 91.5% moderate vs 89.3% none, P=0.44).
CONCLUSIONS: Severe PPM and elevated gradients after aortic ViV are very common
but were not associated with short-term survival and clinical outcomes. The long
term effect of poor post-ViV haemodynamics on clinical outcomes requires further
evaluation.
PMID- 29352011
TI - Persistently high incidence of postcoronary bypass atrial fibrillation: possible
mechanisms.
PMID- 29352010
TI - Genetics of syndromic and non-syndromic mitral valve prolapse.
AB - Mitral valve prolapse (MVP) is a common condition that affects 2%-3% of the
general population. MVP is thought to include syndromic forms such as Marfan
syndrome and non-syndromic MVP, which is the most frequent form. Myxomatous
degeneration and fibroelastic deficiency (FED) are regarded as two different
forms of non-syndromic MVP. While FED is still considered a degenerative disease
associated with ageing, frequent familial clustering has been demonstrated for
myxomatous MVP. Familial and genetic studies led to the recognition of reduced
penetrance and large phenotypic variability, and to the identification of
prodromal or atypical forms as a part of the complex spectrum of the disease.
Whereas autosomal dominant mode is the common inheritance pattern, an X linked
form of non-syndromic MVP was recognised initially, related to Filamin-A gene,
encoding for a cytoskeleton protein involved in mechanotransduction. This
identification allowed a comprehensive description of a new subtype of MVP with a
unique association of leaflet prolapse and paradoxical restricted motion in
diastole. In autosomal dominant forms, three loci have been mapped to chromosomes
16p11-p12, 11p15.4 and 13q31-32. Although deciphering the underlying genetic
defects is still a work in progress, DCHS1 mutations have been identified
(11p15.4) in typical myxomatous disease, highlighting new molecular pathways and
pathophysiological mechanisms leading to the development of MVP. Finally, a large
international genome-wide association study demonstrated the implication of
frequent variants in MVP development and opened new directions for future
research. Hence, this review focuses on phenotypic, genetic and
pathophysiological aspects of MVP.
PMID- 29352012
TI - Public transport policy, social engagement and mental health in older age: a
quasi-experimental evaluation of free bus passes in England.
AB - BACKGROUND: Social engagement and social isolation are key determinants of mental
health in older age, yet there is limited evidence on how public policies may
contribute to reducing isolation, promoting social engagement and improving
mental health among older people. This study examines the impact of the
introduction of an age-friendly transportation policy, free bus passes, on the
mental health of older people in England. METHODS: We use an instrumental
variable (IV) approach that exploits eligibility criteria for free bus passes to
estimate the impact of increased public transportation use on depressive
symptoms, loneliness, social isolation and social engagement. RESULTS:
Eligibility for the free bus travel pass was associated with an 8% (95% CI 6.4%
to 9.6%) increase in the use of public transportation among older people. The IV
model suggests that using public transport reduces depressive symptoms by 0.952
points (95% CI -1.712 to -0.192) on the Center for Epidemiologic Studies
Depression Scale. IV models also suggest that using public transport reduces
feelings of loneliness (beta -0.794, 95% CI -1.528 to -0.061), increases
volunteering at least monthly (beta 0.237, 95% CI 0.059 to 0.414) and increases
having regular contact with children (beta 0.480, 95% CI 0.208 to 0.752) and
friends (beta 0.311, 95% CI 0.109 to 0.513). CONCLUSION: Free bus travel is
associated with reductions in depressive symptoms and feelings of loneliness
among older people. Transportation policies may increase older people's social
engagement and consequently deliver significant benefits to mental health.
PMID- 29352013
TI - Call for a new epidemiological approach to disaster response.
PMID- 29352014
TI - Effectiveness of comprehensive social support interventions among elderly
patients with tuberculosis in communities in China: a community-based trial.
AB - BACKGROUND: With the increasing of ageing population, tuberculosis in the elderly
brings a challenge for the tuberculosis (TB) control in China. Enough social
support can promote the treatment adherence and outcome of the elderly patients
with TB. Exploring effective interventions to improve the social support of
patients is of great significance for TB management and control. METHODS: A
community-based, repeated measurement trial was conducted. Patients with TB >65
years of age were allocated into the intervention or control group. Patients in
the intervention group received comprehensive social support interventions, while
those in the control group received health education alone. The social support
level of patients was measured at baseline and at the first, third and sixth
months during the intervention to assess the effectiveness of comprehensive
social support interventions. RESULTS: A total of 201 patients were recruited
into the study. Compared with the control group, social support for patients in
the intervention group increased significantly over time (betagroup*time=0.61,
P<0.01) in the following three dimensions: objective support
(betagroup*time=0.15, P<0.05), subjective support (betagroup*time=0.32, P<0.05)
and support utilisation (betagroup*time=0.16, P<0.05). The change in the scores
in the control group was not statistically significant. CONCLUSIONS: The
intervention programme in communities, including health education, psychotherapy
and family and community support interventions, can improve the social support
for elderly patients with TB compared with single health education. TRIAL
REGISTRATION NUMBER: ChiCTR-IOR-16009232.
PMID- 29352016
TI - Je ne regrette rien?
PMID- 29352015
TI - Sox2 is required for olfactory pit formation and olfactory neurogenesis through
BMP restriction and Hes5 upregulation.
AB - The transcription factor Sox2 is necessary to maintain pluripotency of embryonic
stem cells, and to regulate neural development. Neurogenesis in the vertebrate
olfactory epithelium persists from embryonic stages through adulthood. The role
Sox2 plays for the development of the olfactory epithelium and neurogenesis
within has, however, not been determined. Here, by analysing Sox2 conditional
knockout mouse embryos and chick embryos deprived of Sox2 in the olfactory
epithelium using CRISPR-Cas9, we show that Sox2 activity is crucial for the
induction of the neural progenitor gene Hes5 and for subsequent differentiation
of the neuronal lineage. Our results also suggest that Sox2 activity promotes the
neurogenic domain in the nasal epithelium by restricting Bmp4 expression. The
Sox2-deficient olfactory epithelium displays diminished cell cycle progression
and proliferation, a dramatic increase in apoptosis and finally olfactory pit
atrophy. Moreover, chromatin immunoprecipitation data show that Sox2 directly
binds to the Hes5 promoter in both the PNS and CNS. Taken together, our results
indicate that Sox2 is essential to establish, maintain and expand the neuronal
progenitor pool by suppressing Bmp4 and upregulating Hes5 expression.
PMID- 29352017
TI - Keeping it real: MRX-Sae2 clipping of natural substrates.
AB - The yeast Mre11-Rad50-Xrs2 (MRX) complex and Sae2 function together to initiate
DNA end resection, an essential early step in homology-dependent repair of DNA
double-strand breaks (DSBs). In this issue of Genes & Development, Wang and
colleagues (pp. 2331-2336) and Reginato and colleagues (pp. 2325-2330) report
that a variety of physiological protein blocks, including Ku, RPA, and
nucleosomes, stimulate MRX-Sae2 endonuclease cleavage in vitro. These studies
have important implications for how cells deal with a range of barriers to end
resection and highlight the crucial role of Sae2 in activating MRX cleavage at
the correct cell cycle stage.
PMID- 29352018
TI - Oncohistones: drivers of pediatric cancers.
AB - One of the most striking results in the area of chromatin and cancer in recent
years has been the identification of recurrent mutations in histone genes in
pediatric cancers. These mutations occur at high frequency and lead to the
expression of mutant histones that exhibit oncogenic features. Thus, they are
termed oncohistones. Thus far, mutations have been found in the genes encoding
histone H3 and its variants. The expression of the oncohistones affects the
global chromatin landscape through mechanisms that have just begun to be
unraveled. In this review, we provide an overview of histone mutations that have
been identified and discuss the possible mechanisms by which they contribute to
tumor development. We further discuss the targeted therapies that have been
proposed to treat cancers expressing oncohistones.
PMID- 29352021
TI - Proportion of women presenters at medical grand rounds at major academic centres
in Canada: a retrospective observational study.
AB - OBJECTIVE: To assess the proportion of women who presented research or medical
grand rounds at five major academic hospitals in Canada. DESIGN: A cross
sectional study. SETTING: Five major university-affiliated hospitals in Toronto
and Calgary. RESULTS: Overall, at all sites and types of academic rounds, there
were an average of 17% fewer women presenting than men (P<0.001). There were an
average of 32% and 21% more men presenting at the city-wide grand rounds in
cities A and B, respectively (P<0.001, P=0.002). There were more male speakers at
four out of five types of rounds. The proportion of women presenting on average
was proportional to the Canadian workforce, but on average, below the proportion
of female residents and medical students (median ratio 1.1, 0.7 and 0.8,
respectively). CONCLUSION: Our study demonstrated a lower proportion of females
in an important outlet for academic recognition and role modelling. This provides
a possible contributing factor to the under-representation of women in academic
medicine and an area that can be systematically targeted to promote equity.
PMID- 29352022
TI - Of mice and mental health: facilitating dialogue and seeing further.
AB - The science of mental life is critical for understanding both how we function,
and impairments in our functioning. However, understanding the causal mechanisms
underlying mental health disorders and developing new treatments are challenges
too great to be solved by any individual approach. There is a growing awareness
that translational research-from laboratory to patient and back again to animal
models-will be critical for the improved understanding and treatment of mental
health disorders. The motivation and intention to pursue translational approaches
is therefore strong in mental health research, but critically, opportunities for
interaction between basic scientists and clinicians are relatively limited, and
vary depending on the institution in which researchers are working. This has
promoted the development of a 'culture gap' between basic and clinical scientists
that limits interaction and sharing of knowledge. Here, we provide 14 examples of
contemporary translational research and call for an increased collaborative
approach to mental health research that spans clinical diagnoses, levels of
analysis and bridges between basic to clinical mental health sciences, including,
but not limited to, psychology and neuroscience. What is needed is an inclusive
and integrated approach, bringing together scientists working at all levels of
enquiry with clinicians providing insights on what works (and what does not). To
stimulate the much-needed innovation in therapeutic techniques, an analysis of
component parts is critical. Our approach suggests simplifying complex behaviours
into distinct psychological components. Asking collaboratively driven scientific
questions about dysfunction will also benefit our fundamental understanding of
mental life.This article is part of a discussion meeting issue 'Of mice and
mental health: facilitating dialogue between basic and clinical neuroscientists'.
PMID- 29352019
TI - Opposing roles of TGFbeta and BMP signaling in prostate cancer development.
AB - SMAD4 constrains progression of Pten-null prostate cancer and serves as a common
downstream node of transforming growth factor beta (TGFbeta) and bone
morphogenetic protein (BMP) pathways. Here, we dissected the roles of TGFbeta
receptor II (TGFBR2) and BMP receptor II (BMPR2) using a Pten-null prostate
cancer model. These studies demonstrated that the molecular actions of TGFBR2
result in both SMAD4-dependent constraint of proliferation and SMAD4-independent
activation of apoptosis. In contrast, BMPR2 deletion extended survival relative
to Pten deletion alone, establishing its promoting role in BMP6-driven prostate
cancer progression. These analyses reveal the complexity of TGFbeta-BMP signaling
and illuminate potential therapeutic targets for prostate cancer.
PMID- 29352023
TI - Monoamine abnormalities in the SAPAP3 knockout model of obsessive-compulsive
disorder-related behaviour.
AB - Obsessive-compulsive disorder (OCD) is a leading cause of illness-related
disability, but the neural mechanisms underlying OCD symptoms are unclear. One
potential mechanism of OCD pathology is monoamine dysregulation. Because of the
difficulty of studying monoamine signalling in patients, animal models offer a
viable alternative to understanding this aspect of OCD pathophysiology. We used
HPLC to characterize post-mortem monoamine levels in lateral orbitofrontal cortex
(OFC), medial OFC, medial prefrontal cortex and dorsal and ventral striatum of
SAPAP-3 knockout (KO) mice, a well-validated model of compulsive-like behaviours
in OCD. As predicted from previous studies, excessive grooming was significantly
increased in SAPAP-3 KO mice. Overall levels of the serotonin metabolite 5
hydroxyindoleacetic acid (HIAA) and the ratio of 5HIAA/serotonin (serotonin
turnover) were increased in all cortical and striatal regions examined. In
addition, dihydroxyphenylacetic acid/dopamine ratio was increased in lateral OFC,
and HVA/dopamine ratio was increased in lateral and medial OFC. No baseline
differences in serotonin or dopamine tissue content were observed. These data
provide evidence of monoaminergic dysregulation in a translational model of OCD
symptoms and are consistent with aberrant cortical and striatal serotonin and
dopamine release/metabolism in SAPAP-3 KO mice. These results are guiding ongoing
experiments using circuit and cell-type specific manipulations of dopamine and
serotonin to determine the contributions of these monoaminergic systems to
compulsive behaviours, and serve here as a touchstone for an expanded discussion
of these techniques for precise circuit dissection.This article is part of the
discussion meeting issue 'Of mice and mental health: facilitating dialogue
between basic and clinical neuroscientists'.
PMID- 29352024
TI - Neuropharmacology of compulsive eating.
AB - Compulsive eating behaviour is a transdiagnostic construct observed in certain
forms of obesity and eating disorders, as well as in the proposed construct of
'food addiction'. Compulsive eating can be conceptualized as comprising three
elements: (i) habitual overeating, (ii) overeating to relieve a negative
emotional state, and (iii) overeating despite adverse consequences.
Neurobiological processes that include maladaptive habit formation, the emergence
of a negative affect, and dysfunctions in inhibitory control are thought to drive
the development and persistence of compulsive eating behaviour. These complex
psychobehavioural processes are under the control of various neuropharmacological
systems. Here, we describe the current evidence implicating these systems in
compulsive eating behaviour, and contextualize them within the three elements. A
better understanding of the neuropharmacological substrates of compulsive eating
behaviour has the potential to significantly advance the pharmacotherapy for
feeding-related pathologies.This article is part of a discussion meeting issue
'Of mice and mental health: facilitating dialogue between basic and clinical
neuroscientists'.
PMID- 29352025
TI - State-of-the-art and future directions for extinction as a translational model
for fear and anxiety.
AB - Through advances in both basic and clinical scientific research, Pavlovian fear
conditioning and extinction have become an exemplary translational model for
understanding and treating anxiety disorders. Discoveries in associative and
neurobiological mechanisms underlying extinction have informed techniques for
optimizing exposure therapy that enhance the formation of inhibitory associations
and their consolidation and retrieval over time and context. Strategies that
enhance formation include maximizing prediction-error correction by violating
expectancies, deepened extinction, occasional reinforced extinction, attentional
control and removal of safety signals/behaviours. Strategies that enhance
consolidation include pharmacological agonists of NMDA (i.e. d-cycloserine) and
mental rehearsal. Strategies that enhance retrieval include multiple contexts,
retrieval cues, and pharmacological blockade of contextual encoding. Stimulus
variability and positive affect are posited to influence the formation and the
retrieval of inhibitory associations. Inhibitory regulation through affect
labelling is considered a complement to extinction. The translational value of
extinction will be increased by more investigation of elements central to
extinction itself, such as extinction generalization, and interactions with other
learning processes, such as instrumental avoidance reward learning, and with
other clinically relevant cognitive-emotional processes, such as self-efficacy,
threat appraisal and emotion regulation, will add translational value. Moreover,
framing fear extinction and related processes within a developmental context will
increase their clinical relevance.This article is part of a discussion meeting
issue 'Of mice and mental health: facilitating dialogue between basic and
clinical neuroscientists'.
PMID- 29352026
TI - Addictive behaviour in experimental animals: prospects for translation.
AB - Since the introduction of intravenous drug self-administration methodology over
50 years ago, experimental investigation of addictive behaviour has delivered an
enormous body of data on the neural, psychological and molecular mechanisms of
drug reward and reinforcement and the neuroadaptations to chronic use. Whether or
not these behavioural and molecular studies are viewed as modelling the
underpinnings of addiction in humans, the discussion presented here highlights
two areas-the impact of drug-associated conditioned stimuli-or drug cues-on drug
seeking and relapse, and compulsive cocaine seeking. The degree to which these
findings translate to the clinical state of addiction is considered in terms of
the underlying neural circuitry and also the ways in which this understanding has
helped develop new treatments for addiction. The psychological and neural
mechanisms underlying drug memory reconsolidation and extinction established in
animal experiments show particular promise in delivering new treatments for
relapse prevention to the clinic.This article is part of a discussion meeting
issue 'Of mice and mental health: facilitating dialogue between basic and
clinical neuroscientists'.
PMID- 29352029
TI - Dissociable temporal effects of bupropion on behavioural measures of emotional
and reward processing in depression.
AB - Antidepressants remediate negative biases in emotional processing early in
treatment, prior to mood improvement. However, the effects on reward processing
potentially relevant to the treatment of anhedonia are less clear. Here we
investigate the early and sustained effects of the dopamine and noradrenaline
reuptake inhibitor bupropion on behavioural measures of emotional and reward
processing in currently depressed individuals. Forty-six currently depressed
patients and 42 healthy controls participated in a repeated measures study,
during which open-label bupropion was administered to only the patient group over
a six week period without a placebo group. All participants completed the
Emotional Test Battery and a probabilistic instrumental learning task at week 0,
week 2 and week 6. Currently depressed patients displayed negative biases in
emotional processing and blunted response bias for high-probability wins compared
to the healthy controls at baseline. Bupropion was found to reduce the negative
biases in emotional processing early in treatment, including a significant
decrease in the percentage misclassification of other face emotions as sad and
the number of negative self-referent words falsely recalled between baseline and
week 2. Conversely, bupropion was found to initially further reduce the response
bias for high-probability wins between baseline and week 2. This effect reversed
with six weeks' bupropion treatment and reward processing was normalized compared
to the healthy controls. Early in treatment, bupropion acts to reduce negative
biases in emotional processing but exacerbates impaired reward processing. The
beneficial actions of bupropion on reward processing then occur later in
treatment. Such dissociation in the temporal effects of bupropion on emotional
and reward processing has implications for the treatment of the different symptom
domains of negative affect and anhedonia in depression.This article is part of a
discussion meeting issue 'Of mice and mental health: facilitating dialogue
between basic and clinical neuroscientists'.
PMID- 29352028
TI - The mysteries of remote memory.
AB - Long-lasting memories form the basis of our identity as individuals and lie
central in shaping future behaviours that guide survival. Surprisingly, however,
our current knowledge of how such memories are stored in the brain and retrieved,
as well as the dynamics of the circuits involved, remains scarce despite seminal
technical and experimental breakthroughs in recent years. Traditionally, it has
been proposed that, over time, information initially learnt in the hippocampus is
stored in distributed cortical networks. This process-the standard theory of
memory consolidation-would stabilize the newly encoded information into a lasting
memory, become independent of the hippocampus, and remain essentially
unmodifiable throughout the lifetime of the individual. In recent years, several
pieces of evidence have started to challenge this view and indicate that long
lasting memories might already ab ovo be encoded, and subsequently stored in
distributed cortical networks, akin to the multiple trace theory of memory
consolidation. In this review, we summarize these recent findings and attempt to
identify the biologically plausible mechanisms based on which a contextual memory
becomes remote by integrating different levels of analysis: from neural circuits
to cell ensembles across synaptic remodelling and epigenetic modifications. From
these studies, remote memory formation and maintenance appear to occur through a
multi-trace, dynamic and integrative cellular process ranging from the synapse to
the nucleus, and represent an exciting field of research primed to change quickly
as new experimental evidence emerges.This article is part of a discussion meeting
issue 'Of mice and mental health: facilitating dialogue between basic and
clinical neuroscientists'.
PMID- 29352027
TI - The thalamus in drug addiction: from rodents to humans.
AB - Impairments in response inhibition and salience attribution (iRISA) have been
proposed to underlie the clinical symptoms of drug addiction as mediated by
cortico-striatal-thalamo-cortical networks. The bulk of evidence supporting the
iRISA model comes from neuroimaging research that has focused on cortical and
striatal influences with less emphasis on the role of the thalamus. Here, we
highlight the importance of the thalamus in drug addiction, focusing on animal
literature findings on thalamic nuclei in the context of drug-seeking, structural
and functional changes of the thalamus as measured by imaging studies in human
drug addiction, particularly during drug cue and non-drug reward processing, and
response inhibition tasks. Findings from the animal literature suggest that the
paraventricular nucleus of the thalamus, the lateral habenula and the mediodorsal
nucleus may be involved in the reinstatement, extinction and expression of drug
seeking behaviours. In support of the iRISA model, the human addiction imaging
literature demonstrates enhanced thalamus activation when reacting to drug cues
and reduced thalamus activation during response inhibition. This pattern of
response was further associated with the severity of, and relapse in, drug
addiction. Future animal studies could widen their field of focus by
investigating the specific role(s) of different thalamic nuclei in different
phases of the addiction cycle. Similarly, future human imaging studies should aim
to specifically delineate the structure and function of different thalamic
nuclei, for example, through the application of advanced imaging protocols at
higher magnetic fields (7 Tesla).This article is part of a discussion meeting
issue 'Of mice and mental health: facilitating dialogue between basic and
clinical neuroscientists'.
PMID- 29352030
TI - The daunting polygenicity of mental illness: making a new map.
AB - An epochal opportunity to elucidate the pathogenic mechanisms of psychiatric
disorders has emerged from advances in genomic technology, new computational
tools and the growth of international consortia committed to data sharing. The
resulting large-scale, unbiased genetic studies have begun to yield new
biological insights and with them the hope that a half century of stasis in
psychiatric therapeutics will come to an end. Yet a sobering picture is coming
into view; it reveals daunting genetic and phenotypic complexity portending
enormous challenges for neurobiology. Successful exploitation of results from
genetics will require eschewal of long-successful reductionist approaches to
investigation of gene function, a commitment to supplanting much research now
conducted in model organisms with human biology, and development of new
experimental systems and computational models to analyse polygenic causal
influences. In short, psychiatric neuroscience must develop a new scientific map
to guide investigation through a polygenic terra incognitaThis article is part of
a discussion meeting issue 'Of mice and mental health: facilitating dialogue
between basic and clinical neuroscientists'.
PMID- 29352031
TI - Can we use mice to study schizophrenia?
AB - The validity of rodent models for the study of psychiatric disorders is
controversial. Despite great efforts from academic institutions and
pharmaceutical companies, as of today, no major therapeutic intervention has been
developed for the treatment of psychiatric disorders based on mechanistic
insights from rodent models. Here, we argue that despite these historical
shortcomings, rodent studies are nevertheless instrumental for identifying
neuronal circuit mechanisms underlying behaviours that are affected in
psychiatric disorders. Focusing on schizophrenia, we will give four examples of
rodent models that were generated based on genetic and environmental risk factors
or pathophysiological evidence as entry points. We will then discuss how circuit
analysis in these specific examples can be used for testing hypotheses about
neuronal mechanisms underlying symptoms of schizophrenia, which will then guide
the development of new therapies.This article is part of a discussion meeting
issue 'Of mice and mental health: facilitating dialogue between basic and
clinical neuroscientists'.
PMID- 29352032
TI - The surprising subtleties of changing fear memory: a challenge for translational
science.
AB - Current pharmacological and psychological treatments for disorders of emotional
memory only dampen the affective response while leaving the original fear memory
intact. Under adverse circumstances, these original memories regain prominence,
causing relapses in many patients. The (re)discovery in neuroscience that after
reactivation consolidated fear memories may return to a transient labile state,
requiring a process of restabilization in order to persist, offers a window of
opportunity for modifying fear memories with amnestic agents. This process, known
as memory reconsolidation, opens avenues for developing a revolutionary treatment
for emotional memory disorders. The reconsolidation intervention challenges the
dominant pharmacological and psychological models of treatment: it is only
effective when the amnestic drug is given in conjunction with memory reactivation
during a specific time window, and a modification of cognitive processes is a
boundary condition for changing fear. Notwithstanding the dramatic effects of
targeting memory reconsolidation in the laboratory (i.e. proof of principle), the
greatest hurdle to overcome is that the success of the manipulation depends on
subtle differences in the reactivation procedure. These experimental parameters
cannot be easily controlled in clinical practice. In harnessing the clinical
potential of memory reconsolidation, a heuristic for bi-directionally translating
behavioural neuroscience and clinical science is proposed.This article is part of
a discussion meeting issue 'Of mice and mental health: facilitating dialogue
between basic and clinical neuroscientists'.
PMID- 29352033
TI - Data-driven criteria to assess fear remission and phenotypic variability of
extinction in rats.
AB - Fear conditioning is widely employed to examine the mechanisms that underlie
dysregulations of the fear system. Various manipulations are often used following
fear acquisition to attenuate fear memories. In rodent studies, freezing is often
the main output measure to quantify 'fear'. Here, we developed data-driven
criteria for defining a standard benchmark that indicates remission from
conditioned fear and for identifying subgroups with differential treatment
responses. These analyses will enable a better understanding of individual
differences in treatment responding.This article is part of a discussion meeting
issue 'Of mice and mental health: facilitating dialogue between basic and
clinical neuroscientists'.
PMID- 29352034
TI - Translational new approaches for investigating mood disorders in rodents and what
they may reveal about the underlying neurobiology of major depressive disorder.
AB - Mood disorders represent one of society's most costly and challenging health
burdens. The drug treatments used today were initially discovered serendipitously
in the 1950s. Animal models were then developed based on the ability of these
drugs to alter specific behaviours. These models have played a major role in the
development of the second generation of antidepressants. However, their use has
been heavily criticized, particularly in relation to whether they recapitulate
similar underlying biology to the psychiatric disorder they are proposed to
represent. This article considers our work in the field of affective bias and the
development of a translational research programme to try to develop and validate
better animal models. We discuss whether the new data that have arisen from these
studies support an alternative perspective on the underlying neurobiological
processes that lead to major depressive disorder (MDD). Specifically, this
article will consider whether a neuropsychological mechanism involving affective
biases plays a causal role in the development of MDD and its associated emotional
and behavioural symptoms. These animal studies also raise the possibility that
neuropsychological mechanisms involving affective biases are a precursor to,
rather than a consequence of, the neurotrophic changes linked to MDD.This article
is part of a discussion meeting issue 'Of mice and mental health: facilitating
dialogue between basic and clinical neuroscientists'.
PMID- 29352037
TI - Preface.
PMID- 29352035
TI - Using mouse transgenic and human stem cell technologies to model genetic
mutations associated with schizophrenia and autism.
AB - Solid progress has occurred over the last decade in our understanding of the
molecular genetic basis of neurodevelopmental disorders, and of schizophrenia and
autism in particular. Although the genetic architecture of both disorders is far
more complex than previously imagined, many key loci have at last been
identified. This has allowed in vivo and in vitro technologies to be refined to
model specific high-penetrant genetic loci involved in both disorders. Using the
DISC1/NDE1 and CYFIP1/EIF4E loci as exemplars, we explore the opportunities and
challenges of using animal models and human-induced pluripotent stem cell
technologies to further understand/treat and potentially reverse the worst
consequences of these debilitating disorders.This article is part of a discussion
meeting issue 'Of mice and mental health: facilitating dialogue between basic and
clinical neuroscientists'.
PMID- 29352036
TI - Multiple memory systems, multiple time points: how science can inform treatment
to control the expression of unwanted emotional memories.
AB - Memories that have strong emotions associated with them are particularly
resilient to forgetting. This is not necessarily problematic, however some
aspects of memory can be. In particular, the involuntary expression of those
memories, e.g. intrusive memories after trauma, are core to certain psychological
disorders. Since the beginning of this century, research using animal models
shows that it is possible to change the underlying memory, for example by
interfering with its consolidation or reconsolidation. While the idea of
targeting maladaptive memories is promising for the treatment of stress and
anxiety disorders, a direct application of the procedures used in non-human
animals to humans in clinical settings is not straightforward. In translational
research, more attention needs to be paid to specifying what aspect of memory (i)
can be modified and (ii) should be modified. This requires a clear
conceptualization of what aspect of memory is being targeted, and how different
memory expressions may map onto clinical symptoms. Furthermore, memory processes
are dynamic, so procedural details concerning timing are crucial when
implementing a treatment and when assessing its effectiveness. To target
emotional memory in its full complexity, including its malleability, science
cannot rely on a single method, species or paradigm. Rather, a constructive
dialogue is needed between multiple levels of research, all the way 'from mice to
mental health'.This article is part of a discussion meeting issue 'Of mice and
mental health: facilitating dialogue between basic and clinical neuroscientists'.
PMID- 29352038
TI - Microenvironment-induced CD44v6 promotes early disease progression in chronic
lymphocytic leukemia.
AB - Chronic lymphocytic leukemia (CLL) outgrowth depends on signals from the
microenvironment. We have previously found that in vitro reconstitution of this
microenvironment induces specific variant isoforms of the adhesion molecule CD44,
which confer human CLL with high affinity to hyaluronan (HA). Here, we determined
the in vivo contribution of standard CD44 and its variants to leukemic B-cell
homing and proliferation in Tcl1 transgenic mice with a B-cell-specific CD44
deficiency. In these mice, leukemia onset was delayed and leukemic infiltration
of spleen, liver, and lungs, but not of bone marrow, was decreased. Competitive
transplantation revealed that CLL homing to spleen and bone marrow required
functional CD44. Notably, enrichment of CD44v6 variants particularly in spleen
enhanced CLL engraftment and proliferation, along with increased HA binding. We
recapitulated CD44v6 induction in the human disease and revealed the involvement
of MAPK and NF-kappaB signaling upon CD40 ligand and B-cell receptor stimulation
by in vitro inhibition experiments and chromatin immunoprecipitation assays. The
investigation of downstream signaling after CD44v6-HA engagement uncovered the
activation of extracellular signal-regulated kinase and p65. Consequently, anti
CD44v6 treatment reduced leukemic cell proliferation in vitro in human and mouse,
confirming the general nature of the findings. In summary, we propose a CD44-NF
kappaB-CD44v6 circuit in CLL, allowing tumor cells to gain HA binding capacity
and supporting their proliferation.
PMID- 29352042
TI - The Enduring Importance and Challenge of Commutability.
PMID- 29352039
TI - Disrupting CD147-RAP2 interaction abrogates erythrocyte invasion by Plasmodium
falciparum.
AB - Effective vaccines against malaria caused by Plasmodium falciparum are still
lacking, and the molecular mechanism of the host-parasite interaction is not
fully understood. Here we demonstrate that the interaction of RAP2, a parasite
secreted rhoptry protein that functions in the parasitophorous vacuole formation
stage of the invasion, and CD147 on the host erythrocyte is essential for
erythrocyte invasion by P falciparum and is independent from all previously
identified interactions involved. Importantly, the blockade of the CD147-RAP2
interaction by HP6H8, a humanized CD147 antibody, completely abolished the
parasite invasion with both cure and preventative functions in a humanized mouse
model. Together with its long half-life on human red blood cells and its safety
profile in cynomolgus monkeys, HP6H8 is the first antibody that offers an
advantageous approach by targeting a more conserved late-stage parasite ligand
for preventing as well as treating severe malaria.
PMID- 29352043
TI - Prognostic Implications of Multiplex Detection of KRAS Mutations in Cell-Free DNA
from Patients with Pancreatic Ductal Adenocarcinoma.
AB - BACKGROUND: Cell-free DNA (cfDNA) is known to provide potential biomarkers for
predicting clinical outcome, but its value in pancreatic ductal adenocarcinoma
(PDAC) has not been fully evaluated. The aim of this study was to evaluate the
clinical applicability of quantitative analysis of multiplex KRAS mutations in
cell-free DNA from patients with PDAC. METHODS: A total of 106 patients with PDAC
were enrolled in this prospective study. The concentration and fraction of KRAS
mutations were determined through multiplex detection of KRAS mutations in plasma
samples by use of a droplet digital PCR kit (Bio-Rad). RESULTS: KRAS mutations
were detected in 96.1% of tissue samples. Eighty patients (80.5%) harbored KRAS
mutations in cfDNA, with a median KRAS mutation concentration of 0.165 copies/MUL
and a median fractional abundance of 0.415%. Multivariable analyses demonstrated
that the KRAS mutation concentration [hazard ratio (HR), 2.08; 95% CI, 1.20-3.63]
and KRAS fraction (HR, 1.73; 95% CI, 1.02-2.95) were significant factors for
progression-free survival. KRAS mutation concentration (HR, 1.97; 95% CI, 1.05
3.67) also had prognostic implications for overall survival. Subgroup analyses
showed that KRAS mutation concentration and fractional abundance significantly
affected progression-free survival in resectable PDAC (P = 0.016). Moreover, when
combined with the cancer biomarker CA19-9, the KRAS mutation concentration in
cfDNA showed additive benefits for the prediction of overall survival.
CONCLUSIONS: This study demonstrates that multiplex detection of KRAS mutations
in plasma cfDNA is clinically relevant, providing a potential candidate biomarker
for prognosis of PDAC.
PMID- 29352044
TI - Resolution of Spurious Immunonephelometric IgG Subclass Measurement Discrepancies
by LC-MS/MS.
AB - BACKGROUND: The Binding Site immunonephelometric (IN) IgG subclass reagents
(IgG1, IgG2, IgG3, IgG, BSIN) are used for assessment of both immunodeficiency
and IgG4-related disease (IgG4-RD). In our laboratory, suspected analytic errors
were noted in patients with increases in IgG4: The sum of the individual IgG
subclasses was substantially greater than the measured total IgG concentrations
(unlike samples with normal IgG4), and the IgG4 concentration was always less
than the IgG2 concentration. METHODS: We developed a tryptic digest LC-MS/MS
method to quantify IgG1, IgG2, IgG3, and IgG4 in serum. Samples with IgG4
concentrations ranging from <0.03 g/L to 32 g/L were reanalyzed by LC-MS/MS, and
a subset was also reanalyzed by Siemens IN (SIN) subclass measurements. RESULTS:
Multivariate linear regression identified 3 subclass tests with multiple
predictors of the measured subclass concentration. For these 3 subclasses, the
predominant predictors were (in terms of LC-MS/MS IgG subclass measurement
coefficients) BSIN IgG1 = 0.89.IgG1 + 0.4.IgG4; BSIN IgG2 = 0.94.IgG4 +
0.89.IgG2; and SIN IgG2 = 0.72.IgG2 + 0.24.IgG4. CONCLUSIONS: There is apparent
IgG4 cross-reactivity with select IN subclass measurements affecting tests from
both vendors tested. These findings can be explained either by direct cross
reactivity of the IN reagents with the IgG4 subclass or unique physicochemical
properties of IgG4 that permit nonspecific binding of IgG4 heavy chain to other
IgG immunoglobulin heavy chains. Irrespective of the mechanism, the observed
intermethod discrepancies support the use of LC-MS/MS as the preferred method for
measurement of IgG subclasses when testing patients with suspected IgG4-RD.
PMID- 29352041
TI - Stress Signaling JNK2 Crosstalk With CaMKII Underlies Enhanced Atrial
Arrhythmogenesis.
AB - RATIONALE: Atrial fibrillation (AF) is the most common arrhythmia, and advanced
age is an inevitable and predominant AF risk factor. However, the mechanisms that
couple aging and AF propensity remain unclear, making targeted therapeutic
interventions unattainable. OBJECTIVE: To explore the functional role of an
important stress response JNK (c-Jun N-terminal kinase) in sarcoplasmic reticulum
Ca2+ handling and consequently Ca2+-mediated atrial arrhythmias. METHODS AND
RESULTS: We used a series of cutting-edge electrophysiological and molecular
techniques, exploited the power of transgenic mouse models to detail the
molecular mechanism, and verified its clinical applicability in parallel studies
on donor human hearts. We discovered that significantly increased activity of the
stress response kinase JNK2 (JNK isoform 2) in the aged atria is involved in
arrhythmic remodeling. The JNK-driven atrial proarrhythmic mechanism is supported
by a pathway linking JNK, CaMKII (Ca2+/calmodulin-dependent kinase II), and
sarcoplasmic reticulum Ca2+ release RyR2 (ryanodine receptor) channels. JNK2
activates CaMKII, a critical proarrhythmic molecule in cardiac muscle. In turn,
activated CaMKII upregulates diastolic sarcoplasmic reticulum Ca2+ leak mediated
by RyR2 channels. This leads to aberrant intracellular Ca2+ waves and enhanced AF
propensity. In contrast, this mechanism is absent in young atria. In JNK
challenged animal models, this is eliminated by JNK2 ablation or CaMKII
inhibition. CONCLUSIONS: We have identified JNK2-driven CaMKII activation as a
novel mode of kinase crosstalk and a causal factor in atrial arrhythmic
remodeling, making JNK2 a compelling new therapeutic target for AF prevention and
treatment.
PMID- 29352045
TI - Eliminating Glutamatergic Input onto Horizontal Cells Changes the Dynamic Range
and Receptive Field Organization of Mouse Retinal Ganglion Cells.
AB - In the mammalian retina, horizontal cells receive glutamatergic inputs from many
rod and cone photoreceptors and return feedback signals to them, thereby changing
photoreceptor glutamate release in a light-dependent manner. Horizontal cells
also provide feedforward signals to bipolar cells. It is unclear, however, how
horizontal cell signals also affect the temporal, spatial, and contrast tuning in
retinal output neurons, the ganglion cells. To study this, we generated a
genetically modified mouse line in which we eliminated the light dependency of
feedback by deleting glutamate receptors from mouse horizontal cells. This
genetic modification allowed us to investigate the impact of horizontal cells on
ganglion cell signaling independent of the actual mode of feedback in the outer
retina and without pharmacological manipulation of signal transmission. In
control and genetically modified mice (both sexes), we recorded the light
responses of transient OFF-alpha retinal ganglion cells in the intact retina.
Excitatory postsynaptic currents (EPSCs) were reduced and the cells were tuned to
lower temporal frequencies and higher contrasts, presumably because photoreceptor
output was attenuated. Moreover, receptive fields of recorded cells showed a
significantly altered surround structure. Our data thus suggest that horizontal
cells are responsible for adjusting the dynamic range of retinal ganglion cells
and, together with amacrine cells, contribute to the center/surround organization
of ganglion cell receptive fields in the mouse.SIGNIFICANCE STATEMENT Horizontal
cells represent a major neuronal class in the mammalian retina and provide
lateral feedback and feedforward signals to photoreceptors and bipolar cells,
respectively. The mode of signal transmission remains controversial and,
moreover, the contribution of horizontal cells to visual processing is still
elusive. To address the question of how horizontal cells affect retinal output
signals, we recorded the light responses of transient OFF-alpha retinal ganglion
cells in a newly generated mouse line. In this mouse line, horizontal cell
signals were no longer modulated by light. With light response recordings, we
show that horizontal cells increase the dynamic range of retinal ganglion cells
for contrast and temporal changes and contribute to the center/surround
organization of their receptive fields.
PMID- 29352047
TI - Patients with Desmoplastic Melanoma May Respond to PD-1 Blockade.
AB - PD-1 blockade achieved responses in 70% of patients with desmoplastic melanoma in
a retrospective analysis.
PMID- 29352049
TI - REV-ERB Agonists Block Autophagy in Cancer Cells.
AB - Disruption of the circadian clock components reduces cancer cell viability in
vitro and in vivo.
PMID- 29352048
TI - Fusion-Negative Rhabdomyosarcoma Can Arise from Endothelial Cells.
AB - Aberrant myogenic activation in endothelial cells can drive fusion-negative
rhabdomyosarcoma (FN-RMS).
PMID- 29352046
TI - Local Corticotropin-Releasing Factor Signaling in the Hypothalamic
Paraventricular Nucleus.
AB - Corticotropin-releasing factor (CRF) neurons in the hypothalamic paraventricular
nucleus (PVN) initiate hypothalamic-pituitary-adrenal axis activity through the
release of CRF into the portal system as part of a coordinated neuroendocrine,
autonomic, and behavioral response to stress. The recent discovery of neurons
expressing CRF receptor type 1 (CRFR1), the primary receptor for CRF, adjacent to
CRF neurons within the PVN, suggests that CRF also signals within the
hypothalamus to coordinate aspects of the stress response. Here, we characterize
the electrophysiological and molecular properties of PVN-CRFR1 neurons and
interrogate their monosynaptic connectivity using rabies virus-based tracing and
optogenetic circuit mapping in male and female mice. We provide evidence that CRF
neurons in the PVN form synapses on neighboring CRFR1 neurons and activate them
by releasing CRF. CRFR1 neurons receive the majority of monosynaptic input from
within the hypothalamus, mainly from the PVN itself. Locally, CRFR1 neurons make
GABAergic synapses on parvocellular and magnocellular cells within the PVN. CRFR1
neurons resident in the PVN also make long-range glutamatergic synapses in
autonomic nuclei such as the nucleus of the solitary tract. Selective ablation of
PVN-CRFR1 neurons in male mice elevates corticosterone release during a stress
response and slows the decrease in circulating corticosterone levels after the
cessation of stress. Our experiments provide evidence for a novel intra-PVN
neural circuit that is activated by local CRF release and coordinates autonomic
and endocrine function during stress responses.SIGNIFICANCE STATEMENT The
hypothalamic paraventricular nucleus (PVN) coordinates concomitant changes in
autonomic and neuroendocrine function to organize the response to stress. This
manuscript maps intra-PVN circuitry that signals via CRF, delineates CRF receptor
type 1 neuron synaptic targets both within the PVN and at distal targets, and
establishes the role of this microcircuit in regulating hypothalamic-pituitary
adrenal axis activity.
PMID- 29352050
TI - LXR Agonism Depletes MDSCs to Promote Antitumor Immunity.
AB - LXR activation reduces immunosuppressive MDSCs to activate antitumor cytotoxic T
cells.
PMID- 29352051
TI - Long-Term Cognitive Functioning in Testicular Germ-Cell Tumor Survivors.
AB - BACKGROUND: Treatment for cancer may lead to development of cognitive
difficulties in cancer survivors. This study aimed to evaluate long-term
cognitive functioning (CogF) in germ-cell tumor (GCT) survivors. SUBJECTS,
MATERIALS, AND METHODS: GCT survivors (n = 155) from the National Cancer
Institute of Slovakia completed the Functional Assessment of Cancer Therapy
Cognitive Function at a median of 10 years of follow-up (range: 5-32). The study
group consisted of survivors receiving a cisplatin-based chemotherapy,
radiotherapy to the retroperitoneal lymph nodes, or both, whereas the control
group included survivors treated with orchiectomy only. RESULTS: Of the total
survivors, 138 received treatment beyond orchiectomy and 17 controls had
orchiectomy alone. Any treatment resulted in significantly greater cognitive
difficulties on the overall cognitive function score. Treatment with radiotherapy
was associated with cognitive declines in overall cognitive functioning and in
subscales for perceived cognitive impairment and cognitive impairment perceived
by others (both p < .05). The burden of chemotherapy plus radiotherapy or
radiotherapy versus controls resulted in the impairment in all cognitive
functioning domains (all p < .05). Overall long-term cognitive impairment was
independent of age in the multivariable analysis. CONCLUSION: This prospective
study shows that GCT survivors suffer from a long-term CogF impairment. These
results may help guide clinicians' decisions in treatment and follow-up of GCTs.
IMPLICATIONS FOR PRACTICE: In this study, long-term survivors of germ-cell tumors
have reported cognitive impairment after curative treatment with radiotherapy and
chemotherapy compared with controls who had treatment with orchiectomy only.
These data provide an argument against the use of adjuvant radiotherapy for stage
I seminoma. Unnecessary overtreatment with chemotherapy and additional
radiotherapy after chemotherapy should be avoided.
PMID- 29352053
TI - Two Doctors, One Patient, and a Common Goal.
PMID- 29352054
TI - Increased blood-brain barrier permeability in contralateral hemisphere predicts
worse outcome in acute ischemic stroke after reperfusion therapy.
AB - AIMS: We sought to investigate the risk factors of blood-brain barrier (BBB)
disruption, and its potential impact on 90-day clinical outcome in acute ischemic
stroke (AIS) patients after reperfusion therapy. METHODS: Consecutive acute
anterior circulation AIS patients imaged with computed tomographic perfusion
(CTP) before reperfusion therapy were included. Tmax >6 s was used for the
volumetric measurement of the hypoperfusion area. BBB permeability (BBBP) was
calculated as the average relative permeability-surface area product (rPS) within
the hypoperfusion region (rPShypo-i) and its contralateral mirror region (rPShypo
c) on CTP-derived PS color maps. Modified Rankin Scale (mRS) score was obtained
at 90-day post-stroke. RESULTS: A total of 187 patients were included, among whom
the median age was 73 (61-80) years and 76 (40.6%) were women. Median baseline
NIHSS score was 12 (7- 16). Ninety-eight (52.4%) patients had mRS score >2.
Increased rPShypo-i and rPShypo-c were both independently associated with males
and large infarct volume. The increased rPShypo-i was also independently
associated with a history of atrial fibrillation and high NIHSS score.
Multivariable analysis showed higher rPShypo-c was independently associated with
higher mRS (OR: 1.064, 95% CI 1.011 to 1.121; P=0.018). CONCLUSION: BBBP in both
the hypoperfusion region and its contralateral mirror region are associated with
stroke severity, but only increased BBBP in the contralateral mirror
hypoperfusion region relates to worse outcome after reperfusion therapy.
PMID- 29352052
TI - Estrogen Receptor-Positive Breast Cancer: Exploiting Signaling Pathways
Implicated in Endocrine Resistance.
AB - : Advancements in molecular profiling and endocrine therapy (ET) have led to more
focused clinical attention on precision medicine. These advances have expanded
our understanding of breast cancer (BC) pathogenesis and hold promising
implications for the future of therapy. The estrogen receptor-alpha is a
predominant endocrine regulatory protein in the breast and in estrogen-induced
BC. Successful targeting of proteins and genes within estrogen receptor (ER)
nuclear and nonnuclear pathways remains a clinical goal. Several classes of
antiestrogenic agents are available for patients with early, advanced, or
metastatic BC, including selective ER modulators, aromatase inhibitors, and a
selective ER degrader. Clinical development is focused upon characterizing the
efficacy and tolerability of inhibitors that target the phosphatidylinositol 3
kinase (PI3K)/akt murine thymoma viral oncogene (AKT)/mammalian target of
rapamycin inhibitor (mTOR) signaling pathway or the cyclin-dependent kinase 4/6
(CDK4/6) cell cycle pathway in women with hormone receptor-positive, human
epidermal growth receptor 2-negative BC who have demonstrated disease recurrence
or progression. De novo and acquired resistance remain a major challenge for
women with BC receiving antiestrogenic therapy. Therefore, sequential combination
of targeted ET is preferred in these patients, and the ever-increasing
understanding of resistance mechanisms may better inform the selection of future
therapy. This review describes the intricate roles of the PI3K/AKT/mTOR and
CDK4/6 pathways in intracellular signaling and the use of endocrine and endocrine
based combination therapy in BC. IMPLICATIONS FOR PRACTICE: The foundational
strategy for treating hormone receptor-positive, human epidermal growth receptor
2-negative, advanced breast cancer includes the use of endocrine therapy either
alone or in combination with targeted agents. The use of combination therapy aims
to downregulate cell-signaling pathways with the intent of minimizing cellular
"crosstalk," which can otherwise result in continued tumorigenesis or progression
through redundant pathways. This review provides the clinician with the molecular
rationale and clinical evidence for these treatments and refers to evidence-based
guidelines to inform the decision-making process.
PMID- 29352055
TI - Concomitant conus medullaris arteriovenous shunts and sacral dural arteriovenous
fistulas: pathophysiological links related to the venous drainage of the lesions
in a series of five cases.
AB - BACKGROUND: Spinal cord arteriovenous shunts (scAVSs) are a group of lesions
located in the spinal cord itself or in the surrounding structures. The most
common scAVSs are spinal dural arteriovenous fistulas (sDAVFs), which are
acquired lesions. The pathogenesis of sDAVFs involves thrombosis and venous
hypertension as trigger factors. Intradural scAVSs such as spinal cord
arteriovenous nidus type malformations (AVMs) and pial arteriovenous fistulas are
less common than sDAVFs and are considered to have a so-called 'congenital'
origin. The association between different concomitant scAVSs is very rare and the
association of sDAVFs with intradural scAVSs has been described in only a few
case reports. METHODS: We describe a case series of five patients presenting with
a conus medullaris AVS associated with a lower lumbar or sacral DAVF. RESULTS:
Three of our patients were <30 years old at presentation. In four of these five
cases the intradural scAVS drained caudally, engorging the epidural plexus in the
same location as the sDAVF. In only one case, who presented with thrombosis of
the drainage of the main compartment of a conus medullaris pial AVF, was the
location of the DAVF opposite to the location of the residual drainage.
CONCLUSION: We discuss the pathophysiological link between scAVS and sDAVF on the
basis of the rarity of the DAVF, the uncommon association between scAVS and
sDAVF, the presence of sDAVF in young patients, and the venous hypertension
created by the venous drainage towards the sacral area responsible for
angiogenesis creating the dural shunt.
PMID- 29352056
TI - Relationship between adverse events and antiplatelet drug resistance in
neurovascular intervention: a meta-analysis.
AB - BACKGROUND: This meta-analysis aimed to evaluate the association between
antiplatelet resistance and the risk of procedure-related complications in
neurovascular interventions. METHODS: We identified relevant articles by
searching electronic databases and reviewed the reference lists of selected
papers. The risk of adverse events between antiplatelet responders and
hyporesponders during neurointervention was compared in eligible clinical
studies. Risk ratios (RRs) and 95% CIs were pooled using a random-effects meta
analysis. RESULTS: Of 2134 potentially relevant studies, our search identified 15
studies enrolling a total of 2365 patients. Pooled RRs showed thromboembolic
events (TEE) were more frequent in hyporesponders (RR 2.634, 95% CI 1.465 to
4.734). However, hemorrhagic complications did not differ between the two groups
(RR 1.236, 95% CI 0.642 to 2.380). In subgroup analysis, hyporesponders showed a
higher prevalence of TEE with standard antiplatelet medication, but there was no
obvious difference in TEE between the two arms when using a modified antiplatelet
medication (RR 3.645, 95% CI 1.537 to 8.646; and RR 1.877, 95% CI 0.749 to
4.751). Studies using stent placement for aneurysms showed a higher TEE rate in
hyporesponders (RR 3.221, 95% CI 1.899 to 5.464). CONCLUSION: Antiplatelet
resistance was significantly associated with TEE in neurointervention, and this
adverse event was associated with individually-intensified antiplatelet
medication as well as the type of neurointerventional procedure. Our findings
support the use of antiplatelet resistance assays and tailored antiplatelet
medications in neurovascular stent placement as a management strategy to reduce
thromboembolic risk.
PMID- 29352058
TI - To be or not 2b? To see or not 2c? Alas, the clock is ticking on TICI.
PMID- 29352057
TI - Feasibility, complications, morbidity, and mortality results at 6 months for
aneurysm treatment with the Flow Re-Direction Endoluminal Device: report of SAFE
study.
AB - BACKGROUND AND PURPOSE: Flow diverters are increasingly used for the treatment of
intracranial aneurysms. Evaluation of the first devices available for clinical
use showed high efficacy of this treatment although safety results were worse
compared with coiling or balloon-assisted coiling. The Safety and Efficacy
Analysis of FRED Embolic Device in Aneurysm Treatment (SAFE) trial is a single
arm, multicenter, prospective study conducted to precisely analyze the safety and
efficacy of the FRED and FRED Jr devices. METHODS: Unruptured and recanalized
aneurysms located in the anterior circulation treated with FRED and FRED Jr were
prospectively included. Adverse events were independently evaluated by a Clinical
Event Committee with a vascular neurosurgeon and an interventional
neuroradiologist. Primary safety outcome measures were morbidity and mortality
rates at 6 months after treatment. RESULTS: A total of 103 patients/aneurysms
were included in 13 interventional neuroradiology (INR) centers. Aneurysm
locations were supraclinoid internal carotid artery (ICA) in 71 (68.9%),
cavernous ICA in 15 (14.6%), anterior cerebral artery or anterior communicating
artery in nine (8.7%), and middle cerebral artery in eight (7.8%). Aneurysms were
small (<10 mm) in 71 patients (68.9%). Treatment was successfully performed in
98/103 patients (95.1%). Thromboembolic (TE) complications occurred in 5/103
patients (4.9%), intraoperative rupture in 2/103 patients (1.9%), delayed
aneurysm rupture in 1/103 patient (1.0%), and delayed hematoma occurred in 1/103
patient (1.0%). Six-months' mortality and morbidity rates were 1/102 (1.0%) and
2/102 (2.0%), respectively. CONCLUSIONS: Aneurysm treatment with the FRED device
is safe with low mortality (1.0%) and morbidity (2.0%). CLINICAL TRIAL
REGISTRATION: NCT02921698.
PMID- 29352059
TI - Increased blood pressure variability after endovascular thrombectomy for acute
stroke is associated with worse clinical outcome.
AB - BACKGROUND AND PURPOSE: Blood pressure variability has been found to contribute
to worse outcomes after intravenous tissue plasminogen activator, but the
association has not been established after intra-arterial therapies. METHODS: We
retrospectively reviewed patients with an ischemic stroke treated with intra
arterial therapies from 2005 to 2015. Blood pressure variability was measured as
standard deviation (SD), coefficient of variation (CV), and successive variation
(SV). Ordinal logistic regression models were fitted to the outcome of the
modified Rankin Scale (mRS) with univariable predictors of systolic blood
pressure variability. Multivariable ordinal logistic regression models were
fitted to the outcome of mRS with covariates that showed independent predictive
ability (P<0.1). RESULTS: There were 182 patients of mean age 63.2 years and
51.7% were female. The median admission National Institutes of Health Stroke
Scalescore was 16 and 47.3% were treated with intravenous tissue plasminogen
activator. In a univariable ordinal logistic regression analysis, systolic SD,
CV, and SV were all significantly associated with a 1-point increase in the
follow-up mRS (OR 2.30-4.38, all P<0.002). After adjusting for potential
confounders, systolic SV was the best predictor of a 1-point increase in mRS at
follow-up (OR 2.63-3.23, all P<0.007). CONCLUSIONS: Increased blood pressure
variability as measured by the SD, CV, and SV consistently predict worse
neurologic outcomes as measured by follow-up mRS in patients with ischemic stroke
treated with intra-arterial therapies. The SV is the strongest and most
consistent predictor of worse outcomes at all time intervals.
PMID- 29352060
TI - The 100 most cited articles in the endovascular management of intracranial
aneurysms.
AB - INTRODUCTION: Endovascular interventions for intracranial aneurysms have evolved
substantially over the past several decades. A citation rank list is used to
measure the scientific and/or clinical impact of an article. Our objective was to
identify and analyze the characteristics of the 100 most cited articles in the
field of endovascular therapy for intracranial aneurysms. METHODS: We performed a
retrospective bibliometric analysis between July and August 2017. Articles were
searched on the Science Citation Index Expanded database using Web of Science in
order to identify the most cited articles in the endovascular therapy of
intracranial aneurysms since 1945. Using selected key terms ('intracranial
aneurysm', 'aneurysm', 'aneurysmal subarachnoid', 'endovascular', 'coiling',
'stent-assisted', 'balloon-assisted', 'flow-diversion') yielded a total of 16 314
articles. The top 100 articles were identified and analyzed to extract relevant
information, including citation count, authorship, article type, subject matter,
institution, country of origin, and year of publication. RESULTS: Citations for
the top 100 articles ranged from 133 to 1832. All articles were cited an average
of 27 times per year. There were 45 prospective studies, including 7 level-II
randomized controlled trials. Most articles were published in the 2000s (n=53),
and the majority constituted level III or level IV evidence. Half of the top 100
articles arose from the USA. CONCLUSION: This study provides a comprehensive
overview of the most cited articles in the endovascular management of
intracranial aneurysms. It recognizes the contributions made by key authors and
institutions, providing an important framework to an enhanced understanding of
the evidence behind the endovascular treatment of aneurysms.
PMID- 29352061
TI - What to do about fibrin rich 'tough clots'? Comparing the Solitaire stent
retriever with a novel geometric clot extractor in an in vitro stroke model.
AB - BACKGROUND: Despite advances in revascularization tools for large vessel
occlusion presenting as acute ischemic stroke, a significant subset of clots
remain recalcitrant to current strategies. We assessed the effectiveness of a
novel thrombectomy device that was specifically designed to retrieve resistant
fibrin rich clots, the geometric clot extractor (GCE; Neuravi, Galway, Ireland),
in an in vitro cerebrovascular occlusion stroke model. METHODS: After introducing
fibrin rich clot analogues into the middle cerebral artery of the model, we
compared the rates of recanalization between GCE and Solitaire flow restoration
stent retriever (SR; Medtronic, Minneapolis, Minnesota, USA; control group)
cases. A maximum of three passes of each device was allowed. If the SR failed to
recanalize the vessel after three passes, one pass of the GCE was allowed (rescue
cases). RESULTS: In a total of 26 thrombectomy cases (13 GCE, 13 SR), successful
recanalization (Thrombolysis in Cerebral Infarction score of 2b or 3) was
achieved 100% of the time in the GCE cases with an average of 2.13 passes per
case. This rate was significantly higher compared with the Solitaire
recanalization rate (7.7%, P<0.0001) with an average of three passes per case.
After SR failure (in 92% of cases), successful one pass GCE rescue recanalization
was achieved 66% of the time (P<0.005). CONCLUSION: Application of the GCE in
this experimental stroke model to retrieve typically recalcitrant fibrin rich
clots resulted in higher successful recanalization rates than the SR.
PMID- 29352062
TI - Critical role of angiographic acquisition modality and reconstruction on
morphometric and haemodynamic analysis of intracranial aneurysms.
AB - BACKGROUND: Subtracted 3-D rotational angiography (3DRA) and cone-beam computed
tomography angiography (CBCT-A) are often used in assessing cerebral aneurysm
shape and haemodynamic profile. We sought to evaluate the effect of imaging
modality, reconstruction parameters, and kernel selection on patient-derived
aneurysm morphology and computational fluid dynamic (CFD) analysis to assess its
potential contribution to inter-study variability. METHODS: Four patients (five
aneurysms) underwent concurrent 3DRA followed by high-resolution CBCT-A. Six
models were reconstructed per aneurysm: 3DRA reconstructed with 0.28 and 0.14 mm
voxel sizes (large and small volume of interest (VOI) respectively), and two
kernel types (normal/smooth). CBCT-A was reconstructed over small VOI using
normal/sharp kernel. Maximal dome dimension, neck diameter and dome/neck ratio
were evaluated in 3D. Wall shear stress (WSS) magnitude was evaluated on the
entire aneurysm dome and in the 5% dome areas covered by lowest (LWSS) and
highest (HWSS) WSS. Parameters were evaluated with pairwise t-test analysis.
RESULTS: Smaller VOI reconstructions resulted in smaller Dmax (P value=0.03) and
Dmax/neck (P value=0.006) and in larger LWSS (P value=0.03). Use of sharp kernel
led to narrower neck (P value=0.04) and higher Dmax/neck values (P value=0.02).
CBCT-A resulted in statistically different aneurysm shape (up to 24% difference)
and haemodynamics (up to 97% difference) compared with 3DRA. CONCLUSION: The
choice of catheter 3D angiographic modality and reconstruction kernel has a
critical impact on derived aneurysm morphological and haemodynamic analysis. The
resultant variability can confound and obscure underlying differences within
patient populations and between studies performed at different centres using
divergent techniques, compromising the accuracy of quantitative aneurysm
analysis.
PMID- 29352064
TI - GIF Transcriptional Coregulators Control Root Meristem Homeostasis.
AB - In the root meristem, the quiescent center (QC) is surrounded by stem cells,
which in turn generate the different cell types of the root. QC cells rarely
divide under normal conditions but can replenish damaged stem cells. In the
proximal meristem, the daughters of stem cells, which are referred to as transit
amplifying cells, undergo additional rounds of cell division prior to
differentiation. Here, we describe the functions of GRF-INTERACTING FACTORs
(GIFs), including ANGUSTIFOLIA3 (AN3), in Arabidopsis thaliana roots. GIFs have
been shown to interact with GRF transcription factors and SWI/SNF chromatin
remodeling complexes. We found that combinations of GIF mutants cause the loss of
QC identity. However, despite their QC impairment, GIF mutants have a
significantly enlarged root meristem with additional lateral root cap layers. We
show that the increased expression of PLETHORA1 (PLT1) is at least partially
responsible for the large root meristems of an3 mutants. Furthermore, we found
that GIFs are necessary for maintaining the precise expression patterns of key
developmental regulators and that AN3 complexes bind directly to the promoter
regions of PLT1 as well as SCARECROW We propose that AN3/GIFs participate in
different pathways that control QC organization and the size of the meristem.
PMID- 29352063
TI - Arabidopsis thaliana FANCD2 Promotes Meiotic Crossover Formation.
AB - Fanconi anemia (FA) is a human autosomal recessive disorder characterized by
chromosomal instability, developmental pathologies, predisposition to cancer, and
reduced fertility. So far, 19 genes have been implicated in FA, most of them
involved in DNA repair. Some are conserved across higher eukaryotes, including
plants. The Arabidopsis thaliana genome encodes a homolog of the Fanconi anemia
D2 gene (FANCD2) whose function in DNA repair is not yet fully understood. Here,
we provide evidence that AtFANCD2 is required for meiotic homologous
recombination. Meiosis is a specialized cell division that ensures reduction of
genomic content by half and DNA exchange between homologous chromosomes via
crossovers (COs) prior to gamete formation. In plants, a mutation in AtFANCD2
results in a 14% reduction of CO numbers. Genetic analysis demonstrated that
AtFANCD2 acts in parallel to both MUTS HOMOLOG4 (AtMSH4), known for its role in
promoting interfering COs and MMS AND UV SENSITIVE81 (AtMUS81), known for its
role in the formation of noninterfering COs. AtFANCD2 promotes noninterfering COs
in a MUS81-independent manner and is therefore part of an uncharted meiotic CO
promoting mechanism, in addition to those described previously.
PMID- 29352066
TI - The Plant Cell Welcomes Assistant Features Editors.
PMID- 29352065
TI - A Role for MINIYO and QUATRE-QUART2 in the Assembly of RNA Polymerases II, IV,
and V in Arabidopsis.
AB - RNA polymerases IV and V (Pol IV and Pol V) are required for the generation of
noncoding RNAs in RNA-directed DNA methylation (RdDM). Their subunit compositions
resemble that of Pol II. The mechanism and accessory factors involved in their
assembly remain largely unknown. In this study, we identified mutant alleles of
MINIYO (IYO), QUATRE-QUART2 (QQT2), and NUCLEAR RNA POLYMERASE B11/D11/E11
(NRPB/D/E11) that cause defects in RdDM in Arabidopsis thaliana We found that Pol
IV-dependent small interfering RNAs and Pol V-dependent transcripts were greatly
reduced in the mutants. NRPE1, the largest subunit of Pol V, failed to associate
with other Pol V subunits in the iyo and qqt2 mutants, suggesting the involvement
of IYO and QQT2 in Pol V assembly. In addition, we found that IYO and QQT2 were
mutually dependent for their association with the NRPE3 subassembly prior to the
assembly of Pol V holoenzyme. Finally, we show that IYO and QQT2 are similarly
required for the assembly of Pol II and Pol IV. Our findings reveal IYO and QQT2
as cofactors for the assembly of Pol II, Pol IV, and Pol V and provide
mechanistic insights into how RNA polymerases are assembled in plants.
PMID- 29352067
TI - Sandwich-Cultured Hepatocytes for Mechanistic Understanding of Hepatic
Disposition of Parent Drugs and Metabolites by Transporter-Enzyme Interplay.
AB - Functional interplay between transporters and drug-metabolizing enzymes is
currently one of the hottest topics in the field of drug metabolism and
pharmacokinetics. Uptake transporter-enzyme interplay is important to determine
intrinsic hepatic clearance based on the extended clearance concept. Enzyme and
efflux transporter interplay, which includes both sinusoidal (basolateral) and
canalicular efflux transporters, determines the fate of metabolites formed in the
liver. As sandwich-cultured hepatocytes (SCHs) maintain metabolic activities and
form a canalicular network, the whole interplay between uptake and efflux
transporters and drug-metabolizing enzymes can be investigated simultaneously. In
this article, we review the utility and applicability of SCHs for mechanistic
understanding of hepatic disposition of both parent drugs and metabolites. In
addition, the utility of SCHs for mimicking species-specific disposition of
parent drugs and metabolites in vivo is described. We also review application of
SCHs for clinically relevant prediction of drug-drug interactions caused by drugs
and metabolites. The usefulness of mathematical modeling of hepatic disposition
of parent drugs and metabolites in SCHs is described to allow a quantitative
understanding of an event in vitro and to develop a more advanced model to
predict in vivo disposition.
PMID- 29352068
TI - Maternal-Fetal Disposition and Metabolism of Retrorsine in Pregnant Rats.
AB - Pyrrolizidine alkaloids (PAs) are extensively synthesized by plants, are commonly
present in herbs and foodstuffs, and exhibit hepatotoxicity requiring metabolic
activation by cytochrome P450 3A to form the electrophilic metabolites-pyrrolic
esters. PAs also cause embryo toxicity, but the metabolic profiles of PAs in
fetus and placenta have been far from clear. In this study, we determined the
basal metabolic activation of retrorsine (RTS) in rat maternal liver, placenta,
and fetal liver in vitro and examined the fetal toxicity and bioactivation of RTS
in vivo. Detection of microsomal RTS metabolites in vitro showed that the basal
metabolic activity of fetal liver and placenta to RTS was much weaker than that
of maternal liver. In addition, a higher rate of pyrrolic ester formation was
found in normal male fetal liver compared with that of female pups. In vivo
exposure to RTS caused fetal growth retardation, as well as placental and fetal
liver injury. Little difference in serum RTS was observed in dams and fetuses,
but the content of pyrrole-protein adduction in the fetal liver was much lower
than that in maternal liver, which was consistent with basal metabolic activity.
Unexpectedly, compared with basal metabolism in fetal liver, exposure to RTS
during middle and late pregnancy caused an opposite gender difference in RTS
metabolism and CYP3A expression in the fetal liver. For the first time, our study
showed that RTS can permeate the placenta barrier and entering fetal circulation,
whereas the intrauterine pyrrolic metabolite was generated mainly by fetal liver
but not transported from the maternal circulation. Induction of CYP3A by RTS was
gender-dependent in the fetal liver, which was probably responsible for RTS
induced fetal hepatic injury, especially for female pups.
PMID- 29352069
TI - Identification of Ketene-Reactive Intermediate of Erlotinib Possibly Responsible
for Inactivation of P450 Enzymes.
AB - Erlotinib (ELT), a tyrosine kinase inhibitor, is widely used for the treatment of
nonsmall cell lung cancer in clinic. Unfortunately, severe drug-induced liver
injury and other adverse effects occurred during the treatment. Meanwhile, ELT
has been reported to be a mechanism-based inactivator of cytochrome P450(CYPs)
3A4 and 3A5. The objectives of this study were to identify ketene intermediate of
ELT and investigate the association of the acetylenic bioactivation with the
enzyme inactivation caused by ELT. A ketene intermediate was detected in human
microsomal incubations of ELT, using 4-bromobenzylamine as a trapping agent. CYPs
3A4 and 3A5 mainly contributed to the bioactivation of ELT. Microsomal incubation
study showed that the ketene intermediate covalently modified the enzyme protein
at lysine residues and destroyed the structure of heme. The vinyl and ethyl
analogs of ELT showed minor enzyme inhibitory effect (less than 20%), whereas ELT
inactivated more than 60% of the enzyme. The present study provided a novel
bioactivation pathway of ELT and facilitated the understanding of the mechanisms
of ELT-induced mechanism-based enzyme inactivation and liver injury.
PMID- 29352070
TI - Review of extraocular muscle biopsies and utility of biopsy in extraocular muscle
enlargement.
AB - AIMS: To review the distribution of pathology in extraocular muscle (EOM)
biopsies performed at a tertiary orbital centre, identify clinical and imaging
features which are associated with benign or malignant diseases and indicate when
biopsy is necessary for EOM enlargement. METHODS: Retrospective case series
including 93 patients with EOM enlargement who underwent an EOM biopsy. Clinical,
radiological and histopathological information was recorded from the medical
records. Statistical analysis was used to compare variables between patients with
malignant and benign biopsies. RESULTS: The median age of subjects was 61.1
years. Forty-eight cases (52%) were benign and 45 (48%) were malignant. Those
with malignant pathology were significantly older (P<0.0001). Males were more
likely affected by a benign disease and females by a malignancy (P=0.029). A
history of malignancy (P<0.0001) and diplopia (P=0.029) were significant factors
in predicting a malignancy. Pain (P=0.005) and eyelid erythema (P=0.001) were
more likely in benign conditions. Idiopathic orbital inflammation was the most
common benign diagnosis and lymphoma the most common malignancy. CONCLUSIONS:
Biopsy is warranted in those with an atypical presentation of EOM enlargement or
suspected of having a malignancy. Some features such as age, gender, pain,
diplopia, history of malignancy and eyelid erythema may help indicate a
particular diagnosis; however, clinical features and imaging findings are often
not pathognomonic of each disease.
PMID- 29352071
TI - Worsening Renal Function in Patients With Acute Heart Failure Undergoing
Aggressive Diuresis Is Not Associated With Tubular Injury.
AB - BACKGROUND: Worsening renal function (WRF) in the setting of aggressive diuresis
for acute heart failure treatment may reflect renal tubular injury or simply
indicate a hemodynamic or functional change in glomerular filtration. Well
validated tubular injury biomarkers, N-acetyl-beta-d-glucosaminidase, neutrophil
gelatinase-associated lipocalin, and kidney injury molecule 1, are now available
that can quantify the degree of renal tubular injury. The ROSE-AHF trial (Renal
Optimization Strategies Evaluation-Acute Heart Failure) provides an experimental
platform for the study of mechanisms of WRF during aggressive diuresis for acute
heart failure because the ROSE-AHF protocol dictated high-dose loop diuretic
therapy in all patients. We sought to determine whether tubular injury biomarkers
are associated with WRF in the setting of aggressive diuresis and its association
with prognosis. METHODS: Patients in the multicenter ROSE-AHF trial with baseline
and 72-hour urine tubular injury biomarkers were analyzed (n=283). WRF was
defined as a >=20% decrease in glomerular filtration rate estimated with cystatin
C. RESULTS: Consistent with protocol-driven aggressive dosing of loop diuretics,
participants received a median 560 mg IV furosemide equivalents (interquartile
range, 300-815 mg), which induced a urine output of 8425 mL (interquartile range,
6341-10 528 mL) over the 72-hour intervention period. Levels of N-acetyl-beta-d
glucosaminidase and kidney injury molecule 1 did not change with aggressive
diuresis (both P>0.59), whereas levels of neutrophil gelatinase-associated
lipocalin decreased slightly (-8.7 ng/mg; interquartile range, -169 to 35 ng/mg;
P<0.001). WRF occurred in 21.2% of the population and was not associated with an
increase in any marker of renal tubular injury: neutrophil gelatinase-associated
lipocalin (P=0.21), N-acetyl-beta-d-glucosaminidase (P=0.46), or kidney injury
molecule 1 (P=0.22). Increases in neutrophil gelatinase-associated lipocalin, N
acetyl-beta-d-glucosaminidase, and kidney injury molecule 1 were paradoxically
associated with improved survival (adjusted hazard ratio, 0.80 per 10 percentile
increase; 95% confidence interval, 0.69-0.91; P=0.001). CONCLUSIONS: Kidney
tubular injury does not appear to have an association with WRF in the context of
aggressive diuresis of patients with acute heart failure. These findings
reinforce the notion that the small to moderate deteriorations in renal function
commonly encountered with aggressive diuresis are dissimilar from traditional
causes of acute kidney injury.
PMID- 29352072
TI - Sex and Race Differences in Lifetime Risk of Heart Failure With Preserved
Ejection Fraction and Heart Failure With Reduced Ejection Fraction.
AB - BACKGROUND: Lifetime risk of heart failure has been estimated to range from 20%
to 46% in diverse sex and race groups. However, lifetime risk estimates for the 2
HF phenotypes, HF with preserved ejection fraction (HFpEF) and HF with reduced
ejection fraction (HFrEF), are not known. METHODS: Participant-level data from 2
large prospective cohort studies, the CHS (Cardiovascular Health Study) and MESA
(Multiethnic Study of Atherosclerosis), were pooled, excluding individuals with
prevalent HF at baseline. Remaining lifetime risk estimates for HFpEF (EF >=45%)
and HFrEF (EF <45%) were determined at different index ages with the use of a
modified Kaplan-Meier method with mortality and the other HF subtype as competing
risks. RESULTS: We included 12 417 participants >45 years of age (22.2% blacks,
44.8% men) who were followed up for median duration of 11.6 years with 2178
overall incident HF events with 561 HFrEF events and 726 HFpEF events. At the
index age of 45 years, the lifetime risk for any HF through 90 years of age was
higher in men than women (27.4% versus 23.8%). Among HF subtypes, the lifetime
risk for HFrEF was higher in men than women (10.6% versus 5.8%). In contrast, the
lifetime risk for HFpEF was similar in men and women. In race-stratified
analyses, lifetime risk for overall HF was higher in nonblacks than blacks (25.9%
versus 22.4%). Among HF subtypes, the lifetime risk for HFpEF was higher in
nonblacks than blacks (11.2% versus 7.7%), whereas that for HFrEF was similar
across the 2 groups. Among participants with antecedent myocardial infarction
before HF diagnosis, the remaining lifetime risks for HFpEF and HFrEF were up to
2.5-fold and 4-fold higher, respectively, compared with those without antecedent
myocardial infarction. CONCLUSIONS: Lifetime risks for HFpEF and HFrEF vary by
sex, race, and history of antecedent myocardial infarction. These insights into
the distribution of HF risk and its subtypes could inform the development of
targeted strategies to improve population-level HF prevention and control.
PMID- 29352073
TI - Right Ventricular Myofilament Functional Differences in Humans With Systemic
Sclerosis-Associated Versus Idiopathic Pulmonary Arterial Hypertension.
AB - BACKGROUND: Patients with systemic sclerosis (SSc)-associated pulmonary arterial
hypertension (PAH) have a far worse prognosis than those with idiopathic PAH
(IPAH). In the intact heart, SSc-PAH exhibits depressed rest and reserve right
ventricular (RV) contractility compared with IPAH. We tested whether this
disparity involves underlying differences in myofilament function. METHODS:
Cardiac myocytes were isolated from RV septal endomyocardial biopsies from
patients with SSc-PAH, IPAH, or SSc with exertional dyspnea but no resting PAH
(SSc-d); control RV septal tissue was obtained from nondiseased donor hearts (6-7
per group). Isolated myocyte passive length-tension and developed tension-calcium
relationships were determined and correlated with in vivo RV function and
reserve. RV septal fibrosis was also examined. RESULTS: Myocyte passive stiffness
from length-tension relations was similarly increased in IPAH and SSc-PAH
compared with control, although SSc-PAH biopsies had more interstitial fibrosis.
More striking disparities were found between active force-calcium relations.
Compared with controls, maximal calcium-activated force (Fmax) was 28% higher in
IPAH but 37% lower in SSc-PAH. Fmax in SSc-d was intermediate between control and
SSc-PAH. The calcium concentration required for half-maximal force (EC50) was
similar between control, IPAH, and SSc-d but lower in SSc-PAH. This disparity
disappeared in myocytes incubated with the active catalytic subunit of protein
kinase A. Myocyte Fmax directly correlated with in vivo RV contractility assessed
by end-systolic elastance (R2 =0.46, P=0.002) and change in end-systolic
elastance with exercise (R2 =0.49, P=0.008) and was inversely related with
exercise-induced chamber dilation (R2 =0.63, P<0.002), which also was a marker of
depressed contractile reserve. CONCLUSIONS: A primary defect in human SSc-PAH
resides in depressed sarcomere function, whereas this is enhanced in IPAH. These
disparities correlate with in vivo RV contractility and contractile reserve and
are consistent with worse clinical outcomes in SSc-PAH. The existence of
sarcomere disease before the development of resting PAH in patients with SSc-d
suggests that earlier identification and intervention may prove useful.
PMID- 29352074
TI - Aldosterone, SGK1, and ion channels in the kidney.
AB - Hyperaldosteronism, a common cause of hypertension, is strongly connected to Na+,
K+, and Mg2+ dysregulation. Owing to its steroidal structure, aldosterone is an
active transcriptional modifier when bound to the mineralocorticoid receptor (MR)
in cells expressing the enzyme 11beta-hydroxysteroid dehydrogenase 2, such as
those comprising the aldosterone-sensitive distal nephron (ASDN). One such up
regulated protein, the ubiquitous serum and glucocorticoid regulated kinase 1
(SGK1), has the capacity to modulate the surface expression and function of many
classes of renal ion channels, including those that transport Na+ (ENaC), K+
(ROMK/BK), Ca2+ (TRPV4/5/6), Mg2+ (TRPM7/6), and Cl- (ClC-K, CFTR). Here, we
discuss the mechanisms by which ASDN expressed channels are up-regulated by SGK1,
while highlighting newly discovered pathways connecting aldosterone to
nonselective cation channels that are permeable to Mg2+ (TRPM7) or Ca2+ (TRPV4).
PMID- 29352076
TI - Intestinal dysbiosis and permeability: the yin and yang in alcohol dependence and
alcoholic liver disease.
AB - Alcohol dependence and alcoholic liver disease represent a major public health
problem with substantial morbidity and mortality. By yet incompletely understood
mechanisms, chronic alcohol abuse is associated with increased intestinal
permeability and alterations of the gut microbiota composition, allowing
bacterial components, bacteria, and metabolites to reach the portal and the
systemic circulation. These gut-derived bacterial products are recognized by
immune cells circulating in the blood or residing in remote organs such as the
liver leading to the release of pro-inflammatory cytokines which are considered
important mediators of the liver-gut-brain communication. Although circulating
cytokines are likely not the sole factors involved, they can induce liver
inflammation/damage and reach the central nervous system where they favor
neuroinflammation which is associated with change in mood, cognition, and
drinking behavior. In this review, the authors focus on the current evidence
describing the changes that occur in the intestinal microbiota with chronic
alcohol consumption in conjunction with intestinal barrier breakdown and
inflammatory changes sustaining the concept of a gut-liver-brain axis in the
pathophysiology of alcohol dependence and alcoholic liver disease.
PMID- 29352075
TI - O-Linked beta-N-acetylglucosamine (O-GlcNAc) modification: a new pathway to
decode pathogenesis of diabetic retinopathy.
AB - The incidence of diabetes continues to rise among all ages and ethnic groups
worldwide. Diabetic retinopathy (DR) is a complication of diabetes that affects
the retinal neurovasculature causing serious vision problems, including
blindness. Its pathogenesis and severity is directly linked to the chronic
exposure to high glucose conditions. No treatments are currently available to
stop the development and progression of DR. To develop new and effective
therapeutic approaches, it is critical to better understand how hyperglycemia
contributes to the pathogenesis of DR at the cellular and molecular levels. We
propose alterations in O-GlcNAc modification of target proteins during diabetes
contribute to the development and progression of DR. The O-GlcNAc modification is
regulated through hexosamine biosynthetic pathway. We showed this pathway is
differentially activated in various retinal vascular cells under high glucose
conditions perhaps due to their selective metabolic activity. O-GlcNAc
modification can alter protein stability, activity, interactions, and
localization. By targeting the same amino acid residues (serine and threonine) as
phosphorylation, O-GlcNAc modification can either compete or cooperate with
phosphorylation. Here we will summarize the effects of hyperglycemia-induced O
GlcNAc modification on the retinal neurovasculature in a cell-specific manner,
providing new insight into the role of O-GlcNAc modification in early loss of
retinal pericytes and the pathogenesis of DR.
PMID- 29352077
TI - Whole-Genome Sequencing of Suppressor DNA Mixtures Identifies Pathways That
Compensate for Chromosome Segregation Defects in Schizosaccharomyces pombe.
AB - Suppressor screening is a powerful method to identify genes that, when mutated,
rescue the temperature sensitivity of the original mutation. Previously, however,
identification of suppressor mutations has been technically difficult. Due to the
small genome size of Schizosaccharomyces pombe, we developed a spontaneous
suppressor screening technique, followed by a cost-effective sequencing method.
Genomic DNAs of 10 revertants that survived at the restrictive temperature of the
original temperature sensitive (ts) mutant were mixed together as one sample
before constructing a library for sequencing. Responsible suppressor mutations
were identified bioinformatically based on allele frequency. Then, we isolated a
large number of spontaneous extragenic suppressors for three ts mutants that
exhibited defects in chromosome segregation at their restrictive temperature.
Screening provided new insight into mechanisms of chromosome segregation: loss of
Ufd2 E4 multi-ubiquitination activity suppresses defects of an AAA ATPase, Cdc48.
Loss of Wpl1, a releaser of cohesin, compensates for the Eso1 mutation, which may
destabilize sister chromatid cohesion. The segregation defect of a ts histone H2B
mutant is rescued if it fails to be deubiquitinated by the SAGA complex, because
H2B is stabilized by monoubiquitination.
PMID- 29352078
TI - The Highly Divergent Mitochondrial Genomes Indicate That the Booklouse,
Liposcelis bostrychophila (Psocoptera: Liposcelididae) Is a Cryptic Species.
AB - The booklouse, Liposcelis bostrychophila is an important storage pest worldwide.
The mitochondrial (mt) genome of an asexual strain (Beibei, China) of the L.
bostrychophila comprises two chromosomes; each chromosome contains approximate
half of the 37 genes typically found in bilateral animals. The mt genomes of two
sexual strains of L. bostrychophila, however, comprise five and seven
chromosomes, respectively; each chromosome contains one to six genes. To
understand mt genome evolution in L. bostrychophila, and whether L.
bostrychophila is a cryptic species, we sequenced the mt genomes of six strains
of asexual L. bostrychophila collected from different locations in China,
Croatia, and the United States. The mt genomes of all six asexual strains of L.
bostrychophila have two chromosomes. Phylogenetic analysis of mt genome sequences
divided nine strains of L. bostrychophila into four groups. Each group has a
distinct mt genome organization and substantial sequence divergence (48.7-87.4%)
from other groups. Furthermore, the seven asexual strains of L. bostrychophila,
including the published Beibei strain, are more closely related to two other
species of booklice, L. paeta and L. sculptilimacula, than to the sexual strains
of L. bostrychophila Our results revealed highly divergent mt genomes in the
booklouse, L. bostrychophila, and indicate that L. bostrychophila is a cryptic
species.
PMID- 29352079
TI - Identification and Validation of a New Source of Low Grain Cadmium Accumulation
in Durum Wheat.
AB - Cadmium (Cd) is a heavy metal that has no known biological function and is toxic
for many living organisms. The maximum level of Cd concentration allowed in the
international market for wheat grain is 0.2 mg kg-1 Because phenotyping for Cd
uptake is expensive and time consuming, molecular markers associated with genes
conferring low Cd uptake would expedite selection and lead to the development of
durum cultivars with reduced Cd concentrations. Here, we identified single
nucleotide polymorphisms (SNPs) associated with a novel low Cd uptake locus in
the durum experimental line D041735, which has hexaploid common wheat in its
pedigree. Genetic analysis revealed a single major QTL for Cd uptake on
chromosome arm 5BL within a 0.3 cM interval flanked by SNP markers. Analysis of
the intervening sequence revealed a gene with homology to an aluminum-induced
protein as a candidate gene. Validation and allelism tests revealed that the low
Cd uptake gene identified in this study is different from the closely linked Cdu1
B gene, which also resides on 5BL. This study therefore showed that the durum
experimental line D041735 contains a novel low Cd uptake gene that was likely
acquired from hexaploid wheat.
PMID- 29352080
TI - Functional Analysis of Cancer-Associated DNA Polymerase epsilon Variants in
Saccharomyces cerevisiae.
AB - DNA replication fidelity relies on base selectivity of the replicative DNA
polymerases, exonucleolytic proofreading, and postreplicative DNA mismatch repair
(MMR). Ultramutated human cancers without MMR defects carry alterations in the
exonuclease domain of DNA polymerase epsilon (Polepsilon). They have been
hypothesized to result from defective proofreading. However, modeling of the most
common variant, Polepsilon-P286R, in yeast produced an unexpectedly strong
mutator effect that exceeded the effect of proofreading deficiency by two orders
of magnitude and indicated the involvement of other infidelity factors. The in
vivo consequences of many additional Polepsilon mutations reported in cancers
remain poorly understood. Here, we genetically characterized 13 cancer-associated
Polepsilon variants in the yeast system. Only variants directly altering the DNA
binding cleft in the exonuclease domain elevated the mutation rate. Among these,
frequently recurring variants were stronger mutators than rare variants, in
agreement with the idea that mutator phenotype has a causative role in
tumorigenesis. In nearly all cases, the mutator effects exceeded those of an
exonuclease-null allele, suggesting that mechanisms distinct from loss of
proofreading may drive the genome instability in most ultramutated tumors. All
mutator alleles were semidominant, supporting the view that heterozygosity for
the polymerase mutations is sufficient for tumor development. In contrast to the
DNA binding cleft alterations, peripherally located variants, including a highly
recurrent V411L, did not significantly elevate mutagenesis. Finally, the analysis
of Polepsilon variants found in MMR-deficient tumors suggested that the majority
cause no mutator phenotype alone but some can synergize with MMR deficiency to
increase the mutation rate.
PMID- 29352082
TI - Clostridial Strain-Specific Characteristics Associated with Necrotizing
Enterocolitis.
AB - We aimed at identifying potential bacterial factors linking clostridia with
necrotizing enterocolitis (NEC). We compared the phenotypic traits, stress
responses, cellular cytotoxicity, and inflammatory capabilities of the largest
collection of Clostridium butyricum and Clostridium neonatale strains isolated
from fecal samples of NEC preterm neonates (PN) and control PNs. When strain
characteristics were used as explanatory variables, a statistical discriminant
analysis allowed the separation of NEC and control strains into separate groups.
Strains isolated from NEC PN were characterized by a higher viability at 30
degrees C (P = 0.03) and higher aerotolerance (P = 0.01), suggesting that NEC
strains may have a competitive and/or survival advantage in the environmental
gastrointestinal tract conditions of NEC PN. Heat-treated NEC bacteria induced
higher production of interleukin-8 in Caco-2 cells (P = 0.03), suggesting
proinflammatory activity. In vitro, bacteria, bacterial components, and fecal
filtrates showed variable cytotoxic effects affecting the cellular network and/or
cell viability, without specific association with NEC or control samples.
Altogether, our data support the existence of a specific clostridial strain
signature associated with NEC.IMPORTANCE Clostridia are part of the commensal
microbiota in preterm neonates (PN). However, microbiota analyses by culture and
metagenomics have linked necrotizing enterocolitis (NEC) and intestinal
colonization with clostridial species. Nevertheless, little is known about the
specific characteristics that may be shared by clostridia associated with NEC
compared to commensal clostridia. Therefore, our goal was to identify specific
bacterial factors linking clostridial strains with NEC. We report the existence
of a specific bacterial signature associated with NEC and propose that activation
of the innate immune response may be a unifying causative mechanism for the
development of NEC independent of a specific pathogenic organism. The present
study provides new insights into NEC pathophysiology that are needed for better
diagnostics and strategies for implementing prevention of the disease.
PMID- 29352081
TI - Pseudomonas aeruginosa Biofilm Antibiotic Resistance Gene ndvB Expression
Requires the RpoS Stationary-Phase Sigma Factor.
AB - Chronic, biofilm-based bacterial infections are exceptionally difficult to
eradicate due to the high degree of antibiotic recalcitrance exhibited by cells
in biofilm communities. In the opportunistic pathogen Pseudomonas aeruginosa,
biofilm recalcitrance is multifactorial and arises in part from the preferential
expression of resistance genes in biofilms compared to exponential-phase
planktonic cells. One such mechanism involves ndvB, which we have previously
shown to be expressed specifically in biofilms. In this study, we investigated
the regulatory basis of this lifestyle-specific expression by developing an
unstable green fluorescent protein (GFP) transcriptional reporter to observe the
expression pattern of ndvB We found that in addition to its expression in
biofilms, ndvB was upregulated in planktonic cells as they enter stationary
phase. The transcription of ndvB in both growth phases was shown to be dependent
on the stationary-phase sigma factor RpoS, and mutation of a putative RpoS
binding site in the ndvB promoter abolished the activity of the promoter in
stationary-phase cells. Overall, we have expanded our understanding of the
temporal expression of ndvB in P. aeruginosa and have uncovered a regulatory
basis for its growth phase-dependent expression.IMPORTANCE Bacterial biofilms are
more resistant to antibiotics than free-living planktonic cells, and
understanding the mechanistic basis of this resistance can inform treatments of
biofilm-based infections. In addition to chemical and structural barriers that
can inhibit antibiotic entry, the upregulation of specific genes in biofilms
contributes to the resistance. We investigated this biofilm-specific gene
induction by examining expression patterns of ndvB, a gene involved in biofilm
resistance of the opportunistic pathogen Pseudomonas aeruginosa We characterized
ndvB expression in planktonic and biofilm growth conditions with an unstable
green fluorescent protein (GFP) reporter and found that the expression of ndvB in
biofilms is dependent on the stationary-phase sigma factor RpoS. Overall, our
results support the physiological similarity between biofilms and stationary
phase cells and suggest that the induction of some stationary-phase genes in
biofilms may contribute to their increased antibiotic resistance.
PMID- 29352083
TI - Polyphosphate-accumulating Bacteria: Potential Contributors to Mineral
Dissolution in the Oral Cavity.
AB - Bacteria that accumulate polyphosphate have previously been shown to dynamically
influence the solubility of phosphatic minerals in marine settings and
wastewater. Here we show that dental plaque, saliva, and carious lesions, all
contain abundant polyphosphate-accumulating bacteria. Saturation state modeling
results, informed by phosphate uptake experiments using the model organism
Lactobacillus rhamnosus, which is known to inhabit advanced carious-lesions,
suggest that polyphosphate accumulation can lead to undersaturated conditions
with respect to hydroxyapatite under some oral cavity conditions. The cell
densities of polyphosphate-accumulating bacteria we observed in some regions of
oral biofilms are comparable to those that produce undersaturated conditions
(i.e., those that thermodynamically favor mineral dissolution) in our phosphate
uptake experiments with L. rhamnosus These results suggest that the localized
generation of undersaturated conditions by polyphosphate-accumulating bacteria
constitutes a new potential mechanism of tooth dissolution that may augment the
effects of metabolic acid production.IMPORTANCE Dental caries is a serious public
health issue that can have negative impacts on overall quality of life and oral
health. The role of oral bacteria in the dissolution of dental enamel and dentin
that can result in carious lesions, has long been solely ascribed to metabolic
acid production. Here we show that certain oral bacteria may act as a dynamic
shunt for phosphate in dental biofilms via the accumulation of a polymer known as
polyphosphate - potentially mediating phosphate-dependent conditions such as
caries (dental decay).
PMID- 29352084
TI - Detection of Human Bocavirus Species 2 and 3 in Bivalve Shellfish in Italy.
AB - Human bocavirus (HBoV) has been shown to be a common cause of respiratory
infections and gastroenteritis in children. Recently, HBoVs have been detected in
sewage and river waters in Italy and worldwide. However, studies on their
presence in other water environments and in bivalve mollusks are not yet
available. In this study, 316 bivalve shellfish samples collected in three
Italian regions over a 6-year period (2012 to 2017) were analyzed by nested PCR
and sequencing using broad-range primer pairs targeting the capsid proteins VP1
and VP2 of HBoV. The virus was detected in 27 samples (8.5% of the total
samples), and a statistically significant difference was found within the three
regions. A further 13 samples, collected in geographic and temporal proximity to
positive samples, were included in the study to assess the spread of HBoV in
shellfish production areas at the time of contamination. Twelve of these
additional samples were found to be positive for HBoV. All positive samples in
this study were characterized as HBoV species 2 (17 samples; 8 different
sequences) or species 3 (22 samples; 4 different sequences). This study reports
the occurrence of HBoV in bivalve shellfish and shows evidence of considerable
spatial spread of the virus throughout shellfish production areas. Further
studies are needed to elucidate both the role of HBoV as an agent of
gastroenteritis and the risk for foodborne transmission of this virus.IMPORTANCE
Human bocavirus is recognized as an important cause of acute respiratory tract
infections and has recently been considered an etiological agent of
gastroenteritis in the pediatric population. Our findings document that HBoVs are
detected in bivalve shellfish with a relevant prevalence and suggest that an
assessment of the risk for foodborne transmission of these viruses should be
undertaken.
PMID- 29352085
TI - Expression of Genes Involved in Bacteriocin Production and Self-Resistance in
Lactobacillus brevis 174A Is Mediated by Two Regulatory Proteins.
AB - We have previously shown that the lactic acid bacterium Lactobacillus brevis
174A, isolated from Citrus iyo fruit, produces a bacteriocin designated brevicin
174A, which is comprised of two antibacterial polypeptides (designated brevicins
174A-beta and 174A-gamma). We have also found a gene cluster, composed of eight
open reading frames (ORFs), that contains genes for the biosynthesis of brevicin
174A, self-resistance to its own bacteriocin, and two transcriptional regulatory
proteins. Some lactic acid bacterial strains have a system to start the
production of bacteriocin at an adequate stage of growth. Generally, the system
consists of a membrane-bound histidine protein kinase (HPK) that senses a
specific environmental stimulus and a corresponding response regulator (RR) that
mediates the cellular response. We have previously shown that although the HPK-
and RR-encoding genes are not found on the brevicin 174A biosynthetic gene
cluster in the 174A strain, two putative regulatory genes, designated breD and
breG, are in the gene cluster. In the present study, we demonstrate that the
expression of brevicin 174A production and self-resistance is positively
controlled by two transcriptional regulatory proteins, designated BreD and BreG.
BreD is expressed together with BreE as the self-resistance determinant of L.
brevis 174A. DNase I footprinting analysis and a promoter assay demonstrated that
BreD binds to the breED promoter as a positive autoregulator. The present study
also demonstrates that BreG, carrying a transmembrane domain, binds to the common
promoter of breB and breC, encoding brevicins 174A-beta and 174A-gamma,
respectively, for positive regulation.IMPORTANCE The problem of the appearance of
bacteria that are resistant to practical antibiotics and the increasing demand
for safe foods have increased interest in replacing conventional antibiotics with
bacteriocin produced by the lactic acid bacteria. This antibacterial substance
can inhibit the growth of pathogenic bacteria without side effects on the human
body. The bacteriocin that is produced by a Citrus iyo-derived Lactobacillus
brevis strain inhibits the growth of pathogenic bacteria such as Listeria
monocytogenes, Staphylococcus aureus, and Streptococcus mutans In general, lactic
acid bacterial strains have a system to start the production of bacteriocin at an
adequate stage of growth, which is called a quorum-sensing system. The system
consists of a membrane-bound histidine protein kinase that senses a specific
environmental stimulus and a corresponding response regulator that mediates the
cellular response. The present study demonstrates that the expression of the
genes encoding bacteriocin biosynthesis and the self-resistance determinant is
positively controlled by two transcriptional regulatory proteins.
PMID- 29352086
TI - Temporal and Spatial Distribution of the Acetic Acid Bacterium Communities
throughout the Wooden Casks Used for the Fermentation and Maturation of Lambic
Beer Underlines Their Functional Role.
AB - Few data have been published on the occurrence and functional role of acetic acid
bacteria (AAB) in lambic beer production processes, mainly due to their difficult
recovery and possibly unknown role. Therefore, a novel aseptic sampling method,
spanning both the spatial and temporal distributions of the AAB and their
substrates and metabolites, was combined with a highly selective medium and
matrix-assisted laser desorption ionization-time of flight mass spectrometry
(MALDI-TOF MS) as a high-throughput dereplication method followed by comparative
gene sequencing for their isolation and identification, respectively. The AAB
(Acetobacter species more than Gluconobacter species) proliferated during two
phases of the lambic beer production process, represented by Acetobacter
orientalis during a few days in the beginning of the fermentation and Acetobacter
pasteurianus from 7 weeks until 24 months of maturation. Competitive exclusion
tests combined with comparative genomic analysis of all genomes of strains of
both species available disclosed possible reasons for this successive dominance.
The spatial analysis revealed that significantly higher concentrations of acetic
acid (from ethanol) and acetoin (from lactic acid) were produced at the tops of
the casks, due to higher AAB counts and a higher metabolic activity of the AAB
species at the air/liquid interface during the first 6 months of lambic beer
production. In contrast, no differences in AAB species diversity occurred
throughout the casks.IMPORTANCE Lambic beer is an acidic beer that is the result
of a spontaneous fermentation and maturation process. Acidic beers are currently
attracting attention worldwide. Part of the acidity of these beers is caused by
acetic acid bacteria (AAB). However, due to their difficult recovery, they were
never investigated extensively regarding their occurrence, species diversity, and
functional role in lambic beer production. In the present study, a framework was
developed for their isolation and identification using a novel aseptic sampling
method in combination with matrix-assisted laser desorption ionization-time of
flight mass spectrometry as a high-throughput dereplication technique followed by
accurate molecular identification. The sampling method applied enabled us to take
spatial differences into account regarding both enumerations and metabolite
production. In this way, it was shown that more AAB were present and more acetic
acid was produced at the air/liquid interface during a major part of the lambic
beer production process. Also, two different AAB species were encountered,
namely, Acetobacter orientalis at the beginning and Acetobacter pasteurianus in a
later stage of the production process. This developed framework could also be
applied for other fermentation processes.
PMID- 29352087
TI - Subtype-Specific Selection for Resistance to Fluoroquinolones but Not to
Tetracyclines Is Evident in Campylobacter jejuni Isolates from Beef Cattle in
Confined Feeding Operations in Southern Alberta, Canada.
AB - Campylobacter jejuni was longitudinally isolated from beef cattle housed in four
confined feeding operations (CFOs) in Southern Alberta, Canada, over 18 months.
All of the cattle were administered a variety of antimicrobial agents (AMAs)
nontherapeutically and metaphylactically during their time in the CFOs. In total,
7,966 C. jejuni isolates were recovered from cattle. More animals were colonized
by the bacterium after >60 days in the CFO (interim) than were individuals upon
entry at the CFO (arrival). Subtyping and resistance to seven AMAs were
determined for 1,832 (23.0%) and 1,648 (20.7%) isolates, respectively. Increases
in the proportion of isolates resistant to tetracycline were observed at all four
CFOs between sample times and to ciprofloxacin and nalidixic acid at one or more
CFOs. The vast majority of isolates resistant to tetracycline carried tetO,
whereas ciprofloxacin resistance was predominantly attributed to mutations in the
gyrA gene. Although considerable diversity was observed, a majority of C. jejuni
isolates belonged to one of five predominant subtype clusters. There was no
difference in subtype diversity by CFO, but the population structure differed
between sample times. Selection for resistance to ciprofloxacin and nalidixic
acid was subtype dependent, whereas selection for resistance to tetracycline was
not. The findings indicate that a proportion of cattle entering CFOs carry
resistant C. jejuni subtypes, and the characteristics of beef cattle CFOs
facilitate transmission/proliferation of diverse subtypes, including those
resistant to AMAs, which coupled with the densities of CFOs likely contribute to
the high rates of cattle-associated campylobacteriosis in Southern
Alberta.IMPORTANCE A small proportion of cattle entering a CFO carry
Campylobacter jejuni, including subtypes resistant to AMAs. The large numbers of
cattle arriving from diverse locations at the CFOs and intermingling within the
CFOs over time, coupled with the high-density housing of animals, the high rates
of transmission of C. jejuni subtypes among animals, and the extensive use of
AMAs merge to create an ideal situation where the proliferation of diverse
antimicrobial-resistant C. jejuni subtypes is facilitated. Considering that
Southern Alberta reports high rates of campylobacteriosis in the human population
and that many of these clinical cases are due to C. jejuni subtypes associated
with cattle, it is likely that the characteristics of beef cattle CFOs favor the
propagation of clinically relevant C. jejuni subtypes, including those resistant
to medically important AMAs, which constitute a risk to human health.
PMID- 29352088
TI - An Archaeal Fluoride-Responsive Riboswitch Provides an Inducible Expression
System for Hyperthermophiles.
AB - Robust genetic systems for the hyperthermophilic Thermococcales have facilitated
the overexpression of native genes, enabled the addition of sequences encoding
secretion signals, epitope, and affinity tags to coding regions, and aided the
introduction of sequences encoding new proteins in these fast-growing
fermentative heterotrophs. However, tightly controlled and easily manipulated
systems facilitating regulated gene expression are limited for these hosts. Here,
we describe an alternative method for regulatory control reliant on a cis-encoded
functional riboswitch in the model archaeon Thermococcus kodakarensis Despite the
hyperthermophilic growth temperatures, the proposed structure of the riboswitch
conforms to a fluoride-responsive riboswitch encoded in many bacteria and
similarly functions to regulate a component-conserved fluoride export pathway.
Deleting components of the fluoride export pathway generates T. kodakarensis
strains with increased fluoride sensitivity. The mechanism underlying regulated
expression suggested that the riboswitch-encoding sequences could be utilized as
a tunable expression cassette. When appended to a reporter gene, the riboswitch
mediated control system provides fluoride-dependent tunable regulatory potential,
offering an alternative system for regulating gene expression. Riboswitch
regulated expression is thus ubiquitous in extant life and can be exploited to
generate regulated expression systems for hyperthermophiles.IMPORTANCE Gene
expression is controlled by a myriad of interconnected mechanisms that interpret
metabolic states and environmental cues to balance cell physiology. Transcription
regulation in Archaea is known to employ both typical repressors-operators and
transcription activators to regulate transcription initiation in addition to the
regulation afforded by chromatin structure. It was perhaps surprising that the
presumed ancient mechanism of riboswitch-mediated regulation is found in Bacteria
and Eukarya, but seemingly absent in Archaea We demonstrate here that a fluoride
responsive riboswitch functions to regulate a detoxification pathway in the
hyperthermophilic archaeon Thermococcus kodakarensis The results obtained define
a universal role for riboswitch-mediated regulation, adumbrate the presence of
several riboswitch-regulated genes in Thermococcus kodakarensis, demonstrate the
utility of RNA-based regulation at high temperatures, and provide a novel
riboswitch-regulated expression system to employ in hyperthermophiles.
PMID- 29352089
TI - Cryptic Production of trans-3-Hydroxyproline in Echinocandin B Biosynthesis.
AB - Echinocandins are antifungal nonribosomal hexapeptides produced by fungi. Two of
the amino acids are hydroxy-l-prolines: trans-4-hydroxy-l-proline and, in most
echinocandin structures, (trans-2,3)-3-hydroxy-(trans-2,4)-4-methyl-l-proline. In
the case of echinocandin biosynthesis by Glarea lozoyensis, both amino acids are
found in pneumocandin A0, while in pneumocandin B0 the latter residue is replaced
by trans-3-hydroxy-l-proline (3-Hyp). We have recently reported that all three
amino acids are generated by the 2-oxoglutarate-dependent proline hydroxylase
GloF. In echinocandin B biosynthesis by Aspergillus species, 3-Hyp derivatives
have not been reported. Here we describe the heterologous production and kinetic
characterization of HtyE, the 2-oxoglutarate-dependent proline hydroxylase from
the echinocandin B biosynthetic cluster in Aspergillus pachycristatus
Surprisingly, l-proline hydroxylation with HtyE resulted in an even higher
proportion (~30%) of 3-Hyp than that with GloF. This suggests that the
selectivity for methylated 3-Hyp in echinocandin B biosynthesis is due solely to
a substrate-specific adenylation domain of the nonribosomal peptide synthetase.
Moreover, we observed that one product of HtyE catalysis, 3-hydroxy-4-methyl-l
proline, is slowly further oxidized at the methyl group, giving 3-hydroxy-4
hydroxymethyl-l-proline, upon prolonged incubation with HtyE. This dihydroxylated
amino acid has been reported as a building block of cryptocandin, an echinocandin
produced by CryptosporiopsisIMPORTANCE Secondary metabolites from bacteria and
fungi are often produced by sets of biosynthetic enzymes encoded in distinct gene
clusters. Usually, each enzyme catalyzes one biosynthetic step, but multiple
reactions are also possible. Pneumocandins A0 and B0 are produced by the fungus
Glarea lozoyensis They belong to the echinocandin family, a group of nonribosomal
cyclic lipopeptides that exhibit a strong antifungal activity. Chemical
derivatives are important drugs for the treatment of systemic fungal infections.
We have recently shown that in the biosynthesis of pneumocandins A0 and B0, three
hydroxyproline building blocks are provided by one proline hydroxylase. Here we
demonstrate that the proline hydroxylase from echinocandin B biosynthesis in
Aspergillus pachycristatus produces the same hydroxyprolines, with an increased
proportion of trans-3-hydroxyproline. However, echinocandin B biosynthesis does
not require trans-3-hydroxyproline; its formation remains cryptic. While one can
only speculate on the evolutionary background of this unexpected finding, proline
hydroxylation in G. lozoyensis and A. pachycristatus provides an unusual insight
into peptide antibiotic biosynthesis-namely, the complex interplay between the
selectivity of a hydroxylase and the substrate specificity of a nonribosomal
peptide synthetase.
PMID- 29352090
TI - Production of Ophthalmic Acid Using Engineered Escherichia coli.
AB - Ophthalmic acid (OA; l-gamma-glutamyl-l-2-aminobutyryl-glycine) is an analog of
glutathione (GSH; l-gamma-glutamyl-l-cysteinyl-glycine) in which the cysteine
moiety is replaced by l-2-aminobutyrate. OA is a useful peptide for the
pharmaceutical and/or food industries. Herein, we report a method for the
production of OA using engineered Escherichia coli cells. yggS-deficient E. coli,
which lacks the highly conserved pyridoxal 5'-phosphate-binding protein YggS and
naturally accumulates OA, was selected as the starting strain. To increase the
production of OA, we overexpressed the OA biosynthetic enzymes glutamate-cysteine
ligase (GshA) and glutathione synthase (GshB), desensitized the product
inhibition of GshA, and eliminated the OA catabolic enzyme gamma
glutamyltranspeptidase. The production of OA was further enhanced by the deletion
of miaA and ridA with the aim of increasing the availability of ATP and
attenuating the unwanted degradation of amino acids, respectively. The final
strain developed in this study successfully produced 277 MUmol/liter of OA in 24
h without the formation of by-products in a minimal synthetic medium containing 1
mM each glutamate, 2-aminobutyrate, and glycine.IMPORTANCE Ophthalmic acid (OA)
is a peptide that has the potential for use in the pharmaceutical and/or food
industries. An efficient method for the production of OA would allow us to expand
our knowledge about its physiological functions and enable the
industrial/pharmaceutical application of this compound. We demonstrated the
production of OA using Escherichia coli cells in which OA biosynthetic enzymes
and degradation enymes were engineered. We also showed that unique approaches,
including the use of a DeltayggS mutant as a starting strain, the establishment
of an S495F mutation in GshA, and the deletion of ridA or miaA, facilitated the
efficient production of OA in E. coli.
PMID- 29352092
TI - Incident Heart Failure in Patients With Rheumatoid Arthritis: A Nationwide Cohort
Study.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a chronic inflammatory disease
associated with a wide range of comorbidities, including cardiovascular disease,
but its association with heart failure (HF) is not fully clear. We investigated
the risk of incident HF in a nationwide cohort of patients with RA. METHODS AND
RESULTS: The study comprised the entire Danish population aged >=18 years
followed from January 1, 2008 until first hospitalization for HF, emigration,
December 31, 2012, or death. Information on comorbidity, medication, and
socioeconomic status was identified by individual-level linkage of administrative
registers. Patients with a rheumatologist diagnosis of RA between 1978 and 2008
were included. The primary study outcome was incident HF defined as first
hospital admission for HF. Incidence rates of HF per 1000 person-years were
calculated and incidence rate ratios adjusted for age, sex, calendar year,
comorbidity, medications, socioeconomic status, smoking, and alcohol consumption
were estimated. A total of 4 305 225 subjects with no history of HF were eligible
for analysis at the study start. Of these subjects, 24 343 developed RA and 50
623 were hospitalized for HF. Overall incidence rates of incident HF were 2.43
and 6.64 for the reference population (n=49 879) and patients with RA (n=744),
respectively. Correspondingly, the fully adjusted incidence rate ratio for
incident HF was increased in patients with RA with incidence rate ratio 1.30 (95%
confidence interval, 1.17-1.45). CONCLUSIONS: In this cohort study, RA was
associated with an increased hospitalization for HF. These findings add
significantly to the existing evidence of RA as a clinically relevant risk factor
for HF.
PMID- 29352091
TI - Precursors of human CD4+ cytotoxic T lymphocytes identified by single-cell
transcriptome analysis.
AB - CD4+ cytotoxic T lymphocytes (CD4-CTLs) have been reported to play a protective
role in several viral infections. However, little is known in humans about the
biology of CD4-CTL generation, their functional properties, and heterogeneity,
especially in relation to other well-described CD4+ memory T cell subsets. We
performed single-cell RNA sequencing in more than 9000 cells to unravel CD4-CTL
heterogeneity, transcriptional profile, and clonality in humans. Single-cell
differential gene expression analysis revealed a spectrum of known transcripts,
including several linked to cytotoxic and costimulatory function that are
expressed at higher levels in the TEMRA (effector memory T cells expressing
CD45RA) subset, which is highly enriched for CD4-CTLs, compared with CD4+ T cells
in the central memory (TCM) and effector memory (TEM) subsets. Simultaneous T
cell antigen receptor (TCR) analysis in single cells and bulk subsets revealed
that CD4-TEMRA cells show marked clonal expansion compared with TCM and TEM cells
and that most of CD4-TEMRA were dengue virus (DENV)-specific in donors with
previous DENV infection. The profile of CD4-TEMRA was highly heterogeneous across
donors, with four distinct clusters identified by the single-cell analysis. We
identified distinct clusters of CD4-CTL effector and precursor cells in the TEMRA
subset; the precursor cells shared TCR clonotypes with CD4-CTL effectors and were
distinguished by high expression of the interleukin-7 receptor. Our
identification of a CD4-CTL precursor population may allow further investigation
of how CD4-CTLs arise in humans and, thus, could provide insights into the
mechanisms that may be used to generate durable and effective CD4-CTL immunity.
PMID- 29352093
TI - Excessive Daytime Sleepiness Independently Predicts Increased Cardiovascular Risk
After Myocardial Infarction.
AB - BACKGROUND: Excessive daytime sleepiness (EDS), a common symptom among patients
with sleep-disordered breathing, is closely associated with the development of
cardiovascular diseases, but its long-term prognostic value is not completely
understood. The aim of this study was to investigate whether EDS would be an
independent prognostic factor after myocardial infarction. METHODS AND RESULTS:
We prospectively recruited 112 post-myocardial infarction patients. The Epworth
Sleepiness Scale was completed before polysomnography, and EDS was defined as a
score >=11. After exclusion of 8 patients who accepted treatment with continuous
positive airway pressure, 104 patients were followed up for 48 months. The
primary composite end point was major adverse cardiac events. Patients with EDS
had higher rates of major adverse cardiac events (48.4% versus 27.4%, chi2=5.27,
P=0.022) and reinfarction (29.0% versus 5.5%, chi2=13.51, P=0.0002) compared with
those without EDS. In the Cox proportional hazards model, patients with EDS had
2.15 times (95% confidence interval, 1.08-4.18; P=0.030) higher crude risk of
major adverse cardiac events, with prognostic significance persisting after
adjusting for age, diabetes mellitus, depression, left ventricular ejection
fraction, apnea-hypopnea index, and nocturnal nadir oxygen saturation (hazard
ratio: 2.13, 95% confidence interval, 1.04-4.26, P=0.039). Furthermore, among
participants with moderate to severe sleep-disordered breathing, the presence of
EDS was associated with higher risk of major adverse cardiac events than those
without EDS, after adjusting for age and nadir oxygen saturation (hazard ratio:
3.17, 95% confidence interval, 1.22-7.76, P=0.019). CONCLUSIONS: EDS may be an
independent prognostic factor of adverse outcome in post-myocardial infarction
patients with moderate to severe sleep-disordered breathing. Evaluation of EDS
may shed new light on risk stratification and identify treatment responders for
this patient population.
PMID- 29352094
TI - Auditory brainstem function in microcephaly related to Zika virus infection.
AB - OBJECTIVE: To study the effect of prenatal Zika virus (ZV) infection on brainstem
function reflected in brainstem auditory evoked potentials (BAEPs). METHODS: In a
cross-sectional study in 19 children (12 girls) with microcephaly related to ZV
infection, aged between 12 and 62 weeks, the brainstem function was examined
through BAEPs. The latencies of wave peaks I, III, and V of the left and right
ears (n = 37) were standardized according to normative data, and compared between
them by 2-tailed t test. The confounding variables (cephalic perimeter at the
born and chronological age) were correlated with the normalized latencies using
Pearson test. RESULTS: All patients showed, in general, clear waveforms, with
latencies within 3 SDs of the normative values. However, statistically increased
latencies of waves I and III (I > III, p = 0.031) were observed, relative to wave
V (p < 0.001), the latter being closer to respective normative value. The latency
of wave I was observed to increase with age (r = 0.45, p = 0.005). The waves, in
turn, did not depend on cephalic perimeter. CONCLUSIONS: These results are
consistent with the functional normality of the brainstem structure and its lack
of correlation with microcephaly, suggesting that the disruption produced by the
ZV infection does not act in the cell proliferation phase, but mostly in the
processes of neuronal migration and differentiation in the telencephalon.
PMID- 29352095
TI - Electrophysiologic testing aids diagnosis and subtyping of myoclonus.
AB - OBJECTIVE: To determine the contribution of electrophysiologic testing in the
diagnosis and anatomical classification of myoclonus. METHODS: Participants with
a clinical diagnosis of myoclonus were prospectively recruited, each undergoing a
videotaped clinical examination and battery of electrophysiologic tests. The
diagnosis of myoclonus and its subtype was reviewed after 6 months in the context
of the electrophysiologic findings and specialist review of the videotaped
clinical examination. RESULTS: Seventy-two patients with myoclonus were
recruited. Initial clinical anatomical classification included 25 patients with
cortical myoclonus, 7 with subcortical myoclonus, 2 with spinal myoclonus, and 15
with functional myoclonic jerks. In 23 cases, clinical anatomical classification
was not possible because of the complexity of the movement disorder.
Electrophysiologic testing was completed in 66, with agreement of myoclonus in 60
(91%) and its subtype in 28 (47%) cases. Subsequent clinical review by a movement
disorder specialist agreed with the electrophysiologic findings in 52 of 60; in
the remaining 8, electrophysiologic testing was inconclusive. CONCLUSIONS:
Electrophysiologic testing is an important additional tool in the diagnosis and
anatomical classification of myoclonus, also aiding in decision-making regarding
therapeutic management. Further development of testing criteria is necessary to
optimize its use in clinical practice.
PMID- 29352096
TI - Does cigarette smoking do nothing but harm?
PMID- 29352097
TI - Defining response profiles after alemtuzumab: Rare paradoxical disease
exacerbation.
PMID- 29352098
TI - DNA damage response: Selected review and neurologic implications.
PMID- 29352100
TI - Education Research: Physician identification and patient satisfaction on an
academic neurology inpatient service.
AB - OBJECTIVE: To determine the relationship between neurology inpatient satisfaction
and (1) number of physicians involved in the patient's care and (2) patients'
ability to identify their physicians. METHODS: A 10-item questionnaire addressing
patient satisfaction and identification of physicians on the care team was
administered to patients admitted to an academic, tertiary care, inpatient
neurology service from May 1 to October 31, 2012. We hypothesized higher
satisfaction among patients having fewer physicians on the care team and among
patients able to identify their physicians. RESULTS: A total of 652 patients were
enrolled. An average of 3.9 (range 3-8) physicians were involved in each
patient's care. Patients were able to correctly identify on average 2.4 (60.7%)
physicians involved in their care. Patients who were very satisfied correctly
identified a larger percentage of physicians involved in their care (63.8% vs
50.7%, p < 0.001), were more likely to identify a physician who knew them best
(94.3% vs 43.6%, p < 0.001) and who was "in charge" of their care (94.1% vs
57.6%, p < 0.001), and were more likely to have private insurance (82.8% vs
70.5%, p < 0.001) and fewer physicians involved in their care (3.84 vs 4.06, p =
0.02). CONCLUSIONS: Neurology inpatients' ability to identify physicians involved
in their care is associated with patient satisfaction. Strategies to enhance
patient satisfaction might target improving physician identification, reducing
actual or perceived disparities in care based on payer status, and reducing
handoffs or conducting handoffs at the bedside.
PMID- 29352099
TI - Smoking and Parkinson disease: Evidence for gene-by-smoking interactions.
AB - OBJECTIVE: To investigate whether cigarette smoking interacts with genes involved
in individual susceptibility to xenobiotics for the risk of Parkinson disease
(PD). METHODS: Two French population-based case-control studies (513 patients,
1,147 controls) were included as a discovery sample to examine gene-smoking
interactions based on 3,179 single nucleotide polymorphisms (SNPs) in 289 genes
involved in individual susceptibility to xenobiotics. SNP-by-cigarette smoking
interactions were tested in the discovery sample through an empirical Bayes (EB)
approach. Nine SNPs were selected for replication in a population-based case
control study from California (410 patients, 845 controls) with standard logistic
regression and the EB approach. For SNPs that replicated, we performed pooled
analyses including the discovery and replication datasets and computed pooled
odds ratios and confidence intervals (CIs) using random-effects meta-analysis.
RESULTS: Nine SNPs interacted with smoking in the discovery dataset and were
selected for replication. Interactions of smoking with rs4240705 in the RXRA gene
and rs1900586 in the SLC17A6 gene were replicated. In pooled analyses (logistic
regression), the interactions between smoking and rs4240705-G and rs1900586-G
were 1.66 (95% CI 1.28-2.14, p = 1.1 * 10-4, p for heterogeneity = 0.366) and
1.61 (95% CI 1.17-2.21, p = 0.003, p for heterogeneity = 0.616), respectively.
For both SNPs, while smoking was significantly less frequent in patients than
controls in AA homozygotes, this inverse association disappeared in G allele
carriers. CONCLUSIONS: We identified and replicated suggestive gene-by-smoking
interactions in PD. The inverse association of smoking with PD was less
pronounced in carriers of minor alleles of both RXRA-rs4240705 and SLC17A6
rs1900586. These findings may help identify biological pathways involved in the
inverse association between smoking and PD.
PMID- 29352101
TI - Activation of disease during therapy with alemtuzumab in 3 patients with multiple
sclerosis.
AB - OBJECTIVE: To report 3 patients with multiple sclerosis showing severe activation
of disease during immunotherapy with alemtuzumab. METHODS: Retrospective case
series. RESULTS: Patient 1, a 21-year-old woman, developed severe cognitive
impairment, sight deterioration, severe gait ataxia, urinary retention, and
extensive progression of cerebral lesion load, including new lesions that
exhibited gadolinium ring enhancement and dominance of CD19/20-positive B
lymphocytes, 6 months after induction of alemtuzumab. Patient 2, a 28-year-old
man, developed left-sided hemihypesthesia and ~60 new cerebral and spinal lesions
including lesions with gadolinium ring enhancement 6 months after induction of
alemtuzumab. Patient 3, a 37-year-old woman, developed ataxia and numbness of the
left thigh, 16 new gadolinium-positive supratentorial lesions, and partly ring
enhancing and dominance of CD19/20-positive B lymphocytes 6 months after
induction of alemtuzumab. CONCLUSION: This is a case series reporting severe
activation of disease during immunotherapy with alemtuzumab. All patients showed
onset of symptoms 6 months after induction of alemtuzumab, strikingly similar MRI
lesion morphology, and unexpected high total B cell count, which may suggest a B
cell-mediated activation of disease. Whether this is due to different rates of B-
and T cell repopulation has to be the subject of further research. Moreover,
further effects on the interactions between the adaptive and innate immunity as
well as between B and T cell lineages might explain the observed disease
activation.
PMID- 29352102
TI - Teenage-onset progressive myoclonic epilepsy due to a familial C9orf72 repeat
expansion.
AB - BACKGROUND: The progressive myoclonic epilepsies (PME) are a heterogeneous group
of disorders in which a specific diagnosis cannot be made in a subset of
patients, despite exhaustive investigation. C9orf72 repeat expansions are
emerging as an important causal factor in several adult-onset neurodegenerative
disorders, in particular frontotemporal lobar degeneration and amyotrophic
lateral sclerosis. An association with PME has not been reported previously.
OBJECTIVE: To identify the causative mutation in a Belgian family where the
proband had genetically unexplained PME. RESULTS: We report a 33-year old woman
who had epilepsy since the age of 15 and then developed progressive cognitive
deterioration and multifocal myoclonus at the age of 18. The family history
suggested autosomal dominant inheritance of psychiatric disorders, epilepsy, and
dementia. Thorough workup for PME including whole exome sequencing did not reveal
an underlying cause, but a C9orf72 repeat expansion was found in our patient and
affected relatives. Brain biopsy confirmed the presence of characteristic p62
positive neuronal cytoplasmic inclusions. CONCLUSION: C9orf72 mutation analysis
should be considered in patients with PME and psychiatric disorders or dementia,
even when the onset is in late childhood or adolescence.
PMID- 29352103
TI - Dynamic cycling of t-SNARE acylation regulates platelet exocytosis.
AB - Platelets regulate vascular integrity by secreting a host of molecules that
promote hemostasis and its sequelae. Given the importance of platelet exocytosis,
it is critical to understand how it is controlled. The t-SNAREs, SNAP-23 and
syntaxin-11, lack classical transmembrane domains (TMDs), yet both are associated
with platelet membranes and redistributed into cholesterol-dependent lipid rafts
when platelets are activated. Using metabolic labeling and hydroxylamine (HA)/HCl
treatment, we showed that both contain thioester-linked acyl groups. Mass
spectrometry mapping further showed that syntaxin-11 was modified on cysteine
275, 279, 280, 282, 283, and 285, and SNAP-23 was modified on cysteine 79, 80,
83, 85, and 87. Interestingly, metabolic labeling studies showed incorporation of
[3H]palmitate into the t-SNAREs increased although the protein levels were
unchanged, suggesting that acylation turns over on the two t-SNAREs in resting
platelets. Exogenously added fatty acids did compete with [3H]palmitate for t
SNARE labeling. To determine the effects of acylation, we measured aggregation,
ADP/ATP release, as well as P-selectin exposure in platelets treated with the
acyltransferase inhibitor cerulenin or the thioesterase inhibitor palmostatin B.
We found that cerulenin pretreatment inhibited t-SNARE acylation and platelet
function in a dose- and time-dependent manner whereas palmostatin B had no
detectable effect. Interestingly, pretreatment with palmostatin B blocked the
inhibitory effects of cerulenin, suggesting that maintaining the acylation state
is important for platelet function. Thus, our work shows that t-SNARE acylation
is actively cycling in platelets and suggests that the enzymes regulating protein
acylation could be potential targets to control platelet exocytosis in vivo.
PMID- 29352104
TI - A PH-like domain of the Rab12 guanine nucleotide exchange factor DENND3 binds
actin and is required for autophagy.
AB - Rab GTPases are key regulators of membrane trafficking, and many are activated by
guanine nucleotide exchange factors bearing a differentially expressed in normal
and neoplastic cells (DENN) domain. By activating the small GTPase Rab12, DENN
domain-containing protein 3 (DENND3) functions in autophagy. Here, we identified
a structural domain (which we name PHenn) containing a pleckstrin homology
subdomain that binds actin and is required for DENND3 function in autophagy. We
found that a hydrophobic patch on an extended beta-turn of the PHenn domain
mediates an intramolecular interaction with the DENN domain of DENND3. We also
show that DENND3 binds actin through a surface of positively charged residues on
the PHenn domain. Substitutions that blocked either DENN or actin binding
compromised the role of DENND3 in autophagy. These results provide new
mechanistic insight into the structural determinants regulating DENND3 in
autophagy and lay the foundation for future investigations of the DENN protein
family.
PMID- 29352105
TI - An ornithine omega-aminotransferase required for growth in the absence of
exogenous proline in the archaeon Thermococcus kodakarensis.
AB - Aminotransferases are pyridoxal 5'-phosphate-dependent enzymes that catalyze
reversible transamination reactions between amino acids and alpha-keto acids, and
are important for the cellular metabolism of nitrogen. Many bacterial and
eukaryotic omega-aminotransferases that use l-ornithine (Orn), l-lysine (Lys), or
gamma-aminobutyrate (GABA) have been identified and characterized, but the
corresponding enzymes from archaea are unknown. Here, we examined the activity
and function of TK2101, a gene annotated as a GABA aminotransferase, from the
hyperthermophilic archaeon Thermococcus kodakarensis We overexpressed the TK2101
gene in T. kodakarensis and purified and characterized the recombinant protein
and found that it displays only low levels of GABA aminotransferase activity.
Instead, we observed a relatively high omega-aminotransferase activity with l-Orn
and l-Lys as amino donors. The most preferred amino acceptor was 2-oxoglutarate.
To examine the physiological role of TK2101, we created a TK2101 gene-disruption
strain (DeltaTK2101), which was auxotrophic for proline. Growth comparison with
the parent strain KU216 and the biochemical characteristics of the protein
strongly suggested that TK2101 encodes an Orn aminotransferase involved in the
biosynthesis of l-Pro. Phylogenetic comparisons of the TK2101 sequence with
related sequences retrieved from the databases revealed the presence of several
distinct protein groups, some of which having no experimentally studied member.
We conclude that TK2101 is part of a novel group of Orn aminotransferases that
are widely distributed at least in the genus Thermococcus, but perhaps also
throughout the Archaea.
PMID- 29352106
TI - Cholesterol binding to a conserved site modulates the conformation, pharmacology,
and transport kinetics of the human serotonin transporter.
AB - The serotonin transporter (SERT) is important for reuptake of the
neurotransmitter serotonin from the synaptic cleft and is also the target of most
antidepressants. It has previously been shown that cholesterol in the membrane
bilayer affects the conformation of SERT. Although recent crystal structures have
identified several potential cholesterol-binding sites, it is unclear whether any
of these potential cholesterol sites are occupied by cholesterol and functionally
relevant. In the present study, we focus on the conserved cholesterol site 1
(CHOL1) located in a hydrophobic groove between TM1a, TM5, and TM7. By molecular
dynamics simulations, we demonstrate a strong binding of cholesterol to CHOL1 in
a membrane bilayer environment. In biochemical experiments, we find that
cholesterol depletion induces a more inward-facing conformation favoring
substrate analog binding. Consistent with this, we find that mutations in CHOL1
with a negative impact on cholesterol binding induce a more inward-facing
conformation, and, vice versa, mutations with a positive impact on cholesterol
binding induce a more outward-facing conformation. This shift in transporter
conformation dictated by the ability to bind cholesterol in CHOL1 affects the
apparent substrate affinity, maximum transport velocity, and turnover rates.
Taken together, we show that occupation of CHOL1 by cholesterol is of major
importance in the transporter conformational equilibrium, which in turn dictates
ligand potency and serotonin transport activity. Based on our findings, we
propose a mechanistic model that incorporates the role of cholesterol binding to
CHOL1 in the function of SERT.
PMID- 29352107
TI - Mycolyltransferase from Mycobacterium tuberculosis in covalent complex with
tetrahydrolipstatin provides insights into antigen 85 catalysis.
AB - Mycobacterium tuberculosis antigen 85 (Ag85) enzymes catalyze the transfer of
mycolic acid (MA) from trehalose monomycolate to produce the mycolyl
arabinogalactan (mAG) or trehalose dimycolate (TDM). These lipids define the
protective mycomembrane of mycobacteria. The current model of substrate binding
within the active sites of Ag85s for the production of TDM is not sterically and
geometrically feasible; additionally, this model does not account for the
production of mAG. Furthermore, this model does not address how Ag85s limit the
hydrolysis of the acyl-enzyme intermediate while catalyzing acyl transfer. To
inform an updated model, we obtained an Ag85 acyl-enzyme intermediate structure
that resembles the mycolated form. Here, we present a 1.45-A X-ray crystal
structure of M. tuberculosis Ag85C covalently modified by tetrahydrolipstatin
(THL), an esterase inhibitor that suppresses M. tuberculosis growth and mimics
structural attributes of MAs. The mode of covalent inhibition differs from that
observed in the reversible inhibition of the human fatty-acid synthase by THL.
Similarities between the Ag85-THL structure and previously determined Ag85C
structures suggest that the enzyme undergoes structural changes upon acylation,
and positioning of the peptidyl arm of THL limits hydrolysis of the acyl-enzyme
adduct. Molecular dynamics simulations of the modeled mycolated-enzyme form
corroborate the structural analysis. From these findings, we propose an
alternative arrangement of substrates that rectifies issues with the previous
model and suggest a direct role for the beta-hydroxy of MA in the second half
reaction of Ag85 catalysis. This information affords the visualization of a
complete mycolyltransferase catalytic cycle.
PMID- 29352108
TI - DeSUMOylation of MKK7 kinase by the SUMO2/3 protease SENP3 potentiates
lipopolysaccharide-induced inflammatory signaling in macrophages.
AB - Protein SUMOylation has been reported to play a role in innate immune response,
but the enzymes, substrates, and consequences of the specific inflammatory
signaling events are largely unknown. Reactive oxygen species (ROS) are
abundantly produced during macrophage activation and required for Toll-like
receptor 4 (TLR4)-mediated inflammatory signaling. Previously, we demonstrated
that SENP3 is a redox-sensitive SUMO2/3 protease. To explore any links between
reversible SUMOylation and ROS-related inflammatory signaling in macrophage
activation, we generated mice with Senp3 conditional knock-out in myeloid cells.
In bacterial lipopolysaccharide (LPS)-induced in vitro and in vivo inflammation
models, we found that SENP3 deficiency markedly compromises the activation of
TLR4 inflammatory signaling and the production of proinflammatory cytokines in
macrophages exposed to LPS. Moreover, Senp3 conditional knock-out mice were
significantly less susceptible to septic shock. Of note, SENP3 deficiency was
associated with impairment in JNK phosphorylation. We found that MKK7, which
selectively phosphorylates JNK, is a SENP3 substrate and that SENP3-mediated
deSUMOylation of MKK7 may favor its binding to JNK. Importantly, ROS-dependent
SENP3 accumulation and MKK7 deSUMOylation rapidly occurred after LPS stimulation.
In conclusion, our findings indicate that SENP3 potentiates LPS-induced TLR4
signaling via deSUMOylation of MKK7 leading to enhancement in JNK phosphorylation
and the downstream events. Therefore this work provides novel mechanistic
insights into redox regulation of innate immune responses.
PMID- 29352109
TI - Role of B and T Lymphocyte Attenuator in Renal Transplant Recipients with Biopsy
Proven Acute Rejection.
AB - BACKGROUND Acute rejection is a common predisposing cause of allograft
dysfunction in kidney transplantation. Recently, the B and T lymphocyte
attenuator (BTLA)/herpes virus entry mediator (HVEM)/lymphotoxin (LIGHT)/CD160
pathway was found to be potentially involved in the regulation of T cell
activation. This could mean that this pathway is involved in graft rejection in
kidney transplantation; the present study aimed to explore this possibility.
MATERIAL AND METHODS The expression of BTLA, HVEM, LIGHT and CD160 on peripheral
CD4+, CD8+ and CD19+ lymphocytes were analyzed by flow cytometry in recipients
with biopsy-proven acute rejection (BPAR) or stable allograft function, as well
as in healthy volunteers. Moreover, we performed HE staining and
immunohistochemical staining to assess the expression of BTLA and HVEM in kidney
samples from recipients with BPAR and patients who underwent the surgery of
radical nephrectomy. RESULTS We observed the significantly lower expression of
BTLA on CD4+ T cells in recipients from the BPAR group than in recipients from
the stable group. The expression of BTLA on CD8+ T cells among recipients both
from the BPAR and stable group was statistically increased than that in the
healthy volunteers. A significant difference in the expression of CD160 in the
stable group was found when compared with the BPAR group or control group.
Moreover, there was no significance in the expression of HVEM, LIGHT or CD160 on
other subtypes of T cells between the 3 groups or in the expression of BTLA on
CD4+ T cells between the BPAR and control group. CONCLUSIONS The findings
indicate that the BTLA/HVEM pathway does be involved in pathogenesis of acute
rejection following kidney transplantation, as well as the induction of
transplant tolerance. This pathway may therefore be a useful target for therapy
against acute rejection after kidney transplantation.
PMID- 29352110
TI - Melatonin inhibits apoptotic cell death induced by Vibrio vulnificus VvhA via
melatonin receptor 2 coupling with NCF-1.
AB - Melatonin, an endogenous hormone molecule, has a variety of biological functions,
but a functional role of melatonin in the infection of Gram-negative bacterium
Vibrio vulnificus has yet to be described. In this study, we investigated the
molecular mechanism of melatonin in the apoptosis of human intestinal epithelial
(HCT116) cells induced by the hemolysin (VvhA) produced by V. vulnificus.
Melatonin (1 MUM) significantly inhibited apoptosis induced by the recombinant
protein (r) VvhA, which had been inhibited by the knockdown of MT2. The rVvhA
recruited caveolin-1, NCF-1, and Rac1 into lipid rafts to facilitate the
production of ROS responsible for the phosphorylation of PKC and JNK.
Interestingly, melatonin recruited NCF-1 into non-lipid rafts to prevent ROS
production via MT2 coupling with Galphaq. Melatonin inhibited the JNK-mediated
phosphorylation of c-Jun responsible for Bax expression, the release of
mitochondrial cytochrome c, and caspase-3/-9 activation during its promotion of
rVvhA-induced apoptotic cell death. In addition, melatonin inhibited JNK-mediated
phosphorylation of Bcl-2 responsible for the release of Beclin-1 and Atg5
expression during its promotion of rVvhA-induced autophagic cell death. These
results demonstrate that melatonin signaling via MT2 triggers recruitment of NCF
1 into non-lipid rafts to block ROS production and JNK-mediated apoptotic and
autophagic cell deaths induced by rVvhA in intestinal epithelial cells.
PMID- 29352111
TI - Hepatic SMARCA4 predicts HCC recurrence and promotes tumour cell proliferation by
regulating SMAD6 expression.
AB - Hepatocellular carcinoma (HCC) is the most common form of liver cancer and is
typically diagnosed at advanced stages. Identification and characterisation of
genes within amplified and deleted chromosomal loci can provide new insights into
the pathogenesis of cancer and lead to new approaches for diagnosis and therapy.
In our previous study, we found a recurrent region of copy number amplification
at 19p13.2 in hepatocellular carcinoma (HCC). In the present study, we performed
integrated copy number analysis and expression profiling at this locus and a
putative cancer gene, SMARCA4/BRG1, was uncovered in this region. BRG1 is a part
of the large ATP-dependent chromatin remodelling complex SWI/SNF. The function of
BRG1 in various cancers is unclear, including its role in HCC tumorigenesis.
Here, we found that BRG1 is upregulated in HCC and that its level significantly
correlates with cancer progression in HCC patients. Importantly, we also found
that nuclear expression of BRG1 predicts early recurrence for HCC patients.
Furthermore, we demonstrated that BRG1 promotes HCC cell proliferation in vitro
and in vivo. BRG1 was observed not only to facilitate S-phase entry but also to
attenuate cell apoptosis. Finally, we discovered that one of the mechanisms by
which BRG1 promotes cell proliferation is the upregulation of SMAD6. These
findings highlight the important role of BRG1 in the regulation of HCC
proliferation and provide valuable information for cancer prognosis and
treatment.
PMID- 29352112
TI - Direct cell-cell contact between mature osteoblasts and osteoclasts dynamically
controls their functions in vivo.
AB - Bone homeostasis is regulated by communication between bone-forming mature
osteoblasts (mOBs) and bone-resorptive mature osteoclasts (mOCs). However, the
spatial-temporal relationship and mode of interaction in vivo remain elusive.
Here we show, by using an intravital imaging technique, that mOB and mOC
functions are regulated via direct cell-cell contact between these cell types.
The mOBs and mOCs mainly occupy discrete territories in the steady state,
although direct cell-cell contact is detected in spatiotemporally limited areas.
In addition, a pH-sensing fluorescence probe reveals that mOCs secrete protons
for bone resorption when they are not in contact with mOBs, whereas mOCs
contacting mOBs are non-resorptive, suggesting that mOBs can inhibit bone
resorption by direct contact. Intermittent administration of parathyroid hormone
causes bone anabolic effects, which lead to a mixed distribution of mOBs and
mOCs, and increase cell-cell contact. This study reveals spatiotemporal
intercellular interactions between mOBs and mOCs affecting bone homeostasis in
vivo.
PMID- 29352113
TI - Polo-like kinase 4 mediates epithelial-mesenchymal transition in neuroblastoma
via PI3K/Akt signaling pathway.
AB - Neuroblastoma (NB) is the most common malignant tumor in infancy and most common
extracranial solid tumor in childhood. With the improvement of diagnosis and
treatment, the survival rate of patients with low-risk and intermediate-risk NB
can reach up to 90%. In contrast, for high-risk NBs, the long-term survival rate
is still <40% because of heterogeneity of this tumor. The pathogenesis of NB is
still not explicit, therefore it is of great significance to explore the
mechanism of NB tumorigenesis and discover new therapeutic targets for NB. Polo
like kinase 4 (PLK4), one of the polo-like kinase family members, is an important
regulator of centriole replication. The aberrant expression of PLK4 was found in
several cancers and a recent study has unraveled a novel function of PLK4 as a
mediator of invasion and metastasis in Hela and U2OS cells. However, the function
of PLK4 in NB development and progression remains to be elucidated. The study
showed the expression level of PLK4 in NB tissues was remarkably upregulated and
high expression of PLK4 was negatively correlated with clinical features and
survival, which suggested that PLK4 could be a potential tumor-promoting factor
of NB. Functional studies indicated downregulation of PLK4 suppressed migration
and invasion and promoted apoptosis in NB cells. Further experiments showed that
downregulation of PLK4 in NB cells inhibited EMT through the PI3K/Akt signaling
pathway. Animal experiments demonstrated that the downregulation of PLK4 in SK-N
BE(2) cells dramatically suppressed tumorigenesis and metastasis. PLK4 may be a
promising therapeutic target for NB.
PMID- 29352114
TI - Binding of NUFIP2 to Roquin promotes recognition and regulation of ICOS mRNA.
AB - The ubiquitously expressed RNA-binding proteins Roquin-1 and Roquin-2 are
essential for appropriate immune cell function and postnatal survival of mice.
Roquin proteins repress target mRNAs by recognizing secondary structures in their
3'-UTRs and by inducing mRNA decay. However, it is unknown if other cellular
proteins contribute to target control. To identify cofactors of Roquin, we used
RNA interference to screen ~1500 genes involved in RNA-binding or mRNA
degradation, and identified NUFIP2 as a cofactor of Roquin-induced mRNA decay.
NUFIP2 binds directly and with high affinity to Roquin, which stabilizes NUFIP2
in cells. Post-transcriptional repression of human ICOS by endogenous Roquin
proteins requires two neighboring non-canonical stem-loops in the ICOS 3'-UTR.
This unconventional cis-element as well as another tandem loop known to confer
Roquin-mediated regulation of the Ox40 3'-UTR, are bound cooperatively by Roquin
and NUFIP2. NUFIP2 therefore emerges as a cofactor that contributes to mRNA
target recognition by Roquin.
PMID- 29352117
TI - Suppressing autophagy: a strategy by Escherichia coli O157:H7 for its survival on
host epithelial cells.
PMID- 29352115
TI - STIL balancing primary microcephaly and cancer.
AB - Cell division and differentiation are two fundamental physiological processes
that need to be tightly balanced to achieve harmonious development of an organ or
a tissue without jeopardizing its homeostasis. The role played by the centriolar
protein STIL is highly illustrative of this balance at different stages of life
as deregulation of the human STIL gene expression has been associated with either
insufficient brain development (primary microcephaly) or cancer, two conditions
resulting from perturbations in cell cycle and chromosomal segregation. This
review describes the recent advances on STIL functions in the control of
centriole duplication and mitotic spindle integrity, and discusses how
pathological perturbations of its finely tuned expression result in chromosomal
instability in both embryonic and postnatal situations, highlighting the concept
that common key factors are involved in developmental steps and tissue
homeostasis.
PMID- 29352116
TI - The Chemical Fluctuation Theorem governing gene expression.
AB - Gene expression is a complex stochastic process composed of numerous enzymatic
reactions with rates coupled to hidden cell-state variables. Despite advances in
single-cell technologies, the lack of a theory accurately describing the gene
expression process has restricted a robust, quantitative understanding of gene
expression variability among cells. Here we present the Chemical Fluctuation
Theorem (CFT), providing an accurate relationship between the environment-coupled
chemical dynamics of gene expression and gene expression variability. Combined
with a general, accurate model of environment-coupled transcription processes,
the CFT provides a unified explanation of mRNA variability for various
experimental systems. From this analysis, we construct a quantitative model of
transcription dynamics enabling analytic predictions for the dependence of mRNA
noise on the mRNA lifetime distribution, confirmed against stochastic simulation.
This work suggests promising new directions for quantitative investigation into
cellular control over biological functions by making complex dynamics of
intracellular reactions accessible to rigorous mathematical deductions.
PMID- 29352118
TI - PI3K/mTOR inhibition promotes the regression of experimental vascular
malformations driven by PIK3CA-activating mutations.
AB - Somatic activating mutations within the PIK3CA gene have been recently detected
in sporadic lymphatic and venous malformations, and in vascular malformations
(VM) associated to overgrowth syndromes, such as CLOVES and Klippel-Trenaunay
syndrome. Although VM are often limited to specific tissue areas and can be well
treated, in extended or recurrent lesions novel therapeutic approaches are
needed. We generated a mouse model of VM by local expression of PIK3CA-activating
mutation in endothelial cells. PIK3CA-driven lesions are characterized by large
areas of hemorrhage, hyperplastic vessels, infiltrates of inflammatory cells, and
elevated endothelial cell density. Such vascular lesions are ameliorated by
administration of dual PI3K/mTOR inhibitor, BEZ235, and mTOR inhibitor,
Everolimus. Unexpectedly, the expression of PIK3CA-activating mutations in human
endothelial cells results in both increased proliferation rates and senescence.
Moreover, active forms of PIK3CA strongly promote the angiogenic sprouting.
Treatment with PI3K/mTOR inhibitors restores normal endothelial cell
proliferation rate and reduces the amount of senescent cells, whereas treatment
with Akt inhibitor is less effective. Our findings reveal that PIK3CA mutations
have a key role in the pathogenesis of VM and PIK3CA-driven experimental lesions
can be effectively treated by PI3K/mTOR inhibitors.
PMID- 29352119
TI - Parturition in baboons (PAPIO SPP.).
AB - The Old World non-human primates (NHP) - baboons (Papio spp.) share similarities
with humans regarding fetal and placental development and some pregnancy-related
complications. Information about the mechanism of birth and complications arising
during parturition in these species is relatively sparse. In this manuscript, we
add information from a series of pathological and observational cases to
highlight insights and selected complications of birth in Papio spp, based on
video-recording of the delivery process, X-ray, MRI, and ultrasound evaluations
in pregnant baboons. Additionally, we abstracted pathology records obtained from
perinatal loss in a large baboon colony during a 17 year period. The presented
cases provide important information for the management of pregnancy and delivery
in Papio spp.
PMID- 29352120
TI - Light induced assembly and self-sorting of silica microparticles.
AB - To tailor the properties of colloidal materials, precise control over the self
assembly of their constituents is a prerequisite. Here, we govern the assembly of
silica particles by functionalization with supramolecular moieties which interact
with each other via directional and reversible hydrogen bonding. Through a
generally applicable synthesis protocol, two different types of self
complementary hydrogen bonding moieties, BTA- and UPy-derivatives, are anchored
to silica particles. Their self-assembly is initiated by the UV-induced removal
of a photolabile protecting group, allowing the formation of hydrogen bonds
between tethered molecules. The light-induced assembly of BTA- and UPy-decorated
colloids in single-component dispersions and colloidal self-sorting in mixed
dispersions is studied. Furthermore, we demonstrate that UPy-colloids can
dissasemble upon addition of traces of a competitive binder (NaPy). This work
provides further insight into the utility of supramolecular handles to
orchestrate the assembly of micron-sized colloids via non-oligonucleotide
hydrogen-bonding units.
PMID- 29352121
TI - Efficient differentiation of human pluripotent stem cells into skeletal muscle
cells by combining RNA-based MYOD1-expression and POU5F1-silencing.
AB - Direct generation of skeletal muscle cells from human pluripotent stem cells
(hPSCs) would be beneficial for drug testing, drug discovery, and disease
modelling in vitro. Here we show a rapid and robust method to induce myogenic
differentiation of hPSCs by introducing mRNA encoding MYOD1 together with siRNA
mediated knockdown of POU5F1 (also known as OCT4 or OCT3/4). This integration
free approach generates functional skeletal myotubes with sarcomere-like
structure and a fusion capacity in several days. The POU5F1 silencing facilitates
MYOD1 recruitment to the target promoters, which results in the significant
activation of myogenic genes in hPSCs. Furthermore, deep sequencing transcriptome
analyses demonstrated that POU5F1-knockdown upregulates the genes associated with
IGF- and FGF-signaling and extracellular matrix that may also support myogenic
differentiation. This rapid and direct differentiation method may have potential
applications in regenerative medicine and disease therapeutics for muscle
disorders such as muscular dystrophy.
PMID- 29352122
TI - Comparative transcriptomic and proteomic analysis of Arthrobacter sp. CGMCC 3584
responding to dissolved oxygen for cAMP production.
AB - Arthrobacter sp. CGMCC 3584 is able to produce high yields of extracellular
cyclic adenosine monophosphate (cAMP), which plays a vital role in the field of
treatment of disease and animal food, during aerobic fermentation. However, the
molecular basis of cAMP production in Arthrobacter species is rarely explored.
Here, for the first time, we report the comparative transcriptomic and proteomic
study of Arthrobacter cells to elucidate the higher productivity of cAMP under
high oxygen supply. We finally obtained 14.1% and 19.3% of the Arthrobacter
genome genes which were up-regulated and down-regulated notably, respectively,
with high oxygen supply, and identified 54 differently expressed proteins. Our
results revealed that high oxygen supply had two major effects on metabolism:
inhibition of glycolysis, pyruvate metabolism, nitrogen metabolism, and amino
acid metabolism (histidine, branched-chain amino acids and glutamate metabolism);
enhancement of the tricarboxylic acid cycle and purine metabolism. We also found
that regulation of adenylate cyclase and phosphodiesterase was not significant
under high oxygen supply, suggesting efficient cAMP export might be important in
cAMP production. These findings may contribute to further understanding of
capacities of Arthrobacter species and would be highly useful in genetic
regulation for desirable production.
PMID- 29352123
TI - Tumor Tissue Detection using Blood-Oxygen-Level-Dependent Functional MRI based on
Independent Component Analysis.
AB - Accurate delineation of gliomas from the surrounding normal brain areas helps
maximize tumor resection and improves outcome. Blood-oxygen-level-dependent
(BOLD) functional MRI (fMRI) has been routinely adopted for presurgical mapping
of the surrounding functional areas. For completely utilizing such imaging data,
here we show the feasibility of using presurgical fMRI for tumor delineation. In
particular, we introduce a novel method dedicated to tumor detection based on
independent component analysis (ICA) of resting-state fMRI (rs-fMRI) with
automatic tumor component identification. Multi-center rs-fMRI data of 32 glioma
patients from three centers, plus the additional proof-of-concept data of 28
patients from the fourth center with non-brain musculoskeletal tumors, are fed
into individual ICA with different total number of components (TNCs). The best
fitted tumor-related components derived from the optimized TNCs setting are
automatically determined based on a new template-matching algorithm. The success
rates are 100%, 100% and 93.75% for glioma tissue detection for the three
centers, respectively, and 85.19% for musculoskeletal tumor detection. We propose
that the high success rate could come from the previously overlooked ability of
BOLD rs-fMRI in characterizing the abnormal vascularization, vasomotion and
perfusion caused by tumors. Our findings suggest an additional usage of the rs
fMRI for comprehensive presurgical assessment.
PMID- 29352124
TI - HBV infection potentiates resistance to S-phase arrest-inducing chemotherapeutics
by inhibiting CHK2 pathway in diffuse large B-cell lymphoma.
AB - A considerable number of diffuse large B-cell lymphoma (DLBCL) patients are
infected with hepatitis B virus (HBV), which is correlated with their poor
outcomes. However, the role of HBV infection in DLBCL treatment failure remains
poorly understood. Here, our data demonstrated that HBV infection was closely
associated with poorer clinical prognosis independent of its hepatic dysfunction
in germinal center B-cell type (GCB type) DLBCL patients. Interestingly, we found
that DLBCL cells expressing hepatitis B virus X protein (HBX) did not exhibit
enhanced cell growth but did show reduced sensitivity to methotrexate (MTX) and
cytarabine (Ara-C), which induced S-phase arrest. Mechanism studies showed that
HBX specifically inhibited the phosphorylation of checkpoint kinase 2 (CHK2, a
key DNA damage response protein). CHK2 depletion similarly conferred resistance
to the S-phase arrest-inducing chemotherapeutics, consistent with HBX
overexpression in DLBCL cells. Moreover, overexpression of wild-type CHK2 rather
than its unphosphorylated mutant (T68A) significantly restored the reduced
chemosensitivity in HBX-expressing cells, suggesting that HBV infection conferred
resistance to chemotherapeutics that induced S-phase arrest by specifically
inhibiting the activation of CHK2 response signaling in DLBCL.
PMID- 29352125
TI - Biomolecular regulation, composition and nanoarchitecture of bone mineral.
AB - Tough natural nanocomposites like bone, nacre and sea sponges contain within
their hierarchy, a mineral (phosphate, silicate or carbonate) phase that
interacts with an organic phase. In bone, the role of mineral ultrastructure
(organization, morphology, composition) is crucial to the mechanical and
biological properties of the tissue. Better understanding of mineral interaction
with the organic matrix, in particular non-collagenous proteins, osteocalcin (OC)
and osteopontin (OPN), can lead to better design of biomimetic materials. Using
small angle x-ray scattering (SAXS) and wavelength dispersive spectroscopy (WDS)
on single (OC-/- and OPN-/-) and double (OC-OPN-/-;-/-) genetic knockout mice
bones, we demonstrate that both osteocalcin and osteopontin have specific roles
in the biomolecular regulation of mineral in bone and together they are major
determinants of the quality of bone mineral. Specifically, for the first time, we
show that proteins osteocalcin and osteopontin regulate bone mineral crystal size
and organization in a codependent manner, while they independently determine
crystal shape. We found that OC is more dominant in the regulation of the
physical properties of bone mineral, while OPN is more dominant in the regulation
of the mineral composition.
PMID- 29352126
TI - Role of subcortical structures on cognitive and social function in schizophrenia.
AB - Subcortical regions have a pivotal role in cognitive, affective, and social
functions in humans, and the structural and functional abnormalities of the
regions have been associated with various psychiatric disorders. Although
previous studies focused on the neurocognitive and socio-functional consequences
of prefrontal and tempolo-limbic abnormalities in psychiatric disorders, those of
subcortical structures remain largely unknown. Recently, MRI volume alterations
in subcortical structures in patients with schizophrenia have been replicated in
large-scale meta-analytic studies. Here we investigated the relationship between
volumes of subcortical structures and neurocognitive and socio-functional indices
in a large sample of patients with schizophrenia. First, we replicated the
results of meta-analyses: the regional volumes of the bilateral hippocampus,
amygdala, thalamus and nucleus accumbens were significantly smaller for patients
(N = 163) than for healthy controls (HCs, N = 620). Second, in the patient group,
the right nucleus accumbens volume was significantly correlated with the Digit
Symbol Coding score, which is known as a distinctively characteristic index of
cognitive deficits in schizophrenia. Furthermore, the right thalamic volume was
significantly correlated with social function scores. In HCs, no significant
correlation was found. The results from this large-scale investigation shed light
upon the role of specific subcortical nuclei on cognitive and social functioning
in schizophrenia.
PMID- 29352127
TI - Structural Covariance of Gray Matter Volume in HIV Vertically Infected
Adolescents.
AB - Human immunodeficiency virus (HIV) infection significantly affect
neurodevelopmental and behavioral outcomes. We investigated whether alterations
of gray matter organization and structural covariance networks with vertical HIV
infection adolescents exist, by using the GAT toolbox. MRI data were analysed
from 25 HIV vertically infected adolescents and 33 HIV-exposed-uninfected control
participants. The gray matter volume (GMV) was calculated, and structural brain
networks were reconstructed from gray matter co-variance. Gray matter losses were
pronounced in anterior cingulate cortex (ACC), right pallidum, right occipital
lobe, inferior parietal lobe, and bilateral cerebellum crus. The global brain
network measures were not significantly different between the groups; however,
the nodal alterations were most pronounced in frontal, temporal, basal ganglia,
cerebellum, and temporal lobes. Brain hubs in the HIV-infected subjects increased
in number and tended to shift to sensorimotor and temporal areas. In the HIV
infected subjects, decreased GMVs in ACC and bilateral cerebellum were related to
lower Mini-Mental State Examination scores; the CD4 counts were positively
related to the GMVs in ACC and sensorimotor areas. These findings suggest that
focally reduced gray matter, disrupted nodal profiles of structural wirings, and
a shift in hub distribution may represent neuroanatomical biomarkers of HIV
infection on the developing brain.
PMID- 29352129
TI - Molecular characterization of the grape seeds extract's effect against chemically
induced liver cancer: In vivo and in vitro analyses.
AB - The purpose of this study was to investigate the anti-cancer property of grape
seed extract (GSE) during early stages of developing liver cancer using a two
stage carcinogenic model combining diethylnitrosamine (DEN) and 2-Acetyl
Aminofluorene (2-AAF). Administration of GSE at doses 25, 50 and 100 mg/kg per
day started at the beginning of promotion periods and continued for 14 weeks. GSE
dramatically inhibited pre-neoplastic foci formation as well as significantly
decreased the number and the area of placental glutathione-S-transferase in
livers of DEN-2AAF-treated rats by approximately 4 & 10 fold deductions,
respectively. GSE's effects were associated with induced apoptosis, reduced cell
proliferation, decreased oxidative stress and down regulation of histone
deacetylase activity and inflammation makers, such as cyclooxygenase 2, inducible
nitric oxide synthase, nuclear factor-kappa B-p65 and p- phosphorylated tumor
necrosis factor receptor expressions in liver. GSE treatment also decreased the
viability of HepG2 cells and induced early and late apoptosis through activating
caspase-3 and Bax. Furthermore, GSE induced G2/M and G1/S cell cycle arrest. The
present study provides evidence that the GSE's anticancer effect is mediated
through the inhibition of cell proliferation, induction of apoptosis, modulating
oxidative damage and suppressing inflammatory response.
PMID- 29352130
TI - Equal status in Ultimatum Games promotes rational sharing.
AB - Experiments on the Ultimatum Game (UG) repeatedly show that people's behaviour is
far from rational. In UG experiments, a subject proposes how to divide a pot and
the other can accept or reject the proposal, in which case both lose everything.
While rational people would offer and accept the minimum possible amount, in
experiments low offers are often rejected and offers are typically larger than
the minimum, and even fair. Several theoretical works have proposed that these
results may arise evolutionarily when subjects act in both roles and there is a
fixed interaction structure in the population specifying who plays with whom. We
report the first experiments on structured UG with subjects playing
simultaneously both roles. We observe that acceptance levels of responders
approach rationality and proposers accommodate their offers to their environment.
More precisely, subjects keep low acceptance levels all the time, but as
proposers they follow a best-response-like approach to choose their offers. We
thus find that status equality promotes rational sharing while the influence of
structure leads to fairer offers compared to well-mixed populations. Our results
are far from what is observed in single-role UG experiments and largely different
from available predictions based on evolutionary game theory.
PMID- 29352128
TI - Phosphorylation of the Transient Receptor Potential Ankyrin 1 by Cyclin-dependent
Kinase 5 affects Chemo-nociception.
AB - Cyclin-dependent kinase 5 (Cdk5) is a key neuronal kinase that is upregulated
during inflammation, and can subsequently modulate sensitivity to nociceptive
stimuli. We conducted an in silico screen for Cdk5 phosphorylation sites within
proteins whose expression was enriched in nociceptors and identified the chemo
responsive ion channel Transient Receptor Potential Ankyrin 1 (TRPA1) as a
possible Cdk5 substrate. Immunoprecipitated full length TRPA1 was shown to be
phosphorylated by Cdk5 and this interaction was blocked by TFP5, an inhibitor
that prevents activation of Cdk5. In vitro peptide-based kinase assay revealed
that four of six TRPA1 Cdk5 consensus sites acted as substrates for Cdk5, and
modeling of the ankyrin repeats disclosed that phosphorylation would occur at
characteristic pockets within the (T/S)PLH motifs. Calcium imaging of trigeminal
ganglion neurons from genetically engineered mice overexpressing or lacking the
Cdk5 activator p35 displayed increased or decreased responsiveness, respectively,
to stimulation with the TRPA1 agonist allylisothiocyanate (AITC). AITC-induced
chemo-nociceptive behavior was also heightened in vivo in mice overexpressing p35
while being reduced in p35 knockout mice. Our findings demonstrate that TRPA1 is
a substrate of Cdk5 and that Cdk5 activity is also able to modulate TRPA1 agonist
induced calcium influx and chemo-nociceptive behavioral responses.
PMID- 29352132
TI - Design of non-equiatomic medium-entropy alloys.
AB - High-entropy alloys have attracted much attention due to their unique
microstructures and excellent properties. Since their invention more than ten
years ago, research attention has been mainly focused on the study of
multicomponent alloys with equiatomic or near-equiatomic compositions. Here we
propose a novel design of non-equiatomic medium-entropy alloys that contain one
matrix element and several equiatomic alloying elements. To verify the utility of
this new design, a series of Co-free Fex(CrNiAl)100-x (at.%, 25 <= x <= 65)
medium-entropy alloys were designed from the much-studied FeNiCrCoAl high-entropy
alloy. Detailed characterization reveals that the alloys exhibit novel two-phase
microstructures consisting of B2-ordered nanoprecipitates and BCC-disordered
matrix. As the alloys deviate far from equiatomic composition, the structure of
the nanoprecipitates transfers from a spinodal-like intertwined structure to a
nanoparticle dispersed structure. Previous parametric approaches to predict phase
formation rules for high-entropy alloys are unable to describe the phase
separation behaviors in the studied alloys. Our findings provide a new route to
design medium-entropy alloys and also demonstrate a strategy for designing
nanostructured alloys from multicomponent alloy systems through simple variations
in non-equiatomic compositions.
PMID- 29352131
TI - Early impoverished environment delays the maturation of cerebral cortex.
AB - The influence of exposure to impoverished environments on brain development is
unexplored since most studies investigated how environmental impoverishment
affects adult brain. To shed light on the impact of early impoverishment on
developmental trajectories of the nervous system, we developed a protocol of
environmental impoverishment in which dams and pups lived from birth in a
condition of reduced sensory-motor stimulation. Focusing on visual system, we
measured two indexes of functional development, that is visual acuity, assessed
by using Visual Evoked Potentials (VEPs), and VEP latency. In addition, we
assessed in the visual cortex levels of Insulin-Like Growth Factor 1 (IGF-1) and
myelin maturation, together with the expression of the GABA biosynthetic enzyme
GAD67. We found that early impoverishment strongly delays visual acuity and VEP
latency development. These functional changes were accompanied by a significant
reduction of IGF-1 protein and GAD67 expression, as well as by delayed
myelination of nerve fibers, in the visual cortex of impoverished pups. Thus,
exposure to impoverished living conditions causes a significant alteration of
developmental trajectories leading to a prominent delay of brain maturation.
These results underscore the significance of adequate levels of environmental
stimulation for the maturation of central nervous system.
PMID- 29352133
TI - Molecular and physiological roles of the adaptor protein CARD9 in immunity.
AB - CARD9 is a caspase recruitment domain-containing signaling protein that plays a
critical role in innate and adaptive immunity. It has been widely demonstrated
that CARD9 adaptor allows pattern recognition receptors to induce NF-kappaB and
MAPK activation, which initiates a "downstream" inflammation cytokine cascade and
provides effective protection against microbial invasion, especially fungal
infection. Here our aim is to update existing paradigms and summarize the most
recent findings on the CARD9 signaling pathway, revealing significant mechanistic
insights into the pathogenesis of CARD9 deficiency. We also discuss the effect of
CARD9 genetic mutations on the in vivo immune response, and highlight clinical
advances in non-infection inflammation.
PMID- 29352134
TI - Pseudoalteromonas haloplanktis TAC125 produces 4-hydroxybenzoic acid that induces
pyroptosis in human A459 lung adenocarcinoma cells.
AB - In order to exploit the rich reservoir of marine cold-adapted bacteria as a
source of bioactive metabolites, ethyl acetate crude extracts of thirteen polar
marine bacteria were tested for their antiproliferative activity on A549 lung
epithelial cancer cells. The crude extract from Pseudoalteromonas haloplanktis
TAC125 was the most active in inhibiting cell proliferation. Extensive bioassay
guided purification and mass spectrometric characterization allowed the
identification of 4-hydroxybenzoic acid (4-HBA) as the molecule responsible for
this bioactivity. We further demonstrate that 4-HBA inhibits A549 cancer cell
proliferation with an IC50 value <= 1 MUg ml-1, and that the effect is specific,
since the other two HBA isomers (i.e. 2-HBA and 3-HBA) were unable to inhibit
cell proliferation. The effect of 4-HBA is also selective since treatment of
normal lung epithelial cells (WI-38) with 4-HBA did not affect cell viability.
Finally, we show that 4-HBA is able to activate, at the gene and protein levels,
a specific cell death signaling pathway named pyroptosis. Accordingly, the
treatment of A549 cells with 4-HBA induces the transcription of (amongst others)
caspase-1, IL1beta, and IL18 encoding genes. Studies needed for the elucidation
of mode of action of 4-HBA will be instrumental in depicting novel details of
pyroptosis.
PMID- 29352137
TI - Iron Biogeochemistry in the High Latitude North Atlantic Ocean.
AB - Iron (Fe) is an essential micronutrient for marine microbial organisms, and low
supply controls productivity in large parts of the world's ocean. The high
latitude North Atlantic is seasonally Fe limited, but Fe distributions and source
strengths are poorly constrained. Surface ocean dissolved Fe (DFe) concentrations
were low in the study region (<0.1 nM) in summer 2010, with significant
perturbations during spring 2010 in the Iceland Basin as a result of an eruption
of the Eyjafjallajokull volcano (up to 2.5 nM DFe near Iceland) with
biogeochemical consequences. Deep water concentrations in the vicinity of the
Reykjanes Ridge system were influenced by pronounced sediment resuspension, with
indications for additional inputs by hydrothermal vents, with subsequent lateral
transport of Fe and manganese plumes of up to 250-300 km. Particulate Fe formed
the dominant pool, as evidenced by 4-17 fold higher total dissolvable Fe compared
with DFe concentrations, and a dynamic exchange between the fractions appeared to
buffer deep water DFe. Here we show that Fe supply associated with deep winter
mixing (up to 103 nmol m-2 d-1) was at least ca. 4-10 times higher than
atmospheric deposition, diffusive fluxes at the base of the summer mixed layer,
and horizontal surface ocean fluxes.
PMID- 29352136
TI - GPhenoVision: A Ground Mobile System with Multi-modal Imaging for Field-Based
High Throughput Phenotyping of Cotton.
AB - Imaging sensors can extend phenotyping capability, but they require a system to
handle high-volume data. The overall goal of this study was to develop and
evaluate a field-based high throughput phenotyping system accommodating high
resolution imagers. The system consisted of a high-clearance tractor and sensing
and electrical systems. The sensing system was based on a distributed structure,
integrating environmental sensors, real-time kinematic GPS, and multiple imaging
sensors including RGB-D, thermal, and hyperspectral cameras. Custom software was
developed with a multilayered architecture for system control and data
collection. The system was evaluated by scanning a cotton field with 23 genotypes
for quantification of canopy growth and development. A data processing pipeline
was developed to extract phenotypes at the canopy level, including height, width,
projected leaf area, and volume from RGB-D data and temperature from thermal
images. Growth rates of morphological traits were accordingly calculated. The
traits had strong correlations (r = 0.54-0.74) with fiber yield and good broad
sense heritability (H2 = 0.27-0.72), suggesting the potential for conducting
quantitative genetic analysis and contributing to yield prediction models. The
developed system is a useful tool for a wide range of breeding/genetic,
agronomic/physiological, and economic studies.
PMID- 29352135
TI - Blocking Zika virus vertical transmission.
AB - The outbreak of the Zika virus (ZIKV) has been associated with increased
incidence of congenital malformations. Although recent efforts have focused on
vaccine development, treatments for infected individuals are needed urgently.
Sofosbuvir (SOF), an FDA-approved nucleotide analog inhibitor of the Hepatitis C
(HCV) RNA-dependent RNA polymerase (RdRp) was recently shown to be protective
against ZIKV both in vitro and in vivo. Here, we show that SOF protected human
neural progenitor cells (NPC) and 3D neurospheres from ZIKV infection-mediated
cell death and importantly restored the antiviral immune response in NPCs. In
vivo, SOF treatment post-infection (p.i.) decreased viral burden in an
immunodeficient mouse model. Finally, we show for the first time that acute SOF
treatment of pregnant dams p.i. was well-tolerated and prevented vertical
transmission of the virus to the fetus. Taken together, our data confirmed SOF
mediated sparing of human neural cell types from ZIKV-mediated cell death in
vitro and reduced viral burden in vivo in animal models of chronic infection and
vertical transmission, strengthening the growing body of evidence for SOF anti
ZIKV activity.
PMID- 29352138
TI - miR-663 sustains NSCLC by inhibiting mitochondrial outer membrane
permeabilization (MOMP) through PUMA/BBC3 and BTG2.
AB - Treatment of lung cancer is an unmet need as it accounts for the majority of
cancer deaths worldwide. The development of new therapies urges the
identification of potential targets. MicroRNAs' expression is often deregulated
in cancer and their modulation has been proposed as a successful strategy to
interfere with tumor cell growth and spread. We recently reported on an unbiased
high-content approach to identify miRNAs regulating cell proliferation and
tumorigenesis in non-small cell lung cancer (NSCLC). Here we studied the
oncogenic role of miR-663 in NSCLC biology and analyzed the therapeutic potential
of miR-663 targeting. We found that miR-663 regulates apoptosis by controlling
mitochondrial outer membrane permeabilization (MOMP) through the expression of
two novel direct targets PUMA/BBC3 and BTG2. Specifically, upon miR-663 knockdown
the BH3-only protein PUMA/BBC3 directly activates mitochondrial depolarization
and cell death, while BTG2 accumulation further enhances this effect by
triggering p53 mitochondrial localization. Moreover, we show that miR-663
depletion is sufficient to elicit cell death in NSCLC cells and to impair tumor
growth in vivo.
PMID- 29352139
TI - Mitochondrial glutamine metabolism via GOT2 supports pancreatic cancer growth
through senescence inhibition.
AB - Cellular senescence, which leads to a cell cycle arrest of damaged or
dysfunctional cells, is an important mechanism to restrain the malignant
progression of cancer cells. Because metabolic changes underlie many cell-fate
decisions, it has been suggested that cell metabolism might play key roles in
senescence pathways. Here, we show that mitochondrial glutamine metabolism
regulates senescence in human pancreatic ductal adenocarcinoma (PDAC) cells.
Glutamine deprivation or inhibition of mitochondrial aspartate transaminase
(GOT2) results in a profound induction of senescence and a suppression of PDAC
growth. Glutamine carbon flow through GOT2 is required to create NADPH and to
maintain the cellular redox state. We found that elevated reactive oxygen species
levels by GOT2 knockdown lead to the cyclin-dependent kinase inhibitor p27
mediated senescence. Importantly, PDAC cells exhibit distinct dependence on this
pathway, whereas knockdown of GOT2 did not induce senescence in non-transformed
cells. The essentiality of GOT2 in senescence regulation of PDAC, which is
dispensable in their normal counterparts, may have profound implications for the
development of strategies to treat these refractory cancers.
PMID- 29352140
TI - Ultra-high Photoresponsivity in Suspended Metal-Semiconductor-Metal Mesoscopic
Multilayer MoS2 Broadband Detector from UV-to-IR with Low Schottky Barrier
Contacts.
AB - The design, fabrication, and characterization of ultra-high responsivity
photodetectors based on mesoscopic multilayer MoS2 is presented, which is a less
explored system compared to direct band gap monolayer MoS2 that has received
increasing attention in recent years. The device architecture is comprised of a
metal-semiconductor-metal (MSM) photodetector, where Mo was used as the contact
metal to suspended MoS2 membranes. The photoresponsivity [Formula: see text] was
measured to be ~1.4 * 104 A/W, which is > 104 times higher compared to prior
reports, while the detectivity D* was computed to be ~2.3 * 1011 Jones at 300 K
at an optical power P of ~14.5 pW and wavelength lambda of ~700 nm. In addition,
the dominant photocurrent mechanism was determined to be the photoconductive
effect (PCE), while a contribution from the photogating effect was also noted
from trap-states that yielded a wide spectral photoresponse from UV-to-IR (400 nm
to 1100 nm) with an external quantum efficiency (EQE) ~104. From time-resolved
photocurrent measurements, a decay time tau d ~ 2.5 ms at 300 K was measured from
the falling edge of the photogenerated waveform after irradiating the device with
a stream of incoming ON/OFF white light pulses.
PMID- 29352141
TI - Pressure effect on impurity local vibrational mode and phase transitions in n
type iron-doped indium phosphide.
AB - The evolution of iron local vibrational mode (Fe LVM) and phase transitions in n
type iron-doped indium phosphide (InP:Fe) were investigated at ambient
temperature. In-situ angle-dispersive X-ray diffraction measurements revealed
that InP:Fe starts to transform from zinc-blende (ZB) to rock-salt (RS) structure
around 8.2(2) GPa and completes around 16.0(2) GPa. The Raman shift of both
transverse and longitudinal optical modes increases monotonically with increasing
pressure, while their intensities become indiscernible at 11.6(2) GPa, suggesting
that the pressure-induced phase transition is accompanied by significant
metallization. In contrast, originally absent at ambient pressure, the Raman
shift of Fe LVM appears at ~420 cm-1 near 1.2 GPa and exhibits a dome shape
behavior with increasing pressure, reaching a maximum value of ~440 cm-1 around 5
GPa, with an apparent kink occurring around the ZB-RS transition pressure of
~8.5(2) GPa. The Fe K-edge X-ray absorption near edge structure (XANES) confirmed
the tetrahedral site occupation of Fe3+ with a crystal field splitting parameter
Delta t = 38 kJ.mole-1. Our calculations indicate that the energy parameters
governing the phase transition are Deltat = 0.49 and Delta o = 1.10 kJ.mole-1,
respectively, both are much smaller than Delta t = 38 kJ.mole-1 at ambient.
PMID- 29352142
TI - FBXL10 contributes to the development of diffuse large B-cell lymphoma by
epigenetically enhancing ERK1/2 signaling pathway.
AB - Epigenetic modifiers have emerged as critical factors governing the biology of
different cancers. Herein we show that FBXL10 (also called KDM2B or JHDM1B), an
important member of Polycomb repressive complexes, is overexpressed in human
diffuse large B-cell lymphoma (DLBCL) tissues and the derived cell lines.
Knocking down FBXL10 by specific short hairpin RNAs in DLBCL cells inhibits cell
proliferation and induces apoptosis in vitro. Moreover, FBXL10 depletion in DLBCL
cells abrogates tumor growth in mouse xenograft models. Through the analysis of
RNA sequencing, we find that one of the key derepressed genes by depletion of
FBXL10 is DUSP6, encoding a phosphatase for ERK1/2. Mechanistically FBXL10
maintains the silencing of DUSP6 expression via recruitment of Polycomb group
proteins and deposition of repressive histone modifications at the DUSP6
promoter. Consistently, FBXL10 is required for ERK1/2 phosphorylation in DLBCL
cells. Furthermore, we show that ERK1/2 activation and the proliferation rate of
FBXL10-depleted cells can be rescued by downregulation of DUSP6 expression. These
findings indicate that FBXL10 may be a promising therapeutic target in DLBCL and
establish a link of epigenetic regulators to kinase signaling pathways.
PMID- 29352143
TI - Ribosomal protein uS7/Rps5 serine-223 in protein kinase-mediated phosphorylation
and ribosomal small subunit maturation.
AB - Cellular translation should be precisely controlled in response to extracellular
cues. However, knowledge is limited concerning signal transduction-regulated
translation. In the present study, phosphorylation was identified in the 40S
small subunit ribosomal protein uS7 (Yjr123w/previously called as Rps5) by Ypk1
and Pkc1, AGC family protein kinases in yeast Saccharomyces cerevisiae. Serine
residue 223 (Ser223) of uS7 in the conserved C-terminal region was crucial for
this phosphorylation event. S223A mutant uS7 caused severe reduction of small
ribosomal subunit production, likely due to compromised interaction with Rio2,
resulting in both reduced translation and reduced cellular proliferation.
Contrary to optimal culture conditions, heat stressed S223A mutant cells
exhibited increased heat resistance and induced heat shock proteins. Taken
together, an intracellular signal transduction pathway involving Ypk1/Pkc1 seemed
to play an important role in ribosome biogenesis and subsequent cellular
translation, utilizing uS7 as a substrate.
PMID- 29352144
TI - Pressure assisted enhancement in superconducting properties of Fe substituted
NbSe2 single crystal.
AB - The impact of hydrostatic pressure (P) up to 1 GPa on T c , J c and the nature of
the pinning mechanism in FexNbSe2 single crystals have been investigated within
the framework of the collective theory. We found that the pressure can induce a
transition from the regime where pinning is controlled by spatial variation in
the critical transition temperature (deltaT c ) to the regime controlled by
spatial variation in the mean free path (deltal). Furthermore, T c and low field
J c are slightly induced, although the J c drops more rapidly at high fields than
at ambient P. The pressure effect enhances the anisotropy and reduces the
coherence length, resulting in weak interaction of the vortex cores with the
pinning centers. Moreover, the P can induce the density of states, which, in
turn, leads to enhance in T c with increasing P. P enhances the T c with the
rates of dT c /dP of 0.86, 1.35 and 1.47 K/GPa for FexNbSe2, respectively. The
magnetization data are used to establish a vortex phase diagram. The nature of
the vortices has been determined from the scaling behaviour of the pinning force
density extracted from the J c -H isotherms and demonstrates the point pinning
mechanism.
PMID- 29352145
TI - Integrating modality-specific expectancies for the deployment of spatial
attention.
AB - The deployment of spatial attention is highly sensitive to stimulus
predictability. Despite evidence for strong crossmodal links in spatial
attentional systems, it remains to be elucidated how concurrent but divergent
predictions for targets in different sensory modalities are integrated. In a
series of behavioral studies, we investigated the processing of modality-specific
expectancies using a multimodal cueing paradigm in which auditory cues predicted
the location of visual or tactile targets with modality-specific cue
predictability. The cue predictability for visual and tactile targets was
manipulated independently. A Bayesian ideal observer model with a weighting
factor was applied to trial-wise individual response speed to investigate how the
two probabilistic contexts are integrated. Results showed that the degree of
integration depended on the level of predictability and on the divergence of the
modality-specific probabilistic contexts (Experiments 1-2). However, when the two
probabilistic contexts were matched in their level of predictability and were
highly divergent (Experiment 3), higher separate processing was favored,
especially when visual targets were processed. These findings suggest that
modality-specific predictions are flexibly integrated according to their
reliability, supporting the hypothesis of separate modality-specific attentional
systems that are however linked to guarantee an efficient deployment of spatial
attention across the senses.
PMID- 29352146
TI - Effects of Renal Denervation on Cardiac Structural and Functional Abnormalities
in Patients with Resistant Hypertension or Diastolic Dysfunction.
AB - The aim of the present study is to systematically evaluate the impact of RDN on
cardiac structure and function in patients with resistant hypertension (RH) or
diastolic dysfunction. We retrieved Pubmed, Embase and Cocharane Library
databases, from inception to April 1st, 2016. Studies reporting left ventricular
mass (LVMI) or left ventricular (LV) diastolic function (reflected by the ratio
of mitral inflow velocity to annular relaxation velocity [E/e']) responses to RDN
were included. Two randomized controlled trials (RCTs), 3 controlled studies and
11 uncontrolled studies were finally identified. In observational studies, there
was a reduction in LVMI, E/e' and N-terminal pro B-type natriuretic peptide (BNP)
at 6 months, compared with pre-RDN values. LV ejection fraction (LVEF) elevated
at 6 months following RDN. In RCTs, however, no significant change in LVMI, E/e',
BNP, left atrial volume index or LVEF was observed at 12 months, compared with
pharmaceutical therapy. In summary, both LV hypertrophy and cardiac function
improved at 6 months after RDN. Nonetheless, current evidence failed to show that
RDN was superior to intensive (optimal) drug therapy in improving cardiac
remodeling and function.
PMID- 29352148
TI - Stochastic optimization of broadband reflecting photonic structures.
AB - Photonic crystals (PCs) are built to control the propagation of light within
their structure. These can be used for an assortment of applications where custom
designed devices are of interest. Among them, one-dimensional PCs can be produced
to achieve the reflection of specific and broad wavelength ranges. However, their
design and fabrication are challenging due to the diversity of periodic
arrangement and layer configuration that each different PC needs. In this study,
we present a framework to design high reflecting PCs for any desired wavelength
range. Our method combines three stochastic optimization algorithms (Random
Search, Particle Swarm Optimization and Simulated Annealing) along with a reduced
space-search methodology to obtain a custom and optimized PC configuration. The
optimization procedure is evaluated through theoretical reflectance spectra
calculated by using the Equispaced Thickness Method, which improves the
simulations due to the consideration of incoherent light transmission. We prove
the viability of our procedure by fabricating different reflecting PCs made of
porous silicon and obtain good agreement between experiment and theory using a
merit function. With this methodology, diverse reflecting PCs can be designed for
any applications and fabricated with different materials.
PMID- 29352147
TI - Pre-conception maternal erythrocyte saturated to unsaturated fatty acid ratio
predicts pregnancy after natural cycle frozen embryo transfer.
AB - The environment for embryo implantation and fetal growth and development is
affected by maternal nutritional, metabolic and health status. The aim of this
prospective, cohort study was to test whether plasma metabolic and inflammatory
biomarkers can predict pregnancy resulting from in vitro fertilisation (IVF).
Women with a natural menstrual cycle undergoing frozen embryo transfer (FET) were
recruited and fasting baseline blood samples were collected a mean of 3.4 days
prior to the luteinising hormone (LH) surge and a non-fasting blood sample was
taken on the day of FET. Ongoing pregnancy was defined by positive fetal
heartbeat on ultrasound scan at day 45 post LH surge. Thirty-six pregnancies
resulted from FET in 143 women. In an overall stepwise multivariable analysis,
erythrocyte saturated to unsaturated fatty acid ratio was positively associated
with ongoing pregnancy. A similar model incorporating day of FET covariates found
that erythrocyte saturated to unsaturated fatty acid ratio, erythrocyte fatty
acid average chain length and plasma log-triglycerides predicted ongoing
pregnancy. In conclusion, a higher peri-conceptional saturated to unsaturated
fatty acid ratio predicted ongoing pregnancy after natural cycle frozen embryo
transfer and may reflect a maternal nutritional status that facilitates pregnancy
success in this assisted conception scenario.
PMID- 29352149
TI - Spin Hall-induced auto-oscillations in ultrathin YIG grown on Pt.
AB - We experimentally study nanowire-shaped spin-Hall nano-oscillators based on
nanometer-thick epitaxial films of Yttrium Iron Garnet grown on top of a layer of
Pt. We show that, although these films are characterized by significantly larger
magnetic damping in comparison with the films grown directly on Gadolinium
Gallium Garnet, they allow one to achieve spin current-driven auto-oscillations
at comparable current densities, which can be an indication of the better
transparency of the interface to the spin current. These observations suggest a
route for improvement of the flexibility of insulator-based spintronic devices
and their compatibility with semiconductor technology.
PMID- 29352150
TI - Identification and quantification of plasma calciprotein particles with distinct
physical properties in patients with chronic kidney disease.
AB - Calciprotein particles (CPP) are solid-phase calcium-phosphate bound to serum
protein fetuin-A and dispersed as colloids in the blood. Recent clinical studies
indicated that serum CPP levels were increased with decline of renal function and
associated with inflammation and vascular calcification. However, CPP assays used
in these studies measured only a part of CPP over a certain particle size and
density. Here we show that such CPP are mostly artifacts generated during
processing of serum samples in vitro. The native CPP in fresh plasma are smaller
in size and lower in density than those artifactual CPP, composed of fetuin-A
carrying amorphous and/or crystalline calcium-phosphate, and increased primarily
with serum phosphate levels. We have identified several physicochemical factors
that promote aggregation/dissolution of CPP and transition of the calcium
phosphate from the amorphous phase to the crystalline phase in vitro, including
addition of anti-coagulants, composition of buffer for sample dilution, the
number of freeze-thaw cycles, the speed for sample freezing, and how many hours
the samples were left at what temperature. Therefore, it is of critical
importance to standardize these factors during sample preparation in clinical
studies on CPP and to investigate the biological activity of the native CPP.
PMID- 29352152
TI - Selection and validation of reference genes for qRT-PCR analysis of gene
expression in Microsporum canis growing under different adhesion-inducing
conditions.
AB - Dermatophytes are the group of filamentous fungi infecting keratinized structures
such as skin, hair, and nails. Knowledge about genes and molecular mechanisms
responsible for pathogenicity, as well as other biological properties of
Microsporum canis is still relatively poor. The qRT-PCR is a reliable technique
for quantifying gene expression across various biological processes, and choosing
a set of suitable reference genes to normalize the expression data is a crucial
step of this technique. We investigated the suitability of nine candidate
reference genes: beta-act, beta-tub, adp-rf, ef1-alpha, sdha, rpl2, mbp1, psm1,
and rGTPa for gene expression analysis in the dermatophyte M. canis in response
to different carbon sources, phosphate levels, and pH shifts - factors that are
extremely important and necessary for growth of dermatophyte in the host tissue.
The transcription stability of these genes was evaluated using NormFinder,
geNorm, BestKeeper, and RefFinder software. Regarding expression stability, mbp1,
beta-act, and sdha were the most stable housekeeping genes which we recommend for
future qRT-PCR studies on M. canis strains. To the best of our knowledge this is
the first study on selection and validation of reference genes for qRT-PCR data
normalization in M. canis growth in culture media which promote adhesion-inducing
conditions.
PMID- 29352151
TI - Effects of genetic variants on platelet reactivity and one-year clinical outcomes
after percutaneous coronary intervention: A prospective multicentre registry
study.
AB - Clopidogrel is the mainstay for antiplatelet treatment after percutaneous
coronary intervention (PCI). The relationship of platelet reactivity and genetic
polymorphism with clinical outcomes with newer-generation drug-eluting stents is
unclear. We analysed 4,587 patients for the most powerful single-nucleotide
polymorphisms (CYP2C19, CYP2C9, ABCB1, PON1, and P2Y12) related to on-treatment
platelet reactivity (OPR). The optimal cut-off value of high OPR for major
adverse thrombotic events was 266. CYP2C19 was significantly associated with high
OPR and the number of CYP2C19*R (*2 or *3) alleles was proportional to the
increased risk of high OPR. Death, myocardial infarction (MI), stroke, stent
thrombosis, and bleeding events were assessed during a 1-year follow-up period.
Primary endpoints were death and non-fatal MI. The cumulative 1-year incidence of
death and stent thrombosis was significantly higher in patients with
CYP2C19*2/*2, CYP2C19*2/*3, and CYP2C19*3/*3 (Group 3) than in patients with
CYP2C19*1/*1 (Group 1). Multivariate Cox proportional hazard model showed that
cardiac death risk was significantly higher in Group 3 than in Group 1 (hazard
ratio 2.69, 95% confidence interval 1.154-6.263, p = 0.022). No association was
reported between bleeding and OPR. Thus, CYP2C19 may exert a significant impact
on the prognosis of PCI patients even in the era of newer-generation drug-eluting
stents.
PMID- 29352153
TI - Biology-Culture Co-evolution in Finite Populations.
AB - Language is the result of two concurrent evolutionary processes: biological and
cultural inheritance. An influential evolutionary hypothesis known as the moving
target problem implies inherent limitations on the interactions between our two
inheritance streams that result from a difference in pace: the speed of cultural
evolution is thought to rule out cognitive adaptation to culturally evolving
aspects of language. We examine this hypothesis formally by casting it as as a
problem of adaptation in time-varying environments. We present a mathematical
model of biology-culture co-evolution in finite populations: a generalisation of
the Moran process, treating co-evolution as coupled non-independent Markov
processes, providing a general formulation of the moving target hypothesis in
precise probabilistic terms. Rapidly varying culture decreases the probability of
biological adaptation. However, we show that this effect declines with population
size and with stronger links between biology and culture: in realistically sized
finite populations, stochastic effects can carry cognitive specialisations to
fixation in the face of variable culture, especially if the effects of those
specialisations are amplified through cultural evolution. These results support
the view that language arises from interactions between our two major inheritance
streams, rather than from one primary evolutionary process that dominates
another.
PMID- 29352154
TI - Resting state brain networks in the prairie vole.
AB - Resting state functional magnetic resonance imaging (rsfMRI) has shown the
hierarchical organization of the human brain into large-scale complex networks,
referred as resting state networks. This technique has turned into a promising
translational research tool after the finding of similar resting state networks
in non-human primates, rodents and other animal models of great value for
neuroscience. Here, we demonstrate and characterize the presence of resting
states networks in Microtus ochrogaster, the prairie vole, an extraordinary
animal model to study complex human-like social behavior, with potential
implications for the research of normal social development, addiction and
neuropsychiatric disorders. Independent component analysis of rsfMRI data from
isoflurane-anestethized prairie voles resulted in cortical and subcortical
networks, including primary motor and sensory networks, but also included
putative salience and default mode networks. We further discuss how future
research could help to close the gap between the properties of the large scale
functional organization and the underlying neurobiology of several aspects of
social cognition. These results contribute to the evidence of preserved resting
state brain networks across species and provide the foundations to explore the
use of rsfMRI in the prairie vole for basic and translational research.
PMID- 29352155
TI - Conflict-sensitive neurons gate interocular suppression in human visual cortex.
AB - Neural suppression plays an important role in cortical function, including
sensory, memory, and motor systems. It remains, however, relatively poorly
understood. A paradigmatic case arises when conflicting images are presented to
the two eyes. These images can compete for awareness, and one is usually strongly
suppressed. The mechanisms that resolve such interocular conflict remain unclear.
Suppression could arise solely from "winner-take-all" competition between neurons
responsive to each eye. Alternatively, suppression could also depend upon neurons
detecting interocular conflict. Here, we provide physiological evidence in human
visual cortex for the latter: suppression depends upon conflict-sensitive
neurons. We recorded steady-state visual evoked potentials (SSVEP), and used the
logic of selective adaptation. The amplitude of SSVEP responses at
intermodulation frequencies strengthened as interocular conflict in the stimulus
increased, suggesting the presence of neurons responsive to conflict. Critically,
adaptation to conflict both reduced this SSVEP effect, and increased the amount
of conflict needed to produce perceptual suppression. The simplest account of
these results is that interocular-conflict-sensitive neurons exist in human
cortex: adaptation likely reduced the responsiveness of these neurons which in
turn raised the amount of conflict required to produce perceptual suppression.
Similar mechanisms may be used to resolve other varieties of perceptual conflict.
PMID- 29352156
TI - Comprehensive reduction of amino acid set in a protein suggests the importance of
prebiotic amino acids for stable proteins.
AB - Modern organisms commonly use the same set of 20 genetically coded amino acids
for protein synthesis with very few exceptions. However, earlier protein
synthesis was plausibly much simpler than modern one and utilized only a limited
set of amino acids. Nevertheless, few experimental tests of this issue with
arbitrarily chosen amino acid sets had been reported prior to this report. Herein
we comprehensively and systematically reduced the size of the amino acid set
constituting an ancestral nucleoside kinase that was reconstructed in our
previous study. We eventually found that two convergent sequences, each comprised
of a 13-amino acid alphabet, folded into soluble, stable and catalytically active
structures, even though their stabilities and activities were not as high as
those of the parent protein. Notably, many but not all of the reduced-set amino
acids coincide with those plausibly abundant in primitive Earth. The inconsistent
amino acids appeared to be important for catalytic activity but not for
stability. Therefore, our findings suggest that the prebiotically abundant amino
acids were used for creating stable protein structures and other amino acids with
functional side chains were recruited to achieve efficient catalysis.
PMID- 29352157
TI - Prevalence of parenthood in wheelchair-dependent persons with long-term spinal
cord injury in the Netherlands.
AB - STUDY DESIGN: Multicenter cross-sectional study. OBJECTIVES: To determine the
prevalence of parenthood in long-term wheelchair-dependent persons who sustained
a spinal cord injury (SCI) during their reproductive years. Secondary aims were
to (1) explore patient-specific and disease-related factors associated with
parenthood after SCI; and (2) quantify fertility aids used by men with SCI.
SETTING: Eight specialized SCI rehabilitation centers in the Netherlands.
METHODS: Questionnaires and physical examination were applied in 255 persons with
SCI. Prevalence rates of parenthood among the general Dutch population were used
for comparison. Logistic regression analyses were used to explore factors
associated with parenthood after SCI. RESULTS: Prevalence of parenthood in SCI
was 50% in men and 45% in women, which was significantly (P < 0.05) lower than
rates in the general population (74% in men and 81% in women). Among the parents
with SCI, most (66% of males and 72% of females) of them had children after SCI.
Parenting children after SCI was associated with partnership (OR = 14.5, P < .001
[men]; OR = 3.7, P = .05 [women]), normal micturition (OR = 4.9, P = .02 [men]),
incomplete lesion (OR = 5.4, P = .03 [women]), and paraplegia (OR = 7.3, P = .02
[women]). The most frequently used methods for ejaculation and fertilization were
electroejaculation (29%) and intracytoplasmatic sperm injection (23%).
CONCLUSIONS: Prevalence of parenthood in SCI persons is low. However, half of the
persons with SCI do become parents, with most doing so following SCI. Demographic
and disease-related factors may contribute to this.
PMID- 29352158
TI - Fabrication of multiple nanopores in a SiNx membrane via controlled breakdown.
AB - This paper reports a controlled breakdown (CBD) method to fabricate multiple
nanopores in a silicon nitride (SiNx) membrane with control over both nanopore
count and nanopore diameter. Despite the stochastic process of the breakdown, we
found that the nanopores created via CBD, tend to be of the same diameter. We
propose a membrane resistance model to explain and control the multiple nanopores
forming in the membrane. We prove that the membrane resistance can reflect the
number of nanopores in the membrane and that the diameter of the nanopores is
controlled by the exposure time and strength of the electric field. This
controllable multiple nanopore formation via CBD avoids the utilization of
complicated instruments and time-intensive manufacturing. We anticipate CBD has
the potential to become a nanopore fabrication technique which, integrated into
an optical setup, could be used as a high-throughput and multichannel
characterization technique.
PMID- 29352159
TI - 3,3'-Diaminobenzidine staining interferes with PCR-based DNA analysis.
AB - 3,3'-Diaminobenzidine (DAB) is a widely used chromogen in histological staining
methods and stained tissue is often used in downstream molecular analyses such as
quantitative PCR (qPCR). Using microdissected muscle fibers from sequential
muscle sections stained by DAB-dependent and -independent methods, we show that
DAB exerts a strong inhibitory effect on qPCR-based mitochondrial DNA
quantification. This effect introduces a significant bias in the estimation of
mitochondrial DNA copy number and deletion levels between DAB-positive and
negative fibers. We reproduce our findings in microdissected neurons from human
brain tissue, suggesting a general effect of DAB staining on PCR analyses
independent of the underlying tissue or cell type. Using an exogenous DNA
template added to tissue samples we provide evidence that DAB-staining
predominantly interferes with the tissue-derived DNA template rather than
inhibiting DNA polymerase activity. Our results suggest that DAB-based staining
is incompatible with PCR-based quantification methods and some of the previously
reported results employing this approach should be reconsidered.
PMID- 29352160
TI - The 'forma specialis' issue in Fusarium: A case study in Fusarium solani f. sp.
pisi.
AB - The Fusarium solani species complex (FSSC) has been studied intensively but its
association with legumes, particularly under European agro-climatic conditions,
is still poorly understood. In the present study, we investigated phylogenetic
relationships and aggressiveness of 79 isolates of the FSSC collected from pea,
subterranean clover, white clover and winter vetch grown under diverse agro
climatic and soil conditions within Temperate and Mediterranean Europe. The
isolates were characterized by sequencing tef1 and rpb2 loci and by greenhouse
aggressiveness assays. The majority of the isolates belonged to two lineages: the
F. pisi comb. nov. lineage (formerly F. solani f. sp. pisi) mainly accommodating
German and Swiss isolates, and the Fusisporium (Fusarium) solani lineage
accommodating mainly Italian isolates. Based on the results of aggressiveness
tests on pea, most of the isolates were classified as weakly to moderately
aggressive. In addition, using one model strain, 62 accessions of 10 legume
genera were evaluated for their potential to host F. pisi, the species known
mainly as a pathogen of pea. A total of 58 accessions were colonized, with 25 of
these being asymptomatic hosts. These results suggest a broad host range for F.
pisi and challenge the forma specialis naming system in Fusarium.
PMID- 29352161
TI - The action of a negative allosteric modulator at the dopamine D2 receptor is
dependent upon sodium ions.
AB - Sodium ions (Na+) allosterically modulate the binding of orthosteric agonists and
antagonists to many class A G protein-coupled receptors, including the dopamine
D2 receptor (D2R). Experimental and computational evidences have revealed that
this effect is mediated by the binding of Na+ to a conserved site located beneath
the orthosteric binding site (OBS). SB269652 acts as a negative allosteric
modulator (NAM) of the D2R that adopts an extended bitopic pose, in which the
tetrahydroisoquinoline moiety interacts with the OBS and the indole-2-carboxamide
moiety occupies a secondary binding pocket (SBP). In this study, we find that the
presence of a Na+ within the conserved Na+-binding pocket is required for the
action of SB269652. Using fragments of SB269652 and novel full-length analogues,
we show that Na+ is required for the high affinity binding of the
tetrahydroisoquinoline moiety within the OBS, and that the interaction of the
indole-2-carboxamide moiety with the SBP determines the degree of Na+
sensitivity. Thus, we extend our understanding of the mode of action of this
novel class of NAM by showing it acts synergistically with Na+ to modulate the
binding of orthosteric ligands at the D2R, providing opportunities for fine
tuning of modulatory effects in future allosteric drug design efforts.
PMID- 29352162
TI - A prolonged run-in period of standard subcutaneous microdialysis ameliorates
quality of interstitial glucose signal in patients after major cardiac surgery.
AB - We evaluated a standard subcutaneous microdialysis technique for glucose
monitoring in two critically ill patient populations and tested whether a
prolonged run-in period improves the quality of the interstitial glucose signal.
20 surgical patients after major cardiac surgery (APACHE II score: 10.1 +/- 3.2)
and 10 medical patients with severe sepsis (APACHE II score: 31.1 +/- 4.3) were
included in this investigation. A microdialysis catheter was inserted in the
subcutaneous adipose tissue of the abdominal region. Interstitial fluid and
arterial blood were sampled in hourly intervals to analyse glucose
concentrations. Subcutaneous adipose tissue glucose was prospectively calibrated
to reference arterial blood either at hour 1 or at hour 6. Median absolute
relative difference of glucose (MARD), calibrated at hour 6 (6.2 (2.6; 12.4) %)
versus hour 1 (9.9 (4.2; 17.9) %) after catheter insertion indicated a
significant improvement in signal quality in patients after major cardiac surgery
(p < 0.001). Prolonged run-in period revealed no significant improvement in
patients with severe sepsis, but the number of extreme deviations from the blood
plasma values could be reduced. Improved concurrence of glucose readings via a 6
hour run-in period could only be achieved in patients after major cardiac
surgery.
PMID- 29352163
TI - Word Mode: a crowding-free reading protocol for individuals with macular disease.
AB - Central retinal loss through macular disease markedly reduces the ability to read
largely because identification of a word using peripheral vision is negatively
influenced by nearby text, a phenomenon termed visual crowding. Here, we present
a novel peripheral reading protocol, termed Word Mode, that eliminates crowding
by presenting each word in isolation but in a position that mimics its natural
position in the line of text being read, with each new word elicited using a self
paced button press. We used a gaze-contingent paradigm to simulate a central
scotoma in four normally-sighted observers, and measured oral reading speed for
text positioned 7.5 degrees in the inferior field. Compared with reading whole
sentences, our crowding-free protocol increased peripheral reading speeds by up
to a factor of seven, resulted in significantly fewer reading errors and
fixations per sentence, and reduced both the critical print size and the text
size required for spot reading by 0.2-0.3 logMAR. We conclude that the level of
reading efficiency afforded by the crowding-free reading protocol Word Mode may
return reading as a viable activity to many individuals with macular disease.
PMID- 29352164
TI - Determinants of breast size in Asian women.
AB - Breast size as a risk factor of breast cancer has been studied extensively with
inconclusive results. Here we examined the associations between breast size and
breast cancer risk factors in 24,353 Asian women aged 50 to 64 years old enrolled
in a nationwide mammography screening project conducted between October 1994 and
February 1997. Information on demographic and reproductive factors was obtained
via a questionnaire. Breast size was ascertained as bust line measured at study
recruitment and total breast area measured from a mammogram. The average bust
line and total breast area was 91.2 cm and 102.3 cm2, respectively. The two
breast measurements were moderately correlated (Spearman correlation coefficient
= 0.65). Age, BMI, marital and working status were independently associated with
bust line and total breast area. In the multivariable analyses, the most
pronounced effects were observed for BMI (24.2 cm difference in bust line and
39.4 cm2 in breast area comparing women with BMI >=30 kg/m2 to BMI <20 kg/m2).
Ethnicity was a positive predictor for total breast area, but not bust line.
PMID- 29352165
TI - Construction of full-length Japanese reference panel of class I HLA genes with
single-molecule, real-time sequencing.
AB - Human leukocyte antigen (HLA) is a gene complex known for its exceptional
diversity across populations, importance in organ and blood stem cell
transplantation, and associations of specific alleles with various diseases. We
constructed a Japanese reference panel of class I HLA genes (ToMMo HLA panel),
comprising a distinct set of HLA-A, HLA-B, HLA-C, and HLA-H alleles, by single
molecule, real-time (SMRT) sequencing of 208 individuals included in the 1070
whole-genome Japanese reference panel (1KJPN). For high-quality allele
reconstruction, we developed a novel pipeline, Primer-Separation Assembly and
Refinement Pipeline (PSARP), in which the SMRT sequencing and additional short
read data were used. The panel consisted of 139 alleles, which were all extended
from known IPD-IMGT/HLA sequences, contained 40 with novel variants, and captured
more than 96.5% of allelic diversity in 1KJPN. These newly available sequences
would be important resources for research and clinical applications including
high-resolution HLA typing, genetic association studies, and analyzes of cis
regulatory elements.
PMID- 29352166
TI - Epstein-Barr virus encoded latent membrane protein 1 suppresses necroptosis
through targeting RIPK1/3 ubiquitination.
AB - Necroptosis is an alternative programmed cell death pathway that is unleashed in
the absence of apoptosis and mediated by signaling complexes containing receptor
interating protein kinase 1 (RIPK1) and RIPK3. This form of cell death has
recently been implicated in host defense system to eliminate pathogen-infected
cells. However, only a few viral species such as herpes simplex virus (HSV) and
cytomegalovirus (CMV) have evolved mechanisms inhibiting necroptosis to overcome
host antiviral defense, which is important for successful pathogenesis. Here, we
show that the gamma-herpesvirus Epstein-Barr virus (EBV) blocks necroptosis in
EBV-infected human nasopharyngeal epithelial cells and nasopharyngeal carcinoma
cells. Our findings indicate that EBV-encoded latent membrane protein 1 (LMP1),
which lacks an RIP homotypic interaction motif (RHIM) domain, has mechanisms
distinct from RHIM signaling competition to inhibit this necroptotic pathway.
Intriguingly, LMP1 interacts directly with both RIPK1 and RIPK3 through its C
terminal activation region. More importantly, LMP1 can modulate the post
translational modification of the two receptor-interacting proteins. We then show
that LMP1-mediated promotion of K63-polyubiquitinated RIPK1, suppression of RIPK1
protein expression and inhibition of K63-polyubiquitinated RIPK3 induced a switch
in cell fate from necroptotic death to survival. These findings provide direct
evidence for the suppression of necroptosis by EBV and define a mechanism of LMP1
to interrupt the initiation process of necroptosis before necrosome formation.
PMID- 29352167
TI - SREBP1c mediates the effect of acetaldehyde on Cidea expression in Alcoholic
fatty liver Mice.
AB - Cell death inducing DNA fragmentation factor-alpha-like A (Cidea) is a member of
cell death-inducing DFF45-like effector (CIDE) protein. The initial function of
CIDE is the promotion of cell death and DNA fragmentation in mammalian cells.
Cidea was recently reported to play critical roles in the development of hepatic
steatosis. The purpose of present study is to determine the effect of chronic
alcohol intake on Cidea expression in the livers of mice with alcoholic fatty
liver disease. Cidea expression was significantly increased in the liver of
alcohol-induced fatty liver mice. While, knockdown of Cidea caused lipid droplets
numbers reduction. Next, we detected the activity of ALDH2 reduction and the
concentration of serum acetaldehyde accumulation in our alcohol-induced fatty
liver mice. Cidea expression was elevated in AML12 cells exposed to 100uM
acetaldehyde. Interestingly, Dual-luciferase reporter gene assay showed that 100
uM acetaldehyde led to the activation of Cidea reporter gene plasmid which
containing SRE element. What's more, the knockdown of SREBP1c suppressed
acetaldehyde-induced Cidea expression. Overall, our findings suggest that Cidea
is highly associated with alcoholic fatty liver disease and Cidea expression is
specifically induced by acetaldehyde, and this up-regulation is most likely
mediated by SREBP1c.
PMID- 29352169
TI - Chaski, a novel Drosophila lactate/pyruvate transporter required in glia cells
for survival under nutritional stress.
AB - The intercellular transport of lactate is crucial for the astrocyte-to-neuron
lactate shuttle (ANLS), a model of brain energetics according to which neurons
are fueled by astrocytic lactate. In this study we show that the Drosophila
chaski gene encodes a monocarboxylate transporter protein (MCT/SLC16A) which
functions as a lactate/pyruvate transporter, as demonstrated by heterologous
expression in mammalian cell culture using a genetically encoded FRET nanosensor.
chaski expression is prominent in the Drosophila central nervous system and it is
particularly enriched in glia over neurons. chaski mutants exhibit defects in a
high energy demanding process such as synaptic transmission, as well as in
locomotion and survival under nutritional stress. Remarkably, locomotion and
survival under nutritional stress defects are restored by chaski expression in
glia cells. Our findings are consistent with a major role for intercellular
lactate shuttling in the brain metabolism of Drosophila.
PMID- 29352168
TI - Epigenetic control of influenza virus: role of H3K79 methylation in interferon
induced antiviral response.
AB - Influenza virus stablishes a network of virus-host functional interactions, which
depends on chromatin dynamic and therefore on epigenetic modifications. Using an
unbiased search, we analyzed the epigenetic changes at DNA methylation and post
translational histone modification levels induced by the infection. DNA
methylation was unaltered, while we found a general decrease on histone
acetylation, which correlates with transcriptional inactivation and may cooperate
with the impairment of cellular transcription that causes influenza virus
infection. A particular increase in H3K79 methylation was observed and the use of
an inhibitor of the specific H3K79 methylase, Dot1L enzyme, or its silencing,
increased influenza virus replication. The antiviral response was reduced in
conditions of Dot1L downregulation, since decreased nuclear translocation of NF
kB complex, and IFN-beta, Mx1 and ISG56 expression was detected. The data
suggested a control of antiviral signaling by methylation of H3K79 and
consequently, influenza virus replication was unaffected in IFN pathway
compromised, Dot1L-inhibited cells. H3K79 methylation also controlled replication
of another potent interferon-inducing virus such as vesicular stomatitis virus,
but did not modify amplification of respiratory syncytial virus that poorly
induces interferon signaling. Epigenetic methylation of H3K79 might have an
important role in controlling interferon-induced signaling against viral
pathogens.
PMID- 29352170
TI - Plasma proteomic study of acute mountain sickness susceptible and resistant
individuals.
AB - Although extensive studies have focused on the development of acute mountain
sickness (AMS), the exact mechanisms of AMS are still obscure. In this study, we
used isobaric tags for relative and absolute quantitation (iTRAQ) proteomic
analysis to identify novel AMS-associated biomarkers in human plasma. After 9
hours of hypobaric hypoxia the abundance of proteins related to tricarboxylic
acid (TCA) cycle, glycolysis, ribosome, and proteasome were significantly reduced
in AMS resistant (AMS-) group, but not in AMS susceptible (AMS+) group. This
suggested that AMS- individuals could reduce oxygen consumption via repressing
TCA cycle and glycolysis, and reduce energy consumption through decreasing
protein degradation and synthesis compared to AMS+ individuals after acute
hypoxic exposure. The inflammatory response might be decreased resulting from the
repressed TCA cycle. We propose that the ability for oxygen consumption reduction
may play an important role in the development of AMS. Our present plasma
proteomic study in plateau of the Han Chinese volunteers gives new data to
address the development of AMS and potential AMS correlative biomarkers.
PMID- 29352172
TI - Hemoglobin catalyzes CoA degradation and thiol addition to flavonoids.
AB - In the presence of CoA, cell-free extracts prepared from porcine liver was found
to convert 7,8-dihydroxyflavone (DHF) to a pantetheine conjugate, which was a
novel flavonoid. We purified a 7,8-DHF-converting enzyme from the extracts, and
identified it as hemoglobin (Hb). The purified Hb showed the following two
activities: (i) degradation of CoA into pantetheine through hydrolytic cleavage
to yield pantetheine and 3'-phospho-adenosine-5'-diphosphate (ADP) independently
of heme, and (ii) addition of a thiol (e.g., pantetheine, glutathione and
cysteine) to 7,8-DHF through C-S bond formation. Human Hb also exhibited the
above flavonoid-converting activity. In addition, heme-containing enzymes such as
peroxidase and catalase added each of pantetheine, glutathione and cysteine to
the flavonoid, although no pantetheine conjugates were synthesized when CoA was
used as a substrate. These findings indicated that the thiol-conjugating activity
is widely observed in heme-containing proteins. On the other hand, only Hb
catalyzed the hydrolysis of CoA, followed by the thiol conjugation to synthesize
the pantetheine conjugate. To the best of our knowledge, this is the first report
showing that Hb has the catalytic ability to convert naturally occurring
bioactive compounds, such as dietary flavonoids, to the corresponding conjugates
in the presence of thiol donors or CoA.
PMID- 29352171
TI - A urine-dependent human urothelial organoid offers a potential alternative to
rodent models of infection.
AB - Murine models describe a defined host/pathogen interaction for urinary tract
infection, but human cell studies are scant. Although recent human urothelial
organoid models are promising, none demonstrate long-term tolerance to urine, the
natural substrate of the tissue and of the uropathogens that live there. We
developed a novel human organoid from progenitor cells which demonstrates key
structural hallmarks and biomarkers of the urothelium. After three weeks of
transwell culture with 100% urine at the apical interface, the organoid
stratified into multiple layers. The apical surface differentiated into enlarged
and flattened umbrella-like cells bearing characteristic tight junctions,
structures resembling asymmetric unit membrane plaques, and a glycosaminoglycan
layer. The apical cells also expressed cytokeratin-20, a spatial feature of the
mammalian urothelium. Urine itself was necessary for full development, and
undifferentiated cells were urine-tolerant despite the lack of membrane plaques
and a glycosaminoglycan layer. Infection with Enterococcus faecalis revealed the
expected invasive outcome, including urothelial sloughing and the formation of
intracellular colonies similar to those previously observed in patient cells.
This new biomimetic model could help illuminate invasive behaviours of
uropathogens, and serve as a reproducible test bed for disease formation,
treatment and resolution in patients.
PMID- 29352173
TI - Maize chlorotic mottle virus exhibits low divergence between differentiated
regional sub-populations.
AB - Maize chlorotic mottle virus has been rapidly spreading around the globe over the
past decade. The interactions of maize chlorotic mottle virus with Potyviridae
viruses causes an aggressive synergistic viral condition - maize lethal necrosis,
which can cause total yield loss. Maize production in sub-Saharan Africa, where
it is the most important cereal, is threatened by the arrival of maize lethal
necrosis. We obtained maize chlorotic mottle virus genome sequences from across
East Africa and for the first time from Ecuador and Hawaii, and constructed a
phylogeny which highlights the similarity of Chinese to African isolates, and
Ecuadorian to Hawaiian isolates. We used a measure of clustering, the adjusted
Rand index, to extract region-specific SNPs and coding variation that can be used
for diagnostics. The population genetics analysis we performed shows that the
majority of sequence diversity is partitioned between populations, with diversity
extremely low within China and East Africa.
PMID- 29352174
TI - Mechanical phenotyping of K562 cells by the Micropipette Aspiration Technique
allows identifying mechanical changes induced by drugs.
AB - Mechanical properties of living cells can be used as reliable markers of their
state, such as the presence of a pathological state or their differentiation
phase. The mechanical behavior of cells depends on the organization of their
cytoskeletal network and the main contribution typically comes from the
actomyosin contractile system, in both suspended and adherent cells. In the
present study, we investigated the effect of a pharmaceutical formulation (OTC -
Ossitetraciclina liquida 20%) used as antibiotic, on the mechanical properties of
K562 cells by using the Micropipette Aspiration Technique (MAT). This formulation
has been shown to increase in a time dependent way the inflammation and toxicity
in terms of apoptosis in in vitro experiments on K562 and other types of cells.
Here we show that by measuring the mechanical properties of cells exposed to OTC
for different incubation times, it is possible to infer modifications induced by
the formulation to the actomyosin contractile system. We emphasize that this
system is involved in the first stages of the apoptotic process where an increase
of the cortical tension leads to the formation of blebs. We discuss the possible
relation between the observed mechanical behavior of cells aspirated inside a
micropipette and apoptosis.
PMID- 29352175
TI - Magnetic Vortices as Efficient Nano Heaters in Magnetic Nanoparticle
Hyperthermia.
AB - Magnetic vortices existing in soft magnetic nanoparticles with sizes larger than
the single-domain diameter can be efficient nano-heaters in biomedical
applications. Using micromagnetic numerical simulation we prove that in the
optimal range of particle diameters the magnetization reversal of the vortices in
spherical iron and magnetite nanoparticles is possible for moderate amplitudes of
external alternating magnetic field, H0 < 100 Oe. In contrast to the case of
superparamagnetic nanoparticles, for the vortex configuration the hysteresis loop
area increases as a function of frequency. Therefore, high values of the specific
absorption rate, on the order of 1000 W/g, can be obtained at frequencies f = 0.5
1.0 MHz. Because the diameter D of a non single-domain particle is several times
larger than the diameter d of a superparamagnetic particle, the volume of heat
generation for the vortex turns out to be (D/d)3 times larger. This shows the
advantage of vortex configurations for heat generation in alternating magnetic
field in biomedical applications.
PMID- 29352176
TI - Renal scattered tubular-like cells confer protective effects in the stenotic
murine kidney mediated by release of extracellular vesicles.
AB - To test the hypothesis that intrinsic renal scattered tubular cells (STC-like
cells) contribute to repairing injured tubular epithelial cells (TEC) by
releasing extracellular vesicle (EV). EV released from primary cultured pig STC
like cells were confirmed by electron microscopy. Antimycin-A (AMA)-induced
injured proximal TEC (PK1 cells) were co-cultured with STC-like cells, STC-like
cells-derived EV, or EV-free conditioned-medium for 3 days. Cellular injury,
oxidative stress and mitochondrial function were assessed. Transfer of
mitochondria from STC-like cells to TEC was assessed using Mito-trackers, and
their viability by mitochondrial membrane potential assays. STC-like cells
derived EV were intra-arterially injected into mice 2 weeks after induction of
unilateral renal artery stenosis. Two weeks later, renal hemodynamics were
studied using magnetic-resonance-imaging, and renal fibrosis assessed ex-vivo.
Cultured STC-like cells released EV that were uptaken by TEC. A protective effect
conferred by STC-like cells in AMA-induced TEC injury was partly mimicked by
their EV. Furthermore, STC-like cells-EV carried and transferred mitochondrial
material to injured TEC, which partly restored mitochondrial function. In vivo,
STC-like cells-derived EV engrafted in the stenotic kidney, and improved its
perfusion and oxygenation. STC-like cells-EV exert protective effects on injured
tubular cells in vitro and in vivo, partly by transferring STC-like cells
mitochondria, which remain at least partly functional in recipient TEC.
PMID- 29352177
TI - Photonic spin Hall effect enabled refractive index sensor using weak
measurements.
AB - In this work, we theoretically propose an optical biosensor (consists of a BK7
glass, a metal film, and a graphene sheet) based on photonic spin Hall effect
(SHE). We establish a quantitative relationship between the spin-dependent shift
in photonic SHE and the refractive index of sensing medium. It is found that, by
considering the surface plasmon resonance effect, the refractive index variations
owing to the adsorption of biomolecules in sensing medium can effectively change
the spin-dependent displacements. Remarkably, using the weak measurement method,
this tiny spin-dependent shifts can be detected with a desirable accuracy so that
the corresponding biomolecules concentration can be determined.
PMID- 29352178
TI - Compositional Bias in Naive and Chemically-modified Phage-Displayed Libraries
uncovered by Paired-end Deep Sequencing.
AB - Understanding the composition of a genetically-encoded (GE) library is
instrumental to the success of ligand discovery. In this manuscript, we
investigate the bias in GE-libraries of linear, macrocyclic and chemically post
translationally modified (cPTM) tetrapeptides displayed on the M13KE platform,
which are produced via trinucleotide cassette synthesis (19 codons) and NNK
randomized codon. Differential enrichment of synthetic DNA {S}, ligated vector
{L} (extension and ligation of synthetic DNA into the vector), naive libraries
{N} (transformation of the ligated vector into the bacteria followed by
expression of the library for 4.5 hours to yield a "naive" library), and
libraries chemically modified by aldehyde ligation and cysteine macrocyclization
{M} characterized by paired-end deep sequencing, detected a significant drop in
diversity in {L} -> {N}, but only a minor compositional difference in {S} -> {L}
and {N} -> {M}. Libraries expressed at the N-terminus of phage protein pIII
censored positively charged amino acids Arg and Lys; libraries expressed between
pIII domains N1 and N2 overcame Arg/Lys-censorship but introduced new bias
towards Gly and Ser. Interrogation of biases arising from cPTM by aldehyde
ligation and cysteine macrocyclization unveiled censorship of sequences with
Ser/Phe. Analogous analysis can be used to explore library diversity in new
display platforms and optimize cPTM of these libraries.
PMID- 29352179
TI - Growth and remodeling play opposing roles during postnatal human heart valve
development.
AB - Tissue growth and remodeling are known to govern mechanical homeostasis in
biological tissue, but their relative contributions to homeostasis remain
unclear. Here, we use mechanical models, fueled by experimental findings, to
demonstrate that growth and remodeling have different effects on heart valve
stretch homeostasis during physiological postnatal development. Two developmental
stages were considered: early-stage (from infant to adolescent) and late-stage
(from adolescent to adult) development. Our models indicated that growth and
remodeling play opposing roles in preserving tissue stretch and with time. During
early-stage development, excessive tissue stretch was decreased by tissue growth
and increased by remodeling. In contrast, during late-stage development tissue
stretch was decreased by remodeling and increased by growth. Our findings
contribute to an improved understanding of native heart valve adaptation
throughout life, and are highly relevant for the development of tissue-engineered
heart valves.
PMID- 29352180
TI - Relationship between serum cortisol level and degree of false lumen thrombosis in
patients with uncomplicated type B aortic dissection.
AB - Partial thrombosis of the false lumen in uncomplicated type B aortic dissection
(uTBAD) is associated with an increased late mortality risk. Whether the serum
cortisol level can affect false lumen thrombosis in patients with uTBAD has not
been well characterized. This study was performed on 87 patients with uTBAD. A
curve-fitting method was used to analyze the relationship between serum cortisol
and partial thrombosis of false lumen. Univariate and multivariate logistic
regression analyses were used to identify false lumen partial thrombosis
associated serum cortisol. Curve-fitting's result revealed a characteristic U
shape, and 14.0 ug/dL was considered as the cutoff point for serum cortisol. The
results of univariate and multivariate logistic regression analyses suggested
that when trisecting the serum cortisol level into three parts, the low and high
levels of serum cortisol could significantly affect the occurrence of false lumen
partial thrombosis compared with the middle level. The odds ratio value of the
low and high levels of serum cortisol was 6.12 and 4.65, respectively, in the
univariate analysis, and 24.32 and 3.93, respectively, in the multivariate
analysis. Low or high levels of serum cortisol might influence the natural result
of uTBAD through affecting the false lumen thrombosis.
PMID- 29352181
TI - SAMHD1 is recurrently mutated in T-cell prolymphocytic leukemia.
AB - T-cell prolymphocytic leukemia (T-PLL) is an aggressive malignancy with a median
survival of the patients of less than two years. Besides characteristic
chromosomal translocations, frequent mutations affect the ATM gene, JAK/STAT
pathway members, and epigenetic regulators. We here performed a targeted mutation
analysis for 40 genes selected from a RNA sequencing of 10 T-PLL in a collection
of 28 T-PLL, and an exome analysis of five further cases. Nonsynonymous mutations
were identified in 30 of the 40 genes, 18 being recurrently mutated. We
identified recurrently mutated genes previously unknown to be mutated in T-PLL,
which are SAMHD1, HERC1, HERC2, PRDM2, PARP10, PTPRC, and FOXP1. SAMHD1 regulates
cellular deoxynucleotide levels and acts as a potential tumor suppressor in other
leukemias. We observed destructive mutations in 18% of cases as well as deletions
in two further cases. Taken together, we identified additional genes involved in
JAK/STAT signaling (PTPRC), epigenetic regulation (PRDM2), or DNA damage repair
(SAMHD1, PARP10, HERC1, and HERC2) as being recurrently mutated in T-PLL. Thus,
our study considerably extends the picture of pathways involved in molecular
pathogenesis of T-PLL and identifies the tumor suppressor gene SAMHD1 with ~20%
of T-PLL affected by destructive lesions likely as major player in T-PLL
pathogenesis.
PMID- 29352182
TI - Chloroplast genomic resources for phylogeny and DNA barcoding: a case study on
Fritillaria.
AB - The genus Fritillaria comprises approximately 130 perennial herbaceous species.
In the Pharmacopoeia of the People's Republic of China, the bulbs of 11
Fritillaria species are used in Chinese herbal medicines. However, the
traditional methods of morphological classification cannot accurately identify
closely related species of Fritillaria. Previous studies have attempted to
identify these species with universal molecular markers, but insufficient
phylogenetic signal was available. In this study, the complete chloroplast
genomes of eight Fritillaria species were compared. The length of the eight
Fritillaria chloroplast genomes ranges from 151,009 bp to 152,224 bp. A total of
136 SSR loci were identified, including 124 polymorphic SSR loci. For large
repeat sequences, 108 repeat loci and four types of repeats were observed. Ten
highly variable regions were identified as potential molecular markers. These
SSRs, large repeat sequences and highly variable regions provide important
information for the development of genetic markers and DNA fingerprints.
Phylogenetic analyses showed that the topological structures of all data sets
(except the IR regions) were in complete agreement and well resolved. Overall,
this study provides comprehensive chloroplast genomic resources, which will be
valuable for future studies of evolution and species identification in
Fritillaria.
PMID- 29352183
TI - Environmental enrichment increases transcriptional and epigenetic differentiation
between mouse dorsal and ventral dentate gyrus.
AB - Early life experience influences stress reactivity and mental health through
effects on cognitive-emotional functions that are, in part, linked to gene
expression in the dorsal and ventral hippocampus. The hippocampal dentate gyrus
(DG) is a major site for experience-dependent plasticity associated with
sustained transcriptional alterations, potentially mediated by epigenetic
modifications. Here, we report comprehensive DNA methylome, hydroxymethylome and
transcriptome data sets from mouse dorsal and ventral DG. We find genome-wide
transcriptional and methylation differences between dorsal and ventral DG,
including at key developmental transcriptional factors. Peripubertal
environmental enrichment increases hippocampal volume and enhances dorsal DG
specific differences in gene expression. Enrichment also enhances dorsal-ventral
differences in DNA methylation, including at binding sites of the transcription
factor NeuroD1, a regulator of adult neurogenesis. These results indicate a
dorsal-ventral asymmetry in transcription and methylation that parallels well
known functional and anatomical differences, and that may be enhanced by
environmental enrichment.
PMID- 29352184
TI - Expression and relevance of the G protein-gated K+ channel in the mouse
ventricle.
AB - The atrial G protein-gated inwardly rectifying K+ (GIRK) channel is a critical
mediator of parasympathetic influence on cardiac physiology. Here, we probed the
details and relevance of the GIRK channel in mouse ventricle. mRNAs for the
atrial GIRK channel subunits (GIRK1, GIRK4), M2 muscarinic receptor (M2R), and
RGS6, a negative regulator of atrial GIRK-dependent signaling, were detected in
mouse ventricle at relatively low levels. The cholinergic agonist carbachol (CCh)
activated small GIRK currents in adult wild-type ventricular myocytes that
exhibited relatively slow kinetics and low CCh sensitivity; these currents were
absent in ventricular myocytes from Girk1-/- or Girk4-/- mice. While loss of GIRK
channels attenuated the CCh-induced shortening of action potential duration and
suppression of ventricular myocyte excitability, selective ablation of GIRK
channels in ventricle had no effect on heart rate, heart rate variability, or
electrocardiogram parameters at baseline or after CCh injection. Additionally,
loss of ventricular GIRK channels did not impact susceptibility to ventricular
arrhythmias. These data suggest that the mouse ventricular GIRK channel is a
GIRK1/GIRK4 heteromer, and show that while it contributes to the cholinergic
suppression of ventricular myocyte excitability, this influence does not
substantially impact cardiac physiology or ventricular arrhythmogenesis in the
mouse.
PMID- 29352185
TI - Genetic fingerprinting of salmon louse (Lepeophtheirus salmonis) populations in
the North-East Atlantic using a random forest classification approach.
AB - Caligid sea lice represent a significant threat to salmonid aquaculture
worldwide. Population genetic analyses have consistently shown minimal population
genetic structure in North Atlantic Lepeophtheirus salmonis, frustrating efforts
to track louse populations and improve targeted control measures. The aim of this
study was to test the power of reduced representation library sequencing (IIb-RAD
sequencing) coupled with random forest machine learning algorithms to define
markers for fine-scale discrimination of louse populations. We identified 1286
robustly supported SNPs among four L. salmonis populations from Ireland, Scotland
and Northern Norway. Only weak global structure was observed based on the full
SNP dataset. The application of a random forest machine-learning algorithm
identified 98 discriminatory SNPs that dramatically improved population
assignment, increased global genetic structure and resulted in significant
genetic population differentiation. A large proportion of SNPs found to be under
directional selection were also identified to be highly discriminatory. Our data
suggest that it is possible to discriminate between nearby L. salmonis
populations given suitable marker selection approaches, and that such differences
might have an adaptive basis. We discuss these data in light of sea lice adaption
to anthropogenic and environmental pressures as well as novel approaches to track
and predict sea louse dispersal.
PMID- 29352186
TI - Glycolysis promotes caspase-3 activation in lipid rafts in T cells.
AB - Resting T cells undergo a rapid metabolic shift to glycolysis upon activation in
the presence of interleukin (IL)-2, in contrast to oxidative mitochondrial
respiration with IL-15. Paralleling these different metabolic states are striking
differences in susceptibility to restimulation-induced cell death (RICD);
glycolytic effector T cells are highly sensitive to RICD, whereas non-glycolytic
T cells are resistant. It is unclear whether the metabolic state of a T cell is
linked to its susceptibility to RICD. Our findings reveal that IL-2-driven
glycolysis promotes caspase-3 activity and increases sensitivity to RICD. Neither
caspase-7, caspase-8, nor caspase-9 activity is affected by these metabolic
differences. Inhibition of glycolysis with 2-deoxyglucose reduces caspase-3
activity as well as sensitivity to RICD. By contrast, IL-15-driven oxidative
phosphorylation actively inhibits caspase-3 activity through its
glutathionylation. We further observe active caspase-3 in the lipid rafts of
glycolytic but not non-glycolytic T cells, suggesting a proximity-induced model
of self-activation. Finally, we observe that effector T cells during influenza
infection manifest higher levels of active caspase-3 than naive T cells.
Collectively, our findings demonstrate that glycolysis drives caspase-3 activity
and susceptibility to cell death in effector T cells independently of upstream
caspases. Linking metabolism, caspase-3 activity, and cell death provides an
intrinsic mechanism for T cells to limit the duration of effector function.
PMID- 29352187
TI - Reduction in hepatic secondary bile acids caused by short-term antibiotic-induced
dysbiosis decreases mouse serum glucose and triglyceride levels.
AB - Antibiotic-caused changes in intestinal flora (dysbiosis) can have various
effects on the host. Secondary bile acids produced by intestinal bacteria are
ligands for specific nuclear receptors, which regulate glucose, lipid, and drug
metabolism in the liver. The present study aimed to clarify the effect of changes
in secondary bile acids caused by antibiotic-induced dysbiosis on the host
physiology, especially glucose, lipid, and drug metabolism. After oral
administration of non-absorbable antibiotics for 5 days, decreased amounts of
secondary bile acid-producing bacteria in faeces and a reduction in secondary
bile acid [lithocholic acid (LCA) and deoxycholic acid (DCA)] levels in the liver
were observed. Serum glucose and triglyceride levels were also decreased, and
these decreases were reversed by LCA and DCA supplementation. Quantitative
proteomics demonstrated that the expression levels of proteins involved in
glycogen metabolism, cholesterol, bile acid biosynthesis, and drug metabolism
(Cyp2b10, Cyp3a25, and Cyp51a1) were altered in the liver in dysbiosis, and these
changes were reversed by LCA and DCA supplementation. These results suggested
that secondary bile acid-producing bacteria contribute to the homeostasis of
glucose and triglyceride levels and drug metabolism in the host, and have
potential as therapeutic targets for treating metabolic disease.
PMID- 29352189
TI - Mechanical behavior of a soft hydrogel reinforced with three-dimensional printed
microfibre scaffolds.
AB - Reinforcing hydrogels with micro-fibre scaffolds obtained by a Melt
Electrospinning Writing (MEW) process has demonstrated great promise for
developing tissue engineered (TE) constructs with mechanical properties
compatible to native tissues. However, the mechanical performance and
reinforcement mechanism of the micro-fibre reinforced hydrogels is not yet fully
understood. In this study, FE models, implementing material properties measured
experimentally, were used to explore the reinforcement mechanism of fibre
hydrogel composites. First, a continuum FE model based on idealized scaffold
geometry was used to capture reinforcement effects related to the suppression of
lateral gel expansion by the scaffold, while a second micro-FE model based on
micro-CT images of the real construct geometry during compaction captured the
effects of load transfer through the scaffold interconnections. Results
demonstrate that the reinforcement mechanism at higher scaffold volume fractions
was dominated by the load carrying-ability of the fibre scaffold
interconnections, which was much higher than expected based on testing scaffolds
alone because the hydrogel provides resistance against buckling of the scaffold.
We propose that the theoretical understanding presented in this work will assist
the design of more effective composite constructs with potential applications in
a wide range of TE conditions.
PMID- 29352188
TI - Efficient scalable production of therapeutic microvesicles derived from human
mesenchymal stem cells.
AB - Microvesicles (MVs) released by cells are involved in a multitude of
physiological events as important mediators of intercellular communication. MVs
derived from mesenchymal stem cells (MSCs) contain various paracrine factors from
the cells that primarily contribute to their therapeutic efficacy observed in
numerous clinical trials. As nano-sized and bi-lipid layered vesicles retaining
therapeutic potency equivalent to that of MSCs, MSC-derived MVs have been in
focus as ideal medicinal candidates for regenerative medicine, and are preferred
over MSC infusion therapy with their improved safety profiles. However, technical
challenges in obtaining sufficient amounts of MVs have limited further progress
in studies and clinical application. Of the multiple efforts to reinforce the
therapeutic capacity of MSCs, few studies have reportedly examined the scale-up
of MSC-derived MV production. In this study, we successfully amplified MV
secretion from MSCs compared to the conventional culture method using a simple
and efficient 3D-bioprocessing method. The MSC-derived MVs produced in our
dynamic 3D-culture contained numerous therapeutic factors such as cytokines and
micro-RNAs, and showed their therapeutic potency in in vitro efficacy evaluation.
Our results may facilitate diverse applications of MSC-derived MVs from the bench
to the bedside, which requires the large-scale production of MVs.
PMID- 29352190
TI - Autophagy promotes MSC-mediated vascularization in cutaneous wound healing via
regulation of VEGF secretion.
AB - Vascularization deficiency caused a lot of diseases, such as diabetes ulcer and
myocardial infarction. Mesenchymal stem cells (MSCs), with the self-renewal and
multipotent differentiation capacities, have been used for many diseases
treatment through regulation microenvironment. Numerous studies reported that
MSCs transplantation could largely improve cutaneous wound healing via paracrine
secretion of growth factors. However, whether MSCs take part in the angiogenesis
process directly remains elusive. Previous study proved that autophagy inhibited
immunosuppressive function of MSCs and prevented the degradation of MSCs function
in inflammatory and senescent microenvironment. Here, we proved that autophagy
determines the therapeutic effect of MSCs in cutaneous wound healing through
promoting endothelial cells angiogenesis and demonstrated that the paracrine of
vascular endothelial growth factor (VEGF) in MSCs was required in wound site. We
further revealed that autophagy enhanced the VEGF secretion from MSCs through ERK
phosphorylation directly. Collectively, we put forward that autophagy mediated
paracrine of VEGF plays a central role in MSCs cured cutaneous wound healing and
may provide a new therapeutic method for angiogenesis-related diseases.
PMID- 29352191
TI - Oral administration of Proteus mirabilis damages dopaminergic neurons and motor
functions in mice.
AB - Recently, studies on the relationship between gut dysbiosis and Parkinson's
disease (PD) have increased, but whether a specific gut bacterium may cause PD
remains unexplored. Here, we report, for the first time, that a specific gut
bacterium directly induces PD symptoms and dopaminergic neuronal damage in the
mouse brain. We found that the number of Enterobacteriaceae, particularly Proteus
mirabilis, markedly and commonly increased in PD mouse models. Administration of
P. mirabilis isolated from PD mice significantly induced motor deficits,
selectively caused dopaminergic neuronal damage and inflammation in substantia
nigra and striatum, and stimulated alpha-synuclein aggregation in the brain as
well as in the colon. We found that lipopolysaccharides, a virulence factor of P.
mirabilis, may be associated in these pathological changes via gut leakage and
inflammatory actions. Our results suggest a role of P. mirabilis on PD
pathogenesis in the brain.
PMID- 29352192
TI - Full-Wave Electromagnetic Optimisation of Corrugated Metallic Reectors Using a
Multigrid Approach.
AB - A multigrid optimisation strategy is introduced to design passive metallic
reflectors with corrugated shapes. The strategy is based on using genetic
algorithms at multiple grids and shaping the metal sheets, starting from coarse
details to fine tunings. This corresponds to a systematic expansion of the
related optimisation space, which is explored more efficiently in comparison to a
brute-force optimisation without using grid. By employing the multilevel fast
multipole algorithm to analyse the electromagnetic problems corresponding to
optimisation trials, we obtain accurately designed reflectors that provide
focussing abilities with very high performances at single and multiple locations.
The designed reflectors are also resistant to fabrication errors with less
complex corrugations and simplified reflection mechanisms compared to those found
by no-grid optimisation trials.
PMID- 29352193
TI - Degree of severity of molar incisor hypomineralization and its relation to dental
caries.
AB - Molar incisor hypomineralization is a developmental defect of dental enamel
associated with rapid caries progression. In order to discover whether molar
incisor hypomineralization predisposes to dental caries, a cross-sectional cohort
study was conducted in a sample of 414 children aged between eight and nine
years. It was found that 24.2% of the children presented molar incisor
hypomineralization. Of these, 72% had a mild form and 28% a severe form. Caries
prevalence was greater among the children with severe form (60.7%) than in those
with mild form (43.1%) or no molar incisor hypomineralization (45.5%). The caries
indices were higher in out molar incisor hypomineralization (1.18) or with mild
form (1.08). The tooth-surface caries ratio was significantly higher in surfaces
with severe hypomineralization than in those with no hypomineralization or mild
hypomineralization. A linear regression model showed that cariogenic food intake
and the presence of severe molar incisor hypomineralization were significantly
associated with DMFS. Consequently, an association was found to exist between
dental caries and the presence of surfaces affected by severe molar incisor
hypomineralization, which should be considered a risk factor within the
multifactorial etiology of caries.
PMID- 29352194
TI - Sirt6 overexpression suppresses senescence and apoptosis of nucleus pulposus
cells by inducing autophagy in a model of intervertebral disc degeneration.
AB - Treatment of intervertebral disc degeneration (IDD) seeks to prevent senescence
and death of nucleus pulposus (NP) cells. Previous studies have shown that sirt6
exerts potent anti-senescent and anti-apoptotic effects in models of age-related
degenerative disease. However, it is not known whether sirt6 protects against
IDD. Here, we explored whether sirt6 influenced IDD. The sirt6 level was reduced
in senescent human NP cells. Sirt6 overexpression protected against apoptosis and
both replicative and stress-induced premature senescence. Sirt6 also activated NP
cell autophagy both in vivo and in vitro. 3-methyladenine (3-MA) and chloroquine
(CQ)-mediated inhibition of autophagy partially reversed the anti-senescent and
anti-apoptotic effects of sirt6, which regulated the expression of degeneration
associated proteins. In vivo, sirt6 overexpression attenuated IDD. Together, the
data showed that sirt6 attenuated cell senescence, and reduced apoptosis, by
triggering autophagy that ultimately ameliorated IDD. Thus, sirt6 may be a novel
therapeutic target for IDD treatment.
PMID- 29352196
TI - Hyper-hippocampal glycogen induced by glycogen loading with exhaustive exercise.
AB - Glycogen loading (GL), a well-known type of sports conditioning, in combination
with exercise and a high carbohydrate diet (HCD) for 1 week enhances individual
endurance capacity through muscle glycogen supercompensation. This exercise-diet
combination is necessary for successful GL. Glycogen in the brain contributes to
hippocampus-related memory functions and endurance capacity. Although the effect
of HCD on the brain remains unknown, brain supercompensation occurs following
exhaustive exercise (EE), a component of GL. We thus employed a rat model of GL
and examined whether GL increases glycogen levels in the brain as well as in
muscle, and found that GL increased glycogen levels in the hippocampus and
hypothalamus, as well as in muscle. We further explored the essential components
of GL (exercise and/or diet conditions) to establish a minimal model of GL
focusing on the brain. Exercise, rather than a HCD, was found to be crucial for
GL-induced hyper-glycogen in muscle, the hippocampus and the hypothalamus.
Moreover, EE was essential for hyper-glycogen only in the hippocampus even
without HCD. Here we propose the EE component of GL without HCD as a condition
that enhances brain glycogen stores especially in the hippocampus, implicating a
physiological strategy to enhance hippocampal functions.
PMID- 29352195
TI - Association between modified CHA2DS2-VASc Score with Ankle-Brachial index < 0.9.
AB - The ankle-brachial index (ABI) is a reliable diagnostic examination for
peripheral arterial occlusive disease (PAOD). We previously reported CHADS2 score
was significantly correlated with PAOD. However, the association between CHA2DS2
VASc score and ABI < 0.9 is not evaluated in the literature. The aim of the
present study was to investigate whether CHA2DS2-VASc score has a strong
association with PAOD. We enrolled 1482 patients in this study. PAOD was defined
as ABI < 0.9 in either leg. Vascular disease in CHA2DS2-VASc score was modified
as vascular disease except PAOD. Of the 1482 subjects, the prevalence of ABI <
0.9 was 5.6%. Multivariate analysis showed that the increased age, decreased
estimated glomerular filtration rate and increased modified CHA2DS2-VASc score
(OR, 1.764; p < 0.001) were independent associated with ABI < 0.9. In addition,
the percentage of ABI < 0.9 in patients with modified CHA2DS2-VASc score of 0, 1,
and <2 were 0%, 0.9%, and 0.7%, respectively (All < 1%). Our study demonstrated
modified CHA2DS2-VASc score was significantly associated with ABI < 0.9.
Calculation of modified CHA2DS2-VASc score might be useful in identifying
patients with PAOD and in stratifying the risk of PAOD in non-AF patients.
PMID- 29352197
TI - Phylogenetic and Functional Structure of Wintering Waterbird Communities
Associated with Ecological Differences.
AB - Ecological differences may be related to community component divisions between
Oriental (west) and Sino-Japanese (east) realms, and such differences may result
in weak geographical breaks in migratory species that are highly mobile. Here, we
conducted comparative phylogenetic and functional structure analyses of wintering
waterbird communities in southern China across two realms and subsequently
examined possible climate drivers of the observed patterns. An analysis based on
such highly migratory species is particularly telling because migration is bound
to reduce or completely eliminate any divergence between communities.
Phylogenetic and functional structure of eastern communities showed over
dispersion while western communities were clustered. Basal phylogenetic and
functional turnover of western communities was significant lower than that of
eastern communities. The break between eastern and western communities was masked
by these two realms. Geographic patterns were related to mean temperature changes
and temperature fluctuations, suggesting that temperature may filter waterbird
lineages and traits, thus underlying geographical community divisions. These
results suggest phylogenetic and functional divisions in southern China,
coinciding with biogeography. This study shows that temperature fluctuations
constitute an essential mechanism shaping geographical divisions that have
largely gone undetected previously, even under climate change.
PMID- 29352198
TI - oriD structure controls RepD initiation during rolling-circle replication.
AB - Bacterial antibiotic resistance is often carried by circular DNA plasmids that
are copied separately from the genomic DNA and can be passed to other bacteria,
spreading the resistance. The chloramphenicol-resistance plasmid pC221 from
Staphylococcus aureus is duplicated by a process called asymmetric rolling circle
replication. It is not fully understood how the replication process is regulated
but its initiation requires a plasmid-encoded protein called RepD that nicks one
strand of the parent plasmid at the double-stranded origin of replication (oriD).
Using magnetic tweezers to control the DNA linking number we found RepD nicking
occurred only when DNA was negatively supercoiled and that binding of a non
nicking mutant (RepDY188F) stabilized secondary structure formation at oriD.
Quenched-flow experiments showed the inverted complementary repeat sequence,
ICRII, within oriD was most important for rapid nicking of intact plasmids. Our
results show that cruciform formation at oriD is an important control for
initiation of plasmid replication.
PMID- 29352199
TI - Prevention of Retinal Degeneration in a Rat Model of Smith-Lemli-Opitz Syndrome.
AB - Smith-Lemli-Opitz Syndrome (SLOS) is a recessive human disease caused by
defective cholesterol (CHOL) synthesis at the level of DHCR7 (7
dehydrocholesterol reductase), which normally catalyzes the conversion of 7
dehydrocholesterol (7DHC) to CHOL. Formation and abnormal accumulation of 7DHC
and 7DHC-derived oxysterols occur in SLOS patients and in rats treated with the
DHCR7 inhibitor AY9944. The rat SLOS model exhibits progressive and irreversible
retinal dysfunction and degeneration, which is only partially ameliorated by
dietary CHOL supplementation. We hypothesized that 7DHC-derived oxysterols are
causally involved in this retinal degeneration, and that blocking or reducing
their formation should minimize the phenotype. Here, using the SLOS rat model, we
demonstrate that combined dietary supplementation with CHOL plus antioxidants
(vitamins E and C, plus sodium selenite) provides better outcomes than dietary
CHOL supplementation alone with regard to preservation of retinal structure and
function and lowering 7DHC-derived oxysterol formation. These proof-of-principle
findings provide a translational, pre-clinical framework for designing clinical
trials using CHOL-antioxidant combination therapy as an improved therapeutic
intervention over the current standard of care for the treatment of SLOS.
PMID- 29352200
TI - Meta-analysis of soil mercury accumulation by vegetables.
AB - Mercury pollution in soil poses serious risks to human health through consumption
of contaminated vegetables. We used a meta-analysis to examine the mercury
enrichment ability of different vegetables and the main factors affecting mercury
uptake. We drew the following conclusions. (1) Plants with a lower
bioconcentration factor (BCF) include cowpea, long bean, and radish, whereas
plants with a higher BCF include green pepper, spinach, cabbage, and Chinese
cabbage. (2) Leaf and cucurbit have the highest and lowest capacity,
respectively, for mercury enrichment. (3) When soil pH is <6.5, mercury level
uptake by the plant increases, whereas it decreases when the pH is >7.5, meaning
that increased soil pH reduces mercury uptake in soil. (4) When soil organic
matter (SOM) is lower than 20 g/kg, tuber plants have the highest and eggplant
has the lowest mercury adsorption capacity, respectively. When SOM is 20-30 g/kg,
cucurbit has the lowest and leaf the highest adsorption capacity, respectively.
When SOM is higher than 30 g/kg, however, eggplant has the highest mercury
adsorption capacity, but there were no significant differences among the five
types of vegetables. We argue that this meta-analysis aids in selecting
vegetables suitable for absorption of heavy metals from polluted soil.
PMID- 29352202
TI - Publisher Correction: Correction of a Disease Mutation using CRISPR/Cas9-assisted
Genome Editing in Japanese Black Cattle.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29352203
TI - Advanced Modified Polyacrylonitrile Membrane with Enhanced Adsorption Property
for Heavy Metal Ions.
AB - Advanced modified polyacrylonitrile (PAN) membrane with high adsorption property
for heavy metal ions was designed and fabricated for the first time. The
introduced diazoresin-ethylenediaminetetraacetic acid (DR-EDTA) layer could
effectively absorb the metal ion, such as Cu2+, Pb2+, Hg2+ in the waste water.
The effects of layers, metal ion concentration, pH, temperature and cycle time
were investigated. The results showed that the adsorption isotherms for Cu2+ were
well fitted by Langmuir model. The maximum adsorption capacity of the modified
membrane for Cu2+ was approximately 47.6 mg/g. In addition, the prepared PAN-(DR
EDTA)3 membrane could be regenerated more than 720 h based on their
adsorption/desorption cycles. The results demonstrated that the modified PAN
membrane could be used as effective adsorbents for heavy metal removal from waste
water.
PMID- 29352201
TI - Cross-species transcriptional analysis reveals conserved and host-specific
neoplastic processes in mammalian glioma.
AB - Glioma is a unique neoplastic disease that develops exclusively in the central
nervous system (CNS) and rarely metastasizes to other tissues. This feature
strongly implicates the tumor-host CNS microenvironment in gliomagenesis and
tumor progression. We investigated the differences and similarities in glioma
biology as conveyed by transcriptomic patterns across four mammalian hosts: rats,
mice, dogs, and humans. Given the inherent intra-tumoral molecular heterogeneity
of human glioma, we focused this study on tumors with upregulation of the
platelet-derived growth factor signaling axis, a common and early alteration in
human gliomagenesis. The results reveal core neoplastic alterations in mammalian
glioma, as well as unique contributions of the tumor host to neoplastic
processes. Notable differences were observed in gene expression patterns as well
as related biological pathways and cell populations known to mediate key elements
of glioma biology, including angiogenesis, immune evasion, and brain invasion.
These data provide new insights regarding mammalian models of human glioma, and
how these insights and models relate to our current understanding of the human
disease.
PMID- 29352204
TI - Local structure, nucleation sites and crystallization behavior and their effects
on magnetic properties of Fe81Si x B10P8-xCu1 (x = 0~8).
AB - In this work, an attempt has been made to reveal critical factors dominating the
crystallization and soft magnetic properties of Fe81Si x B10P8-xCu1 (x = 0, 2, 4,
6 and 8) alloys. Both melt spun and annealed alloys are characterized by
differential scanning calorimetry, X-ray diffractometry, Mossbauer spectroscopy,
transmission electron microscopy, positron annihilation lifetime spectroscopy and
magnetometry. The changes in magnetic interaction between Fe atoms and chemical
homogeneity can well explain the variation of magnetic properties of Fe81Si x
B10P8-xCu1 amorphous alloys. The density of nucleation sites in the amorphous
precursors decreases in the substitution of P by Si. Meanwhile, the precipitated
nanograins gradually coarsen, but the inhibiting effect of P on grain growth
diminishes causing the increase of the crystallinity. Moreover, various site
occupancies of Si are observed in the nanocrystallites and the Si occupancy in
bcc Fe decreases the average magnetic moment of nanograins. Without sacrificing
amorphous forming ability, we can obtain FeSiBPCu nanocrystalline alloy with
excellent soft magnetic properties by optimizing the content of Si and P in the
amorphous precursors.
PMID- 29352205
TI - 2,5-Hexanedione induces dopaminergic neurodegeneration through integrin
alphaMbeta2/NADPH oxidase axis-mediated microglial activation.
AB - Recent study demonstrated that chronic exposure to solvents increases the risk of
Parkinson's disease (PD), the second most common neurodegenerative disorder
characterized by progressive dopaminergic neurodegeneration in the substantia
nigra (SN). n-Hexane, a widely used organic solvent, displays central-peripheral
neurotoxicity, which is mainly mediated by its active metabolite, 2,5-hexanedione
(HD). However, whether HD exposure contributes to PD remains unclear. In this
study, we found that rats exposed to HD displayed progressive dopaminergic
neurodegeneration in the nigrostriatal system. Microglial activation was also
detected in HD-treated rats, which occurred prior to degeneration of dopaminergic
neurons. Moreover, depletion of microglia markedly reduced HD-induced
dopaminergic neurotoxicity. Mechanistic study revealed an essential role of
microglial integrin alphaMbeta2-NADPH oxidase (NOX2) axis in HD-elicited
neurotoxicity. HD activated NOX2 by inducing membrane translocation of NOX2
cytosolic subunit, p47phox. Integrin alphaMbeta2 was critical for HD-induced NOX2
activation since inhibition or genetic deletion of alphaMbeta2 attenuated NOX2
generated superoxide and p47phox membrane translocation in response to HD. Src
and Erk, two downstream signals of alphaMbeta2, were recognized to bridge
HD/alphaMbeta2-mediated NOX2 activation. Finally, pharmacological inhibition of
alphaMbeta2-NOX2 axis attenuated HD-induced microglial activation and
dopaminergic neurodegeneration. Our findings revealed that HD exposure damaged
nigrostriatal dopaminergic system through alphaMbeta2-NOX2 axis-mediated
microglial activation, providing, for the first time, experimental evidence for n
hexane exposure contributing to the etiology of PD.
PMID- 29352206
TI - Omega-3-carboxylic acids provide efficacious anti-inflammatory activity in models
of crystal-mediated inflammation.
AB - This study assesses the efficacy and exposure-response relationship of omega-3
carboxylic acids (OM-3 CA) in models of crystal-based inflammation. Human THP-1
macrophages and primary peripheral blood mononuclear cells exposed to multiple
inflammatory crystal types were used to determine the anti-inflammatory potential
of omega-3 (OM-3) fatty acids in vitro. Anti-inflammatory effects of OM-3 CA in
vivo were tested in rat monosodium urate (MSU) crystal air pouch and rat knee
intra-articular MSU injection models. Acute treatment with the OM-3 fatty acid
docosahexaenoic acid suppressed MSU-, cholesterol crystal-, and calcium
pyrophosphate crystal-mediated interleukin-1beta (IL-1beta) production in vitro.
In vivo, OM-3 CA dose-dependently reduced crystal-mediated cell migration,
exudate volume, and levels of IL-1beta and prostaglandin E2. Following intra
articular injection of MSU, treatment with OM-3-CA (1 mL/kg) and indomethacin (1
mg/kg) resulted in similar mean reductions in pain (23% and 41%, respectively)
and swelling (58% and 50%, respectively), compared with controls. Additionally,
in complex formulations of OM-3 fatty acids, high levels of palmitic acid could
reduce the in vivo effect on crystal-mediated IL-1beta elevation. OM-3 CA has a
broadly efficacious anti-inflammatory effect with a strong exposure-response
relationship that could be beneficial in prevention and treatment of crystal
arthritis, with potential applications in other IL-1beta-mediated diseases.
PMID- 29352207
TI - Ethanol sensitizes hepatocytes for TGF-beta-triggered apoptosis.
AB - : Alcohol abuse is a global health problem causing a substantial fraction of
chronic liver diseases. Abundant TGF-beta-a potent pro-fibrogenic cytokine-leads
to disease progression. Our aim was to elucidate the crosstalk of TGF-beta and
alcohol on hepatocytes. Primary murine hepatocytes were challenged with ethanol
and TGF-beta and cell fate was determined. Fluidigm RNA analyses revealed
transcriptional effects that regulate survival and apoptosis. Mechanistic
insights were derived from enzyme/pathway inhibition experiments and modulation
of oxidative stress levels. To substantiate findings, animal model specimens and
human liver tissue cultures were investigated. RESULTS: On its own, ethanol had
no effect on hepatocyte apoptosis, whereas TGF-beta increased cell death.
Combined treatment led to massive hepatocyte apoptosis, which could also be
recapitulated in human HCC liver tissue treated ex vivo. Alcohol boosted the TGF
beta pro-apoptotic gene signature. The underlying mechanism of pathway crosstalk
involves SMAD and non-SMAD/AKT signaling. Blunting CYP2E1 and ADH activities did
not prevent this effect, implying that it was not a consequence of alcohol
metabolism. In line with this, the ethanol metabolite acetaldehyde did not mimic
the effect and glutathione supplementation did not prevent the super-induction of
cell death. In contrast, blocking GSK-3beta activity, a downstream mediator of
AKT signaling, rescued the strong apoptotic response triggered by ethanol and TGF
beta. This study provides novel information on the crosstalk between ethanol and
TGF-beta. We give evidence that ethanol directly leads to a boost of TGF-beta's
pro-apoptotic function in hepatocytes, which may have implications for patients
with chronic alcoholic liver disease.
PMID- 29352208
TI - Abnormal Speech Motor Control in Individuals with 16p11.2 Deletions.
AB - Speech and motor deficits are highly prevalent (>70%) in individuals with the 600
kb BP4-BP5 16p11.2 deletion; however, the mechanisms that drive these deficits
are unclear, limiting our ability to target interventions and advance treatment.
This study examined fundamental aspects of speech motor control in participants
with the 16p11.2 deletion. To assess capacity for control of voice, we examined
how accurately and quickly subjects changed the pitch of their voice within a
trial to correct for a transient perturbation of the pitch of their auditory
feedback. When compared to controls, 16p11.2 deletion carriers show an over
exaggerated pitch compensation response to unpredictable mid-vocalization pitch
perturbations. We also examined sensorimotor adaptation of speech by assessing
how subjects learned to adapt their sustained productions of formants (speech
spectral peak frequencies important for vowel identity), in response to
consistent changes in their auditory feedback during vowel production. Deletion
carriers show reduced sensorimotor adaptation to sustained vowel identity changes
in auditory feedback. These results together suggest that 16p11.2 deletion
carriers have fundamental impairments in the basic mechanisms of speech motor
control and these impairments may partially explain the deficits in speech and
language in these individuals.
PMID- 29352209
TI - Evaluating street view exposure measures of visible green space for health
research.
AB - Urban green space, or natural environments, are associated with multiple physical
and mental health outcomes. Several proposed pathways of action for these
benefits (e.g., stress reduction and attention restoration) require visual
perception of green space; however, existing green space exposure measures
commonly used in epidemiological studies do not capture street-scale exposures.
We downloaded 254 Google Street View (GSV) panorama images from Portland, Oregon
and calculated percent of green in each image, called Green View Index (GVI). For
these locations we also calculated satellite-based normalized difference
vegetation index (NDVI), % tree cover, % green space, % street tree buffering,
distance to parks, and several neighborhood socio-economic variables.
Correlations between the GVI and other green space measures were low (-0.02 to
0.50), suggesting GSV-based measures captured unique information about green
space exposures. We further developed a GVI:NDVI ratio, which was associated with
the amount of vertical green space in an image. The GVI and GVI:NDVI ratio were
weakly related to neighborhood socioeconomic status and are therefore less
susceptible to confounding in health studies compared to other green space
measures. GSV measures captured unique characteristics of the green space
environment and offer a new approach to examine green space and health
associations in epidemiological research.
PMID- 29352210
TI - Distribution and the origin of invasive apple snails, Pomacea canaliculata and P.
maculata (Gastropoda: Ampullariidae) in China.
AB - Species of Pomacea, commonly known as apple snails, are native to South America,
and have become widely distributed agricultural and environmental pests in
southern China since their introduction in the 1980s. However, only since 2010
have researchers recognized that at least two species, P. canaliculata and P.
maculata, are present in China. Although impacts of apple snails have been
extensively documented, confusion still persists regarding current distributions
and origin of the species in China. To resolve this confusion, we used
phylogenetic and phylogeographic methods to analyze 1464 mitochondrial COI
sequences, including 349 new sequences from samples collected in southern China
and 1115 publicly available sequences from snails collected in the native and
introduced ranges. Pomacea canaliculata was found at all sampled localities,
while P. maculata was found at only five sampled localities in the Sichuan basin
and Zhejiang province. Our data indicate that Chinese populations of P.
canaliculata share an Argentinian origin, consistent with multiple introductions
of this species elsewhere in Asia. In addition, just a single lineage of P.
maculata is established in China, which shares with populations in Brazil.
PMID- 29352212
TI - Rare mutations in apoptosis related genes APAF1, CASP9, and CASP3 contribute to
human neural tube defects.
PMID- 29352211
TI - HDL nanoparticles targeting sonic hedgehog subtype medulloblastoma.
AB - Medulloblastoma is the most common paediatric malignant brain cancer and there is
a need for new targeted therapeutic approaches to more effectively treat these
malignant tumours, which can be divided into four molecular subtypes. Here, we
focus on targeting sonic hedgehog (SHH) subtype medulloblastoma, which accounts
for approximately 25% of all cases. The SHH subtype relies upon cholesterol
signalling for tumour growth and maintenance of tumour-initiating cancer stem
cells (CSCs). To target cholesterol signalling, we employed biomimetic high
density lipoprotein nanoparticles (HDL NPs) which bind to the HDL receptor,
scavenger receptor type B-1 (SCARB1), depriving cells of natural HDL and their
cholesterol cargo. We demonstrate uptake of HDL NPs in SCARB1 expressing
medulloblastoma cells and depletion of cholesterol levels in cancer cells. HDL
NPs potently blocked proliferation of medulloblastoma cells, as well as hedgehog
driven Ewing sarcoma cells. Furthermore, HDL NPs disrupted colony formation in
medulloblastoma and depleted CSC populations in medulloblastoma and Ewing
sarcoma. Altogether, our findings provide proof of principle for the development
of a novel targeted approach for the treatment of medulloblastoma using HDL NPs.
These findings present HDL-mimetic nanoparticles as a promising therapy for sonic
hedgehog (SHH) subtype medulloblastoma and possibly other hedgehog-driven
cancers.
PMID- 29352214
TI - Handheld magnetic probe with permanent magnet and Hall sensor for identifying
sentinel lymph nodes in breast cancer patients.
AB - The newly developed radioisotope-free technique based on magnetic nanoparticle
detection using a magnetic probe is a promising method for sentinel lymph node
biopsy. In this study, a novel handheld magnetic probe with a permanent magnet
and magnetic sensor is developed to detect the sentinel lymph nodes in breast
cancer patients. An outstanding feature of the probe is the precise positioning
of the sensor at the magnetic null point of the magnet, leading to highly
sensitive measurements unaffected by the strong ambient magnetic fields of the
magnet. Numerical and experimental results show that the longitudinal detection
length is approximately 10 mm, for 140 MUg of iron. Clinical tests were
performed, for the first time, using magnetic and blue dye tracers-without
radioisotopes-in breast cancer patients to demonstrate the performance of the
probe. The nodes were identified through transcutaneous and ex-vivo measurements,
and the iron accumulation in the nodes was quantitatively revealed. These results
show that the handheld magnetic probe is useful in sentinel lymph node biopsy and
that magnetic techniques are widely being accepted as future standard methods in
medical institutions lacking nuclear medicine facilities.
PMID- 29352213
TI - Cucumber mosaic virus coat protein induces the development of chlorotic symptoms
through interacting with the chloroplast ferredoxin I protein.
AB - Cucumber mosaic virus (CMV) infection could induce mosaic symptoms on a wide
range of host plants. However, there is still limited information regarding the
molecular mechanism underlying the development of the symptoms. In this study,
the coat protein (CP) was confirmed as the symptom determinant by exchanging the
CP between a chlorosis inducing CMV-M strain and a green-mosaic inducing CMV-Q
strain. A yeast two-hybrid analysis and bimolecular fluorescence complementation
revealed that the chloroplast ferredoxin I (Fd I) protein interacted with the CP
of CMV-M both in vitro and in vivo, but not with the CP of CMV-Q. The severity of
chlorosis was directly related to the expression of Fd1, that was down-regulated
in CMV-M but not in CMV-Q. Moreover, the silencing of Fd I induced chlorosis
symptoms that were similar to those elicited by CMV-M. Subsequent analyses
indicated that the CP of CMV-M interacted with the precursor of Fd I in the
cytoplasm and disrupted the transport of Fd I into chloroplasts, leading to the
suppression of Fd I functions during a viral infection. Collectively, our
findings accentuate that the interaction between the CP of CMV and Fd I is the
primary determinant for the induction of chlorosis in tobacco.
PMID- 29352215
TI - Magnetically sensitive nanodiamond-doped tellurite glass fibers.
AB - Traditional optical fibers are insensitive to magnetic fields, however many
applications would benefit from fiber-based magnetometry devices. In this work,
we demonstrate a magnetically sensitive optical fiber by doping nanodiamonds
containing nitrogen vacancy centers into tellurite glass fibers. The fabrication
process provides a robust and isolated sensing platform as the magnetic sensors
are fixed in the tellurite glass matrix. Using optically detected magnetic
resonance from the doped nanodiamonds, we demonstrate detection of local magnetic
fields via side excitation and longitudinal collection. This is a first step
towards intrinsically magneto-sensitive fiber devices with future applications in
medical magneto-endoscopy and remote mineral exploration sensing.
PMID- 29352216
TI - Black-legged kittiwakes as messengers of Atlantification in the Arctic.
AB - Climate warming is rapidly altering marine ecosystems towards a more temperate
state on the European side of the Arctic. However, this "Atlantification" has
rarely been confirmed, as long-term datasets on Arctic marine organisms are
scarce. We present a 19-year time series (1982-2016) of diet samples from black
legged kittiwakes as an indicator of the changes in a high Arctic marine
ecosystem (Kongsfjorden, Svalbard). Our results highlight a shift from Arctic
prey dominance until 2006 to a more mixed diet with high contribution of Atlantic
fishes. Capelin, an Atlantic species, dominated the diet composition in 2007,
marking a shift in the food web. The occurrence of polar cod, a key Arctic fish
species, positively correlated with sea ice index, whereas Atlantic species
demonstrated the opposite correlation indicating that the diet shift was likely
connected with recent climate warming. Kittiwakes, which gather available fish
and zooplankton near the sea surface to feed their chicks, can act as messengers
of ecosystem change. Changes in their diet reveal that the Kongsfjord system has
drifted in an Atlantic direction over the last decade.
PMID- 29352217
TI - Bedside assessment of regional cerebral perfusion using near-infrared
spectroscopy and indocyanine green in patients with atherosclerotic occlusive
disease.
AB - This pilot study aimed to investigate the utility of near-infrared
spectroscopy/indocyanine green (NIRS/ICG) for examining patients with occlusive
cerebrovascular disease. Twenty-nine patients with chronic-stage atherosclerotic
occlusive cerebrovascular disease were included. The patients were monitored
using NIRS at the bedside. Using ICG time-intensity curves, the affected-to
unaffected side ratios were calculated for several parameters, including the
maximum ICG concentration (DeltaICGmax), time to peak (TTP), rise time (RT), and
blood flow index (BFI = DeltaICGmax/RT), and were compared to the affected-to
unaffected side ratios of the regional cerebral blood flow (rCBF) and regional
oxygen extraction fraction (rOEF) obtained using positron emission tomography
with 15O-labeled gas. The BFI ratio showed the best correlation with the rCBF
ratio among these parameters (r = 0.618; P = 0.0004), and the RT ratio showed the
best correlation with the rOEF ratio (r = 0.593; P = 0.0007). The patients were
further divided into reduced rCBF or elevated rOEF groups, and the analysis
revealed significant related differences. The present results advance the
measurement of ICG kinetics using NIRS as a useful tool for the detection of
severely impaired perfusion with reduced rCBF or elevated rOEF. This method may
be applicable as a monitoring tool for patients with acute ischemic stroke.
PMID- 29352218
TI - Nutritional deficiencies and bone metabolism after endobarrier in obese type 2
patients with diabetes.
AB - Endobarrier(r) is a minimally invasive, reversible endoscopic treatment for
obesity. It provokes malabsorption along 60 cm of the small intestine, which can
contribute to the development of vitamin deficiencies and to changes in bone
mineral density (BMD). To determine the prevalence of nutrient deficiencies,
changes in body composition and BMD during the first year after Endobarrier(r)
placement. Twenty-one patients with type 2 diabetes met inclusion criteria.
Levels of vitamins, micro and macronutrients were assessed prior and at 1, 3 and
12 months post-operatively. DEXA was performed before and 12 months after
implant. Nineteen patients completed the 12 months follow-up. Vitamin D
deficiency was the most prevalent finding before Endobarrier(r) implant. The
percentage of patients with severe deficiency decreased from 19 to 5% at 12
months after supplementation. Microcytic anaemia was initially present in 9.5% of
patients and increased to 26.3% at 12 months. Low ferritin and vitamin B12 levels
were observed in 14.2 and 4.8% of patients before the implant and worsened to 42
and 10.5%. Low concentrations of magnesium and phosphorus were also common but
improved along the study. A significant but not clinically relevant decrease in
BMD of 4.14 +/- 4.0% at the femoral neck was observed at 12 months without
changes in osteocalcin levels. Vitamin deficiencies are common after
Endobarrier(r) implant. It is therefore important to screen patients prior to and
at regular intervals after the implant, and to encourage adherence to diet
counselling and supplementation.
PMID- 29352219
TI - New alkylresorcinol metabolites in spot urine as biomarkers of whole grain wheat
and rye intake in a Swedish middle-aged population.
AB - BACKGROUND/OBJECTIVES: Studies on the health effects of whole grains typically
use self-reported intakes which are prone to large measurement errors. Dietary
biomarkers that can provide an objective measure of intake are needed. New
alkylresorcinol (AR) metabolites (3,5-dihydroxycinnamic acid (DHCA), 2-(3,5
dihydroxybenzamido)acetic acid (DHBA-glycine) and 5-(3,5-dihydroxyphenyl)
pentanoic acid (DHPPTA)) in 24 h urine samples have been suggested as biomarkers
for whole grain (WG) wheat and rye intake but remain to be evaluated in spot
urine samples. SUBJECTS/METHODS: The reproducibility of the new AR metabolites
(DHCA, DHBA-glycine and DHPPTA) was investigated in 4 repeated samples over a
period of 2 wk in spot urine from 40 Swedish men and women enroled in the SCAPIS
study, after adjustment of creatinine. Metabolite concentrations were correlated
with total whole grain intake estimated during the same period. RESULTS: The
medium-term reproducibility determined for DHCA, DHPPTA and DHBA-glycine varied
from moderate to excellent (intra-class correlation coefficient = 0.35-0.67).
Moreover, DHCA and DHBA-glycine were independently associated with self-reported
total WG intake (beta = 0.18, P = 0.08 and beta = 0.18, P = 0.02, respectively)
and all metabolites except for DHPPA were higher among women. CONCLUSIONS: This
study supports the idea of using AR metabolites in one or several spot urine
samples as biomarkers of whole grain intake. These findings need to be confirmed
in different populations.
PMID- 29352220
TI - SOCE induced calcium overload regulates autophagy in acute pancreatitis via
calcineurin activation.
AB - Acute pancreatitis (AP) is an acute inflammatory process of the pancreas that is
characterized by inflammation, edema, vacuolization and necrosis, which has
significant morbidity and lethality. The pathogenesis of AP has not been
established completely. An early and critical feature of AP is the aberrant
signaling of Calcium (Ca2+) within the pancreatic acinar cell, termed Ca2+
overload. Store-operated Ca2+ (SOC) channels are the principal Ca2+ influx
channels that contribute to Ca2+ overload in pancreatic acinar cells. Store
operated Ca2+ entry (SOCE) has been proved to be a key pathogenic step in AP
development that leads to trypsin activation, inflammation and vacuolization.
However, the molecular mechanisms are still poorly understood. By establishing
Ca2+ overload model and mouse AP model using caerulein, we found that caerulein
triggered SOCE via inducing interaction between STIM1 and Orai1, which activated
calcineurin (CaN); CaN activated the nuclear factor of activated T cells (NFAT)
and transcription factor EB (TFEB), thus promoting the transcriptional activation
of multiple chemokines genes and autophagy-associated genes respectively. To the
best of our knowledge, this is the first evidence showing that SOCE activates
TFEB via CaN activation, which may have noticeable longer-term effects on
autophagy and vacuolization in AP development. Our findings reveal the role for
SOCE/CaN in AP development and provide potential targets for AP treatment.
PMID- 29352221
TI - Role of METTL20 in regulating beta-oxidation and heat production in mice under
fasting or ketogenic conditions.
AB - METTL20 is a seven-beta-strand methyltransferase that is localised to the
mitochondria and tri-methylates the electron transfer flavoprotein (ETF) beta
subunit (ETFB) at lysines 200 and 203. It has been shown that METTL20 decreases
the ability of ETF to extract electrons from medium-chain acyl-coenzyme A (CoA)
dehydrogenase (MCAD) and glutaryl-CoA dehydrogenase in vitro. METTL20-mediated
methylation of ETFB influences the oxygen consumption rate in permeabilised
mitochondria, suggesting that METTL20-mediated ETFB methylation may also play a
regulatory role in mitochondrial metabolism. In this study, we generated Mettl20
knockout (KO) mice to uncover the in vivo functions of METTL20. The KO mice were
viable, and a loss of ETFB methylation was confirmed. In vitro enzymatic assays
revealed that mitochondrial ETF activity was higher in the KO mice than in wild
type mice, suggesting that the KO mice had higher beta-oxidation capacity.
Calorimetric analysis showed that the KO mice fed a ketogenic diet had higher
oxygen consumption and heat production. A subsequent cold tolerance test
conducted after 24 h of fasting indicated that the KO mice had a better ability
to maintain their body temperature in cold environments. Thus, METTL20 regulates
ETF activity and heat production through lysine methylation when beta-oxidation
is highly activated.
PMID- 29352222
TI - Artificial chameleon skin that controls spectral radiation: Development of
Chameleon Cool Coating (C3).
AB - Chameleons have a diagnostic thermal protection that enables them to live under
various conditions. Our developed special radiative control therefore is inspired
by the chameleon thermal protection ability by imitating its two superposed
layers as two pigment particles in one coating layer. One particle imitates a
chameleon superficial surface for color control (visible light), and another
particle imitates a deep surface to reflect solar irradiation, especially in the
near-infrared region. Optical modeling allows us to optimally design the particle
size and volume fraction. Experimental evaluation shows that the desired spectral
reflectance, i.e., low in the VIS region and high in NIR region, can be achieved.
Comparison between the measured and calculated reflectances shows that control of
the particle size and dispersion/aggregation of particle cloud is important in
improving the thermal-protection performance of the coating. Using our developed
coating, the interior temperature decreases and the cooling load is reduced while
keeping the dark tone of the object.
PMID- 29352223
TI - ZEB1 confers chemotherapeutic resistance to breast cancer by activating ATM.
AB - Although zinc finger E-box binding homeobox 1 (ZEB1) has been identified as a key
factor in the regulation of breast cancer differentiation and metastasis, its
potential role in modulating tumor chemoresistance has not been fully understood.
Here, through the study of specimens from a large cohort of human breast cancer
subjects, we showed that patients with tumors that expressed high levels of ZEB1
responded poorly to chemotherapy. Moreover, ZEB1 expression was positively
correlated with expression of B-cell lymphoma-extra large (Bcl-xL) and cyclin D1,
which are key components of tumor chemoresistant mechanisms. At the molecular
level, ectopic expression of ZEB1 impaired the responsiveness of breast cancer
cells to genotoxic drug treatment, such as epirubicin (EPI). During this process,
ZEB1 transcriptionally activated the expression of ataxia-telangiectasia mutated
(ATM) kinase by forming a ZEB1/p300/PCAF complex on its promoter, leading to
increased homologous recombination (HR)-mediated DNA damage repair and the
clearance of DNA breaks. Using a nude mouse xenograft model, we further confirmed
that ectopic expression of ZEB1 decreased breast cancer responsiveness to EPI
treatment in vivo. Collectively, our findings suggest that ZEB1 is a crucial
determinant of chemotherapeutic resistance in breast cancer.
PMID- 29352224
TI - Study of an Oxygen Supply and Oxygen Saturation Monitoring System for Radiation
Therapy Associated with the Active Breathing Coordinator.
AB - In this study, we designed an oxygen supply and oxygen saturation monitoring
(OSOSM) system. This OSOSM system can provide a continuous supply of oxygen and
monitor the peripheral capillary oxygen saturation (SpO2) of patients who accept
radiotherapy and use an active breathing coordinator (ABC). A clinical test with
27 volunteers was conducted. The volunteers were divided into two groups based on
the tendency of SpO2 decline in breath-holding without the OSOSM system: group A
(12 cases) showed a decline in SpO2 of less than 2%, whereas the decline in SpO2
in group B (15 cases) was greater than 2% and reached up to 6% in some cases. The
SpO2 of most volunteers declined during rest. The breath-holding time of group A
without the OSOSM system was significantly longer than that of group B (p < 0.05)
and was extended with the OSOSM system by 26.6% and 27.85% in groups A and B,
respectively. The SpO2 recovery time was reduced by 36.1%, and the total rest
time was reduced by 27.6% for all volunteers using the OSOSM system. In summary,
SpO2 declines during breath-holding and rest time cannot be ignored while
applying an ABC. This OSOSM system offers a simple and effective way to monitor
SpO2 variation and overcome SpO2 decline, thereby lengthening breath-holding time
and shortening rest time.
PMID- 29352225
TI - A humanized mouse model of liver fibrosis following expansion of transplanted
hepatic stellate cells.
AB - Hepatic stellate cells (HSCs) are major contributors to liver fibrosis, as
hepatic injuries may cause their transdifferentiation into myofibroblast-like
cells capable of producing excessive extracellular matrix proteins. Also, HSCs
can modulate engraftment of transplanted hepatocytes and contribute to liver
regeneration. Therefore, understanding the biology of human HSCs (hHSCs) is
important, but effective methods have not been available to address their fate in
vivo. To investigate whether HSCs could engraft and repopulate the liver, we
transplanted GFP-transduced immortalized hHSCs into immunodeficient NOD/SCID
mice. Biodistribution analysis with radiolabeled hHSCs showed that after
intrasplenic injection, the majority of transplanted cells rapidly translocated
to the liver. GFP-immunohistochemistry demonstrated that transplanted hHSCs
engrafted alongside hepatic sinusoids. Prior permeabilization of the sinusoidal
endothelial layer with monocrotaline enhanced engraftment of hHSCs. Transplanted
hHSCs remained engrafted without relevant proliferation in the healthy liver.
However, after CCl4 or bile duct ligation-induced liver damage, transplanted
hHSCs expanded and contributed to extracellular matrix production, formation of
bridging cell-septae and cirrhosis-like hepatic pseudolobules. CCl4-induced
injury recruited hHSCs mainly to zone 3, whereas after bile duct ligation, hHSCs
were mainly in zone 1 of the liver lobule. Transplanted hHSCs neither
transdifferentiated into other cell types nor formed tumors in these settings. In
conclusion, a humanized mouse model was generated by transplanting hHSCs, which
proliferated during hepatic injury and inflammation, and contributed to liver
fibrosis. The ability to repopulate the liver with transplanted hHSCs will be
particularly significant for mechanistic studies of cell-cell interactions and
fibrogenesis within the liver.
PMID- 29352226
TI - Spatio-temporal characteristics of urban air pollutions and their causal
relationships: Evidence from Beijing and its neighboring cities.
AB - China has been suffering from serious air pollution for years in response to the
rapid industrialization and urbanization. Notably Beijing is one of the most
polluted capitals in the world. Hence, the focus of the study area is on Beijing.
In the first stage, we analyze spatial and temporal characteristics of air
pollution of the 6 cities while in the second stage the Granger causality test is
applied to investigate whether air pollution of a city is affected by its
neighbors, and vice versa. The findings are the following. Overall, AQI values
are high in winter and early spring while low in summer and autumn. Among the 6
cities, Baoding is the major contributor to air pollution in this entire area.
Besides, Granger causality test results show that there is a unidirectional
relationship running from Baoding to Beijing and a bidirectional relationship
between Beijing and Tianjin. In other words, apart from local air pollutants, for
example, exhaust gas, air quality of Beijing is affected by air pollution of
Tianjin, and vice versa. However, regarding the relationship between Beijing and
Baoding, air quality of Beijing is just affected by air pollution of Baoding,
since Baoding is much polluted than Beijing.
PMID- 29352228
TI - Dual Band Metamaterial Antenna For LTE/Bluetooth/WiMAX System.
AB - A compact metamaterial inspired antenna operate at LTE, Bluetooth and WiMAX
frequency band is introduced in this paper. For the lower band, the design
utilizes an outer square metallic strip forcing the patch to radiate as an
equivalent magnetic-current loop. For the upper band, another magnetic current
loop is created by adding metamaterial structure near the feed line on the patch.
The metamaterial inspired antenna dimension of 42 * 32 mm2 compatible to wireless
devices. Finite integration technique based CST Microwave Studio simulator has
been used to design and numerical investigation as well as lumped circuit model
of the metamaterial antenna is explained with proper mathematical derivation. The
achieved measured dual band operation of the conventional antenna are
sequentially, 0.561~0.578 GHz, 2.346~2.906 GHz, and 2.91~3.49 GHz, whereas the
metamaterial inspired antenna shows dual-band operation from 0.60~0.64 GHz,
2.67~3.40 GHz and 3.61~3.67 GHz, respectively. Therefore, the metamaterial
antenna is applicable for LTE and WiMAX applications. Besides, the measured
metamaterial antenna gains of 0.15~3.81 dBi and 3.47~3.75 dBi, respectively for
the frequency band of 2.67~3.40 GHz and 3.61~3.67 GHz.
PMID- 29352227
TI - LY2087101 and dFBr share transmembrane binding sites in the (alpha4)3(beta2)2
Nicotinic Acetylcholine Receptor.
AB - Positive allosteric modulators (PAMs) of nicotinic acetylcholine receptors
(nAChRs) have potential therapeutic application in neuropathologies associated
with decrease in function or loss of nAChRs. In this study, we characterize the
pharmacological interactions of the nAChRs PAM, LY2087101, with the alpha4beta2
nAChR using mutational and computational analyses. LY2087101 potentiated ACh
induced currents of low-sensitivity (alpha4)3(beta2)2 and high-sensitivity
(alpha4)2(beta2)3 nAChRs with similar potencies albeit to a different maximum
potentiation (potentiation I max = ~840 and 450%, respectively). Amino acid
substitutions within the alpha4 subunit transmembrane domain [e.g. alpha4Leu256
and alpha4Leu260 within the transmembrane helix 1 (TM1); alpha4Phe316 within the
TM3; and alpha4Gly613 within TM4] significantly reduced LY2087101 potentiation of
(alpha4)3(beta2)2 nAChR. The locations of these amino acid residues and LY2087101
computational docking analyses identify two LY2087101 binding sites: an
intrasubunit binding site within the transmembrane helix bundle of alpha4 subunit
at the level of alpha4Leu260/alpha4Phe316 and intersubunit binding site at the
alpha4:alpha4 subunit interface at the level of alpha4Leu256/alpha4Ile315 with
both sites extending toward the extracellular end of the transmembrane domain. We
also show that desformylflustrabromine (dFBr) binds to these two sites identified
for LY2087101. These results provide structural information that are pertinent to
structure-based design of nAChR allosteric modulators.
PMID- 29352229
TI - Expression dynamics of miRNAs and their targets in seed germination conditions
reveals miRNA-ta-siRNA crosstalk as regulator of seed germination.
AB - Seed germination paves the way for the dormant embryo to establish itself as a
new plant marking the first critical step in postembryonic plant growth and
development. Germination starts with the uptake of water (imbibition), followed
by induction of transcription, translation, energy metabolism, and cell division
processes. Although small RNAs have been implicated in many developmental
processes, their role during seed germination stages and conditions remained
elusive. Here we show that seed germination conditions, like imbibition and
temperature, dynamically regulate the expression of many developmentally
important miRNAs and their targets. We have identified 58 miRNAs belonging to 30
different families at different seed germination conditions. Amongst these, 15
miRNAs and their targets were significantly differentially expressed in
Arabidopsis seeds in dry and 12 h, 24 h and 48 h of imbibition. Interestingly,
differential expression of miR390, which targets trans-acting siRNA locus (TAS3)
derived transcripts, resulted in alteration of tasiR-ARF mediated regulation of
expression of target AUXIN RESPONSE FACTORs (ARF2/3/4). Our results suggest that
the dynamic expression of several miRNAs, their targets, and a crosstalk between
miRNA and ta-siRNA pathways contribute to the regulation of seed germination in
Arabidopsis thaliana.
PMID- 29352230
TI - Histology, immunohistochemistry, and in situ hybridization reveal overlooked
Ebola virus target tissues in the Ebola virus disease guinea pig model.
AB - Survivors of Ebola virus infection may become subclinically infected, but whether
animal models recapitulate this complication is unclear. Using histology in
combination with immunohistochemistry and in situ hybridization in a
retrospective review of a guinea pig confirmation-of-virulence study, we
demonstrate for the first time Ebola virus infection in hepatic oval cells, the
endocardium and stroma of the atrioventricular valves and chordae tendinae,
satellite cells of peripheral ganglia, neurofibroblasts and Schwann cells of
peripheral nerves and ganglia, smooth muscle cells of the uterine myometrium and
vaginal wall, acini of the parotid salivary glands, thyroid follicular cells,
adrenal medullary cells, pancreatic islet cells, endometrial glandular and
surface epithelium, and the epithelium of the vagina, penis and, prepuce. These
findings indicate that standard animal models for Ebola virus disease are not as
well-described as previously thought and may serve as a stepping stone for future
identification of potential sites of virus persistence.
PMID- 29352231
TI - The Direction of Tumour Growth in Glioblastoma Patients.
AB - Generating MR-derived growth pattern models for glioblastoma multiforme (GBM) has
been an attractive approach in neuro-oncology, suggesting a distinct pattern of
lesion spread with a tendency in growing along the white matter (WM) fibre
direction for the invasive component. However, the direction of growth is not
much studied in vivo. In this study, we sought to study the dominant directions
of tumour expansion/shrinkage pre-treatment. We examined fifty-six GBMs at two
time-points: at radiological diagnosis and as part of the pre-operative planning,
both with contrast-enhanced T1-weighted MRIs. The tumour volumes were semi
automatically segmented. A non-linear registration resulting in a deformation
field characterizing the changes between the two time points was used together
with the segmented tumours to determine the dominant directions of tumour change.
To compute the degree of alignment between tumour growth vectors and WM fibres,
an angle map was calculated. Our results demonstrate that tumours tend to grow
predominantly along the WM, as evidenced by the dominant vector population with
the maximum alignments. Our findings represent a step forward in investigating
the hypothesis that tumour cells tend to migrate preferentially along the WM.
PMID- 29352232
TI - The silent healer: miR-205-5p up-regulation inhibits epithelial to mesenchymal
transition in colon cancer cells by indirectly up-regulating E-cadherin
expression.
AB - EMT represents the dominant program within advanced stages of colon cancer, where
cells acquire migratory characteristics in order to invade secondary tissues and
form metastasis. Where the majority of the therapeutic strategies are
concentrated on the reduction of the tumor mass through different apoptotic
mechanisms, the present study advocates an important role for miR-205-5p in
impairment of colon cancer cells migration and restoration of the epithelial
phenotype. Upon identification of a homogenous downregulated profile for miR-205
5p in colon adenocarcinoma patients, functional studies demonstrated that
experimental upregulation of this sequence is able to significantly raise the
levels of E-cadherin through direct inhibition of ZEB1. Moreover, the elevation
in CDH1 expression was translated into functional parameters where cells lost
their invasion and migratory characteristics and formed homogenous clusters
through adhesion interactions. Survival analysis of colon adenocarcinoma patients
revealed that low levels of miR-205-5p are associated with an unfavorable
prognostic compared to those with increased expression, demonstrating the
possible clinical utility of miR-205-5p replacement. Exogenous administration of
miRNA mimics was not associated with significant changes in cell viability or
inflammatory pathways. Therefore, the proposed strategy is aiming towards
inhibition of metastasis and limitation of the tumor borders in advanced stages
patients in order to prolong the survival time and to increase the efficiency of
the current therapeutic strategies.
PMID- 29352233
TI - Hearing capacities and morphology of the auditory system in Serrasalmidae
(Teleostei: Otophysi).
AB - Like all otophysan fishes, serrasalmids (piranhas and relatives) possess a
Weberian apparatus that improves their hearing capacities. We compared the
hearing abilities among eight species of serrasalmids having different life
history traits: herbivorous vs. carnivorous and vocal vs. mute species. We also
made 3D reconstructions of the auditory system to detect potential morphological
variations associated with hearing ability. The hearing structures were similar
in overall shape and position. All the species hear in the same frequency range
and only slight differences were found in hearing thresholds. The eight species
have their range of best hearing in the lower frequencies (50-900 Hz). In vocal
serrasalmids, the range of best hearing covers the frequency spectrum of their
sounds. However, the broad overlap in hearing thresholds among species having
different life-history traits (herbivorous vs. carnivorous and vocal vs. non
vocal species) suggests that hearing ability is likely not related to the
capacity to emit acoustic signals or to the diet, i.e. the ability to detect
sounds is not associated with a given kind of food. The inner ear appears to be
highly conservative in this group suggesting that it is shaped by phylogenetic
history or by other kinds of constraints such as predator avoidance.
PMID- 29352234
TI - Bi-exponential 3D-T1rho mapping of whole brain at 3 T.
AB - Detection of multiple relaxation pools using MRI is useful in a number of neuro
pathologies including multiple sclerosis (MS), Alzheimer's, and stroke. In this
study we evaluate the feasibility of using T1rho imaging for the detection of bi
exponential decays in the human brain. A prospective T1rho imaging study was
performed on model relaxation phantoms (eggs) and 7 healthy volunteers. The data
was fitted using a single pool and a 2-pool model to estimate mono- and bi
exponential T1rho maps, respectively. Bi-exponential decays were identified in
the gray matter (GM) and white matter (WM) of the brain with 40.5% of GM, and
65.1% of WM pixels showing two T1rho relaxation pools (significance level P <
0.05). Detection of T1rho based bi-exponential decays in the brain provides
complimentary information to T2 based contrast regarding the in vivo micro
environment in the brain.
PMID- 29352235
TI - Systems modeling accurately predicts responses to genotoxic agents and their
synergism with BCL-2 inhibitors in triple negative breast cancer cells.
AB - Triple negative breast cancer (TNBC) is an aggressive form of breast cancer which
accounts for 15-20% of this disease and is currently treated with genotoxic
chemotherapy. The BCL2 (B-cell lymphoma 2) family of proteins controls the
process of mitochondrial outer membrane permeabilization (MOMP), which is
required for the activation of the mitochondrial apoptosis pathway in response to
genotoxic agents. We previously developed a deterministic systems model of BCL2
protein interactions, DR_MOMP that calculates the sensitivity of cells to undergo
mitochondrial apoptosis. Here we determined whether DR_MOMP predicts responses of
TNBC cells to genotoxic agents and the re-sensitization of resistant cells by
BCL2 inhibitors. Using absolute protein levels of BAX, BAK, BCL2, BCL(X)L and
MCL1 as input for DR_MOMP, we found a strong correlation between model
predictions and responses of a panel of TNBC cells to 24 and 48 h cisplatin (R2 =
0.96 and 0.95, respectively) and paclitaxel treatments (R2 = 0.94 and 0.95,
respectively). This outperformed single protein correlations (best performer
BCL(X)L with R2 of 0.69 and 0.50 for cisplatin and paclitaxel treatments,
respectively) and BCL2 proteins ratio (R2 of 0.50 for cisplatin and 0.49 for
paclitaxel). Next we performed synergy studies using the BCL2 selective
antagonist Venetoclax /ABT199, the BCL(X)L selective antagonist WEHI-539, or the
MCL1 selective antagonist A-1210477 in combination with cisplatin. In silico
predictions by DR_MOMP revealed substantial differences in treatment responses of
BCL(X)L, BCL2 or MCL1 inhibitors combinations with cisplatin that were
successfully validated in cell lines. Our findings provide evidence that DR_MOMP
predicts responses of TNBC cells to genotoxic therapy, and can aid in the choice
of the optimal BCL2 protein antagonist for combination treatments of resistant
cells.
PMID- 29352236
TI - Control of neural crest induction by MarvelD3-mediated attenuation of JNK
signalling.
AB - Tight junctions are required for the formation of tissue barriers and function as
suppressors of signalling mechanisms that control gene expression and cell
behaviour; however, little is known about the physiological and developmental
importance of such signalling functions. Here, we demonstrate that depletion of
MarvelD3, a transmembrane protein of tight junctions, disrupts neural crest
formation and, consequently, development of neural crest-derived tissues during
Xenopus embryogenesis. Using embryos and explant cultures combined with a small
molecule inhibitor or mutant mRNAs, we show that MarvelD3 is required to
attenuate JNK signalling during neural crest induction and that inhibition of JNK
pathway activation is sufficient to rescue the phenotype induced by MarvelD3
depletion. Direct JNK stimulation disrupts neural crest development, supporting
the importance of negative regulation of JNK. Our data identify the junctional
protein MarvelD3 as an essential regulator of early vertebrate development and
neural crest induction and, thereby, link tight junctions to the control and
timing of JNK signalling during early development.
PMID- 29352237
TI - Wearable Sweat Rate Sensors for Human Thermal Comfort Monitoring.
AB - We propose watch-type sweat rate sensors capable of automatic natural ventilation
by integrating miniaturized thermo-pneumatic actuators, and experimentally verify
their performances and applicability. Previous sensors using natural ventilation
require manual ventilation process or high-power bulky thermo-pneumatic actuators
to lift sweat rate detection chambers above skin for continuous measurement. The
proposed watch-type sweat rate sensors reduce operation power by minimizing
expansion fluid volume to 0.4 ml through heat circuit modeling. The proposed
sensors reduce operation power to 12.8% and weight to 47.6% compared to previous
portable sensors, operating for 4 hours at 6 V batteries. Human experiment for
thermal comfort monitoring is performed by using the proposed sensors having
sensitivity of 0.039 (pF/s)/(g/m2h) and linearity of 97.9% in human sweat rate
range. Average sweat rate difference for each thermal status measured in three
subjects shows (32.06 +/- 27.19) g/m2h in thermal statuses including
'comfortable', 'slightly warm', 'warm', and 'hot'. The proposed sensors thereby
can discriminate and compare four stages of thermal status. Sweat rate
measurement error of the proposed sensors is less than 10% under air velocity of
1.5 m/s corresponding to human walking speed. The proposed sensors are applicable
for wearable and portable use, having potentials for daily thermal comfort
monitoring applications.
PMID- 29352238
TI - Molting site fidelity accounts for colony elimination of the Formosan
subterranean termites (Isoptera: Rhinotermitidae) by chitin synthesis inhibitor
baits.
AB - Site fidelity by molting termites in Formosan subterranean termite, Coptotermes
formosanus Shiraki colonies is a new addition to our understanding of lower
termites' behavior and biology. Our previous studies indicated that workers moved
to the central nest to molt in the presence of eggs and reproductives. The
current study showed that noviflumuron-affected workers also return to the
central nest and died in the vicinity of reproductives and eggs. The aversion to
the dead and decaying workers caused reproductives and brood to leave the
original central nest site in a colony and refuge at newer sites every few days
in response to newly dead workers near them. Because mortality was an event
observed only in workers undergoing molting under the effect of noviflumuron- a
CSI, the death of molting individuals was observed only around reproductives and
brood. This study reveals a previously undiscovered behavior of molting termites
and the mechanics behind a successful arsenal; noviflumuron baits used against
subterranean termites.
PMID- 29352239
TI - Elucidating the mechanism of the considerable mechanical stiffening of DNA
induced by the couple Zn2+/Calix[4]arene-1,3-O-diphosphorous acid.
AB - The couple Calix[4]arene-1,3-O-diphosphorous acid (C4diP) and zinc ions (Zn2+)
acts as a synergistic DNA binder. Silicon NanoTweezer (SNT) measurements show an
increase in the mechanical stiffness of DNA bundles by a factor of >150, at Zn2+
to C4diP ratios above 8, as compared to Zinc alone whereas C4diP alone decreases
the stiffness of DNA. Electroanalytical measurements using 3D printed devices
demonstrate a progression of events in the assembly of C4diP on DNA promoted by
zinc ions. A mechanism at the molecular level can be deduced in which C4diP
initially coordinates to DNA by phosphate-phosphate hydrogen bonds or in the
presence of Zn2+ by Zn2+ bridging coordination of the phosphate groups. Then, at
high ratios of Zn2+ to C4diP, interdigitated dimerization of C4diP is followed by
cross coordination of DNA strands through Zn2+/C4diP inter-strand interaction.
The sum of these interactions leads to strong stiffening of the DNA bundles and
increased inter-strand binding.
PMID- 29352241
TI - Short Tandem Repeat analysis after Whole Genome Amplification of single B
lymphoblastoid cells.
AB - To allow multiple genetic analyses on a single cell, whole genome amplification
(WGA) is required. Unfortunately, studies comparing different WGA methods for
downstream human identification Short Tandem Repeat (STR) analysis remain absent.
Therefore, the aim of this work was to assess the performance of four
commercially available WGA kits for downstream human identification STR profiling
on a B-lymphoblastoid cell line. The performance was assessed using an input of
one or three micromanipulated cells. REPLI-g showed a very low dropout rate, as
it was the only WGA method in this study that could provide a complete STR
profile in some of its samples. Although Ampli1, DOPlify and PicoPLEX did not
detect all selected STR markers, they seem suitable for genetic identification in
single-cell applications.
PMID- 29352240
TI - Transcriptome Analysis in Spleen Reveals Differential Regulation of Response to
Newcastle Disease Virus in Two Chicken Lines.
AB - Enhancing genetic resistance of chickens to Newcastle Disease Virus (NDV)
provides a promising way to improve poultry health, and to alleviate poverty and
food insecurity in developing countries. In this study, two inbred chicken lines
with different responses to NDV, Fayoumi and Leghorn, were challenged with LaSota
NDV strain at 21 days of age. Through transcriptome analysis, gene expression in
spleen at 2 and 6 days post-inoculation was compared between NDV-infected and
control groups, as well as between chicken lines. At a false discovery rate
<0.05, Fayoumi chickens, which are relatively more resistant to NDV, showed fewer
differentially expressed genes (DEGs) than Leghorn chickens. Several interferon
stimulated genes were identified as important DEGs regulating immune response to
NDV in chicken. Pathways predicted by IPA analysis, such as "EIF-signaling",
"actin cytoskeleton organization nitric oxide production" and "coagulation
system" may contribute to resistance to NDV in Fayoumi chickens. The identified
DEGs and predicted pathways may contribute to differential responses to NDV
between the two chicken lines and provide potential targets for breeding chickens
that are more resistant to NDV.
PMID- 29352242
TI - PTRE-seq reveals mechanism and interactions of RNA binding proteins and miRNAs.
AB - RNA binding proteins (RBP) and microRNAs (miRNAs) often bind sequences in 3'
untranslated regions (UTRs) of mRNAs, and regulate stability and translation
efficiency. With the identification of numerous RBPs and miRNAs, there is an
urgent need for new technologies to dissect the function of the cis-acting
elements of RBPs and miRNAs. We describe post-transcriptional regulatory element
sequencing (PTRE-seq), a massively parallel method for assaying the target
sequences of miRNAs and RBPs. We use PTRE-seq to dissect sequence preferences and
interactions between miRNAs and RBPs. The binding sites for these effector
molecules influenced different aspects of the RNA lifecycle: RNA stability,
translation efficiency, and translation initiation. In some cases, post
transcriptional control is modular, with different factors acting independently
of each other, while in other cases factors show specific epistatic interactions.
The throughput, flexibility, and reproducibility of PTRE-seq make it a valuable
tool to study post-transcriptional regulation by 3'UTR elements.
PMID- 29352243
TI - Nucleolin and ErbB2 inhibition reduces tumorigenicity of ErbB2-positive breast
cancer.
AB - ErbB2, a member of the ErbB family of receptor tyrosine kinases, is an essential
player in the cell's growth and proliferation signaling pathways. Amplification
or overexpression of ErbB2 is observed in ~30% of breast cancer patients, and
often drives cellular transformation and cancer development. Recently, we have
shown that ErbB2 interacts with the nuclear-cytoplasmic shuttling protein
nucleolin, an interaction which enhances cell transformation in vitro, and
increases mortality risk and disease progression rate in human breast cancer
patients. Given these results, and since acquired resistance to anti-ErbB2
targeted therapy is a major obstacle in treatment of breast cancer, we have
examined the therapeutic potential of targeting the ErbB2-nucleolin complex. The
effect of the nucleolin-specific inhibitor GroA (AS1411) on ErbB2-positive breast
cancer was tested in vivo, in a mouse xenograft model for breast cancer; as well
as in vitro, alone and in combination with the ErbB2 kinase-inhibitor tyrphostin
AG-825. Here, we show that in vivo treatment of ErbB2-positive breast tumor
xenografts with GroA reduces tumor size and leads to decreased ErbB2-mediated
signaling. Moreover, we found that co-treatment of breast cancer cell lines with
GroA and the ErbB2 kinase-inhibitor tyrphostin AG-825 enhances the anti-cancer
effects exerted by GroA alone in terms of cell viability, mortality, migration,
and invasiveness. We, therefore, suggest a novel therapeutic approach, consisting
of combined inhibition of ErbB2 and nucleolin, which has the potential to improve
breast cancer treatment efficacy.
PMID- 29352244
TI - A very large perpendicular magnetic anisotropy in Pt/Co/MgO trilayers fabricated
by controlling the MgO sputtering power and its thickness.
AB - The perpendicular magnetic anisotropy (PMA) properties of Pt/Co/MgO trilayers are
investigated as a function of the MgO sputtering power (PMgO) and its thickness
(tMgO), both of which are important parameters affecting the degree of oxygen
interpenetration into Co during sputtering. A strong PMA is achieved at small
values of PMgO and tMgO, where the oxygen interpenetration into Co is expected to
be small. The range of oxygen interpenetration is relatively extended in such a
way that it affects both the Pt/Co and Co/MgO interfaces. The PMA properties of
as-deposited samples are improved by post-annealing for temperatures up to 400
degrees C examined in this study, probably due to the diffusion of the
interpenetrated oxygen atoms toward the Co/MgO interface. In a structure of Pt/Co
(0.6 nm)/MgO (2 nm), which is fabricated at PMgO = 50 W and then annealed at 400
degrees C, a huge saturation field is achieved (over 40 kOe) indicating a very
strong PMA. Between the two interfaces of Pt/Co and Co/MgO, the PMA is mainly due
to the former in the as-deposited state, but the contribution of the latter
increases with the increase in the annealing temperature.
PMID- 29352245
TI - AV2 protein of tomato leaf curl Palampur virus promotes systemic necrosis in
Nicotiana benthamiana and interacts with host Catalase2.
AB - Tomato leaf curl Palampur virus (ToLCPalV) is a whitefly-transmitted, bipartite
begomovirus. Here, we demonstrated that ectopic expression of AV2 from a Potato
virus X (PVX)-based vector accelerated systemic necrosis and reactive oxygen
species (ROS) accumulation in Nicotiana benthamiana. Furthermore, 10 amino acids
from N-terminal region of AV2 were found to be associated with the systemic
necrosis symptom/phenotype. Mutational studies of ToLCPalV infectious clones
lacking the AV2 revealed that AV2 is essential for the systemic movement of DNA
A, symptom severity and viral DNA accumulation. In a yeast two-hybrid assay,
Catalase2 (Cat2) was found to associate with AV2 protein. Further, silencing of
Cat2 resulted in appearance of necrotic lesions on N. benthamiana and these
plants were highly susceptible to ToLCPalV infection in comparison to control
plants. Infection ToLCPalV on Solanum lycopersicum resulted in downregulation of
Cat2 transcripts, followed by accumulation of ROS and stress marker transcripts.
The AV2 protein also suppressed virus-induced gene silencing (VIGS) of the
Phytoene desaturase (PDS) gene. Our results show that AV2 is essential for the
pathogenicity, systemic movement and suppression of gene silencing in the host.
Altogether, our findings suggest that interactions between AV2 and Cat2 might
play a crucial role in the establishment of ToLCPalV infection.
PMID- 29352246
TI - U-box ubiquitin ligase PPIL2 suppresses breast cancer invasion and metastasis by
altering cell morphology and promoting SNAI1 ubiquitination and degradation.
AB - Metastasis is the leading cause of breast cancer fatalities. To develop new
therapeutic strategies, the mechanisms underlying breast cancer invasion and
metastasis need to be further investigated. Peptidylprolyl isomerase
(cyclophilin)-like 2 (PPIL2) is a U-box-type E3 ubiquitin ligase belonging to the
cyclophilin family. Proteins within this family are the major cytosolic binding
proteins of the immunosuppressant drug cyclosporine A (CsA). Although PPIL2 has
been reported to potentially be involved in cell migration, its role in breast
cancer is still unclear. Herein, we demonstrate that PPIL2 suppressed metastasis
in a breast cancer model by altering cell morphology and suppressing the
epithelial-mesenchymal transition (EMT) process. Moreover, elevated PPIL2
inhibited EMT and breast cancer invasion by interacting with the classical EMT
transcription factor, SNAI1, to enhance its ubiquitin-dependent degradation.
Furthermore, PPIL2 protein level and stability was upregulated after CsA
treatment, indicating that PPIL2 might be involved in CsA-mediated repression of
EMT in breast cancer. Analysis of tissue samples taken from breast cancer
patients showed a significant correlation between the expression of PPIL2 and the
degree of cancer invasion and metastasis. In summary, these results would shed
light on a potential clinical use of CsA in breast cancer patients.
PMID- 29352247
TI - High content analysis identifies unique morphological features of reprogrammed
cardiomyocytes.
AB - Direct reprogramming of fibroblasts into cardiomyocytes is a promising approach
for cardiac regeneration but still faces challenges in efficiently generating
mature cardiomyocytes. Systematic optimization of reprogramming protocols
requires scalable, objective methods to assess cellular phenotype beyond what is
captured by transcriptional signatures alone. To address this question, we
automatically segmented reprogrammed cardiomyocytes from immunofluorescence
images and analyzed cell morphology. We also introduce a method to quantify
sarcomere structure using Haralick texture features, called SarcOmere Texture
Analysis (SOTA). We show that induced cardiac-like myocytes (iCLMs) are highly
variable in expression of cardiomyocyte markers, producing subtypes that are not
typically seen in vivo. Compared to neonatal mouse cardiomyocytes, iCLMs have
more variable cell size and shape, have less organized sarcomere structure, and
demonstrate reduced sarcomere length. Taken together, these results indicate that
traditional methods of assessing cardiomyocyte reprogramming by quantifying
induction of cardiomyocyte marker proteins may not be sufficient to predict
functionality. The automated image analysis methods described in this study may
enable more systematic approaches for improving reprogramming techniques above
and beyond existing algorithms that rely heavily on transcriptome profiling.
PMID- 29352249
TI - Guided cracking of electrodes by stretching prism-patterned membrane electrode
assemblies for high-performance fuel cells.
AB - Guided cracks were successfully generated in an electrode using the concentrated
surface stress of a prism-patterned Nafion membrane. An electrode with guided
cracks was formed by stretching the catalyst-coated Nafion membrane. The
morphological features of the stretched membrane electrode assembly (MEA) were
investigated with respect to variation in the prism pattern dimension (prism
pitches of 20 MUm and 50 MUm) and applied strain (S ~ 0.5 and 1.0). The behaviour
of water on the surface of the cracked electrode was examined using environmental
scanning electron microscopy. Guided cracks in the electrode layer were shown to
be efficient water reservoirs and liquid water passages. The MEAs with and
without guided cracks were incorporated into fuel cells, and electrochemical
measurements were conducted. As expected, all MEAs with guided cracks exhibited
better performance than conventional MEAs, mainly because of the improved water
transport.
PMID- 29352248
TI - Combined use of EpCAM and FRalpha enables the high-efficiency capture of
circulating tumor cells in non-small cell lung cancer.
AB - Circulating tumor cells (CTCs) provide a new approach for auxiliary diagnosis,
therapeutic effect evaluation, and prognosis prediction for cancer patients. The
epithelial cell adhesion molecule (EpCAM)-based separation method (CellSearch)
showed good clinical use in multiple types of cancer. Nevertheless, some non
small cell lung cancer (NSCLC) tumor cells have a lower expression of EpCAM and
are less frequently detected by CellSearch. Here, we present a highly sensitive
immunomagnetic separation method to capture CTCs based on two cell surface
markers for NSCLC, EpCAM and Folate receptor alpha (FRalpha). Our method has been
demonstrated to be more efficient in capturing NSCLC cells (P < 0.01) by
enriching three types of CTCs: EpCAM+/FRalpha-/low, EpCAM-/low/FRalpha+, and
EPCAM+/FRalpha+. In 41 NSCLC patients, a significantly higher CTC capture rate
(48.78% vs. 73.17%) was obtained, and by using a cutoff value of 0 CTC per 2 ml
of blood, the sensitivities were 53.66% and 75.61% and the specificities were
100% and 90% for anti-EpCAM-MNs or a combination of anti-EpCAM-MNs and anti
FRalpha-MNs, respectively. Compared with the tumor-specific LT-PCR based on
FRalpha, our method can isolate intact FRalpha+ CTCs, and it is advantageous for
additional CTC-related downstream analysis. Our results provide a new method to
increase the CTC capture efficiency of NSCLC.
PMID- 29352250
TI - Multi-species coexistence in Lotka-Volterra competitive systems with crowding
effects.
AB - Classical Lotka-Volterra (LV) competition equation has shown that coexistence of
competitive species is only possible when intraspecific competition is stronger
than interspecific competition, i.e., the species inhibit their own growth more
than the growth of the other species. Note that density effect is assumed to be
linear in a classical LV equation. In contrast, in wild populations we can
observed that mortality rate often increases when population density is very
high, known as crowding effects. Under this perspective, the aggregation models
of competitive species have been developed, adding the additional reduction in
growth rates at high population densities. This study shows that the coexistence
of a few species is promoted. However, an unsolved question is the coexistence of
many competitive species often observed in natural communities. Here, we build an
LV competition equation with a nonlinear crowding effect. Our results show that
under a weak crowding effect, stable coexistence of many species becomes
plausible, unlike the previous aggregation model. An analysis indicates that
increased mortality rate under high density works as elevated intraspecific
competition leading to the coexistence. This may be another mechanism for the
coexistence of many competitive species leading high species diversity in nature.
PMID- 29352251
TI - Differential effects of SUMO1 and SUMO3 on PKR activation and stability.
AB - Double-stranded RNA (dsRNA)-dependent protein kinase (PKR) is a serine/threonine
kinase that exerts its own phosphorylation and the phosphorylation of the alpha
subunit of the protein synthesis initiation factor eIF-2alpha. PKR was identified
as a target of SUMOylation and the triple PKR-SUMO deficient mutant on Lysine
residues K60-K150-K440 has reduced PKR activity. We report that SUMO1 and SUMO3
expression exert differential effects on PKR localization, activation and
stability. SUMO1 or SUMO3 did not alter the repartition of PKR in the cytoplasm
and the nucleus. However, in SUMO3-expressing cells PKR was found more
concentrated around the perinuclear membrane and was recruited from small
speckles to nuclear dots. Interestingly, SUMO1 expression alone resulted in PKR
and eIF-2alpha activation, whereas SUMO3 reduced PKR and eIF-2alpha activation
upon viral infection or dsRNA transfection. In addition, encephalomyocarditis
virus (EMCV) enhanced PKR conjugation to SUMO1 and SUMO3 but only SUMO3
expression promoted caspase-dependent EMCV-induced PKR degradation. Furthermore,
the higher EMCV-induced PKR activation by SUMO1 was correlated with an inhibition
of EMCV. Importantly SUMO1, by inducing PKR activation in the absence of viral
infection, and SUMO3, by counteracting both PKR activation and stability upon
viral infection, shed a new light on the differential effects of SUMO-modified
PKR.
PMID- 29352252
TI - Towards an experimental classification system for membrane active peptides.
AB - Mature proteins can act as potential sources of encrypted bioactive peptides
that, once released from their parent proteins, might interact with diverse
biomolecular targets. In recent work we introduced a systematic methodology to
uncover encrypted intragenic antimicrobial peptides (IAPs) within large protein
sequence libraries. Given that such peptides may interact with membranes in
different ways, resulting in distinct observable outcomes, it is desirable to
develop a predictive methodology to categorize membrane active peptides and
establish a link to their physicochemical properties. Building upon previous
work, we explored the interaction of a range of IAPs with model membranes probed
by differential scanning calorimetry (DSC) and circular dichroism (CD)
techniques. The biophysical data were submitted to multivariate statistical
methods and resulting peptide clusters were correlated to peptide structure and
to their antimicrobial activity. A re-evaluation of the physicochemical
properties of the peptides was conducted based on peptide cluster memberships.
Our data indicate that membranolytic peptides produce characteristic thermal
transition (DSC) profiles in model vesicles and that this can be used to
categorize novel molecules with unknown biological activity. Incremental
expansion of the model presented here might result in a unified experimental
framework for the prediction of novel classes of membrane active peptides.
PMID- 29352253
TI - Tracking the Evolution of Transiently Transfected Individual Cells in a
Microfluidic Platform.
AB - Transient gene expression (TGE) technology enables the rapid production of large
amount of recombinant proteins, without the need of fastidious screening of the
producing cells required for stable transfection (ST). However, several barriers
must be overcome before reaching the production yields using ST. For optimizing
the production yields from suspended cells using TGE, a better understanding of
the transfection conditions at the single cell level are required. In this study,
a universal droplet microfluidic platform was used to assess the heterogeneities
of CHO-S population transiently transfected with cationic liposomes (CL)
(lipoplexes) complexed with GFP-coding plasmid DNA (pDNA). A single cell analysis
of GFP production kinetics revealed the presence of a subpopulation producing
higher levels of GFP compared with the main population. The size of high
producing (HP) cells, their relative abundance, and their specific productivity
were dependent on the charge and the pDNA content of the different lipoplexes:
HPs showed increased cell size in comparison to the average population,
lipoplexes with positive charge produced more HPs, and lipoplexes carrying a
larger amount of pDNA yielded a higher specific productivity of HPs. This study
demonstrates the potential for time-resolved single-cell measurements to explain
population dynamics from a microscopic point of view.
PMID- 29352254
TI - Author Correction: Long-term carbon sink in Borneo's forests halted by drought
and vulnerable to edges.
AB - The original version of this Article contained an error in the third sentence of
the abstract and incorrectly read "Here, using long-term plot monitoring records
of up to half a century, we find that intact forests in Borneo gained 0.43 Mg C
ha-1 year-1 (95% CI 0.14-0.72, mean period 1988-2010) above-ground live biomass",
rather than the correct "Here, using long-term plot monitoring records of up to
half a century, we find that intact forests in Borneo gained 0.43 Mg C ha-1 year
1 (95% CI 0.14-0.72, mean period 1988-2010) in above-ground live biomass carbon".
This has now been corrected in both the PDF and HTML versions of the Article.
PMID- 29352255
TI - Offline stimulation of human parietal cortex differently affects resting EEG
microstates.
AB - The interference effects of transcranial magnetic stimulation (TMS) on several
electroencephalographic (EEG) measures in both temporal and frequency domains
have been reported. We tested the hypothesis whether the offline external
inhibitory interference, although focal, could result in a global reorganization
of the functional brain state, as assessed by EEG microstates. In 16 healthy
subjects, we inhibited five parietal areas and used a pseudo stimulation (Sham)
at rest. The EEG microstates were extracted before and after each stimulation.
The canonical A, B, C and D templates were found before and after all stimulation
conditions. The Sham, as well as the stimulation of a ventral site did not modify
any resting EEG microstates' topography. On the contrary, interfering with
parietal key-nodes of both dorsal attention (DAN) and default mode networks
(DMN), we observed that the microstate C clearly changes, whereas the other three
topographies are not affected. These results provide the first causal evidence of
a microstates modification following magnetic interference. Since the microstate
C has been associated to the activity in regions belonging to the cingulo
opercular network (CON), the regional specificity of such inhibition seems to
support the theory of a link between CON and both DAN and DMN at rest.
PMID- 29352256
TI - Time management in a co-housed social rodent species (Arvicanthis niloticus).
AB - Sociality has beneficial effects on fitness, and timing the activities of animals
may be critical. Social cues could influence daily rhythmic activities via direct
effects on the circadian clock or on processes that bypass it (masking), but
these possibilities remain incompletely addressed. We investigated the effects of
social cues on the circadian body temperature (Tb) rhythms in pairs of co-housed
and isolated grass rats, Arvicanthis niloticus (a social species), in constant
darkness (DD). Cohabitation did not induce synchronization of circadian Tb
rhythms. However, socio-sexual history did affect circadian properties:
accelerating the clock in sexually experienced males and females in DD and
advancing rhythm phase in the females in a light-dark cycle. To address whether
synchronization occurs at an ultradian scale, we analyzed Tb and activity rhythms
in pairs of co-housed sisters or couples in DD. Regardless of pair type, co
housing doubled the percentage of time individuals were simultaneously active
without increasing individual activity levels, suggesting that activity bouts
were synchronized by redistribution over 24 h. Together, our laboratory findings
show that social cues affect individual "time allocation" budgets via mechanisms
at multiple levels of biological organization. We speculate that in natural
settings these effects could be adaptive, especially for group-living animals.
PMID- 29352257
TI - Robust phenotype prediction from gene expression data using differential
shrinkage of co-regulated genes.
AB - Discovery of robust diagnostic or prognostic biomarkers is a key to optimizing
therapeutic benefit for select patient cohorts - an idea commonly referred to as
precision medicine. Most discovery studies to derive such markers from high
dimensional transcriptomics datasets are weakly powered with sample sizes in the
tens of patients. Therefore, highly regularized statistical approaches are
essential to making generalizable predictions. At the same time, prior knowledge
driven approaches have been successfully applied to the manual interpretation of
high-dimensional transcriptomics datasets. In this work, we assess the impact of
combining two orthogonal approaches for the discovery of biomarker signatures,
namely (1) well-known lasso-based regression approaches and its more recent
derivative, the group lasso, and (2) the discovery of significant upstream
regulators in literature-derived biological networks. Our method integrates both
approaches in a weighted group-lasso model and differentially weights gene sets
based on inferred active regulatory mechanism. Using nested cross-validation as
well as independent clinical datasets, we demonstrate that our approach leads to
increased accuracy and generalizable results. We implement our approach in a
computationally efficient, user-friendly R package called creNET. The package can
be downloaded at
https://github.com/kouroshz/creNethttps://github.com/kouroshz/creNet and is
accompanied by a parsed version of the STRING DB data base.
PMID- 29352258
TI - Atomic structure and passivated nature of the Se-treated GaAs(111)B surface.
AB - We have systematically studied the atomic structure and electronic properties of
the Se-treated GaAs(111)B surface using scanning tunneling microscopy, reflection
high-energy electron diffraction, x-ray photoelectron spectroscopy, and first
principles calculations. We have found that Se atoms substitute [Formula: see
text] monolayer of As atoms at the outermost layer of the ideal (111)B surface.
Charge transfer from Se to As eliminates all of unsaturated dangling bonds, so
that the surface is electronically stabilized, leaving no surface states in the
mid-gap region.
PMID- 29352259
TI - Plasma MCP-1 and Cognitive Decline in Patients with Alzheimer's Disease and Mild
Cognitive Impairment: A Two-year Follow-up Study.
AB - Monocyte chemoattractant protein-1 (MCP-1, also known as chemokine CCL2) is a
vital chemokine that mediates inflammation in Alzheimer's disease (AD). We
analyzed the associations between the baseline plasma MCP-1 level, longitudinal
cognitive changes, and genetic effects of CCL2 rs1024611 and its receptor, CC
chemokine receptor 2 (CCR2) rs1799864, in AD. In total, 310 AD patients and 66
mild cognitive impairment (MCI) patients were followed for 2 years, and 120
controls were recruited at baseline for comparison. After adjusting for
covariates using one-way analysis of covariance, AD patients had higher plasma
MCP-1 levels compared with MCI patients and controls, and severe AD patients had
the highest levels. After adjusting for covariates using generalized estimating
equation analysis, the results showed that the baseline MCP-1 level was
significantly correlated with changes in the two-year Mini-Mental Status
Examination (p = 0.046). The A allele of CCR2 rs1799864 was associated with a
higher MCP-1 level in AD and MCI patients. In conclusion, plasma MCP-1 might
reflect the risk and disease course of AD. A higher plasma MCP-1 level is
associated with greater severity and faster cognitive decline. Additionally, the
CCR2 polymorphism may play a role in the regulation of MCP-1/CCR2 signaling in
AD.
PMID- 29352260
TI - Effect of in vitro storage duration on measured mechanical properties of brain
tissue.
AB - Accurate characterization of the mechanical properties of brain tissue is
essential for understanding the mechanisms of traumatic brain injuries and
developing protective gears or facilities. However, how storage conditions might
affect the mechanical properties of brain tissue remains unclear. The objective
of this study is to investigate the effect of in vitro storage duration on the
mechanical performance of brain tissue since measurements are usually carried out
in vitro. Differential Scanning Calorimetry (DSC) measurements and uniaxial
compression mechanical experiments are carried out. The results indicate that,
for brain tissue stored at 1 degrees C without any liquid medium, the bio
molecular interactions and the mechanical strength of both white and grey matter
deteriorate with prolonged storage duration. Transmission Electron Microscopy
(TEM) results reveal the degeneration of myelin sheaths and the vacuolization of
cristae with prolonged storage duration, suggesting that the in vitro storage
duration should be carefully controlled. The findings from this study might
facilitate the development of guidelines and standards for the in vitro storage
of brain tissue.
PMID- 29352261
TI - Insight into the role of PIKK family members and NF-kB in DNAdamage-induced
senescence and senescence-associated secretory phenotype of colon cancer cells.
AB - Senescence of cancer cells is an important outcome of treatment of many cancer
types. Cell senescence is a permanent cell cycle arrest induced by stress
conditions, including DNA damage. DNA damage activates DNA damage response (DDR),
which involves members of the phosphatidylinositol 3-kinase-related kinase (PIKK)
superfamily: protein kinases ATM, ATR, and DNA-PKcs. The so-far collected data
indicate that ATM, with its downstream targets CHK2, p53, and p21, is the key
protein involved in DDR-dependent senescence. It was also documented that the so
called senescence-associated secretory phenotype-SASP relies on ATM/CHK2, and not
on p53 signaling. Moreover, genotoxic agents used in cancer treatment can
activate NF-kappaB, which also induces transcription of SASP genes. In this
paper, we have studied the involvement of three PIKK family members in colon
cancer cell senescence and connection between DNA-damage-induced senescence and
NF-kappaB-regulated SASP in p53-proficient and p53-deficient colon cancer cells
treated with doxorubicin. We showed that doxorubicin induced cell senescence in
both p53+/+ and p53-/- HCT116 cells, proving that this process is p53
independent. Senescence was successfully abrogated by a PIKK inhibitor, caffeine,
or by simultaneous silencing of three PIKKs by specific siRNAs. By silencing
individual members of PIKK family and analyzing common markers of senescence, the
level of p21 and SA-beta-Gal activity, we came to the conclusion that ATR kinase
is crucial for the onset of senescence as, in contrast to ATM and DNA-PKsc, it
could not be fully substituted by other PIKKs. Moreover, we showed that in case
of silencing the three PIKKs, there was no SASP reduction accompanying the
decrease in the level of p21 and SA-beta-Gal (Senescence-Associated-beta
Galactosidase) activity; whereas knocking down the NF-kappaB component, p65,
abrogated SASP, but did not affect other markers of senescence, proving that DNA
damage regulated senescence independently and NF-kappaB evoked SASP.
PMID- 29352262
TI - Microbial regulation of the L cell transcriptome.
AB - L cells are an important class of enteroendocrine cells secreting hormones such
as glucagon like peptide-1 and peptide YY that have several metabolic and
physiological effects. The gut is home to trillions of bacteria affecting host
physiology, but there has been limited understanding about how the microbiota
affects gene expression in L cells. Thus, we rederived the reporter mouse strain,
GLU-Venus expressing yellow fluorescent protein under the control of the
proglucagon gene, as germ-free (GF). Lpos cells from ileum and colon of GF and
conventionally raised (CONV-R) GLU-Venus mice were isolated and subjected to
transcriptomic profiling. We observed that the microbiota exerted major effects
on ileal L cells. Gene Ontology enrichment analysis revealed that microbiota
suppressed biological processes related to vesicle localization and synaptic
vesicle cycling in Lpos cells from ileum. This finding was corroborated by
electron microscopy of Lpos cells showing reduced numbers of vesicles as well as
by demonstrating decreased intracellular GLP-1 content in primary cultures from
ileum of CONV-R compared with GF GLU-Venus mice. By analysing Lpos cells
following colonization of GF mice we observed that the greatest transcriptional
regulation was evident within 1 day of colonization. Thus, the microbiota has a
rapid and pronounced effect on the L cell transcriptome, predominantly in the
ileum.
PMID- 29352263
TI - Mammalian endoreplication emerges to reveal a potential developmental timer.
AB - Among the most intriguing and relevant questions in physiology is how developing
tissues correctly coordinate proliferation with differentiation. Endoreplication,
in a broad sense, is a consequence of a cell division block in the presence of an
active cell cycle, and it typically occurs as cells differentiate terminally to
fulfill a specialised function. Until recently, endoreplication was thought to be
a rare variation of the cell cycle in mammals, more common in invertebrates and
plants. However, in the last years, endoreplication has been uncovered in various
tissues in mammalian organisms, including human. A recent report showing that
cells in the mammary gland become binucleate at lactation sheds new insight into
the importance of mammalian polyploidisation. We here propose that
endoreplication is a widespread phenomenon in mammalian developing tissues that
results from an automatic, robust and simple self-limiting mechanism coordinating
cell multiplication with differentiation. This mechanism might act as a
developmental timer. The model has implications for homeostasis control and
carcinogenesis.
PMID- 29352264
TI - Cell autonomous role of iASPP deficiency in causing cardiocutaneous disorders.
AB - Desmosome components are frequently mutated in cardiac and cutaneous disorders in
animals and humans and enhanced inflammation is a common feature of these
diseases. Previous studies showed that inhibitor of Apoptosis Stimulating p53
Protein (iASPP) regulates desmosome integrity at cell-cell junctions and
transcription in the nucleus, and its deficiency causes cardiocutaneous disorder
in mice, cattle, and humans. As iASPP is a ubiquitously expressed shuttling
protein with multiple functions, a key question is whether the observed
cardiocutaneous phenotypes are caused by loss of a cell autonomous role of iASPP
in cardiomyocytes and keratinocytes specifically or by a loss of iASPP in other
cell types such as immune cells. To address this, we developed cardiomyocyte
specific and keratinocyte-specific iASPP-deficient mouse models and show that the
cell-type specific loss of iASPP in cardiomyocytes or keratinocytes is sufficient
to induce cardiac or cutaneous disorders, respectively. Additionally,
keratinocyte-specific iASPP-deficient mice have delayed eyelid development and
wound healing. In keratinocytes, junctional iASPP is critical for stabilizing
desmosomes and iASPP deficiency results in increased and disorganized cell
migration, as well as impaired cell adhesion, consistent with delayed wound
healing. The identification of a cell autonomous role of iASPP deficiency in
causing cardiocutaneous syndrome, impaired eyelid development and wound healing
suggests that variants in the iASPP gene also may contribute to polygenic heart
and skin diseases.
PMID- 29352265
TI - Type I IFN operates pyroptosis and necroptosis during multidrug-resistant A.
baumannii infection.
AB - Multidrug-resistant Acinetobacter baumannii, a common pathogen responsible for
nosocomial infections, is the main cause for outbreaks of infectious diseases,
such as pneumonia, meningitis, and bacteremia, especially among critically ill
patients. Epidemic A. baumannii is a growing public health concern as it is
resistant to all existing antimicrobial agents, thereby necessitating the
development of new therapeutic approaches to mount an effective immune response
against this bacterial pathogen. In this study, we identified a critical role for
type I interferon (IFN) in epigenetic regulation during A. baumannii infection
and established a central role for it in multiple cell death pathways. A.
baumannii infection induced mixed cell death constituted of apoptosis,
pyroptosis, and necroptosis. Mechanically, A. baumannii triggered TRIF-dependent
type I IFN production, which in turn induced the expression of genes Zbp1, Mlkl,
caspase-11, and Gsdmd via KAT2B-mediated and P300-mediated H3K27ac modification,
leading to NLRP3 inflammasome activation, and potentially contributed to GSDMD
mediated pyroptosis and MLKL-dependent necroptosis. Our study offers novel
insights into the mechanisms of type I IFN and provides potential therapeutic
targets for infectious and inflammatory diseases.
PMID- 29352266
TI - Correspondence: T cells are compromised in tetracycline transactivator transgenic
mice.
PMID- 29352267
TI - Differential susceptibility of striatal, hippocampal and cortical neurons to
Caspase-6.
AB - Active cysteinyl protease Caspase-6 is associated with early Alzheimer and
Huntington diseases. Higher entorhinal cortex and hippocampal Caspase-6 levels
correlate with lower cognitive performance in aged humans. Caspase-6 induces
axonal degeneration in human primary neuron cultures and causes inflammation and
neurodegeneration in mouse hippocampus, and age-dependent memory impairment. To
assess whether Caspase-6 causes damage to another neuronal system, a transgenic
knock-in mouse overexpressing a self-activated form of Caspase-6 five-fold in the
striatum, the area affected in Huntington disease, and 2.5-fold in the
hippocampus and cortex, was generated. Detection of Tubulin cleaved by Caspase-6
confirmed Caspase-6 activity. The Caspase-6 expressing mice and control
littermates were subjected to behavioral tests to assess Huntington disease
relevant psychiatric, motor, and cognitive deficits. Depression was excluded with
the forced swim and sucrose consumption tests. Motor deficits were absent in the
nesting, clasping, rotarod, vertical pole, gait, and open field analyzes.
However, Caspase-6 mice developed age-dependent episodic and spatial memory
deficits identified by novel object recognition, Barnes maze and Morris water
maze assays. Neuron numbers were maintained in the striatum, hippocampus, and
cortex. Microglia and astrocytes were increased in the hippocampal stratum
lacunosum molecular and in the cortex, but not in the striatum. Synaptic mRNA
profiling identified two differentially expressed genes in transgenic
hippocampus, but none in striatum. Caspase-6 impaired synaptic transmission and
induced neurodegeneration in hippocampal CA1 neurons, but not in striatal medium
spiny neurons. These data revealed that active Caspase-6 in the striatal medium
spiny neurons failed to induce inflammation, neurodegeneration or behavioral
abnormalities, whereas active Caspase-6 in the cortex and hippocampus impaired
episodic and spatial memories, and induced inflammation, neuronal dysfunction,
and neurodegeneration. The results indicate age and neuronal subtype-dependent
Caspase-6 toxicity and highlight the importance of targeting the correct neuronal
subtype to identify underlying molecular mechanisms of neurodegenerative
diseases.
PMID- 29352268
TI - Cylindromatosis mediates neuronal cell death in vitro and in vivo.
AB - The tumor-suppressor cylindromatosis (CYLD) is a deubiquitinating enzyme and key
regulator of cell proliferation and inflammation. A genome-wide siRNA screen
linked CYLD to receptor interacting protein-1 (RIP1) kinase-mediated necroptosis;
however, the exact mechanisms of CYLD-mediated cell death remain unknown.
Therefore, we investigated the precise role of CYLD in models of neuronal cell
death in vitro and evaluated whether CYLD deletion affects brain injury in vivo.
In vitro, downregulation of CYLD increased RIP1 ubiquitination, prevented
RIP1/RIP3 complex formation, and protected neuronal cells from oxidative death.
Similar protective effects were achieved by siRNA silencing of RIP1 or RIP3 or by
pharmacological inhibition of RIP1 with necrostatin-1. In vivo, CYLD knockout
mice were protected from trauma-induced brain damage compared to wild-type
littermate controls. These findings unravel the mechanisms of CYLD-mediated cell
death signaling in damaged neurons in vitro and suggest a cell death-mediating
role of CYLD in vivo.
PMID- 29352269
TI - Phosphorylation by protein kinase A disassembles the caspase-9 core.
AB - Caspases, the cysteine proteases which facilitate the faithful execution of
apoptosis, are tightly regulated by a number of mechanisms including
phosphorylation. In response to cAMP, PKA phosphorylates caspase-9 at three sites
preventing caspase-9 activation, and suppressing apoptosis progression.
Phosphorylation of caspase-9 by PKA at the functionally relevant site Ser-183
acts as an upstream block of the apoptotic cascade, directly inactivating caspase
9 by a two-stage mechanism. First, Ser-183 phosphorylation prevents caspase-9
self-processing and directly blocks substrate binding. In addition, Ser-183
phosphorylation breaks the fundamental interactions within the caspase-9 core,
promoting disassembly of the large and small subunits. This occurs despite Ser
183 being a surface residue distal from the interface between the large and small
subunits. This phosphorylation-induced disassembly promotes the formation of
ordered aggregates around 20 nm in diameter. Similar aggregates of caspase-9 have
not been previously reported. This two-stage regulatory mechanism for caspase-9
has likewise not been reported previously but may be conserved across the
caspases.
PMID- 29352270
TI - Non-canonical Wnt induces chondrocyte de-differentiation through Frizzled 6 and
DVL-2/B-raf/CaMKIIalpha/syndecan 4 axis.
AB - Dysregulation of Wnt signaling has been implicated in developmental defects and
in the pathogenesis of many diseases such as osteoarthritis; however, the
underlying mechanisms are poorly understood. Here, we report that non-canonical
Wnt signaling induced loss of chondrocyte phenotype through activation of Fz
6/DVL-2/SYND4/CaMKIIalpha/B-raf/ERK1/2 cascade. We show that in response to Wnt
3a, Frizzled 6 (Fz-6) triggers the docking of CaMKIIalpha to syndecan 4 (SYND4)
and that of B-raf to DVL-2, leading to the phosphorylation of B-raf by
CaMKIIalpha and activation of extracellular signal-regulated kinase 1 and 2
(ERK1/2) signaling, which leads to chondrocyte de-differentiation. We demonstrate
that CaMKIIalpha associates and phosphorylates B-raf in vitro and in vivo. Our
study reveals the mechanism by which non-canonical Wnt activates ERK1/2 signaling
that induces loss of chondrocyte phenotype, and demonstrates a direct functional
relationship between CaMKIIalpha and B-raf during chondrocyte de-differentiation.
The identification of Fz-6, SYND4, and B-raf as novel physiological regulators of
chondrocyte phenotype may provide new potential anti-osteoarthritic targets.
PMID- 29352271
TI - Sex disparity in cancer: roles of microRNAs and related functional players.
AB - A sexual dimorphism at the cellular level has been suggested to play a role in
cancer onset and progression. In particular, very recent studies have unraveled
striking differences between cells carrying XX or XY chromosomes in terms of
response to stressful stimuli, indicating the presence of genetic and epigenetic
differences determining sex-specific metabolic or phenotypic traits. Although
this field of investigation is still in its infancy, available data suggest a key
role of sexual chromosomes in determining cell life or death. In particular,
cells carrying XX chromosomes exhibit a higher adaptive potential and survival
behavior in response to microenvironmental variations with respect to XY cells.
Cells from females also appear to be equipped with more efficient epigenetic
machinery than the male counterpart. In particular, the X chromosome contains an
unexpected high number of microRNAs (miRs), at present 118, in comparison with
only two miRs localized on chromosome Y, and an average of 40-50 on the
autosomes. The regulatory power of these small non-coding RNAs is well
recognized, as 30-50% of all protein-coding genes are targeted by miRs and their
role in cell fate has been well demonstrated. In addition, several further
insights, including DNA methylation patterns that are different in males and
females, claim for a significant gender disparity in cancer and in the immune
system activity against tumors. In this brief paper, we analyze the state of the
art of our knowledge on the implication of miRs encoded on sex chromosomes, and
their related functional paths, in the regulation of cell homeostasis and depict
possible perspectives for the epigenetic research in the field.
PMID- 29352273
TI - Binder-free sheet-type all-solid-state batteries with enhanced rate capabilities
and high energy densities.
AB - All-solid-state batteries using inorganic solid electrolytes are considered
promising energy storage systems because of their safety and long life. Stackable
and compact sheet-type all-solid-state batteries are urgently needed for
industrial applications such as smart grids and electric vehicles. A binder is
usually indispensable to the construction of sheet-type batteries; however, it
can decrease the power and cycle performance of the battery. Here we report the
first fabrication of a binder-free sheet-type battery. The key to this
development is the use of volatile poly(propylene carbonate)-based binders; used
to fabricate electrodes, solid electrolyte sheets, and a stacked three-layered
sheet, these binders can also be removed by heat treatment. Binder removal leads
to enhanced rate capability, excellent cycle stability, and a 2.6-fold increase
in the cell-based-energy-density over previously reported sheet-type batteries.
This achievement is the first step towards realizing sheet-type batteries with
high energy and power density.
PMID- 29352272
TI - Nuclear p53-mediated repression of autophagy involves PINK1 transcriptional down
regulation.
AB - p53 is a transcription factor that is implicated in the control of both apoptotic
and autophagic cell death. This tumor suppressor elicits both pro-autophagic and
anti-autophagic phenotypes depending of its intracellular localization. The
ability of p53 to repress autophagy has been exclusively associated to its
cytoplasmic localization. Here, we show that transcriptional activity of p53 also
contributes to autophagy down-regulation. Thus, nuclear p53 controls PINK1, a key
protein involved in the control of mitophagy, by repressing its promoter
activity, protein and mRNA levels, ex-vivo and in vivo. We establish that
deletion of an identified p53 responsive element on PINK1 promoter impacts p53
mediated PINK1 transcriptional repression and we demonstrate a p53-PINK1 physical
interaction by chromatin immunoprecipitation. Accordingly, we show that only
nuclear p53 accounts for its ability to repress PINK1 gene transcription.
Further, we demonstrate ex-vivo and in vivo that p53 invalidation in human cells
increases LC3 maturation as well as optineurin and NDP52 autophagy receptors
expression and down-regulates TIM23, TOM20 and HSP60 mitophagy markers.
Importantly, this phenotype is mimicked by TP53 invalidation in mice brain.
Finally, by combining pharmacological and genetic approaches, we show that the
p53-mediated negative regulation of autophagy is PINK1-dependent. Thus pifithrin
alpha-mediated blockade of p53 transcriptional activity enhances LC3 maturation
and reduces p62, TIM23, TOM20 and HSP60 protein levels. This pifithrin-alpha
associated pro-mitophagy phenotype is fully abolished by PINK1 depletion. This
data unravels a novel pathway by which nuclear p53 can repress
autophagy/mitophagy that could underlie important dysfunctions in both
neurodegenerative and cancer diseases.
PMID- 29352274
TI - Compliance-Free, Digital SET and Analog RESET Synaptic Characteristics of Sub
Tantalum Oxide Based Neuromorphic Device.
AB - A two terminal semiconducting device like a memristor is indispensable to emulate
the function of synapse in the working memory. The analog switching
characteristics of memristor play a vital role in the emulation of biological
synapses. The application of consecutive voltage sweeps or pulses (action
potentials) changes the conductivity of the memristor which is considered as the
fundamental cause of the synaptic plasticity. In this study, a neuromorphic
device using an in-situ growth of sub-tantalum oxide switching layer is
fabricated, which exhibits the digital SET and analog RESET switching with an
electroforming process without any compliance current (compliance free). The
process of electroforming and SET is observed at the positive sweeps of +2.4 V
and +0.86 V, respectively, while multilevel RESET is observed with the
consecutive negative sweeps in the range of 0 V to -1.2 V. The movement of oxygen
vacancies and gradual change in the anatomy of the filament is attributed to
digital SET and analog RESET switching characteristics. For the Ti/Ta2O3-x/Pt
neuromorphic device, the Ti top and Pt bottom electrodes are considered as
counterparts of the pre-synaptic input terminal and a post-synaptic output
terminal, respectively.
PMID- 29352275
TI - Dexamethasone induced miR-155 up-regulation in differentiating 3T3-L1
preadipocytes does not affect adipogenesis.
AB - Dexamethasone is a synthetic glucocorticoid that is widely used as an adipogenic
inducer in both murine and human in vitro models. Glucocorticoids have been shown
to regulate early transcriptional events in adipogenesis. MicroRNAs (miRNAs) have
been also implicated in the regulation of preadipocyte differentiation; however,
the effects of glucocorticoids on miRNA expression levels during this process
have not been studied. In this study we investigated the effects of
glucocorticoids on the expression levels of miR-155 in differentiating 3T3-L1
preadipocytes. We found that miR-155 levels were up-regulated (2.4-fold) by
glucocorticoids in differentiating 3T3-L1 preadipocytes, and this enhancement was
abolished in the presence of RU486, a glucocorticoid receptor antagonist. In
contrast, treatment with rosiglitazone, another adipogenic inducer decreased the
expression levels of miR-155 in these cells. Further, our data show that
endogenous miR-155 is unlikely to be involved in adipogenesis as we show that
both dexamethasone and rosiglitazone induced adipogenesis to similar levels.
Furthermore, using miR-155 inhibitor, we showed that the dexamethasone mediated
miR-155 enhancement did not alter adipogenesis. Our data show that dexamethasone
but not rosiglitazone increases miR-155 expression and that the increased
expression of miR-155 is not involved in the dexamethasone-mediated adipogenesis
in the 3T3-L1 model.
PMID- 29352276
TI - Arrhythmogenic drugs can amplify spatial heterogeneities in the electrical
restitution in perfused guinea-pig heart: An evidence from assessments of
monophasic action potential durations and JT intervals.
AB - Non-uniform shortening of the action potential duration (APD90) in different
myocardial regions upon heart rate acceleration can set abnormal repolarization
gradients and promote arrhythmia. This study examined whether spatial
heterogeneities in APD90 restitution can be amplified by drugs with clinically
proved proarrhythmic potential (dofetilide, quinidine, procainamide, and
flecainide) and, if so, whether these effects can translate to the appropriate
changes of the ECG metrics of ventricular repolarization, such as JT intervals.
In isolated, perfused guinea-pig heart preparations, monophasic action potentials
and volume-conducted ECG were recorded at progressively increased pacing rates.
The APD90 measured at distinct ventricular sites, as well as the JTpeak and JTend
values were plotted as a function of preceding diastolic interval, and the
maximum slopes of the restitution curves were determined at baseline and upon
drug administration. Dofetilide, quinidine, and procainamide reverse rate
dependently prolonged APD90 and steepened the restitution curve, with effects
being greater at the endocardium than epicardium, and in the right ventricular
(RV) vs. the left ventricular (LV) chamber. The restitution slope was increased
to a greater extent for the JTend vs. the JTpeak interval. In contrast,
flecainide reduced the APD90 restitution slope at LV epicardium without producing
effect at LV endocardium and RV epicardium, and reduced the JTpeak restitution
slope without changing the JTend restitution. Nevertheless, with all agents,
these effects translated to the amplified epicardial-to-endocardial and the LV-to
RV non-uniformities in APD90 restitution, paralleled by the increased JTend vs.
JTpeak difference in the restitution slope. In summary, these findings suggest
that arrhythmic drug profiles are partly attributable to the accentuated regional
heterogeneities in APD90 restitution, which can be indirectly determined through
ECG assessments of the JTend vs. JTpeak dynamics at variable pacing rates.
PMID- 29352277
TI - Influence of early stress on memory reconsolidation: Implications for post
traumatic stress disorder treatment.
AB - Post-traumatic stress disorder (PTSD) is a common consequence of exposure to a
life-threatening event. Currently, pharmacological treatments are limited by high
rates of relapse, and novel treatment approaches are needed. We have recently
demonstrated that propranolol, a beta-adrenergic antagonist, inhibited aversive
memory reconsolidation in animals. Following this, in an open-label study 70% of
patients with PTSD treated with propranolol during reactivation of traumatic
memory exhibited full remission. However, the reason why 30% of these patients
did not respond positively to propranolol treatment is still unclear. One of the
major candidates as factor of treatment resistance is the patient's early-life
traumatic history. To test the role of this factor, mice with pre- or postnatal
stress are being tested in fear conditioning and in a new behavioral task, the
"city-like", specifically designed as a mouse model of PTSD. After reactivation
of the traumatic event, mice received propranolol injection to block the
noradrenergic system during memory reconsolidation. Results show that, in the
"city-like" test, control mice strongly avoided the shock compartment but also
the compartments containing cues associated with the electric shocks. Injection
of propranolol after reactivation greatly reduced the memory of the traumatic
event, but this effect was not present when mice had received pre- or postnatal
stress. Moreover, propranolol produced only a very weak effect in the fear
conditioning test, and never changed the corticosterone level whatever the
behavioral experiment. Taken together our results suggest that our new
behavioural paradigm is well adapted to PTSD study in mice, and that early stress
exposure may have an impact on propranolol PTSD treatment outcome. These data are
critical to understanding the effect of propranolol treatment, in order to
improve the therapeutic protocol currently used in humans.
PMID- 29352278
TI - Factors associated with cervical precancerous lesions among women screened for
cervical cancer in Addis Ababa, Ethiopia: A case control study.
AB - BACKGROUND: Cervical cancer is the second most prevalent cancer among women in
the developing countries including Ethiopia. Precancerous lesions can be
developed and risk to the development of cervical cancer over time. Early
identification of the precancerous lesion and its risk factor is paramount in
preventing cervical cancer. However, the determinants of cervical precancerous
lesions are not well documented in Ethiopia. Therefore, this study is conducted
to determine factors associated with cervical precancerous lesion among women
screened for cervical cancer. METHODS: A hospital-based unmatched case-control
study was conducted in selected health facilities in Addis Ababa from March to
April 2016. Data were collected from 114 cases and 229 controls using an
interviewer-administered questionnaire, entered to Epi Info version 7, and
exported to SPSS version 20 for analysis. Odds ratios with its 95% confidence
intervals and two-tailed P-value were calculated. Variables with P-value <= 0.2
in the bivariate analysis were included in the multivariate logistic regression
model. RESULTS: Women aged 40-49 years had 2.4-fold higher odds of precancerous
lesions compared to those aged 30-39 (Adjusted Odds Ratio = 2.4, 95% Confidence
Interval: 1.27-4.54). Women having history of sexually transmitted infections
were significantly associated with cervical precancerous lesion compared to their
counterparts (Adjusted Odds Ratio = 3.20, 95% Confidence Interval: 1.26-8.10).
Similarly, those women who had two or more lifetime sexual partners (Adjusted
Odds Ratio = 2.17 95% Confidence Interval: 1.01-4.67), and women whose husbands
had two or more lifetime sexual partners (Adjusted Odds Ratio = 3.03, 95%
Confidence Interval: 1.25, 7.33) had higher odds of cervical precancerous
lesions. CONCLUSIONS: Older age, history of multiple sexual partners and sexual
transmitted infections were associated with increased risk of precancerous
lesion. Therefore, women with higher risk of precancerous lesions should be
encouraged to be screened more frequently for cervical cancer.
PMID- 29352279
TI - Girls in the boat: Sex differences in rowing performance and participation.
AB - Men outperform women in many athletic endeavors due to physiological and
anatomical differences (e.g. larger and faster muscle); however, the observed sex
differences in elite athletic performance are typically larger than expected, and
may reflect sex-related differences in opportunity or incentives. As collegiate
rowing in the United States has been largely incentivized for women over the last
20 years, but not men, the purpose of this study was to examine sex differences
in elite rowing performance over that timeframe. Finishing times from grand
finale races for collegiate championship on-water performances (n = 480) and
junior indoor performances (n = 1,280) were compared between men and women across
20 years (1997-2016), weight classes (heavy vs. lightweight) and finishing place.
Participation of the numbers of men and women rowers were also quantified across
years. Men were faster than women across all finishing places, weight classes and
years of competition and performance declined across finishing place for both men
and women (P<0.001). Interestingly, the reduction in performance time across
finishing place was greater (P<0.001) for collegiate men compared to women in the
heavyweight division. This result is opposite to other sports (e.g. running and
swimming), and to lightweight rowing in this study, which provides women fewer
incentives than in heavyweight rowing. Correspondingly, participation in
collegiate rowing has increased by ~113 women per year (P<0.001), with no change
(P = 0.899) for collegiate men. These results indicate that increased
participation and incentives within collegiate rowing for women vs. men
contribute to sex differences in athletic performance.
PMID- 29352280
TI - Leisure-time physical activity and prevalence of non-communicable pathologies and
prescription medication in Spain.
AB - Our aims were to describe physical activity (PA) behaviour in Spain and to
examine its association with the prevalence of some of the major non-communicable
diseases and with the use of prescription medication. Individualized secondary
data retrieved from the 2014 European Health Interview Survey (EHIS) for Spain
were used to conduct a cross-sectional epidemiological study (n = 18926). PA was
assessed by two different measures: a specific designed variable for EHIS and a
leisure time PA frequency-based query of the national survey. Diseases analyzed
were hypertension, diabetes, hypercholesterolemia, depression and anxiety. The
use of prescription medication was also included in the study. Weighted
percentages were computed and contingency tables were calculated to describe PA
by levels of the traits and sociodemographic characteristics. Chi-square test was
used to compare percentages between groups and weighted logistic regression
models were used to assess the relationship between PA and the prevalence of the
disease. About 73% of the Spanish population performs no PA at all or only
occasionally during their leisure time, and only one third meets minimum PA
international guidelines (>= 150min/week). Men are considerably more active than
women and less PA is observed as the education level decreases and as age
increases. The risk of the diseases evaluated was up to three times higher among
inactive individuals. This study provides national population-based estimations
highlighting the impact of PA in Spain, not only in the prevalence of some of the
major non-communicable diseases but also in reducing prescription medication, and
the potential sex and socioeconomic influence.
PMID- 29352281
TI - Differential DNA methylation and transcription profiles in date palm roots
exposed to salinity.
AB - As a salt-adaptive plant, the date palm (Phoenix dactylifera L.) requires a
suitable mechanism to adapt to the stress of saline soils. There is growing
evidence that DNA methylation plays an important role in regulating gene
expression in response to abiotic stresses, including salinity. Thus, the present
study sought to examine the differential methylation status that occurs in the
date palm genome when plants are exposed to salinity, and to identify salinity
responsive genes that are regulated by DNA methylation. To achieve these, whole
genome bisulfite sequencing (WGBS) was employed and mRNA was sequenced from
salinity-treated and untreated roots. The WGBS analysis included 324,987,795 and
317,056,091 total reads of the control and the salinity-treated samples,
respectively. The analysis covered about 81% of the total genomic DNA with about
40% of mapping efficiency of the sequenced reads and an average read depth of 17
fold coverage per DNA strand, and with a bisulfite conversion rate of around 99%.
The level of methylation within the differentially methylated regions (DMRs) was
significantly (p < 0.05, FDR <= 0.05) increased in response to salinity
specifically at the mCHG and mCHH sequence contexts. Consistently, the mass
spectrometry and the enzyme-linked immunosorbent assay (ELISA) showed that there
was a significant (p < 0.05) increase in the global DNA methylation in response
to salinity. mRNA sequencing revealed the presence of 6,405 differentially
regulated genes with a significant value (p < 0.001, FDR <= 0.05) in response to
salinity. Integration of high-resolution methylome and transcriptome analyses
revealed a negative correlation between mCG methylation located within the
promoters and the gene expression, while a positive correlation was noticed
between mCHG/mCHH methylation rations and gene expression specifically when
plants grew under control conditions. Therefore, the methylome and transcriptome
relationships vary based on the methylated sequence context, the methylated
region within the gene, the protein-coding ability of the gene, and the salinity
treatment. These results provide insights into interplay among DNA methylation
and gene expression, and highlight the effect of salinity on the nature of this
relationship, which may involve other genetic and epigenetic players under salt
stress conditions. The results obtained from this project provide the first draft
map of the differential methylome and transcriptome of date palm when exposed to
an abiotic stress.
PMID- 29352282
TI - An energy-efficient failure detector for vehicular cloud computing.
AB - Failure detectors are one of the fundamental components for maintaining the high
availability of vehicular cloud computing. In vehicular cloud computing, lots of
RSUs are deployed along the road to improve the connectivity. Many of them are
equipped with solar battery due to the unavailability or excess expense of wired
electrical power. So it is important to reduce the battery consumption of RSU.
However, the existing failure detection algorithms are not designed to save
battery consumption RSU. To solve this problem, a new energy-efficient failure
detector 2E-FD has been proposed specifically for vehicular cloud computing. 2E
FD does not only provide acceptable failure detection service, but also saves the
battery consumption of RSU. Through the comparative experiments, the results show
that our failure detector has better performance in terms of speed, accuracy and
battery consumption.
PMID- 29352283
TI - Using a model comparison approach to describe the assembly pathway for histone
H1.
AB - Histones H1 or linker histones are highly dynamic proteins that diffuse
throughout the cell nucleus and associate with chromatin (DNA and associated
proteins). This binding interaction of histone H1 with the chromatin is thought
to regulate chromatin organization and DNA accessibility to transcription factors
and has been proven to involve a kinetic process characterized by a population
that associates weakly with chromatin and rapidly dissociates and another
population that resides at a binding site for up to several minutes before
dissociating. When considering differences between these two classes of
interactions in a mathematical model for the purpose of describing and
quantifying the dynamics of histone H1, it becomes apparent that there could be
several assembly pathways that explain the kinetic data obtained in living cells.
In this work, we model these different pathways using systems of reaction
diffusion equations and carry out a model comparison analysis using FRAP
(fluorescence recovery after photobleaching) experimental data from different
histone H1 variants to determine the most feasible mechanism to explain histone
H1 binding to chromatin. The analysis favors four different chromatin assembly
pathways for histone H1 which share common features and provide meaningful
biological information on histone H1 dynamics. We show, using perturbation
analysis, that the explicit consideration of high- and low-affinity associations
of histone H1 with chromatin in the favored assembly pathways improves the
interpretation of histone H1 experimental FRAP data. To illustrate the results,
we use one of the favored models to assess the kinetic changes of histone H1
after core histone hyperacetylation, and conclude that this post-transcriptional
modification does not affect significantly the transition of histone H1 from a
weakly bound state to a tightly bound state.
PMID- 29352284
TI - Sensitive detection of fluorescence in western blotting by merging images.
AB - The western blotting technique is widely used to analyze protein expression
levels and protein molecular weight. The chemiluminescence method is mainly used
for detection due to its high sensitivity and ease of manipulation, but it is
unsuitable for detailed analyses because it cannot be used to detect multiple
proteins simultaneously. Recently, more attention has been paid to the
fluorescence detection method because it is more quantitative and is suitable for
the detection of multiple proteins simultaneously. However, fluorescence
detection can be limited by poor image resolution and low detection sensitivity.
Here, we describe a method to detect fluorescence in western blots using
fluorescence microscopy to obtain high-resolution images. In this method, filters
and fluorescent dyes are optimized to enhance detection sensitivity to a level
similar to that of the chemiluminescence method.
PMID- 29352285
TI - Deep neural networks show an equivalent and often superior performance to
dermatologists in onychomycosis diagnosis: Automatic construction of
onychomycosis datasets by region-based convolutional deep neural network.
AB - Although there have been reports of the successful diagnosis of skin disorders
using deep learning, unrealistically large clinical image datasets are required
for artificial intelligence (AI) training. We created datasets of standardized
nail images using a region-based convolutional neural network (R-CNN) trained to
distinguish the nail from the background. We used R-CNN to generate training
datasets of 49,567 images, which we then used to fine-tune the ResNet-152 and VGG
19 models. The validation datasets comprised 100 and 194 images from Inje
University (B1 and B2 datasets, respectively), 125 images from Hallym University
(C dataset), and 939 images from Seoul National University (D dataset). The AI
(ensemble model; ResNet-152 + VGG-19 + feedforward neural networks) results
showed test sensitivity/specificity/ area under the curve values of (96.0 / 94.7
/ 0.98), (82.7 / 96.7 / 0.95), (92.3 / 79.3 / 0.93), (87.7 / 69.3 / 0.82) for the
B1, B2, C, and D datasets. With a combination of the B1 and C datasets, the AI
Youden index was significantly (p = 0.01) higher than that of 42 dermatologists
doing the same assessment manually. For B1+C and B2+ D dataset combinations,
almost none of the dermatologists performed as well as the AI. By training with a
dataset comprising 49,567 images, we achieved a diagnostic accuracy for
onychomycosis using deep learning that was superior to that of most of the
dermatologists who participated in this study.
PMID- 29352286
TI - Echogenic foci with comet-tail artifact in resected thyroid nodules: Not an
absolute predictor of benign disease.
AB - The purpose of this study was to evaluate the frequency of echogenic foci with
comet-tail artifact in histologically proven thyroid nodules, and to determine
the types of echogenic foci with comet-tail artifact that are associated with
malignancy. We retrospectively analyzed the sonographic findings of echogenic
foci with comet-tail artifact, present in thyroid nodules in 63 patients who
underwent surgery for thyroid nodules at our institution between January 2016 and
September 2016. The sonographic findings (appearance and background of echogenic
foci, shape of comet-tail artifact) in benign and malignant nodules were
compared. Seventy-one (7.4%) nodules with ultrasound finding of echogenic foci
with comet-tail artifact were encountered in 962 thyroid nodules of 556 patients;
25 of these were benign, and 46 were malignant. Among the echogenic foci with
comet-tail artifact categories, those (11/11, 100%) freely distributed in cystic
components were all in benign nodules, whereas those (48/67, 71.6%) any part of
echogenic foci or comet-tail artifact associated with solid components, were more
common in malignant nodules (P < 0.001). There was no statistically significant
difference in the appearance of echogenic foci and the shape of comet-tail
between the benign and malignant nodules (P = 0.139, P = 0.626, respectively).
Echogenic foci with comet-tail artifact freely distributed in cystic component
may predict a benign nodule; those associated with solid components cannot be
considered a benign finding.
PMID- 29352287
TI - IFNgamma inhibits G-CSF induced neutrophil expansion and invasion of the CNS to
prevent viral encephalitis.
AB - Emergency hematopoiesis facilitates the rapid expansion of inflammatory immune
cells in response to infections by pathogens, a process that must be carefully
regulated to prevent potentially life threatening inflammatory responses. Here,
we describe a novel regulatory role for the cytokine IFNgamma that is critical
for preventing fatal encephalitis after viral infection. HSV1 encephalitis (HSE)
is triggered by the invasion of the brainstem by inflammatory monocytes and
neutrophils. In mice lacking IFNgamma (GKO), we observed unrestrained increases
in G-CSF levels but not in GM-CSF or IL-17. This resulted in uncontrolled
expansion and infiltration of apoptosis-resistant, degranulating neutrophils into
the brainstem, causing fatal HSE in GKO but not WT mice. Excessive G-CSF in GKO
mice also induced granulocyte derived suppressor cells, which inhibited T-cell
proliferation and function, including production of the anti-inflammatory
cytokine IL-10. Unexpectedly, we found that IFNgamma suppressed G-CSF signaling
by increasing SOCS3 expression in neutrophils, resulting in apoptosis. Depletion
of G-CSF, but not GM-CSF, in GKO mice induced neutrophil apoptosis and reinstated
IL-10 secretion by T cells, which restored their ability to limit innate
inflammatory responses resulting in protection from HSE. Our studies reveals a
novel, complex interplay among IFNgamma, G-CSF and IL-10, which highlights the
opposing roles of G-CSF and IFNgamma in regulation of innate inflammatory
responses in a murine viral encephalitis model and reveals G-CSF as a potential
therapeutic target. Thus, the antagonistic G-CSF-IFNgamma interactions emerge as
a key regulatory node in control of CNS inflammatory responses to virus
infection.
PMID- 29352288
TI - Phospholipid scramblase 1 interacts with influenza A virus NP, impairing its
nuclear import and thereby suppressing virus replication.
AB - Transcription and replication of the influenza A virus (IAV) genome occur in the
nucleus of infected cells and are carried out by the viral ribonucleoprotein
complex (vRNP). As a major component of the vRNP complex, the viral nucleoprotein
(NP) mediates the nuclear import of the vRNP complex via its nuclear localization
signals (NLSs). Clearly, an effective way for the host to antagonize IAV
infection would be by targeting vRNP nuclear import. Here, we identified
phospholipid scramblase 1 (PLSCR1) as a binding partner of NP by using a yeast
two-hybrid (Y2H) screen. The interaction between NP and PLSCR1 in mammalian cells
was demonstrated by using co-immunoprecipitation and pull-down assays. We found
that the stable overexpression of PLSCR1 suppressed the nuclear import of NP,
hindered the virus life cycle, and significantly inhibited the replication of
various influenza subtypes. In contrast, siRNA knockdown or CRISPR/Cas9 knockout
of PLSCR1 increased virus propagation. Further analysis indicated that the
inhibitory effect of PLSCR1 on the nuclear import of NP was not caused by
affecting the phosphorylation status of NP or by stimulating the interferon (IFN)
pathways. Instead, PLSCR1 was found to form a trimeric complex with NP and
members of the importin alpha family, which inhibited the incorporation of
importin beta, a key mediator of the classical nuclear import pathway, into the
complex, thus impairing the nuclear import of NP and suppressing virus
replication. Our results demonstrate that PLSCR1 negatively regulates virus
replication by interacting with NP in the cytoplasm and preventing its nuclear
import.
PMID- 29352289
TI - Electroacupuncture at Guanyuan (CV 4), Zusanli (ST 36) and Baihui (DU 20)
regulate the aging-related changes in gene expression profile of the hippocampus
in sub-acutely aging rats.
AB - To investigate the molecular mechanisms of sub-acutely aging and demonstrate the
effect of electroacupuncture (EA) at the Guanyuan (CV 4), Zusanli (ST 36) and
Baihui (DU 20) acupoint on the sub-acutely aging brain, cDNA microarrays and
bioinformatics analyses were carried out. Thirty Sprague-Dawley (SD) male rats
were selected and randomly divided into three groups: the control group (C), the
sub-acutely aging model group (M) and the electroacupuncture group (M+EA). Sub
acutely aging model rats were obtained by D-galactose s.c. injection continuously
for 40 days. Total RNA was extracted from the hippocampus area of brains in three
groups for cDNA microarrays. The data of different groups were compared and
analyzed by differential expression analysis, Gene ontology (GO) term enrichment,
Kyoto Encyclopedia of Genes Genomes (KEGG) pathway enrichment and quantitative
real-time PCR. According to the results, 4052 DE genes were identified in our
study. Among them, there were 3079 differentially expressed (DE) genes between
group M and group C, and these genes are associated with the aging of rats.
Moreover, 983 genes were expressed differently in group M+EA compared with group
M, revealing that points stimuli could regulate gene expression in brain with
aging. Gene ontology (GO) term enrichment and KEGG enrichment were performed to
further classify the differential expression genes. Important GO terms and KEGG
pathways connected with sub-acutely aging EA effects were identified. At last, 3
significant differentially expressed genes were selected for real-time
quantitative PCR to clarify the cDNA microarray results. In conclusion, the cDNA
microarray data first compared and analyzed the differences of gene expression
profile in the hippocampus of rats in different groups, which contribute to our
knowledge on the molecular mechanisms of EA towards sub-acutely aging.
PMID- 29352290
TI - Correction: Association of physical capacity with heart rate variability based on
a short-duration measurement of resting pulse rate in older adults with obesity.
AB - [This corrects the article DOI: 10.1371/journal.pone.0189150.].
PMID- 29352291
TI - Correction: Shared acoustic codes underlie emotional communication in music and
speech-Evidence from deep transfer learning.
AB - [This corrects the article DOI: 10.1371/journal.pone.0179289.].
PMID- 29352293
TI - Correction: Properties of halogenated and sulfonated porphyrins relevant for the
selection of photosensitizers in anticancer and antimicrobial therapies.
AB - [This corrects the article DOI: 10.1371/journal.pone.0185984.].
PMID- 29352292
TI - RNA-Seq of Kaposi's sarcoma reveals alterations in glucose and lipid metabolism.
AB - Kaposi's sarcoma-associated herpesvirus (KSHV) is the etiologic agent of Kaposi's
sarcoma (KS). It is endemic in a number of sub-Saharan African countries with
infection rate of >50%. The high prevalence of HIV-1 coupled with late
presentation of advanced cancer staging make KS the leading cancer in the region
with poor prognosis and high mortality. Disease markers and cellular functions
associated with KS tumorigenesis remain ill-defined. Several studies have
attempted to investigate changes of the gene profile with in vitro infection of
monoculture models, which are not likely to reflect the cellular complexity of
the in vivo lesion environment. Our approach is to characterize and compare the
gene expression profile in KS lesions versus non-cancer tissues from the same
individual. Such comparisons could identify pathways critical for KS formation
and maintenance. This is the first study that utilized high throughput RNA-seq to
characterize the viral and cellular transcriptome in tumor and non-cancer
biopsies of African epidemic KS patients. These patients were treated anti
retroviral therapy with undetectable HIV-1 plasma viral load. We found remarkable
variability in the viral transcriptome among these patients, with viral latency
and immune modulation genes most abundantly expressed. The presence of KSHV also
significantly affected the cellular transcriptome profile. Specifically, genes
involved in lipid and glucose metabolism disorder pathways were substantially
affected. Moreover, infiltration of immune cells into the tumor did not prevent
KS formation, suggesting some functional deficits of these cells. Lastly, we
found only minimal overlaps between our in vivo cellular transcriptome dataset
with those from in vitro studies, reflecting the limitation of in vitro models in
representing tumor lesions. These findings could lead to the identification of
diagnostic and therapeutic markers for KS, and will provide bases for further
mechanistic studies on the functions of both viral and cellular genes that are
involved.
PMID- 29352294
TI - Seasonal variation in environmental DNA detection in sediment and water samples.
AB - The use of aquatic environmental DNA (eDNA) to detect the presence of species
depends on the seasonal activity of the species in the sampled habitat. eDNA may
persist in sediments for longer than it does in water, and analysing sediment
could potentially extend the seasonal window for species assessment. Using the
great crested newt as a model, we compare how detection probability changes
across the seasons in eDNA samples collected from both pond water and pond
sediments. Detection of both aquatic and sedimentary eDNA varied through the
year, peaking in the summer (July), with its lowest point in the winter
(January): in all seasons, detection probability of eDNA from water exceeded that
from sediment. Detection probability of eDNA also varied between study areas, and
according to great crested newt habitat suitability and sediment type. As aquatic
and sedimentary eDNA show the same seasonal fluctuations, the patterns observed
in both sample types likely reflect current or recent presence of the target
species. However, given the low detection probabilities found in the autumn and
winter we would not recommend using either aquatic or sedimentary eDNA for year
round sampling without further refinement and testing of the methods.
PMID- 29352295
TI - Correction: Stakeholders' views and experiences of care and interventions for
addressing frailty and pre-frailty: A meta-synthesis of qualitative evidence.
AB - [This corrects the article DOI: 10.1371/journal.pone.0180127.].
PMID- 29352296
TI - Correction: Right-wing authoritarianism and stereotype-driven expectations
interact in shaping intergroup trust in one-shot vs multiple-round social
interactions.
AB - [This corrects the article DOI: 10.1371/journal.pone.0190142.].
PMID- 29352297
TI - Correction: Cardiovascular Effect of Incretin-Based Therapy in Patients with Type
2 Diabetes Mellitus: Systematic Review and Meta-Analysis.
AB - [This corrects the article DOI: 10.1371/journal.pone.0153502.].
PMID- 29352298
TI - Hexokinase II may be dispensable for CD4 T cell responses against a virus
infection.
AB - Activation of CD4 T cells leads to their metabolic reprogramming which includes
enhanced glycolysis, catalyzed through hexokinase enzymes. Studies in some
systems indicate that the HK2 isoform is the most up regulated isoform in
activated T cells and in this report the relevance of this finding is evaluated
in an infectious disease model. Genetic ablation of HK2 was achieved in only T
cells and the outcome was evaluated by measures of T cell function. Our results
show that CD4 T cells from both HK2 depleted and WT animals displayed similar
responses to in vitro stimulation and yielded similar levels of Th1, Treg or Th17
subsets when differentiated in vitro. A modest increase in the levels of
proliferation was observed in CD4 T cells lacking HK2. Deletion of HK2 led to
enhanced levels of HK1 indicative of a compensatory mechanism. Finally, CD4 T
cell mediated immuno-inflammatory responses to a virus infection were similar
between WT and HK2 KO animals. The observations that the expression of HK2
appears non-essential for CD4 T cell responses against virus infections is of
interest since it suggests that targeting HK2 for cancer therapy may not have
untoward effects on CD4 T cell mediated immune response against virus infections.
PMID- 29352299
TI - Bunyavirus requirement for endosomal K+ reveals new roles of cellular ion
channels during infection.
AB - In order to multiply and cause disease a virus must transport its genome from
outside the cell into the cytosol, most commonly achieved through the endocytic
network. Endosomes transport virus particles to specific cellular destinations
and viruses exploit the changing environment of maturing endocytic vesicles as
triggers to mediate genome release. Previously we demonstrated that several
bunyaviruses, which comprise the largest family of negative sense RNA viruses,
require the activity of cellular potassium (K+) channels to cause productive
infection. Specifically, we demonstrated a surprising role for K+ channels during
virus endosomal trafficking. In this study, we have used the prototype
bunyavirus, Bunyamwera virus (BUNV), as a tool to understand why K+ channels are
required for progression of these viruses through the endocytic network. We
report three major findings: First, the production of a dual fluorescently
labelled bunyavirus to visualize virus trafficking in live cells. Second, we show
that BUNV traffics through endosomes containing high [K+] and that these K+ ions
influence the infectivity of virions. Third, we show that K+ channel inhibition
can alter the distribution of K+ across the endosomal system and arrest virus
trafficking in endosomes. These data suggest high endosomal [K+] is a critical
cue that is required for virus infection, and is controlled by cellular K+
channels resident within the endosome network. This highlights cellular K+
channels as druggable targets to impede virus entry, infection and disease.
PMID- 29352300
TI - 5-aminosalicylic acid improves lipid profile in mice fed a high-fat cholesterol
diet through its dual effects on intestinal PPARgamma and PPARalpha.
AB - Obesity is associated with a series of metabolic complications, including
dyslipidemia and insulin resistance (IR) that lack effective therapies. In recent
years, intestinal inflammation has been suggested to contribute to obesity
related metabolic syndrome and targeting gut inflammation with 5-ASA improves
diet induced IR, however, its role in dyslipidemia is unknown and has never been
explored. In the present study, we reported for the first time that
administration of 5-ASA for 12 weeks significantly improved lipid profile by
repressing plasma triglycerides and free cholesterol levels in mice fed high-fat
cholesterol diet (HFC). In addition, liver lipids were significantly reduced by 5
ASA treatment in HFC-fed mice. Mechanistically, anti-inflammatory genes
peroxisome proliferator-activated receptor-gamma (Ppargamma) and M2 marker, such
as Mrc1 and Ym1, were remarkably upregulated, while pro-inflammation gene
monocyte chemoattractant protein-1 (Mcp-1) were downregulated in small intestine
of mice treated by 5-ASA. Further, 5-ASA improved gastrointestinal barrier by
increasing the expression of the tight junction marker ZO-1. 5-ASA also enhanced
cholesterol translocation by elevating genes expression of Npc1l1 and Abcg5/8.
Moreover, mice fed HFC 5-ASA expressed increased Pparalpha in small intestinal
and its target genes function in lipid oxidation and hydrolysis were remarkable
elevated. Taken together, we reported a novel role of 5-ASA which may serve as a
therapy target intestinal inflammation induced dyslipidemia.
PMID- 29352302
TI - Correction: Identification of soil P fractions that are associated with P loss
from surface runoff under various cropping systems and fertilizer rates on sloped
farmland.
AB - [This corrects the article DOI: 10.1371/journal.pone.0179275.].
PMID- 29352301
TI - Crystal structure of a UDP-GlcNAc epimerase for surface polysaccharide
biosynthesis in Acinetobacter baumannii.
AB - With new strains of Acinetobacter baumannii undergoing genomic analysis, it has
been possible to define regions of genomic plasticity (RGPs), encoding specific
adaptive elements. For a selected RGP from a community-derived isolate of A.
baumannii, we outline sequences compatible with biosynthetic machinery of surface
polysaccharides, specifically enzymes utilized in the dehydration and conversion
of UDP-N-acetyl-D-glucosamine (UDP-D-GlcNAc). We have determined the crystal
structure of one of these, the epimerase Ab-WbjB. This dehydratase belongs to the
'extended' short-chain dehydrogenase/reductase (SDR) family, related in fold to
previously characterised enzymes CapE and FlaA1. Our 2.65A resolution structure
of Ab-WbjB shows a hexamer, organised into a trimer of chain pairs, with coenzyme
NADP+ occupying each chain. Specific active-site interactions between each
coenzyme and a lysine quaternary group of a neighbouring chain interconnect
adjacent dimers, so stabilising the hexameric form. We show UDP-GlcNAc to be a
specific substrate for Ab-WbjB, with binding evident by ITC (Ka = 0.23 MUmol-1).
The sequence of Ab-WbjB shows variation from the consensus active-site motifs of
many SDR enzymes, demonstrating a likely catalytic role for a specific threonine
sidechain (as an alternative to tyrosine) in the canonical active site chemistry
of these epimerases.
PMID- 29352305
TI - Correction: Functional implications of hexameric assembly of RraA proteins from
Vibrio vulnificus.
AB - [This corrects the article DOI: 10.1371/journal.pone.0190064.].
PMID- 29352304
TI - Using daily text messages to improve adherence to infant micronutrient powder
(MNP) packets in rural western China: A cluster-randomized controlled trial.
AB - OBJECTIVE: To evaluate the effectiveness of daily text messages as a means to
improve caregivers' adherence to infant micronutrient powder (MNP) in rural
Shaanxi Province of China. METHODOLOGY: 638 infants aged 6-11 months in 234
villages were involved in a cluster-randomized controlled trial (RCT). All
caregivers were given free infant MNP packets at baseline in April 2013 and the
follow-up survey was in July 2013. We randomly assigned 318 infants in 117
villages to treatment group (receiving daily text message) and 320 infants in the
other 117 villages as control group. RESULTS: On average, daily text messages
increased the number of MNP packets fed (marginal effect = 4.63; 95% confidence
interval (CI) = 0.16, 9.10). The text message is more likely to increase the
consumption of MNP packets if the primary caregiver was the mother (marginal
effect = 12.19; 95% CI = 0.69, 23.68). Receiving the text message appears to
significantly increase the likelihood of full adherence when the primary
caregiver can either check (odds ratio = 2.93; 95% CI = 1.34, 6.40) or knows how
to send (odds ratio = 3.26; 95% CI = 1.53, 6.97) text messages. CONCLUSION: Daily
text messages improved the consumption of infant MNP packets. However, the impact
was not large enough to increase the probability of caregivers being fully
adherent to the feeding instruction, which is to feed 5-7 packets per week as
recommended. In addition, when the mother is the caregiver and when the caregiver
can check or knows how to send text messages there is greater adherence by the
primary caregivers. TRIAL REGISTRATION: http://www.isrctn.com/ISRCTN44149146.
PMID- 29352303
TI - Perfusion decellularization of a human limb: A novel platform for composite
tissue engineering and reconstructive surgery.
AB - Muscle and fasciocutaneous flaps taken from autologous donor sites are currently
the most utilized approach for trauma repair, accounting annually for 4.5 million
procedures in the US alone. However, the donor tissue size is limited and the
complications related to these surgical techniques lead to morbidities, often
involving the donor sites. Alternatively, recent reports indicated that
extracellular matrix (ECM) scaffolds boost the regenerative potential of the
injured site, as shown in a small cohort of volumetric muscle loss patients.
Perfusion decellularization is a bioengineering technology that allows the
generation of clinical-scale ECM scaffolds with preserved complex architecture
and with an intact vascular template, from a variety of donor organs and tissues.
We recently reported that this technology is amenable to generate full composite
tissue scaffolds from rat and non-human primate limbs. Translating this platform
to human extremities could substantially benefit soft tissue and volumetric
muscle loss patients providing tissue- and species-specific grafts. In this proof
of-concept study, we show the successful generation a large-scale, acellular
composite tissue scaffold from a full cadaveric human upper extremity. This
construct retained its morphological architecture and perfusable vascular
conduits. Histological and biochemical validation confirmed the successful
removal of nuclear and cellular components, and highlighted the preservation of
the native extracellular matrix components. Our results indicate that perfusion
decellularization can be applied to produce human composite tissue acellular
scaffolds. With its preserved structure and vascular template, these
biocompatible constructs, could have significant advantages over the currently
implanted matrices by means of nutrient distribution, size-scalability and
immunological response.
PMID- 29352307
TI - Aerial ULV control of Aedes aegypti with naled (Dibrom) inside simulated rural
village and urban cryptic habitats.
AB - We conducted aerial fixed wing ultra low volume (ULV) spray trials with naled to
investigate penetration of exposed and simulated cryptic habitat within opened
buildings, partially sealed buildings, and outdoor locations targeting sentinel
adult Aedes aegypti mosquitoes in north central Florida. Mortality was observed
in open and closed buildings and outdoors, even in mosquitoes placed in cryptic
habitats. Observations on the impact of building type, mosquito exposure method
such as placement in cryptic habitat, and spray nozzle size on mosquito mortality
are described and analyzed.
PMID- 29352306
TI - Prognostic and predictive role of EGFR pathway alterations in biliary cancer
patients treated with chemotherapy and anti-EGFR.
AB - The association of anti-EGFR to gemcitabine and oxaliplatin (GEMOX) chemotherapy
did not improve survival in biliary tract carcinoma (BTC) patients. Multiple
mechanisms might be involved in the resistance to anti-EGFR. Here, we explored
the mutation profile of EGFR extracellular domain (ECD), of tyrosine kinase
domain (TKD), and its amplification status. EGFR mutational status of exons 12,
18-21 was analyzed in 57 tumors by Sanger sequencing. EGFR amplification was
evaluated in 37 tumors by Fluorescent In Situ Hybridization (FISH). Kaplan-Meier
curves were calculated using the log-rank test. Six patients had mutations in
exon 12 of EGFR ECD and 7 in EGFR TKD. Neither EGFR ECD nor TKD mutations
affected progression free survival (PFS) or overall survival (OS) in the entire
population. In the panitumumab plus GEMOX (P-GEMOX) arm, ECD mutated patients had
a worse OS, while EGFR TKD mutated patients had a trend towards shorter PFS and
OS. Overall, the presence of mutations in EGFR or in its transducers did not
affect PFS or OS, while the extrahepatic cholangiocarcinoma (ECC) mutated
patients had a worse prognosis compared to WT. Nineteen out of 37 tumors were
EGFR amplified, but the amplification did not correlate with survival. ECC EGFR
amplified patients had improved OS, whereas the amplification significantly
correlated with poor PFS (p = 0.03) in gallbladder carcinoma patients. The high
molecular heterogeneity is a predominant feature of BTC: the alterations found in
this work seem to have a prognostic impact rather than a predictive role towards
anti-EGFR therapy.
PMID- 29352308
TI - High-efficiency production of human serum albumin in the posterior silk glands of
transgenic silkworms, Bombyx mori L.
AB - Human serum albumin (HSA) is an important biological preparation with a variety
of biological functions in clinical applications. In this study, the mRNA of a
fusion transposase derived from the pESNT-PBase plasmid and a pBHSA plasmid
containing the HSA gene under the control of a fibroin light chain (FL) promoter
were co-injected into fertilized eggs. Fifty-six transgenic silkworm pedigrees
expressing theexogenous recombinant HSA (rHSA) in the posterior silk glands
(PSGs) with stable inheritance were successfully obtained. The SDS-PAGE and
Western blot results confirmed that the rHSA was secreted into the transgenic
silkworm cocoon, and the rHSA could be easily extracted with phosphate-buffered
saline (PBS). In our research, the isolated highest amount rHSA constituted up to
29.1% of the total soluble protein of the cocoon shell, indicating that the
transgenic silkworm produced an average of 17.4 MUg/mg of rHSA in the cocoon
shell. The production of soluble rHSA in the PSGs by means of generating
transgenic silkworms is a novel approach, whereby a large amount of virus-free
and functional HSA can be produced through the simple rearing of silkworms.
PMID- 29352309
TI - Correction: Early postnatal vocalizations predict sociability and spatial memory
in C57BL/6J mice: Individual differences in behavioral traits emerge early in
development.
AB - [This corrects the article DOI: 10.1371/journal.pone.0186798.].
PMID- 29352311
TI - Decreased alpha-cell mass and early structural alterations of the exocrine
pancreas in patients with type 1 diabetes: An analysis based on the nPOD
repository.
AB - BACKGROUND AND AIMS: Abnormal glucagon secretion and functional alterations of
the exocrine pancreas have been described in patients with type 1 diabetes (T1D),
but their respective anatomical substrata have seldom been investigated. Our aim
was to develop an automated morphometric analysis process to characterize the
anatomy of alpha-cell and exocrine pancreas in patients with T1D, using the
publicly available slides of the Network for Pancreatic Organ Donors (nPOD).
MATERIALS AND METHODS: The ratio of beta- and alpha-cell area to total tissue
area were quantified in 75 patients with T1D (thereafter patients) and 66 control
subjects (thereafter controls), on 2 insulin-stained and 4 glucagon-stained
slides from both the head and the tail of the pancreas. The beta- and alpha-cell
masses were calculated in the 66 patients and the 50 controls for which the
pancreas weight was available. Non-exocrine-non-endocrine tissue area (i.e. non
acinar, non-insular tissue) to total tissue area ratio was evaluated on both
insulin- and glucagon-stained slides. Results were expressed as mean +/-SD.
RESULTS: An automated quantification method was set up using the R software and
was validated by quantification of beta-cell mass, a well characterized
parameter. beta-cell mass was 29.6+/-112 mg in patients and 628 +/-717 mg in
controls (p<0.0001). alpha-cell mass was 181+/-176 mg in patients and 349 +/
241mg in controls (p<0.0001). Non-exocrine-non-endocrine area to total tissue
area ratio was 39+/-9% in patients and 29+/- 10% in controls (p<0.0001) and
increased with age in both groups, with no correlation with diabetes duration in
patients. CONCLUSION: The absolute alpha-cell mass was lower in patients compared
to controls, in proportion to the decrease in pancreas weight observed in
patients. Non-exocrine-non-endocrine area to total tissue area ratio increased
with age in both groups but was higher in patients at all ages.
PMID- 29352310
TI - Leishmania proteophosphoglycans regurgitated from infected sand flies accelerate
dermal wound repair and exacerbate leishmaniasis via insulin-like growth factor 1
dependent signalling.
AB - Leishmania parasites are transmitted to vertebrate hosts by female phlebotomine
sand flies as they bloodfeed by lacerating the upper capillaries of the dermis
with their barbed mouthparts. In the sand fly midgut secreted
proteophosphoglycans from Leishmania form a biological plug known as the
promastigote secretory gel (PSG), which blocks the gut and facilitates the
regurgitation of infective parasites. The interaction between the wound created
by the sand fly bite and PSG is not known. Here we nanoinjected a sand fly
egested dose of PSG into BALB/c mouse skin that lead to the differential
expression of 7,907 transcripts. These transcripts were transiently up-regulated
during the first 6 hours post-wound and enriched for pathways involved in
inflammation, cell proliferation, fibrosis, epithelial cell differentiation and
wound remodelling. We found that PSG significantly accelerated wound healing in
vitro and in mice; which was associated with an early up-regulation of
transcripts involved in inflammation (IL-1beta, IL-6, IL-10, TNFalpha) and
inflammatory cell recruitment (CCL2, CCL3, CCL4, CXCL2), followed 6 days later by
enhanced expression of transcripts associated with epithelial cell proliferation,
fibroplasia and fibrosis (FGFR2, EGF, EGFR, IGF1). Dermal expression of IGF1 was
enhanced following an infected sand fly bite and was acutely responsive to the
deposition of PSG but not the inoculation of parasites or sand fly saliva.
Antibody blockade of IGF1 ablated the gel's ability to promote wound closure in
mouse ears and significantly reduced the virulence of Leishmania mexicana
infection delivered by an individual sand fly bite. Dermal macrophages recruited
to air-pouches on the backs of mice revealed that IGF1 was pivotal to the PSG's
ability to promote macrophage alternative activation and Leishmania infection.
Our data demonstrate that through the regurgitation of PSG Leishmania exploit the
wound healing response of the host to the vector bite by promoting the action of
IGF1 to drive the alternative activation of macrophages.
PMID- 29352313
TI - Correction: Timing and rate of spheno-occipital synchondrosis closure and its
relationship to puberty.
AB - [This corrects the article DOI: 10.1371/journal.pone.0183305.].
PMID- 29352312
TI - A role for domain I of the hepatitis C virus NS5A protein in virus assembly.
AB - The NS5A protein of hepatitis C virus (HCV) plays roles in both virus genome
replication and assembly. NS5A comprises three domains, of these domain I is
believed to be involved exclusively in genome replication. In contrast, domains
II and III are required for the production of infectious virus particles and are
largely dispensable for genome replication. Domain I is highly conserved between
HCV and related hepaciviruses, and is highly structured, exhibiting different
dimeric conformations. To investigate the functions of domain I in more detail,
we conducted a mutagenic study of 12 absolutely conserved and surface-exposed
residues within the context of a JFH-1-derived sub-genomic replicon and
infectious virus. Whilst most of these abrogated genome replication, three
mutants (P35A, V67A and P145A) retained the ability to replicate but showed
defects in virus assembly. P35A exhibited a modest reduction in infectivity,
however V67A and P145A produced no infectious virus. Using a combination of
density gradient fractionation, biochemical analysis and high resolution confocal
microscopy we demonstrate that V67A and P145A disrupted the localisation of NS5A
to lipid droplets. In addition, the localisation and size of lipid droplets in
cells infected with these two mutants were perturbed compared to wildtype HCV.
Biophysical analysis revealed that V67A and P145A abrogated the ability of
purified domain I to dimerize and resulted in an increased affinity of binding to
HCV 3'UTR RNA. Taken together, we propose that domain I of NS5A plays multiple
roles in assembly, binding nascent genomic RNA and transporting it to lipid
droplets where it is transferred to Core. Domain I also contributes to a change
in lipid droplet morphology, increasing their size. This study reveals novel
functions of NS5A domain I in assembly of infectious HCV and provides new
perspectives on the virus lifecycle.
PMID- 29352314
TI - Proactive vs. reactive car driving: EEG evidence for different driving strategies
of older drivers.
AB - Aging is associated with a large heterogeneity in the extent of age-related
changes in sensory, motor, and cognitive functions. All these functions can
influence the performance in complex tasks like car driving. The present study
aims to identify potential differences in underlying cognitive processes that may
explain inter-individual variability in driving performance. Younger and older
participants performed a one-hour monotonous driving task in a driving simulator
under varying crosswind conditions, while behavioral and electrophysiological
data were recorded. Overall, younger and older drivers showed comparable driving
performance (lane keeping). However, there was a large difference in driving lane
variability within the older group. Dividing the older group in two subgroups
with low vs. high driving lane variability revealed differences between the two
groups in electrophysiological correlates of mental workload, consumption of
mental resources, and activation and sustaining of attention: Older drivers with
high driving lane variability showed higher frontal Alpha and Theta activity than
older drivers with low driving lane variability and-with increasing crosswind-a
more pronounced decrease in Beta activity. These results suggest differences in
driving strategies of older and younger drivers, with the older drivers using
either a rather proactive and alert driving strategy (indicated by low driving
lane variability and lower Alpha and Beta activity), or a rather reactive
strategy (indicated by high driving lane variability and higher Alpha activity).
PMID- 29352315
TI - Correction: Musicians have better memory than nonmusicians: A meta-analysis.
AB - [This corrects the article DOI: 10.1371/journal.pone.0186773.].
PMID- 29352316
TI - KANSL1 variation is not a major contributing factor in self-limited focal
epilepsy syndromes of childhood.
AB - BACKGROUND: KANSL1 haploinsufficiency causes Koolen-de Vries syndrome (KdVS),
characterized by dysmorphic features and intellectual disability; amiable
personality, congenital malformations and seizures also commonly occur. The
epilepsy phenotypic spectrum in KdVS is broad, but most individuals have focal
seizures with some having a phenotype resembling the self-limited focal
epilepsies of childhood (SFEC). We hypothesized that variants in KANSL1
contribute to pathogenesis of SFEC. MATERIALS AND METHODS: We screened KANSL1 for
single nucleotide variants in 90 patients with SFEC. We then screened a cohort of
208 patients with two specific SFEC syndromes, childhood epilepsy with
centrotemporal spikes (CECTS) and atypical childhood epilepsy with centrotemporal
spikes (ACECTS) for KANSL1 variants. The second cohort was also used to evaluate
minor allelic variants that appeared overrepresented in the initial cohort.
RESULTS: One variant, p.Lys104Thr, was predicted damaging and appeared
overrepresented in our 90-patient cohort compared to Genome Aggregation Database
(gnomAD) allele frequency (0.217 to 0.116, with no homozygotes in gnomAD).
However, there was no difference in p.Lys104Thr allele frequency in the follow-up
CECTS/ACECTS cohort and controls. Four rare KANSL1 variants of uncertain
significance were identified in the CECTS/ACECTS cohort. DISCUSSION: Our data do
not support a major role for KANSL1 variants in pathogenesis of SFEC.
PMID- 29352317
TI - Optic flow detection is not influenced by visual-vestibular congruency.
AB - Optic flow patterns generated by self-motion relative to the stationary
environment result in congruent visual-vestibular self-motion signals.
Incongruent signals can arise due to object motion, vestibular dysfunction, or
artificial stimulation, which are less common. Hence, we are predominantly
exposed to congruent rather than incongruent visual-vestibular stimulation. If
the brain takes advantage of this probabilistic association, we expect observers
to be more sensitive to visual optic flow that is congruent with ongoing
vestibular stimulation. We tested this expectation by measuring the motion
coherence threshold, which is the percentage of signal versus noise dots,
necessary to detect an optic flow pattern. Observers seated on a hexapod motion
platform in front of a screen experienced two sequential intervals. One interval
contained optic flow with a given motion coherence and the other contained noise
dots only. Observers had to indicate which interval contained the optic flow
pattern. The motion coherence threshold was measured for detection of laminar and
radial optic flow during leftward/rightward and fore/aft linear self-motion,
respectively. We observed no dependence of coherence thresholds on vestibular
congruency for either radial or laminar optic flow. Prior studies using similar
methods reported both decreases and increases in coherence thresholds in response
to congruent vestibular stimulation; our results do not confirm either of these
prior reports. While methodological differences may explain the diversity of
results, another possibility is that motion coherence thresholds are mediated by
neural populations that are either not modulated by vestibular stimulation or
that are modulated in a manner that does not depend on congruency.
PMID- 29352318
TI - Temozolomide-induced increase of tumorigenicity can be diminished by targeting of
mitochondria in in vitro models of patient individual glioblastoma.
AB - Glioblastoma multiforme (GBM) is a highly heterogeneous and aggressive brain
tumor with a dismal prognosis. Development of resistance towards cytostatic drugs
like the GBM standard drug temozolomide is a severe problem in GBM treatment. One
potential source of GBM relapse could be so called cancer stem like cells (CSCs).
These represent an undifferentiated subpopulation of cells with high potential
for tumor initiation. Furthermore, it has been shown that differentiated GBM
cells can regain CSC properties when exposed to continuous temozolomide treatment
in vitro. In this study, treatment of several primary GBM cell lines with
clinically relevant doses of temozolomide increased their tumorigenicity as
determined by colony formation assays in soft agar. Increased tumorigenicity is a
known property of CSCs. Hence, therapy options that specifically target CSCs are
under investigation. CSCs appear to be particularly dependent on mitochondria
biogenesis which may represent a useful target for CSC elimination. Toxicity
towards mitochondria is a known side effect of several antibiotics. Thus,
addition of antibiotics like doxycycline may represent a useful tool to inhibit
CSCs in GBM. Here, we show that combining temozolomide treatment of primary GBM
cells with doxycycline could counteract the increase of tumorigenicity induced by
temozolomide treatment.
PMID- 29352319
TI - Activation of PI3K, Akt, and ERK during early rotavirus infection leads to V
ATPase-dependent endosomal acidification required for uncoating.
AB - The cellular PI3K/Akt and/or MEK/ERK signaling pathways mediate the entry process
or endosomal acidification during infection of many viruses. However, their roles
in the early infection events of group A rotaviruses (RVAs) have remained
elusive. Here, we show that late-penetration (L-P) human DS-1 and bovine NCDV RVA
strains stimulate these signaling pathways very early in the infection.
Inhibition of both signaling pathways significantly reduced production of viral
progeny due to blockage of virus particles in the late endosome, indicating that
neither of the two signaling pathways is involved in virus trafficking. However,
immunoprecipitation assays using antibodies specific for pPI3K, pAkt, pERK and
the subunit E of the V-ATPase co-immunoprecipitated the V-ATPase in complex with
pPI3K, pAkt, and pERK. Moreover, Duolink proximity ligation assay revealed direct
association of the subunit E of the V-ATPase with the molecules pPI3K, pAkt, and
pERK, indicating that both signaling pathways are involved in V-ATPase-dependent
endosomal acidification. Acidic replenishment of the medium restored uncoating of
the RVA strains in cells pretreated with inhibitors specific for both signaling
pathways, confirming the above results. Isolated components of the outer capsid
proteins, expressed as VP4-VP8* and VP4-VP5* domains, and VP7, activated the
PI3K/Akt and MEK/ERK pathways. Furthermore, psoralen-UV-inactivated RVA and CsCl
purified RVA triple-layered particles triggered activation of the PI3K/Akt and
MEK/ERK pathways, confirming the above results. Our data demonstrate that
multistep binding of outer capsid proteins of L-P RVA strains with cell surface
receptors phosphorylates PI3K, Akt, and ERK, which in turn directly interact with
the subunit E of the V-ATPase to acidify the late endosome for uncoating of RVAs.
This study provides a better understanding of the RVA-host interaction during
viral uncoating, which is of importance for the development of strategies aiming
at controlling or preventing RVA infections.
PMID- 29352320
TI - High-level production and purification in a functional state of an extrasynaptic
gamma-aminobutyric acid type A receptor containing alpha4beta3delta subunits.
AB - The inhibitory gamma-aminobutyric acid type A receptors are implicated in
numerous physiological processes, including cognition and inhibition of
neurotransmission, rendering them important molecular targets for many classes of
drugs. Functionally, the entire GABAAR family of receptors can be subdivided into
phasic, fast acting synaptic receptors, composed of alpha-, beta- and gamma
subunits, and tonic extrasynaptic receptors, many of which contain the delta
subunit in addition to alpha- and beta-subunits. Whereas the subunit arrangement
of the former group is agreed upon, that of the alphabetadelta GABAARs remains
unresolved by electrophysiological and pharmacological research. To resolve such
issues will require biophysical techniques that demand quantities of receptor
that have been previously unavailable. Therefore, we have engineered a stable
cell line with tetracycline inducible expression of human alpha4-, beta3- and N
terminally Flag-tagged delta-subunits. This cell line achieved a specific
activity between 15 and 20 pmol [3H]muscimol sites/mg of membrane protein, making
it possible to obtain 1 nmole of purified alpha4beta3delta GABAAR from sixty 15
cm culture dishes. When induced, these cells exhibited agonist-induced currents
with characteristics comparable to those previously reported for this receptor
and a pharmacology that included strong modulation by etomidate and the delta
subunit-specific ligand, DS2. Immunoaffinity purification and reconstitution in
CHAPS/asolectin micelles resulted in the retention of equilibrium allosteric
interactions between the separate agonist, anesthetic and DS2 sites. Moreover,
all three subunits retained glycosylation. The establishment of this well
characterized cell line will allow molecular level studies of tonic receptors to
be undertaken.
PMID- 29352321
TI - Inflammatory-linked changes in CpG island methylation of three opioid peptide
genes in a rat model for pain.
AB - Expression of the opioid peptide genes proopiomelanocortin (Pomc), proenkephalin
(Penk), and prodynorphin (Pdyn), in immune cells plays a key role in endogenous
pain control. In a rat model of painful unilateral paw inflammation, we isolated
cells from popliteal lymph nodes and evaluated the role of CpG island C5
methylation on the transcriptional activation of those genes. Using methylated
DNA immunoprecipitation, we sorted gDNA into methylated (me) and non-me fractions
and then determined the CpG island methylation status of each fraction via
quantitative Real Time-PCR (qRT-PCR). In silico analysis by MethPrimer software
identified one CpG island in Pdyn and three each in Pomc and Penk. No substantial
changes in C5-methylation of any gene were observed. In conclusion, the CpG
island methylation status does not seem to be a key regulator of opioid gene
activation in immune cells during peripheral tissue inflammation.
PMID- 29352322
TI - qPortal: A platform for data-driven biomedical research.
AB - Modern biomedical research aims at drawing biological conclusions from large,
highly complex biological datasets. It has become common practice to make
extensive use of high-throughput technologies that produce big amounts of
heterogeneous data. In addition to the ever-improving accuracy, methods are
getting faster and cheaper, resulting in a steadily increasing need for scalable
data management and easily accessible means of analysis. We present qPortal, a
platform providing users with an intuitive way to manage and analyze quantitative
biological data. The backend leverages a variety of concepts and technologies,
such as relational databases, data stores, data models and means of data
transfer, as well as front-end solutions to give users access to data management
and easy-to-use analysis options. Users are empowered to conduct their
experiments from the experimental design to the visualization of their results
through the platform. Here, we illustrate the feature-rich portal by simulating a
biomedical study based on publically available data. We demonstrate the
software's strength in supporting the entire project life cycle. The software
supports the project design and registration, empowers users to do all-digital
project management and finally provides means to perform analysis. We compare our
approach to Galaxy, one of the most widely used scientific workflow and analysis
platforms in computational biology. Application of both systems to a small case
study shows the differences between a data-driven approach (qPortal) and a
workflow-driven approach (Galaxy). qPortal, a one-stop-shop solution for
biomedical projects offers up-to-date analysis pipelines, quality control
workflows, and visualization tools. Through intensive user interactions,
appropriate data models have been developed. These models build the foundation of
our biological data management system and provide possibilities to annotate data,
query metadata for statistics and future re-analysis on high-performance
computing systems via coupling of workflow management systems. Integration of
project and data management as well as workflow resources in one place present
clear advantages over existing solutions.
PMID- 29352323
TI - Identification of a molecular marker tightly linked to bacterial wilt resistance
in tomato by genome-wide SNP analysis.
AB - KEY MESSAGE: Genotyping of disease resistance to bacterial wilt in tomato by a
genome-wide SNP analysis Bacterial wilt caused by Ralstonia pseudosolanacearum is
one of the destructive diseases in tomato. The previous studies have identified
Bwr-6 (chromosome 6) and Bwr-12 (chromosome 12) loci as the major quantitative
trait loci (QTLs) contributing to resistance against bacterial wilt in tomato
cultivar 'Hawaii7996'. However, the genetic identities of two QTLs have not been
uncovered yet. In this study, using whole-genome resequencing, we analyzed genome
wide single-nucleotide polymorphisms (SNPs) that can distinguish a resistant
group, including seven tomato varieties resistant to bacterial wilt, from a
susceptible group, including two susceptible to the same disease. In total, 5259
non-synonymous SNPs were found between the two groups. Among them, only 265 SNPs
were located in the coding DNA sequences, and the majority of these SNPs were
located on chromosomes 6 and 12. The genes that both carry SNP(s) and are near
Bwr-6 and Bwr-12 were selected. In particular, four genes in chromosome 12 encode
putative leucine-rich repeat (LRR) receptor-like proteins. SNPs within these four
genes were used to develop SNP markers, and each SNP marker was validated by a
high-resolution melting method. Consequently, one SNP marker, including a
functional SNP in a gene, Solyc12g009690.1, could efficiently distinguish tomato
varieties resistant to bacterial wilt from susceptible varieties. These results
indicate that Solyc12g009690.1, the gene encoding a putative LRR receptor-like
protein, might be tightly linked to Bwr-12, and the SNP marker developed in this
study will be useful for selection of tomato cultivars resistant to bacterial
wilt.
PMID- 29352325
TI - Obesity was associated with worse neurological outcome among Japanese patients
with out-of-hospital cardiac arrest.
PMID- 29352324
TI - Efficient genome-wide genotyping strategies and data integration in crop plants.
AB - KEY MESSAGE: Next-generation sequencing (NGS) has revolutionized plant and animal
research by providing powerful genotyping methods. This review describes and
discusses the advantages, challenges and, most importantly, solutions to
facilitate data processing, the handling of missing data, and cross-platform data
integration. Next-generation sequencing technologies provide powerful and
flexible genotyping methods to plant breeders and researchers. These methods
offer a wide range of applications from genome-wide analysis to routine screening
with a high level of accuracy and reproducibility. Furthermore, they provide a
straightforward workflow to identify, validate, and screen genetic variants in a
short time with a low cost. NGS-based genotyping methods include whole-genome re
sequencing, SNP arrays, and reduced representation sequencing, which are widely
applied in crops. The main challenges facing breeders and geneticists today is
how to choose an appropriate genotyping method and how to integrate genotyping
data sets obtained from various sources. Here, we review and discuss the
advantages and challenges of several NGS methods for genome-wide genetic marker
development and genotyping in crop plants. We also discuss how imputation methods
can be used to both fill in missing data in genotypic data sets and to integrate
data sets obtained using different genotyping tools. It is our hope that this
synthetic view of genotyping methods will help geneticists and breeders to
integrate these NGS-based methods in crop plant breeding and research.
PMID- 29352326
TI - Noninvasive pulse contour analysis for determination of cardiac output in
patients with chronic heart failure.
AB - BACKGROUND: Determination of cardiac output (CO) is essential in diagnosis and
management of heart failure (HF). The gold standard to obtain CO is invasive
assessment via thermodilution (TD). Noninvasive pulse contour analysis (NPCA) is
supposed as a new method of CO determination. However, a validation of this
method in HF is pending and performed in the present study. METHODS: Patients
with chronic-stable HF and reduced left ventricular ejection fraction (LVEF <=
45%; HF-REF) underwent right heart catheterization including TD. NPCA using the
CNAP Monitor (V5.2.14, CNSystems Medizintechnik AG) was performed simultaneously.
Three standardized TD measurements were compared with simultaneous auto
calibrated NPCA CO measurements. RESULTS: In total, 84 consecutive HF-REF
patients were enrolled prospectively in this study. In 4 patients (5%), TD was
not successful and for 22 patients (26%, 18 with left ventricular assist device),
no NPCA signal could be obtained. For the remaining 58 patients, Bland-Altman
analysis revealed a mean bias of + 1.92 L/min (limits of agreement +/- 2.28
L/min, percentage error 47.4%) for CO. With decreasing cardiac index, as
determined by the gold standard of TD, there was an increasing gap between CO
values obtained by TD and NPCA (r = - 0.75, p < 0.001), resulting in a systematic
overestimation of CO in more severe HF. TD-CI classified 52 (90%) patients to
have a reduced CI (< 2.5 L/min/m2), while NPCA documented a reduced CI in 18
patients (31%) only. CONCLUSIONS: In HF-REF patients, auto-calibrated NPCA
systematically overestimates CO with decrease in cardiac function. Therefore, to
date, NPCA cannot be recommended in this cohort.
PMID- 29352327
TI - LIMK/cofilin pathway and Slingshot are implicated in human colorectal cancer
progression and chemoresistance.
AB - Cofilin phospho-regulation is important for actin filament turnover and is
implicated in cancer. Phosphorylation of cofilin is mediated by LIM kinases
(LIMKs) and dephosphorylation by Slingshot phosphatases (SSH). LIMKs and SSH
promote cancer cell invasion and metastasis and represent novel anti-cancer
targets. However, little is known regarding LIMK/cofilin and SSH in human
colorectal cancer (CRC). In this study, we aimed to address their expression and
significance in human CRC. We evaluated expression of non-phosphorylated (active)
and phosphorylated cofilin, LIMK1, LIMK2, and SSH1 by immunohistochemistry in 143
human CRC samples in relation to clinicopathologic parameters, response of
metastatic disease to chemotherapy, and epithelial-mesenchymal transition (EMT)
markers beta-catenin, E-cadherin, and ZEB. We show that active cofilin, LIMK1,
LIMK2, and SSH1 are overexpressed in human CRC and are associated with tumor
progression parameters. SSH1 is an independent predictor of lymph node metastasis
by multivariate analysis. LIMK1 and SSH1 expression is also higher in non
responders to chemotherapy, and SSH1 is shown by multivariate analysis to
independently predict response of metastatic disease to chemotherapy. Active
cofilin, LIMK1, LIMK2, and SSH1 also correlated with the EMT markers examined. In
addition, immunofluorescence analysis showed increased expression of active
cofilin, LIMK1, LIMK2, and SSH1 in HT29 colon cancer cells resistant to 5
fluorouracil compared to parental HT29 cells. Our results suggest that F-actin
regulators LIMK/cofilin pathway and SSH1 are associated with CRC progression and
chemoresistance representing promising tumor biomarkers and therapeutic targets
in CRC.
PMID- 29352328
TI - Attenuation of serotonin-induced itch by sumatriptan: possible involvement of
endogenous opioids.
AB - Serotonin (5-hydroxytryptamine or 5-HT) is a neurotransmitter in itch and
impaired serotonin signaling has been linked to a variety of itch conditions.
Intradermal injection of 5-HT induces scratching behavior in mice through
stimulation of 5-HT receptors. Previous studies have demonstrated that selective
5-HT1B/1D receptors agonists, including sumatriptan, inhibits neurotransmission.
We have also reported that sumatriptan suppresses chloroquine-induced itch.
Therefore, we investigated if sumatriptan has inhibitory effects on serotonin
induced itch in mice. Here, we show that intradermal and intraperitoneal
administration of sumatriptan significantly reduce 5-HT-induced scratching
behavior in mice. While intradermal injection of GR-127935, a selective 5-HT1B/1D
receptors antagonist, reverses the anti-pruritic effects of sumatriptan. In
addition, we show that intradermal and intraperitoneal naltrexone (NTX), a non
specific opioid receptor antagonist, and methylnaltrexone (MNTX), a peripherally
acting opioid receptor antagonist, significantly decrease the 5-HT-induced
scratching behavior. Additionally, combined treatment with sub-effective doses of
sumatriptan and an opioid receptor antagonist, naltrexone, decreases 5-HT-evoked
scratching responses. We conclude that sumatriptan inhibits 5-HT-induced itch by
activating the peripheral 5-HT1B/1D receptors. Moreover, peripheral opioid
receptors have a role in serotonin-induced itch, and anti-pruritic effects of
sumatriptan seem to involve the opioid system. These data suggest that 5-HT1B/1D
receptors agonists maybe useful to treat a variety of pathologic itch conditions
with impaired serotonergic system.
PMID- 29352329
TI - The Advantages of Bilateral Osteotomy Over Unilateral Osteotomy for Osteoporotic
Bone Healing.
AB - Most models of osteoporotic bone fractures are performed unilaterally (UL). We
investigated healing of tibia osteotomy performed either UL or bilaterally (BL)
in ovariectomized rats. Behavior of animals and muscle structure were assessed.
Three-month-old female Sprague-Dawley rats were ovariectomized (n = 32). After 10
weeks, half the rats underwent UL osteotomy of tibia metaphysis (right limb) with
plate osteosynthesis. The other rats were osteotomized BL. Half of the rats in
each group received either standard pain treatment with carprofen (5 mg/kg body
weight (BW), 1x/day for 2 days) or carprofen and buprenorphine (5 mg/kg BW,
1x/day and 0.03 mg/kg BW, 2x/day for 5 days) after osteotomy. The UL rats started
to load the injured limb from day 27 +/- 9; BL rats did this from day 4 +/- 4
onward. The UL rats more frequently loaded only one hind limb; BL rats more often
loaded both hind limbs. Osteotomy was not bridged in 20% of UL rats and in 4% of
BL rats. Callus volume and bone volume fraction were lower in UL group. Weight
and fiber size of UL-intact limb muscles were enhanced, compared to the
osteotomized limb and those in BL group. Most of the other parameters which
assess physiology, activity, body posture, head, or coat were not different. The
effect of two pain therapies was not significant on any variable studied. Welfare
of the animals was acceptable in all rats. In UL rats, bone healing was delayed.
The more advanced healing in BL rats suggested a positive effect of earlier
loading. In studies on bone healing, it is advisable to perform BL osteotomy.
PMID- 29352331
TI - Transanal total mesorectal excision: is it necessary in the era of robots?
AB - Transanal total mesorectal excision (taTME) has been proposed to overcome the
limitations of laparoscopic TME. The robotic surgical platform has already
established its benefits in providing superior ergonomics, and the purported
advantages of taTME may play less important a role in the era of robots.
PMID- 29352330
TI - Relationship between power-duration parameters and mechanical and anthropometric
properties of the thigh in elite cyclists.
AB - PURPOSE: The curvature constant (W') and asymptote (critical power; CP) of the
power-duration relationship are important parameters for explaining cycling
performance. Short-duration endurance cycling events rely more heavily on the W';
however, the full mechanistic underpinning of this parameter is yet to be
determined. Evidence suggests both muscle volume and muscle strength may
contribute to the magnitude of W'. Therefore, the present study investigated the
relationship between power-duration parameters (CP and W') and (1) anthropometric
and (2) mechanical properties of thigh muscles in a sample of elite cyclists.
METHODS: Eleven elite male cyclists had gross thigh volume (TVOL), quadriceps
muscle volume (QVOL), vastus lateralis (VL) muscle pennation angle (PA) and VL
muscle thickness (MT) measured. Additionally, maximum torque production of the
knee extensors (FMAX) was assessed. The relationship between these anthropometric
and mechanical measures and both the CP and W' were determined. RESULTS: W'
showed a very strong positive and significant relationship with FMAX (r = 0.87, p
< 0.001) and a large positive and significant relationship with TVOL (r = 0.60, p
= 0.05). No other anthropometric characteristics were related to the W'. The CP
was not associated with any mechanical or anthropometric parameter. CONCLUSION:
In addition to muscle size, the maximal evocable force of a muscle (group)
appears to be linked to the magnitude of the W' in elite cyclists.
PMID- 29352332
TI - Effects of continuous or intermittent low-magnitude high-frequency vibration on
fracture healing in sheep.
AB - PURPOSE: Vibration therapy has been shown to improve fracture healing. In this
study, we investigated the effects of continuous or different intermittent
vibration regimens on fracture healing in sheep models on the basis of
radiographs, mechanical, and biochemical testing. METHODS: The 63 right-hind
metatarsals from 63 sheep (12-month-old) were osteotomized; followed by surgical
fixation with a steel plate. Two weeks after the surgery, the sheep with right
hind metatarsal fractures were randomly divided into seven groups (n=9/group):
control (no vibration treated), continuous vibration (CV), one, three, five,
seven and 14-day intermittent vibration (named IV-1, -3, -5, -7, and -14,
respectively) groups, which represented a cycle of the successive n-day vibration
and successive n-day break. Vibration stimulation (F=35 Hz, a=0.25 g) lasted 15
minutes each treatment. After eight weeks with/without vibration treatment, the
sheep were euthanized with intravenous anesthetic. The callus formation,
mechanical properties, and biochemical compositions of fracture metatarsals were
analyzed. RESULTS: In CV and IV-7 groups, X-ray images showed an increased callus
volume around the fracture area. The bone elastic modulus and the concentrations
of Ca, P, and Ca/P ratio of the area at 15 and 25 mm away from the fracture
centerline were higher in CV and IV-7 groups compared with the other groups.
CONCLUSIONS: Our results demonstrate that both CV and IV-7 vibration patterns
showed better improvement of fracture healing.
PMID- 29352333
TI - Long-term results of custom cementless-stem total hip arthroplasty performed in
hip fusion.
AB - INTRODUCTION: Due to complex anatomical considerations, results of cementless
stem total hip arthroplasty (THA) in hip fusion remain controversial compared
with conventional THA. We therefore aimed to analyse 3D anatomy of the fused hip
based on pre-operative computed tomography (CT) scans, functional outcomes based
on the Harris Hip Score (HHS) and the Hip Disability and Osteoarthritis Outcome
Score (HOOS), modifications of hip anatomy based on post-operative standardised
radiography, and determine complication rate and long-term survival based on
Kaplan-Meier analysis. METHODS: We retrospectively studied 23 hips that underwent
conversion of a fused hip to THA using a 3D CT scan-based custom titanium (Ti
alloy hypoxyapetite (HA)-coated stem. The mean follow-up was 15 (9-22) years.
Femur anteversion ranged from -29 degrees to 80 degrees . RESULTS: HHS improved
from 59 to 89 points and average range of motion (ROM) was 88 degrees for
flexion. Back pain decreased in 62%, and knee pain decreased in 42%. The mean
post-operative leg-length discrepancy was 7.8 mm. No intra-operative
complications occurred. One aseptic stem loosening for mechanical failure was
observed. The Kaplan-Meier survivorship considering revision for any reasons as
the end point was 95.6% [95% confidence interval (CI) 92.4-98.8] at 15 years.
CONCLUSION: Custom cementless stems may be an interesting solution to address the
particular anatomical features of hip fusion at the time of THA, with an
excellent survival rate at 15 years.
PMID- 29352334
TI - Long-Term Follow-Up of Retromuscular Incisional Hernia Repairs: Recurrence and
Quality of Life: Reply.
PMID- 29352335
TI - Important Research Elements in Aiding Training: Reply.
PMID- 29352336
TI - Who was Dr. William C. Baum?
AB - The first discovery of primary hyperaldosteronism secondary to an aldosterone
secreting adrenal adenoma has been credited solely to Dr. Jerome Conn, an
endocrinologist at the University of Michigan and for whom, Conn syndrome was
named. Dr. William Baum, a urologist at the University of Michigan, however, was
instrumental in the appropriate operation and historical aldosteronoma resection.
Despite Dr. Baum's important role in this discovery, he was never included as an
author in any of the subsequent papers describing Conn syndrome and, few today
would recognize his name. So, who was Dr. Baum and what happened? This historical
article aims to revisit the history surrounding the discovery of aldosteronoma as
a cause of Conn's syndrome and to catalog the life and involvement of Dr. William
C. Baum in that discovery.
PMID- 29352337
TI - Impact of Hepatitis B Carrier Status on the Outcomes of Surgical Treatment of
Colorectal Liver Metastases.
AB - BACKGROUND: Chronic hepatitis B virus (HBV) infection is associated with a lower
incidence of colorectal liver metastases. We explored the impact of HBV carrier
status on outcomes of surgical treatment of colorectal liver metastases. METHODS:
A retrospective analysis was conducted for consecutive patients undergoing liver
resection for colorectal liver metastases from 2000 to 2016. HBV carriers were
matched with controls by propensity scoring. RESULTS: 304 patients with known HBV
carrier status who underwent resection of colorectal liver metastases were
studied. From the 21 (6.9%) hepatitis B carriers, a more prolonged prothrombin
time (12.1 vs. 11.3 s, OR 1.42, p = 0.027) was observed, and fewer major
resections were performed (19.0 vs. 47.3%, OR 0.262, p = 0.018). After 1:5
propensity score matching, they were compared with 105 controls with similar
liver function, tumour status and receiving similar treatments. Patients with
chronic hepatitis B enjoyed better median disease-free survival (15.8 vs. 9.20
month, p = 0.032). Overall survivals (50.0 vs. 43.6 month, p = 0.15) were
similar. Operating time (227 vs. 240 min, OR 1.00, p = 0.33), blood loss (0.50
vs. 0.37 L, OR 1.15, p = 0.62), hospital stay (6 vs. 6 day, OR 1.02, p = 0.48),
operative morbidity (9.5 vs. 16.2%, OR 0.545, p = 0.44) and mortality (0 vs.
1.0%, OR 1.62, p = 0.77) were comparable. The use of antiviral agents did not
affect survival of HBV carriers. CONCLUSIONS: Chronic HBV infection confers
oncological benefit to surgical treatment of colorectal liver metastases. Given
satisfactory liver reserve, HBV carrier status did not affect operative morbidity
or mortality.
PMID- 29352338
TI - Predictive Factors for Lymph Node Metastasis in Submucosal Invasive Colorectal
Carcinoma: A New Proposal of Depth of Invasion for Radical Surgery.
AB - BACKGROUND: Patients with lymph node metastasis (LNM) in submucosal invasive
colorectal carcinoma (SM cancer) require additional surgical treatment after
endoscopic dissection. However, because additional radical resection after
endoscopic local resection may be unnecessary for cases without LNM, more
specific criteria are required in order to diminish the incidence of further
radical resection after endoscopic dissection. METHODS: A total of 492 patients
with biopsy-proven SM cancer who underwent curative surgery between January 2008
and December 2012 were collected and were divided into LNM group and no LNM
group. The cutoff value for the depth of submucosal invasion was analyzed by a
receiver operating characteristic (ROC) curve. In this retrospective study, the
association between LNM and clinicopathologic factors was analyzed by logistic
regression analysis. RESULTS: The depth of submucosal invasion of 1900 MUm was
determined as the cutoff value by ROC curve. Significant, independent predictive
factors for LNM included the depth of submucosal invasion >1900 MUm (odds ratio
[OR] 7.5; 95% confidence interval [CI] 3.1-18.3; p < 0.001), venous invasion (OR
2.4; 95% CI 1.1-5.5; p = 0.03), and poorly differentiated/mucinous adenocarcinoma
(OR 6.3; 95% CI 1.3-30.8; p = 0.02). CONCLUSIONS: Our study demonstrates that the
depth of submucosal invasion (>1900 MUm), vascular invasion and poorly
differentiated/mucinous carcinoma were predictive factors of LNM in patients with
SM cancer. These predictors may help to reduce the incidence of unnecessary
surgery after endoscopic resection.
PMID- 29352340
TI - Angiotensin-(1-7)-induced Mas receptor activation attenuates atherosclerosis
through a nitric oxide-dependent mechanism in apolipoproteinE-KO mice.
AB - Angiotensin (Ang)-(1-7) ameliorates vascular injury by increasing nitric oxide
(NO) bioavailability. Evidence that Ang-(1-7) attenuates the development of
atherosclerosis through a NO-dependent mechanism is still missing. Moreover, it
has been postulated that Ang-(1-7) may mediate its effects by other mechanisms
than Mas receptor activation. To investigate Ang-(1-7)-dependent Mas receptor
function, we treated apoE-KO and apoE/Mas-KO mice chronically with Ang-(1-7) (82
MUg/kg per hour) or saline for 6 weeks. Flow-mediated dilation (FMD), a measure
for NO-dependent vasodilation and the most accepted prognostic marker for the
development of atherosclerosis, was measured in vivo. Chronic Ang-(1-7) treatment
improved FMD and attenuated the development of atherosclerosis in apolipoproteinE
(apoE)-KO but not in apoE/Mas-KO mice. These effects were accompanied by
increased aortic nitrite and cGMP levels. To test whether Ang-(1-7) modulates
atherosclerosis through a NO-dependent mechanism, apoE-KO mice were treated with
the NO synthase inhibitor L-NAME (20 mg/kg/day) in the presence or absence of Ang
(1-7). L-NAME treatment reduced aortic nitrite content and increased blood
pressure and exaggerated atherosclerosis compared to untreated apoE-KO mice. In L
NAME-treated apoE-KO mice, chronic Ang-(1-7) treatment did not increase aortic
nitrite content and consequently showed no effect on blood pressure and the
development of atherosclerosis. The present study proves that Ang-(1-7) mediates
its protective vascular effects through Mas receptor activation. Moreover, Ang-(1
7)-mediated NO generation is essential for improving vascular function and
prevents atherosclerosis in apoE-KO mice.
PMID- 29352341
TI - [Technology for vulnerable older adults and their relatives : Possibilities and
limits].
PMID- 29352339
TI - Effect of Time to Operation on Value of Care in Acute Care Surgery.
AB - BACKGROUND: As reimbursement models evolve, there is increasing emphasis on
maximizing value-based care for inpatient conditions. We hypothesized that longer
intervals between admission and surgery would be associated with worse outcomes
and increased costs for acute care surgery patients, and that these associations
would be strongest among patients with high-risk conditions. METHODS: We
performed a 5-year retrospective analysis of three risk cohorts: appendectomy
(low-risk for morbidity and mortality, n = 618), urgent hernia repair
(intermediate-risk, n = 80), and laparotomy for intra-abdominal sepsis with
temporary abdominal closure (sTAC; high-risk, n = 102). Associations between the
interval from admission to surgery and outcomes including infectious
complications, mortality, length of stay, and hospital charges were assessed by
regression modeling. RESULTS: Median intervals between admission and surgery for
appendectomy, hernia repair, and sTAC were 9.3, 13.5, and 8.1 h, respectively,
and did not significantly impact infectious complications or mortality. For
appendectomy, each 1 h increase from admission to surgery was associated with
increased hospital LOS by 1.1 h (p = 0.002) and increased intensive care unit
(ICU) LOS by 0.3 h (p = 0.011). For hernia repair, each 1 h increase from
admission to surgery was associated with increased antibiotic duration by 1.6 h
(p = 0.007), increased hospital LOS by 3.3 h (p = 0.002), increased ICU LOS by
1.5 h (p = 0.001), and increased hospital charges by $1918 (p < 0.001). For sTAC,
each 1 h increase from admission to surgery was associated with increased
antibiotic duration by 5.0 h (p = 0.006), increased hospital LOS by 3.9 h (p =
0.046), increased ICU LOS by 3.5 h (p = 0.040), and increased hospital charges by
$3919 (p = 0.002). CONCLUSIONS: Longer intervals from admission to surgery were
associated with prolonged antibiotic administration, longer hospital and ICU
length of stay, and increased hospital charges, with strongest effects among high
risk patients. To improve value of care for acute care surgery patients,
operations should proceed as soon as resuscitation is complete.
PMID- 29352342
TI - ?
PMID- 29352346
TI - The Evolution of Breast Reduction Publications: A Bibliometric Analysis.
AB - OBJECTIVE: This study aims to make a bibliometric analysis of the studies on
breast reduction (BR) between the years 1980 and 2016 and identify important
studies through trend topics as well as active authors, countries, universities,
scientific journals, and citation and co-citation analyses about BR. BACKGROUND:
Although BR looks like one of the cosmetic surgeries performed in order to
restore the woman's appearance, in fact it is a reconstructive surgery that
eliminates back pain, stance disorder, headache, shoulder pain, back and cervical
disk hernia, difficulty in breathing, hollowness caused by bra straps, hygiene
problems under breasts (e.g., rash or fungal infections), and limitations in some
daily activities. However, the related literature has little information about
the publications on this issue. METHODS: Bibliometric analysis was performed by
downloading all the documents published between 1980 and 2016 from Thomson
Reuters Web of Science (WoS; Thomson Reuters, New York, NY, USA), using the
keywords including "breast reduction", "gigantomastia", "reduction mammaplasty",
and "reduction mammoplasty". RESULTS: There was a total of 1427 publications in
the WoS database. Of these publications, 869 (60.90%) were research articles. The
top three research areas of these publications were surgery with 1178 (82.55%)
publications, oncology with 78 (5.47%) publications, and obstetrics gynecology
with 67 (4.70%) publications. The top three countries that contributed to the
literature most were the USA (515), England (147), and Turkey (83), respectively;
the top university that contributed most was Harvard University, and the top two
authors who contributed most were Drew PJ and Iwuagwu OC (13; 0.91%). The top
cited publication was "A Simplified Vertical Reduction Mammaplasty: Shortening
the Learning Curve" written by Hall-Findlay, EJ in 1999. The journals with top
numbers of publications were Plastic and Reconstructive Surgery (483; 33.85%),
Annals of Plastic Surgery (164; 11.50%) and Aesthetic Plastic Surgery (147;
10.30%) respectively. CONCLUSION: Despite the fact that the BR literature is
contributed by developed countries, developing countries, particularly Turkey and
Brazil, also had significant contributions to the literature. LEVEL OF EVIDENCE
V: This journal requires that authors assign a level of evidence to each article.
For a full description of these evidence-based medicine ratings, please refer to
the Table of Contents or the online Instructions to Authors
www.springer.com/00266 .
PMID- 29352347
TI - Autologous cell-based therapy for treatment of large bone defects: from bench to
bedside.
AB - OBJECTIVES: Reconstruction of long segmental bone defects is demanding for
patients and surgeons, and associated with long-term treatment periods and
substantial complication rates in addition to high costs. While defects up to 4-5
cm length might be filled up with autologous bone graft, heterologous bone from
cadavers, or artificial bone graft substitutes, current options to reconstruct
bone defects greater than 5 cm consist of either vascularized free bone
transfers, the Masquelet technique or the Ilizarov distraction osteogenesis.
Alternatively, autologous cell transplantation is an encouraging treatment option
for large bone defects as it eliminates problems such as limited autologous bone
availability, allogenic bone immunogenicity, and donor-site morbidity, and might
be used for stabilizing loose alloplastic implants. METHODS: The authors show
different cell therapies without expansion in culture, with ex vivo expansion and
cell therapy in local bone defects, bone healing and osteonecrosis. Different
kinds of cells and scaffolds investigated in our group as well as in vivo
transfer studies and BMC used in clinical phase I and IIa clinical trials of our
group are shown. RESULTS: Our research history demonstrated the great potential
of various stem cell species to support bone defect healing. It was clearly shown
that the combination of different cell types is superior to approaches using
single cell types. We further demonstrate that it is feasible to translate
preclinically developed protocols from in vitro to in vivo experiments and follow
positive convincing results into a clinical setting to use autologous stem cells
to support bone healing.
PMID- 29352348
TI - Metarhizium anisopliae s.l. modulation of lipid metabolism during tick infection
is independent of AMPK and ERK pathways.
AB - Despite the importance of fat body in metabolism of arthropods, studies in ticks
are scarce. This study evaluated the lipid composition and activation of
extracellular signal-regulated protein kinase (ERK) and AMP-activated protein
kinase (AMPK) enzymes in Rhipicephalus microplus fat body after infection with
different isolates of the fungus Metarhizium anisopliae sensu lato (Metschnikoff,
1879) Sorokin, 1883. The isolates CG 32, GC 112, GC 148, GC 347, and GC 629 were
inoculated as viable or non-viable conidia in the ticks. The engorged females
were dissected, and their fat bodies were collected 24 and 48 h after infection.
The lipid composition was assessed by thin layer chromatography, and enzyme
activation was detected by Western blotting with antibodies against p-AMPK and p
ERK. The study showed increased levels of triacylglycerol 24 and 48 h and fatty
acid after 48 h after inoculation with different isolates of viable fungi in the
tick's hemocoel. Detection of the active form of ERK was demonstrated only after
inoculation with non-viable conidia of all isolates tested. The active form of
AMPK, only isolate CG 112 was able to activate with viable or non-viable conidia,
whereas isolates CG 32 and CG 629 were able to activate with non-viable conidia.
This study provides the first report about changes in important metabolic
pathways in ticks infected with entomopathogenic fungi and suggests that the
lipid content is modulated by non-usual pathways. However, further studies may be
necessary for a better elucidation of this interaction.
PMID- 29352349
TI - Factors affecting N-terminal pro-B-type natriuretic peptide levels in preterm
infants and use in determination of haemodynamic significance of patent ductus
arteriosus.
AB - : This study aimed to investigate factors affecting N-terminal pro-B-type
natriuretic peptide (NTproBNP) in preterm infants and the ability of NTproBNP to
predict haemodynamically significant patent ductus arteriosus (HsPDA).
Prospective cohort study of 51 infants < 30 weeks gestation. Blood NTproBNP and
heart ultrasound were performed on day of life 3, 10, 28 and 36 weeks corrected
age. NTproBNP levels analysed for prediction of HsPDA. The effect of gestational
age, ventilation, hypoxia, bronchopulmonary dysplasia (BPD), creatinine and
haemoglobin levels on NTproBNP levels were investigated. Infants with HsPDA had
higher mean (SD) day 3 NTproBNP (1840 pmol/L (1058) versus 178 pmol/L (140) p <
0.001). Receiver operator curves of day 3 NTproBNP for prediction of day 3 and
day 10 HsPDA had an area under the curve of 0.98 and 0.94, respectively. A chosen
day 3 NTproBNP value of >= 287 pmol/L for the prediction of day 3 HsPDA correctly
classified 92% (sensitivity 92%, specificity 92%). NTproBNP demonstrated only
modest ability to predict severe BPD. Chronological but not gestational age
affected NTproBNP. Ventilation, hypoxia and haemoglobin levels did not influence
NTproBNP but creatinine level was positively correlated. CONCLUSION: Day 3
NTproBNP is a useful biomarker to predict HsPDA and may be a valuable tool in
future trial design. What is Known: * NTproBNP is a cardiac hormone used to
diagnose and monitor cardiac dysfunction in adults and has been shown to be
higher in premature infants with haemodynamically significant ductus arteriosus
(HsPDA). What is new: * NTproBNP is highly predictive of ultrasound-defined HsPDA
and may be a useful tool for further triage * Early NTproBNP higher in infants
who develop severe BPD and with renal impairment but not affected by gestational
age, recent exposure to hypoxia or haemoglobin levels while late levels
unexpectedly higher in those without BPD or HsPDA.
PMID- 29352350
TI - Groundwater quality assessment in semi-arid regions using integrated approaches:
the case of Grombalia aquifer (NE Tunisia).
AB - As many arid and semi-arid regions in the Mediterranean Basin, the Grombalia
coastal aquifer (NE Tunisia) is affected by severe groundwater exploitation and
contamination. Therefore, quality assessments are becoming increasingly important
as the long-term protection of water resources is at stake. Multidisciplinary
investigations, like the one presented in this paper, are particularly effective
in identifying the different origins of mineralization within an aquifer and
investigating the impact of anthropogenic activities on groundwater quality. An
integrated assessment, focused on the combined use of geostatistical, geochemical
and isotopic (delta18O, delta2H and 3H) tools, was performed in the Grombalia
aquifer between February and March 2014. The overall goal was to study the main
processes controlling aquifer salinization, with special focus to nitrate
contamination. Results indicate a persisting deterioration of water quality over
the whole basin except the south-eastern zone juxtaposing the recharge area of
the aquifer. Nitrate contents exceed the drinking water standard (50 mg/l) in 70%
of groundwater samples, mainly due to the excessive use of fertilizers and urban
activities. Stable isotope measurements showed the contribution of modern
rainwater to aquifer recharge and proved the presence of evaporation contributing
to the salinity increase. Tritium values of groundwater samples suggested two
hypotheses: the existence of mixture between old and recent water or/and the
existence of two recharge periods of the aquifer, pre- and post-nuclear weapons
test. Principal component analysis confirmed the geochemical interpretation,
highlighting that water-rock interaction evaporation effect and intensive
anthropogenic activities constitute the main processes controlling the regional
groundwater mineralization.
PMID- 29352351
TI - Levodopa-induced dyskinesia in Parkinson's disease: still no proof? A meta
analysis.
AB - We investigated whether there is a linear relationship between levodopa (LD) dose
and treatment duration, and the development of levodopa-induced dyskinesia (LID)
among patients with early untreated Parkinson's disease (PD). We performed a meta
analysis of randomized-controlled trials (RCTs) comparing LD monotherapy to any
other antiparkinsonian treatment in early PD patients. Meta-regressions were
conducted including as covariates the effects of LD dose, treatment duration, and
age. We further proceeded in subgroup analyses based on the type of medications
in the non-LD monotherapy (control) group and on whether patients in the control
group received additional levodopa or not. Thirteen eligible RCTs were included,
which revealed a significantly higher risk for dyskinesia in patients initially
treated with LD monotherapy compared to any other treatment (OR = 2.82). None of
the subsequent meta-regressions revealed any significant relationship with dose,
treatment duration or age. Patients treated on LD monotherapy or MAOIota plus LD
were at a greater risk to develop LID than patients who received DA only or DA
plus supplemental LD. The increased heterogeneity compromised the robustness of
the results. The alleged correlation between LID and LD dose and treatment
duration cannot be verified based on the data available so far. Well-designed,
large-scale, long-term, RCTs on drug-naive PD patients could allow the better
comprehension of the pattern of the association between LID and LD treatment
parameters.
PMID- 29352352
TI - Morphology, Structure, and Optical Properties of Semiconductor Films with GeSiSn
Nanoislands and Strained Layers.
AB - The dependences of the two-dimensional to three-dimensional growth (2D-3D)
critical transition thickness on the composition for GeSiSn films with a fixed Ge
content and Sn content from 0 to 16% at the growth temperature of 150 degrees S
have been obtained. The phase diagrams of the superstructure change during the
epitaxial growth of Sn on Si and on Ge(100) have been built. Using the phase
diagram data, it becomes possible to identify the Sn cover on the Si surface and
to control the Sn segregation on the superstructure observed on the reflection
high-energy electron diffraction (RHEED) pattern. The multilayer structures with
the GeSiSn pseudomorphic layers and island array of a density up to 1.8 * 1012 cm
2 have been grown with the considering of the Sn segregation suppression by the
decrease of GeSiSn and Si growth temperature. The double-domain (10 * 1)
superstructure related to the presence of Sn on the surface was first observed in
the multilayer periodic structures during Si growth on the GeSiSn layer. The
periodical GeSiSn/Si structures demonstrated the photoluminescence in the range
of 0.6-0.85 eV corresponding to the wavelength range of 1.45-2 MUm. The
calculation of the band diagram for the structure with the pseudomorphic
Ge0.315Si0.65Sn0.035 layers allows assuming that photoluminescence peaks
correspond to the interband transitions between the X valley in Si or the Delta4
valley in GeSiSn and the subband of heavy holes in the GeSiSn layer.
PMID- 29352353
TI - Regarding loads after spinal fusion, every level should be seen separately: a
musculoskeletal analysis.
AB - INTRODUCTION: The number of spinal fusion surgeries is steadily increasing and
biomechanical consequences are still in debate. The aim of this study is to
provide biomechanical insights into the sagittal balance of the spine and to
compare spinal load before and after spinal fusion. METHOD: The joint reaction
forces of 52 patients were analyzed in proximo-distal and antero-posterior
direction from the levels T12-L1 to L5-S1 using musculoskeletal simulations.
RESULTS: In 104 simulations, pre-surgical forces were equal to post-surgical. The
levels L4-L5 and T12-L1, however, showed increased spinal forces compression
forces with higher sagittal displacement. Improved restauration of sagittal
balance was accompanied by lower spinal load. AP shear stress, interestingly
decreased with sagittal imbalance. CONCLUSION: Imbalanced spines have a risk of
increased compression forces at Th12-L1. L4-L5 always has increased spinal loads.
These slides can be retrieved under Electronic Supplementary Material.
PMID- 29352354
TI - Answer to the Letter to the Editor of P. Kumar et al. concerning "Clinical and
radiological factors related to the presence of motor deficit in lumbar disc
prolapse: a prospective analysis of 70 consecutive cases with neurological
deficit" by V. Krishnan et al. [Eur Spine J (2017) 26:2642-2649].
PMID- 29352356
TI - Assessment of noise level and noise propagation generated by light-lift
helicopters in mountain natural environments.
AB - The use of helicopter rises discussion about environmental noise propagation
especially when it operates in proximity of environmentally sensitive areas
(ESAs) for an extended period because of its potential implications in wildlife
behaviours. In order to support decisions on helicopter logging operation
management in proximity of ESAs, this study focused on (i) analysing the noise
spectrum of a light-lift helicopter during logging operations and on (ii)
assessing the noise propagation in the surrounding environments. This study
investigated a helicopter logging operation for wood fuel extraction in the
eastern part of the Italian Alps. The potential disturbance area covered for the
entire helicopter logging operation was evaluated by a specific GIS application
according to hearing sensitivity of the most sensitive wildlife species in the
study area (different strigiform species). The noise level at the ground appeared
to be affected by the location regardless both the use of equivalent continuous
sound pressures level dB(A) (LAeq) and the single-event level (SEL) noise
metrics. The lowest values were recorded when the helicopter was flown over the
sound meter level located under the forest canopy, while the highest was recorded
when the helicopter was unhooking the loads at the landing. The GIS application
highlighted the consistent of the exceeded noise area (weighted to strigiform
hearing range and sensitivity) for the lower frequency bands (0.016-0.250 kHz). A
more restricted exceeded noise area concerned instead the most sensitive
frequency bands" for the strigiform (1-2 kHz). Graphical abstract ?.
PMID- 29352355
TI - Physiological responses to salt stress of salt-adapted and directly salt (NaCl
and NaCl+Na2SO4 mixture)-stressed cyanobacterium Anabaena fertilissima.
AB - Soil salinity in nature is generally mixed type; however, most of the studies on
salt toxicity are performed with NaCl and little is known about sulfur type of
salinity (Na2SO4). Present study discerns the physiologic mechanisms responsible
for salt tolerance in salt-adapted Anabaena fertilissima, and responses of
directly stressed parent cells to NaCl and NaCl+Na2SO4 mixture. NaCl at 500 mM
was lethal to the cyanobacterium, whereas salt-adapted cells grew luxuriantly.
Salinity impaired gross photosynthesis, electron transport activities, and
respiration in parent cells, but not in the salt-adapted cells, except a marginal
increase in PSI activity. Despite higher Na+ concentration in the salt mixture,
equimolar NaCl appeared more inhibitive to growth. Sucrose and trehalose content
and antioxidant activities were maximal in 250 mM NaCl-treated cells, followed by
salt mixture and was almost identical in salt-adapted (exposed to 500 mm NaCl)
and control cells, except a marginal increase in ascorbate peroxidase activity
and an additional fourth superoxide dismutase isoform. Catalase isoform of 63 kDa
was induced only in salt-stressed cells. Salinity increased the uptake of
intracellular Na+ and Ca2+ and leakage of K+ in parent cells, while cation level
in salt-adapted cells was comparable to control. Though there was differential
increase in intracellular Ca2+ under different salt treatments, ratio of Ca2+/Na+
remained the same. It is inferred that stepwise increment in the salt
concentration enabled the cyanobacterium to undergo priming effect and acquire
robust and efficient defense system involving the least energy.
PMID- 29352357
TI - An Investigation of the Post-laryngectomy Swallow Using Videofluoroscopy and
Fiberoptic Endoscopic Evaluation of Swallowing (FEES).
AB - This study investigates the post-laryngectomy swallow. Presence and degree of
residue on the post-laryngectomy swallow as observed on videofluoroscopy and FEES
is described. In addition, videofluoroscopy and FEES are assessed for reliability
and inter-instrument agreement. 30 laryngectomy subjects underwent dysphagia
evaluation using simultaneous videofluoroscopy and FEES. These were reviewed post
examination by three expert raters using a rating scale designed for this
purpose. Raters were blinded to subject details, type of laryngectomy surgery,
pairing of FEES and videofluoroscopy examinations and the scores of other raters.
There was a finding of residue in 78% of videofluoroscopy ratings, and 83% of
FEES ratings. Comparison of the tools indicated poor inter-rater reliability and
poor inter-instrument agreement. Dysphagia is an issue post laryngectomy as
measured by patient self-report and by instrumental evaluation. However,
alternative dysphagia rating tools and dysphagia evaluation tools are required to
enable accurate identification and intervention for underlying swallow physiology
post laryngectomy.
PMID- 29352358
TI - Daily Fantasy Sports Players: Gambling, Addiction, and Mental Health Problems.
AB - Studies point to a relationship between fantasy sports/daily fantasy sports (DFS)
play and gambling behavior. However, little is known about the nature of those
relationships, particularly regarding the development of gambling problems. This
study investigates the nature, frequency, and preferences of gambling behavior as
well as problem gambling severity and comorbid conditions among DFS players. Data
were collected from an epidemiologic survey of 3634 New Jersey residents on
gambling and leisure activities. Participants were contacted by phone (land-line
and cell) and online to obtain a representative, cross-sectional sample of non
institutionalized adults, aged 18 years or older. Excluding non-gamblers, the
remaining 2146 participants, included in these analyses, indicated they had
either played DFS (n = 299) or had gambled but not played DFS (1847) in the past
year. Univariate comparisons and multiple logistic regression analyses were
performed to identify the most significant characteristics and predictors of DFS
players. Overall, a higher number of gambling activities, high frequency
gambling, male gender, and reports of suicidal thoughts in the past year were
most predictive of DFS players. Being Hispanic (vs. Caucasian) and/or single (vs.
married or living with a partner) also doubled the odds of DFS play. Findings
suggest that DFS players are characterized by high gambling frequency and problem
severity and comorbid problems, notably suicidal ideation. Future research should
examine the motivations and possible etiological sub-types of DFS players and the
nature and course of DFS play, particularly in relation to gambling behavior and
the development of gambling and other problems.
PMID- 29352359
TI - Unilateral Lichtenstein tension-free mesh hernia repair and testicular perfusion:
a prospective control study.
AB - PURPOSE: Compare testicular perfusion between the herniated and the healthy side
pre- and post-surgery. MATERIALS AND METHODS: Our study was done on patients with
unilateral inguinal hernia. A Doppler ultrasound study was performed in the
healthy and herniated side before surgery and 3 months after it. RESULTS: 31
patients were included, 74.2% on the right and 25.8% on the left side. When
comparing the pre-surgical values of testicular resistance index from the healthy
side with those on the herniated side, there was a significant difference at the
spermatic cord levels (0.73 +/- 0.11 and 0.81 +/- 0.13, p = 0.018) and the extra
testicular level (0.66 +/- 0.92 and 0.74 +/- 0.10, p = 0.032), but a significant
difference was not present at the intra-testicular level (0.62 +/- 0.07 and 0.65
+/- 0.08). Three months after the surgery, there were no statistically
significant differences at any of the levels studied. CONCLUSION: There are no
intra-testicular perfusion differences caused by the presence of hernia, nor
during post-surgery.
PMID- 29352360
TI - Quality of life in obstructive sleep apnea is related to female gender and
comorbid insomnia.
AB - PURPOSE: Obstructive sleep apnea (OSA) is a common sleep disorder affecting
health-related quality of life (QoL), and OSA severity is not a reliable
indicator for QoL. The aim of this study was (1) to evaluate the impact of gender
on QoL and (2) to identify the predictors of QoL in OSA patients. METHODS: World
Health Organization Quality of Life Scale short form (WHOQOL-Bref) was used for
evaluating QoL in OSA patients undergoing polysomnography in sleep laboratory of
a university hospital. RESULTS: Out of 197 patients (age 50.4 +/- 12.1 years, AHI
38.5 +/- 28.4/h), 139 (70.6%) were men and 79.2% had moderate-to-severe OSA.
Female gender, increased BMI, higher Epworth sleepiness score (ESS), and lower
oxygen saturations were associated significantly with poor QoL in terms of all
domains (physical, psychological, social relationship, and environmental) of
WHOQOL-Bref questionnaire. The indicators of OSA severity (AHI and ODI)
correlated negatively only with the physical domain. The subjects with comorbid
insomnia and OSA had lower physical and social scores than subjects with no
insomnia, and women with insomnia had significantly worse QoL scores in all
domains than the others. In the multivariate linear regression analysis, female
gender, comorbid insomnia, increased sleepiness, and higher BMI were
significantly associated with poor QoL. CONCLUSIONS: Female gender, comorbid
insomnia, and daytime sleepiness were the outstanding factors affecting health
related QoL negatively in OSA. Besides, the impact of OSA on QoL may be explained
by the presence of daytime sleepiness rather than OSA severity.
PMID- 29352361
TI - The modified Ravitch approach for the management of severe anterior flail chest
with bilateral sternochondral dislocations: a case report.
AB - The management of flail chest continues to evolve as scientific evidence and
surgical experience accumulates. Flail chest injuries that span the sternum
present a rare and complicated injury pattern that can be challenging to manage
both medically and surgically. Our patient is a 69-year-old involved in a high
speed motor vehicle crash with respiratory failure secondary to an anterior flail
chest. Tomographic examination confirmed a sternal fracture with bilateral
sternochondral dislocations and multiple rib fractures. The rib fractures created
a lateral flail segment which extended towards the right side. An open Pectus
exposure with a right anterolateral extension (modified Ravitch approach) and
osteosynthesis plates accomplished stabilization of the chest wall, and
contributed to weaning from mechanical ventilation.
PMID- 29352362
TI - A Preliminary Examination of the Link Between Maternal Experiential Avoidance and
Parental Accommodation in Anxious and Non-anxious Children.
AB - Studies point to parental experiential avoidance (EA) as a potential correlate of
maladaptive parenting behaviors associated with child anxiety. However, research
has not examined the relationship between EA and parental accommodation of child
anxiety, nor the extent to which parental negative beliefs about child anxiety
help explain such a relationship. In a sample of mothers (N = 45) of anxious and
non-anxious children, the present study investigated the potential link between
maternal EA and accommodation of child anxiety and whether this link may be
indirectly accounted for via maternal negative beliefs about child anxiety. EA
was significantly and positively associated with accommodation of child anxiety,
but when negative beliefs about child anxiety were incorporated into the model
this direct effect was no longer significant. Findings highlight the contribution
of parental emotions and cognitions to behaviors that may exacerbate child
anxiety, and may inform treatment and prevention efforts with families of anxious
youth.
PMID- 29352363
TI - [Preclinical emergency medicine-thinking outside the box is worth it!]
PMID- 29352364
TI - [Accidental central venous administation of 0.1 % chlorhexidine mouthwash].
AB - Medication errors are frequent and a serious safety concern. Chlorhexidine (CHX)
is used daily in healthcare as a disinfectant. Its accidental intravascular
injection is scarcely described. Serious complications, such as acute respiratory
distress syndrome (ARDS) could be a consequence. We describe a case of central
venous administration of 0.1% CHX mouthwash, its potential complications and
possibilities of treatment. In contrast to another case report our patient had no
detectable adverse side effects. The immediate hemofiltration and cleansing of
the i. v. line may have contributed to this favorable outcome.
PMID- 29352365
TI - [Anesthesia problem cards-indispensable yet problematic : Nationwide survey on
experiences from clinical practice].
AB - BACKGROUND: Anesthetic procedures may lead to severe and potentially life
threatening complications (e. g. difficult airway, allergic reactions, malignant
hyperthermia). Most complications can be avoided in future anesthetic procedures
with adequate preparation (e. g. awake intubation, trigger-free anesthesia). In
Germany, anesthesia problem cards were introduced two decades ago to identify
patients at risk and to increase patient safety by creating a standardized
documentation system for anesthesia-related complications. The purpose of our
study was to evaluate the utility and problems of anesthesia problem cards in
clinical practice. MATERIAL AND METHODS: All registered members of the German
Society of Anesthesiology and Intensive Care Medicine (DGAI) and the Professional
Association of German Anesthesiologists (BDA) (n = 19,042) were invited to
participate in an online survey on patient safety. A subsection of the survey
focused specifically on anesthesia problem cards and their utility in clinical
practice (e. g. field of application, issuing procedures, benefits and problems).
RESULTS: The survey subsection on anesthesia problem cards was completed by 1783
participants. Most agreed that anesthesia problem cards are a useful tool to
increase patient safety (n = 1502; 84.2%) and that they are routinely issued
after the occurrence of anesthesia-related complications (n = 1664, 93.4%). One
of the major problems noted was that patients frequently forget to bring their
anesthesia problem cards when presenting for the preanesthetic assessment. This
was observed by 1457 participants (81.7%). Furthermore, the information provided
on anesthesia problem cards may be inadequately phrased (n = 874; 49.0%) or
illegible (n = 833; 46.7%). In addition, the space for individual comments or
problem solutions is insufficient (n = 811; 45.5%). Replacements for lost
anesthesia problem cards with identical informational content can be issued in
only 41.9% (n = 747) of the participants' departments. CONCLUSION: Anesthesia
problem cards are considered a useful tool to increase patient safety and are
frequently issued in clinical practice; however, in the document's current form
its full potential for risk minimization cannot be exploited. Structural changes
are required to increase documentation quality and reproducibility. Concerning
its informational content, the spectrum of included complications and their
individual solutions need to be expanded to meet the requirements of modern
anesthetic practice.
PMID- 29352366
TI - A new class of CEST experiment based on selecting different magnetization
components at the start and end of the CEST relaxation element: an application to
1H CEST.
AB - Chemical exchange saturation transfer (CEST) experiments are becoming
increasingly popular for investigating biomolecular exchange dynamics with rates
on the order of approximately 50-500 s-1 and a rich toolkit of different methods
has emerged over the past few years. Typically, experiments are based on the
evolution of longitudinal magnetization, or in some cases two-spin order, during
a fixed CEST relaxation delay, with the same class of magnetization prepared at
the start and selected at end of the CEST period. Here we present a pair of TROSY
based pulse schemes for recording amide and methyl 1H CEST profiles where
longitudinal magnetization at the start evolves to produce two-spin order that is
then selected at the completion of the CEST element. This selection process
subtracts out contributions from 1H-1H cross-relaxation on the fly that would
otherwise complicate analysis of the data. It also obviates the need to record
spin-state selective CEST profiles as an alternative to eliminating NOE effects,
leading to significant improvements in sensitivity. The utility of the approach
is demonstrated on a sample of a cavity mutant of T4 lysozyme that undergoes
chemical exchange between conformations where the cavity is free and occupied.
PMID- 29352367
TI - Continuous manufacturing of co-crystals: challenges and prospects.
AB - The last decade has witnessed extensive growth in the field of co-crystallization
for mitigating the solubility and dissolution-related issues of poorly soluble
drugs. This is largely because co-crystals can modify the physicochemical
properties of drugs without any covalent modification in the drug molecules. The
US Food and Drug Administration (FDA) now considers drug products that are
designed to contain a new co-crystal, analogous to new polymorph of the active
pharmaceutical ingredient (API). This positive change in regulatory perspective
coupled with successful commercialization of valsartan-sacubitril co-crystal
(Entresto, Novartis) has now brought co-crystals into focus, in both industries
as well as academia. Co-crystal prediction, screening, and synthesis have been
reported in literature; however, co-crystal production at a larger scale needs
further investigations. With this aim, the article describes various continuous
methods for co-crystal production, along with in-line monitoring during co
crystal production, emphasizing on process analytical technology (PAT). In
addition, the scale-up issues of continuous and batch co-crystallization and
other suitable techniques for pharmaceutical scale up are detailed. Quality
control aspects and regulatory viewpoint crucial for commercial success are
elaborated in the future perspective.
PMID- 29352368
TI - Endocrine therapy and related issues in hormone receptor-positive early breast
cancer: a roundtable discussion by the breast cancer therapy expert group
(BCTEG).
AB - PURPOSE: Management of breast cancer is a rapidly evolving field, and, although
evidence-based guidelines are available for clinicians to provide direction on
critical issues in patient care, clinicians often left to address these issues in
the context of community practice situations with their patients. These include
the patient's comorbid conditions, actual versus perceived benefit of treatments,
patient's compliance as well as financial/reimbursement issues, and long-term
tolerability of therapy. METHODS: A meeting of global oncology experts was
convened in January 2017 with the belief that there is a gap in clinical practice
guidance on several fundamental issues in breast cancer care, particularly in the
community setting, where oncologists may encounter multiple tumor types. The goal
was to discuss some of the most important questions in this area and provide some
guidance for practicing oncologists. RESULTS: Topics addressed included risk of
contralateral breast cancer recurrence in patients with estrogen receptor
positive early breast cancer who have undergone 5 years of adjuvant endocrine
therapy, adverse events associated with endocrine therapy and their management,
emergent data on adjuvant bisphosphonate therapy and its apparent benefit in
reducing breast cancer recurrence, recent findings of extended adjuvant endocrine
therapy trials, and the use of currently available genomic biomarker tests as a
means of further informing treatment decisions. CONCLUSIONS: A summary of the
discussion on these topics and several 'expert opinion statements' are provided
herein in an effort to convey the collective insights of the panel as it relates
to current standard practice.
PMID- 29352369
TI - Analysis of the succession of structure of the bacteria community in soil from
long-term continuous cotton cropping in Xinjiang using high-throughput
sequencing.
AB - The present study aimed to identify the structural succession of the bacteria
community in soil during long-term continuous cotton cropping and its
relationship with continuous cropping obstacles in Xinjiang, China. High
throughput sequencing was used to analyze and compare the composition of the
bacterial community in the soil at the cotton root zone after years of continuous
cotton cropping and crop rotation over 30 years of cultivation. Cotton
cultivation increased the structural diversity of the bacterial community, among
which the populations of Actinobacteria, Acidobacteria, Firmicutes, Nitrospirae,
and Chloroflexi changed considerably. A 0-year sample and samples after
continuous short- and long-term cropping, along with some with crop rotation,
were gathered into three individual clusters. The findings of the rotation sample
were similar to those of the sample of continuous long-term cropping. Cropping is
the main cause of changes in the structure of the bacteria community; however,
the new structure formed under continued duress of both long-term cotton
cultivation and the associated farming methods gradually stabilizes after 10
years of repeated fluctuations. Crop rotation can lead to the rapid recovery of
some species of soil bacteria.
PMID- 29352370
TI - Integrating Behavioral Health Services into Primary Care: Spotlight on the
Primary Care Behavioral Health (PCBH) Model of Service Delivery.
PMID- 29352372
TI - Improving PET Quantification of Small Animal [68Ga]DOTA-Labeled PET/CT Studies by
Using a CT-Based Positron Range Correction.
AB - PURPOSE: Image quality of positron emission tomography (PET) tracers that emits
high-energy positrons, such as Ga-68, Rb-82, or I-124, is significantly affected
by positron range (PR) effects. PR effects are especially important in small
animal PET studies, since they can limit spatial resolution and quantitative
accuracy of the images. Since generators accessibility has made Ga-68 tracers
wide available, the aim of this study is to show how the quantitative results of
[68Ga]DOTA-labeled PET/X-ray computed tomography (CT) imaging of neuroendocrine
tumors in mice can be improved using positron range correction (PRC). PROCEDURES:
Eighteen scans in 12 mice were evaluated, with three different models of tumors:
PC12, AR42J, and meningiomas. In addition, three different [68Ga]DOTA-labeled
radiotracers were used to evaluate the PRC with different tracer distributions:
[68Ga]DOTANOC, [68Ga]DOTATOC, and [68Ga]DOTATATE. Two PRC methods were evaluated:
a tissue-dependent (TD-PRC) and a tissue-dependent spatially-variant correction
(TDSV-PRC). Taking a region in the liver as reference, the tissue-to-liver ratio
values for tumor tissue (TLRtumor), lung (TLRlung), and necrotic areas within the
tumors (TLRnecrotic) and their respective relative variations (DeltaTLR) were
evaluated. RESULTS: All TLR values in the PRC images were significantly different
(p < 0.05) than the ones from non-PRC images. The relative differences of the
tumor TLR values, respect to the case with no PRC, were DeltaTLRtumor 87 +/- 41 %
(TD-PRC) and 85 +/- 46 % (TDSV-PRC). TLRlung decreased when applying PRC, being
this effect more remarkable for the TDSV-PRC method, with relative differences
respect to no PRC: DeltaTLRlung = - 45 +/- 24 (TD-PRC), - 55 +/- 18 (TDSV-PRC).
TLRnecrotic values also decreased when using PRC, with more noticeable
differences for TD-PRC: DeltaTLRnecrotic = - 52 +/- 6 (TD-PRC), - 48 +/- 8 (TDSV
PRC). CONCLUSION: The PRC methods proposed provide a significant quantitative
improvement in [68Ga]DOTA-labeled PET/CT imaging of mice with neuroendocrine
tumors, hence demonstrating that these techniques could also ameliorate the
deleterious effect of the positron range in clinical PET imaging.
PMID- 29352371
TI - Innate and adaptive T cells in influenza disease.
AB - Influenza is a major global health problem, causing infections of the respiratory
tract, often leading to acute pneumonia, life-threatening complications and even
deaths. Over the last seven decades, vaccination strategies have been utilized to
protect people from complications of influenza, especially groups at high risk of
severe disease. While current vaccination regimens elicit strain-specific
antibody responses, they fail to generate cross-protection against seasonal,
pandemic and avian viruses. Moreover, vaccines designed to generate influenza
specific T-cell responses are yet to be optimized. During natural infection,
viral replication is initially controlled by innate immunity before adaptive
immune responses (T cells and antibody-producing B cells) achieve viral clearance
and host recovery. Adaptive T and B cells maintain immunological memory and
provide protection against subsequent infections with related influenza viruses.
Recent studies also shed light on the role of innate T-cells (MAIT cells,
gammadelta cells, and NKT cells) in controlling influenza and linking innate and
adaptive immune mechanisms, thus making them attractive targets for vaccination
strategies. We summarize the current knowledge on influenza-specific innate MAIT
and gammadelta T cells as well as adaptive CD8+ and CD4+ T cells, and discuss how
these responses can be harnessed by novel vaccine strategies to elicit cross
protective immunity against different influenza strains and subtypes.
PMID- 29352374
TI - Quantification of myocardial blood flow and myocardial flow reserve with SPECT
imaging technique.
PMID- 29352373
TI - Sleep Interventions Designed to Improve Athletic Performance and Recovery: A
Systematic Review of Current Approaches.
AB - BACKGROUND: Athletes experience various situations and conditions that can
interfere with their sleep, which is crucial for optimal psychological and
physiological recovery as well as subsequent performance. Conventional sleep
screening and intervention approaches may not be efficacious for athletes given
their lifestyle, the demands of training and travel associated with
interstate/international competition. OBJECTIVES: The present systematic review
aimed to summarize and evaluate sleep intervention studies targeting subsequent
performance and recovery in competitive athletes. Based on the findings, a
secondary aim was to outline a possible sleep intervention for athletes,
including recommendations for content, mode of delivery and evaluation. METHODS:
A systematic review was conducted based on the PRISMA guidelines in May 2016 with
an update completed in September 2017. Ten studies met our inclusion criteria
comprising a total of 218 participants in the age range of 18-24 years with
athletes from various sports (e.g., swimming, soccer, basketball, tennis). A
modified version of the quality assessment scale developed by Abernethy and
Bleakley was used to evaluate the quality of the studies. RESULTS: The included
studies implemented several sleep interventions, including sleep extension and
napping, sleep hygiene, and post-exercise recovery strategies. Evidence suggests
that sleep extension had the most beneficial effects on subsequent performance.
Consistent with previous research, these results suggest that sleep plays an
important role in some, but not all, aspects of athletes' performance and
recovery. CONCLUSION: Future researchers should aim to conduct sleep
interventions among different athlete populations, compare results, and further
establish guidelines and intervention tools for athletes to address their
specific sleep demands and disturbances.
PMID- 29352376
TI - In reply: Is sugammadex alone enough to cause anaphylaxis?
PMID- 29352375
TI - [Aspects of liaison psychiatry care of patients in a university pain clinic].
AB - BACKGROUND: Owing to a rise of psychosomatic comorbidities, the treatment of
psychological disorders, which may negatively impact prognosis and therapy, is
increasingly becoming a focus of attention for pain outpatient clinics. AIM: This
study investigates and discusses the advantages of liaison psychiatric care in a
university pain clinic. METHODS: In this retrospective study, we investigated all
patients who presented to an anaesthesiologically led pain clinic between January
and June 2014. The psychiatric history was taken by the liaison psychiatrist of
the pain clinic. RESULTS: In the period investigated, 485 patients were treated
as outpatients. A psychiatric diagnosis was present 351 patients (72.4%). The
distribution of the diagnoses was comparable with that of a consultation service.
Adaptation and affective disorders dominated. The patients were preferentially
treated with new generation antidepressants. CONCLUSION: The constant presence of
a liaison psychiatrist allows for timely, specialised care of pain patients in
terms of a multimodal therapeutic approach.
PMID- 29352377
TI - Difference of acute dissociation and 1-day culture on the electrophysiological
properties of rat dorsal root ganglion neurons.
AB - The dissociated dorsal root ganglion (DRG) neurons with or without culture were
widely used for investigation of their electrophysiological properties. The
culture procedures, however, may alter the properties of these neurons and the
effects are not clear. In the present study, we recorded the action potentials
(AP) and the voltage-gated Na+, K+, and Ca2+ currents with patch clamp technique
and measured the mRNA of Nav1.6-1.9 and Cav2.1-2.2 with real-time PCR technique
from acutely dissociated and 1-day (1-d) cultured DRG neurons. The effects of the
nerve growth factor (NGF) on the expression of Nav1.6-1.9 and Cav2.1-2.2 were
evaluated. The neurons were classified as small (DRG-S), medium (DRG-M), and
large (DRG-L), according to their size frequency distribution pattern. We found 1
d culture increased the AP size but reduced the excitability, and reduced the
voltage-gated Na+ and Ca2+ currents and their corresponding mRNA expression in
all types of neurons. The lack of NGF in the culture medium may contribute to the
reduced Na+ and Ca2+ current, as the application of NGF recovered some of the
reduced transcripts (Nav1.9, Cav2.1, and Cav2.2). 1-d culture showed neuron-type
specific effects on some of the AP properties: it increased the maximum AP
depolarizing rate (MDR) and hyperpolarized the resting membrane potential (RP) in
DRG-M and DRG-L neurons, but slowed the maximum AP repolarizing rate (MRR) in DRG
S neurons. In conclusion, the 1-d cultured neurons had different properties with
those of the acutely dissociated neurons, and lack of NGF may contribute to some
of these differences.
PMID- 29352378
TI - Can histogram analysis of MR images predict aggressiveness in pancreatic
neuroendocrine tumors?
AB - OBJECTIVES: To evaluate MRI derived whole-tumour histogram analysis parameters in
predicting pancreatic neuroendocrine neoplasm (panNEN) grade and aggressiveness.
METHODS: Pre-operative MR of 42 consecutive patients with panNEN >1 cm were
retrospectively analysed. T1-/T2-weighted images and ADC maps were analysed.
Histogram-derived parameters were compared to histopathological features using
the Mann-Whitney U test. Diagnostic accuracy was assessed by ROC-AUC analysis;
sensitivity and specificity were assessed for each histogram parameter. RESULTS:
ADCentropy was significantly higher in G2-3 tumours with ROC-AUC 0.757;
sensitivity and specificity were 83.3 % (95 % CI: 61.2-94.5) and 61.1 % (95 % CI:
36.1-81.7). ADCkurtosis was higher in panNENs with vascular involvement, nodal
and hepatic metastases (p= .008, .021 and .008; ROC-AUC= 0.820, 0.709 and 0.820);
sensitivity and specificity were: 85.7/74.3 % (95 % CI: 42-99.2 /56.4-86.9),
36.8/96.5 % (95 % CI: 17.2-61.4 /76-99.8) and 100/62.8 % (95 % CI: 56.1-100/44.9
78.1). No significant differences between groups were found for other histogram
derived parameters (p >.05). CONCLUSIONS: Whole-tumour histogram analysis of ADC
maps may be helpful in predicting tumour grade, vascular involvement, nodal and
liver metastases in panNENs. ADCentropy and ADCkurtosis are the most accurate
parameters for identification of panNENs with malignant behaviour. KEY POINTS: *
Whole-tumour ADC histogram analysis can predict aggressiveness in pancreatic
neuroendocrine neoplasms. * ADC entropy and kurtosis are higher in aggressive
tumours. * ADC histogram analysis can quantify tumour diffusion heterogeneity. *
Non-invasive quantification of tumour heterogeneity can provide adjunctive
information for prognostication.
PMID- 29352379
TI - Magnetic resonance with diffusion-weighted imaging improves assessment of focal
liver lesions in patients with potentially resectable pancreatic cancer on CT.
AB - PURPOSE: To investigate added value of MRI to preoperative staging MDCT for
evaluation of focal liver lesions (FLLs) in potentially resectable pancreatic
ductal adenocarcinomas (PDACs). MATERIALS AND METHODS: In patients with
potentially resectable PDACs after staging MDCT (n=167), characteristics of FLLs
were scored as benign, indeterminate or metastases on an MDCT set and combined
MDCT and MRI set by two readers, independently. Size of hepatic lesions was
measured and detection rate of hepatic metastasis unsuspected by MDCT and
diagnostic yield of MRI for FLLs were assessed. RESULTS: Reader-averaged figure
of-merit (FOM) of the combined set was significantly higher than that of MDCT
alone (0.94 vs. 0.86, p=.028). In the negative-on-CT group, the diagnostic yield
of MRI was 1.5-2.3% (2/133 and 3/133 for readers 1 and 2, respectively). In the
indeterminate-on-CT group, MRI yield was 10.5-13.6% (2/19 and 3/22) and in
patients with suspicious-metastasis-on-CT, 8.3-26.7% (1/12 and 4/15). All lesions
with false-positive and false-negative CT findings were <=1 cm. CONCLUSION: In
potentially resectable PDACs, addition of MRI with DWI can provide significantly
better diagnostic performance in characterization of focal liver lesions,
especially for small-sized (<= 1 cm) MDCT-indeterminate or suspicious metastasis
lesions, aiding in determination of appropriate operation candidates. KEY POINTS:
* Addition of MRI provides better diagnostic performance in characterization of
liver lesions. * Combined interpretation of MRI and MDCT provided less frequent
indeterminate liver lesions. * Diagnostic yield of MRI was high in CT
indeterminate or suspicious metastatic lesions. * Operation candidates can be
determined with greater confidence in potentially resectable PDACs.
PMID- 29352380
TI - Integrated prediction of lesion-specific ischaemia from quantitative coronary CT
angiography using machine learning: a multicentre study.
AB - OBJECTIVES: We aimed to investigate if lesion-specific ischaemia by invasive
fractional flow reserve (FFR) can be predicted by an integrated machine learning
(ML) ischaemia risk score from quantitative plaque measures from coronary
computed tomography angiography (CTA). METHODS: In a multicentre trial of 254
patients, CTA and invasive coronary angiography were performed, with FFR in 484
vessels. CTA data sets were analysed by semi-automated software to quantify
stenosis and non-calcified (NCP), low-density NCP (LD-NCP, < 30 HU), calcified
and total plaque volumes, contrast density difference (CDD, maximum difference in
luminal attenuation per unit area) and plaque length. ML integration included
automated feature selection and model building from quantitative CTA with a
boosted ensemble algorithm, and tenfold stratified cross-validation. RESULTS:
Eighty patients had ischaemia by FFR (FFR <= 0.80) in 100 vessels. Information
gain for predicting ischaemia was highest for CDD (0.172), followed by LD-NCP
(0.125), NCP (0.097), and total plaque volumes (0.092). ML exhibited higher area
under-the-curve (0.84) than individual CTA measures, including stenosis (0.76),
LD-NCP volume (0.77), total plaque volume (0.74) and pre-test likelihood of
coronary artery disease (CAD) (0.63); p < 0.006. CONCLUSIONS: Integrated ML
ischaemia risk score improved the prediction of lesion-specific ischaemia by
invasive FFR, over stenosis, plaque measures and pre-test likelihood of CAD. KEY
POINTS: * Integrated ischaemia risk score improved prediction of ischaemia over
quantitative plaque measures * Integrated ischaemia risk score showed higher
prediction of ischaemia than standard approach * Contrast density difference had
the highest information gain to identify lesion-specific ischaemia.
PMID- 29352381
TI - Myocardial perfusion in patients with suspected coronary artery disease:
comparison between 320-MDCT and rubidium-82 PET.
AB - OBJECTIVES: Despite advances in non-invasive myocardial perfusion imaging (MPI)
evaluation, computed tomography (CT) multiphase MPI protocols have not yet been
compared with the highly accurate rubidium-82 positron emission tomography
(82RbPET) MPI. Thus, this study aimed to evaluate agreement between 82RbPET and
320-detector row CT (320-CT) MPI using a multiphase protocol in suspected CAD
patients. METHODS: Forty-four patients referred for MPI evaluation were
prospectively enrolled and underwent dipyridamole stress 82RbPET and multiphase
320-CT MPI (five consecutive volumetric acquisitions during stress). Statistical
analyses were performed using the R software. RESULTS: There was high agreement
for recognizing summed stress scores >= 4 (kappa 0.77, 95% CI 0.55-0.98, p <
0.001) and moderate for detecting SDS >= 2 (kappa 0.51, 95% CI 0.23-0.80, p <
0.001). In a per segment analysis, agreement was high for the presence of
perfusion defects during stress and rest (kappa 0.75 and 0.82, respectively) and
was moderate for impairment severity (kappa 0.58 and 0.65, respectively). The 320
CT protocol was safe, with low radiation burden (9.3 +/- 2.4 mSv). CONCLUSIONS:
There was a significant agreement between dipyridamole stress 320-CT MPI and
82RbPET MPI in the evaluation of suspected CAD patients of intermediate risk. The
multiphase 320-CT MPI protocol was feasible, diagnostic and with relatively low
radiation exposure. KEY POINTS: * Rubidium-82 PET and 320-MDCT can perform MPI
studies for CAD investigation. * There is high agreement between rubidium-82 PET
and 320-MDCT for MPI assessment. * Multiphase CT perfusion protocols are feasible
and with low radiation. * Multiphase CT perfusion protocols can identify image
artefacts.
PMID- 29352382
TI - Hibernation of masses suspected to be remnant tumors after surgical resection of
retroperitoneal liposarcoma is related to improved overall survival.
AB - BACKGROUND: Although complete surgical resection is considered the best treatment
for retroperitoneal liposarcoma, it is related to a high local recurrence rate.
This study analyzed patterns of recurrence of retroperitoneal liposarcoma.
METHODS: Records of patients who experienced recurrence after surgery for
retroperitoneal liposarcoma from January 2000 to May 2017 were analyzed. Site,
number, and characteristics of recurrent mass on computed tomography were
serially collected. If a mass did not change size, it was considered a
hibernating mass. Potential prognostic factors for overall survival were
calculated using Kaplan-Meier survival log-rank tests. RESULTS: A total of 74
patients, 28 within 6 months and 46 after 6 months, were detected as having a
recurrence-suspected mass after surgery. Hibernation of a recurrence-suspected
mass was found in 19 patients, 12 within 6 months and 7 after 6 months. While the
presence of hibernation was not related to overall survival (P = 0.245), it was
significantly related to improved survival in patients with a mass detected
within 6 months (P = 0.022). Hibernation was not related to improved survival in
patients with a mass detected after 6 months (P = 0.056). CONCLUSIONS:
Hibernation of a recurrence-suspected mass was related to improvement of overall
survival in patients with a mass detected within 6 months. This specific patient
group should be monitored with care to see if the size of the mass increases.
When a mass seemed to be hibernating, a favorable prognosis could be expected.
PMID- 29352384
TI - Prenatal exposure to PCB and dioxin.
PMID- 29352383
TI - Dietary patterns, their covariates, and associations with severity of depressive
symptoms among university students in Lebanon: a cross-sectional study.
AB - PURPOSE: The study aims to identify dietary patterns in university students in
Lebanon, to determine their associations with socio-demographic, lifestyle, and
stress factors, and to assess the link between identified dietary patterns and
severity of depressive symptoms, controlling for multiple confounders. METHODS:
Four hundred and fifty-seven private university students were surveyed.
Information about dietary intake, socio-demographic and lifestyle factors,
physical activity, and depressive symptoms were collected by the 73-item food
frequency questionnaire, background questionnaire, International Physical
Activity Questionnaire-Short Form, and Patient Health Questionnaire (PHQ-9),
respectively. Dietary patterns were identified by exploratory factor analysis.
Multiple linear regression analyses were conducted to (1) identify covariates
that were independently associated with dietary patterns and (2) examine the
independent associations between each of the dietary patterns and severity of
depressive symptoms. RESULTS: Five dietary patterns were identified: "traditional
Lebanese", "Western fast food", "dairy", "Lebanese fast food" and "fruits".
Higher scores of traditional Lebanese and fruits patterns were found to be
associated with more meals per day, frequent breakfast consumption, and non
smoking. Higher Western fast food diet scores were shown to be associated with
male gender, fewer meals per day, less frequent breakfast consumption, more
frequent snacking, and alcohol consumption. Higher scores of the dairy pattern
were found to be positively associated with hypertension, non-smoking, and
frequency of alcohol consumption. Higher Lebanese fast food pattern scores were
found to be associated with higher frequency of meal intake while watching TV and
alcohol consumption. None of the five dietary patterns showed a significant
association with severity of depressive symptoms after controlling for
confounders. CONCLUSION: Severity of depressive symptoms showed no independent
association with the identified dietary patterns.
PMID- 29352385
TI - Outer Wall Segmentation of Abdominal Aortic Aneurysm by Variable Neighborhood
Search Through Intensity and Gradient Spaces.
AB - Aortic aneurysm segmentation remains a challenge. Manual segmentation is a time
consuming process which is not practical for routine use. To address this
limitation, several automated segmentation techniques for aortic aneurysm have
been developed, such as edge detection-based methods, partial differential
equation methods, and graph partitioning methods. However, automatic segmentation
of aortic aneurysm is difficult due to high pixel similarity to adjacent tissue
and a lack of color information in the medical image, preventing previous work
from being applicable to difficult cases. This paper uses uses a variable
neighborhood search that alternates between intensity-based and gradient-based
segmentation techniques. By alternating between intensity and gradient spaces,
the search can escape from local optima of each space. The experimental results
demonstrate that the proposed method outperforms the other existing segmentation
methods in the literature, based on measurements of dice similarity coefficient
and jaccard similarity coefficient at the pixel level. In addition, it is shown
to perform well for cases that are difficult to segment.
PMID- 29352386
TI - Glucose homeostasis in major depression and schizophrenia: a comparison among
drug-naive first-episode patients.
AB - There is evidence for insulin resistance in drug-naive first-episode
schizophrenia (Sz) patients. We have tested whether impaired insulin homeostasis
is also present in first-episode patients with major depression (MD) and if this
can be discerned from stress-related and medication effects. Homeostatic model
assessment of insulin resistance (HOMA-IR) was determined in a cross-sectional
cohort study of acute first-episode drug-naive patients with MD (n = 18) or Sz (n
= 24), and healthy controls (C, n = 43). Morning cortisol and catecholamine
metabolites were assessed to control for hormonal stress axis activation.
Subjects were matched for sex, age, body mass index and waist-hip ratio to
exclude the possibility that overweight and visceral adiposity were potential
confounding factors. HOMA-IR did not differ between MD and controls, but was
increased in Sz compared to MD (p = 0.002) and controls (p = 0.012).
Catecholamine metabolites were elevated in both patient groups, indicating
presence of hormonal stress axis activation. However, diagnosis-related changes
of HOMA-IR were independent from this. Impaired insulin sensitivity was absent in
MD, but specifically related to the early disease course of Sz. Thus, considering
previous studies in this field, MD may be related to impaired glucose/insulin
homeostasis in the long-term but not in early disease stages.
PMID- 29352387
TI - Current Perspectives on Erythema Multiforme.
AB - Recognition and timely adequate treatment of erythema multiforme remain a major
challenge. In this review, current diagnostic guidelines, potential pitfalls, and
modern/novel treatment options are summarized with the aim to help clinicians
with diagnostic and therapeutic decision-making. The diagnosis of erythema
multiforme, that has an acute, self-limiting course, is based on its typical
clinical picture of targetoid erythematous lesions with predominant acral
localization as well as histological findings. Clinically, erythema multiforme
can be differentiated into isolated cutaneous and combined mucocutaneous forms.
Atypical erythema multiforme manifestations include lichenoid or granulomatous
lesions as well as lesional infiltrates of T cell lymphoma and histiocytes.
Herpes simplex virus infection being the most common cause, other infectious
agents like-especially in children-Mycoplasma pneumoniae, hepatitis C virus,
Coxsackie virus, and Epstein Barr virus may also trigger erythema multiforme. The
second most frequently identified cause of erythema multiforme is drugs. In
different studies, e.g., allopurinol, phenobarbital, phenytoin, valproic acid,
antibacterial sulfonamides, penicillins, erythromycin, nitrofurantoin,
tetracyclines, chlormezanone, acetylsalicylic acid, statins, as well as different
TNF-alpha inhibitors such as adalimumab, infliximab, and etanercept were reported
as possible implicated drugs. Recently, cases of erythema multiforme associated
with vaccination, immunotherapy for melanoma, and even with topical drugs like
imiquimod have been described. In patients with recurrent herpes simplex virus
associated erythema multiforme, the topical prophylactic treatment with acyclovir
does not seem to prevent further episodes of erythema multiforme. In case of
resistance to one virostatic drug, the switch to an alternative drug, and in
patients non-responsive to virostatic agents, the use of dapsone as well as new
treatment options, e.g., JAK-inhibitors or apremilast, might be considered.
PMID- 29352389
TI - Detailed T1-Weighted Profiles from the Human Cortex Measured in Vivo at 3 Tesla
MRI.
AB - Studies into cortical thickness in psychiatric diseases based on T1-weighted MRI
frequently report on aberrations in the cerebral cortex. Due to limitations in
image resolution for studies conducted at conventional MRI field strengths (e.g.
3 Tesla (T)) this information cannot be used to establish which of the cortical
layers may be implicated. Here we propose a new analysis method that computes one
high-resolution average cortical profile per brain region extracting
myeloarchitectural information from T1-weighted MRI scans that are routinely
acquired at a conventional field strength. To assess this new method, we acquired
standard T1-weighted scans at 3 T and compared them with state-of-the-art ultra
high resolution T1-weighted scans optimised for intracortical myelin contrast
acquired at 7 T. Average cortical profiles were computed for seven different
brain regions. Besides a qualitative comparison between the 3 T scans, 7 T scans,
and results from literature, we tested if the results from dynamic time warping
based clustering are similar for the cortical profiles computed from 7 T and 3 T
data. In addition, we quantitatively compared cortical profiles computed for V1,
V2 and V7 for both 7 T and 3 T data using a priori information on their relative
myelin concentration. Although qualitative comparisons show that at an individual
level average profiles computed for 7 T have more pronounced features than 3 T
profiles the results from the quantitative analyses suggest that average cortical
profiles computed from T1-weighted scans acquired at 3 T indeed contain
myeloarchitectural information similar to profiles computed from the scans
acquired at 7 T. The proposed method therefore provides a step forward to study
cortical myeloarchitecture in vivo at conventional magnetic field strength both
in health and disease.
PMID- 29352388
TI - Cutaneous Granulomatosis: a Comprehensive Review.
AB - Cutaneous granulomatosis is a heterogeneous group of diseases, characterized by a
skin inflammatory reaction triggered by a wide variety of stimuli, including
infections, foreign bodies, malignancy, metabolites, and chemicals. From a
pathogenic point of view, they are divided into non-infectious and infectious
granulomas. Pathophysiological mechanisms are still poorly understood. Non
infectious granulomatous skin diseases include granuloma annulare, necrobiosis
lipoidica, rheumatic nodules, foreign body granulomas, cutaneous sarcoidosis, and
interstitial granulomatous dermatitis. Necrobiosis lipoidica is more frequent in
diabetic patients. Infectious granulomas of the skin are caused by mycobacteria,
in particular Mycobacterium tuberculosis or atypical mycobacteria; parasites,
such as Leishmania; or fungi. Pathogenic mechanisms of M. tuberculosis-related
granuloma are discussed. From a clinical point of view, it is useful to divide
cutaneous granulomatosis into localized and more disseminated forms, although
this distinction can be sometimes artificial. Three types of localized
granulomatous lesions can be distinguished: palisaded granulomas (granuloma
annulare, necrobiosis lipoidica, and rheumatoid nodules), foreign body
granulomas, and infectious granulomas, which are generally associated with
localized infections. Disseminated cutaneous granulomas can be divided into
infectious, in particular tuberculosis, and non-infectious forms, among which
sarcoidosis and interstitial granulomatous dermatitis. From a histological point
of view, the common denominator is the presence of a granulomatous inflammatory
infiltrate in the dermis and/or hypodermis; this infiltrate is mainly composed of
macrophages grouped into nodules having a nodular, palisaded or interstitial
architecture. Finally, we propose which diagnostic procedure should be performed
when facing a patient with a suspected cutaneous granulomatosis.
PMID- 29352390
TI - A Spatial Registration Toolbox for Structural MR Imaging of the Aging Brain.
AB - During aging the brain undergoes a series of structural changes, in size, shape
as well as tissue composition. In particular, cortical atrophy and ventricular
enlargement are often present in the brain of elderly individuals. This poses
serious challenges in the spatial registration of structural MR images. In this
study, we addressed this open issue by proposing an enhanced framework for MR
registration and segmentation. Our solution was compared with other approaches
based on the tools available in SPM12, a widely used software package.
Performance of the different methods was assessed on 229 T1-weighted images
collected in healthy individuals, with age ranging between 55 and 90 years old.
Our method showed a consistent improvement as compared to other solutions,
especially for subjects with enlarged lateral ventricles. It also provided a
superior inter-subject alignment in cortical regions, with the most marked
improvement in the frontal lobe. We conclude that our method is a valid
alternative to standard approaches based on SPM12, and is particularly suitable
for the processing of structural MR images of brains with cortical atrophy and
ventricular enlargement. The method is integrated in our software toolbox MRTool,
which is freely available to the scientific community.
PMID- 29352391
TI - Functional recovery by application of human dedifferentiated fat cells on
cerebral infarction mice model.
AB - Elderly people whose daily activities have declined due to a cerebrovascular
disorder may suffer from dysphagia and may find oral hygiene difficult.
Therefore, it is important to establish an effective therapy for the underlying
cerebrovascular disorder. Dedifferentiated fat cells (DFAT) were obtained from
mature adipocytes isolated from human buccal adipose pads in a ceiling culture.
DFAT expressed the neural markers Nestin and SOX2. Flow cytometric analysis
revealed that the cells had properties similar to mesenchymal stem cells.
Although the transplantation of DFAT did not change the infarction area and
volume ratios in a murine cerebral infarction model, functional recovery was
observed in behavioral tests. Furthermore, DFAT administered to mice were later
detected in cerebral infarctions. It therefore appears that transplanted DFAT
affect the brain after infarction and contribute to the promotion of functional
recovery. This finding may provide new cell replacement therapy options for
treating disorders of the central nervous system.
PMID- 29352392
TI - Impact of dexamethasone concentration on cartilage tissue formation from human
synovial derived stem cells in vitro.
AB - Human synovial mesenchymal stem cells (hSMSCs) are a promising cell source for
cartilage regeneration because of their superior chondrogenic potential in vitro.
This study aimed to further optimize the conditions for inducing chondrogenesis
of hSMSCs, focusing on the dose of dexamethasone in combination with transforming
growth factor-beta3 (TGFbeta3) and/or bone morphogenetic protein-2 (BMP2). When
hSMSCs-derived aggregates were cultured with TGFbeta3, dexamethasone up to 10 nM
promoted chondrogenesis, but attenuated it with heterogeneous tissue formation
when used at concentrations over than 100 nM. On the other hands, BMP2-induced
chondrogenesis was remarkably disturbed in the presence of more than 10 nM
dexamethasone along with unexpected adipogenic differentiation. In the presence
of both TGFbeta3 and BMP2, dexamethasone dose dependently promoted cartilaginous
tissue formation as judged by tissue volume, proteoglycan content, and type 2
collagen expression, whereas few adipocytes were detected in the formed tissue
when cultures were supplemented with over 100 nM dexamethasone. Even in
chondrogenic conditions, dexamethasone thus affected hSMSCs differentiation not
only toward chondrocytes, but also towards adipocytes dependent on the dose and
combined growth factor. These findings have important implications regarding the
use of glucocorticoids in in vitro tissue engineering for cartilage regeneration
using hSMSCs.
PMID- 29352394
TI - Scarce evidence of ozone effect on recent health and productivity of alpine
forests-a case study in Trentino, N. Italy.
AB - We investigated the significance of tropospheric ozone as a factor explaining
recent tree health (in terms of defoliation) and productivity (in terms of basal
area increment, BAI) in 15 ICP Forests level I and one level II plots in alpine
forests in Trentino (N. Italy). Mean daily ozone summer concentrations varied
between 30 and 72 parts per billion (ppb) leading to large exceedance of
concentration-based critical levels set to protect forest trees. Phytoxic ozone
dose (POD0) estimated at the level II plot over the period 1996-2009 was 31-61
mmol m-2 projected leaf area (PLA). The role of ozone was investigated taking
into account other site and environmental factors. Simple linear regression,
multiple linear regression (MLR, to study mean periodical defoliation and mean
periodical BAI), and linear mixed models (LMM, to study annual defoliation data)
were used. Our findings suggest that-regardless of the metric adopted
tropospheric ozone is not a significant factor in explaining recent status and
trends of defoliation and BAI in the alpine region examined. Both defoliation and
BAI are in turn driven by biotic/abiotic damage, nutritional status, DBH (assumed
as a proxy for age), and site characteristics. These results contrast with
available ozone-growth dose response relationships (DRRs) and other observational
studies. This may be due to a variety of concurrent reasons: (i) DRRs developed
for individual saplings under controlled condition are not necessarily valid for
population of mature trees into real forest ecosystems; (ii) some observational
studies may have suffered from biased design; and (iii) since alpine forests have
been exposed to high ozone levels (and other oxidative stress) over decades,
possible acclimation mechanisms cannot be excluded.
PMID- 29352393
TI - Eco-friendly pheromone dispensers-a green route to manage the European grapevine
moth?
AB - The development of environmentally sustainable control strategies to fight insect
pests is a key challenge nowadays. Pheromone-mediated mating disruption (MD) is
based on the release of synthetic sex attractants into a crop, interfering with
mate finding of a given pest species. However, a limited number of research items
have been published on the optimization of MD strategies against the European
grapevine moth, Lobesia botrana, as well as on the use of biodegradable
dispensers to reduce waste production in vineyards, despite the high economic
importance of this pest. Therefore, the present study evaluated the efficacy of
the MD products Isonet(r) L TT and the biodegradable Isonet(r) L TT BIO, applied
at various densities, in reducing L. botrana damage on grapevine in comparison to
an untreated control and the reference MD product Isonet(r) L. Experiments were
conducted in three different areas of grapevine cultivation, located in Central
and Northern Italy, over three different years. Our MD approach allowed a
reliable control of the three generations of L. botrana during the whole grape
growing season, leading to a significant reduction in the infested flower
clusters and bunches, as well as in the number of nests per flower cluster and
bunch, if compared to the untreated control. The performances of Isonet(r) L TT
BIO, Isonet(r) LTT, and Isonet(r) L did not differ in terms of infested flower
clusters/bunches, as well as nests per flower cluster/bunch. This was confirmed
in all experimental sites over 3 years of field experiments. Overall, the present
research provides useful information for the optimization of MD programs against
L. botrana, highlighting the interesting potential of biodegradable pheromone
dispensers that can be easily applied at low densities in vineyards, reducing the
use of chemical pesticides to control moth pests.
PMID- 29352396
TI - The Association Between the Intersection of Immigrant Status and Insurance with
Adverse Birth Outcomes Among Mexican Women Residing in the San Joaquin Valley: A
Mediation Analysis of Late Initiation or No Prenatal Care.
AB - Latinos are the largest growing population and have the highest fertility rates
in the US. In response, this study assessed if late initiation of or no prenatal
care (PNC) mediated the relationship among adverse birth outcomes and
interactions between immigrant and insurance status. This study used cross
sectional data (2002-2004) limited to 109,399 women of Mexican ethnicity who had
singleton births in the San Joaquin Valley, California. We conducted hierarchical
mediation analyses. US-born Mexican women who used private or public insurance
for PNC were more likely to have infants born at low-birth weight and premature
compared to Mexican first generation immigrant women. Nonetheless, initiation of
late or no PNC positively mediated the relationship between infants born
premature to Mexican first generation immigrant women who used public insurance
(ab/se(ab) = 2.123, p = .034). Findings from this study support acculturation
theory and the need for multilevel approaches to address PNC among women of
Mexican ethnicity.
PMID- 29352397
TI - Bio-prospectus of cadmium bioadsorption by lactic acid bacteria to mitigate
health and environmental impacts.
AB - Foodstuffs and water are the key sources of cadmium biomagnifiaction. The
available strategies to mitigate this problem are unproductive and expensive for
practical large-scale use. Biological decontamination of metals through
environmental microbes has been known since long time, whereas lactic acid
bacteria (LAB) have not been extensively studied for this purpose. The LAB are
known for maintaining homeostasis and suppression of pathogens in humans and
animals. They also play a vital role in bioremediation of certain heavy metals.
Recently in-vivo research findings strongly complement the in-vitro results in
relation to decreased total body cadmium burden in animal model. This review
summarizes the currently available information on impact of toxic metal (Cd) on
human and animal health as well as cadmium sequestration through microbes placed
broadly, whereas preeminent attention grabbed on LAB-cadmium interaction to
explore their possible role in bioremediation of cadmium from foods and
environment to safeguard human as well as environment health.
PMID- 29352395
TI - Association between CRP genetic diversity and bipolar disorder comorbid
complications.
AB - BACKGROUND: Chronic low-grade inflammation is believed to contribute, at least in
a subset of patients, to the development of bipolar disorder (BD). In this
context, the most investigated biological marker is the acute phase response
molecule, C-reactive protein (CRP). While the genetic diversity of CRP was amply
studied in various pathological settings, little is known in BD. METHODS: 568 BD
patients along with 163 healthy controls (HC) were genotyped for the following
single-nucleotide polymorphisms (SNPs) on the CRP gene: intron rs1417938 (+ 29)
T/A, 3'-UTR rs1130864 (+ 1444) G/A, and downstream rs1205 (+ 1846) (C/T). The
statistical analysis was performed using Chi-square testing and consisted of
comparisons of allele/genotype frequencies between patients and controls and
within patient sub-groups according to BD clinical phenotypes and the presence of
thyroid disorders. RESULTS: We found that the frequencies of the studied SNPs
were similar in BD and HC groups. However, the CRP rs1130864 A allele carrier
state was significantly more frequent: (i) in BD patients with thyroid disorders
than in those without (pc = 0.046), especially among females (pc = 0.01) and
independently of lithium treatment, (ii) in BD patients with rapid cycling than
in those without (pc = 0.004). CONCLUSIONS: Overall, our findings suggest the
possibility that CRP genetic diversity may contribute to the development of auto
immune comorbid disorders and rapid cycling, both proxy of BD severity. Such
findings, if replicated, may allow to predict complex clinical presentations of
the disease, a possible step towards precision medicine in psychiatry.
PMID- 29352398
TI - Efficient biosynthesis of L-phenylglycine by an engineered Escherichia coli with
a tunable multi-enzyme-coordinate expression system.
AB - Whole-cell catalysis with co-expression of two or more enzymes in a single host
as a simple low-cost biosynthesis method has been widely studied and applied but
hardly with regulation of multi-enzyme expression. Here we developed an efficient
whole-cell catalyst for biosynthesis of L-phenylglycine (L-Phg) from
benzoylformic acid through co-expression of leucine dehydrogenase from Bacillus
cereus (BcLeuDH) and NAD+-dependent mutant formate dehydrogenase from Candida
boidinii (CbFDHA10C) in Escherichia coli with tunable multi-enzyme-coordinate
expression system. By co-expressing one to four copies of CbFDHA10C and
optimization of the RBS sequence of BcLeuDH in the expression system, the ratio
of BcLeuDH to CbFDH in E. coli BL21/pETDuet-rbs 4 leudh-3fdh A10C was finally
regulated to 2:1, which was the optimal one determined by enzyme-catalyzed
synthesis. The catalyst activity of E. coli BL21/pETDuet-rbs 4 leudh-3fdh A10C
was 28.4 mg L-1 min-1 g-1 dry cell weight for L-Phg production using whole-cell
transformation, it's was 3.7 times higher than that of engineered E. coli without
enzyme expression regulation. Under optimum conditions (pH 8.0 and 35 degrees
C), 60 g L-1 benzoylformic acid was completely converted to pure chiral L-Phg in
4.5 h with 10 g L-1 dry cells and 50.4 g L-1 ammonium formate, and with
enantiomeric excess > 99.9%. This multi-enzyme-coordinate expression system
strategy significantly improved L-Phg productivity and demonstrated a novel low
cost method for enantiopure L-Phg production.
PMID- 29352399
TI - Predictors of Retention in an Alcohol and Risky Sex Prevention Program for
Homeless Young Adults.
AB - Homeless young adults are at risk for alcohol and other drug (AOD) use and risky
sexual behavior. Interventions are needed to help these young people reduce their
risky behavior, but this population is often difficult to engage and retain in
services. We offered a four-session AOD and risky sex reduction program to 100
participants and examined if retention in the program was predicted by a number
of factors: demographics, homelessness severity, other service use, AOD
behaviors, mental health symptoms, sexual risk behaviors, and readiness to change
AOD and condom use. Nearly half (48%) of participants completed all sessions. In
bivariate analyses, participants were significantly less likely to be retained in
the program if they had slept outdoors in the past month, engaged in more alcohol
and marijuana use, experienced more alcohol-related consequences, and received
the program in an urban drop-in center (as opposed to a drop-in center near the
beach). When controlling for all significant bivariate relationships, only
sleeping outdoors and receipt of the program in the urban setting predicted fewer
sessions completed. The most endorsed reasons for program non-completion were
being too busy to attend and inconvenient day/time of the program. Findings can
help outreach staff and researchers better prepare methods to engage higher risk
homeless youth and retain them in services. Finding unique ways to help youth
overcome barriers related to location of services appears especially necessary,
perhaps by bringing services to youth where they temporarily reside or offering
meaningful incentives for program attendance.
PMID- 29352400
TI - Project Date SMART: a Dating Violence (DV) and Sexual Risk Prevention Program for
Adolescent Girls with Prior DV Exposure.
AB - : This study assessed the initial feasibility, acceptability, and efficacy of an
intervention aimed at reducing dating violence and sexual risk behavior in a
sample of adolescent girls (ages 14-17) with prior exposure to physical dating
violence (DV). One hundred and nine girls were randomly assigned to Date SMART
(Skills to Manage Aggression in Relationships for Teens) or a Knowledge-only (KO)
comparison group. Both intervention arms consisted of six, weekly 2-h sessions
and one "booster" session 6 weeks later. Based on principles of cognitive
behavioral therapy, the Date SMART intervention was designed to target common
underlying skills deficits linked to both DV and sexual risk behavior in
adolescent females: depression, self-regulation deficits, and interpersonal
skills deficits. Assessments were administered at four time points (baseline, 3,
6, and 9 months). The Date SMART group was effective as reducing sexual DV
involvement across the 9-month follow-up period. Both groups evidenced clinically
meaningful reductions in physical, emotional, and digital DV involvement, total
time in dating relationships, as well as reductions in depression. Findings
indicate that delivering a DV and sexual risk prevention intervention to DV
affected adolescent girls is feasible and well-received. Furthermore, a skills
based approach that addresses the co-occurrence of DV and sexual risk behavior
may be particularly useful for promoting reductions of sexual DV among high-risk
adolescent girls. A future, large-scale trial with an inactive comparison
condition is needed to evaluate the efficacy of Date SMART further. TRIAL
REGISTRATION: Clinical Trials, NCT01326195, and http://www.clinicaltrials.gov.
PMID- 29352402
TI - Erratum to: Accessory navicular bone: when ankle pain does not originate from the
ankle.
PMID- 29352401
TI - Does Giving Parents Their Choice of Interventions for Child Behavior Problems
Improve Child Outcomes?
AB - Empowering consumers to be active decision-makers in their own care is a core
tenet of personalized, or precision medicine. Nonetheless, there is a dearth of
research on intervention preferences in families seeking interventions for a
child with behavior problems. Specifically, the evidence is inconclusive as to
whether providing parents with choice of intervention improves child/youth
outcomes (i.e., reduces externalizing problems). In this study, 129 families
presenting to community mental health clinics for child conduct problems were
enrolled in a doubly randomized preference study and initially randomized to
choice or no-choice conditions. Families assigned to the choice condition were
offered their choice of intervention from among three different formats of the
Parent Management Training-Oregon Model/PMTO (group, individual clinic, home
based) and services-as-usual (child-focused therapy). Those assigned to the no
choice condition were again randomized, to one of the four intervention
conditions. Intent-to-treat analyses revealed partial support for the effect of
parental choice on child intervention outcomes. Assignment to the choice
condition predicted teacher-reported improved child hyperactivity/inattention
outcomes at 6 months post-treatment completion. No main effect of choice on
parent reported child outcomes was found. Moderation analyses indicated that
among parents who selected PMTO, teacher report of hyperactivity/inattention was
significantly improved compared with parents selecting SAU, and compared with
those assigned to PMTO within the no-choice condition. Contrary to hypotheses,
teacher report of hyperactivity/inattention was also significantly improved for
families assigned to SAU within the no-choice condition, indicating that within
the no-choice condition, SAU outperformed the parenting interventions.
Implications for prevention research are discussed.
PMID- 29352403
TI - Micronized Zaleplon Delivery via Orodispersible Film and Orodispersible Tablets.
AB - The following research study focuses on improving the solubility of zaleplon (BCS
class II drug) via micronization technique in order to enhance its oral delivery
in orodispersible formulations. Zaleplon along with a surfactant solution was
micronized by ultrasonication. The micronization process reduced the particle
size of the crystalline drug about six-fold from its original size of 155.5 MUm.
The micronized zalepon dispersion was lyophilized to allow for a change in the
state of matter (to a powder). The superior dissolution parameters (Q5, Q30, IDR,
MDR, MDT, DE, and RDR) of zaleplon in microcrystalline form over the original
crystalline form in in vitro dissolution studies had unraveled that micronization
technique is an efficient tool in enhancing drug solubility. The micronized
zaleplon solid dispersion (after lyophilization) was loaded into orodispersible
tablet (ODT) and orodispersible film (ODF) formulations. The positive quality of
ODT with adequate hardness and smooth texture was attributing to the presence of
Pearlitol Flash(r) as a ready to use ODT platform. On the other hand, the ODF
loaded with micronized zaleplon and prepared with Lycoat(r) RS 720 (as a film
former) ensured adequate tensile strength. The disintegration time of ODT and ODF
was 30 +/- 5 and 35 +/- 5 s, respectively. Thus, the orodispersible formulations
containing micronized zaleplon have a strong potential for rapid disintegration
following superior absorption in solution state through oral cavity into the
blood stream, envisaging better oral delivery.
PMID- 29352404
TI - Mechanisms Linking Obesity and Thyroid Cancer Development and Progression in
Mouse Models.
AB - Recent compelling epidemiological studies indicate a strong association of
obesity with thyroid cancer. Obesity has been shown to promote thyroid cancer
progression and exacerbate poor outcome in thyroid cancer patients. However, the
molecular mechanisms by which obesity increases thyroid cancer risk and
facilitates cancer progression are not completely understood. Obesity induces
complex pathological changes including hyperglycemia, hyperinsulinemia,
hyperlipidemia, oxidative stress, adipokines, and inflammatory responses. These
changes can affect the development and progression of cancer through highly
complex interactions in vivo. The deleterious effect of obesity may differ
according to the different cancer types. In view of the increased incidence of
thyroid cancer in parallel with the widespread occurrence of obesity in the past
decades, it is imperative to clarify how obesity affects thyroid carcinogenesis.
This review focuses on molecular mechanisms by which obesity aggravates thyroid
carcinogenesis as elucidated by mouse models of thyroid cancer.
PMID- 29352405
TI - Virus Particle Detection by Convolutional Neural Network in Transmission Electron
Microscopy Images.
AB - A new computational method for the detection of virus particles in transmission
electron microscopy (TEM) images is presented. Our approach is to use a
convolutional neural network that transforms a TEM image to a probabilistic map
that indicates where virus particles exist in the image. Our proposed approach
automatically and simultaneously learns both discriminative features and
classifier for virus particle detection by machine learning, in contrast to
existing methods that are based on handcrafted features that yield many false
positives and require several postprocessing steps. The detection performance of
the proposed method was assessed against a dataset of TEM images containing
feline calicivirus particles and compared with several existing detection
methods, and the state-of-the-art performance of the developed method for
detecting virus was demonstrated. Since our method is based on supervised
learning that requires both the input images and their corresponding annotations,
it is basically used for detection of already-known viruses. However, the method
is highly flexible, and the convolutional networks can adapt themselves to any
virus particles by learning automatically from an annotated dataset.
PMID- 29352406
TI - Does biopsy needle traversing through central portion of lesion increase the risk
of hemoptysis during percutaneous transthoracic needle biopsy?
AB - PURPOSE: To evaluate whether traversal through the central part of a pulmonary
lesion by a biopsy needle, and other factors, increases the risk of hemoptysis in
patients undergoing CT-guided percutaneous transthoracic needle biopsy (PTNB).
MATERIALS AND METHODS: From July 2012 to November 2016, 227 patients undergoing
233 procedures were recruited as our study population. Patients were classified
according to the occurrence of hemoptysis. Radiological assessments were
performed by reviewing multiplanar reconstructed CT images. Other factors
complicating PTNB-related hemoptysis were classified into (1) patient-related
variables: age, gender, presence of emphysema; (2) lesion-related variables:
size, location, distance to pleura, characteristics, presence of and degree of
enhancement, histopathology of biopsy results; and (3) procedure-related
variables: lesion depth, patient's body position. RESULTS: Twenty-two cases
(9.4%) experienced hemoptysis. Univariate analysis revealed that subsolid lesions
(p = 0.031) and lesion depth > 1 cm (p = 0.049) were risk factors. Traversal
through the central part of the lesion by the biopsy needle was not a risk
factor. CONCLUSION: Traversal through the central part of the lesion by the
biopsy needle is not a risk factor of PTNB-related hemoptysis, but subsolid
lesions and lesion depth > 1 cm increase the risk of hemoptysis.
PMID- 29352407
TI - [Rhegmatogenous retinal detachment : Epidemiology and risk factors].
AB - The incidence of rhegmatogenous retinal detachment in the European population is
approximately 10 cases per 100,000 inhabitants per year and reaches a peak in the
6th and 7th decades of life, mostly in atemporal association with posterior
vitreous body detachment. Known risk factors include myopia and higher axial
length of the eye, male gender, previous trauma and vitreoretinal degeneration or
dystrophy. In recent years, an increase in the risk of rhegmatogenous retinal
detachment following cataract surgery was also found, especially after capsule
rupture and vitreous body loss. In contrast to clear lens extraction (CLE), the
refractive intervention of laser in situ keratomileusis (LASIK) does not seem to
increase the risk of rhegmatogenous retinal detachment. Retinal detachment
stabilizes during physical protection and posturing but progresses through bodily
activity and during interruptions in patients with macula-on retinal detachment.
PMID- 29352408
TI - [Placoid chorioretinal foci of inflammation in combination with prominent hili].
PMID- 29352410
TI - First detection of murine herpesvirus 68 in adult Ixodes ricinus ticks.
AB - Murine herpesvirus 68 (MHV-68) is a natural pathogen that infects murid rodents,
which serves as hosts for Ixodes ricinus ticks. For the first time, MHV-68 was
detected in immature I. ricinus ticks feeding on Lacerta viridis lizards trapped
in Slovakia, which supports the idea that ticks can acquire the virus from
feeding on infected hosts. The recent discovery of MHV-68 infection and MHV-68 M3
gene transcripts in Dermacentor reticulatus ticks collected in Slovakia also
supports this suggestion. Here, for the first time, we report MHV-68 infection,
which was detected by nested PCR, in I. ricinus adults collected from the
vegetation, and the viral load in infected ticks was determined by quantitative
PCR. The viral incidence in ticks was 38.1% (21/55), and the viral load varied
from 1.5 * 103 to 2.85 * 104 genome copies per tick. These results suggest that
the I. ricinus ticks became infected with MHV-68 from biting infected rodents;
thus, I. ricinus ticks may play a role in the spread of this virus in nature.
PMID- 29352411
TI - The impact of using an upper-limb prosthesis on the perception of real and
illusory weight differences.
AB - Little is known about how human perception is affected using an upper-limb
prosthesis. To shed light on this topic, we investigated how using an upper-limb
prosthesis affects individuals' experience of object weight. First, we examined
how a group of upper-limb amputee prosthetic users experienced real mass
differences and illusory weight differences in the context of the 'size-weight'
illusion. Surprisingly, the upper-limb prosthetic users reported a markedly
smaller illusion than controls, despite equivalent perceptions of a real mass
difference. Next, we replicated this dissociation between real and illusory
weight perception in a group of nonamputees who lifted the stimuli with an upper
limb myoelectric prosthetic simulator, again noting that the prosthetic users
experienced illusory, but not real, weight differences as being weaker than
controls. These findings not only validate the use of a prosthetic simulator as
an effective tool for investigating perception and action but also highlight a
surprising dissociation between the perception of real and illusory weight
differences.
PMID- 29352409
TI - Recent perspectives on the molecular basis of biofilm formation by Pseudomonas
aeruginosa and approaches for treatment and biofilm dispersal.
AB - Pseudomonas aeruginosa, a Gram-negative, rod-shaped bacterium causes widespread
diseases in humans. This bacterium is frequently related to nosocomial infections
such as pneumonia, urinary tract infections (UTIs) and bacteriaemia especially in
immunocompromised patients. The current review focuses on the recent perspectives
on biofilms formation by these bacteria. Biofilms are communities of
microorganisms in which cells stick to each other and often adhere to a surface.
These adherent cells are usually embedded within a self-produced matrix of
extracellular polymeric substance (EPS). Pel, psl and alg operons present in P.
aeruginosa are responsible for the biosynthesis of extracellular polysaccharide
which plays an important role in cell surface interactions during biofilm
formation. Recent studies suggested that cAMP signalling pathway, quorum-sensing
pathway, Gac/Rsm pathway and c-di-GMP signalling pathway are the main mechanism
that leads to the biofilm formation. Understanding the bacterial virulence
depends on a number of cell-associated and extracellular factors and is very
essential for the development of potential drug targets. Thus, the review focuses
on the major genes involved in the biofilm formation, the state of art update on
the biofilm treatment and the dispersal approaches such as targeting adhesion and
maturation, targeting virulence factors and other strategies such as small
molecule-based inhibitors, phytochemicals, bacteriophage therapy, photodynamic
therapy, antimicrobial peptides and natural therapies and vaccines to curtail the
biofilm formation by P. aeruginosa.
PMID- 29352412
TI - Management regime is the most important factor influencing ectomycorrhizal
species community in Norway spruce forests after windthrow.
AB - Ectomycorrhizal (ECM) fungi, as symbionts of many tree species in temperate
forests, are thought to play an important role in forest regeneration processes
after large disturbances. Their reaction to different disturbance and management
regimes was studied in spruce forests (Lariceto-Piceetum) 10 years after a severe
windthrow in the Tatra National Park (Slovak Republic). ECM community structure
was compared between different "management types"-cleared area (EXT), area
affected by wildfire (FIRE), uncleared area left for natural development (NEX),
and mature forest as a control (REF). Based on Illumina sequencing of soil
samples, we determined that the percentage of sequences assigned to ECM fungi
decreased with increasing disturbance and management intensity (REF -> NEX -> EXT
-> FIRE). Similarly, the total number of ECM species per each of ten sampling
points per plot (100 ha) differed between managed (EXT-11 species, FIRE-9) and
unmanaged (NEX-16, REF-14) treatments. On the other hand, the percentage of
sequences belonging to ericoid mycorrhizal fungi increased. Management type
significantly influenced the composition of the ECM community, while vegetation
and soil characteristics explained less data variation. The ECM species
assemblage of the unmanaged site (NEX) was the most similar to the mature forest,
while that of the burnt site was the most different. Thelephora terrestris
dominated in all treatments affected by windthrow, accompanied by Tylospora
fibrillosa (NEX) and Tylospora asterophora (EXT and FIRE). Management regime was
also the most important factor affecting ECM species composition on the roots of
spruce seedlings assessed by Sanger sequencing.
PMID- 29352414
TI - Transthoracic echocardiographic evaluation of the heart and great vessels.
AB - PURPOSE: Transthoracic examination of the heart and great vessels is an essential
skill that allows the anesthesiologist to evaluate cardiac function. In this
article, we describe a pragmatic technique to obtain the essential views to
evaluate normal or abnormal cardiac function and to appreciate great vessel
anatomy and physiology. PRINCIPAL FINDINGS: The cardiac anatomy and function can
be described using standard parasternal, apical, and subcostal views. These
windows can also be used to assess the aorta, pulmonary artery, and vena cavae;
however, other transthoracic and abdominal windows can be used to complete the
evaluation of the great vessels. CONCLUSIONS: The integration of the
echocardiographic information particularly from the heart and great vessels with
the case story, physical examination, laboratory data, and other relevant
clinical information should become the way of the future, and this will benefit
the patients under our care.
PMID- 29352415
TI - Point-of-care ultrasound: a protean opportunity for perioperative care.
PMID- 29352416
TI - Point-of-care ultrasound in pediatric anesthesiology and critical care medicine.
AB - Ultrasound has increasingly become a clinical asset in the hands of the
anesthesiologist and intensivist who cares for children. Though many applications
for ultrasound parallel adult modalities, children as always are not simply small
adults and benefit from the application of ultrasound to their management in
various ways. Body composition and size are important factors that affect
ultrasound performance in the child, as are the pathologies that may uniquely
afflict children and aspects of procedures unique to this patient population.
Ultrasound simplifies vascular access and other procedures by visualizing
structures smaller than those in adults. Maturation of the thoracic cage presents
challenges for the clinician performing pulmonary ultrasound though a greater
proportion of the thorax can be seen. Moreover, ultrasound may provide unique
solutions to sizing the airway and assessing it for cricothyroidotomy. Though
cardiac ultrasound and neurosonology have historically been performed by well
developed diagnostic imaging services, emerging literature stresses the utility
of clinician ultrasound in screening for pathology and providing serial
observations for monitoring clinical status. Use of ultrasound is growing in
clinical areas where time and diagnostic accuracy are crucial. Implementation of
ultrasound at the bedside will require institutional support of education and
credentialing. It is only natural that the pediatric anesthesiologist and
intensivist will lead the incorporation of ultrasound in the future practice of
these specialties.
PMID- 29352417
TI - Can we prevent significant brain desaturation during defibrillator testing by
increasing the brain saturation reserve?
PMID- 29352418
TI - Effect of Patient Experience on Bypassing a Primary Care Gatekeeper: a
Multicenter Prospective Cohort Study in Japan.
AB - BACKGROUND: To discuss how best to implement the gatekeeping functionality of
primary care; identifying the factors that cause patients to bypass their primary
care gatekeepers when seeking care should be beneficial. OBJECTIVE: To examine
the association between patient experience with their primary care physicians and
bypassing them to directly obtain care from higher-level healthcare facilities.
DESIGN AND METHODS: This prospective cohort study was conducted in 13 primary
care clinics in Japan. We assessed patient experience of primary care using the
Japanese version of Primary Care Assessment Tool (JPCAT), which comprises six
domains: first contact, longitudinality, coordination, comprehensiveness
(services available), comprehensiveness (services provided), and community
orientation. The primary outcome was the patient bypassing their usual primary
care physician to seek care at a hospital, with this occurring at least once in a
year. We used a Bayesian hierarchical model to adjust clustering within clinics
and individual covariates. KEY RESULTS: Data were analyzed from 205 patients for
whom a physician at a clinic served as their usual primary care physician. The
patient follow-up rate was 80.1%. After adjustment for patients' sociodemographic
and health status characteristics, the JPCAT total score was found to be
inversely associated with patient bypass behavior (odds ratio per 1 SD increase,
0.44; 95% credible interval, 0.21-0.88). The results of various sensitivity
analyses were consistent with those of the primary analysis. CONCLUSIONS: We
found that patient experience of primary care in Japan was inversely associated
with bypassing a primary care gatekeeper to seek care at higher-level healthcare
facilities, such as hospitals. Our findings suggest that primary care providers'
efforts to improve patient experience should help to ensure appropriate use of
healthcare services under loosely regulated gatekeeping systems; further studies
are warranted.
PMID- 29352419
TI - A Systematic Review of Interventions to Follow-Up Test Results Pending at
Discharge.
AB - BACKGROUND: Patients are frequently discharged from the hospital before all test
results have been finalized. Thirty to 40% of tests pending at discharge (TPADs)
return potentially actionable results that could necessitate change in the
patients' management, often unbeknownst to their physicians. Delayed follow-up of
TPADs can lead to patient harm. We sought to synthesize the existing literature
on interventions intended to improve the management of TPADs, including
interventions designed to enhance documentation of TPADs, increase physician
awareness when TPAD results finalize post-discharge, decrease adverse events
related to missed TPADs, and increase physician satisfaction with TPAD
management. METHODS: We searched Medline, EMBASE, CINAHL, Cochrane Database of
Systematic Reviews, Cochrane Database of Controlled Clinical Trials and Medline
(January 1, 2000-November 10, 2016) for randomized controlled trials and
prospective, controlled observational studies that evaluated interventions to
improve follow-up of TPADs for adult patients discharged from acute care
hospitals or emergency department settings. From each study we extracted
characteristics of the intervention being evaluated and its impact on TPAD
management. RESULTS: Nine studies met the criteria for inclusion. Six studies
evaluated electronic discharge summary templates with a designated field for
documenting TPADs, and three of six of these studies reported a significant
improvement in documentation of TPADs in discharge summaries in pre- and post
intervention analysis. One study reported that auditing discharge summaries and
providing feedback to physicians were associated with improved TPAD documentation
in discharge summaries. Two studies found that email alerts when TPADs were
finalized improved physicians' awareness of the results and documentation of
their follow-up actions. Of the four studies that assessed patient morbidity, two
showed a positive effect; however, none specifically measured the impact of their
interventions on downstream patient harm due to delayed follow-up of TPADs. Three
studies surveyed physicians' attitudes towards the interventions, of which two
studies reported improved physician satisfaction with TPAD management with the
implementation of an enhanced discharge template and a notification system when
TPADs finalize. DISCUSSION: Discharge summary templates, educational
interventions for discharging physicians, and email alerts when TPAD results are
finalized show promise in improving management of TPADs. Given the complexity of
the processes necessary to ensure follow-up of TPADs, rigorous evaluations of
multifaceted interventions (e.g., improved discharge documentation of TPADs
combined with email alerts when results become available) is needed.
PMID- 29352420
TI - Diagnosis and Management of Hepatitis C Infection in Primary Care Settings.
AB - Hepatitis C virus (HCV) infection is a significant health problem worldwide, and
is the leading cause of cirrhosis, hepatocellular carcinoma, and liver
transplantation in the United States. The management of HCV has changed
significantly over the last 5 years, as treatments have become simpler and more
efficacious. Medication efficacy is now greater than 90%, with a high barrier to
resistance and few side effects. This review is a collaboration between primary
care and hepatology providers to explore all aspects of HCV management: acute
versus chronic HCV infection, transmission and testing, and diagnosis and
treatment. Specific medications for the treatment of HCV infection are
considered, and patient and medication factors including genotype, liver disease
status, and comorbidities affecting medication choice are discussed. This is a
new era for the management of HCV infection, and interested primary care
physicians, family doctors, and general internists can be at the forefront of
diagnosis, management, and treatment of HCV.
PMID- 29352421
TI - Predictors of Insulin Initiation in Patients with Type 2 Diabetes: An Analysis of
the Look AHEAD Randomized Trial.
AB - BACKGROUND: The decision to initiate insulin in patients with type 2 diabetes is
a challenging escalation of care that requires an individualized approach.
However, the sociodemographic and clinical factors affecting insulin initiation
are not well understood. OBJECTIVE: We sought to identify patient factors that
were independent predictors of insulin initiation among participants in the Look
AHEAD (Action for Health in Diabetes) clinical trial. DESIGN: Retrospective
analysis of a randomized clinical trial. PARTICIPANTS: Beginning in 2001, Look
AHEAD enrolled ambulatory U.S. adults with type 2 diabetes who were overweight or
obese and had a primary healthcare provider. Participants were randomized (1:1)
to an intensive lifestyle intervention, or diabetes support and education. This
study examined 3913 participants across the two trial arms who were not using
insulin at baseline. MAIN MEASURES: We used Cox proportional hazards models to
estimate the association between participant characteristics and time to insulin
initiation. We performed time-varying adjustment for HbA1c measured eight times
over the 10-year study period, as well as for multiple clinical and socioeconomic
factors. KEY RESULTS: A total of 1087 participants (27.8%) initiated insulin
during a median follow-up of 8.0 years. Age was inversely associated with insulin
initiation (adjusted hazard ratio [aHR] 0.88 per 10 years, P = 0.025). The risk
of insulin initiation was greater with a higher number of diabetes complications
(P < 0.001 for trend); chronic kidney disease and cardiovascular disease were
independently associated with insulin initiation. There was a lower risk of
insulin initiation in black (aHR 0.77, P = 0.008) and Hispanic participants (aHR
0.66, P < 0.001) relative to white participants. Socioeconomic factors were not
associated with insulin initiation. CONCLUSIONS: Patient age, race/ethnicity, and
diabetes complications may influence insulin initiation in type 2 diabetes,
independent of glycemic control. Future work is needed to understand the drivers
of racial differences in antihyperglycemic treatment, and to identify patients
who benefit most from insulin.
PMID- 29352423
TI - Understanding and Addressing Hair Disorders in Transgender Individuals.
AB - In the United States, an increasing number of individuals are identifying as
transgender. Males at birth who identify as females are called male-to-female
(MTF) transgender individuals or trans women, and females at birth who identify
as males are called female-to-male (FTM) transgender individuals or trans men.
The transgender patient population possess unique health concerns disparate from
those of the general populace. Exogenous hormone therapy for transgender patients
leads to changes in the distribution and pattern of hair growth. Exogenous
testosterone can lead to male pattern hair loss and hirsutism, while estrogen
therapy usually results in decreased facial and body hair growth and density. A
thorough understanding of the hormonal treatments that may be used in transgender
individuals as well the unique and complex biologic characteristics of the hair
follicle is required for appropriate diagnosis, counseling and treatment of
patients. The aim of this article is to provide a framework for understanding
hair disorders in transgender individuals and effective treatment options.
PMID- 29352424
TI - Cannabinoid CB1 and CB2 Receptors, and Monoacylglycerol Lipase Gene Expression
Alterations in the Basal Ganglia of Patients with Parkinson's Disease.
AB - Previous studies suggest that the endocannabinoid system plays an important role
in the neuropathological basis of Parkinson's disease (PD). This study was
designed to detect potential alterations in the cannabinoid receptors CB1 (CB1r)
and CB2 (A isoform, CB2Ar), and in monoacylglycerol lipase (MAGL) gene expression
in the substantia nigra (SN) and putamen (PUT) of patients with PD.
Immunohistochemical studies were performed to identify precise CB2r cellular
localization in the SN of control and PD patients. To ensure the validity and
reliability of gene expression data, the RNA integrity number (RIN) was
calculated. CB1r, CB2Ar, and MAGL gene expressions were evaluated by real-time
polymerase chain reaction (real-time PCR) using Taqman assays.
Immunohistochemical experiments with in situ proximity ligation assay (PLA) were
used to detect the precise cellular localization of CB2r in neurons, astrocytes,
and/or microglia. All RIN values from control and PD postmortem brain samples
were > 6. CB1r gene expression was unchanged in the SN but significantly higher
in the PUT of patients with PD. CB2Ar gene expression was significantly increased
(4-fold) in the SN but decreased in the PUT, whereas MAGL gene expression was
decreased in the SN and increased in the PUT. Immunohistochemical analyses
revealed that CB2r co-localize with astrocytes but not with neurons or microglial
cells in the SN. The results of the present study suggest that CB1r, CB2r, and
MAGL are closely related to the neuropathological processes of PD. Therefore, the
pharmacological modulation of these targets could represent a new potential
therapeutic tool for the management of PD.
PMID- 29352425
TI - Safety and Efficacy of Nanocurcumin as Add-On Therapy to Riluzole in Patients
With Amyotrophic Lateral Sclerosis: A Pilot Randomized Clinical Trial.
AB - The objective of present study was to assess the safety and efficacy of
nanocurcumin as an anti-inflammatory and antioxidant agent in adults with
amyotrophic lateral sclerosis (ALS). We conducted a 12-month, double-blind,
randomized, placebo-controlled trial at a neurological referral center in Iran.
Eligible patients with a definite or probable ALS diagnosis were randomly
assigned to receive either nanocurcumin (80 mg daily) or placebo in a 1:1 ratio.
A computerized random number generator was used to prepare the randomization
list. All patients and research investigators were blinded to treatment
allocation. The primary outcome was survival, and event was defined to be death
or mechanical ventilation dependency. Analysis was by intention-to-treat and
included all patients who received at least one dose of study drug. A total of 54
patients were randomized to receive either nanocurcumin (n = 27) or placebo (n =
27). After 12 months, events occurred in 1 patient (3.7%) in the nanocurcumin
group and in 6 patients (22.2%) in the placebo group. Kaplan-Meier analysis
revealed a significant difference between the study groups regarding their
survival curves (p = 0.036). No significant between-group differences were
observed for any other outcome measures. No serious adverse events or treatment
related deaths were detected. No patients withdrew as a result of drug adverse
events. The results suggest that nanocurcumin is safe and might improve the
probability of survival as an add-on treatment in patients with ALS, especially
in those with existing bulbar symptoms. Future studies with larger sample sizes
and of longer duration are needed to confirm these findings.
PMID- 29352426
TI - Commentary to: "The Effects of Coenzyme Q10 Supplementation on Blood Pressures
Among Patients with Metabolic Diseases: A Systematic Review and Meta-analysis of
Randomized Controlled Trials".
PMID- 29352427
TI - Preserved in vitro immunoreactivity in children receiving long-term
immunosuppressive therapy due to inflammatory bowel disease or autoimmune
hepatitis.
AB - BACKGROUND: Children with inflammatory bowel disease (IBD) or autoimmune
hepatitis (AIH) are at risk for severe infections. This is partially a result of
their chronic disease condition but, moreover, a side effect of their
immunosuppressive therapy. Currently, vaccinations with live vaccines are
regarded as contraindicated under immunosuppressive therapy, mainly because of
concerns about side effects and a lack of data showing an adequate immune
reaction. As there is no systematic study on the individual immunoreactivity
under immunosuppressive therapy in this patient group, we analyzed the lymphocyte
subgroups and immunoreactivity of lymphocytes in children with IBD or AIH with
and without immunosuppressive therapy in vitro. METHODS: We collected whole blood
samples from 17 children with IBD or AIH on high-level immunosuppression (IS)
(group 1) and 8 on low-level IS (group 2) in comparison with 6 patients without
systemic IS (group 3). After Ficoll separation of peripheral mononuclear cells,
the samples were analyzed by flow cytometry to determine the lymphocyte
subgroups. Furthermore, we stimulated the isolated lymphocytes with
phytohemagglutinin (PHA), tetanus antigen, and adenovirus antigen and measured
their proliferation by incorporation of H3-thymidine detected in a beta counter.
The statistical evaluation was performed by Kruskal-Wallis test and Mann-Whitney
U test using a bilateral level of significance of alpha = 5%. RESULTS: Patients
with low- or high-level IS showed no significant difference in the number of
lymphocytes or T cells. Interestingly, IS did not influence the lymphocyte
proliferation assay significantly regarding median reaction to PHA, tetanus
antigen, or adenovirus antigen between the three groups. However, comparing all
immunosuppressed patients to the patients without IS, there was a significant
difference towards stimulation with tetanus antigen. CONCLUSIONS: Contrary to
expectations of a strong influence of IS therapy on the immunoreactivity, this
study showed only minor differences between the groups with high-level, low
level, and no IS. Particularly, the in vitro reactivity to adenovirus antigen was
nearly the same in all three groups. We assume that-provided a normal
distribution and count of lymphocyte subgroups-patients with moderate
immunosuppression might be capable of raising an effective immune response to
inactivated and live vaccines.
PMID- 29352429
TI - Deciphering the Environmental Impacts on Rice Quality for Different Rice
Cultivated Areas.
AB - BACKGROUND: Rice (Oryza sativa L.) is cultivated in a wide range of climatic
conditions, and is one of mankind's major staple foods. The interaction of
environmental factors with genotype effects major agronomic traits such as yield,
quality, and resistance in rice. However, studies on the environmental factors
affecting agronomic traits are often difficult to conduct because most
environmental factors are dynamic and constantly changing. RESULTS: A series of
recombinant inbred lines (RILs) derived from an indica/japonica cross were
planted into four typical rice cultivated areas arranging from latitude N22
degrees to N42 degrees . The environmental data from the heading to mature (45
days) stages were recorded for each RIL in the four areas. We determined that
light, temperature, and humidity significantly affected the milling quality and
cooking quality overall the four areas. Within each area, these environmental
factors mainly affected the head rice ratio, grain length, alkali consumption,
and amylose and protein content. Moreover, the effect of these environmental
factors dynamically changed from heading to mature stage. Compared to light and
humidity, temperature was more stable and predictable, and night temperature
showed a stronger correlation efficiency to cooking quality than day temperature,
and the daily temperature range had contrary effects compared to day and night
temperature on grain quality. CONCLUSIONS: The present study evaluated the
critical phase during the grain filling stage by calculating the dynamic changes
of correlation efficiency between the quality traits and climate parameters. Our
findings suggest that the sowing date could be adjusted to improve rice quality
so as to adjust for environmental changes.
PMID- 29352428
TI - Hnf4alpha is involved in the regulation of vertebrate LC-PUFA biosynthesis:
insights into the regulatory role of Hnf4alpha on expression of liver fatty acyl
desaturases in the marine teleost Siganus canaliculatus.
AB - Long-chain polyunsaturated fatty acid (LC-PUFA) biosynthesis is an important
metabolic pathway in vertebrates, especially fish, considering they are the major
source of n-3 LC-PUFA in the human diet. However, most fish have only limited
capability for biosynthesis of LC-PUFA. The rabbitfish (Siganus canaliculatus) is
able to synthesize LC-PUFA as it has all the key enzyme activities required
including Delta6Delta5 Fads2, Delta4 Fads2, Elovl5, and Elovl4. We previously
reported a direct interaction between the transcription factor Hnf4alpha and the
promoter regions of Delta4 and Delta6Delta5 Fads2, which suggested that Hnf4alpha
was involved in the transcriptional regulation of fads2 in rabbitfish. For
functionally investigating it further, a full-length cDNA of 1736-bp-encoding
rabbitfish Hnf4alpha with 454 amino acids was cloned, which was highly expressed
in intestine, followed by liver and eyes. Similar to the expression
characteristics of its target genes Delta4 and Delta6Delta5 fads2, levels of
hnf4alpha mRNA in liver and eyes were higher in fish reared at low salinity than
those reared in high salinity. After the rabbitfish primary hepatocytes were,
respectively, incubated with alverine, benfluorex or BI6015, which were
anticipated agonists or antagonist for Hnf4alpha, the mRNA level of Delta6Delta5
and Delta4 fads2 displayed a similar change tendency with that of hnf4alpha mRNA.
Furthermore, when the mRNA level of hhf4alpha was knocked down using siRNA, the
expression of Delta6Delta5 and Delta4 fads2 also decreased. Together, these data
suggest that Hnf4alpha is involved in the transcriptional regulation of LC-PUFA
biosynthesis, specifically, by targeting Delta4 and Delta6Delta5 fads2 in
rabbitfish.
PMID- 29352430
TI - Correction to: Clinical Surveillance After Macroscopically Complete Surgery for
Low-Grade Appendiceal Mucinous Neoplasms (LAMN) with or Without Limited
Peritoneal Spread: Long-Term Results in a Prospective Series.
AB - In the original article Massimo Milione's last name was spelled incorrectly. It
is correct as reflected here. The original article has also been updated.
PMID- 29352431
TI - Analysis and Comparison of the 8th Edition American Joint Committee on Cancer
(AJCC) Nodal Staging System in Cutaneous and Oral Squamous Cell Cancer of the
Head and Neck.
AB - BACKGROUND: The American Joint Committee on Cancer (AJCC) uses the same nodal
staging system for cutaneous and mucosal squamous cell carcinoma of the head and
neck in its 8th edition (AJCC 8) despite differences in the etiology, risk
factors, and clinical behavior of the two diseases. This study aims to evaluate
the performance of the AJCC 8 nodal staging system by direct comparison of
cutaneous (cSCC) versus oral squamous cell carcinoma (oSCC) patients. METHODS:
Patients with metastatic cSCC (N = 382) and oSCC (N = 325) were identified from a
prospective database (years 1987-2016). Multivariable analysis was performed
using Cox proportional hazards competing risk model. To assess staging system
performance, an explained variation measure (proportion of variation explained,
PVE) as well as a discrimination measure (Harrell's concordance index, C-index)
were used. RESULTS: Inclusion of extranodal extension (ENE) in AJCC 8 increased
the proportion of patients in N3b category (48.7% in cSCC, 40.3% in oSCC). AJCC 8
stratified poorly with regards to risk of death from cSCC and oSCC and showed
limited monotonicity of the nodal categories. Estimates of model performance
revealed modest predictive capacity for overall survival (OS) and disease
specific survival (DSS) in oSCC (Harrell's C of 0.66 in both) and weak predictive
capacity in cSCC (Harrell's C of 0.58 and 0.61, respectively). CONCLUSIONS: The
AJCC 8 nodal staging system performs poorly in terms of stratifying survival by N
category, especially in cSCC. The data indicate that cSCC merits an independent
nodal staging system from that for mucosal SCC.
PMID- 29352432
TI - Effect of Membrane Composition on Receptor Association: Implications of Cancer
Lipidomics on ErbB Receptors.
AB - The association of single transmembrane receptors, such as the ErbB receptors is
a key event in initiating cell signaling networks. The interactions between these
receptors have been well characterized for both ligand-driven and pre-formed
dimers. However, the role of the membrane in modulating association is less well
understood and assumes greater importance in light of altered membrane
composition in diseased states. Here, we discuss how membrane composition has
been observed to induce both structural and dynamic differences in receptor
association. Computational studies, especially those using coarse-grain
simulations have been successful in predicting the role of the membrane and
calculating the related free energy landscapes. Membrane perturbations and
differences in lipid chain order, related to the lipophobic effect, have been
shown to play a large role in driving membrane protein association. Further, we
review lipid compositions reported in diseased conditions and its effect on
transmembrane receptor association, focusing on the ErbB growth factor receptor
dimers in cancer. Understanding the role of the membrane in receptor association
will provide general design principles driving receptor organization, as well as
help to identify novel therapeutic strategies.
PMID- 29352433
TI - Development and clinical application of Vertebral Metrics: using a stereo vision
system to assess the spine.
AB - The biomechanical changes in the spinal column are considered to be the main
responsible for rachialgia. Although radiological techniques use ionizing
radiation, they are the most applied tools to assess the biomechanics of the
spine. To face this problem, non-invasive techniques must be developed. Vertebral
Metrics is an ionizing radiation-free instrument designed to detect the 3D
position of each vertebrae in a standing position. Using a stereo vision system
combined with low intensity UV light, recognition is achieved with software
capable of distinguishing fluorescent marks. The fluorescent marks are the skin
projection of the vertex of the spinal processes. This paper presents a major
development of Vertebral Metrics and its evaluation. It performs a scan in less
than 45 s with a resolution on the order of 1 mm, in each spatial direction,
therefore, allowing an accurate analysis of the spine. The instrument was applied
to patients without associated pathology. Statistically significant differences
between consecutive scans were not found. A positive correlation between the 3D
positions of each vertebra and the homologous position of the other vertebrae was
observed. Using Vertebral Metrics, innovative results can be obtained. It can be
used in areas such as orthopedics, neurosurgery, and rehabilitation. Graphical
abstract ?.
PMID- 29352434
TI - Author Correction to: Gene Patents in Canada: Is There a New Legal Landscape?
AB - An error was subsequently identified in the article, and the following correction
should be noted.
PMID- 29352435
TI - Outcome of hip and knee periprosthetic joint infections caused by pathogens
resistant to biofilm-active antibiotics: results from a prospective cohort study.
AB - BACKGROUND: Periprosthetic joint infections (PJI) caused by pathogens, for which
no biofilm-active antibiotics are available, are often referred to as difficult
to-treat (DTT). However, it is unclear whether the outcome of DTT PJI is worse
than those of non-DTT PJI. We evaluated the outcome of DTT and non-DTT PJI in a
prospective cohort treated with a two-stage exchange according to a standardized
algorithm. METHODS: Patients with hip and knee PJI from 2013 to 2015 were
prospectively included and followed up for >= 2 years. DTT PJI was defined as
growth of microorganism(s) resistant to all available biofilm-active antibiotics.
The Kaplan-Meier survival analysis was used to compare the probability of
infection-free survival between DTT and non-DTT PJI and the 95% confidence
interval (95% CI) was calculated. RESULTS: Among 163 PJI, 30 (18.4%) were
classified as DTT and 133 (81.6%) as non-DTT. At a mean follow-up of 33 months
(range 24-48 months), the overall treatment success was 82.8%. The infection-free
survival rate at 2 years was 80% (95% CI 61-90%) for DTT PJI and 84% (95% CI 76
89%) for non-DTT PJI (p = 0.61). The following mean values were longer in DTT PJI
than in non-DTT PJI: hospital stay (45 vs. 28 days; p < 0.001), prosthesis-free
interval (89 vs. 58 days; p < 0.001) and duration of antimicrobial treatment (151
vs. 117 days; p = 0.003). CONCLUSIONS: The outcome of DTT and non-DTT PJI was
similar (80-84%), however, at the cost of longer hospital stay, longer prosthesis
free interval and longer antimicrobial treatment. It remains unclear whether
patients undergoing two-stage exchange with a long interval need biofilm-active
antibiotics. Further studies need to evaluate the outcome in patients treated
with biofilm-active antibiotics undergoing short vs. long interval.
PMID- 29352436
TI - Posterolateral tibial plateau fractures, how to buttress? Reversed L
posteromedial or the posterolateral approach: a comparative cadaveric study.
AB - INTRODUCTION: The selection of a surgical approach for buttressing posterolateral
tibial plateau fractures is controversial. OBJECTIVE: This study compared the
surgical exposure area between the reversed L posteromedial approach (R-PM) and
the posterolateral (PL) approach using the lateral plateau width as a metric.
MATERIALS AND METHODS: Twenty lower extremities from fresh frozen cadavers were
included. The R-PM approach was used first and the boundary of the posterior
tibial cortex exposure was marked with metal pins. With the same specimens, the
PL approach was then performed and the exposure area was marked. After removing
all soft tissue, an imaginary line was drawn from the lateral plateau rim
anterior to the fibular head (L) to the posteromedial ridge of the tibia (M).
Additional metal pins were used to indicate bony reference landmarks at the joint
line on the posterior tibial plateau, including the lateral tibial spine (S), the
lateral boundary with the PM approach (LPM) and the lateral boundary with the PL
approach (LPL). All distances were measured using S as the reference point.
RESULTS: The average distance from S to L, referred to as the lateral plateau
width (A), was 32.62 mm. The average distances from S to LPM (B) and from S to
LPL measured as a percentage of A were 43.72 and 81.41%, respectively. The
average R-PM approach blind distance from LPM to LPL (C) as a percentage of the
lateral plateau width was 58.45%, while the distance LPL to L (D), which
represents the invisible blind distance with both approaches, was 15.37% of that
width. CONCLUSIONS: The PL approach provides better access for buttressing the
posterolateral tibial plateau fracture than the R-PM approach. With the R-PM
approach, the blind area on the lateral plateau which can be accessed only by the
PL approach starts approximately at 43.72% and ends at 81.41% of the lateral
tibial plateau width. When a fracture is located in this zone, the posterolateral
approach is recommended.
PMID- 29352437
TI - Cost Effectiveness of Treatments for Chronic Constipation: A Systematic Review.
AB - BACKGROUND: Chronic constipation (CC) has a significant impact on patients'
quality of life and imposes an economic burden on individuals and the healthcare
system. Treatment options include dietary changes, lifestyle modifications, fibre
supplements, stool softeners, and laxatives. OBJECTIVE: We undertook this
systematic review to comprehensively evaluate the cost effectiveness of
treatments for CC. METHODS: We searched ten common databases to identify economic
evaluations published to 13 June 2017. Abstract and full-text review were
completed in duplicate. The quality of the included studies was assessed using
the Consensus on Health Economic Criteria. Data extracted included costs and
outcomes of treatments for CC and cost-effectiveness methods. A narrative
synthesis was completed. RESULTS: From the 4338 unique citations identified, 79
proceeded to full-text review, with 10 studies forming the final dataset. Eight
different definitions of CC were used to define the study populations. Study
designs used were decision-tree models (4), Markov model (1), and retrospective
(1) and prospective (4) studies. Quality-adjusted life-years (QALY) were reported
in five studies; other outcomes included, discontinuation of laxative treatment
and frequency of bowel movements. The majority of studies stated that their
results were from a payer perspective; however, some of these studies only
considered treatment costs, a subset of costs included in the payer perspective.
Lifestyle advice, dietary treatments and abdominal massage were each compared
with current care with laxatives, while polyethylene glycol (PEG) and senna-fibre
combination were each compared with lactulose. Two studies compared newer
treatments in patients who had not responded to laxatives: prucalopride was
compared with continuing laxatives, and linaclotide was compared with
lubiprostone. All of the interventions were reported by the study authors to be
cost effective, with the exception of abdominal massage. CONCLUSIONS: A
consistent definition of CC is needed and the QALY should be used to capture the
diverse symptoms of CC. Further analysis is needed comparing all available
treatments for patients who have not responded to laxatives. Overall, results
from economic evaluations appear to align with stepwise practice guidelines.
PMID- 29352438
TI - The Art of Innovation: an Interview with Yuman Fong, MD.
PMID- 29352439
TI - Single-Incision Laparoscopic Transgastric Underrunning and Closure of Cameron
Ulcers in Acute Gastrointestinal Bleeding.
AB - INTRODUCTION: Endoscopic therapy remains the cornerstone of hemostasis for
gastrointestinal bleeding. In situations where hemostasis cannot be achieved via
endoscopic or radiological methods, surgery is necessary. Traditional open
surgery for bleeding gastric ulcers can be very morbid and unsuitable especially
in hemodynamically unstable patients in extremis. We describe a minimally
invasive, transgastric approach for underrunning and closure of Cameron ulcers.
METHODS: Our patient is a 75-year-old Chinese lady, who presented to the
emergency department with fresh melena. She was hemodynamically unstable with
severe metabolic acidosis. CT angiography revealed blood clots within the
stomach, with no active blush. On urgent gastroscopy, large blood clots obscured
the site of hemorrhage. A Mallory-Weiss tear was noted. Our patient subsequently
underwent a successful single-incision laparoscopic transgastric (SLT)
underrunning and closure of two Cameron ulcers. RESULTS: Our patient had an
uneventful recovery and subsequently underwent a successful laparoscopic para
esophageal hernia repair on postoperative day 9. CONCLUSION: A SLT approach is a
suitable minimally invasive option for the surgical management of hemostasis and
closure of bleeding gastric ulcers not amenable to endoscopic or radiological
interventions.
PMID- 29352440
TI - The Limitations of Standard Clinicopathologic Features to Accurately Risk
Stratify Prognosis after Resection of Intrahepatic Cholangiocarcinoma.
AB - BACKGROUND: The ability to provide accurate prognostic data after hepatectomy for
intrahepatic cholangiocarcinoma (ICC) remains poor. We sought to develop and
validate a nomogram to predict survival, as well as investigate the clinical
implications of underestimating patients' risk of recurrence. METHODS: Patients
undergoing curative-intent resection of ICC between 1990 and 2015 at 14 major
hepatobiliary centers were included. Variables significant on multivariable
analysis were used to construct a nomogram to predict disease-free survival
(DFS). The nomogram assigned a score to each variable included in the model and
calculated the risk of recurrence. RESULTS: Eight hundred ninety-seven patients
are included in the analytic cohort. On multivariable Cox regression analysis,
tumor size > 5 cm (HR 1.98, 95% CI 1.44-2.13; p < 0.001), multifocal ICC (HR
1.64, 95% CI 1.32-2.03; p < 0.001), lymph node metastasis (HR 1.63, 95% CI 1.25
2.11; p < 0.001), poorly differentiated tumor grade (HR 1.50, 95% CI 1.21-1.89; p
< 0.001), and periductal infiltrating type (PI) morphology (HR 1.42, 95% CI 1.09
1.83; p = 0.008) were independent adverse risk factors associated with decreased
DFS. The Harrell's c-index for the nomogram was 0.633 (with n = 5000
bootstrapping resamples) and the plot comparing predicted and actuarial DFS
demonstrated a good calibration of the model. A subset of patients (n = 282) had
a DFS worse than predicted (DeltaPredicted DFS - Actuarial DFS > 6 months).
Moreover, underestimation of a recurrence risk was more common among patients
with clinicopathologic features traditionally considered "favorable." CONCLUSION:
A nomogram based on standard clinicopathologic characteristics was suboptimal in
its ability to predict accurately risk of recurrence among patients with ICC
after curative-intent liver resection. Particularly, the risk of underestimating
patient risk of recurrence was highest among patients with historically favorable
characteristics. Over one third of patients recurred > 6 months earlier than the
DFS predicted by the nomogram.
PMID- 29352442
TI - Laparoscopic and Endoscopic Cooperative Surgery Versus Endoscopic Submucosal
Dissection for the Treatment of Low-Risk Tumors of the Duodenum.
AB - BACKGROUND: There have been no comparative studies of endoscopic submucosal
dissection (ESD) and laparoscopic and endoscopic cooperative surgery (LECS) for
patients with duodenal tumors regarding surgical outcomes. The aim of this study
is to compare the safety and feasibility of short-term surgical outcomes of ESD
and LECS for patients with low-risk tumors of the duodenum. METHODS: This was a
single-center retrospective study of 68 consecutive patients with low-risk tumors
of the duodenum who received surgical treatments. ESD (n = 50) was performed
between 2000 and 2013 and LECS (n = 18) was performed between 2014 and 2017.
RESULTS: The overall incidence of perioperative complications was significantly
higher in the ESD group (28%) than in the LECS group (0%) (P = 0.014). In the ESD
group, eight patients with intraoperative perforation of duodenum (16%) were
repaired with metal clips. Postoperative duodenal hemorrhage occurred in three
patients (6%). Postoperative duodenal stricture requiring endoscopically guided
balloon dilation was observed in two patients (4%). Postoperative bile leakage
was found in one patient (2%). The size of resected lesion was significantly
larger in the LECS group (26.5 mm) than in the ESD group (14.5 mm) (P = 0.003).
In LECS group, all 18 patients underwent curative resection; however, the
curative resection rate was 52% in ESD group (P = 0.001). CONCLUSION: LECS for
patients with low-risk tumors of the duodenum is a safe, feasible, and ideal
alternative to ESD with regard to short-term surgical outcomes. TRIAL
REGISTRATION: UMIN000021200 ( http://www.umin.ac.jp/ctr/ ).
PMID- 29352441
TI - Utility of Tumor Burden Score to Stratify Prognosis of Patients with
Hepatocellular Cancer: Results of 4759 Cases from ITA.LI.CA Study Group.
AB - BACKGROUND: Dichotomous models like Milan Criteria represent the routinely used
tools for predicting the outcome of patients with hepatocellular carcinoma (HCC).
However, a paradigm shift from a dichotomous to continuous prognostic
stratification should represent a good strategy for improving the prediction
process. Recently, the tumor burden score (TBS) has been proposed for selecting
patients with colorectal liver metastases. To date, TBS has not been validated in
a large HCC population. The main objective of this study was to evaluate the
prognostic power of TBS in an HCC population treated with different curative and
palliative modalities. METHODS: Prospectively collected data from consecutive HCC
patients managed in 24 institutions participating in the ITA.LI.CA group between
Jan 2002 and Mar 2015 were analyzed (n = 4759). A sub-analysis focused on 3909
patients with the radiological evidence of vascular invasion or metastatic
disease was also performed. RESULTS: TBS demonstrated the best discriminative
ability when compared to MC and other tumor-specific scores. At multivariable Cox
regression analysis, TBS was an independent risk factor of overall survival, with
a 6% increased risk for patient death for each point increase in TBS. At survival
analysis, when TBS >= 8 was connected with MELD >= 15 and alpha-fetoprotein >=
1000 ng/mL, patients presenting all these three risk factors presented the worst
results (p value < 0.0001). CONCLUSIONS: Survival prediction of HCC patients was
very well done using TBS model, even stratifying the population in relation to
the presence of metastases and/or vascular invasion. TBS model was the best in
terms of discriminatory ability and goodness of fit when compared with other
continuous or binary variables. Its incorporation in a model composed by tumor-
and liver function-related variables further increases its survival prediction.
PMID- 29352443
TI - Baculovirus-based gene silencing of Humanin for the treatment of pituitary
tumors.
AB - Pituitary tumors are the most common primary intracranial neoplasms. Humanin (HN)
and Rattin (HNr), a rat homolog of HN, are short peptides with a cytoprotective
action. In the present study, we aimed to evaluate whether endogenous HNr plays
an antiapoptotic role in pituitary tumor cells. Thus, we used RNA interference
based on short-hairpin RNA (shRNA) targeted to HNr (shHNr). A plasmid including
the coding sequences for shHNr and dTomato fluorescent reporter gene was
developed (pUC-shHNr). Transfection of somatolactotrope GH3 cells with pUC-shHNr
increased apoptosis, suggesting that endogenous HNr plays a cytoprotective role
in pituitary tumor cells. In order to evaluate the effect of blockade of
endogenous HNr expression in vivo, we constructed a recombinant baculovirus (BV)
encoding shHNr (BV-shHNr). In vitro, BV-shRNA was capable of transducing more
than 80% of GH3 cells and decreased HNr mRNA. Also, BV-shHNr increased apoptosis
in transduced GH3 cells. Intratumor injection of BV-shHNr to nude mice bearing
s.c. GH3 tumors increased the number of apoptotic cells, delayed tumor growth and
enhanced survival rate, suggesting that endogenous HNr may be involved in
pituitary tumor progression. These preclinical data suggests that the silencing
of HN expression could have a therapeutic impact on the treatment of pituitary
tumors.
PMID- 29352444
TI - Activation of the Anti-Aging and Cognition-Enhancing Gene Klotho by CRISPR-dCas9
Transcriptional Effector Complex.
AB - Multiple lines of evidence show that the anti-aging and cognition-enhancing
protein Klotho fosters neuronal survival, increases the anti-oxidative stress
defense, and promotes remyelination of demyelinated axons. Thus, upregulation of
the Klotho gene can potentially alleviate the symptoms and/or prevent the
progression of age-associated neurodegenerative diseases such as Alzheimer's
disease and demyelinating diseases such as multiple sclerosis. Here we used a
CRISPR-dCas9 complex to investigate single-guide RNA (sgRNA) targeting the Klotho
promoter region for efficient transcriptional activation of the Klotho gene. We
tested the sgRNAs within the - 1 to - 300 bp of the Klotho promoter region and
identified two sgRNAs that can effectively enhance Klotho gene transcription. We
examined the transcriptional activation of the Klotho gene using three different
systems: a Firefly luciferase (FLuc) and NanoLuc luciferase (NLuc) coincidence
reporter system, a NLuc knock-in in Klotho 3'-UTR using CRISPR genomic editing,
and two human cell lines: neuronal SY5Y cells and kidney HK-2 cells that express
Klotho endogenously. The two sgRNAs enhanced Klotho expression at both the gene
and protein levels. Our results show the feasibility of gene therapy for
targeting Klotho using CRISPR technology. Enhancing Klotho levels has a
therapeutic potential for increasing cognition and treating age-associated
neurodegenerative, demyelinating and other diseases, such as chronic kidney
disease and cancer.
PMID- 29352445
TI - Effect of Sevoflurane Anesthesia on Brain Is Mediated by lncRNA HOTAIR.
AB - Postoperative cognitive dysfunction in elderly patients has been related to
neurodegenerative disorders and mortality. Sevoflurane anesthesia has been
implicated in both postoperative cognitive dysfunction and neurotoxicity. Given
the advantages of using inhaled anesthetics like sevoflurane, it is important to
understand how their usage results in neurotoxicity and subsequently devise ways
to circumvent or attenuate the anesthetic-mediated induction in neurotoxicity.
Long noncoding RNAs (LncRNAs) are a group of > 200 bp long RNAs and show specific
spatiotemporal expression profiles. Several recent reports suggest that lncRNAs
are involved in responses of the central nervous system (CNS) following acute
injuries. However, their role in sevoflurane anesthesia-mediated cognitive
dysfunction has not been studied. RNA immunoprecipitation (RIP) combined with qRT
PCR detection of six different lncRNAs showed that the HOTAIR lncRNAs were
significantly more bound to both Sin3A and coREST, both corepressors of the RE-1
silencing transcription factor, within rat hippocampus following sevoflurane
anesthesia compared with sham. Sevoflurane inhalation resulted in significant
inhibition of brain-derived neurotrophic factor (BDNF) and cognitive impairment.
Treatment with a combination of siRNAs targeting HOTAIR rescued BDNF expression
and improved cognitive responses. Taken together, our results suggest that
sevoflurane-mediated brain function impairment is at least in part mediated by
the HOTAIR lncRNA.
PMID- 29352446
TI - Mycobacterium marinum Infection in Zebrafish and Microglia Imitates the Early
Stage of Tuberculous Meningitis.
AB - Mycobacterium tuberculosis (M. tuberculosis) invading and activating microglia
causes the most serious subtypes of tuberculosis called tubercular meningitis.
However, the developmental process of tubercular meningitis, especially the early
phase, is poorly understood due to lacking well-established and well-accepted
visible models in vitro and in vivo. Here, consistent with one recent report, we
found Mycobacterium marinum (M. marinum) invade the zebrafish brain and
subsequently cause granuloma-like structures. We further showed that M. marinum,
which shares similar characteristics with M. tuberculosis, can invade microglia
and replicate in microglia, which subsequently promote the secretion of pro
inflammatory cytokines such as IL-1beta, IL-6, and TNF-alpha. M. marinum
infection in microglia can also promote autophagy, which conversely limits the
replication of M. marinum. Thus, pharmacological activation of autophagy by
rapamycin could prevent M. marinum replication. Our study provides in vivo and in
vitro models to study underlying pathogenic mechanisms of tubercular meningitis
by using M. marinum. Our results also showed that activation of autophagy could
be a meaningful way to prevent tubercular meningitis.
PMID- 29352447
TI - Long-term endocrine effects and trends in body mass index changes in patients
with childhood-onset brain tumors.
AB - As survival rates have improved owing to advances in management strategies for
pediatric brain tumors, long-term complications such as endocrine dysfunction,
have emerged as a major issue. This study investigated the long-term endocrine
effects of childhood-onset brain tumors in a large number of patients. This study
included 151 patients with brain tumors diagnosed between January 1995 and
December 2016. The following data were retrospectively reviewed: tumor location,
tumor histology, endocrine abnormalities, hypothalamic involvement on brain
imaging, treatment modalities, and trends in body mass index. The mean age at
diagnosis of patients with sellar/suprasellar (SE/SUP-SE) tumors and
supra/infratentorial (ST/IT) tumors was 9.9 +/- 4.5 and 6.5 +/- 4.2 years,
respectively. In patient with prepubertal age at diagnosis, height standard
deviation score was lower in patients with SE/SUP-SE tumors at diagnosis (P =
0.031), which was lower in patients with ST/IT tumors at the final visit (P <
0.001). The prevalence of combined pituitary hormone deficiencies was higher
among patients with SE/SUP-SE tumors than in those with ST/IT tumors (81.7 vs.
36.1%, P < 0.001). Among 98 non-obese patients with SE/SUP-SE tumors, 36.7%
developed obesity. The prevalence of combined pituitary hormone deficiencies and
obesity was higher in patients with SE/SUP-SE tumors than in those with tumors in
other locations; growth impairment was more severe in patients with ST/IT tumors.
PMID- 29352448
TI - Mesenchymal Stem Cell Deformability and Implications for Microvascular
Sequestration.
AB - Mesenchymal stem cells (MSCs) have received considerable attention in
regenerative medicine, particularly in light of prospects for targeted delivery
by intra-arterial injection. However, little is known about the mechanics of MSC
sequestration in the microvasculature and the yield pressure (PY), above which
MSCs will pass through microvessels of a given diameter. The objectives of the
current study were to delineate the dependency of PY on cell size and the
heterogeneity of cell mechanical properties and diameters (DCELL) of cultured
MSCs. To this end the transient filtration test was employed to elucidate the
mean filtration pressure () for an ensemble of pores of a given size (DPORE)
similar to in vivo microvessels. Cultured MSCs had a log-normal distribution of
cell diameters (DCELL) with a mean of 15.8 +/- 0.73 SD MUm. MSC clearance from
track-etched polycarbonate filters was studied for pore diameters of 7.3-15.4
MUm. The pressure required to clear cells from filters with 30-85 * 103 pores
rose exponentially with the ratio lambda = DCELL/DPORE for 1.1 <= lambda <= 2.2.
The clearance of cells from each filter was characterized by a log-normal
distribution in PY, with a mean filtration pressure of 0.02 <= <= 6.7 cmH2O.
For lambda <= 1.56, the yield pressure (PY) was well represented by the cortical
shell model of a cell with a viscous interior encapsulated by a shell under
cortical tension tau0 = 0.99 +/- 0.42 SD dyn/cm. For lambda > 1.56, the
characteristic of the cell population rose exponentially with lambda. Analysis of
the mean filtration pressure () of each sample suggested that the larger
diameter cells that skewed the distribution of DCELL contributed to about 20% of
the mean filtration pressure. Further, if all cells had the same deformability
(i.e., PY as a function of lambda) as the average cell population, then
would have risen an order of magnitude above the average from fivefold at lambda
= 1.56 to 200-fold at lambda = 2.1. Comparison of to published microvascular
pressures suggested that may exceed microvessel pressure drops for lambda
exceeding 2.1, and rise 14-fold above capillary pressure drop at lambda = 3
leading to 100% sequestration. However, due to the large variance of in vivo
microvascular pressures entrapment of MSCs may be mitigated. Thus it is suggested
that selecting fractions of the MSC population according to cell deformability
may permit optimization of entrapment at sites targeted for tissue regeneration.
PMID- 29352449
TI - Continuous Exposure to Microplastics Does Not Cause Physiological Effects in the
Cultivated Mussel Perna perna.
AB - The environmental impact of microplastics is a challenging theme, especially
under realistic experimental conditions. We investigated physiological responses
to 0.1-1.0 MUm PVC particles intake by the mussel Perna perna after a relative
long-term exposure (90 days) at a less extreme concentration compared with
previous studies (0.125 g/L). Microplastic intake was inferred by the presence of
PVC in the feces of mussels, and physiological damages were assessed through
ingestion rate, assimilation efficiency, growth rate, cellular and molecular
biomarkers (lysosomal integrity, lipid peroxidation, and DNA damage), and
condition index. All physiological responses showed nonsignificant effects of the
microplastics on the exposed mussels. We suggest that, despite the experimental
concentration of microplastics, mussels were able to acclimate to the exposure
through their abilities for long-term recovery and tolerance to stresses. These
data have positive implications for environmental health and in terms of human
food resource because mussel farming is a worldwide practice that heavily relies
on plastic materials, increasing the chances of microplastic exposure and mussels
contamination.
PMID- 29352450
TI - Carrier-mediated uptake of clonidine in cultured human lung cells.
AB - The lung is a preferential organ site for accumulation of lipophilic basic amine
drugs, so-called pneumophilic drugs and belonging to various pharmacological
classes, which can result in lung toxicity. In order to investigate the mechanism
involved in such pulmonary accumulation of drugs, uptake of clonidine, used here
as a prototypical basic amine drug, was characterized in cultured human lung
cells. Clonidine accumulation in lung alveolar A549 cells was found to be
temperature- and pH-dependent; it was saturable, with a Michaelis-Menten affinity
constant (Km) value of 569.4 MUM. Various pneumophilic drugs, including
amitriptyline, verapamil, propranolol, chlorpromazine, imipramine, and quinidine,
markedly cis-inhibited clonidine uptake in A549 cells, in a dose-dependent manner
for at least some of them. They additionally trans-stimulated clonidine efflux
from A549 cells, thus suggesting that they are substrates for the putative
clonidine transporter. In addition to alveolar A549 cells, bronchial epithelial
BEAS-2B cells as well as lung endothelial HULEC-5a cells were found to exhibit
clonidine accumulation abrogated by amitriptyline, verapamil, and chlorpromazine.
Taken together, these data likely provided evidence for carrier-mediated uptake
of clonidine in human lung cells. This carrier, which remains to be molecularly
identified, interacts with various pneumophilic drugs, suggesting that it may
contribute to lung accumulation of these drugs in a notable way.
PMID- 29352451
TI - Involvement of Tsukushi in diverse developmental processes.
AB - Tsukushi (TSK) is a small signaling molecule which takes part in different
developmental processes of multiple vertebrate organisms. The diverse activity of
TSK depends on its ability to bind various intermediate molecules from different
major signaling pathways. Interactions of TSK with BMP, FGF, TGF-beta and Wnt
pathways have already been confirmed. In this review, we will introduce the
latest information regarding the involvement of TSK in developmental events. We
suggest a fine tuning role for TSK in multiple signaling cascades. Also, we
recommend further studies on the developmental role of TSK to fully reveal its
potential.
PMID- 29352452
TI - The determinants of reduced dietary intake in hospitalised colorectal cancer
patients.
AB - PURPOSE: Patients with colorectal cancer (CRC) often experience malnutrition and
weight loss, largely resulting from reduced dietary intake. The aim of this study
was to identify determinants of reduced dietary intake in order to facilitate
early recognition of malnutrition and optimise nutritional treatment. METHODS:
Data from nutritionDay, an international 1-day survey investigating patient,
disease and food profiles, were used. To identify determinants of dietary intake,
defined as normal vs. reduced in the last week, univariate and multivariate
logistic regressions were performed. RESULTS: Of 1131 hospitalised CRC patients,
54% reported reduced dietary intake. Patient- and disease-related characteristics
significantly associated with reduced dietary intake were female gender (odds
ratio (OR) 1.38), cancer stage III (OR 1.52) or IV (OR 1.70) vs. I, performance
status 2 (OR 1.56), 3 (OR 2.37) or 4 (OR 4.15) vs. 0, duration since hospital
admission of >= 4 days (OR 4-7 days, 1.91; 8-21 days, 1.97; > 21 days, 1.92) vs.
< 4 days, and unintentional weight loss (OR 2.56). Additionally, higher symptom
scores of pain, weakness, depression, tiredness and lack of appetite were
associated with reduced intake. CONCLUSIONS: Patient- and disease-related
determinants for reduced dietary intake were being female, higher cancer stage,
worse performance status, duration since hospital admission >= 4 days and
unintentional weight loss. Furthermore, multiple symptoms were associated with a
reduced dietary intake. Future trials should assess whether early recognition of
patients at risk of malnutrition and the combination of treating symptoms and
dietary advice result in improved intake and treatment-related outcomes.
PMID- 29352453
TI - Measuring surgical safety during minimally invasive surgical procedures: a
validation study.
AB - BACKGROUND: During the implementation of new interventions (i.e., surgical
devices and technologies) in the operating room, surgical safety might be
compromised. Current safety measures are insufficient in detecting safety hazards
during this process. The aim of the study was to observe whether surgical teams
are capable of measuring surgical safety, especially with regard to the
introduction of new interventions. METHODS: A Surgical Safety Questionnaire was
developed that had to be filled out directly postoperative by three surgical team
members. A potential safety concern was defined as at least one answer between
(strongly) disagree and indifferent. The validity of the questionnaire was
assessed by comparison with the results from video analysis. Two different
observers annotated the presence and effect of surgical flow disturbances during
40 laparoscopic hysterectomies performed between November 2010 and April 2012.
RESULTS: The surgeon reported a potential safety concern in 16% (85/520
questions). With respect to the scrub nurse and anesthesiologist, this was both
9% (46/520). With respect to the preparation, functioning, and ease of use of the
devices in 37.5-47.5% (15-19/40 procedures) a potential safety concern was
reported by one or more team members. During procedures after which a potential
safety concern was reported, surgical flow disturbances lasted a higher
percentage of the procedure duration [9.3 +/- 6.2 vs. 2.9 +/- 3.7% (mean +/- SD),
p < .001]. After procedures during which a new instrument or device was used,
more potential safety concerns were reported (51.2 vs. 23.1%, p < .001).
CONCLUSIONS: Potential safety concerns were especially reported during procedures
in which a relatively high percentage of the duration consisted of surgical flow
disturbances and during procedures in which a new instrument or device was used.
The Surgical Safety Questionnaire can act as a validated tool to evaluate and
maintain surgical safety during minimally invasive procedures, especially during
the introduction of a new intervention.
PMID- 29352454
TI - Decreased opioid consumption and enhance recovery with the addition of IV
Acetaminophen in colorectal patients: a prospective, multi-institutional,
randomized, double-blinded, placebo-controlled study (DOCIVA study).
AB - BACKGROUND: We hypothesized that administration of IV acetaminophen alone would
reduce the opioid consumption in post-operative colorectal surgery and reduce the
side effects of narcotics. METHODS: Patients were randomized to receive either IV
acetaminophen or placebo in addition to opioid PCA. Primary endpoints evaluated
were opioid consumption and pain visual analogue scale score (PVASS) during first
48 h post-operatively. Secondary endpoints evaluated were time of return of GI
function (ROGIF), time to diet ordered (TTDO), length of hospital stay (LOHS),
and occurrence of ileus. RESULTS: 105 patients were enrolled and 97 remained in
the study after exclusion (control group n = 50; study group n = 47). Mean +/-
SEs of opioid consumption in the study group was 21.5 +/- 1.8 mg of morphine
equivalent (ME) and 35.0 +/- 3.3 mg ME at 24 and 48 h, respectively, versus 36.4
+/- 4.1 mg ME and 59.7 +/- 6.7 mg ME in the control group (p = 0.002 and 0.002).
PVASS levels were lower in the study group at all intervals at 3, 8, 24, and 48 h
(p = 0.02, 0.006, < 0.01, and 0.02). ROGIF, TTDO, and LOHS were also found to be
lower in the study group (p <= 0.01, < 0.01, and 0.002). The rate of ileus was
reduced by using IV acetaminophen (22% vs 2.1%; p = 0.004). CONCLUSIONS: IV
acetaminophen helps to reduce opioid consumption for patients undergoing
colorectal surgery. Additionally, there appears to be a shortened length of
hospital stay, better pain control, reduced time to return of bowel function, and
lower rate of post-operative ileus in patients receiving IV acetaminophen.
PMID- 29352455
TI - Clinical features in a series of 258 Japanese pediatric patients with thrombotic
microangiopathy.
AB - BACKGROUND: Thrombotic microangiopathy (TMA) includes hemolytic uremic syndrome
(HUS) and thrombotic thrombocytopenic purpura (TTP). This study examined the
epidemiological characteristics of pediatric patients with TMA classified
according to etiology. METHODS: The survey evaluated 258 Japanese pediatric
patients diagnosed with TMA between 2012 and 2015. RESULTS: The primary diseases
responsible for TMA were categorized as TTP (15 cases), Shiga toxin-producing
Escherichia coli-associated HUS (STEC-HUS) (166 cases), atypical HUS (aHUS) (40
cases), and secondary TMA (27 cases). The remaining 10 cases were unable to be
classified to one of the four categories of the primary disease. Renal
replacement therapy was required in the acute phase in 103 patients with TMA,
including 65 with STEC-HUS, 22 with aHUS, two with TTP, 10 with secondary TMA,
and four unclassified cases. The last observational findings were normal renal
function in 95 patients and chronic kidney disease (CKD) stage 1 in 62. For 31
patients, chronic renal insufficiency (CKD stage 2-5) persisted, including four
patients with end-stage kidney disease (CKD stage 5). Seventeen patients suffered
recurrence of TMA, and eight patients died. CONCLUSION: This study clarified
differences in the relative proportions of primary diseases between patients from
Japan and North America and Europe. The difference may be attributable to the
lower estimated incidence of STEC-HUS in Japan.
PMID- 29352456
TI - Recombinant growth hormone treatment, osteoporosis and fractures, more
complicated than it seems!
PMID- 29352457
TI - Geographic vocal variation and perceptual discrimination abilities in male
Australian sea lions.
AB - Vocal characteristics can vary among and within populations. In species with
geographic variation in the structure of vocalizations, individuals may have the
ability to discriminate between calls from local and non-local individuals. The
ability to distinguish differences in acoustic signals is likely to have a
significant influence on the outcome of social interactions between individuals,
including potentially mate selection and breeding success. Pinnipeds (seals, fur
seals, sea lions and walruses) are highly vocal yet the Australian sea lion
(Neophoca cinerea) is the only eared seal known to show geographic vocal
variation in male barks. Barks are produced in many social interactions and
encode sufficient information for both individual and colony identity to be
discriminable. Yet until now, whether males could themselves discriminate these
bark differences was unclear. We performed playback experiments in four breeding
colonies to investigate whether males can discriminate local from non-local
barks. Overall, males responded more strongly to barks from their own colony
compared to barks from other colonies regardless of whether those other colonies
were close or distant. Competition for females is high in Australian sea lions,
but mating periods are asynchronous across colonies. The ability to correctly
assess whether a male is from the same colony, thus representing a potential
competitor for mates, or merely a visitor from elsewhere, may influence how males
interact with others. Given the high cost of fighting, the ability to discern
competitors may influence the nature of male-male interactions and ultimately
influence how they allocate reproductive effort.
PMID- 29352458
TI - Polyhydroxybutyrate (PHB) Synthesis by Spirulina sp. LEB 18 Using Biopolymer
Extraction Waste.
AB - The reuse of waste as well as the production of biodegradable compounds has for
years been the object of studies and of global interest as a way to reduce the
environmental impact generated by unsustainable exploratory processes. The
conversion of linear processes into cyclical processes has environmental and
economic advantages, reducing waste deposition and reducing costs. The objective
of this work was to use biopolymer extraction waste in the cultivation of
Spirulina sp. LEB 18, for the cyclic process of polyhydroxybutyrate (PHB)
synthesis. Concentrations of 10, 15, 20, 25, and 30% (v/v) of biopolymer
extraction waste were tested. For comparison, two assays were used without
addition of waste, Zarrouk (SZ) and modified Zarrouk (ZM), with reduction of
nitrogen. The assays were carried out in triplicate and evaluated for the
production of microalgal biomass and PHB. The tests with addition of waste
presented a biomass production statistically equal to ZM (0.79 g L-1) (p < 0.1).
The production of PHB in the assay containing 25% of waste was higher when
compared to the other cultivations, obtaining 10.6% (w/w) of biopolymer. From the
results obtained, it is affirmed that the use of PHB extraction waste in the
microalgal cultivation, aiming at the synthesis of biopolymers, can occur in a
cyclic process, reducing process costs and the deposition of waste, thus favoring
the preservation of the environment.
PMID- 29352459
TI - Web-Based Training Methods for Behavioral Health Providers: A Systematic Review.
AB - There has been an increase in the use of web-based training methods to train
behavioral health providers in evidence-based practices. This systematic review
focuses solely on the efficacy of web-based training methods for training
behavioral health providers. A literature search yielded 45 articles meeting
inclusion criteria. Results indicated that the serial instruction training method
was the most commonly studied web-based training method. While the current review
has several notable limitations, findings indicate that participating in a web
based training may result in greater post-training knowledge and skill, in
comparison to baseline scores. Implications and recommendations for future
research on web-based training methods are discussed.
PMID- 29352460
TI - Pelvic floor muscle function and quality of life in postmenopausal women with and
without pelvic floor dysfunction.
AB - INTRODUCTION: This study aims to compare pelvic floor muscle (PFM) function in
postmenopausal women with and without pelvic floor dysfunction (PFD) and the
relation between PFM function and quality of life. MATERIAL AND METHODS: A case
control study with 216 postmenopausal women with (n = 126) and without (n = 90)
PFD. PFM function was assessed by digital vaginal palpation using the PERFECT
scale. Specific quality of life was evaluated using the King's Health
Questionnaire for women with urinary incontinence and the Prolapse Quality-of
Life Questionnaire for women with pelvic organ prolapse. We analyzed women with
PFD into two categories: Oxford's grade <=2 or >=3 using a chi-squared test.
RESULTS: Out of 126 womem with PFD 44 (34.9%) presented stress urinary
incontinence, 21 (16.6%) had pelvic organ prolapse and 61 (48.4%) had urinary
incontinence + pelvic organ prolapse. Strength had a median value 2 (0-5) in all
women studied and most of them had insufficient strength, reduced endurance and
repetition without statistical difference between groups. Incontinent women with
strength <=2 had worse perception of general health domain of King's Health
Questionnaire (p = 0.007). No association was found between PFM function and
Prolapse Quality-of-Life Questionnaire. CONCLUSIONS: PFM function assessed by
bidigital palpation in postmenopausal women was not sufficiently sensitive to
differentiate between women with vs. women without PFM dysfunction and was not
related with specific quality of life in women with urinary incontinence and
pelvic organ prolapse, respectively. These data should be used to reinforce the
widespread recommendation that PFM training is essencial in PFD treatment.
PMID- 29352461
TI - Clinical and microbiological evaluation of the effect of Lactobacillus reuteri in
the treatment of mucositis and peri-implantitis: A triple-blind randomized
clinical trial.
AB - BACKGROUND AND OBJECTIVE: Oral probiotics appear to improve the treatment of
periodontal diseases but there is limited evidence on their efficacy in the
treatment of peri-implant diseases. The objective of the present study was to
evaluate, clinically and microbiologically, the effect of the oral probiotic,
Lactobacillus reuteri Prodentis, as adjuvant to non-surgical mechanical therapy
in implants with mucositis or peri-implantitis, placed in patients with a history
of periodontal disease. MATERIAL AND METHODS: A randomized, controlled, parallel
design, triple-blind prospective clinical study was designed. Patients included
in the study were partially edentulous and had implants with mucositis or peri
implantitis. Implants with radiographic bone loss of >=5 mm and/or >=50% of the
implant length were excluded, and only one implant per patient was included.
After non-surgical mechanical therapy, subjects were randomly assigned to take
either 1 probiotic lozenge or 1 placebo lozenge every day for 30 days. Clinical
measurements were taken in the whole mouth (general plaque index and general
bleeding on probing) and at the implant site (probing pocket depth, plaque index
and bleeding on probing) at baseline and 30 and 90 days Microbiological
examination (to identify Aggregatibacter actinomycetemcomitans, Tannerella
forsythia, Porphyromonas gingivalis, Treponema denticola, Prevotella intermedia,
Peptostreptococcus micros, Fusobacterium nucleatum, Campylobacter rectus and
Eikenella corrodens) was performed at the same study time points that clinical
measurements were made. RESULTS: A total of 44 patients - 22 with mucositis and
22 with peri-implantitis - randomly received treatment with either probiotic or
placebo. The probiotic L. reuteri, together with mechanical therapy, produced an
additional improvement over treatment with mechanical therapy alone, both in the
general clinical parameters of patients with mucositis (bleeding on probing) and
at the level of implants with mucositis (probing pocket depth) or peri
implantitis (bleeding on probing and probing pocket depth). However, L. reuteri
had a very limited effect on the peri-implant microbiota because the only
parameter in which a significant decrease was found was the bacterial load of P.
gingivalis in implants with mucositis (P = .031). CONCLUSION: The administration
of a daily lozenge of L. reuteri for 30 days, together with mechanical
debridement of the whole mouth, improved the clinical parameters of implants with
mucositis or peri-implantitis over a period of at least 90 days, but the
microbiological effect was much more limited. Probiotics provide an alternative
therapeutic approach to consider in the prevention and treatment of peri-implant
diseases, but further long-term prospective studies with standardized variables
are needed.
PMID- 29352463
TI - Temperature dependency of intraguild predation between native and invasive crabs.
AB - Environmental factors such as temperature can affect the geographical
distribution of species directly by exceeding physiological tolerances, or
indirectly by altering physiological rates that dictate the sign and strength of
species interactions. Although the direct effects of environmental conditions are
relatively well studied, the effects of environmentally mediated species
interactions have garnered less attention. In this study, we examined the
temperature dependency of size-structured intraguild predation (IGP) between
native blue crabs (Callinectes sapidus, the IG predator) and invasive green crabs
(Carcinus maenas, the IG prey) to evaluate how the effect of temperature on
competitive and predatory rates may influence the latitudinal distribution of
these species. In outdoor mesocosm experiments, we quantified interactions
between blue crabs, green crabs, and shared prey (mussels) at three temperatures
reflective of those across their range, using two size classes of blue crab. At
low temperatures, green crabs had a competitive advantage and IGP by blue crabs
on green crabs was low. At high temperatures, size-matched blue and green crabs
were competitively similar, large blue crabs had a competitive advantage, and IGP
on green crabs was high. We then used parameter values generated from these
experiments (temperature- and size-dependent attack rates and handling times) in
a size-structured IGP model in which we varied IGP attack rate, maturation rate
of the blue crab from the non-predatory to predatory size class, and resource
carrying capacity at each of the three temperatures. In the model, green crabs
were likely to competitively exclude blue crabs at low temperature, whereas blue
crabs were likely to competitively and consumptively exclude green crabs at
higher temperatures, particularly when resource productivities and rates of IGP
were high. While many factors may play a role in delimiting species ranges, our
results suggest that temperature-dependent interactions can influence local
coexistence and are worth considering when developing mechanistic species
distribution models and evaluating responses to environmental change.
PMID- 29352462
TI - Effect of methyl salicylate in combination with 1-methylcyclopropene on
postharvest quality and decay caused by Botrytis cinerea in tomato fruit.
AB - BACKGROUND: Postharvest diseases result in major losses in fruits. Tomato is
susceptible to postharvest rot caused by Botrytis cinerea and is regarded as a
good model system to study postharvest disease and quality deterioration in
fruit. To develop a safe and effective technique to alleviate disease and
maintain fruit quality, the effects of methyl salicylate (MeSA) and 1
methylcyclopropene (1-MCP) either separately or combined on quality and gray mold
caused by B. cinerea in tomato fruit were investigated. RESULTS: The results
showed that application of MeSA (0.05 mmol L-1 ) delayed fruit ripening and
reduced gray mold. Compared with MeSA treatment, 1-MCP (0.5 uL L-1 ) effectively
delayed fruit ripening. Further, MeSA combined with 1-MCP treatment was more
effective in inhibiting fungal decay during storage than MeSA treatment alone.
The combined treatment not only enhanced pathogenesis-related protein 1 (PR1)
expression, activities of defense enzymes and total phenolic content but also
inhibited the increase in electrical conductivity and malondialdehyde content.
The combined treatment was also more effective in retaining firmness, color
change and titratable acidity content than MeSA treatment alone. CONCLUSION: MeSA
combined with 1-MCP treatment was a useful technique to maintain quality and
alleviate gray mold in postharvest tomato fruit during storage. (c) 2018 Society
of Chemical Industry.
PMID- 29352465
TI - Efficacy of local phytotherapy in the nonsurgical treatment of periodontal
disease: A systematic review.
AB - Herbal drugs are commonly used in the treatment of several diseases, including
periodontitis. So far, no systematic review had evaluated the evidence regarding
the efficacy of these agents in the treatment of periodontal disease. Therefore,
the purpose of this review was to evaluate the effect of local application of
phytotherapic agents as adjuncts to scaling and root planing (SRP), compared to
SRP alone, on clinical parameters of chronic periodontal patients. Only
randomized controlled trials of at least 3 months follow-up, of SRP alone in
association with local phytotherapic agents were included. MEDLINE (PubMed),
Google Scholar and LILACS databases were searched for articles published up to
October 2016. Random-effects meta-analyses were conducted for clinical attachment
level and probing pocket depth (PPD) change after treatment. Of 1861 papers
potentially relevant, 7 were included. All studies showed that periodontal
treatment in association with local phytotherapic delivery promotes a significant
PPD reduction and the majority of them showed clinical attachment level gain. The
local use of phytotherapy as an adjunct to SRP may promote additional benefits in
PPD reduction and clinical attachment level gain. However, these results must be
interpreted with caution due to the small sample size, high risk of bias and
heterogeneity of the studies.
PMID- 29352464
TI - Origin of heterogeneous spiking patterns from continuously distributed ion
channel densities: a computational study in spinal dorsal horn neurons.
AB - KEY POINTS: Distinct spiking patterns may arise from qualitative differences in
ion channel expression (i.e. when different neurons express distinct ion
channels) and/or when quantitative differences in expression levels qualitatively
alter the spike generation process. We hypothesized that spiking patterns in
neurons of the superficial dorsal horn (SDH) of spinal cord reflect both
mechanisms. We reproduced SDH neuron spiking patterns by varying densities of KV
1- and A-type potassium conductances. Plotting the spiking patterns that emerge
from different density combinations revealed spiking-pattern regions separated by
boundaries (bifurcations). This map suggests that certain spiking pattern
combinations occur when the distribution of potassium channel densities straddle
boundaries, whereas other spiking patterns reflect distinct patterns of ion
channel expression. The former mechanism may explain why certain spiking patterns
co-occur in genetically identified neuron types. We also present algorithms to
predict spiking pattern proportions from ion channel density distributions, and
vice versa. ABSTRACT: Neurons are often classified by spiking pattern. Yet, some
neurons exhibit distinct patterns under subtly different test conditions, which
suggests that they operate near an abrupt transition, or bifurcation. A set of
such neurons may exhibit heterogeneous spiking patterns not because of
qualitative differences in which ion channels they express, but rather because
quantitative differences in expression levels cause neurons to operate on
opposite sides of a bifurcation. Neurons in the spinal dorsal horn, for example,
respond to somatic current injection with patterns that include tonic, single,
gap, delayed and reluctant spiking. It is unclear whether these patterns reflect
five cell populations (defined by distinct ion channel expression patterns),
heterogeneity within a single population, or some combination thereof. We
reproduced all five spiking patterns in a computational model by varying the
densities of a low-threshold (KV 1-type) potassium conductance and an
inactivating (A-type) potassium conductance and found that single, gap, delayed
and reluctant spiking arise when the joint probability distribution of those
channel densities spans two intersecting bifurcations that divide the parameter
space into quadrants, each associated with a different spiking pattern. Tonic
spiking likely arises from a separate distribution of potassium channel
densities. These results argue in favour of two cell populations, one
characterized by tonic spiking and the other by heterogeneous spiking patterns.
We present algorithms to predict spiking pattern proportions based on ion channel
density distributions and, conversely, to estimate ion channel density
distributions based on spiking pattern proportions. The implications for
classifying cells based on spiking pattern are discussed.
PMID- 29352466
TI - Experimental evidence that density dependence strongly influences plant invasions
through fragmented landscapes.
AB - Populations of range expanding species encounter patches of both favorable and
unfavorable habitat as they spread across landscapes. Theory shows that
increasing patchiness slows the spread of populations modeled with continuously
varying population density when dispersal is not influence by the environment or
individual behavior. However, as is found in uniformly favorable landscapes,
spread remains driven by fecundity and dispersal from low density individuals at
the invasion front. In contrast, when modeled populations are composed of
discrete individuals, patchiness causes populations to build up to high density
before dispersing past unsuitable habitat, introducing an important influence of
density dependence on spread velocity. To test the hypothesized interaction
between habitat patchiness and density dependence, we simultaneously manipulated
these factors in a greenhouse system of annual plants spreading through
replicated experimental landscapes. We found that increasing the size of gaps and
amplifying the strength of density dependence both slowed spread velocity, but
contrary to predictions, the effect of amplified density dependence was similar
across all landscape types. Our results demonstrate that the discrete nature of
individuals in spreading populations has a strong influence on how both landscape
patchiness and density dependence influence spread through demographic and
dispersal stochasticity. Both finiteness and landscape structure should be
critical components to theoretical predictions of future spread for range
expanding native species or invasive species colonizing new habitat.
PMID- 29352467
TI - Systematic misclassification of gestational age by ultrasound biometry:
implications for clinical practice and research methodology in the Nordic
countries.
AB - Historically, pregnancy dating has been based on self-reported information on the
first day of the last menstrual period. In the 1970s, ultrasound biometry was
introduced as an alternative for pregnancy dating and is now the leading method
in Nordic countries. The use of ultrasound led to a reduction of post-term births
and fewer inductions, and is considered more precise than last menstrual period
based methods for pregnancy dating. Nevertheless, differences in early growth and
specific situations, such as maternal obesity, can render its estimates less
precise, leading to gestational age misclassification. Clinical implications of
ultrasound dating include effect on timely induction in case of post-term
pregnancies, treatment with corticosteroids in cases of anticipated preterm
delivery and decision on viability in cases of extreme prematurity. Furthermore,
gestational age misclassification may influence the numbers and the magnitude of
some adverse perinatal outcomes, closely related to gestational age, which are
recorded in the Nordic birth registers.
PMID- 29352468
TI - Developmental plasticity of GABAergic neurotransmission to brainstem motoneurons.
AB - KEY POINTS: Critical homeostatic behaviours such as suckling, swallowing and
breathing depend on the precise control of tongue muscle activity. Perinatal
nicotine exposure has multiple effects on baseline inhibitory GABAergic
neurotransmission to hypoglossal motoneurons (XIIMNs), consistent with
homeostatic compensations directed at maintaining normal motoneuron output.
Developmental nicotine exposure (DNE) alters how GABAergic neurotransmission is
modulated by acute activation of nicotinic acetylcholine receptors, which may
provide insight into mechanisms by which nicotine exposure alters motor function
under conditions that result in increased release of GABA, such as hypoxia, or
endogenous acetylcholine, as occurs in the transition from NREM to REM sleep, or
in response to exogenous nicotine. ABSTRACT: Nicotinic acetylcholine receptor
(nAChR) signalling regulates neuronal differentiation and synaptogenesis. Here we
test the hypothesis that developmental nicotine exposure (DNE) disrupts the
development of GABAergic synaptic transmission to hypoglossal motoneurons
(XIIMNs). GABAergic spontaneous and miniature inhibitory postsynaptic currents
(sIPSCs/mIPSCs) were recorded from XIIMNs in brainstem slices from control and
DNE rat pups of either sex, 1-5 days old, at baseline and following acute
stimulation of nAChRs with nicotine. At baseline, sIPSCs were less frequent and
smaller in DNE cells (consistent with decreased action potential-mediated GABA
release), and mIPSCs were more frequent (consistent with increased vesicular GABA
release from presynaptic terminals). Acute nicotine challenge increased sIPSC
frequency in both groups, though the increase was greater in DNE cells. Acute
nicotine challenge did not change the frequency of mIPSCs in either group, though
mIPSC amplitude increased significantly in DNE cells, but not control cells.
Stimulation of postsynaptic GABAA receptors with muscimol caused a significantly
greater chloride current in DNE cells than in control cells. The increased
quantal release of GABA, coupled with the rise in the strength of postsynaptic
inhibition may be homeostatic adjustments to the decreased action-potential
mediated input from GABAergic interneurons. However, this will exaggerate
synaptic inhibition under conditions where the release of GABA (e.g. hypoxia) or
ACh (sleep-wake transitions) is increased. These findings reveal a mechanism that
may explain why DNE is associated with deficits in the ability to respond
appropriately to chemosensory stimuli or to changes in neuromodulation secondary
to changes in central nervous system state.
PMID- 29352469
TI - Amoxicillin-current use in swine medicine.
AB - Amoxicillin has become a major antimicrobial substance in pig medicine for the
treatment and control of severe, systemic infections such as Streptococcus suis.
The minimum inhibitory concentration 90% (MIC 90) is 0.06 MUg amoxicillin/ml, and
the proposed epidemiological cut-off value (ECOFF) is 0.5 MUg/ml, giving only
0.7% of isolates above the ECOFF or of reduced susceptibility. Clinical
breakpoints have not been set for amoxicillin against porcine pathogens yet,
hence the use of ECOFFs. It has also been successfully used for bacterial
respiratory infections caused by Actinobacillus pleuropneumoniae and Pasteurella
multocida. The ECOFF for amoxicillin against A. pleuropneumoniae is also 0.5
MUg/ml demonstrating only a reduced susceptibility in 11.3% of isolates.
Similarly, P. multocida had an ECOFF of 1.0 MUg/ml and a reduced susceptibility
in only 2.6% of isolates. This reduced susceptibility disappears when combined
with the beta-lactamase inhibitor, clavulanic acid, demonstrating that it is
primarily associated with beta-lactamase production. In contrast, amoxicillin is
active against Escherichia coli and Salmonella species but using ECOFFs of 8.0
and 4.0 MUg/ml, respectively, reduced susceptibility can be seen in 70.9% and
67.7% of isolates. These high levels of reduced susceptibility are primarily due
to beta-lactamase production also, and most of this resistance can be overcome by
the combination of amoxicillin with clavulanic acid. Currently, amoxicillin alone
is considered an extremely valuable antimicrobial in both human and animal
medicine and remains in the critically important category of antibiotics
alongside the fluoroquinolones and macrolides by the World Health Organization as
well as the third- and fourth-generation cephalosporins, but these cephalosporins
show marked resistance to basic beta-lactamase production and are only destroyed
by the extended-spectrum beta-lactamases. Amoxicillin alone and in combination
with clavulanic acid are currently classed together in Category 2 in the European
Union. By reviewing the pharmacodynamic data and comparing this with
pharmacokinetic data from healthy and infected animals and clinical trial data,
it can be seen that the product has a good efficacy against S. suis and A.
pleuropneumoniae, in spite of usage over many years. However, it may be much less
efficacious on its own against E. coli, due to reduced susceptibility and
resistance associated with beta-lactamase production, which is largely overcome
by the use of clavulanic acid. It is felt that this differentiation may be useful
in future classification of amoxicillin alone, in comparison with its combined
use with clavulanic acid and thereby preserve the use of the more critically
important antibiotics in veterinary medicine and reducing the risk of their
resistance being transmitted to human.
PMID- 29352470
TI - A laser optical method for predicting adulteration of clover honey.
AB - BACKGROUND: The authenticity of honey is of great importance for commercial and
health reasons, because adulteration of bee honey with cheaper sweetening
materials is sometimes carried out for financial gain. The objectives of this
study were to evaluate clover honey quality according to physicochemical
characteristics, to measure light transmission through honey samples using a
visible laser technique (He-Ne laser at 632.8 nm) and to predict types of
adulterants of clover honey according to the transmission intensity of the laser
beam through the sample. RESULTS: The transmission intensity of pure clover honey
was 3520 lx. Addition of water or sugar solution at various concentrations led to
increased transmission intensities in the range 4720-6980 lx, while addition of
glucose honey led to increased transmission intensities in the range 3570-4120
lx. However, addition of starch suspension or black honey led to decreased
transmission intensities in the ranges 3440-120 and 2770-85 lx respectively. The
physicochemical properties of pure clover honey were within the standard limits.
The light microscope image of pollen in the honey indicated that the pollen came
from the clover plant. CONCLUSION: The transmission intensity method using
visible laser light to predict the type of adulteration of clover honey can be
considered a fast and accurate method. (c) 2018 Society of Chemical Industry.
PMID- 29352471
TI - How do passion for video games and needs frustration explain time spent gaming?
AB - Research applying self-determination theory and the dualistic model of passion
(DMP) has shown video games may satisfy basic psychological needs (i.e.,
competence, autonomy, and relatedness) and be identified as a passion. The DMP
distinguishes between healthy or harmonious passion and problematic or obsessive
passion (OP), with the latter reflecting an overreliance towards one's passion to
obtain needs satisfaction. The experience of daily obstructions to needs
satisfaction, or needs frustration (NF), may facilitate such an overreliance.
This study explored how NF and both types of passion explain the amount of time
that university students spend gaming. The overall association between NF and
time spent gaming was not significant. However, for video game users with low
levels of OP for gaming, there was a significant negative association between NF
and time spent gaming. Additionally, evidence of a mutually reinforcing
association between NF and OP for gaming indicates that a vicious cycle exists,
whereby a strong OP for gaming predicts and is reinforced by greater NF. The
theoretical implications are discussed.
PMID- 29352472
TI - The bioequivalence of a single intravenous administration of the anesthetic
alfaxalone in cyclodextrin versus alfaxalone in cyclodextrin plus preservatives
in cats.
AB - To demonstrate the bioequivalence of alfaxalone in cyclodextrin (Reference
Product) to a formulation of alfaxalone in cyclodextrin also containing the
preservatives ethanol, chlorocresol, and benzethonium chloride (Test Product)
when administered for the purpose of inducing anesthesia in the cat. Blinded,
single-dose, randomized, two-period, two-sequence, cross-over bioequivalence
study with a 7-day washout period between treatments. Twenty-four (12 neutered
males and 12 intact females), healthy, adult cats weighing 4.1+/-0.9 kg. Cats
were administered 5 mg/kg IV of alfaxalone in the Reference or Test Product using
a randomized cross-over design. One-milliliter venous blood samples were
collected at predetermined time points to 12 hr after drug administration to
determine alfaxalone plasma concentration over time. Alfaxalone concentrations
were determined by a validated analytical testing method using HPLC-MS/MS. Plasma
profiles of alfaxalone concentration against time were analyzed by
noncompartmental analysis. The pivotal variables for bioequivalence were AUClast
and Cmax . Equivalence was achieved if the 90% confidence interval for AUClast
and Cmax fell into the asymmetric +/-20% interval (0.80-1.25). Physiological
variables, quality of anesthesia visual analog scale (VAS) scoring and anesthetic
event times were recorded. ANOVA or ANCOVA (single time point), RMANOVA or
RMANCOVA (multiple time point) was used for normally distributed data. GLIMMIX
was used for nonnormally distributed data. VAS scores were analyzed as for blood
bioequivalence data. Variables were evaluated for safety and assessed at alpha =
0.10. Cmax and AUClast for Reference and Test Products were statistically
bioequivalent. No physiological variables except for a drug by time interaction
for respiratory rate differed between treatment groups, and this difference was
not clinically relevant. No anesthetic event times or VAS scores for quality of
anesthesia were different between treatment groups. Neither formulation caused
pain upon injection. The Reference and Test Products are pharmaceutically
bioequivalent formulations when administered as a single intravenous
administration for the purpose of induction of anesthesia in cats.
PMID- 29352473
TI - The impact of MK-467 on plasma drug concentrations, sedation and cardiopulmonary
changes in sheep treated with intramuscular medetomidine and atipamezole for
reversal.
AB - The effect of MK-467, a peripheral alpha2 -adrenoceptor antagonist, on plasma
drug concentrations, sedation and cardiopulmonary changes induced by
intramuscular (IM) medetomidine was investigated in eight sheep. Additionally,
the interactions with atipamezole (ATI) used for reversal were also evaluated.
Each animal was treated four times in a randomized prospective crossover design
with 2-week washout periods. Medetomidine (MED) 30 MUg/kg alone or combined in
the same syringe with MK-467 300 MUg/kg (MMK) was injected intramuscular,
followed by ATI 150 MUg/kg (MED + ATI and MMK + ATI) or saline intramuscular 30
min later. Plasma was analysed for drug concentrations, and sedation was
subjectively assessed with a visual analogue scale. Systemic haemodynamics and
blood gases were measured before treatments and at intervals thereafter. With MK
467, medetomidine plasma concentrations were threefold higher prior to ATI, which
was associated with more profound sedation and shorter onset. No significant
differences were observed in early cardiopulmonary changes between treatments.
Atipamezole reversed the medetomidine-related cardiopulmonary changes after both
treatments. Sedation scores decreased more rapidly when MK-467 was included. In
this study, MK-467 appeared to have a pronounced effect on the plasma
concentration and central effects of medetomidine, with minor cardiopulmonary
improvement.
PMID- 29352474
TI - Pharmacokinetics of single-dose sildenafil administered orally in clinically
healthy dogs: Effect of feeding and dose proportionality.
AB - Basic information related to the pharmacokinetics of sildenafil in dogs is
scarce. This study aimed to describe the pharmacokinetic properties of oral
sildenafil and determine the effect of feeding and dose proportionality. The
effect of feeding on pharmacokinetics of sildenafil (1 mg/kg) was investigated
using a crossover study with six dogs. In addition, the dose proportionality of
sildenafil ranging 1-4 mg/kg was evaluated using five dogs in the fasted states.
The plasma concentrations of sildenafil were determined using high-performance
liquid chromatography, and pharmacokinetic parameters were calculated using a
noncompartmental analysis. Sildenafil administrations were well tolerated in all
studies. Feeding reduced the area under the curve extrapolated to infinity
(AUCinf ) and the maximum plasma concentration (Cmax ) significantly. The
elimination half-life (T1/2 ) did not differ between the fasted and the fed
states. For dose proportionality, nonproportional increases in AUCinf and Cmax at
1-4 mg/kg doses were detected by a power model analysis.
PMID- 29352475
TI - Characteristics of proanthocyanidins in leaves of Chamaecyparis obtusa var.
formosana as strong alpha-glucosidase inhibitors.
AB - BACKGROUND: In recent decades, there has been a growing demand for natural
products with a view to using them as alpha-glucosidase inhibitors for reducing
postprandial hyperglycemia. In this study, the hot water extract (HWE) from
Chamaecyparis obtusa var. formosana (Hayata) Rehder (Cupressaceae) leaves and its
soluble fractions were screened for alpha-glucosidase inhibition properties. The
n-butanol-soluble fraction of HWE was further fractionated into 14 subfractions
(B1-B14) using a Sephadex LH-20 column. The alpha-glucosidase-inhibitory
activities and proanthocyanidin contents of all subfractions were determined. The
structural characteristics of proanthocyanidins in proanthocyanidin-rich
fractions were also elucidated. RESULTS: HWE produced a dose-dependent inhibition
of alpha-glucosidase at low dose. Its IC50 value was 1.4 ug mL-1 , showing high
inhibitory activity. Subfractions B7-B14 displayed powerful alpha-glucosidase
inhibitory activities with IC50 values ranging between 1 and 0.015 ug mL-1 and
contained abundant proanthocyanidins exceeding 300 mg g-1 . The proanthocyanidins
with higher mean degree of polymerization (mDP), higher proportions of
procyanidin dimer (A1 or A2) and (epi)afzelechin of extension units and a lower
proportion of epicatechin of terminal units displayed high alpha-glucosidase
inhibitory activities. CONCLUSION: Proanthocyanidins in HWE were viewed as
potential natural alpha-glucosidase inhibitors for decreasing postprandial
hyperglycemia. The results indicated that specific structural characteristics of
proanthocyanidins would be required for alpha-glucosidase-inhibitory activity.
(c) 2018 Society of Chemical Industry.
PMID- 29352476
TI - Pharmacokinetic profiles of the active metamizole metabolites after four
different routes of administration in healthy dogs.
AB - Metamizole (MT), an analgesic and antipyretic drug, is rapidly hydrolyzed to the
active primary metabolite 4-methylaminoantipyrine (MAA) and relatively active
secondary metabolite 4-aminoantipyrine (AA). The aim of this study was to assess
the pharmacokinetic profiles of MAA and AA after dose of 25 mg/kg MT by
intravenous (i.v.), intramuscular (i.m.), oral (p.o.), and rectal (RC) routes in
dogs. Six dogs were randomly allocated to an open, single-dose, four-treatment,
four-phase, unpaired, crossover study design. Blood was collected at
predetermined times within 24 hr, and plasma was analyzed by a validated HPLC-UV
method. Plasma concentrations of MAA and AA after i.v., i.m., p.o., and RC
administrations of MT were detectable from 5 (i.v. and i.m.) or 30 (p.o. and RC)
min to 24 hr in all dogs. The highest concentrations of MAA were found in the
i.v., then i.m., p.o., and RC groups. Plasma concentrations of AA were similar
for i.v., i.m., and RC, and the concentrations were approximately double those in
the PO groups. The AUCEV/IV ratio for MAA was 0.75 +/- 0.11, 0.59 +/- 0.08, and
0.32 +/- 0.05, for i.m., p.o., and RC, respectively. The AUCEV/IV ratio for AA
was 1.21 +/- 0.33, 2.17 +/- 0.62, and 1.08 +/- 0.19, for i.m., p.o., and RC,
respectively. Although further studies are needed, rectal administration seems to
be the least suitable route of administration for MT in the dog.
PMID- 29352477
TI - Benzodiazepines for antipsychotic-induced tardive dyskinesia.
AB - BACKGROUND: Tardive dyskinesia (TD) is a disfiguring movement disorder, often of
the orofacial region, frequently caused by using antipsychotic drugs. A wide
range of strategies have been used to help manage TD, and for those who are
unable to have their antipsychotic medication stopped or substantially changed,
the benzodiazepine group of drugs have been suggested as a useful adjunctive
treatment. However, benzodiazepines are very addictive. OBJECTIVES: To determine
the effects of benzodiazepines for antipsychotic-induced tardive dyskinesia in
people with schizophrenia, schizoaffective disorder, or other chronic mental
illnesses. SEARCH METHODS: On 17 July 2015 and 26 April 2017, we searched the
Cochrane Schizophrenia Group's Study-Based Register of Trials (including trial
registers), inspected references of all identified studies for further trials and
contacted authors of each included trial for additional information. SELECTION
CRITERIA: We included all randomised controlled trials (RCTs) focusing on people
with schizophrenia (or other chronic mental illnesses) and antipsychotic-induced
TD that compared benzodiazepines with placebo, no intervention, or any other
intervention for the treatment of TD. DATA COLLECTION AND ANALYSIS: We
independently extracted data from the included studies and ensured that they were
reliably selected, and quality assessed. For homogenous dichotomous data, we
calculated random effects, risk ratio (RR), and 95% confidence intervals (CI). We
synthesised continuous data from valid scales using mean differences (MD). For
continuous outcomes, we preferred endpoint data to change data. We assumed that
people who left early had no improvement. MAIN RESULTS: The review now includes
four trials (total 75 people, one additional trial since 2006, 21 people)
randomising inpatients and outpatients in China and the USA. Risk of bias was
mostly unclear as reporting was poor. We are uncertain about all the effects as
all evidence was graded at very low quality. We found no significant difference
between benzodiazepines and placebo for the outcome of 'no clinically important
improvement in TD' (2 RCTs, 32 people, RR 1.12, 95% CI 0.60 to 2.09, very low
quality evidence). Significantly fewer participants allocated to clonazepam
compared with phenobarbital (as active placebo) experienced no clinically
important improvement (RR 0.44, 95% CI 0.20 to 0.96, 1 RCT, 21 people, very low
quality evidence). For the outcome 'deterioration of TD symptoms,' we found no
clear difference between benzodiazepines and placebo (2 RCTs, 30 people, RR 1.48,
95% CI 0.22 to 9.82, very low quality evidence). All 10 participants allocated to
benzodiazepines experienced any adverse event compared with 7/11 allocated to
phenobarbital (RR 1.53, 95% CI 0.97 to 2.41, 1 RCT, 21 people, very low quality
evidence). There was no clear difference in the incidence of participants leaving
the study early for benzodiazepines compared with placebo (3 RCTs, 56 people, RR
2.73, 95% CI 0.15 to 48.04, very low quality evidence) or compared with
phenobarbital (as active placebo) (no events, 1 RCT, 21 people, very low quality
evidence). No trials reported on social confidence, social inclusion, social
networks, or personalised quality of life, which are outcomes designated
important by patients. No trials comparing benzodiazepines with placebo or
treatment as usual reported on adverse effects. AUTHORS' CONCLUSIONS: There is
only evidence of very low quality from a few small and poorly reported trials on
the effect of benzodiazepines as an adjunctive treatment for antipsychotic
induced TD. These inconclusive results mean routine clinical use is not indicated
and these treatments remain experimental. New and better trials are indicated in
this under-researched area; however, as benzodiazepines are addictive, we feel
that other techniques or medications should be adequately evaluated before
benzodiazepines are chosen.
PMID- 29352478
TI - Effects of neuroimmune axis modulation by aprepitant on antipruritic and global
disease severity in patients with cutaneous T-cell lymphoma.
PMID- 29352479
TI - Contrasting effects of specialist and generalist herbivores on resistance
evolution in invasive plants.
AB - Invasive alien plants are likely to be released from specialist herbivores and at
the same time encounter biotic resistance from resident generalist herbivores in
their new ranges. The Shifting Defense hypothesis predicts that this will result
in evolution of decreased defense against specialist herbivores and increased
defense against generalist herbivores. To test this, we performed a comprehensive
meta-analysis of 61 common garden studies that provide data on resistance and/or
tolerance for both introduced and native populations of 32 invasive plant
species. We demonstrate that introduced populations, relative to native
populations, decreased their resistance against specialists, and increased their
resistance against generalists. These differences were significant when
resistance was measured in terms of damage caused by the herbivore, but not in
terms of performance of the herbivore. Furthermore, we found the first evidence
that the magnitude of resistance differences between introduced and native
populations depended significantly on herbivore origin (i.e., whether the test
herbivore was collected from the native or non-native range of the invasive
plant). Finally, tolerance to generalists was found to be higher in introduced
populations, while neither tolerance to specialists nor that to simulated
herbivory differed between introduced and native plant populations. We conclude
that enemy release from specialist herbivores and biotic resistance from
generalist herbivores have contrasting effects on resistance evolution in
invasive plants. Our results thus provide strong support for the Shifting Defense
hypothesis.
PMID- 29352481
TI - Aprepitant did not modify global disease activity in cutaneous T-cell lymphomas.
PMID- 29352480
TI - Temporal heterogeneity increases with spatial heterogeneity in ecological
communities.
AB - Heterogeneity is increasingly recognized as a foundational characteristic of
ecological systems. Under global change, understanding temporal community
heterogeneity is necessary for predicting the stability of ecosystem functions
and services. Indeed, spatial heterogeneity is commonly used in alternative
stable state theory as a predictor of temporal heterogeneity and therefore an
early indicator of regime shifts. To evaluate whether spatial heterogeneity in
species composition is predictive of temporal heterogeneity in ecological
communities, we analyzed 68 community data sets spanning freshwater and
terrestrial systems where measures of species abundance were replicated over
space and time. Of the 68 data sets, 55 (81%) had a weak to strongly positive
relationship between spatial and temporal heterogeneity, while in the remaining
communities the relationship was weak to strongly negative (19%). Based on a
mixed model analysis, we found a significant but weak overall positive
relationship between spatial and temporal heterogeneity across all data sets
combined, and within aquatic and terrestrial data sets separately. In addition,
lifespan and successional stage were negatively and positively related to
temporal heterogeneity, respectively. We conclude that spatial heterogeneity may
be a predictor of temporal heterogeneity in ecological communities, and that this
relationship may be a general property of many terrestrial and aquatic
communities.
PMID- 29352482
TI - Influence of pharmacogenetic polymorphisms and demographic variables on metformin
pharmacokinetics in an admixed Brazilian cohort.
AB - AIMS: To identify pharmacogenetic and demographic variables that influence the
systemic exposure to metformin in an admixed Brazilian cohort. METHODS: The
extreme discordant phenotype was used to select 106 data sets from nine metformin
bioequivalence trials, comprising 256 healthy adults. Eleven single-nucleotide
polymorphisms in SLC22A1, SLC22A2, SLC47A1 SLC47A2 and in transcription factor
SP1 were genotyped and a validated panel of ancestry informative markers was used
to estimate the individual proportions of biogeographical ancestry. Two-step
(univariate followed by multivariate) regression modelling was developed to
identify covariates associated with systemic exposure to metformin, accessed by
the area under the plasma concentration-time curve, between 0 and 48 h (AUC0-48h
), after single oral doses of metformin (500 or 1000 mg). RESULTS: The individual
proportions of African, Amerindian and European ancestry varied widely, as
anticipated from the structure of the Brazilian population The dose-adjusted, log
transformed AUC0-48h 's (ng h ml-1 mg-1 ) differed largely in the two groups at
the opposite ends of the distribution histogram, namely 0.82, 0.79-0.85 and 1.08,
1.06-1.11 (mean, 95% confidence interval; P = 6.10-26 , t test). Multivariate
modelling revealed that metformin AUC0-48h increased with age, food and carriage
of rs12208357 in SLC22A1 but was inversely associated with body surface area and
individual proportions of African ancestry. CONCLUSIONS: A pharmacogenetic marker
in OCT1 (SLC22A1 rs12208357), combined with demographic covariates (age, body
surface area and individual proportion of African ancestry) and a food effect
explained 29.7% of the variability in metformin AUC0-48h .
PMID- 29352483
TI - Epidermal aspects of type VII collagen: Implications for dystrophic epidermolysis
bullosa and epidermolysis bullosa acquisita.
AB - Type VII collagen (COL7), a major component of anchoring fibrils in the epidermal
basement membrane zone, has been characterized as a defective protein in
dystrophic epidermolysis bullosa and as an autoantigen in epidermolysis bullosa
acquisita. Although COL7 is produced and secreted by both epidermal keratinocytes
and dermal fibroblasts, the role of COL7 with regard to the epidermis is rarely
discussed. This review focuses on COL7 physiology and pathology as it pertains to
epidermal keratinocytes. We summarize the current knowledge of COL7 production
and trafficking, its involvement in keratinocyte dynamics, and epidermal
carcinogenesis in COL7 deficiency and propose possible solutions to unsolved
issues in this field.
PMID- 29352485
TI - Nonclassical monocytes: are they the next therapeutic targets in multiple
sclerosis?
PMID- 29352484
TI - Melatonin ameliorates Abeta42 -induced alteration of betaAPP-processing
secretases via the melatonin receptor through the Pin1/GSK3beta/NF-kappaB pathway
in SH-SY5Y cells.
AB - Melatonin is involved in the physiological regulation of the beta-amyloid
precursor protein (betaAPP)-cleaving secretases which are responsible for
generation of the neurotoxic amyloid beta (Abeta) peptide, one of the hallmarks
of Alzheimer's disease (AD) pathology. In this study, we aimed to determine the
underlying mechanisms of this regulation under pathological conditions. We
establish that melatonin prevents Abeta42 -induced downregulation of a
disintegrin and metalloproteinase domain-containing protein 10 (ADAM10) as well
as upregulation of beta-site APP-cleaving enzyme 1 (BACE1) and presenilin 1 (PS1)
in SH-SY5Y cell cultures. We also demonstrate that the intrinsic mechanisms of
the observed effects occurred via regulation of nuclear factor kappa-light-chain
enhancer of activated B cells (NF-kappaB) and glycogen synthase kinase (GSK)
3beta as melatonin reversed Abeta42 -induced upregulation and nuclear
translocation of NF-kappaBp65 as well as activation of GSK3beta via its receptor
activation. Furthermore, specific blocking of the NF-kappaB and GSK3beta pathways
partially abrogated the Abeta42 -induced reduction in the BACE1 and PS1 levels.
In addition, GSK3beta blockage affected alpha-secretase cleavage and modulated
nuclear translocation of NF-kappaB. Importantly, our study for the first time
shows that peptidyl-prolyl cis-trans isomerase NIMA-interacting 1 (Pin1) is a
crucial target of melatonin. The compromised levels and/or genetic variation of
Pin1 are associated with age-dependent tau and Abeta pathologies and neuronal
degeneration. Interestingly, melatonin alleviated the Abeta42 -induced reduction
of nuclear Pin1 levels and preserved the functional integrity of this isomerase.
Our findings illustrate that melatonin attenuates Abeta42 -induced alterations of
betaAPP-cleaving secretases possibly via the Pin1/GSK3beta/NF-kappaB pathway.
PMID- 29352486
TI - Adverse effects of amphotericin B in children; a retrospective comparison of
conventional and liposomal formulations.
AB - AIMS: Lipid formulations of amphotericin B, rather than conventional amphotericin
(c-amB), are increasingly used despite limited data comparing these preparations
in children. Data on the incidence of adverse effects with amphotericin B at
standard doses are scarce. This study aimed to compare the adverse effects
associated with standard doses of c-amB and liposomal amphotericin (l-amB) in
children. METHODS: Children admitted to the Royal Children's Hospital Melbourne
and treated with c-amB or l-amB between January 2010 and September 2013 were
included. Clinical and laboratory data were retrospectively extracted from
medical records to compare amphotericin-related infusion reactions,
nephrotoxicity (glomerulotoxicity and tubulopathy) and hepatotoxicity. RESULTS:
Seventy-six children received c-amB and 39 received l-amB. Standard drug
administration (recommended dose and infusion time) occurred in 74% (56/76) of
patients on c-amB and 85% (33/39) on l-amB. In these 89 children, infusion
related reactions were similar for both c-amB and l-amB (23% (13/56) vs. 9%
(3/33); P = 0.15); none occurred in children aged <90 days. There was no
difference in amphotericin-associated glomerulotoxicity (c-amB 14% (8/56) vs. l
amB 21% (7/33); P = 0.40) or in the median maximum potassium requirements (c-amB
3.1 vs. l-amB 2.3 mmol kg-1 d-1 ; P = 0.29). Hepatotoxicity occurred more
frequently with l-amB than c-amB (83% (24/29) vs. 56% (20/36); P = 0.032).
CONCLUSIONS: When appropriately administered, l-amB was associated with more
hepatotoxicity than c-amB, with no difference in infusion-related reactions or
nephrotoxicity. Differences in adverse effects between the preparations is not as
marked in children as reported in adults.
PMID- 29352487
TI - Disaccharide combinations and the expression of enolase3 and plasma membrane Ca2+
ATPase isoform in sturgeon sperm cryopreservation.
AB - Acipenser sinensis and Acipenser dabryanus are critically endangered species, so
germplasm conservation via cryopreservation of sperm is necessary. Disaccharides
can act as membrane-impermeable cryoprotectants, and enolase3 (ENO3) and plasma
membrane Ca2+ ATPase isoform (PMCA2) are proteins associated with sperm quality.
We considered seven characteristics of sperm quality in cultured brood stock from
A. sinensis and A. dabryanus. We tested use of sucrose or trehalose alone and in
combination at different concentrations for cryopreservation of A. dabryanus
sperm. A low concentration of sucrose plus trehalose (S15 T15 ) was optimal.
Mixing of the extender with sucrose, lactose, or trehalose alone or with pairwise
mixtures revealed that a mixture of lactose and trehalose (L15 T15 ) gave the
best results for both A. sinensis and A. dabryanus. Enolase3 and PMCA2 expression
levels were measured in cryopreserved A. sinensis sperm via Western blotting.
Relative ENO3 and PMCA2 expression levels were examined, and the relationship
between disaccharide composition, sperm quality and protein expression was
explored in A. sinensis. The results showed that relative ENO3 and PMCA2
expression levels were the highest at L15 T15 in cryopreserved A. sinensis sperm.
There were significant positive correlations between ENO3 expression and
percentage membrane integrity, and between PMCA2 expression and sperm motility
parameters (percentage of motile sperm, curvilinear velocity, straight-line
velocity and average path velocity; p < .05) in cryopreserved A. sinensis sperm.
Our results indicate the optimal disaccharide combination and concentrations for
cryopreservation of A. sinensis and A. dabryanus sperm and suggest that ENO3 and
PMCA2 expression levels could serve as a valuable indicator of sperm quality in
A. sinensis.
PMID- 29352489
TI - Prospective questionnaire study showed that higher self-efficacy predicted longer
exclusive breastfeeding by the mothers of late preterm infants.
AB - AIM: An important variable that influences breastfeeding outcomes is how
confident a woman feels about her ability to breastfeed successfully at an early
stage. We investigated breastfeeding self-efficacy in the mothers of late preterm
infants. METHODS: This was a prospective, comparative study that focused on
mothers who had delivered babies at 34 + 0 to 36 + 6 weeks and were recruited in
2012-2015 from a neonatal intensive care unit and a postnatal ward at a Swedish
university hospital. The Breastfeeding Self-Efficacy Scale-Short Form (BSES-SF)
was used to psychometrically assess the mothers at 40 weeks of postmenstrual age
(n = 148) and at three months of corrected age (n = 114). RESULTS: The BSES-SF
scores were higher in the 87% of mothers that exclusively breastfed when their
babies reached 40 weeks (57.1 out of 70) than those who did not (41.4, p <
0.001), indicating better self-efficacy. The figures remained higher in the 68%
of exclusive breastfeeding mothers at three months of corrected age (60.9 versus
51.7). CONCLUSION: Self-efficacy was an important predictor of the length of
breastfeeding in mothers of late preterm infants, and the BSES-SF can be used to
detect low self-efficacy that could lead to early breastfeeding cessation.
PMID- 29352488
TI - Understanding intratumor heterogeneity by combining genome analysis and
mathematical modeling.
AB - Cancer is composed of multiple cell populations with different genomes. This
phenomenon called intratumor heterogeneity (ITH) is supposed to be a fundamental
cause of therapeutic failure. Therefore, its principle-level understanding is a
clinically important issue. To achieve this goal, an interdisciplinary approach
combining genome analysis and mathematical modeling is essential. For example, we
have recently performed multiregion sequencing to unveil extensive ITH in
colorectal cancer. Moreover, by employing mathematical modeling of cancer
evolution, we demonstrated that it is possible that this ITH is generated by
neutral evolution. In this review, we introduce recent advances in a research
field related to ITH and also discuss strategies for exploiting novel findings on
ITH in a clinical setting.
PMID- 29352490
TI - Oral lichenoid reaction showing multiple ulcers associated with anti-programmed
death cell receptor-1 treatment: A report of two cases and published work review.
AB - Anti-programmed cell death receptor-1 (PD-1) antibodies represent an effective
treatment opinion for advanced melanoma and non-small-cell lung cancer, as well
as other cancerous entities. Immune checkpoint inhibitors such as anti-PD-1
antibody result in a unique side-effect profile, commonly described as immune
related adverse events (irAE). These irAE affect the skin, gastrointestinal
tract, liver, endocrine system and other organ systems. We report two cases of
oral lichenoid reaction showing multiple ulcers associated with nivolumab
treatment. Both patients presented with multiple ulcers covered with fibrinous
plaque over the entire oral mucosa, lips and tongue. Histopathological
examination of ulceration showed epithelial necrosis and subepidermal clefts with
dense band-like layers of lymphohistiocytic infiltrate within the upper dermis.
Nivolumab was interrupted in both cases. Case 1 responded well to topical
corticosteroids. Case 2 required oral corticosteroids, however, nivolumab could
be restarted without recurrence of oral ulcers. We provide a comprehensive review
of reported cases of lichenoid reaction showing multiple oral ulcers associated
with anti-PD-1 therapy to date. Early recognition and management may improve
treatment, avoid discontinuation of life-saving therapy and maintain quality of
life in these patients.
PMID- 29352491
TI - Continuous glucose monitoring in pregnant women with Type 1 diabetes: benefits
for mothers, using pumps or pens, and their babies.
AB - AIMS: To review the current literature on the use of continuous glucose
monitoring during pregnancy in women with Type 1 diabetes. METHODS: We searched
the literature for randomized controlled trials using continuous glucose
monitoring during pregnancy in women with Type 1 diabetes. RESULTS: Three
randomized trials were found and discussed in this review. One UK study found a
reduction in large-for-gestational-age infants; however, only masked continuous
glucose monitoring was used in that study. A Danish study used intermittent real
time continuous glucose monitoring and found no differences. The present authors
conducted the CONCEPTT trial, in which pregnant women and women planning
pregnancy were randomized to receive continuous glucose monitoring or standard
care. We found a greater drop in HbA1c , more time spent in the target range, and
a reduction in some adverse neonatal outcomes in women using continuous glucose
monitoring. Numbers-needed-to-treat to prevent a large-for-gestational-age
infant, a neonatal intensive care unit admission for >24 h, and a neonatal
hypoglycaemia event were low. These findings were seen in both injection and pump
users and across all countries. Possible reasons for differences in study
findings are discussed. In addition, several issues need further study. Glycaemic
variability and differences in dietary intake may also have played a role.
Despite excellent glycaemic control, babies continue to be large. More research
is needed to understand the role of glucose targets and the dynamic placental
processes involved in fetal growth. CONCLUSIONS: The use of continuous glucose
monitoring in women with Type 1 diabetes in pregnancy is associated with improved
glycaemic control and neonatal outcomes. Further research examining the glycaemic
and non-glycaemic variables involved in fetal growth and the cost-benefit of
using continuous glucose monitoring in pregnancy is warranted.
PMID- 29352492
TI - Variability of pulsed energy outputs from three dermatology lasers during
multiple simulated treatments.
AB - BACKGROUND: Dermatology laser treatments are undertaken at regional departments
using lasers of different powers and wavelengths. In order to achieve good
outcomes, there needs to be good consistency of laser output across different
weeks as it is custom and practice to break down the treatments into individual
fractions. Departments will also collect information from test patches to help
decide on the most appropriate treatment parameters for individual patients.
OBJECTIVES: The objective of these experiments is to assess the variability of
the energy outputs from a small number of lasers across multiple weeks at
realistic parameters. METHODS: The energy outputs from 3 lasers were measured at
realistic treatment parameters using a thermopile detector across a period of 6
weeks. RESULTS: All lasers fired in single-pulse mode demonstrated good
repeatability of energy output. In spite of one of the lasers being scheduled for
a dye canister change in the next 2 weeks, there was good energy matching between
the two devices with only a 4%-5% variation in measured energies. CONCLUSIONS:
Based on the results presented, clinical outcomes should not be influenced by
variability in the energy outputs of the dermatology lasers used as part of the
treatment procedure.
PMID- 29352493
TI - Effect of dietary manipulation and vaccination of turkey breeder hens on
immunoglobulin levels of yolk, yolk sac and neonate poults.
AB - Two hundred turkey breeder hens and 24 viable toms of 30-35 weeks age of small
white variety were distributed into two treatment groups having four replicates
of 25 hens and three toms in each treatment. First four replicates were offered a
turkey breeder diet (Diet A) (Nutrient requirements of poultry, 1994, National
Academic Press, Washington, DC) and the rest four replicates were maintained on a
higher plane of nutrition (Diet B) for 8-week duration. After 6 weeks of
experimental feeding, two replicates from each treatment groups were vaccinated
with ND (R2 B) vaccine. Yolk sac of embryo from birds fed Diet B had a
significantly higher (p < .05) IgG, IgM level and HI titre (log 2) than those fed
Diet A. HI titre values of embryonic yolk sac from the vaccinated birds fed Diet
B were significantly higher (p < .05) than that of the control groups. In
addition, HI titre values were significantly higher (p < .05) in the day-old
poults of the birds fed Diet B than that of those fed Diet A. There was
significantly (p < .01) positive correlation between serum IgG and IgM of the
breeder birds and day-old chicks. Similarly, there was significantly (p < .05)
positive correlation between yolk IgG and IgM after 1-month experimental feeding
and yolk sac IgG and IgM. Positive correlation (p < .05) also existed between
yolk sac IgM and day-old chick serum IgM. Furthermore, the HI titres of breeder
birds' serum at 14 days post-vaccination were positively correlated with their
egg yolk after 10 and 15 days post-vaccination, yolk sac and day-old chicks.
Thus, the study envisaged that a higher immunity in neonate poults from turkey
breeders maintained on a higher plane of nutrition may be elicited as there was
maternal transfer of antibodies from the serum of breeder birds to their
offsprings through their yolk sac.
PMID- 29352494
TI - The effects of late gestation nutrient restriction of dams on beef heifer intake,
metabolites and hormones during an ad libitum feeding trial.
AB - This study's objective was to determine if nutrient restriction during late
gestation affected beef heifer feed intake, body weight (BW) gain and endocrine
regulation during a 10-week feeding trial. During the last 100 days of gestation,
control (CON) dams were fed to increase body condition score (BCS). Whereas,
nutrient-restricted dams (NR) and NR dams protein supplemented 3 days/week (NRS)
were fed to decrease BCS by 1.2. After parturition, all cow-calf pairs were moved
to a common pasture and fed in excess of requirements until weaning. At 15 months
of age, heifers were randomly sorted into two pens and adjusted to a commercial
total mixed ration over a 2-week period. Blood samples and BW were taken at the
initiation of feeding and on a biweekly basis for the duration of the feeding
trial. Feed intake was monitored for 10 weeks using a GrowSafe System. After 10
weeks, an intravenous glucose tolerance test (IVGTT) was performed on 21 randomly
subsampled heifers. During the feeding trial, NR heifers consumed more feed than
CON and NRS heifers. Heifers from NR dams tended to increase BW compared to NRS
and CON heifers when adjusted for initial BW. Heifers from NR and NRS dams had a
greater increase in BCS compared to heifers from CON dams. Plasma glucose and
insulin concentrations during the feeding trial increased in NR heifers compared
to the other groups beginning at 2 and 4 weeks respectively. Plasma leptin
concentrations were increased in the NR and NRS heifers compared to the CON
heifers beginning at week 4 of feeding. During the IVGTT at the conclusion of the
feeding challenge, plasma glucose and insulin were increased in NR heifers
compared to other treatment groups. These results show that nutrient restriction
during late gestation alters appetite and endocrine regulation in heifer
offspring.
PMID- 29352495
TI - Ultrasonographic-based predictive factors influencing successful return to racing
after superficial digital flexor tendon injuries in flat racehorses: A
retrospective cohort study in 469 Thoroughbred racehorses in Hong Kong.
AB - BACKGROUND: Superficial digital flexor tendon (SDFT) injury is an important
health and welfare concern in racehorses. It is generally diagnosed with
ultrasonography, but predictive ultrasonographic features have not been reported.
OBJECTIVES: To determine ultrasonographic features of forelimb SDFT injury at
initial presentation in Thoroughbred racehorses that could predict a successful
return to racing (completing >=5 races). STUDY DESIGN: Retrospective cohort
study. METHODS: Digitised ultrasonographic images of 469 horses with forelimb
SDFT injuries from the Hong Kong Jockey Club (2003-2014) were evaluated, using a
previously validated ultrasonographic scoring system. Six ultrasonographic
parameters were evaluated (type and extent of the injury, location, echogenicity,
cross-sectional area and longitudinal fibre pattern of the maximal injury zone
[MIZ]), as well as horse signalment, retirement date and number of races before
and after injury. Data were analysed by generalised linear regression with
significance at P<0.05. RESULTS: Cases were divided into two groups: 1) For cases
of SDFT tendonitis with core lesions, cross-sectional area at the MIZ was the
most significant factor determining a successful return to racing (P = 0.03). If
the lesion was <50% of the total cross-sectional area, horses had 29-35%
probability of successfully racing again, but if it was >=50% this decreased to
11-16%. 2) For cases of SDFT tendonitis without a core lesion, longitudinal fibre
pattern at the MIZ best predicted a successful return to racing (P = 0.002); if
the affected longitudinal fibre pattern was <75% of the total, horses had 49-99%
probability of successfully return to racing, but if it was >=75% this decreased
to 14%. MAIN LIMITATIONS: Prognostic information may not be applicable to other
breeds/disciplines. CONCLUSIONS: This is the first study to describe
ultrasonographic features of forelimb SDFT injuries at initial presentation that
were predictive of successful return to racing. The outcomes will assist with
early, evidence-based decisions on prognosis in Thoroughbred racehorses.
PMID- 29352496
TI - Microcystic adnexal carcinoma with sebaceous differentiation: Three cases.
AB - Microcystic adnexal carcinoma (MAC) is a low-grade malignant tumor of the skin.
Histologically, this tumor shows a biphasic pattern, with cords and nests of
basaloid cells, as well as keratin horn cysts. This biphasic histological
appearance has been interpreted by some authors as a sign of double eccrine and
folliculosebaceous-apocrine differentiation, whereas some other authors defend a
solely eccrine differentiation. In this context, sebaceous differentiation in MAC
would support the first option. However, there are only 3 cases of MAC with
sebaceous differentiation in the literature, and all of them were reported before
adipophilin was available, which in the appropriate context (eg, testing clear
cells for sebaceous vs eccrine differentiation) is very useful. In this study, we
present 3 cases of MAC with focal sebaceous differentiation confirmed by
immunoexpression of adipophilin in the sebaceous foci.
PMID- 29352497
TI - Redox potential: An intrinsic parameter of the rumen environment.
AB - The ruminal ecosystem is a fermentative milieu which is interesting to discuss in
terms of its redox status as a reflection of an intense bacterial activity. The
objective of this systematic literature review is to quantitatively analyze data
collected on redox potential (Eh) in the rumen and to explore relationship
between ruminal Eh, nature of the diet fed to ruminants, and ruminal fermentation
parameters. Data obtained from 15 studies comprising 24 different diets was used
in the analysis. Studies included in the data file were selected based on the
criteria of: (i) the nature of the reference electrode was specified, (ii) the
ruminal Eh was recorded simultaneously to pH, (iii) animal body weight (BW) and
dry matter intake (DMI) were reported and (iv) the composition of the diet was
precisely described. Results showed a high variability in ruminal Eh values.
However, all recorded values are markedly negative reflecting the absence of
oxygen and the strong reducing power of the rumen environment. The main factors
contributing to the variation were the method of Eh measurement and the nature of
the diet fed to ruminants. Redox potential was positively correlated with DMI and
proportion of concentrate in the diet, and tended to be positively correlated
with soluble carbohydrates content. In contrast, it was negatively correlated
with neutral detergent fibre from forages. The hierarchical ascendant
classification discriminated two groups of diets leading to significant change in
ruminal Eh level. Ruminal Eh was negatively correlated with pH, total volatile
fatty acids produced and proportion of acetate, and positively correlated with
proportion of propionate. This review revealed Eh to be dependent on the diet
composition and DMI, at least within the range of diets included in the database.
PMID- 29352498
TI - Autofocusing-based phase correction.
AB - PURPOSE: Phase artifacts due to B0 inhomogeneity can severely degrade the quality
of MR images. The artifacts are particularly prominent in long-TE scans and
usually appear as ghosting and blur. We propose a retrospective phase correction
method based on autofocusing. The proposed method uses raw data acquired with
standard imaging sequences, and does not rely on navigators or external measures
of field inhomogeneity. METHODS: We formulate and solve the optimization problem,
where we seek the latent phase offsets that are associated with an optimal value
of the image quality measure that is evaluated in the spatial domain. As a
quality measure we use entropy computed on spatial image gradients. We propose
two types of objective function, both compatible with parallel imaging and
accelerated image acquisition. RESULTS: We evaluate the method on both synthetic
and real data. In real data case we evaluate the performance on a range of
sequences and images acquired with different acceleration factors. The
experimental results demonstrate that our method is capable of minimizing
ghosting artifacts and that the quality of the output images is similar to
navigator-based reconstructions. CONCLUSION: The presented technique can be
alternative to or complement navigator-based methods, and is able to improve
images with severe phase artifacts from all standard imaging sequences. Magn
Reson Med 80:958-968, 2018. (c) 2018 International Society for Magnetic Resonance
in Medicine.
PMID- 29352499
TI - 2016 measles outbreak in Japan: A report of two cases with reappraisal of
histological features.
PMID- 29352500
TI - Characterization of skin blister fluids from children with Epstein-Barr virus
associated lymphoproliferative disease.
AB - Epstein-Barr virus (EBV)-associated T- or natural killer (NK)-cell
lymphoproliferative disease (LPD) is a heterogeneous group of disorders
characterized by chronic proliferation of EBV-infected lymphocytes. Patients may
present with severe skin manifestations, including hypersensitivity to mosquito
bites (HMB) and hydroa vacciniforme (HV)-like eruption, which are characterized
by blister formation and necrotic ulceration. Skin biopsy specimens show
inflammatory reactions comprising EBV-infected lymphocytes. However, blister
fluids have not been fully assessed in patients with this disease. Blister fluids
were collected from three patients with EBV-associated LPD: two with HMB and one
with HV. Immunophenotyping of blister lymphocytes and measurement of tumor
necrosis factor (TNF)-alpha in blister fluids were performed. The patients with
HMB and HV exhibited markedly increased percentages of NK and gammadelta T cells,
respectively, in both peripheral blood and blister fluids. These NK and
gammadelta T cells strongly expressed the activation marker human leukocyte
antigen-DR and were considered to be cellular targets of EBV infections. TNF
alpha was highly elevated in all blister fluids. Severe local skin reactions of
EBV-associated LPD may be associated with infiltrating EBV-infected lymphocytes
and a high TNF-alpha concentration in blister fluids.
PMID- 29352501
TI - Predictors of beef calf temperament at weaning and its impact on temperament at
breeding and reproductive performance.
AB - Two experiments were conducted to determine (i) factors influencing calf
temperament at weaning, (ii) association between heifer-calf temperament at
weaning and temperament at breeding and (iii) effect of heifer-calf temperament
on pregnancy rate per artificial insemination (P/AI). In experiment 1, beef cows
and their calves (n = 285) from three farms were used. Sire docility estimated
progeny difference (EPD) score, birth type (normal or assisted), calf gender,
calf behaviour (during 1st 4 weeks) and calf health status (until weaning) were
recorded. Cows and calves were assigned a temperament score (0-calm; 1
excitable), and all cows were given a body condition score (BCS, 1-9; 1
emaciated; 9-obese) at weaning. Calf's illness (p < .05), low sire docility EPD
score (p < .05), altered gait (p < .05), altered resting behaviour (p < .01),
reduced/no play behaviour (p < .05) and cow excitable temperament (p < .001)
increased calf excitable temperament at weaning. In experiment 2, replacement
heifer-calves (n = 758) from 12 farms were assigned a temperament score at
weaning and later at breeding. Blood from 40 calves at weaning and 31 heifers at
initiation of synchronization (same animals) was collected by coccygeal
venipuncture for determination of circulating cortisol and substance P
concentrations. Heifers were assigned a BCS and reproductive tract score (RTS, 1
5; 1-immature, acyclic; 5-mature, cyclic), synchronized for fixed time AI,
observed for oestrus and were artificially inseminated. Cortisol concentrations
were increased in excitable heifer-calves compared to calm heifer-calves at
weaning (p < .05), and substance P was increased in excitable compared to calm
females both at weaning and breeding (p < .05). Low sire EPD docility score (p <
.01), heifer-calf excitable temperament at weaning increased excitable
temperament at breeding (p < .01). Controlling for BCS categories (p < .01),
oestrous expression (p < .0001) and temperament at breeding by oestrous
expression (p < .05), the calf's excitable temperament at weaning (p < .001)
reduced P/AI (Calm, 62.7 (244/389) vs. Excitable, 53.4% (197/369); p < .01). In
conclusion, selection of docile cows and sires with greater docility EPD score
should be given consideration to reduce calf excitement. Temperament in beef
female can be detected earlier in their life and could be used as a tool in the
selection process and to improve their performances.
PMID- 29352502
TI - Case of relapsing remitting neuro-Sweet disease mimicking immunoglobulin G4
related disease.
PMID- 29352503
TI - In vivo effects of MU-opioid receptor agonist/delta-opioid receptor antagonist
peptidomimetics following acute and repeated administration.
AB - BACKGROUND AND PURPOSE: Agonists at MU-opioid receptors (MU-receptors) are used
for pain management but produce adverse effects including tolerance, dependence
and euphoria. The co-administration of a MU-receptor agonist with a delta-opioid
receptor (delta-receptor) antagonist has been shown to produce antinociception
with reduced development of some side effects. We characterized the effects of
three MU-receptor agonist/delta-receptor antagonist peptidomimetics in vivo after
acute and repeated administration to determine if this profile provides a viable
alternative to traditional opioid analgesics. EXPERIMENTAL APPROACH: Three MU
receptor agonist / delta-receptor antagonist peptidomimetics, AAH8, AMB46 and
AMB47, and morphine were evaluated for the development of tolerance and
dependence after 5 days of twice daily treatment with escalating doses of drug
(10-50 mg.kg-1 ). Antinociceptive effects were measured in the warm water tail
withdrawal assay before and after repeated drug treatment. Physical dependence
was evaluated by naltrexone-precipitated withdrawal jumping. The rewarding
effects of AAH8 were evaluated using a conditioned place preference (CPP) assay
with twice daily conditioning sessions performed for 5 days. KEY RESULTS:
Morphine, AAH8, AMB47 and AMB46 all demonstrated acute antinociceptive effects,
but repeated administration only produced tolerance in animals treated with
morphine and AMB46. Injection of naltrexone precipitated fewer jumps in mice
treated repeatedly with AAH8 as compared with morphine, AMB47 or AMB46.
Conditioning with morphine, but not AAH8, produced significant CPP. CONCLUSIONS
AND IMPLICATIONS: AAH8 may be a better alternative than traditional opioid
analgesics, producing antinociception with less development of tolerance and
dependence and may be less rewarding than morphine.
PMID- 29352504
TI - Trophic niche, capture efficiency and venom profiles of six sympatric ant-eating
spider species (Araneae: Zodariidae).
AB - The arms race between specialist predators and their prey has resulted in the
evolution of a variety of specific adaptations. In venomous predators, this can
include venom composition, particularly if predators are specialized on dangerous
prey. Here, we performed an integrative study using six species of highly
specialized ant-eating spiders of the genus Zodarion to investigate their
phylogeny, realized trophic niche, efficacy in the capture of various ant species
and venom composition. Data on natural diet obtained by next-generation
sequencing and field observations showed that the six Zodarion species exploit
different ant species. Their phylogeny, based on mitochondrial and nuclear genes,
correlated with the composition of their natural prey, indicating that closely
related Zodarion species specialize on similar ant species. Prey-capture
parameters differed among Zodarion species suggesting prey-specific efficacy.
Similarly, the venom profiles of both low and high molecular compounds differed
among species. Only the profiles of low molecular compounds were correlated with
capture efficacy parameters, suggesting that the venom of Zodarion spiders
contains prey-specific components. Our study suggests that Iberian Zodarion
spiders are specialized on particular ant species.
PMID- 29352505
TI - p53-independent Noxa induction by cisplatin is regulated by ATF3/ATF4 in head and
neck squamous cell carcinoma cells.
AB - The platinum-based DNA damaging agent cisplatin is used as a standard therapy for
locally advanced head and neck squamous cell carcinoma (HNSCC). However, the
mechanisms underpinning the cytotoxic effects of this compound are not entirely
elucidated. Cisplatin produces anticancer effects primarily via activation of the
DNA damage response, followed by inducing BCL-2 family dependent mitochondrial
apoptosis. We have previously demonstrated that cisplatin induces the expression
of proapoptotic BCL-2 family protein, Noxa, that can bind to the prosurvival BCL
2 family protein, MCL-1, to inactivate its function and induce cell death. Here,
we show that the upregulation of Noxa is critical for cisplatin-induced apoptosis
in p53-null HNSCC cells. This induction is regulated at the transcriptional
level. With a series of Noxa promoter-luciferase reporter assays, we find that
the CRE (cAMP response element) in the promoter is critical for the Noxa
induction by cisplatin treatment. Among the CREB/ATF transcription factors, ATF3
and ATF4 are induced by cisplatin, and downregulation of ATF3 or ATF4 reduced
cisplatin-induced Noxa. ATF3 and ATF4 bind to and cooperatively activate the Noxa
promoter. Furthermore, ERK1 is involved in cisplatin-induced ATF4 and Noxa
induction. In conclusion, ATF3 and ATF4 are important regulators that induce Noxa
by cisplatin treatment in a p53-independent manner.
PMID- 29352506
TI - Patterns of burns and scalds in Mongolian children: a hospital-based prospective
study.
AB - OBJECTIVE: To describe the circumstances of burn injury occurrence among
Mongolian children and the products involved. METHODS: Study participants were
children aged 15 years and younger who were admitted to the Burn Unit of the
National Trauma Orthopedic Research Center from August 2015 to July 2016. We
collected data on participant demographics and the aetiology and clinical
features of their burn injuries, and we analysed the data based on the NOMESCO
Classification model. FINDINGS: Of 906 children, 83% were aged 0-3 years, 66%
were injured around the cooking area in the traditional tent-like dwelling called
a ger or a detached house where no specified kitchen exists, and 28% were injured
in a kitchen. Burn injuries resulted mostly from exposure to overflowing hot
liquids (93%). Electric pots and electric kettles were the products most
frequently involved in causing burn injuries (41% and 14%, respectively). Of 601
major burn injuries, 52% were due to electric pots. Moreover, burn injuries
inflicted by electric pots were most likely to be major burn injuries (83%).
Children typically fell into electric pots, while electric kettles were often
pulled down by children. CONCLUSION: Burn injuries among Mongolian children
mainly occurred in cooking area of a ger involving electric pots. The current
practice of cooking on the floor should be reconsidered for child burn
prevention.
PMID- 29352507
TI - Nuclear and membrane estrogen receptor antagonists induce similar mTORC2
activation-reversible changes in synaptic protein expression and actin
polymerization in the mouse hippocampus.
AB - AIMS: Estrogens play pivotal roles in hippocampal synaptic plasticity through
nuclear receptors (nERs; including ERalpha and ERbeta) and the membrane receptor
(mER; also called GPR30), but the underlying mechanism and the contributions of
nERs and mER remain unclear. Mammalian target of rapamycin complex 2 (mTORC2) is
involved in actin cytoskeleton polymerization and long-term memory, but whether
mTORC2 is involved in the regulation of hippocampal synaptic plasticity by ERs is
unclear. METHODS: We treated animals with nER antagonists (MPP/PHTPP) or the mER
antagonist (G15) alone or in combination with A-443654, an activator of mTORC2.
Then, we examined the changes in hippocampal SRC-1 expression, mTORC2 signaling
(rictor and phospho-AKTSer473), actin polymerization (phospho-cofilin and
profilin-1), synaptic protein expression (GluR1, PSD95, spinophilin, and
synaptophysin), CA1 spine density, and synapse density. RESULTS: All of the
examined parameters except synaptophysin expression were significantly decreased
by MPP/PHTPP and G15 treatment. MPP/PHTPP and G15 induced a similar decrease in
most parameters except p-cofilin, GluR1, and spinophilin expression. The ER
antagonist-induced decreases in these parameters were significantly reversed by
mTORC2 activation, except for the change in SRC-1, rictor, and synaptophysin
expression. CONCLUSIONS: nERs and mER contribute similarly to the changes in
proteins and structures associated with synaptic plasticity, and mTORC2 may be a
novel target of hippocampal-dependent dementia such as Alzheimer's disease as
proposed by previous studies.
PMID- 29352508
TI - Inhibition of BRD4 attenuates cardiomyocyte apoptosis via NF-kappaB pathway in a
rat model of myocardial infarction.
AB - BACKGROUND: Myocardial infarction (MI) remains the most common cause of heart
failure (HF) worldwide. For almost 50 years, HF has been recognized as a
determinant of adverse prognosis after MI, but efforts to promote myocardial
repair have failed to be translated into clinical therapies. AIMS: In this study,
we investigated the effects of BRD4 on cardiac function and the underlying
mechanism. MATERIAL AND METHODS: The in vivo rat model of AMI and in vitro
neonatal cardiomyocytes were established and cultured respectively, the BRD4 and
NPPA/NPPB expression levels were detected by qPCR and Western blot, and
interaction of BRD4 with acetylation RelA or NPPA/B promoters were examined by co
immunoprecipitation and chromatin immunoprecipitation assays, respectively.
RESULTS: We found that BRD4 protein expression was significantly increased in
cardiomyocytes of MI rat model and cardiomyocytes under hypoxia, accompanied by
the expression of natriuretic peptide A (NPPA) and natriuretic peptide B (NPPB).
Functionally, knockdown of BRD4 greatly downregulated the NPPA and NPPB in vivo
and in vitro, improved the hemodynamic and biometric parameters in rat with heart
failure, as well as decreased the apoptosis occurrence. In vitro studies further
demonstrated that BRD4 bound with acetylated RelA to enhance the activation of NF
kappab signaling, which resulted in activation of NPPA and NPPB transcriptions.
CONCLUSIONS: Taken together, our findings suggest that inhibition of BRD4
attenuated cardiomyocyte apoptosis via NF-kappaB pathway in myocardial
infarction, and this study sheds light on developing new strategies to overcome
myocardial damage.
PMID- 29352510
TI - Identification of a novel HLA-C*12 allele, HLA-C*12:221.
AB - HLA-C*12:221 differs from C*12:02:03 by a single nucleotide substitution at
position 367 of exon 3.
PMID- 29352509
TI - Molecular evidence to suggest pigeon-type Chlamydia psittaci in association with
an equine foal loss.
AB - Chlamydia psittaci is an important avian pathogen with spillover from infected
wild and domesticated birds also posing a risk to human health. We recently
reported a case of C. psittaci equine placentitis associated with further
spillover to humans. Molecular typing of this case revealed it belonged to the
6BC clade of C. psittaci, a globally distributed highly virulent set of strains,
typically linked to infection spillover from parrots. Equine chlamydiosis
associated with C. psittaci infection has previously been reported elsewhere in
countries where parrots are not endemic, however, raising questions over the
identity of infecting C. psittaci strains and the potential infection reservoirs.
In this study, we describe the detection and molecular characterization of C.
psittaci in a case of equine abortion in southern Queensland. Equine placenta and
fresh liver and lung tissue from the necropsied foetus were positive by C.
psittaci-specific qPCR. Chlamydia psittaci-specific multilocus sequence typing
and ompA genotyping were used to further characterize the detected equine strains
and an additional strain obtained from a dove from a different geographic region
presenting with psittacosis. Molecular typing of this case revealed that the
infecting equine strains were closely related to the C0sittaci detected in dove,
all belonging to an evolutionary lineage of C. psittaci strains typically
associated with infections of pigeons globally. This finding suggests a broader
diversity of C. psittaci strains may be detected in horses and in association
with reproductive loss, highlighting the need for an expansion of surveillance
studies globally to understand the epidemiology of equine chlamydiosis and the
associated zoonotic risk.
PMID- 29352511
TI - Draft genome and reference transcriptomic resources for the urticating pine
defoliator Thaumetopoea pityocampa (Lepidoptera: Notodontidae).
AB - The pine processionary moth Thaumetopoea pityocampa (Lepidoptera: Notodontidae)
is the main pine defoliator in the Mediterranean region. Its urticating larvae
cause severe human and animal health concerns in the invaded areas. This species
shows a high phenotypic variability for various traits, such as phenology,
fecundity and tolerance to extreme temperatures. This study presents the
construction and analysis of extensive genomic and transcriptomic resources,
which are an obligate prerequisite to understand their underlying genetic
architecture. Using a well-studied population from Portugal with peculiar
phenological characteristics, the karyotype was first determined and a first
draft genome of 537 Mb total length was assembled into 68,292 scaffolds (N50 =
164 kb). From this genome assembly, 29,415 coding genes were predicted. To
circumvent some limitations for fine-scale physical mapping of genomic regions of
interest, a 3X coverage BAC library was also developed. In particular, 11 BACs
from this library were individually sequenced to assess the assembly quality.
Additionally, de novo transcriptomic resources were generated from various
developmental stages sequenced with HiSeq and MiSeq Illumina technologies. The
reads were de novo assembled into 62,376 and 63,175 transcripts, respectively.
Then, a robust subset of the genome-predicted coding genes, the de novo
transcriptome assemblies and previously published 454/Sanger data were clustered
to obtain a high-quality and comprehensive reference transcriptome consisting of
29,701 bona fide unigenes. These sequences covered 99% of the cegma and 88% of
the busco highly conserved eukaryotic genes and 84% of the busco arthropod gene
set. Moreover, 90% of these transcripts could be localized on the draft genome.
The described information is available via a genome annotation portal
(http://bipaa.genouest.org/sp/thaumetopoea_pityocampa/).
PMID- 29352512
TI - TRPV1 antagonists that cause hypothermia, instead of hyperthermia, in rodents:
Compounds' pharmacological profiles, in vivo targets, thermoeffectors recruited
and implications for drug development.
AB - AIM: Thermoregulatory side effects hinder the development of transient receptor
potential vanilloid-1 (TRPV1) antagonists as new painkillers. While many
antagonists cause hyperthermia, a well-studied effect, some cause hypothermia.
The mechanisms of this hypothermia are unknown and were studied herein. METHODS:
Two hypothermia-inducing TRPV1 antagonists, the newly synthesized A-1165901 and
the known AMG7905, were used in physiological experiments in rats and mice. Their
pharmacological profiles against rat TRPV1 were studied in vitro. RESULTS:
Administered peripherally, A-1165901 caused hypothermia in rats by either
triggering tail-skin vasodilation (at thermoneutrality) or inhibiting
thermogenesis (in the cold). A-1165901-induced hypothermia did not occur in rats
with desensitized (by an intraperitoneal dose of the TRPV1 agonist
resiniferatoxin) sensory abdominal nerves. The hypothermic responses to A-1165901
and AMG7905 (administered intragastrically or intraperitoneally) were absent in
Trpv1-/- mice, even though both compounds evoked pronounced hypothermia in
Trpv1+/+ mice. In vitro, both A-1165901 and AMG7905 potently potentiated TRPV1
activation by protons, while potently blocking channel activation by capsaicin.
CONCLUSION: TRPV1 antagonists cause hypothermia by an on-target action: on TRPV1
channels on abdominal sensory nerves. These channels are tonically activated by
protons and drive the reflectory inhibition of thermogenesis and tail-skin
vasoconstriction. Those TRPV1 antagonists that cause hypothermia further inhibit
these cold defences, thus decreasing body temperature. SIGNIFICANCE: TRPV1
antagonists (of capsaicin activation) are highly unusual in that they can cause
both hyper- and hypothermia by modulating the same mechanism. For drug
development, this means that both side effects can be dealt with simultaneously,
by minimizing these compounds' interference with TRPV1 activation by protons.
PMID- 29352514
TI - An integrative review exploring the physical and psychological harm inherent in
using restraint in mental health inpatient settings.
AB - In Western society, policy and legislation seeks to minimize restrictive
interventions, including physical restraint; yet research suggests the use of
such practices continues to raise concerns. Whilst international agreement has
sought to define physical restraint, diversity in the way in which countries use
restraint remains disparate. Research to date has reported on statistics
regarding restraint, how and why it is used, and staff and service user
perspectives about its use. However, there is limited evidence directly exploring
the physical and psychological harm restraint may cause to people being cared for
within mental health inpatient settings. This study reports on an integrative
review of the literature exploring available evidence regarding the physical and
psychological impact of restraint. The review included both experimental and
nonexperimental research papers, using Cooper's (1998) five-stage approach to
synthesize the findings. Eight themes emerged: Trauma/retraumatization; Distress;
Fear; Feeling ignored; Control; Power; Calm; and Dehumanizing conditions. In
conclusion, whilst further research is required regarding the physical and
psychological implications of physical restraint in mental health settings,
mental health nurses are in a prime position to use their skills and knowledge to
address the issues identified to eradicate the use of restraint and better meet
the needs of those experiencing mental illness.
PMID- 29352513
TI - Morbid obesity and type 2 diabetes alter intestinal fatty acid uptake and blood
flow.
AB - AIMS: Bariatric surgery is the most effective treatment to tackle morbid obesity
and type 2 diabetes, but the mechanisms of action are still unclear. The
objective of this study was to investigate the effects of bariatric surgery on
intestinal fatty acid (FA) uptake and blood flow. MATERIALS AND METHODS: We
recruited 27 morbidly obese subjects, of whom 10 had type 2 diabetes and 15 were
healthy age-matched controls. Intestinal blood flow and fatty acid uptake from
circulation were measured during fasting state using positron emission tomography
(PET). Obese subjects were re-studied 6 months after bariatric surgery. The
mucosal location of intestinal FA retention was verified in insulin resistant
mice with autoradiography. RESULTS: Compared to lean subjects, morbidly obese
subjects had higher duodenal and jejunal FA uptake (P < .001) but similar
intestinal blood flow (NS). Within 6 months after bariatric surgery, obese
subjects had lost 24% of their weight and 7/10 diabetic subjects were in
remission. Jejunal FA uptake was further increased (P < .03). Conversely,
bariatric surgery provoked a decrease in jejunal blood flow (P < .05) while
duodenal blood flow was preserved. Animal studies showed that FAs were taken up
into enterocytes, for the most part, but were also transferred, in part, into the
lumen. CONCLUSIONS: In the obese, the small intestine actively takes up FAs from
circulation and FA uptake remains higher than in controls post-operatively.
Intestinal blood flow was not enhanced before or after bariatric surgery,
suggesting that enhanced intestinal FA metabolism is not driven by intestinal
perfusion.
PMID- 29352515
TI - Principles of alemtuzumab immunoablation in hematopoietic cell transplantation
for non-malignant diseases in children: A review.
AB - Alemtuzumab is a humanized mAb targeted to CD52. Alemtuzumab is highly
immunosuppressive with the ability to deplete T and B cells (in addition to other
immune cell lines). A growing understanding of the PKs, dosing, and timing of
administration of alemtuzumab has allowed for the study of its use as a
conditioning agent for allogeneic HCT. The highly immunosuppressive properties of
the drug are particularly appealing in the setting of non-malignant HCT, where
GVHD provides no clinical benefit and relapse of malignancy is not applicable. In
addition, the degree of immune suppression achieved with alemtuzumab has allowed
for a reduction in the intensity of myeloablative cytotoxic agents included in
some HCT conditioning regimens, allowing for fewer acute and late toxicities.
This review paper will provide a comprehensive summary of the mechanism of
action, PKs, dosing, and timing of alemtuzumab, a brief description of its use in
various allogeneic HCT protocols for non-malignant conditions and a summary of
the data regarding its use for GVHD therapy. The goal of this review was to
provide an understanding as to how alemtuzumab might be safely incorporated into
HCT conditioning regimens for children with non-malignant disease, allowing for
expanded access to curative HCT therapy.
PMID- 29352516
TI - Successful hematopoietic cell transplantation following cardiac transplantation
in two pediatric patients.
AB - We report two patients who underwent cardiac transplantation at a young age and
subsequently required a HCT for varied indications. Despite the challenges
associated with HCT following cardiac transplant, including need for altered
immunosuppression, toxicities related to chemotherapy exposure, and infection
risks, both patients are currently alive and well. There is a paucity of such
successful cases documented in the literature, and these cases highlight the
critical importance of an experienced, multidisciplinary team when caring for
this patient population.
PMID- 29352517
TI - Micro-ribonucleic acid-binding site variants of type 2 diabetes candidate loci
predispose to gestational diabetes mellitus in Chinese Han women.
AB - AIMS/INTRODUCTION: Emerging evidence has suggested that the genetic background of
gestational diabetes mellitus (GDM) was analogous to type 2 diabetes mellitus. In
contrast to type 2 diabetes mellitus, the genetic studies for GDM were limited.
Accordingly, the aim of the present study was to extensively explore the
influence of micro-ribonucleic acid-binding single-nucleotide polymorphisms
(SNPs) in type 2 diabetes mellitus candidate loci on GDM susceptibility in
Chinese. MATERIALS AND METHODS: A total of 839 GDM patients and 900 controls were
enrolled. Six micro-ribonucleic acid-binding SNPs were selected from 30 type 2
diabetes mellitus susceptibility loci and genotyped using TaqMan allelic
discrimination assays. RESULTS: The minor allele of three SNPs, PAX4 rs712699 (OR
1.366, 95% confidence interval 1.021-1.828, P = 0.036), KCNB1 rs1051295 (OR
1.579, 95% confidence interval 1.172-2.128, P = 0.003) and MFN2 rs1042842 (OR
1.398, 95% confidence interval 1.050-1.862, P = 0.022) were identified to
significantly confer higher a risk of GDM in the additive model. The association
between rs1051295 and increased fasting plasma glucose (b = 0.006, P = 0.008), 3
h oral glucose tolerance test plasma glucose (b = 0.058, P = 0.025) and
homeostatic model assessment of insulin resistance (b = 0.065, P = 0.017) was
also shown. Rs1042842 was correlated with higher 3-h oral glucose tolerance test
plasma glucose (b = 0.056, P = 0.028). However, no significant correlation
between the other included SNPs (LPIN1 rs1050800, VPS26A rs1802295 and NLRP3
rs10802502) and GDM susceptibility were observed. CONCLUSIONS: The present
findings showed that micro-ribonucleic acid-binding SNPs in type 2 diabetes
mellitus candidate loci were also associated with GDM susceptibility, which
further highlighted the similar genetic basis underlying GDM and type 2 diabetes
mellitus.
PMID- 29352518
TI - Ropivacaine preperitoneal wound infusion for pain relief and prevention of
incisional hyperalgesia after laparoscopic colorectal surgery: a randomized,
triple-arm, double-blind controlled evaluation vs intravenous lidocaine infusion,
the CATCH study.
AB - AIM: The abdominal incision for specimen extraction could trigger postoperative
pain after laparoscopic colorectal resections (LCRs). Continuous wound infusion
(CWI) of ropivacaine may be a valuable option for postoperative analgesia. This
study was undertaken to evaluate the potential benefits of ropivacaine CWI on
pain relief, metabolic stress reaction, prevention of wound hyperalgesia and
residual incisional pain after LCR. A subgroup with intravenous lidocaine
infusion (IVL) was added to discriminate between the peripheral and systemic
effects of local anaesthetic infusions. METHOD: Patients were randomly allocated
to three subgroups: CWI (0.2% ropivacaine 10 ml/h for 48 h); IVL (lidocaine 1.5%
at 4 ml/h for 48 h); control group. RESULTS: In all, 95 patients were randomized
(86 patients analysed). Postoperative pain intensity did not differ significantly
between groups. Within the first 24 h after surgery, morphine requirement was
significantly lower in the CWI group compared with the IVL group, but there was
no significant difference compared with the control group (P = 0.02 and P = 0.15,
respectively). The area of hyperalgesia did not differ significantly between
subgroups, nor did the hyperalgesia ratio which was 1.2 cm (0.0-6.7) vs 1.9 cm
(0.4-4.0) vs 2.0 cm (0.5-7.0) in the CWI, IVL and control groups respectively (P
= 0.35). The number of patients reporting residual incisional pain after 3 months
(3/26 vs 4/23 vs 4/23 in the CWI, IVL and control groups respectively) did not
differ significantly between the groups, nor did their metabolic stress
reactions. CONCLUSION: Ropivacaine CWI at the site of the abdominal incision did
not provide any significant benefit either on analgesia or on the prevention of
wound hyperalgesia after LCR.
PMID- 29352519
TI - Nafamostat mesilate attenuates inflammation and apoptosis and promotes locomotor
recovery after spinal cord injury.
AB - AIM: Spinal cord injury (SCI) leads to severe neural damage for which there is
currently no effective treatment. Exploration of the neuroprotective effect among
clinically approved drugs will speed up clinical translation of SCI. Nafamostat
mesilate (NM) as a synthetic serine protease inhibitor has been used clinically
in pancreatitis treatments. However, its effectiveness in SCI is unknown. The aim
of this study was to confirm the efficacy of NM in ameliorating SCI. METHODS:
Intraperitoneal administration of NM was performed on a contusion SCI model in
Wistar rat. Hematoxylin and eosin staining (H&E staining) and Luxol fast blue
(LFB) staining were used to observe the histological lesions. Apoptosis was
examined by TUNEL staining, Annexin V-FITC/PI, caspase-3, and Bcl-2. Cytokines
and neurotrophins were tested by Western blot. Locomotion recovery assessed by
hindlimb BBB score and the inclined plane test. RESULTS: Nafamostat mesilate
treatment significantly improved locomotion recovery as assessed by hindlimb BBB
scores and the inclined plane test. H&E staining and LFB staining showed a
significant increase in spared tissue in both gray matter and white matter. NM
decreased the expression of the proinflammatory cytokines TNF-alpha and IL-6. In
addition, apoptosis was also significantly decreased, as shown by TUNEL staining
and Annexin V-FITC/PI and by Western blotting for caspase-3 and Bcl-2 expression.
Due to the mechanism of action of NM as a serine protease inhibitor, the drug
decreased thrombin expression in the damaged spinal cord. Furthermore, NM
increased the expression of neurotrophins (NT-3, BDNF, and NGF). CONCLUSIONS:
Upon NM treatment, the functional and histological outcomes were improved, and
microenvironment upon SCI was modulated. As a clinically approved drug, NM holds
promise for clinical use after spinal cord injury.
PMID- 29352520
TI - Ipragliflozin improves mitochondrial abnormalities in renal tubules induced by a
high-fat diet.
AB - AIMS/INTRODUCTION: Complete mechanisms of renoprotective effects of sodium
glucose cotransporter 2 (SGLT2) inhibitors have not been elucidated yet.
Mitochondrial biogenesis is regulated by membrane GTPases, such as optic atrophy
factor 1 and mitofusion 2. Here, we investigated whether SGLT2 inhibition in mice
fed with a high-fat diet (HFD) improved mitochondrial morphology and restored
mitochondrial biogenesis-related molecules. MATERIALS AND METHODS: Mice were fed
a control diet or HFD with or without ipragliflozin treatment. After 16 weeks,
the kidneys were taken out and utilized for the analysis. RESULTS: HFD-fed mice
treated with ipragliflozin showed increased caloric intake and ate more food than
the control HFD-fed mice. Body and kidney weights, and blood glucose levels were
not altered by ipragliflozin treatment in HFD-fed mice. Histological analysis
showed that, compared with control mice, HFD-fed mice displayed tubular
vacuolation, dilatation and epithelial cell detachment; ipragliflozin ameliorated
these alterations. Furthermore, ultrastructural analysis showed that the tubule
mitochondria of HFD-fed mice exhibited significant damage. Again, ipragliflozin
reversed the damage to a normal state, and restored optic atrophy factor 1 and
mitofusion 2 levels in HFD-fed mice. Increased urine 8-hydroxydeoxyguanosine
levels in HFD-fed mice were suppressed by ipragliflozin as well. In vitro
experiments using HK-2 cells revealed that either high glucose or high palmitate
suppressed optic atrophy factor 1 and mitofusion 2 levels. Suppression of SGLT2
by a specific small interfering ribonucleic acid or ipragliflozin restored these
GTPase levels to their normal values. CONCLUSIONS: SGLT2 inhibition might act
directly on tubular cells and protect kidney tubular cells from mitochondrial
damage by metabolic insults regardless of blood glucose levels or improvement in
bodyweight reduction.
PMID- 29352521
TI - Microdenervation of the spermatic cord for post-vasectomy pain syndrome.
AB - OBJECTIVE: To evaluate the outcomes of patients who underwent microdenervation of
the spermatic cord (MDSC) for post-vasectomy pain syndrome (PVPS) at our
institution. METHODS: A retrospective study of all patients who underwent MDSC
for PVPS by a single surgeon between March 2002 and October 2016 was performed.
Pain was documented using the numerical rating scale (NRS). Spermatic cord block
(SCB) was performed on all patients, and success was defined as NRS score <=1 for
>4 h. All patients had failed medical therapy prior to MDSC. All previous
procedures for PVPS had been performed elsewhere. Surgical success was defined as
a postoperative NRS score of <=1. RESULTS: A total of 27 patients with 28 scrotal
units underwent MDSC for PVPS. The median (1st quartile; 3rd quartile) follow-up
was 10 (2; 16.5) months. The median (range) duration of pain prior to surgery was
57 (8-468) months. Pain was bilateral in 14 (52%), left-sided in eight (30%) and
right-sided in five patients (19%). Data on SCB were available for 23 patients,
with a success rate of 96%. The median (range) preoperative pain NRS score was 7
(2-10). The median (range) pain score after SCB on the NRS scale was 0 (0-5). The
median (range) postoperative pain score on the NRS was 0 (0-9). Overall success
was achieved in 20 of 28 testicular units (71%). Patients with involvement of
multiple structures in the scrotum (i.e. testis, epididymis, spermatic cord) had
a success rate of 81% and were more likely to have a successful surgery (P <
0.001). Five patients had failed a prior epididymectomy and three had failed a
vaso-vasostomy for PVPS; this had no correlation with the success of MDSC (P =
0.89). CONCLUSION: The MDSC procedure is a reasonably successful, durable and
valuable approach for PVPS, especially when pain involves multiple structures in
the scrotum (testis, epididymis, spermatic cord). MDSC was equally efficacious in
patients who had previously failed a procedure for PVPS. No patient had a
worsening NRS score after MDSC. This is the largest study to date evaluating MDSC
for the treatment of PVPS.
PMID- 29352523
TI - Unilateral incompatibility is linked to reduced pollen expression of a farnesyl
pyrophosphate synthase.
PMID- 29352524
TI - Effects of Physical Activity and Sedentary Behavior on Brain Response to High
Calorie Food Cues in Young Adults.
AB - OBJECTIVE: Physical activity (PA) promotes weight maintenance, potentially
because of its beneficial effects on feeding behavior regulation via diminished
food cue reactivity within brain reward regions. This study examined how levels
of PA and sedentary behavior (SB) relate to brain responses to food cues.
METHODS: Participants (22 lean, 18 with obesity) completed three to five PA
recalls over 2 months. Average minutes per day of moderate to vigorous PA (MVPA)
and SB were calculated. Participants completed a functional magnetic resonance
imaging session, viewing food and nonfood images following glucose ingestion.
Region of interest (ROI) analysis examined associations between MVPA and brain
percent signal change in response to food versus nonfood images, controlling for
obesity and sex. Secondary analysis examined associations between SB and brain
responses to food cues. RESULTS: Greater MVPA was associated with decreased food
cue reactivity after glucose across brain ROIs (beta = -0.00057, P = 0.005),
controlling for obesity and sex. Greater SB was associated with increased food
cue reactivity after glucose across brain ROIs in unadjusted analyses (beta =
0.00041, P = 0.026). CONCLUSIONS: PA may have beneficial effects on brain
regulation of feeding behavior after caloric intake in lean individuals and
individuals with obesity.
PMID- 29352525
TI - An MRM-Based Cytokeratin Marker Assay as a Tool for Cancer Studies: Application
to Lung Cancer Pleural Effusions.
AB - PURPOSE: The goal of this work was to develop an LC-MRM assay for the
quantitative analysis of a set of established and diagnostically important
cytokeratin (CK) markers used in cancer diagnosis, prognosis, and therapy
monitoring. Second, the potential of this assay in lung cancer diagnosis through
pleural effusion (PE) analysis was examined. EXPERIMENTAL DESIGN: A multiplexed
MRM assay was developed for 17 CKs and their select caspase-cleaved fragments.
Isotope-labeled standard peptides were used for high assay specificity and
absolute peptide quantitation; with robust standard-flow LC coupled to a latest
generation triple-quadrupole instrument for high sensitivity. The potential
clinical applicability was demonstrated by the analysis of 118 PE samples.
RESULTS: The MRM assay was evaluated for endogenous detection, linearity,
precision, upper and lower limits of quantification, selectivity, reproducibility
and peptide stability, and is generally applicable to any epithelial cancer
study. A set of 118 patients with known pathologies allowed us to define the
range of CK levels in clinical PE samples. Specific CKs were able to
differentiate cancer-related PEs from those caused by benign ailments. In
addition, they allowed to differentiate between PEs from subjects with small cell
lung cancer versus non-small cell lung carcinoma, and to further differentiate
the latter into its two subtypes, adenocarcinoma and squamous cell carcinoma.
CONCLUSION AND CLINICAL RELEVANCE: An MRM-based CK assay for carcinoma studies
can differentiate between the three lung cancer histological types using less
invasive PE sampling providing potential therapy-guiding information on patients
that are inoperable.
PMID- 29352527
TI - Decline in suicide mortality after psychiatric hospitalization for depression in
Finland between 1991 and 2014.
PMID- 29352526
TI - ECTRIMS/EAN guideline on the pharmacological treatment of people with multiple
sclerosis.
AB - BACKGROUND AND PURPOSE: Multiple sclerosis (MS) is a complex disease of the
central nervous system. As new drugs are becoming available, knowledge on
diagnosis and treatment must continuously evolve. There is therefore a need for a
reference tool compiling current data on benefit and safety, to aid professionals
in treatment decisions and use of resources across Europe. The European Committee
of Treatment and Research in Multiple Sclerosis (ECTRIMS) and the European
Academy of Neurology (EAN) have joined forces to meet this need. The objective
was to develop an evidence-based clinical practice guideline for the
pharmacological treatment of people with MS to guide healthcare professionals in
the decision-making process. METHODS: This guideline has been developed using the
GRADE methodology and following the recently updated EAN recommendations for
guideline development. Clinical questions were formulated in PICO format
(patient, intervention, comparator, outcome) and outcomes were prioritized
according to their relevance to clinical practice. An exhaustive literature
search up to December 2016 was performed for each question and the evidence is
presented narratively and, when possible, combined in a meta-analysis using a
random-effects model. The quality of evidence for each outcome was rated into
four categories - very high, high, low and very low - according to the risk of
bias. GRADE evidence profiles were created using GRADEprofiler (GRADEpro)
software (Version 3.6). The recommendations with assigned strength (strong, weak)
were formulated based on the quality of evidence and the risk-benefit balance.
Consensus between the panellists was reached by use of the modified nominal group
technique. RESULTS: A total of 10 questions have been agreed, encompassing
treatment efficacy, response criteria, strategies to address suboptimal response
and safety concerns and treatment strategies in MS and pregnancy. The guideline
takes into account all disease-modifying drugs approved by the European Medicine
Agency at the time of publication. A total of 20 recommendations were agreed by
the guideline working group members after three rounds of consensus.
PMID- 29352528
TI - WPA Scientific Sections activities in the triennium 2014-2017.
PMID- 29352530
TI - Psychotherapies for depression in low- and middle-income countries: a meta
analysis.
AB - Most psychotherapies for depression have been developed in high-income Western
countries of North America, Europe and Australia. A growing number of randomized
trials have examined the effects of these treatments in non-Western countries. We
conducted a meta-analysis of these studies to examine whether these
psychotherapies are effective and to compare their effects between studies from
Western and non-Western countries. We conducted systematic searches in
bibliographical databases and included 253 randomized controlled trials, of which
32 were conducted in non-Western countries. The effects of psychotherapies in non
Western countries were large (g=1.10; 95% CI: 0.91-1.30), with high heterogeneity
(I2 =90; 95% CI: 87-92). After adjustment for publication bias, the effect size
dropped to g=0.73 (95% CI: 0.51-0.96). Subgroup analyses did not indicate that
adaptation to the local situation was associated with the effect size.
Comparisons with the studies in Western countries showed that the effects of the
therapies were significantly larger in non-Western countries, also after
adjusting for characteristics of the participants, the treatments and the
studies. These larger effect sizes in non-Western countries may reflect true
differences indicating that therapies are indeed more effective; or may be
explained by the care-as-usual control conditions in non-Western countries, often
indicating that no care was available; or may be the result of the relative low
quality of many trials in the field. This study suggests that psychotherapies
that were developed in Western countries may or may not be more effective in non
Western countries, but they are probably no less effective and can therefore also
be used in these latter countries.
PMID- 29352531
TI - Bridging the dichotomy of actual versus aspirational digital health.
PMID- 29352529
TI - Measuring and improving the quality of mental health care: a global perspective.
AB - Mental disorders are common worldwide, yet the quality of care for these
disorders has not increased to the same extent as that for physical conditions.
In this paper, we present a framework for promoting quality measurement as a tool
for improving quality of mental health care. We identify key barriers to this
effort, including lack of standardized information technology-based data sources,
limited scientific evidence for mental health quality measures, lack of provider
training and support, and cultural barriers to integrating mental health care
within general health environments. We describe several innovations that are
underway worldwide which can mitigate these barriers. Based on these experiences,
we offer several recommendations for improving quality of mental health care.
Health care payers and providers will need a portfolio of validated measures of
patient-centered outcomes across a spectrum of conditions. Common data elements
will have to be developed and embedded within existing electronic health records
and other information technology tools. Mental health outcomes will need to be
assessed more routinely, and measurement-based care should become part of the
overall culture of the mental health care system. Health care systems will need a
valid way to stratify quality measures, in order to address potential gaps among
subpopulations and identify groups in most need of quality improvement. Much more
attention should be devoted to workforce training in and capacity for quality
improvement. The field of mental health quality improvement is a team sport,
requiring coordination across different providers, involvement of consumer
advocates, and leveraging of resources and incentives from health care payers and
systems.
PMID- 29352534
TI - Increasing equity in access to mental health care: a critical first step in
improving service quality.
PMID- 29352533
TI - Complex PTSD and its correlates amongst female Yazidi victims of sexual slavery
living in post-ISIS camps.
PMID- 29352535
TI - Hikikomori: experience in Japan and international relevance.
PMID- 29352536
TI - Schizotypy, schizotypic psychopathology and schizophrenia.
PMID- 29352532
TI - The impact of severe mental disorders and psychotropic medications on sexual
health and its implications for clinical management.
AB - Sexual dysfunction often accompanies severe psychiatric illness and can be due to
both the mental disorder itself and the use of psychotropic treatments. Many
sexual symptoms resolve as the mental state improves, but treatment-related
sexual adverse events tend to persist over time, and are unfortunately under
recognized by clinicians and scarcely investigated in clinical trials. Treatment
emergent sexual dysfunction adversely affects quality of life and may contribute
to reduce treatment adherence. There are important differences between the
various compounds in the incidence of adverse sexual effects, associated with
differences in mechanisms of action. Antidepressants with a predominantly
serotonergic activity, antipsychotics likely to induce hyperprolactinaemia, and
mood stabilizers with hormonal effects are often linked to moderate or severe
sexual dysfunction, including decreased libido, delayed orgasm, anorgasmia, and
sexual arousal difficulties. Severe mental disorders can interfere with sexual
function and satisfaction, while patients wish to preserve a previously
satisfactory sexual activity. In many patients, a lack of intimate relationships
and chronic deterioration in mental and physical health can be accompanied by
either a poor sexual life or a more frequent risky sexual behaviour than in the
general population. Here we describe the influence of psychosis and antipsychotic
medications, of depression and antidepressant drugs, and of bipolar disorder and
mood stabilizers on sexual health, and the optimal management of patients with
severe psychiatric illness and sexual dysfunction.
PMID- 29352537
TI - Chance of response to an antidepressant: what should we say to the patient?
PMID- 29352538
TI - The promise and challenges of drug repurposing in psychiatry.
PMID- 29352541
TI - Exploiting routine data for international benchmarking of quality in mental
health care.
PMID- 29352539
TI - Income inequality and depression: a systematic review and meta-analysis of the
association and a scoping review of mechanisms.
AB - Most countries have witnessed a dramatic increase of income inequality in the
past three decades. This paper addresses the question of whether income
inequality is associated with the population prevalence of depression and, if so,
the potential mechanisms and pathways which may explain this association. Our
systematic review included 26 studies, mostly from high-income countries. Nearly
two-thirds of all studies and five out of six longitudinal studies reported a
statistically significant positive relationship between income inequality and
risk of depression; only one study reported a statistically significant negative
relationship. Twelve studies were included in a meta-analysis with dichotomized
inequality groupings. The pooled risk ratio was 1.19 (95% CI: 1.07-1.31),
demonstrating greater risk of depression in populations with higher income
inequality relative to populations with lower inequality. Multiple studies
reported subgroup effects, including greater impacts of income inequality among
women and low-income populations. We propose an ecological framework, with
mechanisms operating at the national level (the neo-material hypothesis),
neighbourhood level (the social capital and the social comparison hypotheses) and
individual level (psychological stress and social defeat hypotheses) to explain
this association. We conclude that policy makers should actively promote actions
to reduce income inequality, such as progressive taxation policies and a basic
universal income. Mental health professionals should champion such policies, as
well as promote the delivery of interventions which target the pathways and
proximal determinants, such as building life skills in adolescents and provision
of psychological therapies and packages of care with demonstrated effectiveness
for settings of poverty and high income inequality.
PMID- 29352542
TI - Mental health of children living in war zones: a risk and protection perspective.
PMID- 29352543
TI - A paradigm shift in psychiatric classification: the Hierarchical Taxonomy Of
Psychopathology (HiTOP).
PMID- 29352540
TI - Insight in schizophrenia spectrum disorders: relationship with behavior, mood and
perceived quality of life, underlying causes and emerging treatments.
AB - Poor insight in schizophrenia is prevalent across cultures and phases of illness.
In this review, we examine the recent research on the relationship of insight
with behavior, mood and perceived quality of life, on its complex roots, and on
the effects of existing and emerging treatments. This research indicates that
poor insight predicts poorer treatment adherence and therapeutic alliance, higher
symptom severity and more impaired community function, while good insight
predicts a higher frequency of depression and demoralization, especially when
coupled with stigma and social disadvantage. This research also suggests that
poor insight may arise in response to biological, experiential,
neuropsychological, social-cognitive, metacognitive and socio-political factors.
Studies of the effects of existing and developing treatments indicate that they
may influence insight. In the context of earlier research and historical models,
these findings support an integrative model of poor insight. This model suggests
that insight requires the integration of information about changes in internal
states, external circumstances, others' perspectives and life trajectory as well
as the multifaceted consequences and causes of each of those changes. One
implication is that treatments should, beyond providing education, seek to assist
persons with schizophrenia to integrate the broad range of complex and
potentially deeply painful experiences which are associated with mental illness
into their own personally meaningful, coherent and adaptive picture.
PMID- 29352544
TI - Improving quality of mental health care in low-resource settings: lessons from
PRIME.
PMID- 29352545
TI - WPA-WHO Africa Mental Health Forum - recommendations and position statement.
PMID- 29352546
TI - Correction.
PMID- 29352547
TI - The value of polygenic analyses in psychiatry.
PMID- 29352548
TI - Prediction of psychosis across protocols and risk cohorts using automated
language analysis.
AB - Language and speech are the primary source of data for psychiatrists to diagnose
and treat mental disorders. In psychosis, the very structure of language can be
disturbed, including semantic coherence (e.g., derailment and tangentiality) and
syntactic complexity (e.g., concreteness). Subtle disturbances in language are
evident in schizophrenia even prior to first psychosis onset, during prodromal
stages. Using computer-based natural language processing analyses, we previously
showed that, among English-speaking clinical (e.g., ultra) high-risk youths,
baseline reduction in semantic coherence (the flow of meaning in speech) and in
syntactic complexity could predict subsequent psychosis onset with high accuracy.
Herein, we aimed to cross-validate these automated linguistic analytic methods in
a second larger risk cohort, also English-speaking, and to discriminate speech in
psychosis from normal speech. We identified an automated machine-learning speech
classifier - comprising decreased semantic coherence, greater variance in that
coherence, and reduced usage of possessive pronouns - that had an 83% accuracy in
predicting psychosis onset (intra-protocol), a cross-validated accuracy of 79% of
psychosis onset prediction in the original risk cohort (cross-protocol), and a
72% accuracy in discriminating the speech of recent-onset psychosis patients from
that of healthy individuals. The classifier was highly correlated with previously
identified manual linguistic predictors. Our findings support the utility and
validity of automated natural language processing methods to characterize
disturbances in semantics and syntax across stages of psychotic disorder. The
next steps will be to apply these methods in larger risk cohorts to further test
reproducibility, also in languages other than English, and identify sources of
variability. This technology has the potential to improve prediction of psychosis
outcome among at-risk youths and identify linguistic targets for remediation and
preventive intervention. More broadly, automated linguistic analysis can be a
powerful tool for diagnosis and treatment across neuropsychiatry.
PMID- 29352549
TI - ICD-11 sessions in the 17th World Congress of Psychiatry.
PMID- 29352550
TI - Improving the quality of global mental health care requires universal agreement
on minimum national investment.
PMID- 29352551
TI - Prevention of child maltreatment: strategic targeting of a curvilinear
relationship between adversity and psychiatric impairment.
PMID- 29352552
TI - "If you can't measure it, you can't manage it" - essential truth, or costly myth?
PMID- 29352553
TI - Why measuring quality of mental health care is still an unmet challenge and how
to meet it.
PMID- 29352554
TI - Compulsive sexual behaviour disorder in the ICD-11.
PMID- 29352555
TI - Mental health policies in Commonwealth countries.
PMID- 29352557
TI - WPA scientific publications in the triennium 2014-2017.
PMID- 29352558
TI - Order of operations in using expanded measurement to promote treatment quality
improvement.
PMID- 29352559
TI - Reward-related cognitive vulnerability to bipolar spectrum disorders.
PMID- 29352560
TI - Mental health quality improvement goes global.
PMID- 29352556
TI - What causes psychosis? An umbrella review of risk and protective factors.
AB - Psychosis is a heterogeneous psychiatric condition for which a multitude of risk
and protective factors have been suggested. This umbrella review aimed to
classify the strength of evidence for the associations between each factor and
psychotic disorders whilst controlling for several biases. The Web of Knowledge
database was searched to identify systematic reviews and meta-analyses of
observational studies which examined associations between socio-demographic,
parental, perinatal, later factors or antecedents and psychotic disorders, and
which included a comparison group of healthy controls, published from 1965 to
January 31, 2017. The literature search and data extraction followed PRISMA and
MOOSE guidelines. The association between each factor and ICD or DSM diagnoses of
non-organic psychotic disorders was graded into convincing, highly suggestive,
suggestive, weak, or non-significant according to a standardized classification
based on: number of psychotic cases, random-effects p value, largest study 95%
confidence interval, heterogeneity between studies, 95% prediction interval,
small study effect, and excess significance bias. In order to assess evidence for
temporality of association, we also conducted sensitivity analyses restricted to
data from prospective studies. Fifty-five meta-analyses or systematic reviews
were included in the umbrella review, corresponding to 683 individual studies and
170 putative risk or protective factors for psychotic disorders. Only the ultra
high-risk state for psychosis (odds ratio, OR=9.32, 95% CI: 4.91-17.72) and Black
Caribbean ethnicity in England (OR=4.87, 95% CI: 3.96-6.00) showed convincing
evidence of association. Six factors were highly suggestive (ethnic minority in
low ethnic density area, second generation immigrants, trait anhedonia, premorbid
IQ, minor physical anomalies, and olfactory identification ability), and nine
were suggestive (urbanicity, ethnic minority in high ethnic density area, first
generation immigrants, North-African immigrants in Europe, winter/spring season
of birth in Northern hemisphere, childhood social withdrawal, childhood trauma,
Toxoplasma gondii IgG, and non-right handedness). When only prospective studies
were considered, the evidence was convincing for ultra-high-risk state and
suggestive for urbanicity only. In summary, this umbrella review found several
factors to be associated with psychotic disorders with different levels of
evidence. These risk or protective factors represent a starting point for further
etiopathological research and for the improvement of the prediction of psychosis.
PMID- 29352561
TI - Psychosis-risk criteria in the general population: frequent misinterpretations
and current evidence.
PMID- 29352563
TI - Proper placement of colorectal self-expandable metal stents with the help of a
thin colonoscope - a video vignette.
PMID- 29352562
TI - CBS mutations are good predictors for B6-responsiveness: A study based on the
analysis of 35 Brazilian Classical Homocystinuria patients.
AB - BACKGROUND: Classical homocystinuria (HCU) is a monogenic disease caused by the
deficient activity of cystathionine beta-synthase (CbetaS). The objective of this
study was to identify the CBS mutations in Brazilian patients with HCU. METHODS:
gDNA samples were obtained for 35 patients (30 families) with biochemically
confirmed diagnosis of HCU. All exons and exon-intron boundaries of CBS gene were
sequenced. Gene expression analysis by qRT-PCR was performed in six patients.
Novel missense point mutations were expressed in E. coli by site-directed
mutagenesis. RESULTS: Parental consanguinity was reported in 16 families, and
pyridoxine responsiveness in five (15%) patients. Among individuals from the same
family, all presented the same phenotype. Both pathogenic mutations were
identified in 29/30 patients. Twenty-one different mutations were detected in
nine exons and three introns; being six common mutations. Most prevalent were
p.Ile278Thr (18.2%), p.Trp323Ter (11.3%), p.Thr191Met (11.3%), and c.828+1G>A
(11.3%). Eight novel mutations were found [c.2T>C, c.209+1delG, c.284T>C,
c.329A>T, c.444delG, c.864_868delGAG c.989_991delAGG, and c.1223+5G>T]. Enzyme
activity in E. coli-expressed mutations was 1.5% for c.329A>T and 17.5% for
c.284T>C. qRT-PCR analysis revealed reduced gene expression in all evaluated
genotypes: [c.209+1delG; c.572C>T]; [c.2T>C; c.828+1G>A]; [c.828+1G>A;
c.1126G>A]; [c.833T>C; c.989_991delAGG]; [c.1058C>T; c.146C>T]; and [c.444delG;
c.444delG]. The expected phenotype according to the genotype (pyridoxine
responsiveness) matched in all cases. CONCLUSIONS: Most patients studied were
pyridoxine nonresponsive and presented early manifestations, suggesting severe
phenotypes. Many private mutations were observed, but the four most prevalent
mutations together accounted for over 50% of mutated alleles. A good genotype
phenotype relationship was observed within families and for the four most common
mutations.
PMID- 29352564
TI - Optical metabolic imaging of irradiated rat heart exposed to ischemia-reperfusion
injury.
AB - Whole thoracic irradiation (WTI) is known to cause deterioration in cardiac
function. Whether irradiation predisposes the heart to further ischemia and
reperfusion (IR) injury is not well known. The aim of this study is to examine
the susceptibility of rat hearts to IR injury following a single fraction of 15
Gy WTI and to investigate the role of mitochondrial metabolism in the
differential susceptibility to IR injury. After day 35 of irradiation, ex vivo
hearts from irradiated and nonirradiated rats (controls) were exposed to 25-min
global ischemia followed by 60-min IR, or hearts were perfused without IR for the
same protocol duration [time controls (TC)]. Online fluorometry of metabolic
indices [redox state: reduced nicotinamide adenine dinucleotide (NADH), oxidized
flavin adenine dinucleotide (FAD), and NADH/FAD redox ratio] and functional
variables [systolic left ventricular pressure (LVP), diastolic LVP (diaLVP),
coronary flow (CF), and heart rate were recorded in the beating heart; developed
LVP (dLVP) and rate pressure product (RPP)] were derived. At the end of each
experimental protocol, hearts were immediately snap frozen in liquid N2 for later
three-dimensional imaging of the mitochondrial redox state using optical
cryoimaging. Irradiation caused a delay in recovery of dLVP and RPP after IR when
compared to nonirradiated hearts but recovered to the same level at the end of
reperfusion. CF in the irradiated hearts recovered better than the control hearts
after IR injury. Both fluorometry and 3-D cryoimaging showed that in WTI and
control hearts, the redox ratio increased during ischemia (reduced) and decreased
on reperfusion (oxidized) when compared to their respective TCs; however, there
was no significant difference in the redox state between WTI and controls. In
conclusion, our results show that although irradiation of rat hearts compromised
baseline cardiovascular function, it did not alter cardiac mitochondrial redox
state and induce greater susceptibility of these hearts to IR injury.
PMID- 29352565
TI - Quality of Life in Nonmelanoma Skin Cancer.
PMID- 29352566
TI - In-Hospital Cerebrovascular Outcomes of Patients With Atrial Fibrillation and
Cancer (from the National Inpatient Sample Database).
AB - Limited data are available regarding the impact of cancer on cerebrovascular
accidents in patients with atrial fibrillation (AF). We queried the Nationwide
Inpatient Survey Database to identify patients who have diagnostic code for AF.
We performed a 1:1 propensity matching based on the CHA2DS2VASc score and other
risk factors between patients with AF who had lung, breast, colon, and esophageal
cancer, and those who did not (control). The final cohort included a total of
31,604 patients. The primary outcome of in-hospital cerebrovascular accidents
(CVA) was lower in the cancer group than in the control group (4% vs 7%, p <
0.001), but with only a weak association (f = -0.067). In-hospital mortality was
higher in the cancer group than in the control group (18% vs 11%, p < 0.001; f =
0.099). A subgroup analysis according to cancer type showed similar results with
a weak association with lower CVA in breast cancer (4% vs 7%; f = -0.066, p <
0.001), lung cancer (4% vs 6%; f = -0.062, p < 0.001), colon cancer (4% vs 6%; f
= -0.062, p < 0.001), and esophageal cancer (3% vs 7%; f = -0.095, p < 0.001)
compared with the control groups. A weak association with higher in-hospital
mortality was demonstrated in lung cancer (20% vs 11%; f = -0.127, p < 0.001),
colon cancer (16% vs 11%; f = -0.076, p < 0.001), and esophageal cancer (20% vs
12%; f = -0.111, p < 0.001) compared with the control groups, but no significant
difference between breast cancer and control groups in mortality (11% vs 11%; f =
-0.002, p = 0.888). In conclusion, in patients with AF, cancer diagnosis may not
add a predictive role for in-hospital CVA beyond the CHADS2VASc score.
PMID- 29352567
TI - Asymptomatic Ascending Aorta Aneurysm With Severe Aortic Regurgitation Caused by
Multiple Intimal-Medial Tears Unassociated With Aortic Dissection.
AB - A 62-year-old man was found to have an asymptomatic ascending aortic aneurysm
(6.6 cm) associated with severe aortic regurgitation. Operative resection of the
wall of the aneurysm disclosed its cause to be multiple healed intimal-medial
tears without dissection involving a previously normal aorta. The concept of an
intimal-medial tear unassociated with aortic dissection is a poorly recognized
entity and these tears appear to be asymptomatic and after the aortic tearing
lead to aneurysmal formation.
PMID- 29352568
TI - Dual energy computed tomography should be a first line preoperative localization
imaging test for primary hyperparathyroidism patients.
AB - BACKGROUND: The objective of this study was to evaluate the performance of dual
energy computed tomography (DECT) for preoperative parathyroid tumor (PT)
localization in primary hyperparathyroid (PHP) patients. METHODS: A retrospective
review was carried out of the medical records of all PHP patients who underwent
ultrasound (US), Tc-99m sestamibi noncontrast single photon emission computed
tomography (CT-MIBI), DECT and parathyroidectomy at a single center. RESULTS: The
sensitivities and accuracies for preoperative PT localization in the 97 patient
study population were: US 40% and 93%, CT-MIBI 64.0% and 97%, and DECT 84% and
96%, respectively. In the one third of the study population that did not localize
preoperatively with CT-MIBI and US, DECT correctly localized a PT in 21 cases
(66%). DE-CT and US correctly localized a PT in 86% of cases, and only 5 (8%) of
the cases that were accurately localized by a combination of CT-MIBI and US were
not identified by DE-CT. CONCLUSIONS: DECT should be utilized as a first line
preoperative PT localization study in PHP patients, and is also a sensitive
salvage localization test.
PMID- 29352569
TI - Patient navigation reduces time to care for patients with breast symptoms and
abnormal screening mammograms.
AB - INTRODUCTION: Concern has been raised about delays for patients presenting with
breast symptoms in Canada. Our objective was to determine if our Rapid Access
Breast Clinic (RABC) improved care for patients presenting with breast symptoms
compared to the traditional system (TS). METHODS: A retrospective chart review
tabulated demographic, surgical, pathology and radiologic information. Wait times
to care were determined for patients presenting with symptomatic and screen
detected breast problems. RESULTS: Time from presentation to surgeon evaluation
was shorter in the RABC group for patients with breast symptoms (81 vs 35 days, p
< .0001) and abnormal screens (72 vs 40 days, p = .092). Cancer patients with
abnormal screens had shorter wait times than patients with breast symptoms in the
TS (47 vs 70 days, p = .036). CONCLUSION: Coordination of imaging and clinical
care reduces wait times in patients with both abnormal screening mammograms and
symptomatic breast presentations and should be expanded in our province.
PMID- 29352570
TI - The NLRP3 inflammasome and the emerging role of colchicine to inhibit
atherosclerosis-associated inflammation.
AB - Atherosclerosis is considered a chronic inflammatory disease of the arterial
wall. Recently, compelling evidence has arisen for the role of monocytes and
neutrophils and a particular protein complex that resides within these cells -
the NLRP3 inflammasome - in atherosclerosis-associated inflammation. It is now
also known that cholesterol crystals are present through all stages of
atherosclerosis and can activate the NLRP3 inflammasome within these inflammatory
cells to produce interleukin 1beta and interleukin 18 - key mediators in the
inflammatory cascade that drive plaque progression and instability. In this
review, we describe the role of monocytes/macrophages and neutrophils in
atherosclerosis, outline mechanisms of activation of the NLRP3 inflammasome in
the setting of atherosclerosis-associated inflammation and discuss potential
therapies that specifically target the NLRP3 inflammasome and/or its downstream
mediators in atherosclerosis, with a particular focus on the emerging role of
colchicine.
PMID- 29352571
TI - A Significant Treatable Cause of Hearing Loss in Our Time.
PMID- 29352573
TI - Hinfinity control for uncertain linear system over networks with Bernoulli data
dropout and actuator saturation.
AB - This paper investigates the Hinfinity control problems for uncertain linear
system over networks with random communication data dropout and actuator
saturation. The random data dropout process is modeled by a Bernoulli distributed
white sequence with a known conditional probability distribution and the actuator
saturation is confined in a convex hull by introducing a group of auxiliary
matrices. By constructing a quadratic Lyapunov function, effective conditions for
the state feedback-based Hinfinity controller and the observer-based Hinfinity
controller are proposed in the form of non-convex matrix inequalities to take the
random data dropout and actuator saturation into consideration simultaneously,
and the problem of non-convex feasibility is solved by applying cone
complementarity linearization (CCL) procedure. Finally, two simulation examples
are given to demonstrate the effectiveness of the proposed new design techniques.
PMID- 29352572
TI - Phase I combination study of the PARP inhibitor veliparib plus carboplatin and
gemcitabine in patients with advanced ovarian cancer and other solid
malignancies.
AB - OBJECTIVE: Determine the maximum tolerated dose (MTD) and recommended phase II
dose (RP2D) of veliparib combined with carboplatin and gemcitabine in patients
with advanced ovarian cancer and other nonhematologic malignancies. METHODS: In
this phase I study, patients with metastatic or unresectable solid tumors and <=2
prior chemotherapy regimens received veliparib combined with carboplatin area
under the curve (AUC) 4 on day 1 and gemcitabine 800mg/m2 on days 1 and 8 of a 21
day cycle for maximum 10cycles, followed by optional veliparib maintenance
therapy. Veliparib dosing commenced twice-daily (BID) continuously on day 1 of
cycle 2; granulocyte colony-stimulating factor was permitted. Dose escalation
used a Bayesian continual reassessment method. Safety, tolerability, and efficacy
were evaluated. RESULTS: Seventy-five patients were enrolled (ovarian cancer,
n=54; breast cancer, n=12). Thirty-six patients with ovarian cancer (67%) had
known germline BRCA mutations. Most common treatment-related adverse events
(TRAEs; >=60%) were thrombocytopenia, neutropenia, nausea, and anemia. Most
common grade 3/4 TRAEs (>=40%) were neutropenia and thrombocytopenia. Dose
limiting toxicities were thrombocytopenia and neutropenia. The MTD/RP2D was
established at veliparib 250mg with carboplatin AUC 4 plus gemcitabine 800mg/m2.
Responses were observed in 69% of patients with BRCA-deficient ovarian cancer
(45% partial, 24% complete responses). Five patients remained on veliparib (80
310mg BID) for >34cycles. CONCLUSIONS: Veliparib plus carboplatin/gemcitabine is
tolerated, with a safety profile similar to carboplatin and gemcitabine alone.
Combination therapy demonstrated promising preliminary antitumor activity in
platinum-sensitive ovarian cancer patients with germline BRCA mutations. Trial
registration ID: NCT01063816.
PMID- 29352574
TI - Mismatch repair status as a beneficial predictor of fluorouracil-based adjuvant
chemotherapy for pancreatic cancer.
AB - BACKGROUND: Prior studies have indicated that patients with colorectal cancer
with deficient mismatch repair have particular clinicopathologic features that
distinguish them from patients with tumors with proficient mismatch repair.
However, the effect of the mismatch repair status on outcomes after adjuvant
chemotherapy for pancreatic cancer is still unknown. METHODS: Pancreatic cancer
patients who underwent R0 resection between January 2013 and December 2015 at
Fudan University Shanghai Cancer Center were included in this study. Mismatch
repair status was determined by immunohistochemistry of mismatch repair proteins.
Prognostic factors for deficient mismatch repair and proficient mismatch repair
tumors were analyzed using Cox models. RESULTS: In total, 442 of 590 patients met
the inclusion criteria, and their mismatch repair status was determined; the
study group consisted of 75 patients with deficient mismatch repair and 367
patients with proficient mismatch repair. Among the 147 patients who underwent
surgery alone, patients with deficient mismatch repair tumors had a better
overall survival than patients with proficient mismatch repair tumors (hazard
ratio = 0.555 [95% confidence interval 0.331-0.931]; P = .026). Compared with
patients who underwent surgery, 161 patients who received gemcitabine-based
adjuvant chemotherapy had improvements in both disease-free survival and overall
survival, regardless of mismatch repair status. However, 5-fluorouracil-based
adjuvant chemotherapy yielded a favorable disease-free survival in the proficient
mismatch repair group but conferred no survival advantage in the deficient
mismatch repair group (hazard ratio = 0.930 [95% confidence interval 0.497
1.743]; P = .821). CONCLUSION: Mismatch repair status in pancreatic cancer
patients is not only a prognostic indicator but also a potential guiding factor
for the use of 5-fluorouracil-based adjuvant chemotherapy.
PMID- 29352575
TI - Double plication for spring-mediated intestinal lengthening of a defunctionalized
Roux limb.
AB - BACKGROUND: Spring-mediated distraction enterogenesis has been shown to increase
the length of an intestinal segment. The goal of this study is to use suture
plication to confine a spring within an intestinal segment while maintaining
luminal patency to the rest of the intestine. METHODS: Juvenile mini-Yucatan pigs
underwent placement of nitinol springs within a defunctionalized Roux limb of
jejunum. A 20 French catheter was passed temporarily, and sutures were used to
plicate the intestinal wall around the catheter at both ends of the encapsulated
spring. Uncompressed springs placed in plicated segments and springs placed in
nonplicated segments served as controls. The intestine was examined approximately
3 weeks after spring placement. RESULTS: In the absence of plication, springs
passed through the intestine within a week. Double plication allowed the spring
to stay within the Roux limb for 3 weeks. Compared to uncompressed springs that
showed no change in the length of plicated segments, compressed springs caused a
significant 1.7-fold increase in the length of plicated segments. CONCLUSIONS:
Intestinal plication is an effective method to confine endoluminal springs. The
confined springs could lengthen intestine that maintains luminal patency. This
approach may be useful to lengthen intestine in patients with short bowel
syndrome. LEVEL OF EVIDENCE: Level I Experimental Study.
PMID- 29352576
TI - Corrigendum to "Landscape determinants of spatio-temporal patterns of aerosol
optical depth in the two most polluted metropolitans in the United States" [Sci.
Total Environ. 609 (2017) 1556-1565].
PMID- 29352577
TI - Erector spinae plane block for analgesia after lower segment caesarean section:
Case report.
AB - Effective postoperative analgesia after emergency caesarean section is important
because it provides early recovery, ambulation and breast-feeding. The ultrasound
guided erector spinae plane block has been orginally described for providing
thoracic analgesia at the T5 transverse process by Forero et al. We performed
post-operative bilateral erector spinae plane blocks with 20ml bupivacaine 0.25%
at the level of the T9 transverse process in a pregnant woman after caesarean
section. In this report, we described that bilateral erector spinae plane block
at T9 level provides effective and long-lasting postoperative analgesia for lower
abdominal surgery.
PMID- 29352578
TI - A physiotherapist-led exercise and education program for preventing recurrence of
low back pain: a randomised controlled pilot trial.
AB - BACKGROUND: Before beginning a large and complex trial it is considered good
practice to run a pilot study to assess the feasibility and acceptability so that
quality is maintained and resources are not wasted. OBJECTIVE: To assesses the
feasibility and acceptability of procedures for TOPS: Trial Of Prevention
Strategies for low back pain. DESIGN: Randomised controlled pilot trial. METHODS:
This is a trial of an 8 week, physiotherapist-led group exercise and education
program for preventing recurrence of low back pain (LBP) in those recently
recovered from LBP. We assessed the feasibility of recruitment and data
collection procedures, acceptability of the trial interventions and loss-to
follow up. RESULTS: The feasibility of recruitment, acceptability of the
intervention and feasibility of physical activity data-collection procedures were
all below anticipated levels. We enrolled 12 participants over 44 weeks, the
adherence rate for the intervention group was 63% and valid physical activity
data were obtained for 67% of the measurements. Follow-up methods for collection
of LBP recurrence were successful with this information able to be collected for
100% of participants. CONCLUSION: In response to the pilot, modifications were
made to the main trial protocol. We will increase recruitment by relaxing
inclusion criteria and expanding recruitment sites to include workplaces,
community centres and via social media. We will facilitate compliance by
expanding treatment sites to provide more options for participants to access the
program and we will limit missing data by checking the validity of baseline
physical activity measures prior to enrolment. TRIAL REGISTRATION: The study was
prospectively registered with the Australian and New Zealand Clinical Trials
Registry (ref: ACTRN12614000706673).
PMID- 29352579
TI - Informed consent in physiotherapy practice: it is not what is said but how it is
said.
AB - This paper discusses the concept of informed consent in the context of
contemporary biomedical ethics. A change in UK law regarding what information
should be provided to patients has brought to the fore the role of
physiotherapists in the process of gaining informed consent. It is important that
physiotherapists are aware of how this change in the law will affect their
practice. For an individual to consent, they need to have both the capacity and
freedom to exercise rational thought. These concepts are challenged in
contemporary biomedical ethics. An individual's ability to make rational
decisions has been increasingly questioned by empirical evidence from behavioural
psychology. In addition, the concept of freedom in contemporary neoliberal
societies has also been critically examined. Liberal paternalism has been
advocated by some as a means of helping patients to make better decisions about
their care. Actualised as a 'nudge', liberal paternalism has been influential in
a number of health policies, and has recently been discussed as a means of
gaining consent from patients for assessments and treatments. Physiotherapists
engage directly with patients and, through this engagement, construct a
therapeutic environment that aims to build mutual trust. This paper questions the
legitimacy of informed consent, and presents the argument that, through
communicative actions, physiotherapists nudge patients into consenting to
assessments and treatments.
PMID- 29352580
TI - Individual student characteristics and attainment in pre registration
physiotherapy: a retrospective multi site cohort study.
AB - INTRODUCTION: Worldwide there is a desire to diversify the physiotherapy
workforce. However, limited research indicates that some student characteristics
linked to under-representation in pre registration physiotherapy education have
lower attainment and greater attrition. This study explored the relationship
between individual characteristics and success of students in pre registration
physiotherapy education within South East England. DESIGN: A retrospective multi
site cohort study including pre registration physiotherapy programmes in the
South East of England. Anonymised data included background information (age,
gender, ethnicity, socio-economic status) and outcomes (assessment marks, type of
award and classification of degree). Analysis involved Bayesian regression models
and ordinal logistic regression to examine the association of student
characteristics on outcomes. RESULTS: Data from 1851 student records were
collected from four institutions. There were significantly lower assessment
scores for Asian (-11% 95% CI: -13.1 to -9.2), Black (-7%, 95% CI: -9.7 to -4.5)
and Other/Mixed ethnicity groups (-5%, 95% CI: -7.1 to -2.4), most notable in
clinical and observed assessments, compared to their White British colleagues.
All BME groups also demonstrated worse odds for a one step lower overall award or
no award (Black OR: 3.35, Asian OR: 3.97, Other OR: 2.03). Associations of
learning disability, age and non-traditional entry routes with assessment scores
and/or degree classification were also noted. CONCLUSION: These findings suggest
significant attainment gaps in pre registration physiotherapy education in this
specific geographical region, particularly for non-White ethnic and disability
groups. The association with assessment type challenges educators to look beyond
a purely student deficit model to explore all factors that may lead to
inequality.
PMID- 29352581
TI - Facile gas chromatography-tandem mass spectrometry stable isotope dilution method
for the quantification of sesquiterpenes in grape.
AB - Sesquiterpenes are a widespread class of compounds of increasing interest found
in grapes and wines, amongst many other natural sources. Due to a lack of
standards and the complexity of the mass spectra fragmentation, accurate
quantification of these low concentration compounds had not previously been
accomplished. The current paper presents a new method for the concurrent
quantification of several sesquiterpenes. The multivariate method optimisation is
presented. Synthesised isotopic standards were utilised in conjunction with solid
phase microextraction (SPME) and gas chromatography-tandem mass spectrometry (GC
MS/MS) to perform a standard isotope dilution assay (SIDA). The method was
successfully applied to several grape must samples of four different cultivar. To
the best of our knowledge this was the first time some of these sesquiterpenes
were quantified in grape.
PMID- 29352582
TI - A microfluidic distributor combining minimal volume, minimal dispersion and
minimal sensitivity to clogging.
AB - A new type of microfluidic flow distributor (referred to as the mixed mode or MM
distributor) is proposed. Its performance characteristics are determined using
computational fluid dynamics (CFD), both in the absence and the presence of
clogging, which is an important problem in microfluidic systems. A comparison is
made with two existing, well-performing distributor types: the bifurcating (BF)
distributor and an optimized diverging distributor, the so-called radially
interconnected (RI) distributor. It was found that, in the absence of clogging,
the MM-distributor produces only a little more dispersion than the bifurcating
(BF) distributor, but much less than the radially interconnected (RI)
distributor. The dispersion in an MM-distributor also follows a similar
dependency on its width (power ? 2) as the BF-distributor. The dispersion in the
RI-distributor on the other hand displays a very disadvantageous 4th-order
dependency on its width, prohibiting its use to distribute the flow across wide
beds (order of millimeters or centimeters). These observations hold independently
of the flow rate. With increasing degree of clogging, the MM-distributor rapidly
becomes advantageous over the BF-distributor, owing to the fluid contact zones
that are provided after each bifurcation step. This means that overall, and when
the occurrence of clogging cannot be excluded, the MM-type distributor seems to
offer the best possible compromise between the ability to cope with local
clogging events and the dispersion in the absence of clogging.
PMID- 29352584
TI - Discussion.
PMID- 29352583
TI - Description and analysis of hospital pharmacies in Madagascar.
AB - OBJECTIVE: Madagascar's health care system has operated without formal hospital
pharmacies for more than two decades. The gradual integration of pharmacists in
public hospitals since 2012 will allow the structuring of this field. This study
was conducted to characterize the current situation regarding all aspects
relating to the general functioning of hospital pharmacies and the services
provided. METHODS: This qualitative research used semi-structured interviews.
Interviewees' perceptions about the general organization and functioning of
hospital pharmacies and details on services provided were collected. The 16
interviewees were Ministry of Health staff members involved in hospital pharmacy,
hospital directors, medical staff members and hospital pharmacy managers.
Interviews were recorded, translated into French if conducted in Malagasy, and
fully transcribed. Verbatim transcripts were coded according to the themes of
hospital pharmacy and topical content analysis was performed. RESULTS: The
principal issue perceived by interviewees was the heterogeneity of the system in
terms of technical and financing management, with a main impact on the restocking
of pharmaceutical products. The drug supply chain is not under control: no
internal procedure has been established for the selection of pharmaceutical
products, the quantification of needs is complex, stock management is difficult
to supervise, a standard prescription protocol is lacking, dispensing is
performed by unqualified staff, no pharmaceutical preparation is manufactured in
the hospitals and administration occurs without pharmaceutical support.
CONCLUSIONS: Progressive structuring of efficient hospital pharmacy services
using the Basel statements for the future of hospital pharmacy is urgently needed
to improve health care in Madagascar.
PMID- 29352585
TI - Adjuvant chemotherapy improves survival in patients with completely resected T3N0
non-small cell lung cancer invading the chest wall.
AB - OBJECTIVE: Adjuvant chemotherapy prolongs survival in patients with non-small
cell lung cancer with N1 disease or tumors larger than 4 cm. Patients with T3N0
disease due to chest wall invasion often receive adjuvant chemotherapy because
their disease is classified as stage II non-small cell lung cancer. This study
evaluated whether chemotherapy improves survival after complete resection of T3N0
non-small cell lung cancer with invasion of the chest wall. METHODS: Patients who
underwent complete resection of N0 non-small cell lung cancer with invasion of
the chest wall were identified in the National Cancer Database. We performed
propensity matching of patients who received adjuvant chemotherapy and patients
who did not and examined survival. RESULTS: We identified 2326 eligible patients;
1050 patients (45%) received adjuvant chemotherapy, and 1276 patients (55%) did
not. Patients who received chemotherapy after surgery had significantly better
median survival than patients who did not (71 vs 39 months, P < .001). We
identified 772 matched pairs. In the matched cohort, patients who received
chemotherapy after surgery also had significantly better median survival (68 vs
39 months without chemotherapy, P < .001). CONCLUSIONS: In this large database
study, adjuvant chemotherapy significantly improved survival in patients with T3
(chest wall) N0 non-small cell lung cancer after complete resection. Further
studies are required to confirm our findings.
PMID- 29352587
TI - Discussion.
PMID- 29352586
TI - The impact of enhanced recovery after surgery (ERAS) protocol compliance on
morbidity from resection for primary lung cancer.
AB - OBJECTIVE: The adoption of Enhanced Recovery After Surgery programs in thoracic
surgery is relatively recent with limited outcome data. This study aimed to
determine the impact of an Enhanced Recovery After Surgery pathway on morbidity
and length of stay in patients undergoing lung resection for primary lung cancer.
METHODS: This prospective cohort study collected data on consecutive patients
undergoing lung resection for primary lung cancer between April 2012 and June
2014 at a regional referral center in the United Kingdom. All patients followed a
standardized, 15-element Enhanced Recovery After Surgery protocol. Key data
fields included protocol compliance with individual elements, pathophysiology,
and operative factors. Thirty-day morbidity was taken as the primary outcome
measure and classified a priori according to the Clavien-Dindo system. Logistic
regression models were devised to identify independent risk factors for morbidity
and length of stay. RESULTS: A total of 422 consecutive patients underwent lung
resection over a 2-year period, of whom 302 (71.6%) underwent video-assisted
thoracoscopic surgery. Lobectomy was performed in 297 patients (70.4%).
Complications were experienced by 159 patients (37.6%). The median length of stay
was 5 days (range, 1-67), and 6 patients (1.4%) died within 30 days of surgery.
There was a significant inverse relationship between protocol compliance and
morbidity after adjustment for confounding factors (odds ratio, 0.72; 95%
confidence interval, 0.57-0.91; P < .01). Age, lobectomy or pneumonectomy, more
than 1 resection, and delayed mobilization were independent predictors of
morbidity. Age, lack of preoperative carbohydrate drinks, planned high dependency
unit/intensive therapy unit admission, delayed mobilization, and open approach
were independent predictors of delayed discharge (length of stay >5 days).
CONCLUSIONS: Increased compliance with an Enhanced Recovery After Surgery pathway
is associated with improved clinical outcomes after resection for primary lung
cancer. Several elements, including early mobilization, appear to be more
influential than others.
PMID- 29352588
TI - Pulmonary reinterventions after complete unifocalization and repair in infants
and young children with tetralogy of Fallot with major aortopulmonary
collaterals.
AB - BACKGROUND: Our institutional approach to tetralogy of Fallot (TOF) with major
aortopulmonary collaterals (MAPCAs) emphasizes unifocalization and augmentation
of the reconstructed pulmonary arterial (PA) circulation and complete
intracardiac repair in infancy, usually in a single procedure. This approach
yields a high rate of complete repair with excellent survival and low right
ventricular (RV) pressure. However, little is known about remodeling of the
unifocalized and reconstructed pulmonary circulation or about reinterventions on
the reconstructed PAs or the RV outflow tract conduit. METHODS: We reviewed
patients who underwent complete repair of TOF with MAPCAs at our center at <2
years of age, either as a single-stage procedure or after previous procedures.
Outcomes included freedom from conduit or PA intervention after repair, which
were assessed by Cox regression and Kaplan-Meier analysis. RESULTS: The study
cohort included 272 patients. There were 6 early deaths and a median of follow-up
of 3.6 years after complete repair. Reinterventions on the pulmonary circulation
were performed in 134 patients, including conduit interventions in 101 patients,
branch PA interventions in 101, and closure of residual MAPCAs in 9. The first
conduit reintervention consisted of surgical conduit replacement in 77 patients,
transcatheter pulmonary valve replacement with a Melody valve in 14, and
angioplasty or bare metal stenting in 10. Surgical PA reinterventions were
performed in 46 patients and transcatheter reinterventions in 75 (both in 20).
Most PA reinterventions involved a single lung, and most transcatheter
reinterventions a single vessel. Freedom from conduit replacement or
transcatheter pulmonary valve replacement was 70 +/- 3% at 5 years and was
shorter in patients with smaller initial conduit size. Freedom from any PA
reintervention was 64 +/- 3% at 5 years, with the greatest rate during the first
year. On multivariable analysis, factors associated with longer freedom from any
PA reintervention included lower postrepair RV:aortic pressure ratio and larger
original conduit size. CONCLUSIONS: We were able to obtain follow-up data for the
majority of patients, which demonstrated freedom from PA reintervention for two
thirds of patients. The time course of and risk factors for conduit
reintervention in this cohort appeared similar to previously reported findings in
patients who received RV-PA conduits in early childhood for other anomalies.
Relative to the severity of baseline pulmonary vascular anatomy in TOF with
MAPCAs, reinterventions on the reconstructed PAs were uncommon after repair
according to our approach, and major reinterventions were rare. Nevertheless, PA
reinterventions are an important aspect of the overall management strategy.
PMID- 29352589
TI - May the new suggested lower borderline limit of sweat chloride impact the
diagnostic process for cystic fibrosis?
PMID- 29352590
TI - Allergic Proctocolitis Is a Risk Factor for Functional Gastrointestinal Disorders
in Children.
AB - OBJECTIVE: To test the hypothesis that allergic proctocolitis, a cause of self
limiting rectal bleeding in infants, can predispose to the development of
functional gastrointestinal disorders (FGIDs) later in childhood. STUDY DESIGN:
We studied a cohort of 80 consecutive patients diagnosed with allergic
proctocolitis. Their sibling or matched children presenting to the same hospital
for minor trauma served as controls. Parents of the patients with allergic
proctocolitis and controls participated in a telephone interview every 12 months
until the child was at least 4 years old. At that time, they were asked to
complete the parental Questionnaire on Pediatric Gastrointestinal Symptoms, Rome
III version. RESULTS: Sixteen of the 160 subjects (10.0%) included in the study
met the Rome III criteria for FGIDs. Among the 80 patients with allergic
proctocolitis, 12 (15.0%) reported FGIDs, compared with 4 of 80 (5.0%) controls
(P = .035). After adjustment for age and sex, the OR for FGIDs in allergic
proctocolitis group was 4.39 (95% CI, 1.03-18.68). FGIDs were significantly
associated with iron deficiency anemia, duration of hematochezia, and younger age
at presentation. In a multivariate analysis, only the duration of hematochezia
was significantly associated with the development of FGIDs (OR, 3.14; 95% CI,1.72
5.74). CONCLUSIONS: We have identified allergic proctocolitis as a new risk
factor for the development of FGIDs in children. Our data suggest that not only
infection, but also a transient early-life allergic inflammatory trigger may
induce persistent digestive symptoms, supporting the existence of
"postinflammatory" FGIDs.
PMID- 29352591
TI - Potential Pitfalls in Applying Screening Criteria in Infants at Risk of Peanut
Allergy.
PMID- 29352592
TI - Development and validation of an ICD-10-based disability predictive index for
patients admitted to hospitals with trauma.
AB - BACKGROUND: There was no established disability predictive measurement for
patients with trauma that could be used in administrative claims databases. The
aim of the present study was to develop and validate a diagnosis-based disability
predictive index for severe physical disability at discharge using the
International Classification of Diseases, 10th revision (ICD-10) coding. METHODS:
This retrospective observational study used the Diagnosis Procedure Combination
database in Japan. Patients who were admitted to hospitals with trauma and
discharged alive from 01 April 2010 to 31 March 2015 were included. Pediatric
patients under 15 years old were excluded. Data for patients admitted to
hospitals from 01 April 2010 to 31 March 2013 was used for development of a
disability predictive index (derivation cohort), while data for patients admitted
to hospitals from 01 April 2013 to 31 March 2015 was used for the internal
validation (validation cohort). The outcome of interest was severe physical
disability defined as the Barthel Index score of <60 at discharge. Trauma-related
ICD-10 codes were categorized into 36 injury groups with reference to the
categorization used in the Global Burden of Diseases study 2013. A multivariable
logistic regression analysis was performed for the outcome using the injury
groups and patient baseline characteristics including patient age, sex, and
Charlson Comorbidity Index (CCI) score in the derivation cohort. A score
corresponding to a regression coefficient was assigned to each injury group. The
disability predictive index for each patient was defined as the sum of the
scores. The predictive performance of the index was validated using the receiver
operating characteristic curve analysis in the validation cohort. RESULTS: The
derivation cohort included 1,475,158 patients, while the validation cohort
included 939,659 patients. Of the 939,659 patients, 235,382 (25.0%) were
discharged with severe physical disability. The c-statistics of the disability
predictive index was 0.795 (95% confidence interval [CI] 0.794-0.795), while that
of a model using the disability predictive index and patient baseline
characteristics was 0.856 (95% CI 0.855-0.857). CONCLUSIONS: Severe physical
disability at discharge may be well predicted with patient age, sex, CCI score,
and the diagnosis-based disability predictive index in patients admitted to
hospitals with trauma.
PMID- 29352594
TI - Systematic review of uterine artery embolisation practice guidelines: are all the
guidelines on the same page?
AB - AIM: To evaluate the degree of concordance amongst the currently available
guidelines informing the use of uterine artery embolisation (UAE), and identify
any inconsistencies present. MATERIALS AND METHODS: Standards of practice and
quality improvement guidelines were retrieved through a search of PubMed and
EMBASE. Additional sets of guidelines were retrieved directly from the websites
of known obstetrics and gynaecology and radiological associations. RESULTS:
Eleven guidelines were retrieved from organisations located in Europe, North
America, and Australia. Two main points of divergence were identified in the
presented guidance: firstly, on whether submucosal, subserosal, and/or
pedunculate fibroids should be considered a relative contraindication to UAE;
secondly, on whether UAE should be recommended as an option in patients desiring
future fertility. CONCLUSIONS: The guidelines reviewed generally suggest UAE to
be a safe and effective option for fibroid treatment that can be offered as an
alternative to surgical management; however, the number of differing
interpretations arising from an apparently similar pool of evidence raises
questions about the objectivity of practice guidelines. Although practice
guidelines are understood to be a synthesis of clinical evidence and expert
opinion, a systematic approach to presenting evidence is necessary to clearly
distinguish empirically versus experientially informed guidance.
PMID- 29352593
TI - Pre-admission proteinuria impacts risk of non-recovery after dialysis-requiring
acute kidney injury.
AB - Renal recovery after dialysis-requiring acute kidney injury (AKI-D) is an
important clinical and patient-centered outcome. Here we examined whether the pre
admission proteinuria level independently influences risk for non-recovery after
AKI-D in a community-based population. All adult members of Kaiser Permanente
Northern California who experienced AKI-D between January 1, 2009 and September
30, 2015 were included. Pre-admission proteinuria levels were determined by
dipstick up to four years before the AKI-D hospitalization and the outcome was
renal recovery (survival and dialysis-independence four weeks and more) at 90
days after initiation of renal replacement therapy. We used multivariable
logistic regression to adjust for baseline estimated glomerular filtration rate
(eGFR), age, sex, ethnicity, short-term predicted risk of death, comorbidities,
and medication use. Among 5,347 adults with AKI-D, the mean age was 66 years, 59%
were men, and 50% were white. Compared with negative/trace proteinuria, the
adjusted odds ratios for non-recovery (continued dialysis-dependence or death)
were 1.47 (95% confidence interval 1.19-1.82) for 1+ proteinuria and 1.92 (1.54
2.38) for 2+ or more proteinuria. Among survivors, the crude probability of
recovery ranged from 83% for negative/trace proteinuria with baseline eGFR over
60 mL/min/1.73m2 to 25% for 2+ or more proteinuria with eGFR 15-29 mL/min/1.73m2.
Thus, the pre-AKI-D level of proteinuria is a graded, independent risk factor for
non-recovery and helps to improve short-term risk stratification for patients
with AKI-D.
PMID- 29352595
TI - Axillary tumour burden in women with one abnormal node on ultrasound compared to
women with multiple abnormal nodes.
AB - AIM: To determine if the number of abnormal nodes seen on preoperative axillary
ultrasound (AUS) is a predictor of the number of positive nodes at histology for
women with needle-biopsy-proven positive nodes. MATERIALS AND METHODS: This
prospective multicentre cohort study included consecutive patients with early
breast cancer who had needle-biopsy-proven positive nodes on AUS and underwent
axillary lymph node dissection (ALND) between October 2015 and July 2016. The
number of abnormal nodes at preoperative AUS was recorded by breast radiologists
or radiographers. RESULTS: One hundred and twenty-three patients were included in
the study. The median age of the women was 62 (range 30-93) years. Fifty-four of
the 123 (44%) women had one abnormal node, whereas 69 (56%) had multiple abnormal
nodes on AUS. Forty of the 123 (33%) women had two or fewer nodes with metastases
at histology after ALND. Tumours <=20 mm (p<0.001) and one abnormal node on AUS
(p<0.001) were associated with two or fewer nodes with metastases at ALND. Both
remained significant in logistic regression analysis. The likelihood of at least
three metastases based on the combination of these two factors had 95%
sensitivity (79 of 83), 35% specificity (14 of 40), a negative predictive value
of 78% (14 of 18), and a positive predictive value of 75% (79 of 105).
CONCLUSION: Among women with needle-biopsy-proven positive nodes, around three in
four women (78%) with an invasive tumour <=2 cm and one abnormal node on AUS have
two or fewer positive nodes at ALND. These women are overtreated by upfront ALND
and can be offered sentinel node biopsy (SNB).
PMID- 29352596
TI - Thirty-day readmissions following parathyroidectomy: Evidence from the National
Readmissions Database, 2013-2014.
AB - PURPOSE: Parathyroidectomy is one of the most common procedures performed in the
United States, and are increasingly being performed safely in the outpatient
setting. However, complications from surgery can be life-threatening, and thus an
understanding of who may be at risk is essential. We analyzed and compared the
risk factors for patients readmitted within 30 days following inpatient
parathyroidectomy for primary or secondary hyperparathyroidism. MATERIALS AND
METHODS: We reviewed the National Readmissions Database from 2013 to 2014 for
patients who received inpatient parathyroidectomy for primary or secondary
hyperparathyroidism. The primary outcome was non-elective readmission within 30
days. Multivariate logistic regression was used to analyze risk factor odds
ratios for readmission. RESULTS: 7171 patients underwent inpatient
parathyroidectomies in 2013 and 2014. 59.89% of parathyroidectomies were
performed for primary hyperparathyroidism, with a 5.6% readmission rate. Most
common causes of readmission were septicemia (13.69%), hypocalcemia (12.86%),
heart failure (10.79%) and renal failure (9.54%). Having Medicare (OR: 1.71,
CI:1.14-2.59, p = .01), Medicaid (OR: 3.24, CI: 2.03-5.17, p < .001), and self
paying (OR: 2.43, CI: 1.11-5.32, p = .02), were associated with increased odds of
readmission for those with primary hyperparathyroidism. 21.99% of
parathyroidectomies were performed for secondary hyperparathyroidism, with a
19.4% readmission rate. Most common causes of readmission were hypocalcemia
(22.88%), hungry bone syndrome (14.38%), electrolyte disorders (13.73%), and
renal failure (11.11%). CONCLUSION: Patients with secondary hyperparathyroidism
are older, poorer and have more comorbidities than patients with primary
hyperparathyroidism, and are more likely to be readmitted within 30 days of
parathyroidectomy.
PMID- 29352598
TI - WHO consultation on ETEC and Shigella burden of disease, Geneva, 6-7th April
2017: Meeting report.
AB - According to the 2015 Global Burden of Disease Study, diarrhea ranked ninth among
causes of death for all ages, and fourth among children under 5 years old,
accounting for an estimated 499,000 deaths in this young age group. It was also
the second most common cause of years lived with disability (2.39 billion YLDs).
The goal of the WHO/UNICEF Integrated Global Action Plan for the Prevention and
Control of Pneumonia and Diarrhea (GAPPD) is to reduce deaths from diarrhea in
children under 5 years of age to less than 1 per 1000 live births, by 2025.
Development of new and improved vaccines against diarrheal infections is a
fundamental element of the strategy towards achieving this goal. Enterotoxigenic
Escherichia coli (ETEC) and Shigella are enteropathogens that cause significant
global mortality and morbidity, particularly in low- and middle-income countries.
In 2016, WHO's Product Development for Vaccines Advisory Committee (PDVAC)
recommended that the WHO's Initiative for Vaccine Research (IVR) engage in this
area, based on PDVAC's criteria of prioritizing the development of vaccines
against pathogens that will address a major unmet public health need, and for
which clinical candidates with a good probability of technical success are in the
pipeline. As a first step, WHO's IVR convened global subject matter experts to
discuss the current global ETEC and Shigella disease burden estimates, including
the current understanding of the long-term indirect effects of ETEC and Shigella
infection, and how these data may affect future decision making on vaccine
development for both pathogens. The available global burden estimates for ETEC
and Shigella differ with respect to the relative importance of these two
pathogens. The mortality estimates vary between iterations published by the same
group, as well as between estimates of different groups, although the uncertainty
intervals are broad and overlapping. These variances are attributable to
differences in the data available and incorporated in the models; the methods
used to detect the pathogens; the modelling methodologies; and, to actual changes
in the total number of diarrheal deaths over time. The changes in the most
recently reported mortality estimates for these pathogens, as compared to
previous iterations, has led to debate as to whether investment in development of
stand-alone vaccines, rather than combined vaccines, is warranted from cost
effectiveness and vaccine impact perspectives. Further work will be needed to
understand better the variances and uncertainties in the reported mortality
estimates to support investment decision making, and ultimately policy
recommendations for vaccine use. In addition, a comprehensive assessment of the
value proposition for vaccines against these pathogens is needed and will be
strengthened if the long-term health consequences associated with diarrhea and
dysentery due to these pathogens are better defined.
PMID- 29352599
TI - [Detection of Chlamydia abortus in bovine reproductive losses in the province of
La Pampa, Argentina].
AB - Reproductive losses linked to an infectious etiology in bovine cattle are a major
economic concern worldwide. In Argentina, more than 50% of abortion cases have
unknown causes. Species belonging to Chlamydiaceae family are frequent etiologic
agents of abortion around the world; however, there is yet no information on
their prevalence in Argentina. The objective of this work was to identify
Chlamydia spp., and particularly C. abortus in reproductive losses from bovine
cattle in La Pampa, Argentina. Real time PCR targeting Chlamydiaceae-specific DNA
fragments was performed on 251 samples obtained from bovine abortions and
stillborns, and ArrayTube was used for species identification on positive
samples. Chlamydiaceae DNA was detected in 12 samples of aborted fetuses (4.78%),
83.33% (10/12) accounting for abortions and 16.66% (2/12) for stillborns. C.
abortus was detected by ArrayTube in 5 cases (1.99% of all samples, and 41.67% of
Chlamydiaceae positive samples). This study shows the first detection of
Chlamydiaceae and C. abortus DNA on reproductive losses of bovine cattle in
Argentina, and the described prevalence value (4.78%) should be taken as baseline
value due to the type of samples analyzed. Detection of genetic material from
Chlamydiaceae not matching any of the studied species could be due to
intraspecies variants or local species not yet described. Further research on
Chlamydia infections in bovine cattle in Argentina is imperative to describe
their range, to analyze their economic and zoonotic implications and to make
recommendations about prevention and control measures.
PMID- 29352600
TI - Placental control of metabolic adaptations in the mother for an optimal pregnancy
outcome. What goes wrong in gestational diabetes?
AB - As pregnancy progresses the placental syncytiotrophoblast increasingly assumes
control of maternal glucose homeostasis through the release and counter-balancing
effects of placental lactogen (PL) and placental variant growth hormone (GH-V).
While local actions of these hormones on placental growth and function are likely
to exist, each also exerts indirect actions to ensure fetal nutritional
availability through modulation of the maternal insulin/insulin-like growth
factor axis. Peripheral insulin resistance results from the increasing levels of
GH-V in the maternal circulation and is counter-balanced by an increase in
insulin availability through an expansion of maternal pancreatic beta-cell mass.
GH-V also increases maternal IGF-1 synthesis leading to enhanced placental growth
and nutrient transporter activity. Maternal obesity and the presence of diabetes
in pregnancy is associated with a disrupted balance in the placental expression
of PL and GH-V. Several parallel mechanisms are likely to contribute to the
increasing maternal beta-cell mass as gestation progresses, including a
reactivation of beta-cell proliferation, an expansion of subsequent
differentiation of resident beta-cell progenitors, and alpha-to beta-cell trans
differentiation. Each of these pathways could potentially be modulated during
pregnancy to increase beta-cell mass and prevent the onset of gestational
diabetes.
PMID- 29352597
TI - Potential drug targets in the Mycobacterium tuberculosis cytochrome P450 system.
AB - The Mycobacterium tuberculosis genome encodes twenty cytochrome P450 enzymes,
most or all of which appear to have specific physiological functions rather than
being devoted to the removal of xenobiotics. However, in many cases their
specific functions remain obscure. Considerable spectroscopic, biophysical,
crystallographic, and catalytic information is available on nine of these
cytochrome P450 enzymes, although gaps exist in our knowledge of even these
enzymes. The available evidence indicates that at least three of the better
characterized enzymes are promising targets for antituberculosis drug discovery.
This review summarizes the information on the nine relatively well-characterized
cytochrome P450 enzymes, with a particular emphasis on CYP121, CYP125, and CYP142
from Mycobacterium tuberculosis and Mycobacterium smegmatis.
PMID- 29352601
TI - Regulating needs: Exploring the role of insulin-like growth factor-2 signalling
in materno-fetal resource allocation.
AB - During pregnancy, the fetus requires nutrients supplied by the mother to grow and
develop. However, the mother also requires sufficient resources to support the
pregnancy, as well as, to maintain her health. Failure to regulate resource
allocation between the mother and fetus can lead to pregnancy complications with
immediate and life-long consequences for maternal and offspring health. This
review explores the role of insulin-like growth factor (IGF)-2 in regulating
materno-fetal resource allocation, particularly via its regulation of placental
development and function.
PMID- 29352602
TI - A Rare Cause of Pyogenic Flexor Tenosynovitis: Nocardia nova.
AB - Infection complicates approximately 5% of open trigger digit releases. Both
superficial and deep infections may occur. We present a unique case of a cactus
farmer who underwent an uneventful thumb trigger finger release and subsequently
developed pyogenic flexor tenosynovitis and acute carpal tunnel syndrome
resulting from Nocardia nova infection.
PMID- 29352603
TI - Increased Single-Nephron GFR in Normal Adults: Too Much of a Good Thing . . . or
Maybe Not?
PMID- 29352604
TI - A New Era of Renal Denervation Trials for Patients With Hypertension?
PMID- 29352605
TI - A New Treatment Option for Highly Sensitized Patients Awaiting Kidney
Transplantation.
PMID- 29352606
TI - More From SPRINT (Systolic Blood Pressure Intervention Trial): A Closer Look at
the Price of Intensive Blood Pressure Control.
PMID- 29352607
TI - Integrated lung tissue mechanics one piece at a time: Computational modeling
across the scales of biology.
AB - The lung is a delicately balanced and highly integrated mechanical system. Lung
tissue is continuously exposed to the environment via the air we breathe, making
it susceptible to damage. As a consequence, respiratory diseases present a huge
burden on society and their prevalence continues to rise. Emergent function is
produced not only by the sum of the function of its individual components but
also by the complex feedback and interactions occurring across the biological
scales - from genes to proteins, cells, tissue and whole organ - and back again.
Computational modeling provides the necessary framework for pulling apart and
putting back together the pieces of the body and organ systems so that we can
fully understand how they function in both health and disease. In this review, we
discuss models of lung tissue mechanics spanning from the protein level (the
extracellular matrix) through to the level of cells, tissue and whole organ, many
of which have been developed in isolation. This is a vital step in the process
but to understand the emergent behavior of the lung, we must work towards
integrating these component parts and accounting for feedback across the scales,
such as mechanotransduction. These interactions will be key to unlocking the
mechanisms occurring in disease and in seeking new pharmacological targets and
improving personalized healthcare.
PMID- 29352608
TI - Differences in lung injury after IMRT or proton therapy assessed by 18FDG PET
imaging.
AB - BACKGROUND AND PURPOSE: To compare lung injury among non-small cell lung cancer
(NSCLC) patients treated with IMRT or proton therapy as revealed by 18F-FDG post
treatment uptake and to determine factors predictive for clinically symptomatic
radiation pneumonitis. MATERIAL AND METHODS: For 83 patients treated with IMRT or
proton therapy, planning CT and follow up 18F-FDG PET-CT were analyzed. Post
treatment PET-CT was aligned with planning CT to establish a voxel-to-voxel
correspondence between PET and planning dose images. 18F-FDG uptake as a function
of radiation dose to normal lung was obtained for each patient. PET image-derived
parameters as well as demographic, clinical, treatment and dosimetric patient
characteristics were correlated with clinical symptoms of pneumonitis. RESULTS:
The dose distributions for the two modalities were significantly different; V5
was higher for IMRT, whereas V60 was higher for protons. The mean lung dose (MLD)
was similar for the two modalities. The slope of linear 18F-FDG-uptake - dose
response did not differ significantly between the two modalities. The MLD, slope,
and 95th percentile of SUV were identified as three major factors associated with
radiation pneumonitis. CONCLUSIONS: Despite significantly different dose
distributions for IMRT and for protons, the slope of the SUV-dose linear
regression line previously shown to be associated with RP did not differ between
IMRT and protons. Patients who developed radiation pneumonitis had statistically
significantly higher MLD and higher slope regardless of treatment modality.
PMID- 29352609
TI - Induction of mitophagy in the HEI-OC1 auditory cell line and activation of the
Atg12/LC3 pathway in the organ of Corti.
AB - Autophagy is a highly evolutionary conserved quality control defense mechanism
within cells, which has also been implicated in cell death processes. In the
mammalian inner ear, autophagy has been shown to play a role during early
morphogenesis as well as in adult cochlear hair cells exposed to ototoxic
insults. Mitophagy, a selective autophagic cell process targeting mitochondria,
hasn't been studied in the inner ear so far. On this work, we searched for
molecular indicators of mitophagy within House Ear Institute-Organ of Corti-1
(HEI-OC1) cells as well as in the organ of Corti (OC). We first tested for the
expression of Pink1/Park2 mRNA in 5-day-old C57BL/6 mice's cochleae using RT-PCR.
We focused on the induction of mitophagy in HEI-OC1 cells as well as in the OC
and investigated a possible mitophagic potential of the aminoglycoside agent
gentamicin. The induction of mitophagy in HEI-OC1 cells was detected by
objectivizing the translocation of fluorescence-tagged LC3 to mitochondria using
confocal microscopy after a 6-h incubation with a well-described mitochondrial
uncoupler and mitophagy-inducing agent: carbonyl cyanide m-chlorophenyl hydrazone
(CCCP). Incubation with gentamicin generated no mitochondrial translocation of
LC3. Protein levels of COXIV, Atg5/12 and LC3 were evaluated by an immunoblot
analysis after a 24-h CCCP treatment as well as gentamicin. We demonstrated
mitophagy after CCCP exposure in HEI-OC1 cells by showing a downregulation of
COXIV. A downregulation of COXIV could also be visualized in the OC after CCCP. A
significant oxygen consumption rate (OCR) changed in cells treated with CCCP as
well as significant morphological changes of mitochondria by electron microscopy
(EM) strengthen this assumption. Gentamicin exposure generated no impact on OCR
or mitochondrial morphological changes by EM. Finally, we demonstrated changes in
the expression of Atg12 and LC3 proteins in both the OC and HEI-OC1 cells after
CCCP exposure but not after gentamicin. Our data indicate that gentamicin had no
impact in the activation of mitophagy-neither in the HEI-OC1 cell line nor in the
OC. Therefore, we speculate that mitophagic-independent mechanisms may underly
aminoglycoside ototoxicity.
PMID- 29352610
TI - Neural representation of octave illusion in the human cortex revealed with
functional magnetic resonance imaging.
AB - The auditory "octave illusion" arises when dichotic tones, presented one octave
apart, alternate rapidly between the ears. This study aimed to explore the link
between the perception of illusory pitches and brain activity during presentation
of dichotic tones. We conducted a behavioral study of how participants perceived
binaural dichotic tones of octave illusions and classified them, based on the
reported percepts, in an illusion (ILL) group, without an illusion (non-ILL)
group, and others. We recorded brain activity using functional magnetic resonance
imaging and analyzed the activation due to dichotic illusion tones. The
activation in the bilateral planum polare in the auditory cortex was
significantly larger in the ILL group than in the non-ILL group. In the right
premotor cortex, the non-ILL group showed a significantly larger activation than
did the ILL group, suggesting that the sensation of the meter to the stimulus
sound was significant in the non-ILL but not in the ILL group. The results
indicated that the activity in these areas was related to the occurrence of
octave illusions. The nonsignificant sensation of the meter to the stimulus sound
in the ILL group may be consistent with the perception of octave illusion.
PMID- 29352611
TI - High Blood Pressure Guidelines: Welcomed Advice, But Let's Not Lose the Patient
Amid the Numbers.
PMID- 29352612
TI - Biogeographical patterns of bacterial and archaeal communities from distant
hypersaline environments.
AB - Microorganisms are globally distributed but new evidence shows that the microbial
structure of their communities can vary due to geographical location and
environmental parameters. In this study, 50 samples including brines and
sediments from Europe, Spanish-Atlantic and South America were analysed by
applying the operational phylogenetic unit (OPU) approach in order to understand
whether microbial community structures in hypersaline environments exhibited
biogeographical patterns. The fine-tuned identification of approximately 1000
OPUs (almost equivalent to "species") using multivariate analysis revealed
regionally distinct taxa compositions. This segregation was more diffuse at the
genus level and pointed to a phylogenetic and metabolic redundancy at the higher
taxa level, where their different species acquired distinct advantages related to
the regional physicochemical idiosyncrasies. The presence of previously
undescribed groups was also shown in these environments, such as Parcubacteria,
or members of Nanohaloarchaeota in anaerobic hypersaline sediments. Finally, an
important OPU overlap was observed between anoxic sediments and their overlaying
brines, indicating versatile metabolism for the pelagic organisms.
PMID- 29352614
TI - WHO is in and WHO is out of the mouth, salivary glands, and jaws sections of the
4th edition of the WHO classification of head and neck tumours.
AB - This review of changes to the 4th edition of the WHO classification of head and
neck tumours focuses on their impact on the surgical care of diseases that affect
the salivary glands, jaws, and oral cavity. Updates to the chapter on the
salivary glands include the addition of secretory carcinoma and sclerosing
polycystic adenosis. The odontogenic cysts are back, and the odontogenic
keratocyst is listed among them, as it has now lost its brief and confusing
designation as a neoplasm. The newly-defined sclerosing odontogenic carcinoma and
primordial odontogenic tumour have been added. Oropharyngeal tumours have been
separated from those of the oral cavity, which reflects the importance of HPV in
carcinoma of the tonsils. The problems of grading oral epithelial dysplasia
persist.
PMID- 29352615
TI - Gene Circuits for Dynamically Regulating Metabolism.
AB - Gene circuits are a functional innovation in synthetic biology for engineering
cellular behavior. Recent advances have demonstrated that gene circuits can be
exploited for dynamically controlling pathway redirection and pathway balance to
produce valuable chemicals. The next frontier is to engineer robust, sensitive,
and efficient circuits that adapt to changing conditions.
PMID- 29352613
TI - mRNA Translation Gone Awry: Translation Fidelity and Neurological Disease.
AB - Errors during mRNA translation can lead to a reduction in the levels of
functional proteins and an increase in deleterious molecules. Advances in next
generation sequencing have led to the discovery of rare genetic disorders, many
caused by mutations in genes encoding the mRNA translation machinery, as well as
to a better understanding of translational dynamics through ribosome profiling.
We discuss here multiple neurological disorders that are linked to errors in tRNA
aminoacylation and ribosome decoding. We draw on studies from genetic models,
including yeast and mice, to enhance our understanding of the translational
defects observed in these diseases. Finally, we emphasize the importance of tRNA,
their associated enzymes, and the inextricable link between accuracy and
efficiency in the maintenance of translational fidelity.
PMID- 29352616
TI - Assessing Advanced Airway Management Performance in a National Cohort of
Emergency Medical Services Agencies.
AB - STUDY OBJECTIVE: Although often the focus of quality improvement efforts,
emergency medical services (EMS) advanced airway management performance has few
national comparisons, nor are there many assessments with benchmarks accounting
for differences in agency volume or patient mix. We seek to assess variations in
advanced airway management and conventional intubation performance in a national
cohort of EMS agencies. METHODS: We used EMS data from ESO Solutions, a national
EMS electronic health record system. We identified EMS emergency responses with
attempted advanced airway management (conventional intubation, rapid sequence
intubation, sedation-assisted intubation, supraglottic airway insertion, and
cricothyroidotomy). We also separately examined cases with initial conventional
intubation. We determined EMS agency risk-standardized advanced airway management
and initial conventional intubation success rates by using mixed-effects
regression models, fitting agency as a random intercept, adjusting for patient
age, sex, race, cardiac arrest, or trauma status, and use of rapid sequence or
sedation-assisted intubation, and accounting for reliability variations from EMS
agency airway volume. We assessed changes in agency advanced airway management
and initial conventional intubation performance rank after risk and reliability
adjustment. We also identified high and low performers (reliability-adjusted and
risk-standardized success confidence intervals falling outside the mean).
RESULTS: During 2011 to 2015, 550 EMS agencies performed 57,209 advanced airway
management procedures. Among 401 EMS agencies with greater than or equal to 10
advanced airway management procedures, there were a total of 56,636 procedures.
Median reliability-adjusted and risk-standardized EMS agency advanced airway
management success was 92.9% (interquartile range 90.1% to 94.8%; minimum 58.2%;
maximum 99.0%). There were 56 advanced airway management low-performing and 38
high-performing EMS agencies. Among 342 agencies with greater than or equal to 10
initial conventional intubations, there were a total of 37,360 initial
conventional intubations. Median reliability-adjusted and risk-standardized EMS
agency initial conventional intubation success was 77.3% (interquartile range
70.9% to 83.6%; minimum 47.1%; maximum 95.8%). There were 64 initial conventional
intubation low-performing and 45 high-performing EMS agencies. CONCLUSION: In
this national series, EMS advanced airway management and initial conventional
intubation performance varied widely. Reliability adjustment and risk
standardization may influence EMS airway management performance assessments.
PMID- 29352618
TI - Point Shear Wave Elastography of the Spleen: Its Role in Patients with Portal
Hypertension.
AB - The goals of the study described here were to evaluate the feasibility and
reproducibility of measuring spleen stiffness (SS) using point shear wave
elastography in a series of cirrhotic patients and to investigate whether SS,
liver stiffness (LS) and other non-invasive parameters are correlated with the
presence of esophagogastric varices (EVs). Fifty-four cirrhotic patients with low
grade EVs or without EVs at esophago-gastro-duodenoscopy were enrolled. They
underwent abdominal ultrasound and Doppler ultrasound of hepatic vessels
simultaneously with p-SWE of the liver and spleen. In 42 patients, the accuracy
of LS and SS, as well as of platelet count and spleen longitudinal diameter, in
predicting the presence of EVs was evaluated. The technical success was 90.74%
for LS (49/54 patients) and 77.78% for SS (42/54 patients). Inter-observer
agreement for SS measurement was high. Both LS and SS correlated with esophago
gastro-duodenoscopy: LS had the highest accuracy in predicting the presence of
EVs (area under the receiver operating characteristic curve [AUROC] = 0.913); SS
had the lowest accuracy (AUROC = 0.675); platelet count and spleen diameter had
intermediate accuracy (AUROC = 0.731 and 0.729, respectively). SS did not have an
advantage over LS in predicting low-grade EVs and cannot be proposed as a useful
tool in the diagnostic process of cirrhotic patients who require screening
esophago-gastro-duodenoscopy.
PMID- 29352619
TI - Quantitative Evaluation of Enzyme-Induced Porcine Articular Cartilage
Degeneration Based on Observation of Entire Cartilage Layer Using Ultrasound.
AB - Enzyme-induced articular cartilage degeneration resembling osteoarthritis was
evaluated using a newly defined acoustic parameter, the "averaged magnitude
ratio" (AMR), which has been suggested as an indicator of articular cartilage
degeneration. In vitro experiments were conducted on porcine cartilage samples
digested with trypsin for 2 h (n = 10) and 4 h (n = 13) and healthy control
samples (n = 13). AMR was determined with 15- and 25-MHz ultrasound, and the
integrated reflection coefficient (IRC) and apparent integrated backscattering
coefficient (AIB) were also calculated for comparison. The Young's modulus of
superficial cartilage was measured using atomic force microscopy. Performance of
the AMR differs between 15 and 25 MHz, possibly because of frequency-related
attenuation and resolution of ultrasound. At the proper settings, AMR exhibited a
competence similar to that of IRC and AIB in detecting cartilage degeneration and
could also detect differences in deeper positions. Furthermore, AMR has the
advantages of being easy to measure and requiring no reference material.
PMID- 29352617
TI - High frequency of C9orf72 hexanucleotide repeat expansion in amyotrophic lateral
sclerosis patients from two founder populations sharing the same risk haplotype.
AB - We characterized the C9orf72 hexanucleotide repeat expansion (RE) mutation in
amyotrophic lateral sclerosis (ALS) patients of 2 distinct origins, Ashkenazi and
North Africa Jews (AJ, NAJ), its frequency, and genotype-phenotype correlations.
In AJ, 80% of familial ALS (fALS) and 11% of sporadic ALS carried the RE, a total
of 12.9% of all AJ-ALS compared to 0.3% in AJ controls (odds ratio [OR] = 44.3, p
< 0.0001). In NAJ, 10% of fALS and 9% of sporadic ALS carried the RE, a total of
9.1% of all NAJ-ALS compared to 1% in controls (OR = 9.9, p = 0.0006). We
identified a risk haplotype shared among all ALS patients, although an
association with age at disease onset, fALS, and dementia were observed only in
AJ. Variations were identified downstream the repeats. The risk haplotype and
these polymorphisms were at high frequencies in alleles with 8 repeats or more,
suggesting sequence instability. The different genotype-phenotype correlations
and OR, together with the large range in age at onset, suggest that other
modifiers and risk factors may affect penetrance and phenotype in ALS.
PMID- 29352620
TI - Family caregivers' facilitation of daily adult prescription medication use.
AB - OBJECTIVE: To describe ways family members assist adult patients with
prescription medications at home, during medical visits and at the pharmacy.
METHODS: Online survey of 400 adults (caregivers) who help another adult (care
recipient) with prescription medication use. Regression modeled the contribution
of caregiver communication during recipients' medical visits, evaluation of
physicians' medication communication and discussions with the dispensing
pharmacist on caregivers' assistance with home medication management. RESULTS:
Female (68%) family members (94%) assisted care recipients with multimorbidity
(mean 2.9 conditions) and polypharmacy (mean 3.2 prescriptions). On average,
caregivers performed 3 visit communication behaviors (e.g., notetaking) and
implemented 2.6 home medication management strategies (e.g., filling/monitoring
pill boxes). Communication-related variables explained 17% of home medication
management assistance; including caregivers' visit communication (std. beta
0.31), physicians' medication communication (std. beta 0.15) and pharmacist
discussions (std. beta 0.10). The final model included recipients' multimorbidity
and caregiver education (std. betas 0.21 and 0.13) explaining 22% of caregiver
assistance with home medication management. CONCLUSION: Caregivers' assistance
with safe and effective home medication use crosses care contexts and is
facilitated by clinician and pharmacist communication. PRACTICE IMPLICATIONS:
Support for caregiver engagement in healthcare conversations can contribute to
patient adherence and family-centered, high quality care.
PMID- 29352621
TI - Associations between callous-unemotional traits and various types of involvement
in school bullying among adolescents in Taiwan.
AB - PURPOSE: This study examined associations between callous-unemotional (CU) traits
and involvement in school bullying among 613 Taiwanese adolescents. METHODS: CU
traits were determined using the self-reported Chinese versions of the Inventory
of Callous and Unemotional Traits (C-ICUT) and the School Bullying Experience
Questionnaire (C-SBEQ). The study used logistic regression analysis to assess
associations between CU traits and types of involvement in school bullying, with
controls for the effect of age, sex, and residential background. RESULTS: Higher
levels of the callous trait were positively associated with greater risk of being
a victim of physical bullying and belongings snatch, or a perpetrator of verbal,
relational and physical bullying and belongings snatch. Higher levels of the
unemotional trait were positively associated with greater risk of being a victim
of verbal and relational bullying. The uncaring trait had no significant
associations with any type of bullying involvement. CONCLUSION: The role played
by CU traits should, therefore, be examined when developing programs to detect
and prevent school bullying.
PMID- 29352622
TI - Anaesthesia for Caesarean section in a patient with Parkinson's disease.
AB - Parkinson's disease is prevalent worldwide but mainly affects the elderly and is
rarely seen in women of child-bearing age. The clinical signs and symptoms, the
physiological changes of pregnancy, and drug interactions, pose unique challenges
for the anaesthetic management of patients with Parkinson's disease who present
for delivery. A 36-year-old primigravid woman at 36 weeks' gestation, with
Parkinson's disease, presented for pre-anaesthesia assessment prior to elective
caesarean section. Her Parkinson's disease had been diagnosed four years
previously and was treated with Sinemet (levodopa/carbidopa) and pramipexole.
Despite maximum allowable drug doses in pregnancy, she reported disease
progression, with right-sided weakness in the upper and lower limbs and an
altered gait. Spinal anaesthesia for elective Caesarean section was performed in
the sitting position, using 0.5% hyperbaric bupivacaine, morphine 150 ug and
fentanyl 25 ug. The anaesthesia and Caesarean section were uneventful. She was
discharged home with a healthy baby on the fourth postoperative day.
PMID- 29352623
TI - Effect of method of anesthesia on the reproductive and obstetric outcomes of
heterotopic pregnancies.
AB - BACKGROUND: Anesthesia is commonly used for surgical termination of the
extrauterine component of heterotopic pregnancy. We sought to evaluate the
effects of general and regional anesthesia during salpingectomy on reproductive
and obstetric outcomes of heterotopic pregnancies. METHODS: A two-center,
retrospective cohort study was conducted, and 49 heterotopic pregnancies were
included. Baseline characteristics, reproductive and obstetric outcomes were
compared between the general anesthesia and regional anesthesia groups. RESULTS:
Baseline characteristics were comparable for age, weeks of gestation at
diagnosis, and duration of anesthesia. No significant difference was found in
pregnancy outcome, perinatal outcome or neonatal weight (P >0.05). The rate of
miscarriage in the general anesthesia group was 23.5% versus the regional
anesthesia group 15.6% (P >0.05). CONCLUSION: With respect to reproductive and
obstetric outcomes, this retrospective study found no difference between general
anesthesia and regional anesthesia used for early heterotopic pregnancy.
PMID- 29352624
TI - Anesthetic management of two parturients with cerebral palsy and prior selective
dorsal rhizotomy.
AB - Selective dorsal rhizotomy is a surgical spine procedure used to reduce
spasticity in patients with upper motor neuron dysfunction caused by conditions
such as cerebral palsy. The optimal anesthetic approach for obstetric patients
who have undergone a selective dorsal rhizotomy is unknown. The use and efficacy
of neuraxial anesthesia in these patients has not been described. We describe the
use of neuraxial anesthesia in two patients with prior selective dorsal
rhizotomy. Unless contraindicated for other reasons, a neuraxial anesthetic
approach appears to be an effective option in patients with a history of a
selective dorsal rhizotomy.
PMID- 29352625
TI - Unilateral repetitive tibial nerve stimulation improves neurogenic claudication
and bilateral F-wave conduction in central lumbar spinal stenosis.
AB - BACKGROUND: Repetitive electrical nerve stimulation of the lower limb may improve
neurogenic claudication in patients with lumbar spinal stenosis (LSS) as
originally described by Tamaki et al. We tested if this neuromodulation technique
affects the F-wave conduction on both sides to explore the underlying physiologic
mechanisms. METHODS: We studied a total of 26 LSS patients, assigning 16 to a
study group receiving repetitive tibial nerve stimulation at the ankle (RTNS) on
one leg, and 10 to a group without RTNS. RTNS conditioning consisted of a 0.3-ms
duration square-wave pulse with an intensity 20% above the motor threshold,
delivered at a rate of 5 Hz for 5 min. All patients underwent the walking test
and the F-wave and M-wave studies for the tibial nerve on both sides twice; once
as the baseline, and once after either the 5-min RTNS or 5-min rest. RESULTS:
Compared to the baselines, a 5-min RTNS increased claudication distance (176 +/-
96 m vs 329 +/- 133 m; p = 0.0004) and slightly but significantly shortened F
wave minimal onset latency (i.e., increased F-wave conduction velocity) not only
on the side receiving RTNS (50.7 +/- 4.0 ms vs 49.2 +/- 4.2 ms; p = 0.00081) but
also on the contralateral side (50.1 +/- 4.6 ms vs 47.9 +/- 4.2 ms; p = 0.011). A
5-min rest in the group not receiving RTNS neither had a significant change on
claudication distance nor on any F-wave measurements. The M response remained
unchanged in both groups. CONCLUSIONS: The present study verified a beneficial
effect of unilaterally applied RTNS of a mild intensity on neurogenic
claudication and bilateral F-wave conduction. Our F-wave data suggest that this
type of neuromodulation could be best explained by an RTNS-induced widespread
sympathetic tone reduction with vasodilation, which partially counters a walking
induced further decline in nerve blood flow in LSS patients who already have
ischemic cauda equina.
PMID- 29352626
TI - Differences between sexes in the standard and advanced dimensioning of lateral
meniscal allografts.
AB - BACKGROUND: There are many different methods of meniscal allograft measurements,
which depend on individual tissue bank procedures. Due to the lack of a
standardised method of dimensioning, measurement results may vary between
individual cases. Consequently, allograft may be mismatched to the patient's knee
anatomy. The purpose of this study was to measure four meniscal dimensions - two
standard and two specific - and then compare them between sexes. METHODS:
Fourteen cadaveric lateral menisci (seven male and seven female) were scanned
using a microtomography scanner. The obtained three-dimensional (3-D) models of
each meniscus were analysed, taking into account four dimensions: circumference,
width, central meniscal concavity, and total meniscal volume. The computer
researcher was not informed of the original data of the meniscal samples until
the calculations were completed. RESULTS: No statistical between-sex differences
were found in the standard dimensions. The specific dimensions, in turn,
presented statistically significant between-sex differences (P>0.05). The mean
difference between male and female total volume of the meniscus was equal to
36.59%, and the mean difference between male and female central meniscal
concavity surface was equal to 31.22%. CONCLUSION: This study found that sex
should be taken into account as an important factor during a matching procedure
performed by tissue bank staff.
PMID- 29352627
TI - Indications and limits of stereoelectroencephalography (SEEG).
AB - Epilepsy surgery is now an accepted treatment to achieve seizure control in
carefully selected patients, both children and adults, suffering from drug
resistant focal epilepsy. Although surgical strategies can often be defined on
the basis of non-invasive diagnostic procedures, and despite the recent advances
in this field, an increasing number of more complex cases requires invasive EEG
(iEEG) to provide precise information on the localization of the epileptogenic
zone (EZ), its relationships with eloquent cortex (EC), and the feasibility of a
tailored surgical resection. Stereoelectroencephalography (SEEG) is one of the
iEEG techniques currently used in the presurgical work-up, and it is well
distinguished from other invasive techniques, such as subdural grids and strips.
SEEG depth electrodes enable exploration of deeply located structures and
lesions, and of buried cortex, which are not easily assessable by subdural or
other iEEG methods. Simultaneous recording of SEEG signals from deep and
superficial brain structures allows, when the position of each electrode is
precisely determined, delineation of a three-dimensional, spatial and temporal
organization of epileptic activities. In the following chapter we discuss some
specific indications (temporal or extra-temporal, lesional or non-lesional
epilepsies) as well as the limits of the SEEG technique, with respect to some
epileptological issues during presurgical evaluation.
PMID- 29352628
TI - Non-invasive mechanical ventilation in myotonic dystrophy type 1? Hypoventilation
versus quality of life perspective.
PMID- 29352629
TI - An in silico comparative dosimetric study of postmastectomy locoregional
irradiation using intensity-modulated vs 3-dimensional conventional radiotherapy.
AB - An in silico dosimetric evaluation of intensity-modulated radiation therapy
(IMRT) vs 3-dimensional conventional radiation therapy (3D-CRT) treatment plans
in postmastectomy radiation therapy (PMRT) to the chest wall and regional
lymphatics was conducted. Twenty-five consecutive patients with breast cancer
referred for locoregional PMRT, stages T2-4 with N1-3, were planned to receive 50
Gy in 25 fractions with IMRT. Additionally, a 3D-CRT plan was generated using
identical contours for the clinical target volumes (CTV), planning target volumes
(PTV), and organs at risk (OAR). Treatment plans were assessed using dose-volume
histogram (DVH) parameters of D98, D95, D50, D2, and homogeneity index for
individual CTVs and PTVs. OARs evaluated were ipsilateral and contralateral
lungs, heart, spinal cord, and opposite breast. Most DVH parameters pertaining to
CTVs and PTVs significantly favored IMRT. V20 for ipsilateral and contralateral
lungs, D33 of heart and maximum dose to spinal cord favored IMRT (all p < 0.001).
The mean dose to the opposite breast was significantly lesser with 3D-CRT (5.8 +/
1.8 Gy vs 2.0 +/- 1.0 Gy, p < 0.001). Thus, except for the mean dose to the
opposite breast, the compliance to DVH constraints applied to PTV and OARs were
significantly better with IMRT. At a median follow-up of 76 months (7-91), none
had locoregional failure or pulmonary or cardiac morbidity. For PMRT, requiring
comprehensive irradiation to both chest wall and regional lymphatics, IMRT offers
superior dosimetric advantages over 3D-CRT. This was also corroborated by long
term outcomes in these patients treated with IMRT.
PMID- 29352630
TI - Surgical damage to the lymphatic system promotes tumor growth via impaired
adaptive immune response.
AB - BACKGROUND: Both lymph nodes (LNs) and lymphatic channels from primary sites to
regional LNs are critical for initiation of adaptive immunity. However, as LNs
are common metastatic sites in skin cancers, LN biopsies or dissections are
frequently performed. In addition, reconstructive skin flaps after tumor
resection may damage lymphatic flow from primary sites to regional LNs.
OBJECTIVE: This study was designed to investigate the effect on tumor progression
by such surgeries. METHODS: We developed a mouse model that simulates LNs
dissection or skin flap that blocks lymphatic flow from primary sites to regional
LNs and monitored tumor progression. RESULTS: As a poor immunogenic tumor line,
the growth of inoculated B16F10 melanoma into syngeneic C57BL/6 mice was not
affected by these surgeries. However, the growth of the same cell line in
allogenic Balb/c mice was accelerated while immune cell infiltration (CD4+ and
CD8+ T cells) into the tumor was reduced by these surgeries. In addition, both
cytotoxicity against B16F10 melanoma and numbers of apoptotic tumor cells were
diminished by these surgeries. Similarly, tumor growth of the immunogenic MC38
cell line in syngeneic C57BL/6 mice was accelerated and immune cell infiltration
and apoptotic tumor cells were reduced by these surgeries. CONCLUSION: These
results strongly indicate that surgical damage of the lymphatic system may
promote tumor progression via impaired adaptive immune response.
PMID- 29352631
TI - We could learn much more from 1918 pandemic-the (mis)fortune of research relying
on original death certificates.
AB - PURPOSE: The analysis of historical death certificates has enormous potential for
understanding how the health of populations was shaped by diseases and epidemics
and by the implementation of specific interventions. In Brazil, the systematic
archiving of mortality records was initiated only in 1944-hence the analysis of
death registers before this time requires searching for these documents in public
archives, notaries, parishes, and especially ancient cemeteries, which are often
the only remaining source of information about these deaths. This article
describes an effort to locate original death certificates in Brazil and document
their organization, accessibility, and preservation. METHODS: To this end, we
conducted an exploratory study in 19 of the 27 Brazilian states, focusing on the
period surrounding the 1918 influenza pandemic (1913-1921). We included 55
cemeteries, 22 civil archives, and one military archive. RESULTS: Apart from few
exceptions, the results show the absence of a curatorial policy for the
organization, access or even physical preservation of this material, frequently
leading to unavailability, deterioration, and ultimately its complete loss.
CONCLUSIONS: This study indicates the need to promote the preservation of a
historical heritage that is a key to understanding historical epidemiological
patterns and human responses to global health threats.
PMID- 29352632
TI - Superior Vena Cava Syndrome Following Mitral Valve Repair.
PMID- 29352634
TI - Factors influencing the recycling rate under the volume-based waste fee system in
South Korea.
AB - Since the early 2000s, the Republic of Korea (South Korea) has maintained its top
rank status for its municipal solid waste (MSW) recycling rate among OECD
(Organization for Economic Cooperation and Development) member countries. The
volume-based waste fee system (VWF) has been considered to be the major factor
contributing to the high recycling performance, and extant research has verified
the positive relationship between VWF adoption and the MSW recycling rate.
Nevertheless, there exists a gap in the literature, as past research has focused
more on testing the positive effects of VWF rather than on investigating the
determinants of recycling rates after the adoption of VWF. The current study
seeks to address this gap by investigating the various factors that affect
recycling rates under the VWF system. More specifically, using data from 16
regions in South Korea over a period of 11 years, this study empirically tests
the effects of VWF pricing, the citizen cost burden ratio for the VWF system, and
pro-environmental behavior related to VWF on the recycling rate. The findings
indicate that economic incentives such as cost savings on VWF plastic bag
purchases and reduced burden from paying VWF expenses result in higher recycling
rates. The findings also demonstrate that pro-environmental behavior in the VWF
context positively affects the recycling rate.
PMID- 29352636
TI - Beyond cut-off values for hypertension... individualize interventions.
PMID- 29352633
TI - Current concerns and perspectives on Zika virus co-infection with arboviruses and
HIV.
AB - Dissemination of vector-borne viruses, such as Zika virus (ZIKV), in tropical and
sub-tropical regions has a complicated impact on the immunopathogenesis of other
endemic viruses such as dengue virus (DENV), chikungunya virus (CHIKV) and human
immunodeficiency virus (HIV). The consequences of the possible co-infections with
these viruses have specifically shown significant impact on the treatment and
vaccination strategies. ZIKV is a mosquito-borne flavivirus from African and
Asian lineages that causes neurological complications in infected humans. Many of
DENV and CHIKV endemic regions have been experiencing outbreaks of ZIKV
infection. Intriguingly, the mosquitoes, Aedes Aegypti and Aedes Albopictus, can
simultaneously transmit all the combinations of ZIKV, DENV, and CHIKV to the
humans. The co-circulation of these viruses leads to a complicated immune
response due to the pre-existence or co-existence of ZIKV infection with DENV and
CHIKV infections. The non-vector transmission of ZIKV, especially, via sexual
intercourse and placenta represents an additional burden that may hander the
treatment strategies of other sexually transmitted diseases such as HIV.
Collectively, ZIKV co-circulation and co-infection with other viruses have
inevitable impact on the host immune response, diagnosis techniques, and vaccine
development strategies for the control of these co-infections.
PMID- 29352635
TI - [Palytoxin poisoning with soft coral from a tank in France: 3 cases reports].
PMID- 29352637
TI - The ability of topical and systemic statins to increase osteogenesis around
dental implants: a systematic review of histomorphometric outcomes in animal
studies.
AB - The purpose of this systematic review was to evaluate the quantitative
histomorphometric outcomes of animal studies investigating statins as a pro
osteogenic agent to enhance the osseointegration of dental implants. Some animal
studies have suggested a beneficial action of statins on bone tissue. Electronic
and manual literature searches, without date or language restriction, were
performed by two independent review authors up to February 2017. Eligibility
criteria included animal trials quantitatively analysing the pro-osteogenic
effect of statins on dental implants. The quality of the included studies was
assessed using the ARRIVE guidelines. The search and selection process yielded 12
studies, published between 2004 and 2015. The experimental animals models used
were rats and dogs. The statins used in the studies were simvastatin and
fluvastatin, which were administered locally or systemically, or applied to the
implant surface. All of the selected studies showed a statistically significant
positive effect of statins on bone formation around implants. The mean quality
assessment score (ARRIVE) of the studies was 11.5+/-2.27 out of a possible total
of 25 points. The histomorphometric data from available preclinical studies
suggest a positive effect of statins on increasing osteogenesis around dental
implants.
PMID- 29352639
TI - Indirect glyphosate detection based on ninhydrin reaction and surface-enhanced
Raman scattering spectroscopy.
AB - Glyphosate is one of the most commonly-used and non-selective herbicides in
agriculture, which may directly pollute the environment and threaten human
health. A simple and effective approach to assessment of its damage to the
natural environment is thus quite necessary. However, traditional chromatography
based detection methods usually suffer from complex pretreatment procedures.
Herein, we propose a simple and sensitive method for the determination of
glyphosate by combining ninhydrin reaction and surface-enhanced Raman scattering
(SERS) spectroscopy. The product (purple color dye, PD) of the ninhydrin reaction
is found to SERS-active and directly correlate with the glyphosate concentration.
The limit of detection of the proposed method for glyphosate is as low as 1.43*10
8mol.L-1 with a relatively wider linear concentration range (1.0*10-7-1.0*10
4mol.L-1), which demonstrates its great potential in rapid, highly sensitive
concentration determination of glyphosate in practical applications for safety
assessment of food and environment.
PMID- 29352638
TI - The evaluation of the hydrophilic-hydrophobic interactions and their effect in
water-methanol solutions: A study in terms of the thermodynamic state functions
in the frame of the transition state theory.
AB - Aqueous solutions of amphiphilic molecules are characterized by the competition
between hydrophilic and hydrophobic interactions. These interactions have a
different energetic dependence with the temperature. Whereas hydrophilic
interactions have been well characterized, a complete theory for the hydrophobic
ones is still lacking as well as the comprehension of the effect that the solvent
exerts on the solute and vice versa. In this paper from the measured relaxation
time, we evaluated the thermodynamic state functions of water-methanol solutions
in the frame of the transition state theory. In particular we study the behavior
of the Gibbs free energy, enthalpy and entropy of water, methanol and some of
their solutions as a function of both temperature and water molar fraction. Our
results indicate that the temperature of about 280 K represents a crossover
between two regions dominated by hydrophobicity (high T) and hydrophilicity (low
T).
PMID- 29352640
TI - Recent advancements in bioremediation of dye: Current status and challenges.
AB - The rampant industrialization and unchecked growth of modern textile production
facilities coupled with the lack of proper treatment facilities have proliferated
the discharge of effluents enriched with toxic, baleful, and carcinogenic
pollutants including dyes, heavy metals, volatile organic compounds, odorants,
and other hazardous materials. Therefore, the development of cost-effective and
efficient control measures against such pollution is imperative to safeguard
ecosystems and natural resources. In this regard, recent advances in
biotechnology and microbiology have propelled bioremediation as a prospective
alternative to traditional treatment methods. This review was organized to
address bioremediation as a practical option for the treatment of dyes by
evaluating its performance and typical attributes. It further highlights the
current hurdles and future prospects for the abatement of dyes via biotechnology
based remediation techniques.
PMID- 29352641
TI - Can You Do Health Disparities Research with Publicly Available Datasets?
AB - RATIONALE AND OBJECTIVES: Given the growing importance of identifying and
reducing health disparities, it is important for radiologist researchers to
engage in this space to promote evidence-based imaging disparities policy.
However, researchers are often hindered by access to appropriate data to perform
quality research. MATERIALS AND METHODS: This paper reviews existing publicly
available data sets that may be useful for performing imaging disparities
research. RESULTS: Multiple data sources are publicly available and have been
used by previous researchers to examine imaging disparities. CONCLUSIONS: This
paper provides an overview of publicly available data sources that radiologists
can use for imaging disparities research. Appropriate use of these data sources
will require researchers to carefully consider the overall research question and
level of analysis.
PMID- 29352642
TI - LGALS4, CEACAM6, TSPAN8, and COL1A2: Blood Markers for Colorectal Cancer
Validation in a Cohort of Subjects With Positive Fecal Immunochemical Test
Result.
AB - BACKGROUND: A noninvasive blood test for the early detection of colorectal cancer
(CRC) is highly required. We evaluated a panel of 4 mRNAs as putative markers of
CRC. MATERIALS AND METHODS: We tested LGALS4, CEACAM6, TSPAN8, and COL1A2,
referred to as the CELTiC panel, using quantitative reverse transcription
polymerase chain reaction, on subjects with positive fecal immunochemical test
(FIT) results and undergoing colonoscopy. Using a nonparametric test and
multinomial logistic model, FIT-positive subjects were compared with CRC patients
and healthy individuals. RESULTS: All the genes of the CELTiC panel displayed
statistically significant differences between the healthy subjects (n = 67), both
low-risk (n = 36) and high-risk/CRC (n = 92) subjects, and those in the negative
colonoscopy, FIT-positive group (n = 36). The multinomial logistic model revealed
LGALS4 was the most powerful marker discriminating the 4 groups. When assessing
the diagnostic values by analysis of the areas under the receiver operating
characteristic curves (AUCs), the CELTiC panel reached an AUC of 0.91
(sensitivity, 79%; specificity, 94%) comparing normal subjects to low-risk
subjects, and 0.88 (sensitivity, 75%; specificity, 87%) comparing normal and high
risk/CRC subjects. The comparison between the normal subjects and the negative
colonoscopy, FIT-positive group revealed an AUC of 0.93 (sensitivity, 82%;
specificity, 97%). CONCLUSION: The CELTiC panel could represent a useful tool for
discriminating subjects with positive FIT findings and for the early detection of
precancerous adenomatous lesions and CRC.
PMID- 29352643
TI - Assessment of a source position checking tool for the quality assurance of
transfer tubes used in HDR 192Ir brachytherapy treatments.
AB - PURPOSE: The determination of source positions before treatment is an essential
part of the quality assurance (QA) associated with high dose rate brachytherapy
treatments. The purpose of this study was to design and commission a tool to
allow the quantification of source positions across multiple transfer tube types.
METHODS AND MATERIALS: A bespoke flexi-adapter jig, three transfer tube adapters,
and a film piercing pointer were designed and built for source position QA across
three transfer tube types-the standard, 6 French, and gynae transfer tubes. The
jig was calibrated against a manufacturer source position check tool, and
intratube and intertube source position variations investigated across a total of
40 transfer tubes, using strips of Gafchromic film irradiated at multiple
positions 20 mm apart with a microSelectron V3 afterloader (Elekta, Holland). The
performance of the jig in localizing the nominal dwell positions relative to the
manufacturer check tool was assessed. Associated expanded uncertainties were
quantified in line with the International Organization for Standardization
Guidelines. RESULTS: The mean expanded uncertainty associated with the use of the
jig was 0.4 +/- 0.0 mm (k = 1). The performance of the jig was 0.3 +/- 0.0 mm,
while the intratube and intertube source positional variations were observed to
be within +/-1.0 mm across most transfer tubes. CONCLUSIONS: A bespoke flexi
adapter jig capable of allowing source position measurements to be carried out on
various transfer tube types has been designed. Measurement results highlight the
need for routine QA of all transfer tubes in clinical use.
PMID- 29352644
TI - Metastatic Brain Disease from Non-small Cell Lung Cancer - Getting Back to the
Drawing Board.
PMID- 29352645
TI - Diversity oriented synthesis of chromene-xanthene hybrids as anti-breast cancer
agents.
AB - A diverse library of chromene-xanthene hybrids were synthesized through
intramolecular Friedel-Crafts reaction of the arenoxy carbinols. Examples include
first incorporation of amino acid tyrosine into xanthene skeletons with polar
functionalities. A careful structural evaluation revealed that tyrosine crafted
chromene-xanthene hybrids exhibited good activities against breast cancer cell
lines MCF-7, MDA-MB-231. The lead compound 16 displays significant cell cycle
arrest at G1 phase and induces apoptosis in MDA-MB-231 cells.
PMID- 29352646
TI - Synthesis and biological evaluation of anti-cancer agents that selectively
inhibit Her2 over-expressed breast cancer cell growth via down-regulation of Her2
protein.
AB - Compound JCC76 selectively inhibited the proliferation of human epidermal growth
factor 2 (Her2) over-expressed breast cancer cells. In the current study, a
ligand based structural optimization was performed to generate new analogs, and
we identified derivatives 16 and 17 that showed improved activity and selectivity
against Her2 positive breast cancer cells. A structure activity relationship
(SAR) was summarized. Compounds 16 and 17 were also examined by western blot
assay to check their effect on Her2 protein. The results reveal that the
compounds could decrease the Her2 protein, which explains their selectivity to
Her2 over-expressed breast cancer cells. Furthermore, the compounds inhibited the
chaperone activity of small chaperone protein that could stabilize Her2 protein.
PMID- 29352648
TI - Learning diversity in nurse education and practice - Current challenges,
contemporary perspectives and innovative solutions.
PMID- 29352647
TI - IFN-gamma and TNF-alpha Pre-licensing Protects Mesenchymal Stromal Cells from the
Pro-inflammatory Effects of Palmitate.
AB - The use of mesenchymal stromal cell (MSC) therapy for the treatment of type 2
diabetes (T2D) and T2D complications is promising; however, the investigation of
MSC function in the setting of T2D has not been thoroughly explored. In our
current study, we investigated the phenotype and function of MSCs in a simulated
in vitro T2D environment. We show that palmitate, but not glucose, exposure
impairs MSC metabolic activity with moderate increases in apoptosis, while
drastically affecting proliferation and morphology. In co-culture with peripheral
blood mononuclear cells (PBMCs), we found that MSCs not only lose their normal
suppressive ability in high levels of palmitate, but actively support and enhance
inflammation, resulting in elevated PBMC proliferation and pro-inflammatory
cytokine release. The pro-inflammatory effect of MSCs in palmitate was partially
reversed via palmitate removal and fully reversed through pre-licensing MSCs with
interferon-gamma and tumor necrosis factor alpha. Thus, palmitate, a specific
metabolic factor enriched within the T2D environment, is a potent modulator of
MSC immunosuppressive function, which may in part explain the depressed potency
observed in MSCs isolated from T2D patients. Importantly, we have also identified
a robust and durable pre-licensing regimen that protects MSC immunosuppressive
function in the setting of T2D.
PMID- 29352649
TI - Optimal use of peri-operative human chorionic gonadotrophin concentrations to
identify persistent ectopic pregnancy after laparoscopic salpingostomy: a
retrospective cohort study.
AB - The aim of this study was to improve clinical decision-making for the
identification of persistent ectopic pregnancy after linear salpingostomy. The
study identified 854 laparoscopic salpingostomies performed between 2011 and
2016; 794 had a human chorionic gonadotrophin (HCG) <10 mIU/ml documented in the
electronic medical record within 1 month after surgery ('successes'). Sixty (7%)
received either methotrexate or repeat surgery for persistent ectopic pregnancy
('failures'). Five hundred and seventeen, including 46 'failures', had two or
more immediate post-operative HCG measurements available. The most clinically
useful prediction rule was calculated by dividing the difference between the
first and second post-operative HCG values by the first post-operative HCG value
(i.e. [HCG1 - HCG2]/HCG1). When this ratio exceeded 0.75, it reliably ruled out
persistent ectopic with a negative predictive value = 99%. When this ratio was
less than 0.2, it identified persistent ectopics with a positive predictive value
= 88%. It appears that this simple arithmetic calculation involving two early
post-operative HCG values may allow for efficient triage of patients before post
operative day 5. If validated in prospective studies, this could help minimize
the risk, inconvenience and expense of requiring several weeks of frequent follow
up to rule in/rule out persistent ectopic pregnancy.
PMID- 29352650
TI - Cryptococcus gattii genotype VGIIa infection imported from Vancouver Island to
Japan.
AB - A 71-year-old Japanese man with travel history to the Vancouver Island, Canada
was diagnosed the pulmonary and central nervous system infections caused by
Cryptococcus gattii genotype VGIIa. This is the first imported case of
Cryptococcus gattii genotype VGIIa infection from endemic area of North America
to Japan. He was recovery with no residual neurological dysfunction by early
resection of brain mass and antifungal therapy. Early surgical resection of
cerebellar cryptococcoma may shorten the length of induction therapy with
antifungal drugs.
PMID- 29352652
TI - Commentary on "Predictors of Change in the Ankle Brachial Index with Exercise".
PMID- 29352651
TI - Catheter Injected Bone Marrow Mesenchymal Stem Cells Induce Efficacious Occlusion
of Arteriovenous Nidus in a Swine Model.
AB - OBJECTIVES: Arteriovenous malformations (AVMs) are complex vascular lesions.
Surgical excision is the treatment of choice, but is often not achievable. Embolo
sclerotherapy alone is associated with high recurrence rates. This study tested
the hypothesis that seeding hydrogel conditioned bone marrow derived
mesenchymatous stem cells (BM-MSCs) in an AVM nidus model induces solid
microvascular occlusion through endoluminal tissue growth. METHODS: AVMs were
modelled as arteriovenous microvascular nidus, using swine rete mirabile, a
plexiform intracranial structure composed of arterial microvessels that
extensively anastomose. A right carotid-jugular fistula was created to generate
high flow in the rete, and bone marrow was aspirated. At day 14, cultured BM-MSCs
marked with a red fluorochrome were incorporated into a hyaluronic acid hydrogel,
and injected through a catheter into the rete mirabile, using femoral access. In
specific groups microsphere embolisation immediately preceded gel injection. At
day 28, the swine were euthanased and the rete mirabile harvested for qualitative
and quantitative analysis of microvessel lumen occlusion. RESULTS: Actual
transfer of PKH26 labelled cells in rete was confirmed. In a first phase of the
study, five swine died as a result of neurological events, prompting reductions
of the injected volumes. Twenty-three animals survived until day 28. Injection of
BM-MSC loaded hydrogel (n=6) significantly increased the occlusion rate compared
with injection of acellular hydrogel (n=7) (10% [range, 10-12%] vs. 26% [range,
20-41%], p=.016). Injection of BM-MSC loaded hydrogel immediately after
microspheres (n=6) enhanced the occlusion rate compared with embolic microspheres
alone (n=6) (50% [range 46-56%] vs. 22% [range, 15-27%] p=.045). Microsphere
injection resulted in vascular luminal thrombus formation. Injection of BM-MSCs
induced endoluminal growth of vascular smooth muscle cells with cell
proliferation and a dense collagen rich extracellular matrix. CONCLUSION: The
luminal occlusion pattern of a microvascular AVM like structure can be changed
from thrombus to solid cellular accumulation. The possibility of injecting living
cells in a microvascular network, in a chronic survival model, provides new tools
for research and treatment of AVMs and other microvascular diseases.
PMID- 29352653
TI - Commentary on "Catheter Injected Bone Marrow Mesenchymal Stem Cells Induce
Efficacious Occlusion of Arteriovenous Nidus in a Chronic Swine Model".
PMID- 29352654
TI - Resistance training during a 12-week protein supplemented VLCD treatment enhances
weight-loss outcomes in obese patients.
AB - BACKGROUND: This investigation evaluated the efficacy by which resistance
training enhances body composition, metabolic, and functional outcomes for obese
patients undergoing a 12-week medically supervised hypocaloric treatment.
METHODS: This was a single-blind, randomized, parallel-group prospective trial.
Morbidly obese patients were prescribed a 12-week proprietary very low calorie
diet (VLCD) treatment (Optifast(r)) with supplemental protein (1120 kcals/day)
and were placed in one of two groups for 14 weeks: 1) Standard Treatment Control
(CON) (n = 5) or 2) Resistance Training (RT) (n = 6). Both groups underwent a
pedometer-based walking program; however only RT performed resistance training 3
days/week for 12 weeks. Body composition, resting energy expenditure (REE),
neuromuscular function, and serum biomarkers were measured at weeks 0, 6, and 13.
RESULTS: Both groups exhibited a significant loss of total body mass (TBM) (CON:
19.4 +/- 2.3 kg, p = 0.0009 vs. RT: -15.8 +/- 1.5 kg, p = 0.0002) and fat mass
(FM) (CON: -14.7 +/- 1.8 kg, p = 0.0002 vs. RT: -15.1 +/- 2.1 kg, p = 0.0002)
with no group differences. CON lost 4.6 +/- 0.8 kg (p = 0.004) of lean mass (LM)
while RT demonstrated no changes. Group differences were found for the relative
proportion of total weight-loss due to FM-loss (CON: 75.6 +/- 3.4% vs. RT: 96.0
+/- 6.0%, p = 0.03) and LM-loss (CON: 24.4 +/- 3.2% vs. RT: 4.0 +/- 6.5%, p =
0.03). CON demonstrated a 328.6 +/- 72.7 kcal/day (-14.3 +/- 2.4%) (p = 0.02)
decrease in REE while RT exhibited a non-significant decrease of 4.6 +/- 1.6% (p
= 0.78). RT demonstrated greater improvements in all measures of contractile
function and strength when compared to CON (p < 0.05). At post-treatment, RT
exhibited greater serum free fatty acids (p = 0.01), glycerol (p = 0.003), and
beta-hydroxybutyrate (p = 0.005) than CON. CONCLUSION: Resistance training was
advantageous for weight-loss composition by preservation of LM without
compromising overall weight- or fat-loss in morbidly obese men and women
undergoing a protein supplemented VLCD. These changes accompanied positive
adaptations for resting metabolism and muscular function.
PMID- 29352656
TI - Smoking status and diet quality.
PMID- 29352655
TI - Legume consumption and risk of all-cause, cardiovascular, and cancer mortality in
the PREDIMED study.
AB - BACKGROUND & AIMS: Limited prospective studies have examined the association
between legumes consumption and mortality, whereas scarce, if at all, previous
studies have evaluated such associations taking into consideration specific grain
legumes. We aimed to investigate the association between total legumes
consumption and grain legumes species (dry beans, chickpeas, lentils, and fresh
peas) with all-cause, cardiovascular disease (CVD), cancer and other-cause
mortality among elderly Mediterranean individuals at high CVD risk. METHODS: We
prospectively assessed 7216 participants from the PREvencion con DIeta
MEDiterranea study. Dietary intake was assessed at baseline and yearly during
follow-up by using a validated food frequency questionnaire. RESULTS: During a
median follow-up of 6.0 years, 425 total deaths, 103 CVD deaths, 169 cancer
deaths and 153 due to other-causes deaths occurred. Hazard ratios (HRs) [95%
confidence interval (CI)] of CVD mortality were 1.52 (1.02-2.89) (P-trend =
0.034) and 2.23 (1.32-3.78) (P-trend = 0.002) for the 3rd tertile of total
legumes and dry beans consumption, respectively, compared with the 1st tertile.
When comparing extreme tertiles, higher total legumes and lentils consumption was
associated with 49% (HR: 0.51; 95% CI: 0.31-0.84; P-trend = 0.009) and 37% (HR:
0.63; 95% CI: 0.40-0.98; P-trend = 0.049) lower risk of cancer mortality. Similar
associations were observed for CVD death in males and for cancer death in males,
obese and diabetic participants. CONCLUSIONS: These findings support the benefits
of legumes consumption for cancer mortality prevention which may be
counterbalanced by their higher risk for CVD mortality. TRIAL REGISTRATION: The
trial is registered at http://www.controlled-trials.com (ISRCTN35739639).
Registration date: 5th October 2005.
PMID- 29352657
TI - Electroencephalographic monitoring for seizure identification and prognosis in
term neonates.
AB - Seizures represent a manifestation of neurological disease in the neonatal
period. Historically, neonatal seizures were identified by direct clinical
observation. However, since most seizures are electroencephalographic (EEG)-only
(subclinical, non-convulsive) and clinical manifestations may be subtle, many
clinicians place increasing importance on EEG data including conventional EEG or
amplitude-integrated EEG to identify seizures in neonates. Beyond seizure
identification, the EEG is a robust source of information about brain function
that can be useful for neurobehavioral prognostication in some neonates. This
review summarizes the available data regarding EEG for neonatal seizure diagnosis
and brain function assessment.
PMID- 29352658
TI - Role of hypoxia-inducible factor-1 in the development of renal fibrosis in mouse
obstructed kidney: Special references to HIF-1 dependent gene expression of
profibrogenic molecules.
AB - The aim of the study is to clarify the role of hypoxia-inducible factor-1 (HIF-1)
in the development of renal fibrosis in mouse obstructive nephropathy. We used
mice with floxed HIF-1alpha alleles and tamoxifen-inducible Cre/ERT2 recombinase
under ubiquitin C promoter to induce global HIF-1alpha deletion. Following
tamoxifen administration, mice were subjected to unilateral ureteral obstruction
(UUO). At 3, 7 and 14 days after UUO, renal gene expression profiles and
interstitial fibrosis were assessed. HIF-1 dependent up-regulation of prolyl
hydroxylase 3 and glucose transporter-1 was observed in the obstructed kidney at
3 and 7 days but not at 14 days after UUO. Various factors promoting fibrosis
were up-regulated during the development of fibrosis. HIF-1 dependent gene
expression of profibrotic molecules, plasminogen activator inhibitor 1,
connective tissue growth factor, lysyl oxidase like 2 and transglutaminase 2 was
observed in the obstructed kidney but such HIF-1 dependency was limited to the
early onset of renal fibrosis. Global HIF-1 deletion tended to attenuate
interstitial collagen I deposition at 3 days but had no effects thereafter. It is
suggested that HIF-1 dependent profibrogenic mechanisms are operating at the
early onset of renal fibrosis but its contribution declines with the progression
in mouse UUO model.
PMID- 29352659
TI - Microwave ablation provides better survival than liver resection for
hepatocellular carcinoma in patients with borderline liver function: application
of ALBI score to patient selection.
AB - BACKGROUND: Studies comparing microwave ablation (MWA) and liver resection are
lacking. This study evaluates the survival of patients with hepatocellular
carcinoma (HCC) treated with liver resection or MWA and the role of Albumin
Bilirubin (ALBI) score in patient selection for treatments. METHODS: This is a
retrospective analysis of patients who received curative liver resection or MWA
for HCC. Propensity score matching was used at a 1:1 ratio. The value of ALBI
grade for patient selection was evaluated. Overall and disease-free survival were
compared between two groups. RESULTS: Of the 442 patients underwent MWA or liver
resection for HCC during the study period, 63 patients received MWA and 379
patients received liver resection. Propensity scoring matching analysis resulted
in 63 matched pairs for further analysis. Subgroup analysis according to the ALBI
grade was performed. Liver resection offered better overall and disease-free
survivals in patients with ALBI grade 1. MWA provided a significantly better
overall survival (p = 0.025) and a trend towards better disease-free survival (p
= 0.39) in patients with ALBI grade 2 or 3. CONCLUSIONS: Liver resection offered
superior disease-free survival to MWA in patients with HCC. The ALBI grade could
identify patients with worse liver function who might gain survival advantage
from MWA.
PMID- 29352660
TI - Clinical significance of MUC13 in pancreatic ductal adenocarcinoma.
AB - BACKGROUND: Poor prognosis of pancreatic cancer (PanCa) is associated with lack
of an effective early diagnostic biomarker. This study elucidates significance of
MUC13, as a diagnostic/prognostic marker of PanCa. METHODS: MUC13 was assessed in
tissues using our in-house generated anti-MUC13 mouse monoclonal antibody and
analyzed for clinical correlation by immunohistochemistry, immunoblotting, RT
PCR, computational and submicron scale mass-density fluctuation analyses, ROC and
Kaplan Meir curve analyses. RESULTS: MUC13 expression was detected in 100%
pancreatic intraepithelial neoplasia (PanIN) lesions (Mean composite score: MCS =
5.8; AUC >0.8, P < 0.0001), 94.6% of pancreatic ductal adenocarcinoma (PDAC)
samples (MCS = 9.7, P < 0.0001) as compared to low expression in tumor adjacent
tissues (MCS = 4, P < 0.001) along with faint or no expression in normal
pancreatic tissues (MCS = 0.8; AUC >0.8; P < 0.0001). Nuclear MUC13 expression
positively correlated with nodal metastasis (P < 0.05), invasion of cancer to
peripheral tissues (P < 0.5) and poor patient survival (P < 0.05; prognostic AUC
= 0.9). Submicron scale mass density and artificial intelligence based algorithm
analyses also elucidated association of MUC13 with greater morphological disorder
(P < 0.001) and nuclear MUC13 as strong predictor for cancer aggressiveness and
poor patient survival. CONCLUSION: This study provides significant information
regarding MUC13 expression/subcellular localization in PanCa samples and
supporting the use anti-MUC13 MAb for the development of PanCa
diagnostic/prognostic test.
PMID- 29352661
TI - Mechanistic insights into the genetics of affective psychosis from Prader-Willi
syndrome.
AB - Schizophrenia and bipolar disorder are common, severe, and disabling psychotic
disorders, which are difficult to research. We argue that the genetically
determined neurodevelopmental disorder Prader-Willi syndrome (PWS), which is
associated with a high risk of affective psychotic illness, can provide a window
into genetic mechanisms and associated neural pathways. People with PWS can all
show non-psychotic psychopathology and problem behaviours, but the prevalence of
psychotic illness differs markedly by genetic subtype; people with PWS due to
chromosome 15 maternal uniparental disomy have higher prevalence of psychotic
illness compared with patients with PWS due to 15q11-13 deletions of paternal
origin. On the basis of this observation and the neural differences between
genetic subtypes, we hypothesise that the combined effects of the absent
expression of specific maternally imprinted genes at 15q11-13, and excess
maternally imprinted or paternally expressed genes on chromosome 15, affect the
gamma-aminobutyric acid-glutamatergic pathways and associated neural networks
that underpin mood regulation and sensory processing, resulting in psychotic
illness. We propose a model of potential mechanisms of psychosis in PWS, which
might be relevant in the general population, and should inform future research.
PMID- 29352662
TI - Distinct progression patterns of brain disease in infantile and juvenile
gangliosidoses: Volumetric quantitative MRI study.
AB - BACKGROUND: GM1-gangliosidosis and GM2-gangliosidosis (Tay-Sachs disease and
Sandhoff disease) are unrelenting heritable neurodegenerative conditions of
lysosomal ganglioside accumulation. Although progressive brain atrophy is
characteristic, longitudinal quantification of specific brain structures has not
been systematically studied. OBJECTIVES: The goal of this longitudinal study has
been to quantify and track brain MRI volume changes, including specific structure
volume changes, at different times in disease progression of childhood
gangliosidoses, and to explore quantitative brain MRI volumetry (qMRI) as a non
invasive marker of disease progression for future treatment trials. METHODS:
Brain qMRI studies were performed in 14 patients with gangliosidoses (9
infantile, 5 juvenile) yearly. Cerebellar cortex and white matter, caudate,
putamen, corpus callosum, ventricles, total brain, and intracranial volumes were
measured, as well as total brain volume. Age-matched controls were available for
the patients with the juvenile phenotype. RESULTS: The infantile phenotype of all
gangliosidoses showed a consistent pattern of macrocephaly and rapidly increasing
intracranial MRI volume with both (a) brain tissue volume (cerebral cortex and
other smaller structures) and (b) ventricular volume (P<0.01 for all). In
contrast to apparent enlargement of the total brain volume, and chiefly the
enlarged cerebral cortex, a subset of smaller brain substructures generally
decreased in size: the corpus callosum, caudate and putamen became smaller with
time. The volume of cerebellar cortex also decreased in patients with infantile
GM1-gangliosidosis and juvenile GM1- and GM2-gangliosidosis; however, infantile
GM2-gangliosidosis cerebellar cortex was the exception, increasing in size.
Elevated intracranial pressure (estimated by lumbar spinal pressure) was a common
finding in infantile disease and showed continued increases as the disease
progressed, yet lacked MRI signs of hydrocephalus except for increasing
ventricular size. Notably, in patients with juvenile gangliosidosis, macrocephaly
and elevated intracranial pressure were absent and total brain volume decreased
with time compared to controls (P=0.004). CONCLUSIONS: The disease course of
infantile versus juvenile gangliosidoses is clearly distinguished by the rate of
brain disease progression as characterized by qMRI. Assessments by qMRI represent
a robust non-invasive method for monitoring CNS changes in the clinical course of
gangliosidoses and is ideally suited to monitor effects of novel CNS-directed
therapies in future clinical trials.
PMID- 29352663
TI - Early versus delayed closure of bladder exstrophy: A National Surgical Quality
Improvement Program Pediatric analysis.
AB - INTRODUCTION: Delayed closure of bladder exstrophy has become more popular;
however, there is limited the evidence of its success. Existing literature
focuses on intermediate and long-term outcomes, and short-term postoperative
outcomes are limited by the small number of cases and varying follow-up methods.
OBJECTIVE: The objectives of the current study were to: 1) compare 30-day
complications after early and delayed closure of bladder exstrophy, and 2)
evaluate practice patterns of bladder exstrophy closure. STUDY DESIGN: The
National Surgical Quality Improvement Program Pediatric (NSQIPP) database from
2012 to 2015 was reviewed for all cases of bladder exstrophy closure. Early
closure was defined as surgery at age 0-3 days, and delayed closure was defined
as age 4-120 days at time of surgery. Demographic, clinical, and peri-operative
characteristics were collected, as were postoperative complications,
readmissions, and re-operations up to 30 days. Descriptive statistics were
performed, and multivariate linear and logistic regression analyses were
performed for salient complications. RESULTS: Of 128 patients undergoing bladder
exstrophy closure, 62 were included for analysis, with 44 (71%) undergoing
delayed closure. Mean anesthesia and operative times were greater in the delayed
closure group, and were associated with more concurrent procedures, including
inguinal hernia repairs and osteotomies. The delayed closure group had a higher
proportion of 30-day complications, due to a high rate of blood transfusion (57%
vs 11%). Wound dehiscence occurred in 6/44 (14%) delayed closures, as compared
with 0/18 (0%) early closures. When compared with prior published reports of
national data from 1999 to 2010, delayed closure was performed more frequently in
this cohort (71% vs 27%). DISCUSSION: The NSQIPP provides standardized reporting
of peri-operative characteristics and 30-day complications, allowing a comparison
of early to delayed closure of bladder exstrophy across multiple institutions.
Assessing short-term risks in conjunction with long-term follow-up is crucial for
determining optimal management of this rare but complex condition. CONCLUSION:
Delayed closure of bladder exstrophy is performed frequently, yet it carries a
high rate of 30-day complications worthy of further investigation. This can be
useful in counseling patients and families, and to understand practice patterns
across the country.
PMID- 29352664
TI - Oral corticosteroids reduce length of hospital stay for preschool children with
virus-associated wheeze.
PMID- 29352665
TI - Complementary and alternative medicine (CAM) practices and dietary patterns in
children with inflammatory bowel disease in Singapore and Malaysia.
AB - BACKGROUND: The use of complementary and alternative medicine (CAM) has been
associated with adverse effects and self-imposed dietary restrictions. The
prevalence of its use in Asian children with inflammatory bowel disease (IBD) is
unknown. We aimed to determine the prevalence, types, and factors associated with
the use of CAM among children with IBD from Singapore and Malaysia, and to
ascertain if dietary restriction was prevalent in patients who used CAM. METHODS:
A cross-sectional study was conducted in which parents of children with IBD
attending two tertiary pediatric IBD referral centres in Singapore and Malaysia
were interviewed. Data about demographics, conventional treatment, complementary
therapies and dietary patterns were collected in a questionnaire. RESULTS: Of 64
children with IBD interviewed, 83% (n = 53) reported the use of CAM (Singapore
[90%] vs. Malaysia [76%]; p = 0.152). The median number of CAM agents used was
two (range 1-10). The three most common types of CAM used were probiotics (64%),
vitamin and mineral supplements (55%), and food-based therapies (36%). Among
individual CAM categories, the use of food-based therapies was correlated
significantly with nationality (r = 0.497, p < 0.001), history of weight loss due
to IBD (r = 0.340, p = 0.013) and avoidance of certain foods to prevent a relapse
(r = 0.289, p = 0.036). Parents who rated their child's disease activity as more
severe were less likely to use CAM (r = -0.257, p = 0.041). Fifty-nine percent of
CAM users reported physician awareness of their CAM use. The overall self
perceived efficacy of CAM in improving IBD symptoms was 34%. Of the dietary
patterns explored, only intake of dairy products was associated with CAM use (r =
0.306, p = 0.019). CONCLUSION: Use of CAM is prevalent in children with IBD in
Malaysia and Singapore. Further studies to elucidate reasons influencing CAM use,
dietary patterns and efficacy of commonly used CAM would be required.
PMID- 29352666
TI - Handling, processing and disposal of stem cell products in Europe: A survey by
the cellular therapy and immunobiology working party of the European Society for
Blood and Marrow Transplantation.
AB - BACKGROUND: There is considerable heterogeneity in processing of stem cells for
hematopoietic stem cell transplantation across Europe. The Foundation for the
Accreditation of Cellular Therapy (FACT)-Joint Accreditation Committee
International Society for Cellular Therapy and European Society for Blood and
Marrow Transplantation (EBMT) (JACIE) standards provide minimum guidelines that,
however, leave room for significant variations in practices at the individual
transplantation center (TC). METHODS: To better understand the extent of
heterogeneity in storage conditions, quality controls (QCs), graft processing and
disposal, a questionnaire was developed, reviewed by the Cellular Therapy and
Immunobiology Working Party (CTIWP) and sent to all EBMT TCs. RESULTS: In this
study, 288 TCs from 46 countries (32 European, 14 associated) responded to the
survey. Long-term storage is performed mainly either in liquid nitrogen or in the
vapor phase of liquid nitrogen with 10% dimethyl sulfoxide (DMSO; 58% of
centers). In case of microbiological contamination, most TCs make a case-by-case
decision in collaboration with the clinicians. CD34+ counts are performed
routinely either before and/or after thawing. Some centers perform additional
QCs. DMSO is generally not removed (83%) and the graft is thawed at the bedside
(68%) in a water bath (78%). There is heterogeneity between the centers regarding
duration of storage and graft disposal. DISCUSSION: Overall, this survey
demonstrates that the majority of responding TCs uses standardized procedures
(intracenter standardization). However, significant intercenter variations
persist, which warrant further standardization and investigations on clinical and
financial consequences. Additionally, efforts should be undertaken to provide
more specific international guidelines on storage duration and graft disposal,
which may also have an important impact on health care services worldwide.
PMID- 29352667
TI - An intriguing link between human leukocyte antigen G, T-regulatory cells and
neutrophil gelatinase-associated lipocalin in immune tolerance induction.
PMID- 29352668
TI - The effect of single and repeated prefrontal intermittent theta burst stimulation
on cortical reactivity and working memory.
AB - BACKGROUND: With an increasing interest in the use of theta burst stimulation
(TBS) as a cognitive enhancer and a potential therapeutic tool for psychiatric
disorders, there is a need to identify optimal parameters of TBS in the
prefrontal cortex. OBJECTIVE/HYPOTHESIS: This study examined the effect of two
blocks of prefrontal intermittent TBS (iTBS) on cortical reactivity and working
memory performance, compared to one block of iTBS and sham stimulation. We
hypothesized that greater cortical effects would be obtained with two blocks of
iTBS. METHODS: Eighteen healthy participants attended three experimental sessions
and received either sham, one block or two blocks of iTBS with a 15-min interval.
Concurrent transcranial magnetic stimulation with electroencephalography (TMS
EEG) was used to assess the change in cortical reactivity via TMS-evoked
potentials. Working memory performance was assessed using the N-back task.
Cluster-based permutation statistics and two-way ANOVAs were used for
neurophysiological and behavioural data, respectively. RESULTS: Both single and
two blocks of iTBS resulted in a significant increase in the amplitude of TMS
evoked N100 and P200. No significant differences were observed between active
conditions in either neurophysiological changes or working memory performance,
and both failed to improve working memory performance relative to sham.
CONCLUSIONS: Two blocks of iTBS did not result in stronger measured effects as
compared to one block of iTBS. Future studies are needed to identify the optimal
stimulation pattern in order to achieve a desired effect. It is also important to
establish the best approach in quantifying neuromodulatory effects targeting the
prefrontal cortex.
PMID- 29352669
TI - TMS of primary motor cortex with a biphasic pulse activates two independent sets
of excitable neurones.
AB - BACKGROUND: Biphasic pulses produced by most commercially available TMS machines
have a cosine waveform, which makes it difficult to study the interaction between
the two phases of stimulation. OBJECTIVE: We used a controllable pulse TMS (cTMS)
device delivering quasi-rectangular pulse outputs to investigate whether
monophasic are more effective than biphasic pulses. METHODS: Temporally symmetric
("biphasic") or highly asymmetric ("monophasic") charge-balanced biphasic stimuli
were used to target the hand area of motor cortex in the anterior-posterior (AP)
or posterior-anterior (PA) initial current direction. RESULTS: We observed the
lowest motor thresholds and shortest motor evoked potential (MEP) latencies with
initial PA pulses, and highest thresholds and longest latencies with AP pulses.
Increasing pulse symmetry tended to increase threshold with a PA direction
whereas it lowered thresholds and shortened latencies with an AP direction.
Furthermore, it steepened the MEP input-output curve with both directions.
CONCLUSIONS: "Biphasic" TMS pulses can be viewed as two monophasic pulses of
opposite directions, each stimulating a different set of interneurons with
different thresholds (PA < AP). At threshold, the reverse phase of an initially
PA pulse increases threshold compared with "monophasic" stimulation. At higher
intensities, the reverse phase begins to activate AP-sensitive neurones and
increase the effectiveness of stimulation above that of a "monophasic" PA pulse.
"Biphasic" stimulation with initially AP pulses is dominated at threshold by
activation produced by the lower threshold reverse (PA) phase. SIGNIFICANCE: The
effects of biphasic stimulation are best understood as the summed output of two
independent sets of directionally selective neural populations.
PMID- 29352670
TI - Poor outcomes of out-of-hospital cardiac arrest at dinnertime in the elderly:
Diurnal and seasonal variations.
AB - PURPOSE: To investigate differences in chronological variations in
characteristics and outcomes of out-of-hospital cardiac arrests (OHCAs) between
elderly and non-elderly patients. METHODS: We retrospectively analyzed bystander
witnessed OHCAs without prehospital involvement of physicians between January
2007 and December 2014 in Japan. We considered the following time periods: night
time (23:00-5:59) and non-night-time; we further divided non-night-time into
dinnertime (18:00-20:29) and other non-night-time. Subsequently, we analyzed
chronological variations in factors associated with OHCA survival using
univariate and multivariable logistic regression analyses for unmatched and
propensity-matched pairs, respectively. RESULTS: For elderly (>=65 years old, N =
201,073) and non-elderly (>=10, <65 years old, N = 57,124) OHCA patients,
survival rates were lower during night-time than during non-night-time (elderly,
2.8% vs 1.6%; non-elderly, 9.8% vs 7.7%). The trend for incidences of bystander
witnessed OHCA in the elderly showed three peaks associated with breakfast-time,
lunchtime, and dinnertime. However, a transient but considerable decrease in
survival rates was observed at dinnertime (1.9% at dinnertime and 3.0% during
other non-night-time). OHCAs in the elderly at dinnertime were characterized by
low proportions of presumed cardiac etiologies and shockable initial rhythm.
However,even after adjusting for these and other factors associated with
survival,survival rates were significantly lower at dinnertime than during other
non-night-time for elderly OHCA patients (adjusted odds ratio, 1.29; 95%
confidence interval, 1.18-1.41, with dinnertime as reference). This difference
was significant even after propensity matching with significant augmentation in
winter. CONCLUSIONS: Dinnertime, particularly in winter, is associated with lower
survival in elderly OHCA patients.
PMID- 29352671
TI - Does a major football event affect obstetric emergency attendances? A prospective
observational study during Euro 2016.
PMID- 29352672
TI - Physician expertise and cultural issues may play role in the results of
ultrasound guided inter-scalene brachial plexus block.
PMID- 29352674
TI - The effect of vertical split-flow patient management on emergency department
throughput and efficiency.
AB - BACKGROUND: To address emergency department overcrowding operational research
seeks to identify efficient processes to optimize flow of patients through the
emergency department. Vertical flow refers to the concept of utilizing and
assigning patients virtual beds rather than to an actual physical space within
the emergency department to care of low acuity patients. The aim of this study is
to evaluate the impact of vertical flow upon emergency department efficiency and
patient satisfaction. METHODS: Prospective pre/post-interventional cohort study
of all intend-to-treat patients presenting to the emergency department during a
two-year period before and after the implementation of a vertical flow model.
RESULTS: In total 222,713 patient visits were included in the analysis with
107,217 patients presenting within the pre-intervention and 115,496 in the post
intervention groups. The results of the regression analysis demonstrate an
improvement in throughput across the entire ED patient population, decreasing
door to departure time by 17 min (95% CI 15-18) despite an increase in patient
volume. No statistically significant difference in patient satisfaction scores
were found between the pre- and post-intervention. CONCLUSIONS: Initiation of a
vertical split flow model was associated with improved ED efficiency.
PMID- 29352673
TI - Chest pain in a young female.
AB - Acute Coronary Syndrome (ACS) is a common diagnosis in the emergency department
(ED), the most severe manifestation of which is ST elevation on electrocardiogram
(ECG). ST elevation reflects obstruction of flow through the coronary arteries,
most commonly due to coronary atherosclerotic plaque rupture. However,
alternative causes of coronary obstruction causing ST elevation are possible.
Spontaneous coronary artery dissection (SCAD) is an unusual cause of ST elevation
in ED patients which providers may encounter in patients without traditional
atherosclerosis risk factors. Patients presenting with SCAD as a cause of ST
elevation require unique management from traditional ACS. Here we report a case
of a 43 year old female presenting with chest pain and unusual ECG findings
including accelerated idioventricular rhythm followed by subtle ST segment
elevation and resolution of abnormalities. This case illustrates subtle clinical
and ECG findings suggestive of SCAD which emergency physicians should consider
when evaluating patients for ACS in the absence of traditional clinical
presentations. Such considerations may prompt physicians to avoid therapy for
coronary plaque rupture which is not indicated in patients with SCAD.
PMID- 29352675
TI - Impact of intestinal mannitol on hyperammonemia, oxidative stress and severity of
hepatic encephalopathy in the ED.
AB - : Hyperammonemia results from hepatic inability to remove nitrogenous products
generated by protein metabolism of intestinal microbiota, which leads to hepatic
encephalopathy (HE) in chronic liver disease (CLD). In ammonium neurotoxicity,
oxidative stress (OxS) plays a pathogenic role. Our objective was to evaluate if
intestinal mannitol is as effective and safe as conventional treatment for
diminishing hyperammonemia, OxS, and HE in patients with CLD. MATERIAL AND
METHODS: We included 30 patients with HE classified by "Haven Criteria for
Hepatic Encephalopathy". They were randomized into two groups: 1) Mannitol Group
(MG) with mannitol 20% administered into the intestine by an enema, 2)
conventional group (CG) with lactulose 40 g enema both substances were diluted in
800 mL of double distilled solution every 6 h; all patients received neomycin. We
evaluated ammonia concentration, plasma oxidative stress, HE severity, intestinal
discomfort and adverse effects. RESULTS: Hyperammonemia (171 +/- 104 vs 79 +/- 49
MUmol ammonia/L, p < 0.01), and oxidative stress (MDA 29 vs 27%, formazan 15 vs
11%, carbonyls 16 vs 9% and dityrosines 10 vs 5%) were reduced in MG and CG
respectively. The HE severity decreased by two degrees compared to baseline
values in both groups. Intestinal discomfort and electrolyte plasma alterations
were less frequent (p < 0.05) in MG than CG. CONCLUSIONS: Intestinal mannitol is
as effective and safe as conventional treatment for reducing hyperammonemia,
oxidative stress, and hepatic encephalopathy of CLD patients in the emergency
room. Likewise, mannitol is better tolerated than conventional treatment.
PMID- 29352677
TI - Surface structure and tribology of legless squamate reptiles.
AB - Squamate reptiles (around 10,000 species of snakes and lizards) comprise a myriad
of distinct terrestrial vertebrates. The diversity within this biological group
offers a great opportunity for customized bio-inspired solutions that address a
variety of current technological problems especially within the realm of surface
engineering and tribology. One subgroup within squamata is of interest in that
context, namely the legless reptiles (mainly snakes and few lizards). The promise
of that group lies within their functional adaptation as manifested in optimized
surface designs and locomotion that is distinguished by economy of effort even
when functioning within hostile tribological environments. Legless reptiles are
spread over a wide range in the planet, this geographical diversity demands
customized response to local habitats. Customization, in turn, is facilitated
through specialized surface design features. In legless reptiles, micro elements
of texture, their geometry and topological layout advance mitigation of
frictional effects both in locomotion and in general function. Lately, the
synergy between functional traits and intrinsic surface features has emerged as
focus of research across disciplines. Many investigations have sought to
characterize the structural as well as the tribological response of legless
species from an engineering point of view. Despite the sizable amount of data
that have accumulated in the literature over the past two decades or so, no
effort to review the available information, whence this review. This manuscript,
therefore, endeavors to assess available data on surface metrology and
tribological behavior of legless reptiles and to define aspects of that
performance necessary to formulate an advanced paradigm for bio-inspired surface
engineering.
PMID- 29352676
TI - Cardiorespiratory dynamics of rescuers during cardiopulmonary resuscitation in a
hypoxic environment.
AB - OBJECTIVE: We had previously experienced a case involving prolonged
cardiopulmonary resuscitation (CPR) on Mt. Fuji (3776 m), demanding strenuous
work by the rescuers. The objective of this study was to compare the effect of
compression-only and conventional CPR on oxygen saturation of rescuers in a
hypoxemic environment. METHODS: Changes in percutaneous arterial oxygen
saturation (SpO2) and heart rate during CPR action were measured in a hypobaric
chamber with barometric pressure adjusted to be equivalent to 3700 m above sea
level (630-640 hPa). Thirty-three volunteers performed CPR with or without
breaths using a CPR mannequin. RESULTS: In a 3700-m-equivalent environment, SpO2
was reduced only when CPR was performed without breaths (P < .05, one-way
analysis of variance (ANOVA) post hoc Tukey test). Heart rate increased during
CPR regardless of the presence or absence of breaths. Mean scores on the Borg
scale, a subjective measure of fatigue, after CPR action in the 3700-m-equivalent
environment were significantly higher (15 +/- 2) than scores after CPR performed
at sea level (11 +/- 2, P < .01, paired t-test). No lethal dysrhythmia was found
in subjects with a wearable electrode shirt. CONCLUSIONS: Prolonged CPR at high
altitude exerts a significant physical effect upon the condition of rescuers.
Compression-only CPR at high altitude may deteriorate rescuer oxygenation,
whereas CPR with breaths might ameliorate such deterioration.
PMID- 29352678
TI - E-Bike-Related Trauma in Children and Adults.
AB - BACKGROUND: Electric bike (e-bike) usage is growing worldwide, and so is the e
bike-related injury rate. OBJECTIVE: This study was undertaken to characterize e
bike-related injuries. METHODS: Data of all e-bike-related injuries presenting to
our level I trauma center between 2014 and 2016 were collected and analyzed.
Adult and pediatric (<18 years of age) e-bike-related injuries were then analyzed
separately and compared. RESULTS: Forty-eight patients suffering from e-bike
related injuries presented to our trauma center between January 1, 2014 and
December 31, 2016. Seventeen (35%) patients were <18 years of age and 40 (85%)
were male. The overall most common mechanism of injury was falling off the e-bike
in 24 patients (50%), followed by collision with a static object in 9 patients
(18.8%). Head (38%) and facial (33%) injuries were most common in children. In
adult patients, orthopedic trauma was predominant, with extremity fractures in 35
(73%) followed by significant lacerations in 14 patients (29%). Severe trauma
(Injury Severity Score >15) was found in 17 (35%) patients. The duration of
hospital stay was 10.8 +/- 6 days, 12 patients (25%) required a stay in the
intensive care unit, and 21 patients (43.7%) required surgery. Compared to
adults, children (<18 years of age) had significantly more head and face injuries
(p = 0.05). CONCLUSION: Our study suggests that e-bike-related trauma may involve
serious injuries and have typical injury patterns that resemble those seen in
motorcycle-related injuries. Children are more likely to suffer head and face
injuries because of their higher head to body ratio. We suggest that these
injuries should therefore be triaged appropriately, preferably to a medical
facility with proper trauma capabilities.
PMID- 29352680
TI - Correction to Lancet Glob Health 2018; 6: e180-92.
PMID- 29352679
TI - Inter-sexual habitat and isotopic niche segregation of the endangered Monteiro's
storm-petrel during breeding.
AB - At-sea distribution and trophic ecology of small seabird species (i.e.<100 g) is
far less known when compared to their larger relatives. We studied the habitat
use (spatial ecology) and isotopic niches (trophic ecology) of the endangered
Monteiro's storm-petrel Hydrobates monteiroi during the incubation and chick
rearing periods of 2013. There was a sexual foraging segregation of Monteiro's
storm-petrels during the breeding period (tracking data) but also during the non
breeding stage (stable isotope analysis). Females took advantage of their longer
wings to forage over the shallower Mid-Atlantic ridge (MAR) north of Azores,
under colder and windier regimes when compared to males, who mostly exploited
northern deep waters comparatively closer to the breeding colony. Between-sex
differences in the spatial distribution were more obvious during the incubation
period, with the overlap in their distribution increasing during the chick
rearing phase. There was also an isotopic segregation between sexes both during
the previous breeding and the non-breeding stages, with females exhibiting a
narrower, lower level isotopic niche when compared to males. Though the
distribution patterns reported here should be useful for the at-sea conservation
of this endangered species, future research should focus on (1) performing year
round tracking to map the species' distribution during the non-breeding period
and (2) gathering multi-year tracking information to understand the effect of
inter-annual environmental stochasticity on the foraging choices and trophic
habits of the species.
PMID- 29352681
TI - No Consensus for Femoral Head Impaction Technique in Surgeon Education Materials
From Orthopedic Implant Manufacturers.
AB - BACKGROUND: Prior studies indicate that one factor that may contribute to total
hip arthroplasty failure due to mechanically assisted crevice corrosion at the
femoral head-trunnion junction is the method of femoral head fixation. METHODS:
Up-to-date on-line surgical technique guides describing fixation of the
prosthetic femoral head of common implants of the 4 largest manufacturers as well
as 2 minor manufacturers were identified. The information given regarding
preparation and fixation of the femoral head was evaluated and compared. RESULTS:
A total of 24 surgical technique guides were evaluated. Most guides (22/24)
addressed fixation technique; of these, 19 of 22 suggested cleaning and 20 of 22
suggested drying the trunnion prior to affixing the femoral head. The manner of
fixation, however, varied widely and there was no single technique advocated.
CONCLUSION: The majority of surgeon education materials do not specify a single
technique for assembly of the head femoral component in total hip arthroplasty.
If the method of fixation is indeed important, efforts should be made to identify
the best technique, and improve and unify the surgical technique instructions.
PMID- 29352682
TI - Are Allogeneic Transfusions Decreasing in Total Knee Arthroplasty Patients?
National Inpatient Sample 2009-2013.
AB - BACKGROUND: Allogeneic transfusions are commonly used for substantial blood loss
in total knee arthroplasty (TKA), but have been associated with adverse effects
and increased costs. The purpose of this study is to provide a detailed
description of (1) trends of allogeneic blood transfusion; (2) risk factors and
adverse events; and (3) discharge disposition, length-of-stay (LOS), and
cost/charge analysis for primary TKA patients who received an allogeneic blood
transfusion from 2009-2013. METHODS: A cohort of 3,217,056 primary TKA patients
was identified from the National Inpatient Sample database from 2009-2013.
Demographic, clinical, economic, and discharge data were analyzed for patients
who received allogeneic blood products, and for those who did not receive any
type of blood transfusion. Other parameters analyzed include risk factors,
adverse events, discharge disposition, and costs/charges. RESULTS: There was a
significant decline in use of allogeneic transfusion from 2009-2013 incidence
(13.9%-7.3%; P < .001). All comorbidities examined were associated with
significantly increased risk of receiving allogeneic transfusion with exception
of patients with AIDS, metastatic cancer, and peptic ulcer disease. Allogeneic
transfusion was associated with worse outcomes during hospitalization. Patients
also had a greater likelihood of discharge to short-term care, greater LOS, and
greater median costs/charges. Among TKA patients who received an allogeneic
transfusion, costs varied based on hospital ownership and characteristics,
primary-payer, region, and bed-size. CONCLUSION: Given the poor outcomes and
higher costs associated with allogeneic transfusions, efforts must be undertaken
to minimize this risky practice. With the projected increase in demand for TKAs,
orthopedists must understand effective blood management strategies.
PMID- 29352683
TI - Discharge to Inpatient Rehab Does Not Result in Improved Functional Outcomes
Following Primary Total Knee Arthroplasty.
AB - BACKGROUND: Monitored rehabilitation has long been considered an essential part
of the recovery process in total knee arthroplasty (TKA). However, the optimal
setting for rehabilitation remains uncertain. We sought to determine whether
inpatient rehabilitation settings result in improved functional and patient
reported outcomes after primary TKA. METHODS: All patients undergoing primary TKA
from May 2007 to February 2011 were identified from our institutional total joint
registry. Propensity score matching was then performed, resulting in a final
cohort of 1213 matched pairs for discharge destination to either home or a
rehabilitation facility (inpatient rehab or skilled nursing facility). Length of
stay, need for manipulation, 6-month complications, and 2-year Western Ontario
and McMaster Universities Osteoarthritis Index, Lower Extremity Activity Scale,
12-item Short Form Health Survey, and Hospital for Special Surgery knee
expectations surveys were compared. RESULTS: Patients discharged to a rehab
facility were noted to have a shorter hospital length of stay (5.0 vs 5.4 days).
Patients discharged to inpatient rehabilitation reported more fractures at 6
months postoperatively. However, no differences in manipulation rates, 2-year
outcome scores, or changes in outcome scores were found between the 2 groups.
CONCLUSION: Inpatient rehabilitation settings did not result in lower
complications at 6 months or improved functional or patient-reported outcomes at
2 years compared to discharge directly to home when patients are propensity
matched for age, living situation, comorbidities, baseline functional status, and
insurance status. This finding has important cost implications and calls into
question whether the healthcare system should allow otherwise healthy patients to
use inpatient rehabilitation services postoperatively after primary TKA.
PMID- 29352684
TI - Long-Term Outcome of Hip Arthroplasty in the Setting of Pigmented Villonodular
Synovitis.
AB - BACKGROUND: Pigmented villonodular synovitis (PVNS) is a rare, benign, painful
proliferation of the synovium previously treated successfully with total hip
arthroplasty (THA). Published results come from small series; therefore, the
purpose of this study is to investigate the outcomes of THA in the setting of
PVNS. METHODS: We identified 25 patients with histologically confirmed, diffuse
PVNS who underwent THA between 1971 and 2013. Mean follow-up and age was 10 years
and 39 years. Before arthroplasty, 16 patients (64%) had at least 1 surgical
procedure (mean, 1; range, 1-3) to treat PVNS. Twenty (80%) patients had "active"
disease and underwent synovectomy. No constrained acetabular components were
used. RESULTS: The 10-year disease free-survival was 100%. Recurrence occurred in
1 patient at 24 years postoperatively. Nineteen patients (76%) sustained a
complication (most commonly component loosening (n = 12 [48%]), and 16 required
revision surgery. The 10-year revision-free survival was 66% for conventional
polyethylene implants and 100% for highly cross-linked polyethylene devices. Mean
Harris Hip Score improved significantly from 48 (range, 23-69) preoperatively to
78 (range, 47-96) postoperatively (P < .001). CONCLUSION: THA in the setting of
PVNS improves patient function with a low rate of local recurrence. Complication
and revision rates are high in this series likely owing to the young and active
patient population and the use of conventional polyethylene. Modern bearings
theoretically reduce the risk of revision.
PMID- 29352685
TI - Factors Associated With Posterior Cruciate Ligament Tightness During Cruciate
Retaining Total Knee Arthroplasty.
AB - BACKGROUND: The purpose of our study was to identify factors affecting posterior
cruciate ligament (PCL) tightness during cruciate-retaining total knee
arthroplasty. METHODS: A total of 225 varus osteoarthritic knees that underwent
cruciate-retaining total knee arthroplasty were included in this study. When the
flexion gap was tighter than the extension gap after all bone resection and the
lift-off sign was positive during surgery, the PCL was released. The association
between PCL release and potential risk factors, such as age, gender, body mass
index, preoperative range of motion, anterior cruciate ligament status,
preoperative hip-knee-ankle angle (HKA), decrease in posterior condylar offset,
and also change in tibial posterior slope angle (TPSA) from preoperative to
postoperative measurement, was evaluated by univariate and multivariate logistic
regression analyses. RESULTS: The PCL was released in 68 of 225 knees (30.2%).
According to the univariate logistic regression analysis, preoperative knee
flexion angle (odds ratio [OR], 0.98), anterior cruciate ligament status (OR,
3.94), the decrease in medial (OR, 0.73) and lateral posterior condylar offset
(OR, 0.76), preoperative HKA (OR, 1.1), preoperative (OR, 1.15) and postoperative
TPSA (OR, 0.77), and the decrease in TPSA (OR, 1.23) were associated with PCL
release. Multivariable stepwise logistic regression analysis demonstrated that
preoperative HKA (P < .001), postoperative TPSA (P = .02), and the decrease in
TPSA (P < .001) were independently associated with PCL release. CONCLUSION: Many
factors are associated with PCL tightness. The change in TPSA between
preoperative and postoperative measurements was a higher risk factor than
postoperative TPSA.
PMID- 29352686
TI - Interobserver and Intraobserver Reliability of Computed Tomography-Based Three
Dimensional Preoperative Planning for Primary Total Knee Arthroplasty.
AB - BACKGROUND: Preoperative planning is an important factor for total knee
arthroplasty (TKA). The aim of this study is to document the interobserver and
intraobserver reliability of computed tomography (CT)-based 3-dimensional (3D)
preoperative planning for primary TKA. METHODS: Twenty knees (10 with
osteoarthritis and 10 with rheumatoid arthritis) were studied independently by 6
orthopedic surgeons using a CT-based 3D planning system. The measurements were
made twice at more than 3-week intervals without any knowledge of their own
previous measurements or those of the others. We assessed the femoral and tibial
component sizes and the alignment of the femoral component. RESULTS: The
interobserver and intraobserver agreements for femoral component size were 44.3%
and 62.5% with exact size, and increased to 90.7% and 99.2% within one size
difference; the intraclass correlation coefficients (ICCs) were 0.919 and 0.936,
respectively. The interobserver and intraobserver agreements for tibial component
size were 57.0% and 66.7% with exact size, and increased to 87.3% and 90.0%
within one size difference; the ICCs were 0.909 and 0.924, respectively. The ICCs
for femoral and tibial size were better in rheumatoid arthritis than in
osteoarthritis. Interobserver ICC for femoral valgus angle was 0.807, and 0.893
for intraobserver reliability. Interobserver ICC of the femoral external rotation
angle was 0.463, and 0.622 for intraobserver reliability. CONCLUSION: CT-based 3D
preoperative planning for primary TKA has clinical implications for predicting
appropriate size and alignment of the component in patients with osteoarthritis
and rheumatoid arthritis.
PMID- 29352687
TI - What Influence Does the Time of Year Have on Postoperative Complications
Following Total Knee Arthroplasty?
AB - BACKGROUND: The time of year might influence the occurrence of surgical
complications. Therefore, this study investigated correlations between the time
of-year and 30-day postoperative complication rates following primary total knee
arthroplasty (TKA). Specifically, we determined (1) postoperative complication
rates across all quarters; and (2) time-of-year influence on complications using
univariate and multivariate regression analyses. METHODS: CPT code 27447
identified 147,473 TKAs from the NSQIP database. Readmissions, reoperations, as
well as medical and surgical complications occurring within 30 postoperative days
were assessed. All TKAs were divided into 4 cohorts based on the quarter-of-the
year (Q1-Q4) during which the surgery was performed. Chi-Square, ANOVA, linear
regression, as well as univariate and multivariate analyses were performed to
compare complication rates. A P < .05 was set for statistical significance.
RESULTS: Q3 had a higher risk of superficial infection when compared to Q1 (OR
1.37; 95% CI 1.12-1.69; P = .002). There was a lower risk of pneumonia between Q2
and Q1 (OR: 0.7; 95% CI 0.54-0.90; P = .007) as well as Q4 and Q1 (OR 0.76; 95%
CI 0.6-0.96; P = .017). Blood transfusion was lower in Q2, Q3, and Q4 compared to
Q1 (P = .02, P < .001, and P < .001). CONCLUSION: This study provides a baseline
analysis correlating the time of year and outcomes of TKA. However, since
seasonality and weather can vary greatly in the United States by geographic
region and time of year, future studies should be performed at a more granular
level using hospital-specific data correlating weather and region to TKA
outcomes.
PMID- 29352689
TI - Morbidity and Safety of Iliac Crest Reference Array Pins in Navigated Total Hip
Arthroplasty: A Prospective Cohort Study.
AB - BACKGROUND: Navigated total hip arthroplasty (THA) can employ intra-osseous pins
through a separate incision to secure reference arrays to the iliac crest. This
study is the first to investigate the consequences of pin use in THA in vivo.
METHODS: A prospective, consecutive series of 43 patients presenting for
navigated THA were included. Two temporary 125 * 4 mm Schanz screws were inserted
into the iliac crest for the attachment of a reference array. Telephone follow-up
occurred at 6 and 12 weeks post-operatively. Patients were asked about pain,
interference with daily activities, how often the wound was noticed, and duration
of discomfort. Patient body mass index was recorded. RESULTS: The follow-up rate
was 100%. Pin site pain at any time post-operatively was reported by 24 patients
(56%). This improved to 30%, 9%, and 2% at 3, 6, and 12 weeks, respectively. On
average, pain lasted for 16 days total. The most common complaints after pain
were clothing discomfort (23%), pain when wearing a belt (12%), or pain when
mobilizing (9%). For the majority (98%) of patients, all symptoms had resolved by
12 weeks. There was no nerve injury, pin site fracture, infection, or screw
breakage. Patients with body mass index greater than 30 kg/m2 were up to 3 times
more likely to experience pin site pain (P = .05), and had a longer duration of
pain (P = .04). CONCLUSION: Surgeons and patients should be aware that using
navigational pins for array fixation carries low complication rates but often
will cause pain and irritation that resolves in the short term.
PMID- 29352688
TI - The Association Between Readmission and Patient Experience in a Total Hip
Arthroplasty Population.
AB - BACKGROUND: Our goal was to determine whether readmissions within 30 or 90 days
following discharge are associated with Hospital Consumer Assessment of
Healthcare Providers and Systems (HCAHPS) scores for total hip arthroplasty (THA)
patients. METHODS: HCAHPS surveys from all patients who underwent THA between
January 2016 and September 2016 in our institution were analyzed. Readmissions,
demographics, baseline joint pain, joint function, and Veterans RAND-12 scores
were collected. Statistical analyses involved Pearson's chi-squared tests for
categorical variables and Student's t-tests for continuous variables.
Multivariable logistic regression models were used to determine whether 30-day or
90-day readmissions were independently associated with HCAHPS scores. RESULTS: A
total of 1868 patients were identified, the survey was sent to 969 patients and
578 completed the survey (59.6%). Eight patients (1.4%) were readmitted within 30
days, and 28 patients (4.8%) within 90 days. These patients were more likely to
undergo revision THA (P < .001). For the 30-day readmission cohort, 4 of 8
patients (50.0%) rated the hospital a 9 or 10 out of 10 compared to 466 of 567
patients (82.2%) of the non-readmitted cohort (P = .019). Thirty-day readmissions
were associated with significantly lower likelihood of rating the hospital a 9 or
10 out of 10 (odds ratio 0.18). CONCLUSIONS: Our results demonstrate a
significant negative association between readmission and HCAHPS scores under
several dimensions of the survey including nurse communication, doctor
communication, pain management, and global satisfaction with the hospital
experience.
PMID- 29352690
TI - Diagnostic Utility of Histological Analysis for Detecting Ongoing Infection
During Two-Stage Revision Arthroplasty in Patients With Inflammatory Arthritis.
AB - BACKGROUND: Surgeons often rely on intra-operative histology (frozen sections
[FS]) to determine the next step in surgical management during the second stage
(re-implantation surgery) of 2-stage revision arthroplasty. The purpose of the
study is to assess the accuracy of permanent sections (PS) and FS in the
diagnosis of persistent infection during re-implantation in patients with an
inflammatory arthritis. METHODS: From 2001 to 2016, 47 planned second-stage
revision total hip arthroplasty and total knee arthroplasty in patients with
inflammatory arthritis were identified. Revisions were classified as having
persistent infection if they were Musculoskeletal Infection Society positive at
the time of second stage. PS or FS was considered to be positive for infection
when at least one of the specimens demonstrated an acute inflammation. Receiver
operating characteristic analysis was performed to obtain the diagnostic
parameters. RESULTS: There were 9 (19%) persistent infections. Both PS and FS had
very high specificity (PS = FS = 94.7%). Sensitivity of PS was higher than FS,
although not statistically significant (PS = 88.9%, FS = 55.6%, P = .083).
Overall, PS had a better diagnostic utility than FS (area under the curve: PS vs
FS = 0.92 vs 0.75, P = .045). Four specimens had discrepancies between PS and FS
histology. In all 4 instances, the specimens were read as positive (infected) by
PS, but negative by FS. CONCLUSION: Histological analysis is recommended at the
time of re-implantation surgery even in patients with inflammatory arthritis. PS
had a better diagnostic utility than FS suggesting that areas of acute
inflammation may be scattered and may not always be captured in the specimens
taken for FS.
PMID- 29352691
TI - Adopting an early invasive strategy for non-ST-elevation myocardial infarction:
Analysis of the Portuguese Registry on Acute Coronary Syndromes.
AB - INTRODUCTION: In patients with non-ST-elevation myocardial infarction (NSTEMI),
the best timing for coronary angiography is not definitely established, although
it is recognized that in high-risk patients it should be performed within the
first 24 hours. The aim of this work was to describe the evolution over time of
the use of an invasive strategy in the treatment of NSTEMI and in-hospital
mortality. METHODS: We performed a retrospective analysis of patients admitted
with NSTEMI included in the Portuguese Registry on Acute Coronary Syndromes
(ProACS) between 2002 and 2015. The annual proportion of patients undergoing
coronary angiography and the time from admission to coronary angiography were
assessed, as were changes in mortality and length of stay. RESULTS: A total of 18
639 patients with NSTEMI were included in the ProACS registry between 2002 and
2015. Over this period there were significant increases in the proportion of
patients undergoing coronary angiography (from 52.0 to 83.6%) and angioplasty
(from 23.3 to 53.0%), as well as in the proportion of patients who underwent
coronary angiography within 24 hours of admission (from 21.0 to 48.1%). In
hospital mortality decreased in those aged over 74 years (from 9.5 to 3.7%) and
in males. CONCLUSIONS: The progressive adoption of an invasive strategy,
particularly an early one (within 24 hours), was accompanied by a reduction in in
hospital mortality. Since coronary angiography is performed late (>24 hours) in
half of NSTEMI patients, these patients could benefit from initiatives similar to
Stent for Life.
PMID- 29352692
TI - CKD-EPI versus Cockcroft-Gault formula for predicting contrast-induced
nephropathy following percutaneous coronary intervention in patients without
significant renal impairment.
AB - INTRODUCTION: Individuals with glomerular filtration rate (GFR) >=60 ml/min/1.73
m2 estimated by the Cockcroft-Gault formula (CG) who undergo percutaneous
coronary intervention (PCI) frequently develop contrast-induced nephropathy
(CIN). This study aimed to assess whether individuals with significant renal
impairment assessed by the Chronic Kidney Disease Epidemiology Collaboration (CKD
EPI) formula, but not by CG, more often develop CIN following PCI than those
without renal impairment by either formula. METHODS: In this cross-sectional
study analyzing patients with baseline CG GFR >=60 ml/min/1.73 m2 before PCI,
subjects were divided into two groups according to CIN occurrence. Baseline CKD
EPI GFR was calculated for all patients. RESULTS: We analyzed 140 patients.
Baseline GFR was 87.5+/-21.3 and 77.1+/-15.0 ml/min/1.73 m2 for CG and CKD-EPI,
respectively. CIN occurred in 84.6% of individuals with baseline CKD-EPI GFR <60
ml/min/1.73 m2 vs. 51.1% of those without. Males and those with higher body mass
index were more likely to present baseline CKD-EPI GFR <60 ml/min/1.73 m2
(p=0.021). Non-ionic contrast agent use and baseline CKD-EPI GFR >=60 ml/min/1.73
m2 were protective factors against CIN. Greater amounts of contrast agent and
acute coronary syndrome were associated with higher CIN risk. In subjects with
serum creatinine <1.0 mg/dl, GFR was more likely to be overestimated by CG, but
not by CKD-EPI (sensitivity 100.0%; specificity 52.0%). CONCLUSION: In patients
undergoing PCI without renal dysfunction by CG, a finding of CKD-EPI GFR <60 ml/
min/1.73 m2 was associated with a higher probability of CIN, especially among men
and those with higher body mass index.
PMID- 29352693
TI - The association between estimated glomerular filtration rate, albuminuria, and
risk of cardiovascular hospitalizations and all-cause mortality among patients
with type 2 diabetes.
AB - AIMS: We evaluated the simultaneous effects of all clinically recognized
categories of albuminuria and estimated glomerular filtration rate (eGFR) on
cardiovascular disease (CVD) and mortality METHODS: We conducted a longitudinal
observational study of 16,678 type 2 diabetes (T2D) patients. From the first
serum creatinine value from 2006 to 2012 and a urine-albumin creatinine ratio
(UACR) recorded within 6months, we applied baseline Kidney Disease: Improving
Global Outcomes (KDIGO) categories of eGFR and albuminuria. We followed patients
for up to 11years to calculate adjusted incidence per 1000person-years (p-y) of
first CVD hospitalization and all-cause mortality. RESULTS: Over 98,069p-y of
follow-up, CVD hospitalization risk was greater for each higher eGFR and
albuminuria category. In eGFR category G2 (60-89mL/min/1.73m2), adjusted
incidence per 1000p-y was 14.1 (95% CI 12.9-15.5), 19.8 (17.2-22.8), and 22.8
(17.4-30.0) for normoalbuminuria, microalbuminuria and macroalbuminuria,
respectively. For eGFR category G3a (45-59), rates were 26.7 (22.3-32.0), 40.3
(32.2-50.5), and 44.1 (28.8-67.4), respectively. Adjusted risk of all-cause
mortality followed a similar pattern. CONCLUSIONS: Our data underscore the
importance of including detailed eGFR and UACR values in assessing CVD risk. High
albuminuria and low eGFR is a potent predictor of CVD and death.
PMID- 29352694
TI - Age, age at diagnosis and diabetes duration are all associated with vascular
complications in type 2 diabetes.
AB - BACKGROUND: Type 2 diabetes (T2DM) is increasingly diagnosed in younger patients.
The trajectory of complications in patients diagnosed at a younger or older age
is not well understood. We examine the associations between age, age at diagnosis
and diabetes duration and vascular complications in patients with T2DM. METHODS:
A cross-sectional study of pre-specified demographic and clinical data, from 3419
adults with T2DM participating in the Australian National Diabetes Audit (2015).
Factors associated with diabetes complications were analysed using logistic
regression. RESULTS: Mean (+/-SD) current age was 62.9+/-12.5years, age at
diagnosis was 49.4+/-12.3years and mean diabetes duration was 13.5+/-9.4years.
Macrovascular complications were more prevalent in patients who were older at
diabetes diagnosis whereas microvascular complications were more prevalent in
patients who were younger at diabetes diagnosis. Age, age at diagnosis and
diabetes duration were all independently associated with increased risk of
macrovascular complications after adjustment for sex, smoking, BMI and
microvascular complications (all p<0.001). In contrast, only diabetes duration
was independently associated with microvascular complications after adjustment
for sex, smoking, BMI and macrovascular complications (p<0.001). CONCLUSIONS:
Age, age at diagnosis, and diabetes duration were all independently associated
with macrovascular complications whereas only diabetes duration was independently
associated with microvascular complications.
PMID- 29352695
TI - Truly anatomic coracoclavicular ligament reconstruction with 2 Endobutton devices
for acute Rockwood type V acromioclavicular joint dislocations.
AB - BACKGROUND: Truly anatomic coracoclavicular ligament reconstruction (TACCR)
according to the original insertions is a creative new method for the treatment
of severe acromioclavicular separation. This research analyzed the clinical and
radiologic results of TACCR in 25 patients with at least 2-year follow-up.
METHODS: The study enrolled 25 patients with Rockwood type V acromioclavicular
joint dislocations who underwent TACCR using 2 Endobutton (Smith & Nephew Inc.,
Andover, MA, USA) devices from May 2013 to October 2015. Patients were assessed
with clinical and radiologic follow-up at 3, 6, 12, 18, and 24 months
postoperatively. The clinical assessments consisted of the visual analog scale
and the Constant score. The radiographic evaluations were performed by
measurements of the coracoclavicular distance. RESULTS: The mean follow-up was 34
+/- 6.8 months (range, 24-48 months). The visual analog scale and Constant scores
revealed significant advancements from 5 +/- 0.9 (range, 4-7) and 45 +/- 5.6
(range, 30-54) scores preoperatively to 0 +/- 0.5 (range, 0-2) and 95 +/- 2.9
(range, 91-98) scores at 24 months postoperatively, respectively. The
coracoclavicular distance significantly decreased from 23 +/- 5.4 mm (range, 16
34 mm) preoperatively to 8 +/- 0.9 mm (range, 7-10 mm) at the final follow-up.
CONCLUSIONS: TACCR represents a safe, reliable and creative surgical technique
that yields good to excellent clinical and radiologic outcomes in the treatment
of severe acromioclavicular separation.
PMID- 29352696
TI - Safety and Efficacy of Prostatic Artery Chemoembolization for Prostate Cancer
Initial Experience.
AB - PURPOSE: To evaluate outcome of prostatic artery chemoembolization for patients
with prostate cancer (PCa). MATERIALS AND METHODS: This single-center prospective
cohort study was conducted between August 2013 and July 2016 in 20 patients with
PCa who underwent chemoembolization. Mean patient age was 67.5 years +/- 6.4.
Gleason score was 6-10, and staging was T2N0M0. Fifteen patients refused
prostatectomy and 5 wanted to stop hormonal therapy because of side effects. For
chemoembolization, Chelidonium majus mother tincture 1 mL was slowly injected
into the prostatic arteries. Docetaxel 1 mL and 150-300 MUm Embosphere (Merit
Medical Systems, Inc, South Jordan, Utah) microspheres 0.5 mL were thoroughly
mixed, and the mixture was slowly injected by the same route. Embolization of
prostatic arteries was finished with 150-300 MUm Embosphere microspheres.
Technical success was defined as bilateral prostatic artery embolization.
Biochemical failure was defined as prostate specific antigen (PSA) decrease to <
2 ng/mL followed by recurrence when PSA increased to > 2 ng/mL within 1 month
after success. RESULTS: Technical success was 80.0% (16/20 patients). Biochemical
failure was 18.7% (3/16 patients). There was 1 short-term biochemical recurrence
at 4 months and 2 midterm recurrences (12-18 months). Biochemical success at 12
18 months was 62.5% (10/16 patients). Adverse events (31.3%) included a small
area (2 cm2) of bladder wall ischemia, which was removed by surgery (n = 1);
transient acute urinary retention (n = 1) and urinary urgency (n = 1) for 1 week;
sexual dysfunction (n = 2), which completely recovered after 10 and 12 months,
respectively. CONCLUSIONS: Prostatic artery chemoembolization allowed a
biochemical response in patients with localized PCa and is a promising treatment.
PMID- 29352697
TI - Venous Ports in Infants.
AB - PURPOSE: To evaluate technical success and the incidences of, and risk factors
for, mechanical and infectious complications of venous port placement in infants.
MATERIALS AND METHODS: This was a retrospective single-institution cohort study
of port placement in infants (age < 1 y) from January 2006 through June 2016
(mean age, 7.5 mo +/- 3.3; mean weight, 8.1 kg +/- 1.9). Age, weight, sex, side
of placement, tip position, and indication for placement (chemotherapy vs other)
were recorded. Total catheter-days (CDs), mechanical complications, and central
catheter-associated bloodstream infections (CCABSIs) were identified. RESULTS:
During the study years, 64 ports were placed in 64 infants, with a technical
success rate of 100%. The mean catheter life was 321 days (total range, 4-1,917
d; interquartile range [IQR], 107-421 d). There were 13 CCABSI events (0.63 per
1,000 CDs); of these, 8 (12.5% among 64 patients) required port removal for
infection. There was an increase in CCABSIs in patients with left-sided port
placement (relative risk [RR], 3.22; 95% confidence interval [CI], 1.02-10.14; P
= .05). There were 8 mechanical complications of the port reservoir or catheter
(0.39 per 1,000 CDs). Of these, 2 (3.1%) required removal. Patients in the lowest
weight quartile were at an increased risk of mechanical complications (RR, 4.37;
95% CI, 1.09-17.48; P = .04). CONCLUSIONS: Venous ports can be placed with a high
rate of technical success in infants. Left-sided ports and low weight are
associated with increased infectious and mechanical complications, respectively.
PMID- 29352698
TI - Gastric Varices Bleed at Lower Portosystemic Pressure Gradients than Esophageal
Varices.
AB - PURPOSE: To quantify and compare portosystemic pressure gradients (PSGs) between
bleeding esophageal varices (EV) and gastric varices (GV). MATERIALS AND METHODS:
In a single-center, retrospective study, 149 patients with variceal bleeding (90
men, 59 women, mean age 52 y) with EV (n = 69; 46%) or GV (n = 80; 54%) were
selected from 320 consecutive patients who underwent successful transjugular
intrahepatic portosystemic shunt (TIPS) creation from 1998 to 2016. GV were
subcategorized using the Sarin classification as gastroesophageal varices (GEV)
(n = 57) or isolated gastric varices (IGV) (n = 23). PSG before TIPS was measured
from the main portal vein to the right atrium. PSGs were compared across EV, GEV,
and IGV groups using 1-way analysis of variance. RESULTS: Overall mean baseline
PSG was 21 mm Hg +/- 6. PSG was significantly higher in patients with EV versus
GV (23 mm Hg vs 19 mm Hg; P < .001). Mean PSG was highest among EV (23 mm Hg)
with lower PSGs identified for GEV (20 mm Hg) and IGV (16 mm Hg); this difference
was statistically significant (P < .001). Among 95 acute bleeding cases, a
similar pattern was evident (EV 23 mm Hg vs GEV mm Hg 20 vs IGV 17 mm Hg; P <
.001). At baseline PSG < 12 mm Hg, 13% (3/23) of IGV bled versus 9% (5/57) of GEV
and 3% (2/69) of EVs (P = .169). Mean final PSG after TIPS was 8 mm Hg (IGV 6 mm
Hg vs EV and GEV 8 mm Hg; P = .005). CONCLUSIONS: GV bleed at lower PSGs than EV.
EV, GEV, and IGV bleeding is associated with successively lower PSGs. These
findings highlight distinct physiology, anatomy, and behavior of GV compared with
EV.
PMID- 29352699
TI - Computed tomography (CT) assessment of the membranous septal anatomy prior to
transcatheter aortic valve replacement (TAVR) with the balloon-expandable SAPIEN
3 valve.
AB - OBJECTIVES: The lower limit of the membranous septum (MS) is considered an
anatomic landmark for the emergence of the Bundle of His into the left ventricle.
Computed tomography (CT) assessment of MS anatomy may provide useful information
about the risk of conduction abnormalities following transcatheter aortic valve
replacement (TAVR). METHODS AND RESULTS: The study included 102 consecutive
patients undergoing TAVR with the Edwards Sapien 3 (S3) valve. Using pre-TAVR CT
and post-procedure angiography we evaluated for the presence of calcium in the
left ventricular outflow tract (LVOT), calcium depth (CD), implantation depth
(ID) and MS length. The MS length minus the prosthesis ID was calculated (Delta
MSID). Outcomes included new left bundle branch block (LBBB) or permanent
pacemaker (PPM) within 30days. Seventeen patients (17%) received a PPM and 28
(27%) developed new LBBB following TAVR. Mean (+/-SD) MS length and delta MSID
were 7.5mm (2) and 0.9mm (4.5), respectively. Twenty-one patients (20%) had
calcium in the device landing zone and the mean (SD) CD was 6.8mm (+/-4). Calcium
in the device landing zone (37% versus 16%, p=0.02) and implantation depth (6mm
(4-8) versus 4mm (4-5), p=0.02) predicted new conduction abnormalities after
TAVR. CONCLUSIONS: The presence of calcium in the device landing zone is
associated with increased risk of conduction abnormalities after TAVR with S3. In
such cases, a more aortic deployment of the prosthesis may be warranted.
PMID- 29352700
TI - High sensitivity troponin in the management of tachyarrhythmias.
AB - BACKGROUND: The introduction of the highly sensitive troponin (hs-trop) assays
into clinical practice has allowed for the more rapid diagnosis or exclusion of
type 1 myocardial infarctions (T1MI) by clinicians, in addition type 2 myocardial
infarctions (T2MI) are now more frequently detected. Tachyarrhythmias are one of
the common causes of T2MI, the medium and long term outcome for this cohort of
T2MI is yet to be clarified. METHODS: Retrospective review of consecutive
patients admitted with a diagnosis of either (a) non ST-elevation myocardial
infarction (NSTEMI) or (b) tachyarrhythmia was performed. Data were collected on
patient demographics and investigations. Patient mortality status was recorded
through the Personal Demographics Service (PDS) via NHS Digital. RESULTS: A total
of 704 patients were eligible for inclusion to the study. 264 patients were
included in the study with a final discharge diagnosis of NSTEMI and 440 patients
with a final discharge diagnosis of tachyarrhythmia. There was a significantly
higher peak troponin in NSTEMI patients compared to the tachyarrhythmia troponin
positive group (4552ng/L vs 571ng/L, p<0.001). Mortality was significantly higher
in the troponin positive tachyarrhythmia patients than the troponin negative
patients (54 vs 34, 26.2% vs 14.5%, log rank p=0.003), furthermore, the mortality
of NSTEMI and troponin positive tachyarrhythmia patients was similar (55 vs 54,
20.8% vs 26.2%, log rank p=0.416). Only one patient (0.14%) was given a formal
diagnosis of T2MI. CONCLUSIONS: These data suggest that troponin positive
tachyarrhythmia is not a benign diagnosis, and has a mortality rate similar to
NSTEMI. Formal labeling as T2MI is rare in real life practice. More investigation
into the detection and management of T2MI and troponin positive arrhythmia
patients is now warranted.
PMID- 29352701
TI - Bailout surgical explantation of a transcatheter valve-in-valve for subacute
thrombosis: When there is no time for anticoagulation: Case report and literature
review.
AB - Trancatheter heart valve (THV) thrombosis is effectively treated with
anticoagulation but alternative therapies are required when a faster gradient
reduction is needed. Open heart surgery has been rarely performed due to the high
perioperative mortality and only five cases have been described so far. Here we
describe a case of emergent surgical explantation for THV thrombosis after a
valve-in-valve. A 67years old man underwent transcatheter aortic valve
implantation for a failed surgical bioprosthesis Epic 21mm (St. Jude Medical; St.
Paul, Minnesota, US). A CoreValve 23mm (Medtronic, Minneapolis, Minnesota, US)
was implanted through femoral access under conscious sedation without
complications. Mean transvalvular gradient was effectively reduced (33mmHg vs
16mmHg) with no more than mild residual aortic regurgitation. After 8days the
patient was discharged on dual antiplatelet therapy but was readmitted to the
intensive care unit for pulmonary oedema 13days later. Echocardiography showed a
raised transvalvular mean gradient (mean gradient change from discharge=15mmHg)
without aortic regurgitation. Heart Team decided for emergent open heart surgery
for the hemodynamic instability. On direct inspection THV was well positioned
inside the surgical bioprosthesis but two cusps were covered by thrombus which
markedly restricted their mobility. The THV and the former surgical valve were
explanted and a new larger stented bioprosthesis was implanted. Thrombosis of the
THV was confirmed on microscopic examination which showed no signs of
inflammation or degeneration. The patient was discharged after 1month and the
3month follow up showed stable transvalvular gradients.
PMID- 29352702
TI - Inotuzumab ozogamicin in older patients with acute lymphoblastic leukaemia:
premises and promises.
PMID- 29352703
TI - Inotuzumab ozogamicin in combination with low-intensity chemotherapy for older
patients with Philadelphia chromosome-negative acute lymphoblastic leukaemia: a
single-arm, phase 2 study.
AB - BACKGROUND: Inotuzumab ozogamicin, an anti-CD22 monoclonal antibody bound to a
toxin, calicheamicin, has shown single-agent activity in relapsed or refractory
acute lymphoblastic leukaemia. We aimed to assess the activity and safety of
inotuzumab ozogamicin in combination with low-intensity chemotherapy in older
patients with acute lymphoblastic leukaemia. METHODS: We did a single-arm, phase
2 study at the MD Anderson Cancer Center (Houston, TX, USA). Eligible patients
were aged 60 years or older and had newly diagnosed, Philadelphia chromosome
negative, acute lymphoblastic leukaemia, and an Eastern Cooperative Oncology
Group performance status of 3 or lower. The induction chemotherapy regimen used
was mini-hyper-CVD (a lower intensity version of the conventional hyper-CVAD).
Odd-numbered cycles (1,3, 5, and 7) comprised intravenous cyclophosphamide (150
mg/m2 every 12 h on days 1-3) and oral or intravenous dexamethasone (20 mg per
day on days 1-4 and days 11-14); no anthracycline was administered. Intravenous
vincristine (2 mg flat dose) was given on days 1 and 8. Even-numbered cycles
comprised intravenous methotrexate (250 mg/m2 on day 1) and intravenous
cytarabine (0.5 g/m2 given every 12 h on days 2 and 3). Intravenous inotuzumab
ozogamicin was given on day 3 of the first four cycles at the dose of 1.3-1.8
mg/m2 at cycle 1, followed by 1.0 -1.3 mg/m2 in subsequent cycles. Maintenance
therapy with dose-reduced POMP (purinethol [6-mercaptopurine], oncovin
[vincristine sulfate], methotrexate, and prednisone) was given for 3 years. The
primary endpoint of this study was progression-free survival at 2 years. Analyses
were by intention to treat. The study is ongoing, recruiting patients for an
approved expansion phase with a modified treatment plan by protocol amendment.
The trial is registered with ClinicalTrials.gov, number NCT01371630. FINDINGS:
Between Nov 12, 2011, and April 22, 2017, 52 patients with a median age of 68
years (IQR 64-72) were enrolled. With a median follow-up of 29 months (IQR 13
48), 2-year progression-free survival was 59% (95% CI 43-72). The most frequent
grade 3-4 adverse events were prolonged thrombocytopenia (42 [81%] patients),
infections during induction (27 [52%]) and consolidation chemotherapy (36 [69%]),
hyperglycaemia (28 [54%]), hypokalaemia (16 [31%]), increased aminotransferases
(ten [19%]), hyperbilirubinaemia (nine [17%]), and haemorrhage (seven [15%]).
Veno-occlusive disease occurred in four (8%) patients. Six (12%) patients died
from adverse events that were deemed treatment related (five [10%] from sepsis
and one [2%] from veno-occlusive disease). INTERPRETATION: Inotuzumab ozogamicin
plus mini-hyper-CVD chemotherapy is a safe and active first-line therapy option
in older patients with newly diagnosed acute lymphoblastic leukaemia and could
represent a new therapy for this population. Randomised, phase 3 trials to
evaluate the efficacy of this combination compared with the current standard of
care in this setting, combination chemotherapy without inotuzumab ozogamicin, are
warranted. FUNDING: MD Anderson Cancer Center.
PMID- 29352705
TI - The long road towards a safe and effective treatment of chronic Chagas disease.
PMID- 29352704
TI - Treatment of adult chronic indeterminate Chagas disease with benznidazole and
three E1224 dosing regimens: a proof-of-concept, randomised, placebo-controlled
trial.
AB - BACKGROUND: Chagas disease is a major neglected vector-borne disease. In this
study, we investigated the safety and efficacy of three oral E1224 (a water
soluble ravuconazole prodrug) regimens and benznidazole versus placebo in adult
chronic indeterminate Chagas disease. METHOD: In this proof-of-concept, double
blind, randomised phase 2 clinical trial, we recruited adults (18-50 years) with
confirmed diagnosis of Trypanosoma cruzi infection from two outpatient units in
Bolivia. Patients were randomised with a computer-generated randomisation list,
which was stratified by centre and used a block size of ten. Patients were
randomly assigned (1:1:1:1:1) to five oral treatment groups: high-dose E1224
(duration 8 weeks, total dose 4000 mg), low-dose E1224 (8 weeks, 2000 mg), short
dose E1224 (4 weeks + 4 weeks placebo, 2400 mg), benznidazole (60 days, 5 mg/kg
per day), or placebo (8 weeks, E1224-matched tablets). Double-blinding was
limited to the E1224 and placebo arms, and assessors were masked to all treatment
allocations. The primary efficacy endpoint was parasitological response to E1224
at the end of treatment, assessed by PCR. The secondary efficacy endpoints were
parasitological response to benznidazole at end of treatment, assessed by PCR;
sustainability of parasitological response until 12 months; parasite clearance
and changes in parasite load; incidence of conversion to negative response in
conventional and non-conventional (antigen trypomastigote chemiluminescent ELISA
[AT CL-ELISA]) serological response; changes in levels of biomarkers; and
complete response. The primary analysis population consisted of all randomised
patients by their assigned treatment arms. This trial is registered with
ClinicalTrials.gov, number NCT01489228. FINDINGS: Between July 19, 2011, and July
26, 2012, we screened 560 participants with confirmed Chagas disease, of whom 231
were enrolled and assigned to high-dose E1224 (n=45), low-dose E1224 (n=48),
short-dose E1224 (n=46), benznidazole (n=45), or placebo (n=47). Parasite
clearance was observed with E1224 during the treatment phase, but no sustained
response was seen with low-dose and short-dose regimens, whereas 13 patients
(29%, 95% CI 16.4-44.3) had sustained response with the high-dose regimen
compared with four (9%, 2.4-20.4) in the placebo group (p<0.0001). Benznidazole
had a rapid and sustained effect on parasite clearance, with 37 patients (82%,
67.9-92.0) with sustained response at 12-month follow-up. After 1 week of
treatment, mean quantitative PCR repeated measurements showed a significant
reduction in parasite load in all treatment arms versus placebo. Parasite levels
in the low-dose and short-dose E1224 groups gradually returned to placebo levels.
Both treatments were well tolerated. Reversible, dose-dependent liver enzyme
increases were seen with E1224 and benznidazole. 187 (81%) participants developed
treatment-emergent adverse events and six (3%) developed treatment-emergent
serious adverse events. Treatment-emergent adverse events were headaches, nausea,
pruritus, peripheral neuropathy, and hypersensitivity. INTERPRETATION: E1224 is
the first new chemical entity developed for Chagas disease in decades. E1224
displayed a transient, suppressive effect on parasite clearance, whereas
benznidazole showed early and sustained efficacy until 12 months of follow-up.
Despite PCR limitations, our results support increased diagnosis and access to
benznidazole standard regimen, and provide a development roadmap for novel
benznidazole regimens in monotherapy and in combinations with E1224. FUNDING:
Drugs for Neglected Diseases initiative.
PMID- 29352706
TI - Environmental conditions in health care facilities in low- and middle-income
countries: Coverage and inequalities.
AB - Safe environmental conditions and the availability of standard precaution items
are important to prevent and treat infection in health care facilities (HCFs) and
to achieve Sustainable Development Goal (SDG) targets for health and water,
sanitation, and hygiene. Baseline coverage estimates for HCFs have yet to be
formed for the SDGs; and there is little evidence describing inequalities in
coverage. To address this, we produced the first coverage estimates of
environmental conditions and standard precaution items in HCFs in low- and middle
income countries (LMICs); and explored factors associated with low coverage. Data
from monitoring reports and peer-reviewed literature were systematically
compiled; and information on conditions, service levels, and inequalities
tabulated. We used logistic regression to identify factors associated with low
coverage. Data for 21 indicators of environmental conditions and standard
precaution items were compiled from 78 LMICs which were representative of 129,557
HCFs. 50% of HCFs lack piped water, 33% lack improved sanitation, 39% lack
handwashing soap, 39% lack adequate infectious waste disposal, 73% lack
sterilization equipment, and 59% lack reliable energy services. Using nationally
representative data from six countries, 2% of HCFs provide all four of water,
sanitation, hygiene, and waste management services. Statistically significant
inequalities in coverage exist between HCFs by: urban-rural setting, managing
authority, facility type, and sub-national administrative unit. We identified
important, previously undocumented inequalities and environmental health
challenges faced by HCFs in LMICs. The information and analyses provide evidence
for those engaged in improving HCF conditions to develop evidence-based policies
and efficient programs, enhance service delivery systems, and make better use of
available resources.
PMID- 29352707
TI - Prenatal exposure to lead in France: Cord-blood levels and associated factors:
Results from the perinatal component of the French Longitudinal Study since
Childhood (Elfe).
AB - BACKGROUND: As a result of the ban on lead in gasoline on 2nd January 2000, the
French population's exposure to lead has decreased in recent years. However,
because of the acknowledged harmful cognitive effects of lead even at low levels,
lead exposure remains a major public health issue. In France, few biomonitoring
data are available for exposure to lead in pregnant women and newborn. The
purpose of the perinatal component of the French human biomonitoring (HBM)
program was to describe levels of various biomarkers of exposure to several
environmental pollutants, including lead, among mother-baby pairs. In this paper,
we aimed to describe the distribution of cord blood lead levels (CBLL) in French
mother-baby pairs, and to estimate the contribution of the main lead exposure
risk factors to these levels. METHOD: A total of 1968 mother-baby pairs selected
from the participants of the perinatal component of the French HBM program were
included in the study on lead. Lead levels were analyzed in cord blood collected
at child delivery by inductively coupled plasma-mass spectrometry (ICP-MS). The
data collected included biological sample, socio-demographic characteristics,
environmental and occupational exposure, and information on dietary factors.
RESULTS: CBLL were quantified for 99.5% of the sample. The CBLL geometric mean
was 8.30 MUg/l (95% CI [7.94-8.68]) with a 95th percentile of 24.3 MUg/l (95% CI
[20.7-27.1]). Factors significantly associated with CBLL were tap water
consumption, alcohol consumption, shellfish consumption, vegetable consumption,
bread consumption, smoking, and the mother being born in countries where lead is
often used. CONCLUSION: This study provides the first reference value for CBLL in
a random sample of mother-baby pairs not particularly exposed to high levels of
lead (24.3 MUg/l). A substantial decrease in CBLL over time was observed, which
confirms the decrease of exposure to lead among the general population. CBLL
observed in this French study were in the range of those found in recent surveys
conducted in other countries.
PMID- 29352708
TI - A systematic review and discussion of symptom specific cognitive behavioural
approaches to delusions and hallucinations.
AB - Studies on cognitive behavioural therapy for psychosis (CBTp) have developed from
evaluating generic approaches to focusing on specific symptoms. The evidence for
targeted studies on delusions and hallucinations was reviewed. We included
randomized controlled trials (RCTs) examining the effect of individualized CBT
based interventions focusing either on delusions or on hallucinations. Twelve
suitable RCTs were identified. Four RCTs focused on delusions, of which three
took a focused approach targeting mechanisms assumed causal to persecutory
delusions. Eight RCTs focused on hallucinations, a common component of these
studies being a focus on the perceived power imbalance between the voice(s) and
the voice-hearer, to reduce distress and dysfunction. Only three RCTS were
powered adequately; the remainder were pilot trials. All trials reported effect
sizes against treatment-as-usual above d=0.4 on at least one primary outcome at
post-therapy, with several effects in the large range. Effects on the primary
outcome were maintained for five of the seven studies that had significant
outcomes and reported a follow-up comparison, but most of the follow-up periods
were brief. Although targeted studies are still in their infancy, the results are
promising with a tendency towards higher effects compared to the small-to
moderate range found for generic CBTp. In clinical practice, CBTp will need to
continue including a range of approaches that can be adapted to patients in a
flexible manner according to the primary goals and prevalent combination of
symptoms. However, symptom-focused and causal-interventionist approaches are
informative research strategies to evaluate the efficacy of separate components
or mechanisms of generic CBTp.
PMID- 29352709
TI - Analysis of gut microbiota diversity and auxiliary diagnosis as a biomarker in
patients with schizophrenia: A cross-sectional study.
AB - With the advent of sequencing technology, characterization of schizophrenia with
underlying probing of gut microbiome can provide abundant clues for diagnosis and
prognosis of schizophrenia. In this study, we first compared the difference of
gut microbiota between schizophrenia patients and healthy controls by 16S rRNA
sequencing. We further explored whether gut microbiota can be used as a biomarker
to assist in the diagnosis of schizophrenia. We restricted inclusion criteria
strictly to control confounding bias. Finally, we investigated differences in
fecal microbiota between 64 schizophrenia patients and 53 healthy controls. At
the phylum level, we found that the abundance of Proteobacteria in the
schizophrenia patients was significantly increased. At the genus level, the
relative abundance of Succinivibrio, Megasphaera, Collinsella, Clostridium,
Klebsiella and Methanobrevibacter was significantly higher whereas the abundance
of Blautia, Coprococcus, Roseburia was decreased compared to health controls. The
receiver operating characteristic curve analysis demonstrated that 12 significant
microbiota biomarkers were capable of being used as diagnostic factors for
distinguishing the schizophrenia cohort from those in the control cohort (AUC =
0.837). We performed PICRUSt analysis and found that several metabolic pathways
differed significantly between healthy controls and schizophrenia patients,
including vitamin B6 and fatty acid. In conclusion, there are some difference of
gut microbiota between schizophrenia patients and healthy controls and the
insights from this study could be used to develop microbiota-based diagnosis for
schizophrenia.
PMID- 29352710
TI - Changes in HepG2 spheroid behavior induced by differences in the gap distance
between spheroids in a micropatterned culture system.
AB - Micropatterning is a promising technique for modulating culture environments. In
this study, we investigated the effect of spheroid separation distance on their
properties in a micropatterned chip of HepG2 spheroids. The basic chip design
consisted of 37 collagen spots (300 MUm in diameter) in a hexagonal arrangement
on a glass substrate; the region without collagen-spots was modified by
polyethylene glycol to create the non-adhesive surface. Three similar chips were
fabricated with gap distances between collagen-spots of 500, 1000, and 1500 MUm.
HepG2 cells adhered on the collagen spots and then formed spheroids via cell
proliferation. Although the albumin secretion activities of HepG2 spheroids were
almost the same in all chips, inhibition of spheroid growth and anaerobic
metabolism were intensified when the gap distance was less than 1000 MUm.
Additionally, such phenomena which are induced by interference effects between
spheroids, were more pronounced at the inside region of the chip than at the
outside region. However, the interference effect between spheroids was nearly
avoided when the gap distance was at least 1500 MUm. Furthermore, the
concentration of dissolved oxygen between neighboring spheroids decreased as the
gap distance decreased, indicating that the spheroids competed for oxygen and
became hypoxic in a way that depended on the spheroid separation distance. These
results indicate that the spheroid separation distance is an important factor
that can modulate the spheroid properties.
PMID- 29352711
TI - Gold cleaning methods for preparation of cell culture surfaces for self-assembled
monolayers of zwitterionic oligopeptides.
AB - Self-assembled monolayers (SAMs) have been used to elucidate interactions between
cells and material surface chemistry. Gold surfaces modified with oligopeptide
SAMs exhibit several unique characteristics, such as cell-repulsive surfaces,
micropatterns of cell adhesion and non-adhesion regions for control over cell
microenvironments, and dynamic release of cells upon external stimuli under
culture conditions. However, basic procedures for the preparation of oligopeptide
SAMs, including appropriate cleaning methods of the gold surface before
modification, have not been fully established. Because gold surfaces are readily
contaminated with organic compounds in the air, cleaning methods may be critical
for SAM formation. In this study, we examined the effects of four gold cleaning
methods: dilute aqua regia, an ozone water, atmospheric plasma, and UV
irradiation. Among the methods, UV irradiation most significantly improved the
formation of oligopeptide SAMs in terms of repulsion of cells on the surfaces. We
fabricated an apparatus with a UV light source, a rotation table, and HEPA
filter, to treat a number of gold substrates simultaneously. Furthermore, UV
cleaned gold substrates were capable of detaching cell sheets without serious
cell injury. This may potentially provide a stable and robust approach to
oligopeptide SAM-based experiments for biomedical studies.
PMID- 29352712
TI - Evaluating new bio-hydrogen producers: Clostridium perfringens strain JJC,
Clostridium bifermentans strain WYM and Clostridium sp. strain Ade.TY.
AB - Three newly discovered H2 producing bacteria namely Clostridium perfringens
strain JJC, Clostridium bifermentans strain WYM and Clostridium sp. strain Ade.TY
originated from landfill leachate sludge have demonstrated highly efficient H2
production. The maximum H2 production attained from these isolates are in the
descending order of strain C. perfringens strain JJC > C. bifermentans strain WYM
> Clostridium sp. strain Ade.TY with yield of 4.68 +/- 0.12, 3.29 +/- 0.11, and
2.87 +/- 0.10 mol H2/mol glucose, respectively. The result has broken the
conventional theoretical yield of 4 mol H2/mol glucose. These isolates were
thermodynamically favourable with Gibbs free energy between -33 and -35 kJ/mol
(under process conditions: pH 6, 37 degrees C and 5 g/L glucose). All three
isolates favour butyrate pathway for H2 production with the ratio of acetate and
butyrate of 0.77, 0.65 and 0.80 for strain JJC, WYM and Ade.TY, respectively.
This study reported provides a new insight on the potential of unique bacteria in
H2 production.
PMID- 29352713
TI - Clinical Variables Associated With Overall Survival in Metastatic Castration
Resistant Prostate Cancer Patients Treated With Sipuleucel-T Immunotherapy.
AB - BACKGROUND: Sipuleucel-T is an autologous cell-based cancer immunotherapy for men
with asymptomatic or minimally symptomatic metastatic castration-resistant
prostate cancer (mCRPC). Its approval by the Food and Drug Administration was
based on demonstration of an overall survival (OS) benefit in randomized placebo
controlled phase III trials. However, treatment was associated with a prostate
specific antigen (PSA) decline in only a small minority of patients.
Understanding the clinical factors that are associated with OS could help guide
treatment decisions, including patient selection and the timing of sipuleucel-T
relative to other therapies. PATIENTS AND METHODS: We retrospectively identified
94 mCRPC patients treated with sipuleucel-T from April 2010 to April 2016. The
Kaplan-Meier method was used to estimate the distribution of OS. Univariate and
multivariate Cox proportional hazard modeling was used to identify the prognostic
factors for OS. RESULTS: With a median follow-up of 24.9 months, the median OS
was 34.9 months. On multivariate analysis, Eastern Cooperative Oncology Group
performance status, pretreatment PSA doubling time, and previous abiraterone
and/or enzalutamide were significant prognostic factors for OS. CONCLUSION: A
poorer baseline performance status, faster disease pace measured by the PSA
doubling time, and previous novel androgen signaling inhibitor exposure could be
important prognostic considerations for the treatment of mCRPC patients with
sipuleucel-T. Further studies are needed to validate these findings.
PMID- 29352714
TI - Effect of Single-agent Daily Prednisone on Outcomes and Toxicities in Metastatic
Castration-resistant Prostate Cancer: Pooled Analysis of Prospective Studies.
AB - The clinical effect of prednisone in metastatic castration-resistant prostate
cancer (mCRPC) is unknown. We performed a pooled analysis of control arms of
randomized controlled trials that had or had not administered single-agent
prednisone. Randomized controlled trials with a control arm that included single
agent placebo (or no anticancer therapy) or single-agent prednisone (with or
without placebo) were eligible for analysis. Patients receiving prednisone
combined with other agents in the control arm were excluded. The trial
characteristics, baseline demographic data, overall survival (OS), progression
free survival (PFS), prostate-specific antigen (PSA) response, Response
Evaluation Criteria In Solid Tumors response, and toxicities were recorded. The
effect of prednisone was investigated for significance in bivariate models,
adjusting for age, pre- and post-docetaxel status, Eastern Cooperative Oncology
Group performance status, and trial publication year. Eighteen trials were
included; 9 had control arms that contained prednisone (n = 2831) and 9 did not
(n = 2784). No significant differences were identified for OS or toxicities of
any grade. A significantly greater PSA response rate (18.8% vs. 2.5%; P = .023)
and a trend toward more frequent grade >= 3 fluid retention (1.0% vs. 0.4%; P =
.097) was seen in the prednisone group. Prednisone was also significantly
associated with PFS after adjusting for docetaxel status. Single-agent prednisone
for mCRPC did not improve OS but was associated with a greater PSA response rate
and PFS. Overall and grade >= 3 toxicities were not significantly different with
prednisone. With the exception of concurrent use with abiraterone or for
palliative purposes, the routine use of prednisone for mCRPC appears unnecessary.
PMID- 29352715
TI - Patient-Friendly Summary of the ACR Appropriateness Criteria Headache.
PMID- 29352717
TI - Should We Use Cell of Origin and Dual-protein Expression in Treating DLBCL?
AB - Treatment outcomes in diffuse large B-cell lymphoma (DLBCL) following standard R
CHOP (rituximab, cyclophosphamide, doxorubicin, vincristine, and prednisone)
therapy is highly variable and dependent on a number of clinical, biologic, and
genetic features. The identification of molecular heterogeneity via gene
expression profiling dichotomizes patients based on the cell of origin (COO)
model into germinal center B-cell-like (GCB) and activated B-cell-like (ABC)
subsets, with ABC-DLBCL having a worse outcome. Along with the COO
classification, other molecular phenotypes have also been identified, further
highlighting the clinical and biologic complexity of this disease. Double-hit
lymphomas, with concurrent chromosomal translocations of the MYC and BLC2 genes,
or less commonly MYC and BCL6 genes, are associated with an aggressive clinical
course and adverse outcomes when treated with R-CHOP. Furthermore, dual
overexpression of MYC and BCL2 proteins has emerged as an important adverse
prognostic factor, can be present through different mechanisms in both GCB and
ABC subsets, and further complicates treatment considerations. Studies
investigating the biologic underpinnings of these diverse subtypes have revealed
a number of novel targets, which may provide therapeutic benefit. Moving forward,
clinical trials focusing on molecular subsets of DLBCL, and incorporating
rational targeted agents, will ideally lead to improved outcomes and allow a more
personalized treatment approach. This review will focus on emerging data
regarding DLBCL management based on either COO or dual overexpression of MYC/BCL2
proteins.
PMID- 29352716
TI - [Echographic diagnosis of missed early miscarriage: Assessment of image quality].
AB - OBJECTIVE: Ultrasound examination plays a central role in case of suspected non
viable pregnancy. A wrong diagnosis might have major consequence in terms of
inadequate care, especially in cases of false positive non-viable pregnancy
diagnosis. Ultrasound criterions are today well defined. Our objective was to
evaluate the feasibility and reproducibility of a novel image-quoting method of
first-trimester non-viable pregnancy. METHODS: Thirty images of non-viable
pregnancy were twice evaluated with blinded proofreading. Two quotations were
evaluated: the first for the images of gestational sacs without embryo
(gestational sac score), the second for the images with embryo (embryo score).
RESULTS: The ICC (interclass correlation coefficient) was>0.75 for inter- and
intra-observer reproducibility both for the quotations of the gestational sac and
for the embryo with a low variability. Reproducibility of quoting crown rump
length measurements <5mm was low at first proofreading but after adjustment of
the quoting modalities, ICC was also>0.75. CONCLUSION: The inter- and intra
observer reproducibility of our quoting methods is high with a low variability.
They might be a useful tool in current practice in the future.
PMID- 29352718
TI - Consolidative Radiotherapy to Residual Masses After Chemotherapy Is Associated
With Improved Outcome in Diffuse Large B-Cell Lymphoma. A Retrospective,
Population-Based Study.
AB - BACKGROUND: The role of consolidative radiotherapy (RT) in advanced diffuse large
B-cell lymphoma (DLBCL) is not established. PATIENTS AND METHODS: In a population
based retrospective analysis of patients with DLBCL in Western Norway during 2003
to 2008, 170 consecutive patients admitted to Haukeland University Hospital (HUS)
and 94 to Stavanger University Hospital (SUS) were included. The mean age was 64
years (range, 17-95 years), 147 patients (56%) were male, 80 patients (30%) had
stage I/II, 126 patients (48%) stage III/IV, and 57 patients (22%) had primary
extranodal disease. RESULTS: There were no differences between hospitals in
patient characteristics, use of rituximab, number of chemotherapy courses or
cumulative doses, or in distribution of response categories after chemotherapy.
The use of RT was significantly different: 17 patients (23%) received RT at SUS
and 92 patients (65%) at HUS (P < .001). For 219 patients with International
Prognostic Index (IPI) score of 0 to 3, 5-year cancer-specific survival (CSS) was
67% at SUS and 81% at HUS (P = .012). For 73 patients with complete response
after chemotherapy there were no differences in survival between patients with
and without RT. For 138 patients with any residual mass after chemotherapy, there
were highly significant differences in favor of receiving RT (n = 81) versus no
RT (n = 57): 5-year CSS 89% versus 69% (P < .001), and 5-year overall survival
82% versus 59% (P = .005). The effect of RT on residual mass was evident in most
subgroups, mainly in low to intermediate risk, but not in high-risk (IPI 4-5)
patients. CONCLUSION: With the limitations of a retrospective study, these data
suggest that consolidative RT might improve survival in DLBCL patients with a
residual mass after chemotherapy, also in advanced disease.
PMID- 29352719
TI - Prognostic Testing Patterns and Outcomes of Chronic Lymphocytic Leukemia Patients
Stratified by Fluorescence In Situ Hybridization/Cytogenetics: A Real-world
Clinical Experience in the Connect CLL Registry.
AB - INTRODUCTION: Prognostic genetic testing is recommended for patients with chronic
lymphocytic leukemia (CLL) to guide clinical management. Specific abnormalities,
such as del(17p), del(11q), and unmutated IgHV, can predict the depth and
durability of the response to CLL therapy. PATIENTS AND METHODS: In the present
analysis of the Connect CLL Registry (ClinicalTrials.gov identifier,
NCT01081015), a prospective observational cohort study of patients treated across
199 centers, the patterns of prognostic testing and outcomes of patients with
unfavorable-risk genetics were analyzed. From 2010 to 2014, 1494 treated patients
were enrolled in the registry by line of therapy (LOT), and stratified by the
results of cytogenetic/fluorescence in situ hybridization (FISH) testing into 3
risk levels: unfavorable (presence of del[17p] or del[11q]), favorable (absence
of del[17p] and del[11q]), and unknown. RESULTS: Cytogenetic/FISH testing was
performed in 861 patients (58%) at enrollment; only 40% of these patients were
retested before starting a subsequent LOT. Of those enrolled at the first LOT,
unfavorable-risk patients had inferior event-free survival compared with
favorable-risk patients (hazard ratio, 1.60; P = .001). Event-free survival was
inferior with bendamustine-containing regimens (P < .0001). Event-free survival
did not differ significantly between risk groups for patients treated with
ibrutinib or idelalisib in the relapse/refractory setting. The predictors of
reduced event-free survival included unfavorable-risk genetics, age >= 75 years,
race, and treatment choice at enrollment. CONCLUSION: The present study has shown
that prognostic cytogenetic/FISH testing is infrequently performed and that
patients with unfavorable-risk genetics treated with immunochemotherapy
combinations have worse outcomes. This underscores the importance of performing
prognostic genetic testing for all CLL patients to guide treatment.
PMID- 29352720
TI - Selection of the Best of 2017 in Left Atrial Appendage Occlusion: Filling the Gap
in Knowledge.
PMID- 29352721
TI - Hyperconnective and hypoconnective cortical and subcortical functional networks
in multiple system atrophy.
AB - INTRODUCTION: In multiple system atrophy (MSA), the organization of the
functional brain connectivity within cortical and subcortical networks and its
clinical correlates remains to be investigated. METHODS: Whole-brain based
'resting-state' fMRI data were obtained from 22 MSA patients (11 MSA-C, 11 MSA-P)
and 22 matched healthy controls, together with standardized clinical assessment
and video-oculographic recordings (EyeLink(r)). RESULTS: MSA patients vs.
controls showed significantly higher ponto-cerebellar functional connectivity and
lower default mode network connectivity (p < .05, corrected). No differences were
observed in the motor network and in the control network. The higher the ponto
cerebellar network functional connectivity was, the more pronounced was smooth
pursuit impairment. CONCLUSION: This functional connectivity analysis supports a
network-dependent combination of hyper- and hypoconnectivity states in MSA, in
agreement with adaptive compensatory responses (hyperconnectivity) and a function
disconnection syndrome (hypoconnectivity) that may occur in a consecutive
sequence.
PMID- 29352722
TI - Daily intake of Mucuna pruriens in advanced Parkinson's disease: A 16-week,
noninferiority, randomized, crossover, pilot study.
AB - BACKGROUND: Thousands of individuals with Parkinson's disease (PD) in low-income
countries have limited access to marketed levodopa preparations. Mucuna pruriens
(MP), a levodopa-containing leguminous plant growing in tropical areas, may be a
sustainable alternative therapy for indigent patients. Single-dose intake of MP
proved noninferior to marketed levodopa preparations. METHODS: Fourteen PD
patients with motor fluctuations and dyskinesias received MP powder (obtained
from roasted seeds) and marketed levodopa/carbidopa (LD/CD) in a randomized order
and crossover design over a 16-week period. Efficacy measures were changes in
quality of life, motor and non-motor symptoms, and time with good mobility
without troublesome dyskinesias. Safety measures included tolerability, frequency
of adverse events, changes in laboratory indices and electrocardiogram. RESULTS:
Daily intake of MP was associated with a variable clinical response, especially
in terms of tolerability. Seven patients (50%) discontinued MP prematurely due to
either gastrointestinal side-effects (n = 4) or progressive worsening of motor
performance (n = 3), while nobody discontinued during the LD/CD phase. In those
who tolerated MP, clinical response to MP was similar to LD/CD on all efficacy
outcome measures. Patients who dropped out entered a study extension using MP
supernatant water (median[IQR], 16 [7-20] weeks), which was well tolerated.
CONCLUSIONS: The overall benefit provided by MP on the clinical outcome was
limited by tolerability issues, as one could expect by the relatively rapid
switch from LD/CD to levodopa alone in advanced PD. Larger parallel-group studies
are needed to identify appropriate MP formulation (e.g. supernatant water),
titration scheme and maintenance dose to minimize side-effects in the long-term.
CLINICAL TRIALS. GOV IDENTIFIER: NCT02680977.
PMID- 29352724
TI - Indications for starting ART.
PMID- 29352723
TI - Which HIV-infected adults with high CD4 T-cell counts benefit most from immediate
initiation of antiretroviral therapy? A post-hoc subgroup analysis of the START
trial.
AB - BACKGROUND: Immediate initiation of antiretroviral therapy (ART) in asymptomatic
adults with CD4 counts higher than 500 cells per MUL, as recommended, might not
always be possible in resource-limited settings. We aimed to identify subgroups
of individuals who would benefit most from immediate treatment. METHODS: The
START trial was a randomised controlled trial in asymptomatic, HIV-positive
adults previously untreated with ART. Participants with CD4 counts higher than
500 cells per MUL were randomly assigned to receive immediate ART or to defer ART
until CD4 counts were lower than 350 cells per MUL. The primary endpoint of the
study was serious AIDS-defining illnesses or death from AIDS and serious non-AIDS
illnesses or non-AIDS-related death. In this post-hoc analysis, we estimated
event rates and absolute risk reduction with immediate versus deferred ART,
overall and by subgroup. Subgroups were prespecified in the study protocol or
formed post hoc on the basis of baseline characteristics associated with
morbidity and mortality in people with HIV. For continuous characteristics,
approximate terciles were chosen as subgroup cutoff points, unless different
cutoffs were clinically meaningful (eg, age >=50 years). We estimated the number
needed to treat immediately with ART for 1 year to prevent one primary event.
Heterogeneity in the absolute risk reduction between subgroups was assessed with
bootstrap tests. The START trial is registered with ClinicalTrials.gov, number
NCT00867048. FINDINGS: Between April 15, 2009, and Dec 23, 2013, we enrolled 4684
participants from 35 countries across five continents, of whom 2325 were assigned
to immediate ART and 2359 were assigned to deferred ART. The primary endpoint
occurred in 42 participants in the immediate ART group (0.58 events per 100
person-years) and 100 participants in the deferred ART group (1.37 events per 100
person-years). The absolute risk reduction was 0.80 (95% CI 0.48-1.13) per 100
person-years with immediate treatment, and the number needed to treat immediately
to prevent one event was 126 (95% CI 89-208). Significant heterogeneity in
absolute risk reduction with immediate ART was found across subgroups according
to age (p=0.0022), CD4 to CD8 ratio (p=0.0007), and plasma HIV RNA viral load
(p=0.033) at baseline. The highest absolute risk reductions and the lowest
numbers needed to treat were found in participants aged 50 years or older, those
with CD4 to CD8 ratios of less than 0.5, and those with plasma HIV RNA viral
loads of 50 000 copies per mL or higher. INTERPRETATION: Asymptomatic, ART-naive
adults with CD4 counts higher than 500 cells per MUL who are older, have a low
CD4 to CD8 ratio, or a high plasma HIV RNA viral load benefit most from immediate
initiation of ART and should be prioritised for treatment. FUNDING: US National
Institute of Allergy and Infectious Diseases.
PMID- 29352725
TI - Longitudinal evaluation of a training program to promote routine antenatal
enquiry for domestic violence by midwives.
AB - BACKGROUND: Routine enquiry about domestic violence during pregnancy is accepted
best practice. Training is essential to improve knowledge and practice. Few
studies have undertaken a comprehensive evaluation of training impact over time.
AIM: To evaluate the longitudinal impact of a domestic violence training and
support program to promote midwives' routine antenatal enquiry for domestic
violence using a mixed methods design. METHOD: Data sources included (1) surveys
of midwives at 6 months post-training, (2) interviews with key stakeholders at 12
months, (3) chart audit data of screening, risk, and disclosure rates (for 16
months). Measures included midwives' knowledge, preparation for routine enquiry,
knowledge of domestic violence and perceptions of impact of the training and
support for practice change. FINDINGS: Forty (out of 83) participant surveys
could be matched and responses compared to baseline and post-training scores.
Wilcoxon signed-rank test identified that all 6-month follow-up scores were
significantly higher than those at baseline. Level of preparedness increased from
42.3 to 51.05 (Z=4.88, p<.001); and knowledge scores increased from a mean of
21.15 to 24.65 (Z=4.9, p<.001). Most participants (>90%) reported improved
confidence to undertake routine inquiry. A chart audit of screening rates
revealed that of the 6671 women presenting for antenatal care, nearly 90% were
screened. Disclosure of domestic violence was low (<2%) with most women at risk
or experiencing violence declining referral. CONCLUSIONS: Training, support
processes, and referral pathways, contributed to midwives' sustained preparedness
and knowledge to conduct routine enquiry and support women disclosing domestic
violence.
PMID- 29352726
TI - Corrigendum to "Bombesin-like receptor 3 (Brs3) expression in glutamatergic, but
not GABAergic, neurons is required for regulation of energy metabolism" [Mol
Metabol 6 (2017) 1540-1550].
PMID- 29352727
TI - Re-evaluation of target lipid model-derived HC5 predictions for hydrocarbons.
AB - The target lipid model (TLM) has been previously applied to predict the aquatic
toxicity of hydrocarbons and other nonionic organic chemicals and for deriving
the concentrations above which 95% of species should be protected (HC5 values).
Several concerns have been identified with the TLM-derived HC5 when it is applied
in a substance risk assessment context. These shortcomings were addressed by
expanding the acute and chronic toxicity databases to include more diverse
taxonomic groups and increase the number of species. The TLM was recalibrated
with these expanded databases, resulting in critical target lipid body burdens
and acute-to-chronic ratios that met the required guidelines for using species
sensitivity distributions in substance risk assessment. The HC5 equation was
further revised to consider covarying model parameters. The calculated HC5 values
derived from the revised TLM framework were validated using an independent data
set for hydrocarbons comprising 106 chronic values across plants, invertebrates,
and fish. Assuming a sum binomial distribution, the 95% confidence limit for a 5%
failure is between 0.8 and 9.2%. Eight chronic values fell below the HC5,
corresponding to an excursion of 7.5%, which falls within the expected
uncertainty bounds. Thus, calculated HC5s derived from the revised TLM framework
were found to be consistent with the intended protection goals. Environ Toxicol
Chem 2018;37:1579-1593. (c) 2018 SETAC.
PMID- 29352728
TI - Differential proteomic analysis of synovial fluid from hip arthroplasty patients
with a pseudotumor vs. Periprosthetic osteolysis .
AB - Adverse tissue reactions to metal implants, including pseudotumors, can
compromise implant functionality and survivorship. The identification of specific
proteins in the synovial fluid (SF) of hip arthroplasty patients with a
pseudotumor may lead to a better understanding of the underlying pathomechanisms.
The objective of the present study was to compare the protein content of SF from
patients with a short-term metal-on-metal hip implant associated with a
pseudotumor and patients with a long-term metal-on-polyethylene hip implant
associated with periprosthetic osteolysis. Discovery proteomics was used to
identify differentially abundant proteins in albumin-depleted SF. In toto, 452
distinct proteins (present in at least half of the patients in one or both
groups) were identified. Thirty of these 452 proteins were differentially
abundant between the two groups, including two potential biomarkers: 6
phosphogluconate dehydrogenase (which plays a major protective role against
oxidative stress) for the pseudotumor group, and scavenger receptor cysteine-rich
type 1 protein M130 (which is involved in low-grade inflammation) for the
periprosthetic osteolysis group. Other differentially abundant proteins
identified suggest the presence of an adaptive immune response (particularly a
type-IV hypersensitivity reaction), necrosis, and greater oxidative stress in
patients with a pseudotumor. They also suggest the presence of an innate immune
response, oxidative stress, tissue remodeling, and apoptosis in both patient
groups, although differences in the specific proteins identified in each group
point to differences in the pathomechanisms. Overall, results provide insights
into the molecular mechanisms underlying metal-related pseudotumors and
periprosthetic osteolysis, and may ultimately help elucidate pseudotumor etiology
and assess the risk that asymptomatic pseudotumors will develop into an
aggressive lesion. (c) 2018 Orthopaedic Research Society. Published by Wiley
Periodicals, Inc. J Orthop Res 36:1849-1859, 2018.
PMID- 29352729
TI - Voxelotor (GBT440), a first-in-class hemoglobin oxygen-affinity modulator, has
promising and reassuring preclinical and clinical data.
PMID- 29352730
TI - Teaching of anatomical sciences: A blended learning approach.
AB - Blended learning is the integration of different learning approaches, new
technologies, and activities that combine traditional face-to-face teaching
methods with authentic online methodologies. Although advances in educational
technology have helped to expand the selection of different pedagogies, the
teaching of anatomical sciences has been challenged by implementation
difficulties and other limitations. These challenges are reported to include lack
of time, costs, and lack of qualified teachers. Easy access to online information
and advances in technology make it possible to resolve these limitations by
adopting blended learning approaches. Blended learning strategies have been shown
to improve students' academic performance, motivation, attitude, and
satisfaction, and to provide convenient and flexible learning. Implementation of
blended learning strategies has also proved cost effective. This article provides
a theoretical foundation for blended learning and proposes a validated framework
for the design of blended learning activities in the teaching and learning of
anatomical sciences. Clin. Anat. 31:323-329, 2018. (c) 2018 Wiley Periodicals,
Inc.
PMID- 29352731
TI - Induced cumulus expansion of poor quality buffalo cumulus oocyte complexes by
Interleukin-1beta improves their developmental ability.
AB - The present study was conceived with the aim of modulating the cumulus expansion
characteristics of poor quality (BCB-) buffalo oocyte complexes (COCs) in order
to improve their fertilization outcomes. BCB- COCs were subjected to in vitro
maturation (IVM) in presence of Interleukin-1 beta (IL-1beta) along with BCB-
(control) and good quality (BCB+) COCs. Results were assessed morphologically, by
scanning electron microscopy (SEM) and by expression analysis of cumulus
expansion related genes. Also, numbers of zona pellucida bound spermatozoa were
counted and development rates of oocytes were monitored under different groups.
Expression of versican isoforms and ADAMTS-1 was observed to be significantly
different between cumulus cells of BCB+ and BCB- COCs. Upon IL-1beta
supplementation, ADAMTS-1 expression increased in BCB- COCs along with
corresponding cumulus expansion rates. SEM analysis also revealed improved
cumulus expansion in IL-1beta supplemented BCB- COCs. HAS2 and TNFAIP-6 were
significantly up-regulated after IL-1beta supplementation while PTGS2 expression
remained unaffected. Significantly more numbers of sperms crossed the cumulus
barrier, especially in 100 ng/mL IL-1beta supplemented COCs. Besides, cleavage
and blastocyst development rates were also improved upon IL-1beta addition. We
concluded that IL-1beta supplementation in IVM medium can improve cumulus
expansion and development ability of poor quality buffalo oocytes.
PMID- 29352733
TI - Localization of palmitoylated and activated G protein alpha-subunit in
Dictyostelium discoideum.
AB - Guanine nucleotide-binding proteins (G proteins) act as molecular switches to
regulate many fundamental cellular processes. The lipid modification,
palmitoylation, can be considered as a key factor for proper G protein function
and plasma membrane localization. In Dictyostelium discoidum, Galpha2 is
essential for the chemotactic response to cAMP in their developmental life cycle.
However, the regulation of Galpha2 with respect to palmitoylation, activation and
Gbetagamma association is less clear. In this study, Galpha2 is shown to be
palmitoylated on Cys-4 by [3 H]palmitate labeling. Loss of this palmitoylation
site results in redistribution of Galpha2 within the cell and poor D. discoideum
development. Cellular re-localization is also observed for activated Galpha2. In
the membrane fraction, Galpha2-wt (YFP) is highly enriched in a low-density
membrane fraction, which is palmitoylation-dependent. Activated Galpha2 monomer
and heterotrimer are shifted to two different higher-density fractions. These
results broaden our understanding of how G protein localization and function are
regulated inside the cells.
PMID- 29352732
TI - Long-term effects of crizotinib in ALK-positive tumors (excluding NSCLC): A phase
1b open-label study.
AB - Crizotinib, an inhibitor of anaplastic lymphoma kinase (ALK), MET, and ROS1, is
approved for treatment of patients with ALK-positive or ROS1-positive advanced
non-small-cell lung cancer (NSCLC). However, ALK rearrangements are also
implicated in other malignancies, including anaplastic large-cell lymphoma and
inflammatory myofibroblastic tumors (IMTs). In this ongoing, multicenter, single
arm, open-label phase 1b study (PROFILE 1013; NCT01121588), patients with ALK
positive advanced malignancies other than NSCLC were to receive a starting dose
of crizotinib 250 mg twice daily. Primary endpoints were safety and objective
responses based on Response Evaluation Criteria in Solid Tumors version 1.1 or
National Cancer Institute International Response Criteria. Forty-four patients
were enrolled (lymphoma, n = 18; IMT, n = 9; other tumors, n = 17). The objective
response rate was 53% (95% confidence interval [CI], 28-77) for lymphoma, with 8
complete responses (CRs) and 1 partial response (PR); 67% (95% CI, 30-93) for
IMTs, with 1 CR and 5 PRs; and 12% (95% CI, 2-36) for other tumors, with 2 PRs in
patients affected by colon carcinoma and medullary thyroid cancer, respectively.
The median duration of treatment was almost 3 years for patients with lymphoma
and IMTs, with 2-year progression-free survival of 63% and 67%, respectively. The
most common treatment-related adverse events were diarrhea (45.5%) and vision
disorders (45.5%), mostly grade 1. These findings indicate strong and durable
activity of crizotinib in ALK-positive lymphomas and IMTs. The safety profile was
consistent with the known safety profile of crizotinib even with long-term
treatment.
PMID- 29352734
TI - Concise Review: Resistance to Tyrosine Kinase Inhibitors in Non-Small Cell Lung
Cancer: The Role of Cancer Stem Cells.
AB - Among the potential mechanisms involved in resistance to tyrosine kinase
inhibitors (TKIs) in non-small cell lung cancer, the manifestation of stem-like
properties in cancer cells seems to have a crucial role. Alterations involved in
the development of TKI resistance may be acquired in a very early phase of
tumorigenesis, supporting the hypothesis that these aberrations may be present in
cancer stem cells (CSCs). In this regard, the characterization of tumor subclones
in the initial phase and the identification of the CSCs may be helpful in
planning a specific treatment to target selected biomarkers, suppress tumor
growth, and prevent drug resistance. The aim of this review is to elucidate the
role of CSCs in the development of resistance to TKIs and its implication for the
management of patients. Stem Cells 2018;36:633-640.
PMID- 29352736
TI - Safety of anticoagulation in patients with atrial fibrillation and MDS/AML
complicated by thrombocytopenia: An unresolved challenge: Can they be managed? A
report of three cases and literature review.
PMID- 29352737
TI - Bronchiolitis obliterans syndrome is associated with increased p-glycoprotein
expression and loss of glucocorticoid receptor from steroid-resistant
proinflammatory CD8+ T cells.
AB - Immunosuppressive therapy fails to suppress the production of proinflammatory
cytokines, particularly by CD8+ T cells, in stable lung transplant recipients and
those undergoing chronic rejection, suggesting that some patients may become
relatively resistant to immunosuppressants such as glucocorticoids (GC). We have
shown loss of GC receptor (GCR) from the CD8+ cells, and we hypothesized that the
drug membrane efflux pump, p-glycoprotein-1 (Pgp), may also be involved in
lymphocyte steroid resistance following lung transplant. Pgp/GCR expression and
interferon (IFN)-gamma/tumour necrosis factor (TNF)-alpha proinflammatory
cytokine production was measured in blood lymphocytes from 15 stable lung
transplant patients, 10 patients with bronchiolitis obliterans syndrome (BOS) and
10 healthy aged-matched controls (+/- prednisolone +/- Pgp inhibitor, cyclosporin
A +/- GCR activator, Compound A) using flow cytometry. Both Pgp+ and Pgp-
lymphocyte subsets from all subjects produced IFN-gamma/TNF-alpha proinflammatory
cytokines. Pgp expression was increased in CD8+ Pgp+ T cells and correlated with
IFN-gamma/TNF-alpha expression and BOS grade. Reduced GCR was observed in CD8+
Pgp- T, natural killer (NK) T-like and NK cells from stable patients compared
with controls, and reduced further in CD8+ Pgp- T cells in BOS. The addition of
2.5 ng/ml cyclosporin A and 1 uM prednisolone inhibit IFN-gamma/TNF-alpha
production significantly by CD8+ Pgp+ T cells from BOS patients. The addition of
10 uM Compound A and 1 uM prednisolone inhibit IFN-gamma/TNF-alpha production
significantly by CD8+ Pgp- T cells from BOS patients. BOS is associated with
increased Pgp expression and loss of GCR from steroid-resistant proinflammatory
CD8+ T cells. Treatments that inhibit Pgp and up-regulate GCR in CD8+ T cells may
improve graft survival.
PMID- 29352735
TI - Winner of the society for biomaterials young investigator award for the annual
meeting of the society for biomaterials, April 11-14, 2018, Atlanta, GA: S
nitrosated poly(propylene sulfide) nanoparticles for enhanced nitric oxide
delivery to lymphatic tissues.
AB - Nitric oxide (NO) is a therapeutic implicated for the treatment of diseases
afflicting lymphatic tissues, which range from infectious and cardiovascular
diseases to cancer. Existing technologies available for NO therapy, however,
provide poor bioactivity within lymphatic tissues. In this work, we address this
technology gap with a NO encapsulation and delivery strategy leveraging the
formation of S-nitrosothiols on lymphatic-targeting pluronic-stabilized,
poly(propylene sulfide)-core nanoparticles (SNO-NP). We evaluated in vivo the
lymphatic versus systemic delivery of NO resulting from intradermal
administration of SNO-NP benchmarked against a commonly used, commercially
available small molecule S-nitrosothiol NO donor, examined signs of toxicity
systemically as well as localized to the site of injection, and investigated SNO
effects on lymphatic transport and NP uptake by lymph node (LN)-resident cells.
Donation of NO from SNO-NP, which scaled in proportion to the total administered
dose, enhanced LN accumulation by two orders of magnitude without substantially
reducing lymphatic transport of NP or the viability and extent of NP uptake by LN
resident cells. Additionally, NO delivery by SNO-NP was accompanied by low-to
negligible NO accumulation in systemic tissues with no apparent inflammation.
These results suggest the utility and selectivity of SNO-NP for the targeted
treatment of NO-regulated diseases that afflict lymphatic tissues. (c) 2018 Wiley
Periodicals, Inc. J Biomed Mater Res Part A: 106A: 1463-1475, 2018.
PMID- 29352738
TI - Persistent pain following common outpatient surgeries in children: A multicenter
study in Italy.
AB - INTRODUCTION: The prevalence of persistent postsurgical pain in children is over
20% after major surgeries; however, data are scarce on the prevalence, character,
and risk factors among children undergoing common ambulatory surgeries. The
primary aim of this study was to evaluate the prevalence of persistent pain
following pediatric ambulatory surgery at 1, 3, and 6 months. Secondary aims were
to identify risk factors and characterize the pain and consequences of persistent
postsurgical pain. METHODS: ASA I-II, ages 1 month to 16 years old, undergoing
elective hypospadias repair, herniorraphy, orchiopexy, and orthopedic surgery
were enrolled in a prospective, longitudinal, observational study at 3 pediatric
centers in Italy. All patients received general plus regional anesthesia.
Postoperative pain was evaluated using age appropriate pain scales at 1 and 3
hours. At 1, 3, and 6 months, pain scores were obtained and Parent's
Postoperative Pain Measures (<8 yo) and Child Activity Limitations Interview (>8
yo) surveys were administered. RESULTS: About 350 patients completed the study.
The prevalence of pain at 1, 3, and 6 months was 24% (84/350), 6.0% (21/350), and
4.0% (14/350), respectively. Inguinal herniorraphy patients experienced
significantly higher pain at all 3-time points; 35.6%, 14.9%, and 9.2%. There was
no significant association between mean pain scores >4 in PACU and persistent
pain. Pain persisting at 6 months had neuropathic characteristics and frequently
interfered with daily activities and sleep. CONCLUSION: Our data support the
presence of persistent pain in pediatric patients after common surgeries. Most
patients who developed persistent pain at 6 months had pain at 1 month. We
recommend questioning at follow-up visit about persistent pain and functional
impairment with follow-up until resolution.
PMID- 29352740
TI - Coordinates for the somatosensory homunculus.
PMID- 29352739
TI - Determination of protein oligomeric structure from small-angle X-ray scattering.
AB - Small-angle X-ray scattering (SAXS) is useful for determining the oligomeric
states and quaternary structures of proteins in solution. The average molecular
mass in solution can be calculated directly from a single SAXS curve collected on
an arbitrary scale from a sample of unknown protein concentration without the
need for beamline calibration or protein standards. The quaternary structure in
solution can be deduced by comparing the experimental SAXS curve to theoretical
curves calculated from proposed models of the oligomer. This approach is
especially robust when the crystal structure of the target protein is known, and
the candidate oligomer models are derived from the crystal lattice. When SAXS
data are obtained at multiple protein concentrations, this analysis can provide
insight into dynamic self-association equilibria. Herein, we summarize the
computational methods that are used to determine protein molecular mass and
quaternary structure from SAXS data. These methods are organized into a workflow
and demonstrated with four case studies using experimental SAXS data from the
published literature.
PMID- 29352741
TI - Band 3 phosphorylation induces irreversible alterations of stored red blood
cells.
PMID- 29352742
TI - Cambogin suppresses dextran sulphate sodium-induced colitis by enhancing Treg
cell stability and function.
AB - BACKGROUND AND PURPOSE: Inflammatory bowel disease (IBD) is a chronic and
relapsing inflammatory disorder of the gastrointestinal tract, and an impaired
immune response plays a critical role in IBD. The current drugs and therapies for
IBD treatment are of limited use, therefore, there is a need to find novel drugs
or therapies for this disease. We investigated the effect of cambogin in a mouse
model of dextran sulphate sodium (DSS)-induced colitis and whether cambogin
attenuates inflammation via a Treg-cell-mediated effect on the immune response.
EXPERIMENTAL APPROACH: Chronic colitis was established in mice using 2% DSS, and
cambogin (10 mg.kg-1 , p.o.) was administered for 10 days. Body weight, colon
length and colon histology were assessed. Cytokine production was measured using
elisa and quantitative real-time PCR. To evaluate the mechanism of cambogin,
human CD4+ CD25hi CD127lo Treg cells were isolated from peripheral blood
mononuclear cells. Major signalling profiles involved in Treg cell stability were
measured. KEY RESULTS: Cambogin attenuated diarrhoea, colon shortening and colon
histological injury and IL-6, IFN-gamma and TNF-alpha production in DSS-treated
mice. Cambogin also up-regulated Treg cell numbers in both the spleen and
mesenteric lymph nodes. Furthermore, cambogin (10 MUM) prevented Foxp3 loss in
human primary Treg cells in vitro, and promoted USP7-mediated Foxp3
deubiquitination and increased Foxp3 protein expression in LPS-treated cells.
CONCLUSIONS AND IMPLICATIONS: The effect of cambogin on DSS-induced colitis is
expedited by a Treg-cell-mediated modification of the immune response, suggesting
that cambogin could be applied as a novel agent for treating colitis and other
Treg cell-related diseases.
PMID- 29352743
TI - Co-Transplantation of Adipose Tissue-Derived Stromal Cells and Olfactory
Ensheathing Cells for Spinal Cord Injury Repair.
AB - Patients suffering from spinal cord injury (SCI) still have a dismal prognosis.
Despite all the efforts developed in this area, currently there are no effective
treatments. Therefore, cell therapies have been proposed as a viable alternative
to the current treatments used. Adipose tissue-derived stromal cells (ASCs) and
olfactory ensheathing cells (OECs) have been used with promising results in
different models of SCI, namely due to the regenerative properties of the
secretome of the first, and the guidance capability of the second. Using an in
vitro model of axonal growth, the dorsal root ganglia explants, we demonstrated
that OECs induce neurite outgrowth mainly through cell-cell interactions, while
ASCs' effects are strongly mediated by the release of paracrine factors. A
proteomic analysis of ASCs' secretome revealed the presence of proteins involved
in VEGF, PI3K, and Cadherin signaling pathways, which may be responsible for the
effects observed. Then, the cotransplantation of ASCs and OECs showed to improve
motor deficits of SCI-rats. Particular parameters of movement such as stepping,
coordination, and toe clearance were improved in rats that received the
transplant of cells, in comparison to nontreated rats. A histological analysis of
the spinal cord tissues revealed that transplantation of ASCs and OECs had a
major effect on the reduction of inflammatory cells close the lesion site. A
slight reduction of astrogliosis was also evident. Overall, the results obtained
with the present work indicate that the cotransplantation of ASCs and OECs brings
important functional benefits to the injured spinal cord. Stem Cells 2018;36:696
708.
PMID- 29352744
TI - Crystal structure of ADP-dependent glucokinase from Methanocaldococcus jannaschii
in complex with 5-iodotubercidin reveals phosphoryl transfer mechanism.
AB - ADP-dependent glucokinase (ADPGK) is an alternative novel glucose phosphorylating
enzyme in a modified glycolysis pathway of hyperthermophilic Archaea. In contrast
to classical ATP-dependent hexokinases, ADPGK utilizes ADP as a phosphoryl group
donor. Here, we present a crystal structure of archaeal ADPGK from
Methanocaldococcus jannaschii in complex with an inhibitor, 5-iodotubercidin, d
glucose, inorganic phosphate, and a magnesium ion. Detailed analysis of the
architecture of the active site allowed for confirmation of the previously
proposed phosphorylation mechanism and the crucial role of the invariant arginine
residue (Arg197). The crystal structure shows how the phosphate ion, while
mimicking a beta-phosphate group, is positioned in the proximity of the glucose
moiety by arginine and the magnesium ion, thus providing novel insights into the
mechanism of catalysis. In addition, we demonstrate that 5-iodotubercidin
inhibits human ADPGK-dependent T cell activation-induced reactive oxygen species
(ROS) release and downstream gene expression, and as such it may serve as a model
compound for further screening for hADPGK-specific inhibitors.
PMID- 29352745
TI - Negative supercoiling of DNA by gyrase is inhibited in Salmonella enterica
serovar Typhimurium during adaptation to acid stress.
AB - DNA in intracellular Salmonella enterica serovar Typhimurium relaxes during
growth in the acidified (pH 4-5) macrophage vacuole and DNA relaxation correlates
with the upregulation of Salmonella genes involved in adaptation to the
macrophage environment. Bacterial ATP levels did not increase during adaptation
to acid pH unless the bacterium was deficient in MgtC, a cytoplasmic-membrane
located inhibitor of proton-driven F1 F0 ATP synthase activity. Inhibiting ATP
binding by DNA gyrase and topo IV with novobiocin enhanced the effect of low pH
on DNA relaxation. Bacteria expressing novobiocin-resistant (NovR ) derivatives
of gyrase or topo IV also exhibited DNA relaxation at acid pH, although further
relaxation with novobiocin was not seen in the strain with NovR gyrase. Thus,
inhibition of the negative supercoiling activity of gyrase was the primary cause
of enhanced DNA relaxation in drug-treated bacteria. The Salmonella cytosol
reaches pH 5-6 in response to an external pH of 4-5: the ATP-dependent DNA
supercoiling activity of purified gyrase was progressively inhibited by lowering
the pH in this range, as was the ATP-dependent DNA relaxation activity of topo
IV. We propose that DNA relaxation in Salmonella within macrophage is due to acid
mediated impairment of the negative supercoiling activity of gyrase.
PMID- 29352746
TI - The common bean COK-4 and the Arabidopsis FER kinase domain share similar
functions in plant growth and defence.
AB - Receptor-like kinases are membrane proteins that can be shared by diverse
signalling pathways. Among them, the Arabidopsis thaliana FERONIA (FER) plays a
role in the balance between distinct signals to control growth and defence. We
have found that COK-4, a putative kinase encoded in the common bean anthracnose
resistance locus Co-4, which is transcriptionally regulated during the immune
response, is highly similar to the kinase domain of FER. To assess whether COK-4
is a functional orthologue of FER, we expressed COK-4 in the wild-type Col-0 and
the fer-5 mutant of Arabidopsis and evaluated FER-associated traits. We observed
that fer-5 plants show an enhanced apoplastic and stomatal defence against
Pseudomonas syringae. In addition, the fer-5 mutant shows reduced biomass,
smaller guard cell size, greater number of stomata per leaf area, fewer leaves,
faster transition to reproductive stage and lower seed weight per plant than the
wild-type Col-0. Except for the stomatal complex length and number of stomata,
COK-4 expression in fer-5 lines partially or completely rescued both defence and
developmental defects of fer-5 to the wild-type level. Notably, COK-4 may have an
additive effect to FER, as the expression of COK-4 in Col-0 resulted in enhanced
defence and growth phenotypes in comparison with wild-type Col-0 plants.
Altogether, these findings indicate that the common bean COK-4 shares at least
some of the multiple functions of the Arabidopsis FER kinase domain, acting in
both the induction of plant growth and regulation of plant defence.
PMID- 29352747
TI - Clathrin and AP1 are required for apical sorting of glycosyl phosphatidyl
inositol-anchored proteins in biosynthetic and recycling routes in Madin-Darby
canine kidney cells.
AB - Recently, studies in animal models demonstrate potential roles for clathrin and
AP1 in apical protein sorting in epithelial tissue. However, the precise
functions of these proteins in apical protein transport remain unclear. Here, we
reveal mistargeting of endogenous glycosyl phosphatidyl inositol-anchored
proteins (GPI-APs) and soluble secretory proteins in Madin-Darby canine kidney
(MDCK) cells upon clathrin heavy chain or AP1 subunit knockdown (KD). Using a
novel directional endocytosis and recycling assay, we found that these KD cells
are not only affected for apical sorting of GPI-APs in biosynthetic pathway but
also for their apical recycling and basal-to-apical transcytosis routes. The
apical distribution of the t-SNARE syntaxin 3, which is known to be responsible
for selective targeting of various apical-destined cargo proteins in both
biosynthetic and endocytic routes, is compromised suggesting a molecular
explanation for the phenotype in KD cells. Our results demonstrate the importance
of biosynthetic and endocytic routes for establishment and maintenance of apical
localization of GPI-APs in polarized MDCK cells.
PMID- 29352748
TI - Use of e-learning in clinical clerkships: effects on acquisition of
dermatological knowledge and learning processes.
AB - Objectives: To obtain a deeper understanding of how the e-learning program,
Education in Dermatology (ED), affects the acquisition of dermatological
knowledge and the underlying learning processes of medical students in their
clinical phase. Methods: The study used a mixed method design with a convergent
parallel collection of data. Medical students (n=62) from Maastricht University
(The Netherlands) were randomized to either a conventional teaching group
(control group n=30) or conventional teaching plus the e-learning program
(application on smartphone) group (e-learning group n=32). Pre- and post
intervention knowledge test results were analysed using an independent t-test.
Individual semi-structured interviews (n=9) were conducted and verbatim
transcribed recordings were analysed using King's template analysis. Results: The
e-learning program positively influenced students' level of knowledge and their
process of learning. A significant difference was found in the post-test scores
for the control group (M=51.4, SD=6.43) and the e-learning group (M=73.09,
SD=5.12); t(60)=-14.75, p<0.000). Interview data showed that the e-learning
program stimulated students' learning as the application promoted the
identification and recognition of skin disorders, the use of references, creation
of documents and sharing information with colleagues. Conclusions: This study
demonstrated that use of the e-learning program led to a significant improvement
in basic dermatological knowledge. The underlying learning processes indicated
that e-learning programs in dermatology filled a vital gap in the understanding
of clinical reasoning in dermatology. These results might be useful when
developing (clinical) teaching formats with a special focus on visual
disciplines.
PMID- 29352749
TI - Tips for optimizing organ preservation solutions.
AB - Organ injury during ischemia is one of the clinical problems of today's
transplantation. It occurs during warm ischemia time (WIT) when the blood flow is
cut off and during cold ischemia when a graft is chilled in situ until the
circulation is restored to the recipient organism. Fast cooling of the organ
slows down metabolism and activates intracellular enzymes, which minimizes the
effects of warm ischemia. Unfortunately, hypothermia also results in inhibition
of ATP synthesis, cell swelling and intracellular acidity. That is why research
is continually being conducted to develop new fluids for rinsing and storing
organs, as well as to optimize the composition of those that are already in use,
which will allow for longer and more effective graft storage and restoration of
their optimal functions after transplantation. This article provides current
information on rinsing and storage fluids available on the global market. It also
discusses tips for the fluid modifications with hormones and micronutrients.
PMID- 29352750
TI - Histomorphological analysis of the variability of the human skeleton: forensic
implications.
AB - One of the fundamental questions in forensic medicine and anthropology is whether
or not a bone or bone fragment is human. Surprisingly at times for the extreme
degradation of the bone (charred, old), DNA cannot be successfully performed and
one must turn to other methods. Histological analysis at times can be proposed.
However, the variability of a single human skeleton has never been tested. Forty
nine thin sections of long, flat, irregular and short bones were obtained from a
well-preserved medieval adult human skeleton. A qualitative histomorphological
analysis was performed in order to assess the presence of primary and secondary
bone and the presence, absence and orientation of vascular canals. No
histological sections exhibited woven or fibro-lamellar bone. Long bones showed a
higher variability with an alternation within the same section of areas
characterized by tightly packed secondary osteons and areas with scattered
secondary osteons immersed in a lamellar matrix. Flat and irregular bones
appeared to be characterized by a greater uniformity with scattered osteons in
abundant interstitial lamellae. Some cases of "osteon banding" and "drifting
osteons" were observed. Although Haversian bone represent the most frequent
pattern, a histomorphological variability between different bones of the same
individual, in different portions of the same bone, and in different parts of the
same section has been observed. Therefore, the present study has highlighted the
importance of extending research to whole skeletons without focusing only on
single bones, in order to have a better understanding of the histological
variability of both human and non-human bone.
PMID- 29352752
TI - Letter to the Editor: Influence of Intestinal Microbiota on Body Weight Gain: a
Narrative Review of the Literature.
PMID- 29352751
TI - Surgical management for a huge presacral teratoma and a meningocele in an adult
with Currarino triad: a case report.
AB - BACKGROUND: The Currarino triad is a rare hereditary syndrome comprising
anorectal malformation, sacral bony defect, and presacral mass. Most of the
patients are diagnosed during infancy. CASE PRESENTATION: A 44-year-old man was
diagnosed with Currarino triad, with a huge presacral teratoma and meningocele.
One-stage surgery via posterior approach was successful. CONCLUSIONS: Treatment
of the presacral mass in the Currarino triad, diagnosed in adulthood, is
challenging. Multidisciplinary management and detailed planning before surgery
are important for a satisfactory outcome.
PMID- 29352753
TI - Factors Predicting Length of Stay Following Bariatric Surgery: Retrospective
Review of a Single UK Tertiary Centre Experience.
AB - BACKGROUND: Laparoscopic Roux Y gastric bypass (RYGB) and laparoscopic sleeve
gastrectomy (LSG) are the most commonly performed bariatric procedures.
Improvement in techniques and perioperative management of patients have resulted
in shorter hospital stay and reduced overall costs. Many post-operative protocols
aspire to post-operative day 1 discharge with studies showing reduction in length
of stay without increasing complications. In this study, we investigate the
factors predictive of early discharge at our high-volume bariatric centre.
METHODS: A retrospective review of all patients who underwent bariatric surgery
(RYGB or SG) at a single centre between January 2013 and December 2014 was
undertaken. Routine preoperative investigations were performed and patient
discussed at bariatric MDT. Post-operative management was as per standard
protocols. Demographic data, type of surgery and post-operative data (length of
stay, complications, readmission, reoperations) were analysed. Statistical
analysis was performed using SPSS. RESULTS: Five hundred six patients underwent
RYGB (407 (80.4%)) or SG (99 (19.6%)). The mean preoperative BMI was 45.9 (range
33.3-80.6). The median length of stay was 1 day (range 1-214 days; interquartile
range 1-2 days) for RYGB and 2 days (range 1-8 days; interquartile range 1-3
days) for SG. Two hundred sixty-eight (52.9%) patients were discharged on post
operative day 1. The type of surgery and preoperative BMI were the only
significant factors predicative of day 1 discharge after surgery. Patients
undergoing SG were 3.3 times more likely to stay longer than 1 day after surgery
(p < 0.001). BMI < 50 is associated with day 1 discharge (p = 0.030). CONCLUSION:
Early discharge, on post-operative day 1 appears to be safe and is not associated
with a greater risk of readmission. Sleeve gastrectomy and a BMI > 50 are
associated with an increased risk of failure to achieve day 1 discharge.
PMID- 29352754
TI - Providing Ethical Healthcare in Resource-Poor Environments.
AB - The ethics of providing health care in resource-poor environments is a complex
topic. It implies two related questions: What can we do with the resources on
hand? Of all the things we can do, which ones should we do? "Resource-poor"
(i.e., resource-challenged, resource-constrained) environments are situations in
which clinicians, organizations, or healthcare systems have the knowledge and
skills, but not the means, to carry out highly effective and beneficial
interventions. Determinants of a population's health often rely less on disease
and injury management than on recognizing and meeting their basic needs. Many of
the world's people with the greatest health problems live in fragile contexts and
remote areas. Their access to food, safe water, personal safety, improved
sanitation facilities, and health care remains elusive, with availability often
based on socioeconomic status, gender, ethnicity, or geography. Of course,
ethical international healthcare work also requires an understanding of the
illnesses and injuries that most frequently plague the population. To function
ethically and to know both what can and what should be done with available
resources, individuals and organizations involved in international healthcare
must be experienced, adaptable, culturally sensitive, inspired, situationally
aware, beneficent, courageous, honest, and fair.
PMID- 29352755
TI - A Mos1 transposase in vivo assay to screen new HIV-1 integrase inhibitors.
AB - The integrase and transposase enzymes of retrovirus and transposons,
respectively, share the catalytic DDE domain. In vitro assays showed that
inhibitors of HIV-1 integrase generally inhibit the mariner Mos1 transposase.
Using a Drosophila strain in which the mobilisation of the mariner element can be
quantified by mosaic eyes, we showed that flies maintained in medium containing
210 uM to 4 mM of raltegravir, or 1 or 2 mM of dolutegravir, which are HIV-1
integrase inhibitor used in AIDS treatment, have 23-33% less somatic mobilisation
in mosaic eyes when treated with raltegravir and 28-32% when treated with
dolutegravir. The gene expression of the mariner transposase gene, estimated by
qPCR, is similar among treated and control flies. The results suggest that in
vivo assays using Drosophila can be used as a primary screening of inhibitory
drugs for transposase and retroviral integrase. The advantages of this assay are
that it is easy, quick, cheap and is an in vivo test, meaning that the tested
substance has to have been taken in by cells and has arrived at the target site,
which is not the case when in vitro assays are applied.
PMID- 29352756
TI - Influence of defect locations and nitrogen doping configurations on the
mechanical properties of armchair graphene nanoribbons.
AB - The effect of defect locations on the mechanical properties of armchair graphene
nanoribbons (AGNRs) and the various configurations of nitrogen (N) doping on the
mechanical properties of AGNRs were examined using molecular dynamics (MD)
simulations. The variation of the Young's modulus (YM) and the ultimate tensile
strength (UTS) of pyridinic-N, graphitic-N, and pyrrolic-N by increasing the
concentration of N doping was investigated. The results of MD simulations show
that the defect location has a significant effect on the UTS and failure strain
(FS) of AGNRs in both vertical and horizontal directions. In the horizontal
direction, variations of the UTS and FS are lower than in the vertical direction.
On the other hand, the variations of the YM is almost similar in vertical and
horizontal directions. The results of this work indicate that the UTS and FS of
AGNRs are more sensitive than the YM of AGNRs for different defect directions.
Pyridinic-N improves the mechanical properties of the defective AGNR and performs
better YM and UTS values than the graphitic-N. Substitution N atoms, which are
located at the defective sites and/or at the edges of AGNRs, are mechanically
more favorable. Pyrrolic-N configuration has the lowest mechanical properties
among the other configurations. Furthermore, pyrrolic-N with Stone-Wales-1 (SW-1)
type of defect has higher mechanical properties than pyrrolic-N with Stone-Wales
2 (SW-2) type of defect.
PMID- 29352757
TI - Refractory Heartburn: A Challenging Problem in Clinical Practice.
AB - Gastroesophageal reflux disease (GERD) is a condition which develops when the
reflux of stomach contents causes troublesome symptoms and/or complications.
Heartburn and regurgitation are the typical symptoms of GERD. The treatment of
GERD encompasses lifestyle modifications, pharmacological, endoscopic, and
surgical therapy. The majority of the patients respond to 4-8 weeks of proton
pump inhibitors therapy, but 20-42% will demonstrate partial or complete lack of
response to treatment. While these patients have been considered as having
refractory heartburn, a subset of them does not have GERD or have not been
adequately treated. The main causes of refractory heartburn include: poor
compliance; inadequate proton-pump inhibitors dosage; incorrect diagnosis;
comorbidities; genotypic differences; residual gastroesophageal reflux;
eosinophilic esophagitis and others. Treatment is commonly directed toward the
underlying cause of patients' refractory heartburn.
PMID- 29352761
TI - Reply.
PMID- 29352759
TI - Regional myocardial function abnormalities are associated with macro- and
microcirculation dysfunction in the metabolic syndrome: the RESOLVE study.
AB - Abnormalities in myocardial and vascular function have been reported in the
metabolic syndrome (MetS), but whether these alterations are related remains
poorly documented. Our aim was accordingly to investigate interrelationships
between macro- and microcirculatory vasoreactivity and left ventricular (LV)
myocardial function in MetS patients. Eighty-eight MetS individuals and 44 age-
and gender-matched healthy controls were enrolled. LV global longitudinal strain
(GLS) was measured using Vector Velocity Imaging. Endothelial-dependent and
independent reactivity in macro- and microcirculatory territories was established
using flow-mediated dilation and nitrate-mediated dilation of the brachial artery
and cutaneous blood flow measured with laser Doppler flowmetry in response to
iontophoresis of acetylcholine and sodium nitroprusside, respectively. Carotid
intima-media thickness (cIMT) was measured according to the Mannheim consensus.
Compared to controls, MetS patients presented with reduced GLS (p < 0.001)
increased cIMT and impaired (p < 0.001) endothelial and smooth muscle function of
the brachial artery and the forearm skin microcirculation. Highly significant
relationships (p < 0.01) were noticed between GLS and vascular outcomes. In
addition, cIMT (beta = 0.21, p = 0.024) and microcirculatory endothelium
dependent reactivity (beta = - 0.20, p = 0.035) were identified as independent
predictors of GLS. In MetS, abnormalities in myocardial function and endothelial
as well as smooth muscle function of small and large arteries co-exist and are
closely associated. This study supports a role for microvascular dysfunction in
the pathogenesis of LV myocardial dysfunction.
PMID- 29352758
TI - [Arthroscopic rotator cuff surgery : New and established methods].
AB - This present article summarizes established and current developments and aspects
of rotator cuff surgery. Achieved milestones as well as current approaches are
presented and assessed with respect to their clinical and radiographic impact.
Despite biomechanical and technical improvements in modern rotator cuff repair
techniques, re-defect and re-tearing rates could not be fully eliminated.
Meanwhile, the importance of biological processes around successful tendon-bone
reintegration has been increasingly recognized. Hence, this article presents the
current scientific standing regarding biological growth factors, platelet-rich
plasma and rotator cuff augmentation techniques (with allogenic/autologous
grafts). In summary, there are clear biomechanical advantages as well as many
promising approaches to biological augmentation; however, the latter have not yet
been transferred into regular clinical application.
PMID- 29352760
TI - Endothelial function estimated by digital reactive hyperemia in patients with
atherosclerotic risk factors or coronary artery disease.
AB - Due to the complex profile of atherosclerotic risk factors, an integrated
analysis of a specific individual is difficult. Endothelial function reflects a
composite of various risk factors, and may be used as an optimal tool to estimate
the overall atherosclerotic risk. In this study, we investigated the value of
digital Reactive Hyperemia Index (RHI) in an actual population with multiple
atherosclerotic risk factors or coronary artery disease (CAD). A total of 417
patients from the Seoul National University Boramae Medical Center RHI registry
were enrolled in this study. Patients were enrolled from January, 2013 to July,
2016. RHI was measured using the EndoPAT2000 system (Itamiar Medical Inc.
Israel). The mean value of RHI was 1.67 +/- 0.48 in total study population. Among
various atherosclerotic risk factors, RHI was significantly lower in older (> 60
years) patients, diabetics, smokers' patients on statin therapy, and those with
coronary or cerebrovascular disease. Most of these findings were consistent after
adjustment with multiple regression analysis. RHI was significantly associated
with CAD, with a hazard ratio of 1.80 (95% confidence interval 1.15-2.80, p =
0.010). In the subgroup with CAD, current smoking was the only finding showing a
lower RHI. Brachial-ankle pulse wave velocity, which is a surrogate marker of
arterial atherosclerotic change, was not correlated with RHI in patients with
clinically significant atherosclerotic disease. RHI was significantly reduced by
major atherosclerotic risk factors and in clinical atherosclerotic disease. RHI
may reflect a composite effect of risk factors pertaining to the endothelial
function.
PMID- 29352762
TI - Reply.
PMID- 29352763
TI - Erratum.
PMID- 29352769
TI - Resolvin E1, resolvin D1 and resolvin D2 inhibit constriction of rat thoracic
aorta and human pulmonary artery induced by the thromboxane mimetic U46619.
AB - BACKGROUND AND PURPOSE: The omega-6 fatty acid-derived lipid mediators such as
prostanoids, thromboxane and leukotrienes have well-established roles in
regulating both inflammation and smooth muscle contractility. Resolvins are
derived from omega-3 fatty acids and have important roles in promoting the
resolution of inflammation, but their activity on smooth muscle contractility is
unknown. We investigated whether resolvin E1 (RvE1), resolvin D1 (RvD1) and
resolvin D2 (RvD2) can modulate contractions of isolated segments of rat thoracic
aorta (RTA) or human pulmonary artery (HPA) induced by the alpha1 -adrenoceptor
agonist phenylephrine or the stable thromboxane A2 mimetic U46619. EXPERIMENTAL
APPROACH: Contractile responses in RTA and HPA were measured using wire
myography. Receptor expression was investigated by immunohistochemistry. KEY
RESULTS: Constriction of RTA segments by U46619, but not by phenylephrine, was
significantly inhibited by pretreatment for 1 or 24 h with 10-100 nM RvE1, RvD1
or RvD2. The inhibitory effect of RvE1 was partially blocked by a chemerin
receptor antagonist (CCX832). RvE1 at only 1-10 nM also significantly inhibited
U46619-induced constriction of HPA segments, and the chemerin receptor, GPR32 and
FPR2/ALX were identified in HPA smooth muscle. CONCLUSION AND IMPLICATIONS: These
data suggest that resolvins or their mimetics may prove useful novel therapeutics
in diseases such as pulmonary arterial hypertension, which are characterized by
increased thromboxane contractile activity.
PMID- 29352770
TI - Concentration-dependent effect of bleaching agents on the immunolabelling of
interleukin-6, interleukin-17 and CD5-positive cells in the dental pulp.
AB - AIM: To evaluate lymphocyte-like cell activation (CD5-positive cells) and the
expression of interleukin (IL)-6 and IL-17 in the pulp after tooth bleaching with
two concentrations of hydrogen peroxide (H2 O2 ). METHODOLOGY: The right and left
maxillary molars from 40 rats were treated randomly with bleaching gel with 20%
H2 O2 (BLUE group, 1 application of 50 min), 35% H2 O2 (MAXX group, three
applications of 15 min), or placebo gel (control). After 2 and 30 days, the rats
were killed (n = 10), and the jaws were processed for histological and
immunohistochemistry analysis of the pulp tissue. The scores of inflammation and
immunolabelling (IL-6/IL-17) were submitted to Mann-Whitney and Kruskal-Wallis
followed Dunn tests, respectively; anova tests were used for comparisons of
number of CD5-positive cells and pulp chamber area values (P < 0.05). RESULTS: At
2 days, 60% of specimens of the BLUE group were associated with moderate
inflammation in pulp horns, and in the MAXX group with necrosis (P < 0.05). At 30
days, the pulp was organized, and tertiary dentine was formed. The MAXX group had
superior immunolabelling of IL-17 at 2 days differing significantly from other
groups (P < 0.05). At 2 days, 90% of the specimens of the BLUE group had moderate
immunolabelling of IL-6, and 50% of the MAXX group had severe immunolabelling,
both significantly different from the control (P < 0.05). There was no
significant difference between the groups at 30 days (P > 0.05). CD5-positive
cells were present at 2 and 30 days, particularly in the bleached groups (P <
0.05), without significant difference between time periods (P > 0.05).
CONCLUSIONS: IL-6 and IL-17 participated in inflammation in the pulp tissue of
rats after tooth bleaching, particularly at 2 days. The immunolabelling was
greater with increasing H2 O2 concentration. This process was accompanied by the
prolonged activation of CD5-positive cells.
PMID- 29352771
TI - Severe cutaneous adverse reactions due to inappropriate medication use.
AB - BACKGROUND: The proportion of severe cutaneous adverse reactions (SCARs) that
could be avoided if medication use was consistent with good medical practice is
unknown. OBJECTIVES: To estimate the proportion of SCARs related to inappropriate
medication use. METHODS: We carried out a retrospective study of all validated
SCARs collected in a French registry between 2003 and 2016. For each case, all
plausible drugs suspected of inducing SCARs (i.e. not just the drug regarded as
'the most probable') were considered with regard to (i) prescription for an
inappropriate indication, (ii) unintentional rechallenge despite a previous
allergy to the drug or (iii) self-medication with prescription medicines.
RESULTS: In total, 602 cases were included in the analyses. Antibiotics,
anticonvulsants and allopurinol were the drugs most frequently involved,
accounting for more than 50% of all cases. All suspected medications were
considered to have been appropriately used for 417 of the 602 individuals
included in the study population [69.3%, 95% confidence interval (CI) 65.6-73.0]
and inappropriately used for 144 individuals (23.9%, 95% CI 20.5-27.3). These
inappropriate uses were due mainly to prescriptions for an inappropriate
indication (65.8%, 95% CI 58.4-73.2) or unintentional rechallenge (20.9%, 95% CI
14.6-27.2). Allopurinol and co-trimoxazole were the drugs most frequently
involved in inappropriate indications. Antibiotics were the largest group
involved in unintentional rechallenge. Nonsteroidal anti-inflammatory drugs,
available on prescription, were most frequently involved in inappropriate self
medication. CONCLUSIONS: Our results underline the need for respecting the
appropriate indication for drugs in order to reduce the incidence of SCARs.
Reducing unintentional rechallenge also seems to be a necessary preventive
measure.
PMID- 29352773
TI - Ultra-fast relaxation, decoherence, and localization of photoexcited states in pi
conjugated polymers.
AB - The exciton relaxation dynamics of photoexcited electronic states in poly(p
phenylenevinylene) are theoretically investigated within a coarse-grained model,
in which both the exciton and nuclear degrees of freedom are treated quantum
mechanically. The Frenkel-Holstein Hamiltonian is used to describe the strong
exciton-phonon coupling present in the system, while external damping of the
internal nuclear degrees of freedom is accounted for by a Lindblad master
equation. Numerically, the dynamics are computed using the time evolving block
decimation and quantum jump trajectory techniques. The values of the model
parameters physically relevant to polymer systems naturally lead to a separation
of time scales, with the ultra-fast dynamics corresponding to energy transfer
from the exciton to the internal phonon modes (i.e., the C-C bond oscillations),
while the longer time dynamics correspond to damping of these phonon modes by the
external dissipation. Associated with these time scales, we investigate the
following processes that are indicative of the system relaxing onto the emissive
chromophores of the polymer: (1) Exciton-polaron formation occurs on an ultra
fast time scale, with the associated exciton-phonon correlations present within
half a vibrational time period of the C-C bond oscillations. (2) Exciton
decoherence is driven by the decay in the vibrational overlaps associated with
exciton-polaron formation, occurring on the same time scale. (3) Exciton density
localization is driven by the external dissipation, arising from "wavefunction
collapse" occurring as a result of the system-environment interactions. Finally,
we show how fluorescence anisotropy measurements can be used to investigate the
exciton decoherence process during the relaxation dynamics.
PMID- 29352772
TI - Neurotransmitters and Receptors Changes in Medial Nucleus of the Trapezoid Body
(MNTB) of Early-Developmental Rats with Single-Side Deafness.
AB - BACKGROUND Congenital single-side deafness (SSD) affects sound localization even
after cochlear implantation (CI) in some conditions. The medial nucleus of the
trapezoid body (MNTB) plays an important role in binaural benefit and sound
localization, but little is known about intrinsic molecular changes in MNTB with
SSD. We aimed to observe changes in MNTB in early-developmental SSD rats,
including the key neurotransmitters (GABA, Gly, Glu) and major receptors (GABAa
R/GABAb-R for GABA, Gly-R for Gly, and AMPA/NMDA for Glu). MATERIAL AND METHODS
The model of early-developmental SSD was acquired by right cochlear ablation at
P12 and confirmed by ABR. High-performance liquid chromatography fluorescence
detection (HPLC-FLD) was performed to measure the levels of neurotransmitters in
MNTB. The relative expression of neurotransmitter receptors was tested by
quantitative real-time PCR analysis. RESULTS (1) The right MNTB of experimental
rats had an increase in GABA, Gly, and Glu at 4 weeks after right cochlear
ablation (P<0.05). (2) At 2 weeks, the left MNTB of experimental rats showed
increases in GABAa-R, GABAb-R, Gly-R, and AMPA, while the right MNTB showed lower
expression of NMDA (P<0.05). The higher receptors in left MNTB decreased to a
level at which we found no difference at 1 week for GABAa-R and GABAb-R (P>0.05),
and was even reversed for Gly-R and AMPA (P<0.05). (3) Gly level was
significantly increased at 2 weeks bilaterally and continued to 4 weeks in the
left MNTB (P<0.05). CONCLUSIONS Early-developmental SSD can lead to asymmetric
distribution of neurotransmitters and receptors in MNTB, which can be the
fundamental cause of defective sound localization after cochlear implantation.
PMID- 29352774
TI - The Bloch equation with terms induced by an electric field.
AB - The Bloch equation of the nuclear magnetization of spin-1/2 nuclei in molecules,
which have permanent electric dipole moments MUe that are placed simultaneously
in a magnetic field B and an electric field E, is derived. It is shown that if
the principal components of the nuclear magnetic shielding tensor sigma and the
dipole moment MUe are known, then the measurement of the transverse component to
the magnetic field B of the nuclear magnetization, which is induced by the
application of the electric field oscillating at the half of the spin precession
frequency, allows determining the orientation of the dipole moment MUe with
respect to the principal axis system of the symmetric part of the tensor sigma.
Four-component relativistic density functional theory computations, which have
been performed for several molecules containing heavy nuclei, i.e., 207Pb, 205Tl,
199Hg, 195Pt, and 125Te, indicate that coefficients of the relaxation matrix
perturbed by the electric field E are in favorable cases of the order of 1000 pm2
V-2 T-2. Therefore, the spin dynamics is perturbed at experimentally observable
levels for the strengths of electric and magnetic fields E = 5 kV/mm and B = 10
T, respectively.
PMID- 29352775
TI - Converging many-body correlation energies by means of sequence extrapolation.
AB - We present an extrapolation scheme for the correlation energy in many-body theory
that requires only a relatively small fraction of the orbitals spanning the
virtual space but recovers nearly the whole dynamic correlation energy,
independently of the single- or multi-reference nature of the problem.
Applications to both ground-state chemistry and photochemistry are discussed that
clearly show how such an extrapolation scheme can be used to overcome the scaling
walls in ab initio quantum chemistry arising from the sheer number of molecular
orbitals needed for very accurate calculations.
PMID- 29352776
TI - Plasma CVD of hydrogenated boron-carbon thin films from triethylboron.
AB - Low-temperature chemical vapor deposition (CVD) of B-C thin films is of
importance for neutron voltaics and semiconductor technology. The highly reactive
trialkylboranes, with alkyl groups of 1-4 carbon atoms, are a class of precursors
that have been less explored for low-temperature CVD of B-C films. Herein, we
demonstrate plasma CVD of B-C thin films using triethylboron (TEB) as a single
source precursor in an Ar plasma. We show that the film density and B/C ratio
increases with increasing plasma power, reaching a density of 2.20 g/cm3 and B/C
= 1.7. This is attributed to a more intense energetic bombardment during
deposition and more complete dissociation of the TEB molecule in the plasma at
higher plasma power. The hydrogen content in the films ranges between 14 and 20
at. %. Optical emission spectroscopy of the plasma shows that BH, CH, C2, and H
are the optically active plasma species from TEB. We suggest a plasma chemical
model based on beta-hydrogen elimination of C2H4 to form BH3, in which BH3 and
C2H4 are then dehydrogenated to form BH and C2H2. Furthermore, C2H2 decomposes in
the plasma to produce C2 and CH, which together with BH and possibly BH3-x(C2H5)x
are the film forming species.
PMID- 29352777
TI - Perspective: Theory of quantum transport in molecular junctions.
AB - Molecular junctions, where single molecules are bound to metal or semiconductor
electrodes, represent a unique architecture to investigate molecules in a
distinct nonequilibrium situation and, in a broader context, to study basic
mechanisms of charge and energy transport in a many-body quantum system at the
nanoscale. Experimental studies of molecular junctions have revealed a wealth of
interesting transport phenomena, the understanding of which necessitates
theoretical modeling. The accurate theoretical description of quantum transport
in molecular junctions is challenging because it requires methods that are
capable to describe the electronic structure and dynamics of molecules in a
condensed phase environment out of equilibrium, in some cases with strong
electron-electron and/or electronic-vibrational interaction. This perspective
discusses recent progress in the theory and simulation of quantum transport in
molecular junctions. Furthermore, challenges are identified, which appear crucial
to achieve a comprehensive and quantitative understanding of transport in these
systems.
PMID- 29352778
TI - Continuum percolation of polydisperse rods in quadrupole fields: Theory and
simulations.
AB - We investigate percolation in mixtures of nanorods in the presence of external
fields that align or disalign the particles with the field axis. Such conditions
are found in the formulation and processing of nanocomposites, where the field
may be electric, magnetic, or due to elongational flow. Our focus is on the
effect of length polydispersity, which-in the absence of a field-is known to
produce a percolation threshold that scales with the inverse weight average of
the particle length. Using a model of non-interacting spherocylinders in
conjunction with connectedness percolation theory, we show that a quadrupolar
field always increases the percolation threshold and that the universal scaling
with the inverse weight average no longer holds if the field couples to the
particle length. Instead, the percolation threshold becomes a function of higher
moments of the length distribution, where the order of the relevant moments
crucially depends on the strength and type of field applied. The theoretical
predictions compare well with the results of our Monte Carlo simulations, which
eliminate finite size effects by exploiting the fact that the universal scaling
of the wrapping probability function holds even in anisotropic systems. Theory
and simulation demonstrate that the percolation threshold of a polydisperse
mixture can be lower than that of the individual components, confirming recent
work based on a mapping onto a Bethe lattice as well as earlier computer
simulations involving dipole fields. Our work shows how the formulation of
nanocomposites may be used to compensate for the adverse effects of aligning
fields that are inevitable under practical manufacturing conditions.
PMID- 29352779
TI - Hydrodynamically Coupled Brownian Dynamics: A coarse-grain particle-based
Brownian dynamics technique with hydrodynamic interactions for modeling self
developing flow of polymer solutions.
AB - We present a novel coarse-grain particle-based simulation technique for modeling
self-developing flow of dilute and semi-dilute polymer solutions. The central
idea in this paper is the two-way coupling between a mesoscopic polymer model and
a phenomenological fluid model. As our polymer model, we choose Responsive
Particle Dynamics (RaPiD), a Brownian dynamics method, which formulates the so
called "conservative" and "transient" pair-potentials through which the polymers
interact besides experiencing random forces in accordance with the fluctuation
dissipation theorem. In addition to these interactions, our polymer blobs are
also influenced by the background solvent velocity field, which we calculate by
solving the Navier-Stokes equation discretized on a moving grid of fluid blobs
using the Smoothed Particle Hydrodynamics (SPH) technique. While the polymers
experience this frictional force opposing their motion relative to the background
flow field, our fluid blobs also in turn are influenced by the motion of the
polymers through an interaction term. This makes our technique a two-way coupling
algorithm. We have constructed this interaction term in such a way that momentum
is conserved locally, thereby preserving long range hydrodynamics. Furthermore,
we have derived pairwise fluctuation terms for the velocities of the fluid blobs
using the Fokker-Planck equation, which have been alternatively derived using the
General Equation for the Non-Equilibrium Reversible-Irreversible Coupling
(GENERIC) approach in Smoothed Dissipative Particle Dynamics (SDPD) literature.
These velocity fluctuations for the fluid may be incorporated into the velocity
updates for our fluid blobs to obtain a thermodynamically consistent distribution
of velocities. In cases where these fluctuations are insignificant, however,
these additional terms may well be dropped out as they are in a standard SPH
simulation. We have applied our technique to study the rheology of two different
concentrations of our model linear polymer solutions. The results show that the
polymers and the fluid are coupled very well with each other, showing no lag
between their velocities. Furthermore, our results show non-Newtonian shear
thinning and the characteristic flattening of the Poiseuille flow profile
typically observed for polymer solutions.
PMID- 29352780
TI - Hydrogen collisions with transition metal surfaces: Universal electronically
nonadiabatic adsorption.
AB - Inelastic scattering of H and D atoms from the (111) surfaces of six fcc
transition metals (Au, Pt, Ag, Pd, Cu, and Ni) was investigated, and in each
case, excitation of electron-hole pairs dominates the inelasticity. The results
are very similar for all six metals. Differences in the average kinetic energy
losses between metals can mainly be attributed to different efficiencies in the
coupling to phonons due to the different masses of the metal atoms. The
experimental observations can be reproduced by molecular dynamics simulations
based on full-dimensional potential energy surfaces and including electronic
excitations by using electronic friction in the local density friction
approximation. The determining factors for the energy loss are the electron
density at the surface, which is similar for all six metals, and the mass ratio
between the impinging atoms and the surface atoms. Details of the electronic
structure of the metal do not play a significant role. The experimentally
validated simulations are used to explore sticking over a wide range of incidence
conditions. We find that the sticking probability increases for H and D
collisions near normal incidence-consistent with a previously reported
penetration-resurfacing mechanism. The sticking probability for H or D on any of
these metals may be represented as a simple function of the incidence energy,
Ein, metal atom mass, M, and incidence angle, ??in. S=(S0+a?Ein+b?M)*(1-h(??in
c)(1-cos(??in-c)d?h(Ein-e)(Ein-e))), where h is the Heaviside step function and
for H, S0 = 1.081, a = -0.125 eV-1, b=-8.40?10-4 u-1, c = 28.88 degrees , d =
1.166 eV-1, and e = 0.442 eV; whereas for D, S0 = 1.120, a = -0.124 eV-1, b=
1.20?10-3 u-1, c = 28.62 degrees , d = 1.196 eV-1, and e = 0.474 eV.
PMID- 29352781
TI - Analysis of the anomalous mean-field like properties of Gaussian core model in
terms of entropy.
AB - Studies of the Gaussian core model (GCM) have shown that it behaves like a mean
field model and the properties are quite different from standard glass former. In
this work, we investigate the entropies, namely, the excess entropy (Sex) and the
configurational entropy (Sc) and their different components to address these
anomalies. Our study corroborates most of the earlier observations and also sheds
new light on the high and low temperature dynamics. We find that unlike in
standard glass former where high temperature dynamics is dominated by two-body
correlation and low temperature by many-body correlations, in the GCM both high
and low temperature dynamics are dominated by many-body correlations. We also
find that the many-body entropy which is usually positive at low temperatures and
is associated with activated dynamics is negative in the GCM suggesting
suppression of activation. Interestingly despite the suppression of activation,
the Adam-Gibbs (AG) relation that describes activated dynamics holds in the GCM,
thus suggesting a non-activated contribution in AG relation. We also find an
overlap between the AG relation and mode coupling power law regime leading to a
power law behavior of Sc. From our analysis of this power law behavior, we
predict that in the GCM the high temperature dynamics will disappear at dynamical
transition temperature and below that there will be a transition to the activated
regime. Our study further reveals that the activated regime in the GCM is quite
narrow.
PMID- 29352782
TI - Communication: Investigation of ion aggregation in ionic liquids and their
solutions with lithium salt under high pressure.
AB - X-ray scattering measurements were utilized to probe the effects of pressure on a
series of ionic liquids, N-alkyl-N-methyl-pyrrolidinium
bis(trifluoromethanesulfonyl)imide (Pyr1A-TFSI) (A = 3, 6, and 9), along with
mixtures of ionic liquid and 30 mol. % lithium bis(trifluoromethanesulfonyl)imide
(LiTFSI) salt. No evidence was found for crystallization of the pure ionic
liquids or salt mixtures even at pressures up to 9.2 GPa. No phase separation or
demixing was observed for the ionic liquid and salt mixtures. Shifts in the peak
positions are indicative of compression of the ionic liquids and mixtures up to 2
GPa, after which samples reach a region of relative incompressibility, possibly
indicative of a transition to a glassy state. With the application of pressure,
the intensity of the prepeak was found to decrease significantly, indicating a
reduction in cation alkyl chain aggregation. Additionally, incompressibility of
the scattering peak associated with the distance between like-charges in the pure
ionic liquids compared to that in mixtures with lithium salt suggests that the
application of pressure could inhibit Li+ coordination with TFSI- to form
Li[TFSI2]- complexes. This inhibition occurs through the suppression of TFSI- in
the trans conformer, in favor of the smaller cis conformer, at high pressures.
PMID- 29352783
TI - Addressing global uncertainty and sensitivity in first-principles based
microkinetic models by an adaptive sparse grid approach.
AB - In the last decade, first-principles-based microkinetic modeling has been
developed into an important tool for a mechanistic understanding of heterogeneous
catalysis. A commonly known, but hitherto barely analyzed issue in this kind of
modeling is the presence of sizable errors from the use of approximate Density
Functional Theory (DFT). We here address the propagation of these errors to the
catalytic turnover frequency (TOF) by global sensitivity and uncertainty
analysis. Both analyses require the numerical quadrature of high-dimensional
integrals. To achieve this efficiently, we utilize and extend an adaptive sparse
grid approach and exploit the confinement of the strongly non-linear behavior of
the TOF to local regions of the parameter space. We demonstrate the methodology
on a model of the oxygen evolution reaction at the Co3O4 (110)-A surface, using a
maximum entropy error model that imposes nothing but reasonable bounds on the
errors. For this setting, the DFT errors lead to an absolute uncertainty of
several orders of magnitude in the TOF. We nevertheless find that it is still
possible to draw conclusions from such uncertain models about the atomistic
aspects controlling the reactivity. A comparison with derivative-based local
sensitivity analysis instead reveals that this more established approach provides
incomplete information. Since the adaptive sparse grids allow for the evaluation
of the integrals with only a modest number of function evaluations, this approach
opens the way for a global sensitivity analysis of more complex models, for
instance, models based on kinetic Monte Carlo simulations.
PMID- 29352784
TI - Calculation of a solid/liquid surface tension: A methodological study.
AB - The surface tension of a model solid/liquid interface constituted of a graphene
sheet surrounded by liquid methane has been computed using molecular dynamics in
the Kirkwood-Buff formalism. We show that contrary to the fluid/fluid case, the
solid/liquid case can lead to different structurations of the first fluid layer,
leading to significantly different values of surface tension. Therefore we
present a statistical approach that consists in running a series of molecular
simulations of similar systems with different initial conditions, leading to a
distribution of surface tensions from which an average value and uncertainty can
be extracted. Our results suggest that these distributions converge as the system
size increases. Besides we show that surface tension is not particularly
sensitive to the choice of the potential energy cutoff and that long-range
corrections can be neglected contrary to what we observed in the liquid/vapour
interfaces. We have not observed the previously reported commensurability effect.
PMID- 29352785
TI - Markov-state model for CO2 binding with carbonic anhydrase under confinement.
AB - Enzyme immobilization with a nanostructure material can enhance its stability and
facilitate reusability. However, the apparent activity is often compromised due
to additional diffusion barriers and complex interactions with the substrates and
solvent molecules. The present study elucidates the effects of the surface
hydrophobicity of nano-confinement on CO2 diffusion to the active site of human
carbonic anhydrase II (CA), an enzyme that is able to catalyze CO2 hydration at
extremely high turnover rates. Using the Markov-state model in combination with
coarse-grained molecular dynamics simulations, we demonstrate that a hydrophobic
cage increases CO2 local density but hinders its diffusion towards the active
site of CA under confinement. By contrast, a hydrophilic cage hinders CO2
adsorption but promotes its binding with CA. An optimal surface hydrophobicity
can be identified to maximize both the CO2 occupation probability and the
diffusion rate. The simulation results offer insight into understanding enzyme
performance under nano-confinement and help us to advance broader applications of
CA for CO2 absorption and recovery.
PMID- 29352786
TI - From elemental tellurium to Ge2Sb2Te5 melts: High temperature dynamic and
relaxation properties in relationship with the possible fragile to strong
transition.
AB - We investigate the dynamic properties of Ge-Sb-Te phase change melts using first
principles molecular dynamics with a special emphasis on the effect of tellurium
composition on melt dynamics. From structural models and trajectories established
previously [H. Flores-Ruiz et al., Phys. Rev. B 92, 134205 (2015)], we calculate
the diffusion coefficients for the different species, the activation energies for
diffusion, the Van Hove correlation, and the intermediate scattering functions
able to substantiate the dynamics and relaxation behavior of the liquids as a
function of temperature and composition that is also compared to experiment
whenever possible. We find that the diffusion is mostly Arrhenius-like and that
the addition of Ge/Sb atoms leads to a global decrease of the jump probability
and to an increase in activated dynamics for diffusion. Relaxation behavior is
analyzed and used in order to evaluate the possibility of a fragile to strong
transition that is evidenced from the calculated high fragility (M = 129) of
Ge2Sb2Te5 at high temperatures.
PMID- 29352787
TI - Communication: Exact analytical derivatives for the domain-based local pair
natural orbital MP2 method (DLPNO-MP2).
AB - Electron correlation methods based on pair natural orbitals (PNOs) have gained an
increasing degree of interest in recent years, as they permit energy calculations
to be performed on systems containing up to many hundred atoms, while maintaining
chemical accuracy for reaction energies. We present an approach for taking exact
analytical first derivatives of the energy contributions in the simplest method
of the family of Domain-based Local Pair Natural Orbital (DLPNO) methods, closed
shell DLPNO-MP2. The Lagrangian function contains constraints to account for the
relaxation of PNOs. RI-MP2 reference geometries are reproduced accurately, as
exemplified for four systems with a substantial degree of nonbonding
interactions. By the example of electric field gradients, we demonstrate that
omitting PNO-specific constraints can lead to dramatic errors for orbital-relaxed
properties.
PMID- 29352788
TI - Formic acid dimers in a nitrogen matrix.
AB - Formic acid (HCOOH) dimers are studied by infrared spectroscopy in a nitrogen
matrix and by ab initio calculations. We benefit from the use of a nitrogen
matrix where the lifetime of the higher-energy (cis) conformer is very long (~11
h vs. 7 min in an argon matrix). As a result, in a nitrogen matrix, a large
proportion of the cis conformer can be produced by vibrational excitation of the
lower-energy (trans) conformer. Three trans-trans, four trans-cis, and three cis
cis dimers are found in the experiments. The spectroscopic information on most of
these dimers is enriched compared to the previous studies in an argon matrix. The
cis-cis dimers of ordinary formic acid (without deuteration) are reported here
for the first time. Several conformational processes are obtained using selective
excitation by infrared light, some of them also for the first time. In
particular, we report on the formation of cis-cis dimers upon vibrational
excitation of trans-cis dimers. Tunneling decays of several dimers have been
detected in the dark. The tunneling decay of cis-cis dimers of formic acid as
well as the stabilization of cis units in cis-cis dimers is also observed for the
first time.
PMID- 29352789
TI - Hydrodynamic relaxations in dissipative particle dynamics.
AB - This paper studies the dynamics of relaxation phenomena in the standard
dissipative particle dynamics (DPD) model [R. D. Groot and P. B. Warren, J. Chem.
Phys. 107, 4423 (1997)]. Using fluctuating hydrodynamics as the framework of the
investigation, we focus on the collective transverse and longitudinal dynamics.
It is shown that classical hydrodynamic theory predicts the transverse dynamics
at relatively low temperatures very well when compared to simulation data;
however, the theory predictions are, on the same length scale, less accurate for
higher temperatures. The agreement with hydrodynamics depends on the definition
of the viscosity, and here we find that the transverse dynamics are independent
of the dissipative and random shear force contributions to the stress. For high
temperatures, the spectrum for the longitudinal dynamics is dominated by the
Brillouin peak for large length scales and the relaxation is therefore governed
by sound wave propagation and is athermal. This contrasts the results at lower
temperatures and small length scale, where the thermal process is clearly present
in the spectra. The DPD model, at least qualitatively, re-captures the underlying
hydrodynamical mechanisms, and quantitative agreement is excellent at
intermediate temperatures for the transverse dynamics.
PMID- 29352790
TI - On the theoretical prediction of fluorescence rates from first principles using
the path integral approach.
AB - In this work, we present and implement the theory for calculating fluorescence
rates and absorption and emission spectra from first principles, using the path
integral approach. We discuss some approximations and modifications to the full
set of equations that improve speed and numerical stability for the case when a
large number of modes are considered. New methods to approximate the excited
state potential energy surface are also discussed and it is shown that for most
purposes, these can be used instead of a full geometry optimization to obtain the
rates mentioned above. A few examples are presented and the overall performance
of the method is discussed. It is shown that the rates and spectra computed in
this way are well within the acceptable range of errors and can be used in future
predictions, particularly for screening purposes, with the only limitation on
size being that of the electronic structure calculation itself.
PMID- 29352791
TI - Kohn-Sham potentials from electron densities using a matrix representation within
finite atomic orbital basis sets.
AB - We revisit the static response function-based Kohn-Sham (KS) inversion procedure
for determining the KS effective potential that corresponds to a given target
electron density within finite atomic orbital basis sets. Instead of expanding
the potential in an auxiliary basis set, we directly update the potential in its
matrix representation. Through numerical examples, we show that the reconstructed
density rapidly converges to the target density. Preliminary results are
presented to illustrate the possibility of obtaining a local potential in real
space from the optimized potential in its matrix representation. We have further
applied this matrix-based KS inversion approach to density functional embedding
theory. A proof-of-concept study of a solvated proton transfer reaction
demonstrates the method's promise.
PMID- 29352792
TI - Relationship between global and segmental dynamics of poly(butylene oxide)
studied by broadband dielectric spectroscopy.
AB - Relationship between segmental relaxation and normal-mode relaxation has been
studied for molten poly(butylene oxide)s having various molecular weights by
broadband dielectric spectroscopy over a wide temperature (T) range. We found
that T dependence of the segmental relaxation time, taus, was weaker than the
normal mode time, taun, at high T(>250 K ~ Tg + 50 K), and the taun/taus ratio
systematically decreased with increasing temperature. This high temperature
complexity, whose mechanism has not been discussed in detail so far, was
quantitatively explained by assuming the two step processes: local conformation
change of polymers (elementary process) occurs first, and then the motion of a
segment unit (second process) occurs. It was also found that the elementary
process was strongly correlated with the experimentally observed beta-relaxation.
PMID- 29352793
TI - Theoretical study of tetrahedral site occupation by hydrogen in Pd nanoparticles.
AB - To understand the enhanced effects and new hydrogen absorption properties of
metal nanoparticles, we theoretically investigated the hydrogen absorption in Pd
nanoparticles, adopting the Pd405 model of ca. 2.5 nm by using density functional
theory. Pd405 showed inhomogeneous geometric features, especially near the
surface region. The hydrogen absorptions in octahedral (O) and tetrahedral (T)
sites near the core region were stable and unstable, respectively, similar to the
Pd bulk. We clearly demonstrated the possibility of hydrogen absorption in T
sites near the surface of Pd405. The flexible volume change and the difference in
hydrogen position relative to the center of mass of the T site that we observed
are important factors for stable hydrogen absorption in T sites of Pd
nanoparticles. In addition, we discuss the differences in hydrogen diffusion
mechanisms in the core and near surface regions, based on the stability of
hydrogen absorption in O and T sites.
PMID- 29352795
TI - Impact of surface energy on the shock properties of granular explosives.
AB - This paper presents the first part of a two-fold molecular dynamics study of the
impact of the granularity on the shock properties of high explosives. Recent
experimental studies show that the granularity can have a substantial impact on
the properties of detonation products {i.e., variations in the size distributions
of detonation nanodiamonds [V. Pichot et al., Sci. Rep. 3, 2159 (2013)]}. These
variations can have two origins: the surface energy, which is a priori enhanced
from micro- to nano-scale, and the porosity induced by the granular structure. In
this first report, we study the impact of the surface-energy contribution on the
inert shock compression of TATB, TNT, alpha-RDX, and beta-HMX nano-grains
(triaminotrinitrobenzene, trinitrotoluene, hexogen and octogen, respectively). We
compute the radius-dependent surface energy and combine it with an ab initio
based equation of state in order to obtain the resulting shock properties through
the Rankine-Hugoniot relations. We find that the enhancement of the surface
energy results in a moderate overheating under shock compression. This
contribution is minor with respect to porosity, when compared to a simple
macroscopic model. This result motivates further atomistic studies on the impact
of nanoporosity networks on the shock properties.
PMID- 29352794
TI - A reversible transition in liquid Bi under pressure.
AB - The electrical resistance of solid and liquid Bi has been measured at high
pressures and temperatures using a novel experimental design for high sensitivity
measurements utilizing a "Paris-Edinburgh" toroid large volume press. An
anomalous sharp decrease in resistivity with increasing temperature at constant
pressures was observed in the region beyond melting which implies a possible
novel transition in the melt. The proposed transition was observed across a range
of pressures both in heating and cooling cycles of the sample demonstrating its
reversibility. From the measurements it was possible to determine a "phase-line"
of this transition on the Bi pressure-temperature phase diagram terminating at
the melting curve.
PMID- 29352796
TI - Miscibility at the immiscible liquid/liquid interface: A molecular dynamics study
of thermodynamics and mechanism.
AB - Molecular dynamics simulations are used to study the dissolution of water into an
adjacent, immiscible organic liquid phase. Equilibrium thermodynamic and
structural properties are calculated during the transfer of water molecule(s)
across the interface using umbrella sampling. The net free energy of transfer
agrees reasonably well with experimental solubility values. We find that water
molecules "prefer" to transfer into the adjacent phase one-at-a-time, without co
transfer of the hydration shell, as in the case of evaporation. To study the
dynamics and mechanism of transfer of water to liquid nitrobenzene, we collected
over 400 independent dissolution events. Analysis of these trajectories suggests
that the transfer of water is facilitated by interfacial protrusions of the water
phase into the organic phase, where one water molecule at the tip of the
protrusion enters the organic phase by the breakup of a single hydrogen bond.
PMID- 29352797
TI - Physical origins of temperature continuity at an interface between a crystal and
its melt.
AB - We justify and discuss the physical origins for the assumption of temperature
continuity at crystal/melt interfaces by performing atomistic simulations. We
additionally answer why the crystal/melt interfaces differ from the typical
solid/liquid interfaces, which usually exhibit dissimilarities and a resulting
temperature drop. We present results for pure silver modeled using the embedded
atom method and Lennard-Jones potential function and contrast the results with
each other. We find that the temperature continuity at an interface between a
crystal and its melt originates from the perfect vibrational coupling, which is
caused by the interfacial structural diffusivity. This study provides fundamental
insights into the heat transfer for cases of extremely large heat flux and
thermal gradients occurring during rapid melting and solidification. The findings
additionally determine the role of rough surfaces in manipulating the thermal
conductance in nanodevices.
PMID- 29352798
TI - Two-component relativistic coupled-cluster methods using mean-field spin-orbit
integrals.
AB - A novel implementation of the two-component spin-orbit (SO) coupled-cluster
singles and doubles (CCSD) method and the CCSD augmented with the perturbative
inclusion of triple excitations [CCSD(T)] method using mean-field SO integrals is
reported. The new formulation of SO-CCSD(T) features an atomic-orbital-based
algorithm for the particle-particle ladder term in the CCSD equation, which not
only removes the computational bottleneck associated with the large molecular
orbital integral file but also accelerates the evaluation of the particle
particle ladder term by around a factor of 4 by taking advantage of the spin-free
nature of the instantaneous electron-electron Coulomb interaction. Benchmark
calculations of the SO splittings for the thallium atom and a set of diatomic 2Pi
radicals as well as of the bond lengths and harmonic frequencies for a set of
closed-shell diatomic molecules are presented. The basis-set and core-correlation
effects in the calculations of these properties have been carefully analyzed.
PMID- 29352799
TI - An atomistic fingerprint algorithm for learning ab initio molecular force fields.
AB - Molecular fingerprints, i.e., feature vectors describing atomistic neighborhood
configurations, is an important abstraction and a key ingredient for data-driven
modeling of potential energy surface and interatomic force. In this paper, we
present the density-encoded canonically aligned fingerprint algorithm, which is
robust and efficient, for fitting per-atom scalar and vector quantities. The
fingerprint is essentially a continuous density field formed through the
superimposition of smoothing kernels centered on the atoms. Rotational invariance
of the fingerprint is achieved by aligning, for each fingerprint instance, the
neighboring atoms onto a local canonical coordinate frame computed from a kernel
minisum optimization procedure. We show that this approach is superior over
principal components analysis-based methods especially when the atomistic
neighborhood is sparse and/or contains symmetry. We propose that the "distance"
between the density fields be measured using a volume integral of their pointwise
difference. This can be efficiently computed using optimal quadrature rules,
which only require discrete sampling at a small number of grid points. We also
experiment on the choice of weight functions for constructing the density fields
and characterize their performance for fitting interatomic potentials. The
applicability of the fingerprint is demonstrated through a set of benchmark
problems.
PMID- 29352800
TI - Efficient reactive Brownian dynamics.
AB - We develop a Split Reactive Brownian Dynamics (SRBD) algorithm for particle
simulations of reaction-diffusion systems based on the Doi or volume reactivity
model, in which pairs of particles react with a specified Poisson rate if they
are closer than a chosen reactive distance. In our Doi model, we ensure that the
microscopic reaction rules for various association and dissociation reactions are
consistent with detailed balance (time reversibility) at thermodynamic
equilibrium. The SRBD algorithm uses Strang splitting in time to separate
reaction and diffusion and solves both the diffusion-only and reaction-only
subproblems exactly, even at high packing densities. To efficiently process
reactions without uncontrolled approximations, SRBD employs an event-driven
algorithm that processes reactions in a time-ordered sequence over the duration
of the time step. A grid of cells with size larger than all of the reactive
distances is used to schedule and process the reactions, but unlike traditional
grid-based methods such as reaction-diffusion master equation algorithms, the
results of SRBD are statistically independent of the size of the grid used to
accelerate the processing of reactions. We use the SRBD algorithm to compute the
effective macroscopic reaction rate for both reaction-limited and diffusion
limited irreversible association in three dimensions and compare to existing
theoretical predictions at low and moderate densities. We also study long-time
tails in the time correlation functions for reversible association at
thermodynamic equilibrium and compare to recent theoretical predictions. Finally,
we compare different particle and continuum methods on a model exhibiting a
Turing-like instability and pattern formation. Our studies reinforce the common
finding that microscopic mechanisms and correlations matter for diffusion-limited
systems, making continuum and even mesoscopic modeling of such systems difficult
or impossible. We also find that for models in which particles diffuse off
lattice, such as the Doi model, reactions lead to a spurious enhancement of the
effective diffusion coefficients.
PMID- 29352801
TI - Detection of the etiological agents of hospital-acquired pneumonia - validity and
comparison of different types of biological sample collection: a prospective,
observational study in intensive care patients.
AB - BACKGROUND: There is still a lack of evidence as to which method of biological
sample collection is optimal for identifying bacterial pathogens causing hospital
acquired pneumonia (HAP). Much effort has been made to find an easy and valid
approach to be used in clinical practice. METHODS: The primary endpoint of this
prospective, observational study was to determine the predictive value of
oropharyngeal swab (OS) and gastric aspiration (GA) as simple and non-invasive
methods for diagnosing HAP. Their efficacy was compared to endotracheal
aspiration (ETA) and protected specimen brushing (PSB), the standard methods
approved for HAP diagnosis. RESULTS: Initially, 56 patients were enrolled.
Significant amounts of bacterial pathogens were detected in 48 patients (79
isolates) in Round A and in 39 patients (45 isolates) in Round B (after 72
hours). The sensitivity rates were: ETA 98%, PSB 31%, OS 64% and GA 67% in Round
A and ETA 87%, PSB 32%, OS 74% and GA 42% in Round B. Strains of 12 bacterial
species were identified in the samples. The three most common etiological agents
(both rounds together) were Klebsiella pneumoniae (23.7%), Burkholderia
multivorans (21.1%) and Pseudomonas aeruginosa (15.8%). CONCLUSIONS: Blind ETA is
an optimum method for obtaining biological samples for identification of
etiological agents causing HAP in intubated patients. Microbial etiological
agents were more frequently detected in ETA samples than in those collected by
PSB. If ETA/PSB results are negative, samples may be collected by OS and/or GA as
these techniques followed ETA in terms of the frequency of pathogen detection.
PMID- 29352802
TI - [Human alveolar echinococcosis and an overview of the occurrence of Echinococcus
multilocularis in animals in the Czech Republic].
AB - Human alveolar echinococcosis (AE) is caused by larval stages of the tapeworm
Echinococcus multilocularis. In the Czech Republic, screening tests to detect the
specific infectious agent have been performed since 1998. The first AE cases were
diagnosed in 2007, and until 2014, a total of 21 diseases were recorded. In
accordance with radiological, histological, and/or PCR data, serological
examinations of 699 individuals helped to reveal 15 additional AE cases in the
period of 2015-2016. From the cumulative data for 1998-2016, it appears that of
2,695 patients examined, 36 (18 men and 18 women) were diagnosed with AE. Their
age at diagnosis ranged from 20 to 82 years and was lower for women (mean 43.7,
median 39.5) than for men (50.9 and 57.5, respectively), but the difference was
not statistically significant. In the period of 2007-2016, the mean annual
incidence rate was 0.034 cases/100 000 population. Our study indicates an ongoing
increase in AE cases. The disease can be autochthonous in nature, as evidenced
not only by some case history data but also by the detection of the larval stages
in wild boar (Sus scrofa). AE risk to humans in the Czech Republic is discussed
in the context of the known data on the presence of various parasite
developmental stages in animals.
PMID- 29352803
TI - [Mycological diagnosis of pulmonary Aspergillus infections with a focus on
serological methods].
AB - Aspergillus are ubiquitous fungi that can cause serious illnesses in susceptible
individuals. The most commonly infected organ is the lungs. The severity of the
disease depends on the degree of the invasion of the lung tissue by fungi, which
rises proportionally with the development of immunodeficiency. The only way to
clearly determine the degree to which the lungs have been invaded is to carry out
a histological examination of a sample of the infected tissue. Conventional
mycological methods can detect the presence of the fungus in samples from a
patient's airways by using microscopy or culture techniques. Furthermore, it is
possible to determine the presence of the specific Aspergillus antigen,
galactomannan, and of non-specific beta-D-glucan in the serum or bronchoalveolar
lavage fluid. The detection of antibodies against Aspergillus is only relevant
for chronic forms of the disease. This article discusses the benefits of
different mycological examination methods in the diagnosis of various forms of
pulmonary aspergillosis.
PMID- 29352804
TI - [Flow cytometry in microbiology].
AB - Flow cytometry is a method that allows simultaneous measurement and analysis of
physical and chemical characteristics of cells or other biological particles
during their passage through the laser beam. Although this method is mainly used
in the study of cell differentiation and functional analysis of eukaryotic cells,
the basic principles of flow cytometry can be applied to microorganisms. Methods
based on the analysis of a single cell, such as flow cytometry, in combination
with measurement of cell viability using special fluorescent probes allow a
deeper insight into the diversity of populations and functioning of microbial
communities and also facilitate understanding the phy-siological diversity of
seemingly similar acting populations. When using specific fluorescent dyes for
the selective labeling of selected species of microorganisms, the method is
potentially very specific. The aim of this paper is a brief overview of
applications of flow cytometry, which can be used in microbiology.
PMID- 29352805
TI - [Crohns disease and ulcerative colitis - current view on genetic determination,
immunopathogenesis and biologic therapy].
AB - Crohns disease (CD) and ulcerative colitis (UC) are chronic inflammatory
disorders of the intestine, also called inflammatory bowel diseases (IBD), which
are not caused by pathogenic microorganisms but result from non-specific
inflammatory processes in the bowel. IBD are polygenic diseases, as evidenced by
the genome-wide association studies (GWAS), which have discovered more than 200
genes or genetic regions to be associated with IBD. Some of them are specific for
CD or UC; however, there are 110 overlapping genes. In the pathogenesis of CD,
activation of adaptive immunity mediated by TH1, TH17, or TH1/TH17 cells is
induced because of disturbances in the mechanisms of innate immunity and
autophagocytosis. By comparison, the major events that trigger autoimmune
processes in UC are an increased translocation of commensal bacteria into the
submucosa because of loose inter-epithelial connections with subsequent
activation of ILC2, TH9, TH2, and NKT cells. Knowledge of the pathogenesis of a
disease enables an effective therapy, which is especially true for biological
therapy. It is noteworthy that monoclonal antibodies directed against the major
protagonists underlying both CD and UC have failed. It points to the complexity
of immunopathologic processes that run in both diseases. One can suppose that a
blockade of one inflammatory pathway is circumvented by an alternative pathway.
TNF is the principal pro-inflammatory cytokine that plays a major role in CD and
UC as well. It was therefore decided to treat IBD patients with anti-TNF
monoclonal antibodies, infliximab or adalimumab. Approximately one half of the CD
patients and one third of the UC patients respond to this treatment.
PMID- 29352806
TI - [The prevalence, incidence, persistence and transmission ways of human
papillomavirus infection (HPV)].
AB - Human papillomavirus (HPV) is the most common sexually transmitted infection
worldwide, which may result in the development of benign or malignant tumours.
HPV infec-tions cause approximately 5% of all human cancers. Infection at all
sites is strongly associated with sexual behaviour, but this association does not
appear to explain substantial differences in the prevalence, incidence,
persistence, and clearance of HPV infection in the anal, genital, and oral areas.
Discussed are the similarities and differences among the natural histories of
infection in different anatomical sites of the human body of both genders.
Despite the huge advances already achieved, more research is needed to suggest
effective prevention strategies (including the screening of high-risk groups and
vaccination) for HPV infection and associated diseases.
PMID- 29352807
TI - Detection of antigen-specific T cells in patients with neuroborreliosis.
AB - There is a lack of laboratory tests in clinical practice that can detect the
activity of borrelial infection. This was the reason for testing an antigen
specific T-cell detection method in patients with neuroborreliosis: the ELISPOT
method, which is capable of detecting antigen-specific T lymphocytes in clinical
conditions. A group of 32 patients (20 diagnosed with neuroborreliosis; NB) was
examined using this commercial method (LymeSpot intrerferon-gamma Assay Kit(r)).
Of these 20 NB patients, 10 were found to be positive and 10 negative; four of
the five persons tested prior to the antibiotic treatment were positive. Eight
patients served as the control group, giving four positive and four negative
results. The results achieved so far appear to be unequivocal; still, the test
could be expected: a) in non-specific clinical symptoms with borderline or
negative proof of antibodies; b) in eraly stage of the disease; c) in the case of
seropositivity and unequivocal clinical picture. The basic prerequisite for the
clinical utilization of the method, however, is that it be thoroughly tested.
PMID- 29352809
TI - A novel PLEC nonsense homozygous mutation (c.7159G > T; p.Glu2387*) causes
epidermolysis bullosa simplex with muscular dystrophy and diffuse alopecia: a
case report.
AB - BACKGROUND: Epidermolysis bullosa simplex with muscular dystrophy (EBS-MD; OMIM
#226670) is an autosomal recessive disease, characterized mainly by skin
blistering at birth or shortly thereafter, progressive muscle weakness, and
rarely by alopecia. EBS-MD is caused by mutations in the PLEC gene (OMIM
*601282), which encodes plectin, a structural protein expressed in several
tissues, including epithelia and muscle. We describe a patient affected with EBS
MD and diffuse alopecia in which we identified a novel pathogenic mutation by PCR
amplification of all coding exons and exon-intron boundaries of PLEC gene,
followed by bidirectional Sanger sequencing. CASE PRESENTATION: The patient, a 28
year-old female and only child of consanguineous healthy parents, was born after
uneventful pregnancy. At 2 days of age, she developed skin and oral mucosal
blistering, accompanied by voice hoarseness. On physical examination as an adult,
we observed diffuse non-scarring alopecia on the scalp, onychodystrophy
(pachyonychia) in all 20 nails, dental decay, mild dysphonia, and severe muscle
atrophy mainly affecting the extremities. Neurological examination showed
profoundly diminished reflexes. Mutation analysis revealed the patient to be
homozygous for the novel PLEC nonsense mutation - c.7159G > T (p.Glu2387*) -
located in exon 31. Thismutation predicts the lack of expression of the full
length plectin isoform. CONCLUSION: The present case appears to be the second
association of EBS-MD with diffuse alopecia, both cases having different
mutations involving PLEC exon 31. It remains to be elucidated whether diffuse
alopecia results from PLEC mutations and/or from environmental factors.
PMID- 29352808
TI - Frontal dysconnectivity in 22q11.2 deletion syndrome: an atlas-based functional
connectivity analysis.
AB - BACKGROUND: 22q11.2 deletion syndrome (22q11DS) is a neurodevelopmental syndrome
associated with deficits in cognitive and emotional processing. This syndrome
represents one of the highest risk factors for the development of schizophrenia.
Previous studies of functional connectivity (FC) in 22q11DS report aberrant
connectivity patterns in large-scale networks that are associated with the
development of psychotic symptoms. METHODS: In this study, we performed a
functional connectivity analysis using the CONN toolbox to test for differential
connectivity patterns between 54 individuals with 22q11DS and 30 healthy
controls, between the ages of 17-25 years old. We mapped resting-state fMRI data
onto 68 atlas-based regions of interest (ROIs) generated by the Desikan-Killany
atlas in FreeSurfer, resulting in 2278 ROI-to-ROI connections for which we
determined total linear temporal associations between each. Within the group with
22q11DS only, we further tested the association between prodromal symptoms of
psychosis and FC. RESULTS: We observed that relative to controls, individuals
with 22q11DS displayed increased FC in lobar networks involving the frontal
frontal, frontal-parietal, and frontal-occipital ROIs. In contrast, FC between
ROIs in the parietal-temporal and occipital lobes was reduced in the 22q11DS
group relative to healthy controls. Moreover, positive psychotic symptoms were
positively associated with increased functional connections between the left
precuneus and right superior frontal gyrus, as well as reduced functional
connectivity between the bilateral pericalcarine. Positive symptoms were
negatively associated with increased functional connectivity between the right
pericalcarine and right postcentral gyrus. CONCLUSIONS: Our results suggest that
functional organization may be altered in 22q11DS, leading to disruption in
connectivity between frontal and other lobar substructures, and potentially
increasing risk for prodromal psychosis.
PMID- 29352811
TI - Single nucleotide polymorphisms in genes encoding penicillin-binding proteins in
beta-lactamase-negative ampicillin-resistant Haemophilus influenzae in Japan.
AB - OBJECTIVE: beta-Lactamase-negative ampicillin-resistant Haemophilus influenzae is
a common opportunistic pathogen of hospital- and community-acquired infections,
harboring multiple single nucleotide polymorphisms in the ftsI gene, which codes
for penicillin-binding protein-3. The objectives of this study were to perform
comprehensive genetic analyses of whole regions of the penicillin-binding
proteins in H. influenzae and to identify additional single nucleotide
polymorphisms related to antibiotic resistance, especially to ampicillin and
other cephalosporins. RESULTS: In this genome analysis of the ftsI gene in 27
strains of H. influenzae, 10 of 23 (43.5%) specimens of group III genotype beta
lactamase-negative ampicillin-resistant H. influenzae were paradoxically
classified as ampicillin-sensitive phenotypes. Unfortunately, we could not
identify any novel mutations that were significantly associated with ampicillin
minimum inhibitory concentrations in other regions of the penicillin-binding
proteins, and we reconfirmed that susceptibility to beta-lactam antibiotics was
mainly defined by previously reported SNPs in the ftsI gene. We should also
consider detailed changes in expression that lead to antibiotic resistance in the
future because the acquisition of resistance to antimicrobials can be predicted
by the expression levels of a small number of genes.
PMID- 29352810
TI - Ectopic expression of the apple nucleus-encoded thylakoid protein MdY3IP1
triggers early-flowering and enhanced salt-tolerance in Arabidopsis thaliana.
AB - BACKGROUND: The roles in photosystem I (PSI) assembly of the nucleus-encoded
thylakoid protein Y3IP1 who interacts with the plastid-encoded Ycf3 protein that
has been well-characterized in plants. However, its function and potential
mechanisms in other aspects remain poorly understood. RESULTS: We identified the
apple MdY3IP1 gene, which encodes a protein highly homologous to the Arabidopsis
Y3IP1 (AtY3IP1). Ectopic expression of MdY3IP1 triggered early-flowering and
enhanced salt tolerance in Arabidopsis plants. MdY3IP1 controlled floral
transition by accelerating sugar metabolism process in plant cells, thereby
influencing the expression of flowering-associated genes. The increase in salt
stress tolerance in MdY3IP1-expressing plants correlated with reduced reactive
oxygen species (ROS) accumulation, and an increase in lateral root development by
regulating both auxin biosynthesis and transport, as followed by enhancement of
salt tolerance in Arabidopsis. Overall, these findings provide new evidences for
additional functions of Y3IP1-like proteins and their underlying mechanisms of
which Y3IP1 confers early-flowering and salt tolerance phenotypes in plants.
CONCLUSIONS: These observations suggest that plant growth and stress resistance
can be affected by the regulation of the MdY3IP1 gene. Further molecular and
genetic approaches will accelerate our knowledge of MdY3IP1 functions in PSI
complex formation and plants stress resistance, and inform strategies for
creating transgenic crop varieties with early maturity and high-resistant to
adverse environmental conditions.
PMID- 29352813
TI - AGE AT MENARCHE AND SEXUAL DEBUT AMONG YOUNG FILIPINO WOMEN.
AB - There has been a secular decline in age at menarche since the 19th century. Early
maturing women are more likely to have their sexual debut at a younger age, which
in turn gives rise to a host of reproductive health and social problems. This
study used data from five waves of National Demographic and Health Surveys
conducted in the Philippines between 1993 and 2013 to examine the trends and
socioeconomic differentials in age at menarche and sexual debut. The changing
trend in age at menarche and sexual debut was examined across birth cohorts, and
logistic regressions were used to identify the determinants of early sexual
debut. In the Philippines, the mean and median ages at menarche declined from
13.2 years and 12.6 years, respectively, among young women born in 1973-1977, to
12.9 years and 12.3 years, respectively, among those born in 1993-1997. The
proportion who had their sexual debut by age 20 increased from 41.2% for the 1968
1972 birth cohort to 53.4% for the 1988-1992 birth cohort. Filipino women with
low education, from poor families and living in rural areas were more likely to
have earlier sexual debut despite attaining menarche at a later age as compared
with their higher educated counterparts, and those from wealthier families and
urban areas. Logistic regression analysis showed that, besides marital status,
women's education and age at menarche were important determinants of early sexual
debut. However, ethnicity, place of residence and family wealth had no
significant effects on age at menarche. An increasing proportion of young women
were found to be having unprotected sexual debut and at a younger age, with
health and social ramifications. Hence, apart from increasing the enrolment of
girls in schools and discouraging teenage marriage, there is a need for social
and health agencies to implement appropriate adolescent sexual and reproductive
health programmes such as counselling and educational campaigns, as well as
support services, to address sexual problems among the youth.
PMID- 29352812
TI - Usefulness of a visual aid in achieving optimal positioning for spinal
anesthesia: a randomized trial.
AB - BACKGROUND: Optimal patient positioning is perceived as an essential factor to
increase the success of performing neuraxial blockade. The primary objective of
this study was to evaluate the benefit of using a visual image in addition to
verbal instructions in order to optimize positioning for spinal block. METHODS:
This was a prospective randomized controlled trial on 85 adult patients
undergoing lower limb joint replacements at a tertiary academic hospital. Group
1(n = 43) randomized to receive standardized verbal instructions; Group 2 (n =
42) received standardized verbal instructions in conjunction with visual aids to
achieve positioning for spinal anesthesia. The primary outcome measure was the
time taken to successful dural puncture. Secondary endpoints were the number of
skin punctures, number of intervertebral levels attempted, success at the first
intervertebral space attempted and satisfaction of patients and
anesthesiologists. RESULTS: The unadjusted geometric mean time taken for the
procedure using verbal instruction alone was 301 s (95% CI: 236-385) compared to
183 s (95% CI: 143-235) when both verbal and visual instructions were used. Out
of the participants in group 2, 90% required <=2 skin punctures and 10% required
>=3 skin punctures compared to 65% and 35% of the participants in group 1
respectively (p = 0.001). Group 1 required a second anesthesiologist to
successfully complete the procedure in 6 patients out of 43 (14%) patients
whereas the first anesthesiologist was noted to be successful in all the 42 cases
in group 2 (p = 0.03). There were no significant differences in the satisfaction
scores of anesthesiologists or patients between the groups. First-pass success
was strongly associated with patient satisfaction (Odds ratio: 5.2; 95% CI: 1.0
9.5, p = 0.049). CONCLUSIONS: Use of a visual aid in addition to verbal
instructions to optimize positioning for a spinal block, significantly reduces
the time taken for the procedure by an average of 2 min, reduces the number of
skin punctures and increases the success rate of the first anesthesiologist.
First pass success was strongly associated with patient satisfaction. TRIAL
REGISTRATION: This study was retrospectively registered 30 August 2016, with the
Australian New Zealand Clinical trials registry (ACTRN12616001197426).
PMID- 29352814
TI - Does adherence to the World Cancer Research Fund/American Institute of Cancer
Research cancer prevention guidelines reduce risk of colorectal cancer in the UK
Women's Cohort Study?
AB - Evidence on adherence to diet-related cancer prevention guidelines and
associations with colorectal cancer (CRC) risk is limited and conflicting. The
aim of this cohort analysis is to evaluate associations between adherence to the
World Cancer Research Fund/American Institute of Cancer Research (WCRF/AICR) 2007
recommendations and incident CRC. The UK Women's Cohort Study comprises over 35
372 women who filled in a FFQ at baseline in 1995. They were followed up for CRC
incidence for a median of 17.4 years, an individual score linking adherence to
eight of the WCRF/AICR recommendations was constructed. Cox proportional hazards
regression provided hazard ratios (HR) and 95 % CI for the estimation of CRC
risk, adjusting for confounders. Following exclusions, 444 CRC cases were
identified. In the multivariate-adjusted model, women within the second and third
(highest) categories of the WRCF/AICR score had HR of 0.79 (95 % CI 0.62, 1.00)
and 0.73 (95 % CI 0.48, 1.10), respectively, for CRC compared with those in the
lowest, reference category. The overall linear trend across the categories was
not significant (P=0.17). No significant associations were observed between the
WCRF/AICR score and proximal colon, distal colon and rectal cancers separately.
Of the individual score components, a BMI within the normal weight range was
borderline significantly protective only for rectal cancer in the fully adjusted
model. In view of the likely different causes of CRC subtypes, further research
is needed to identify the optimal dietary patterns associated with reducing colon
and rectal cancer risk, respectively.
PMID- 29352816
TI - Under-reporting giardiasis; Time to consider the public health implications -
ERRATUM.
PMID- 29352817
TI - THE ASSOCIATION BETWEEN SEXUAL BEHAVIOURS AND INITIATION OF POST-SECONDARY
EDUCATION IN SOUTH AFRICA.
AB - Although young people in South Africa are growing up in an era where their
socioeconomic circumstances are seemingly better than those of their parents'
generation, they face greater risks in their trajectory to adulthood. This is
mainly because the environment in which they are making sexual decisions is also
rapidly evolving. Currently, South Africa has the highest prevalence of HIV and
AIDS in the world among young people aged 15-24. This study examined the effect
of sexual behaviours initiated in adolescence on enrolment in post-secondary
education. The analysis was conducted using data from the longitudinal Cape Area
Panel Study (CAPS, Waves 1-5) conducted in 2002-2009, which focused on young
people's sexual behaviours in Cape Town, South Africa. The sample was restricted
to 3213 individuals who reported sexual debut during adolescence. Using logistic
regression models fitted separately for males and females, the results revealed
that several factors acted as either hindrances or protective factors to
enrolment in post-secondary education. Early sexual debut (by age 17) was
negatively associated with participation in tertiary education. Other variables
that had a negative effect included not using contraception at first sex,
parenthood, engaging in risky behaviours such as illegal substance use, cigarette
smoking and drinking alcohol and neglect of school homework (doing less than an
hour a day). Higher levels of parental education (except for paternal education
in the female model), urban residence and higher aspirations and analogous
behaviours (studying) acted as protective factors and were positively associated
with post-secondary education initiation. The paper also points to the
relationship between early sexual debut and persistent socioeconomic inequality
and provides empirical evidence for re-thinking policy development and
implementation around schooling and sex education.
PMID- 29352818
TI - Validity and reliability of the Palliative Care Transition Measure for Caregivers
(PCTM-C).
AB - OBJECTIVE: Patients suffering from advanced disease face different care
transitions. The transition from acute to palliative care is challenging and may
lead to the discontinuity of care. Family caregivers become important sources of
information, as patients begin to experience difficulties in coping with
emotional transition events. The Care Transition Measure was developed to
evaluate care transitions as experienced by the elderly. It has never been used
in palliative care. The aim of this study was to test the validity and
reliability of a modified version of the Palliative Care Transition Measure,
specifically the Palliative Care Transition Measure for Caregivers (PCTM-C).
METHOD: The study included two main phases. Phase I focused on the construction
of a modified version of the Palliative Care Transition Measure through two focus
groups and by computing the content validity index. Phase II focused on testing
the psychometric properties of the PCTM-C on 272 family caregivers through
confirmatory factor analysis. Result The content validity index for each of the
items was higher than 0.80, whereas that for the scale was 0.95. The model tested
with confirmatory factor analysis fitted the data well and confirmed that the
transition measures referred to communication, integrated care and a trusting
relationship, and therefore the core dimensions of continuity according to
existing conceptual models. The internal consistency was high (Cronbach's alpha =
0.94). Significance of results The PCTM-C proved to be a suitable measure of the
quality of such transitions. It may be used in clinical practice as a continuity
quality indicator and has the potential to guide interventions to enhance family
caregivers' experience of care continuity.
PMID- 29352819
TI - Dietary fibre intake in relation to the risk of incident chronic kidney disease.
AB - The purpose of this study was primarily to evaluate the association of total
fibre intake with the risk of incident chronic kidney disease (CKD). We also
evaluated the association of dietary fibre from fruits, vegetables, cereals and
legumes with the incidence of CKD in a population-based prospective study. We
followed up 1630 participants of the Tehran Lipid and Glucose Study for 6.1
years, who were initially free of CKD. Baseline diet was assessed by a valid and
reliable FFQ. Estimated glomerular filtration rate (eGFR) was calculated, using
the Modification of Diet in Renal Disease Study equation, and CKD was defined as
eGFR <60 ml/min per 1.73 m2. OR using multivariable logistic regression was
reported for the association of incident CKD with tertiles of dietary fibre
intake. After adjustment for age, sex, smoking, total energy intake, physical
activity, diabetes and using angiotensin-converting-enzyme inhibitor, the OR for
subjects in the highest compared with the lowest tertile of total fibre intake
was 0.47 (95 % CI 0.27, 0.86). In addition, for every 5 g/d increase in total
fibre intake, the risk of incident CKD decreased by 11 %. After adjusting for
potential confounders, OR for participants in the highest compared with the
lowest tertile of fibre from vegetables was 0.63 (95 % CI 0.43, 0.93) and from
legumes it was 0.68 (95 % CI 0.47, 0.98). We observed inverse associations
between total fibre intake and risk of incident CKD, which demonstrate that high
fibre intake, mainly from legumes and vegetables, may reduce the occurrence of
CKD.
PMID- 29352820
TI - Concordance and predictors of concordance of children's dietary intake as
reported via ecological momentary assessment and 24 h recall.
AB - OBJECTIVE: To provide preliminary evidence in support of using ecological
momentary assessment (EMA), a real-time data capture method involving repeated
assessments, to measure dietary intake in children by examining the concordance
of children's dietary reports through EMA and 24 h recall. DESIGN: Children
completed eight days of EMA surveys, reporting on recent dietary intake of four
pre-specified food categories ('Fruits or Vegetables', 'Chips or Fries',
'Pastries or Sweets', 'Soda or Energy Drinks'), and completed two 24 h recalls
during the same period. Concordance of children's reports of intake during
matched two-hour time windows from EMA and 24 h dietary recall was assessed using
cross-tabulation. Multilevel logistic regression examined potential person-level
(i.e. sex, age, ethnicity and BMI category) predictors of concordance. SETTING:
Children in Los Angeles County, USA, enrolled in the Mothers' and Their
Children's Health (MATCH) study. SUBJECTS: One hundred and forty-four 144
children (53 % female; mean age 9.6 (sd 0.9) years; 34.0 % overweight/obese).
RESULTS: Two-hour concordance varied by food category, ranging from 64.9 % for
'Fruits/Vegetables' to 89.9 % for 'Soda/Energy Drinks'. In multilevel models,
overweight/obese (v. lean) was associated with greater odds (OR; 95 % CI) of
concordant reporting for 'Soda/Energy Drinks' (2.01; 1.06, 4.04) and
'Pastries/Sweets' (1.61; 1.03, 2.52). Odds of concordant reporting were higher
for Hispanic (v. non-Hispanic) children for 'Pastries/Sweets' (1.55; 1.02, 2.36)
and for girls (v. boys) for 'Fruits/Vegetables' (1.36; 1.01, 1.83). CONCLUSIONS:
Concordance differed by food category as well as by person-level characteristics.
Future research should continue to explore use of EMA to facilitate dietary
assessment in children.
PMID- 29352821
TI - A brief assessment unravels unmet needs of older people in primary care: a mixed
methods evaluation of the SPICE tool in Portugal.
AB - Assessments of need may contribute to identifying health problems associated with
functional deterioration in older people. A shorter version of the Camberwell
Assessment of Need for the Elderly was developed for routine use in primary care,
focusing on five domains: Senses, Physical ability, Incontinence, Cognition, and
Emotional distress (SPICE). We aimed to explore its usefulness and feasibility in
primary care. We selected a consecutive sample of 51 community-dwelling older
adults. The SPICE interview was completed by GPs and patients, with perceptions
about its use in primary care being explored. Needs were identified in 38
patients. Unmet needs corresponded to 7% of needs overall. 'Emotional distress'
was the most frequent unmet need. SPICE helped to identify undisclosed needs, was
well accepted and its importance in clinical evaluation recognised by GPs and
patients, despite concerns about time constraints. Facilitating strategies are
needed to improve the feasibility of these assessments in primary care.
PMID- 29352822
TI - Lower Respiratory Symptoms Associated With Environmental and Reconstruction
Exposures After Hurricane Sandy.
AB - OBJECTIVE: In a population with prior exposure to the World Trade Center (WTC)
disaster, this study sought to determine the relationship between Hurricane Sandy
related inhalation exposures and post-Sandy lower respiratory symptoms (LRS).
METHODS: Participants included 3835 WTC Health Registry enrollees who completed
Wave 3 (2011-2012) and Hurricane Sandy (2013) surveys. The Sandy-related
inhalational exposures examined were: (1) reconstruction exposure; (2) mold or
damp environment exposure; and (3) other respiratory irritants exposure. LRS were
defined as wheezing, persistent cough, or shortness of breath reported on >=1 of
the 30 days preceding survey completion. Associations between LRS and Sandy
exposures, controlling for socio-demographic factors, post-traumatic stress
disorder, and previously reported LRS and asthma were examined using multiple
logistic regression. RESULTS: Over one-third of participants (34.4%) reported
post-Sandy LRS. Each of the individual exposures was also independently
associated with post-Sandy LRS, each having approximately twice the odds of
having post-Sandy LRS. We found a dose-response relationship between the number
of types of Sandy-related exposures reported and post-Sandy LRS. CONCLUSIONS:
This study provides evidence that post-hurricane clean-up and reconstruction
exposures can increase the risk for LRS. Public health interventions should
emphasize the importance of safe remediation practices and recommend use of
personal protective equipment. (Disaster Med Public Health Preparedness.
2018;page 1 of 6).
PMID- 29352823
TI - Neurocognitive and Self-efficacy Benefits of Cognitive Remediation in
Schizophrenia: A Randomized Controlled Trial.
AB - OBJECTIVES: The aim of this study was to evaluate the impact of computer-assisted
"drill-and-strategy" cognitive remediation (CR) for community-dwelling
individuals with schizophrenia on cognition, everyday self-efficacy, and
independent living skills. METHODS: Fifty-six people with schizophrenia or
schizoaffective disorder were randomized into CR or computer game (CG) playing
(control), and offered twenty 1-hr individual sessions in a group setting over 10
weeks. Measures of cognition, psychopathology, self-efficacy, quality of life,
and independent living skills were conducted at baseline, end-group and 3 months
following intervention completion. RESULTS: Forty-three participants completed at
least 10 sessions and the end-group assessment. Linear mixed-effect analyses
among completers demonstrated a significant interaction effect for global
cognition favoring CR (p=.028). CR-related cognitive improvement was sustained at
3-months follow-up. At end-group, 17 (77%) CR completers showed a reliable
improvement in at least one cognitive domain. A significant time effect was
evident for self-efficacy (p=.028) with both groups improving over time, but no
significant interaction effect was observed. No significant effects were found
for other study outcomes, including the functional measure. CONCLUSIONS: Computer
assisted drill-and-strategy CR in schizophrenia improved cognitive test
performance, while participation in both CR and CG playing promoted enhancements
in everyday self-efficacy. Changes in independent living skills did not appear to
result from CR, however. Adjunctive psychosocial rehabilitation is likely
necessary for improvements in real-world community functioning to be achieved.
(JINS, 2018, 24, 549-562).
PMID- 29352824
TI - Evaluating the Effect of a Clostridium difficile Infection Prevention Initiative
in Veterans Health Administration Long-Term Care Facilities.
AB - We evaluated rates of clinically confirmed long-term-care facility-onset
Clostridium difficile infections from April 2014 through December 2016 in 132
Veterans Affairs facilities after the implementation of a prevention initiative.
The quarterly pooled rate decreased 36.1% from the baseline (P<.0009 for trend)
by the end of the analysis period. Infect Control Hosp Epidemiol 2018;39:343-345.
PMID- 29352825
TI - Safety of Electro-Medical Equipment in Floods in Austere Environment.
AB - Pakistan is prone to floods. In 2010, floods in North-Western Pakistan caused
devastation in wide areas. Electro-medical equipment is very expensive and
without proper equipment adequate surgery is not possible. We retrospectively
analyzed how expensive electro-medical equipment got damaged during floods of
2010 at a district-level hospital in North-Western Pakistan and how we could have
saved this equipment or reduced the damage to the minimum. The article provides
the lessons learned and recommendations aimed to prevent or minimize damage to
the valuable and expensive equipment in the areas prone to floods. (Disaster Med
Public Health Preparedness. 2018;page 1 of 3).
PMID- 29352826
TI - The in vitro antileishmanial activity of essential oil from Aloysia gratissima
and guaiol, its major sesquiterpene against Leishmania amazonensis.
AB - Leishmaniases is a tropical disease caused by protozoa of the genus Leishmania
for which the current treatment is expensive, besides increasing reports of
parasite resistance. This study investigated the anti-Leishmania amazonensis
activity of the essential oil from Aloysia gratissima (AgEO) and guaiol, the
major sesquiterpene constituent in the oil. Our results showed that AgEO killed
promastigotes and intracellular amastigotes at an IC50 of 25 and 0.16 ug mL-1,
respectively, while guaiol killed amastigotes at an IC50 of 0.01 ug mL-1. Both
AgEO and guaiol were safe for macrophages up to 100 ug mL-1, as evaluated by the
dehydrogenase activity, membrane integrity and phagocytic capacity. AgEO and
guaiol did not induce nitrite oxide (NO) in resting macrophages and inhibited the
production of NO in lipopolysaccharide-stimulated macrophages. The
ultrastructural analysis suggested that AgEO and guaiol act directly on
parasites, affecting promastigotes kinetoplast, mitochondrial matrix and plasma
membrane. Together, these results pointed out that AgEO and guaiol could be
promising candidates to develop anti-Leishmania drugs.
PMID- 29352827
TI - Political terrorism and affective polarization in "black" and "red" terrorists in
Italy during the years 1968-1988.
AB - Psychiatric evaluations of violent political crime were mostly performed on a
case-by-case basis in a forensic environment, which made them unduly dependent on
categories of presumed dangerousness and legal responsibility, rather than on a
clinical definition of their mental status. In referring to such "clinical"
definitions, the disorder we have in mind is not limited to the major, agitated
psychotic manias or mixed states. The presence of a dominant temperament, or
protracted hypomania, is enough by itself to explain an individual's engagement
in a wide range of activities, not necessarily sociopathic or violent. We put
forward the hypothesis that formal and transpolitical radical choices, either in
favor of an illegal lifestyle or of activities involving a high level of risk,
may be linked with certain mental states, especially when considering small
clandestine groups showing a high level of internal ideological consensus, and a
no-return attitude toward a commitment to radical choices. Available data about
the psycho(patho)logical profile of terrorists are still hard to come by. The
only available studies are those on identified living terrorists (judging by the
trials of those who personally admitted to having been terrorists), and
statistical data imply a number of documented cases belonging to the same
terrorist organization. In Italy, the period often called the "years of lead
[bullets]" displays an interesting viewpoint for the study of terrorist
psychology, for two main reasons: first of all, it is a historically defined
period (1968-1988), and second, the number of ascertained participants in
terrorist activities was quite large.
PMID- 29352828
TI - Sensitivity of fatty acid desaturation and elongation to plasma zinc
concentration: a randomised controlled trial in Beninese children.
AB - Zn status may affect fatty acid (FA) metabolism because it acts as a cofactor in
FA desaturase and elongase enzymes. Zn supplementation affects the FA desaturases
of Zn-deficient rats, but whether this occurs in humans is unclear. We evaluated
the associations between baseline plasma Zn (PZn) concentration and plasma total
phospholipid FA composition, as well as the effect of daily consumption of Zn
fortified water on FA status in Beninese children. A 20-week, double-blind
randomised controlled trial was conducted in 186 school age children. The
children were randomly assigned to receive a daily portion of Zn-fortified,
filtered water delivering on average 2.8 mg Zn/d or non-fortified filtered water.
Plasma total phospholipid FA composition was determined using capillary GLC and
PZn concentrations by atomic absorption spectrometry. At baseline, PZn correlated
positively with dihomo-gamma-linolenic acid (DGLA, r 0.182; P=0.024) and the
DGLA:linoleic acid (LA) ratio (r 0.293; P<0.000), and negatively with LA (r
0.211; P=0.009) and the arachidonic acid:DGLA ratio (r -0.170; P=0.036). With the
intervention, Zn fortification increased nervonic acid (B: 0.109; 95 % CI 0.001,
0.218) in all children (n 186) and more so in children who were Zn-deficient (n
60) at baseline (B: 0.230; 95 % CI 0.023, 0.488). In conclusion, in this study,
Zn-fortified filtered water prevented the reduction of nervonic acid composition
in the plasma total phospholipids of children, and this effect was stronger in Zn
deficient children. Thus, Zn status may play an important role in FA desaturation
and/or elongation.
PMID- 29352829
TI - The WHO recommendation of home fortification of foods with multiple-micronutrient
powders in children under 2 years of age and its effectiveness on anaemia and
weight: a pragmatic cluster-randomized controlled trial.
AB - OBJECTIVE: To assess under real community settings the effectiveness of the WHO
strategy of home fortification of foods (HFF) with multiple-micronutrient powders
on Hb change, anaemia and weight in children. DESIGN: A pragmatic cluster
randomized controlled trial. SETTING: Forty villages in the Nioro Circle in Mali
and 722 children aged 6-23 months were randomized to the intervention or control
group. The intervention consisted of a daily dose of multiple-micronutrient
powder for 3 months; in the control group, no supplement was given. In both
groups, mothers received group education on child complementary feeding. Changes
in weight, Hb concentration and anaemia were assessed as primary outcomes at
baseline and 3 months. The HFF effect was determined using regression analyses
and quantile regression with standard errors taking account of the cluster
design. SUBJECTS: Children aged 6-23 months. RESULTS: Overall prevalence of
anaemia in the sample was high: 90 %. HFF provided a modest but statistically
significant Hb change v. no intervention (0.50 v. 0.09 g/dl, P=0.023). Prevalence
of anaemia changed little: 91.3-85.8 % (P=0.04) in the intervention group v. 88.1
87.5 % % (P=0.86) in the control group. Proportion of severe anaemia was reduced
by 84 % (from 9.8 to 1.6 %) in the intervention group, but increased in the
control group (from 8.5 to 10.8 %). No effect was observed on weight.
CONCLUSIONS: The WHO HFF strategy to fight anaemia showed a modest change on Hb
concentration and significantly reduced the rate of severe anaemia.
PMID- 29352830
TI - Schistosoma mansoni and endocarditis: from egg to free DNA detection in Egyptian
patients and infected BALB/c mice.
AB - With the growing incidence of closed schistosomiasis and uncommon presentations,
there is a risk of the infection rate being underestimated. A study in Japan
reported an unexplained case of endocarditis that was finally diagnosed as a
complex Schistosoma japonicum infection; in the absence of advanced techniques,
the diagnosis was delayed. We therefore set out to explore the incidence of
Schistosoma mansoni in endocarditis patients coming from areas of Egypt where S.
mansoni is endemic. We also investigated histopathological changes in the cardiac
valves and the presence of cell-free parasite DNA (CFPD) in cardiac tissues of
laboratory mice infected with S. mansoni. The study included 186 patients with
the manifestations of infective endocarditis. Eggs were detected in the stool
samples of 5.91% of patients. Seropositivity was reported in 23.66% of patients
and antigen was detected in the urine samples of 10.21%. Using real-time
polymerase chain reaction (PCR), CFPD was detected in the blood of 6.98% of the
endocarditis patients and 95% of the infected mice, while the cardiac samples of
45% of the mice tested positive for CFPD (means +/- SD = 1390.2 +/- 283.65,
2158.72 +/- 1103.1 and 5.71 +/- 2.91, respectively). Histopathological
examination revealed abnormal collagen deposition, inflammatory cells and
haemorrhagic pigmentation in the heart sections. Despite the low incidence of S.
mansoni infection in the studied cohort, the presence of CFPD in the cardiac
tissue of infected mice makes it necessary to: (1) investigate the hazards of
CFPD deposition in endothelium-rich organs; and (2) test the potential of CFPD to
trigger tissue inflammation, abnormal proliferation or genome integration.
PMID- 29352831
TI - Associations between adherence to the Danish Food-Based Dietary Guidelines and
cardiometabolic risk factors in a Danish adult population: the DIPI study.
AB - Diet is recognised as one modifiable lifestyle factor for ischaemic heart disease
(IHD). We aimed at investigating the associations between adherence to the Danish
Food-Based Dietary Guidelines (FBDG) indicated by a Dietary Quality Index (DQI)
and selected cardiometabolic risk factors in a cross-sectional study with 219
Danish adult participants (59 %women; age 31-65years) with a minimum of one self
rated risk marker of IHD. Information regarding diet was obtained using web-based
dietary assessment software and adherence to the Danish FBDG was expressed by a
DQI calculated from 5 food and nutrient indicators (whole grain, fish, fruit and
vegetables, energy from saturated fat and from added sugar). Background
information, blood samples and anthropometrics were collected and blood pressure
was measured. Linear regression analyses were used to evaluate the association
between DQI and cardiometabolic risk factors. DQI was inversely associated with
LDL:HDL ratio and TAG (-0.089 per unit; 95 % CI -0.177, -0.002 and -5 % per unit;
95 % CI -9, 0, respectively) and positively associated with HDL-cholesterol
(0.047 mmol/l per unit; 95 % CI 0.007, 0.088). For men, DQI was inversely
associated with BMI (-3 %per unit; 95 % CI -5, -1), trunk fat (-1 % per unit; 95
% CI -2, -1), high-sensitivity C-reactive protein (-30 % per unit; 95 % CI -41,
16 %), HbA1c (-0.09 % per unit; 95 % CI -0.14, -0.04), insulin (-13 % per unit;
95 % CI -19, -7) and homoeostatic model assessment-insulin resistance (-14 % per
unit; 95 % CI -21, -7). In women, DQI was positively associated with systolic
blood pressure (2.6 mmHg per unit; 95 % CI 0.6, 4.6). In conclusion, higher
adherence to the current Danish FBDG was associated with a more beneficial
cardiometabolic risk profile in a Danish adult population with a minimum of one
self-rated risk factor for IHD.
PMID- 29352832
TI - "You either need help...you feel you don't need help...or you don't feel worthy
of asking for it:" Receptivity to bereavement support.
AB - OBJECTIVE: Although the needs of the bereaved have been identified widely in the
literature, how these needs translate into meaningful, appropriate, and client
centered programs needs further exploration. The application of receptivity to
support is a critical factor in participation by the bereaved in palliative care
bereavement programs. Receptivity is a complex multifactorial phenomenon
influenced by internal and external factors that ultimately influences engagement
in psychosocial support in bereavement. This study explored factors that
influence receptivity to bereavement support from palliative care services in
rural, regional, and remote Western Australia. METHOD: The study comprised a
qualitative descriptive research design using semistructured interviews with 24
bereaved individuals, nine palliative care health professionals, and four
Aboriginal Health Professionals. Participants were recruited via palliative care
services in country Western Australia. Interviews were transcribed verbatim and
thematically analyzed. Result Findings revealed that a range of individual,
social, and geographical factors influence receptivity to bereavement support and
can impact on utilization of bereavement support services. Significance of
results Receptivity provides a frame of reference to enhance understanding of
factors influencing engagement in psychosocial support in bereavement.
Receptivity promotes a shift of service provider perspectives of effective
supportive care to consumer-centric reasons for engagement.
PMID- 29352833
TI - Consuming sustainable seafood: guidelines, recommendations and realities.
AB - OBJECTIVE: Encouraging people to eat more seafood can offer a direct, cost
effective way of improving overall health outcomes. However, dietary
recommendations to increase seafood consumption have been criticised following
concern over the capacity of the seafood industry to meet increased demand, while
maintaining sustainable fish stocks. The current research sought to investigate
Australian accredited practising dietitians' (APD) and public health
nutritionists' (PHN) views on seafood sustainability and their dietary
recommendations, to identify ways to better align nutrition and sustainability
goals. DESIGN: A self-administered online questionnaire exploring seafood
consumption advice, perceptions of seafood sustainability and information sources
of APD and PHN. Qualitative and quantitative data were collected via open and
closed questions. Quantitative data were analysed with chi 2 tests and reported
using descriptive statistics. Content analysis was used for qualitative data.
SETTING: Australia. SUBJECTS: APD and PHN were targeted to participate; the
sample includes respondents from urban and regional areas throughout Australia.
RESULTS: Results indicate confusion around the concept of seafood sustainability
and where to obtain information, which may limit health professionals' ability to
recommend the best types of seafood to maximise health and sustainability
outcomes. Respondents demonstrated limited understanding of seafood
sustainability, with 7.5 % (n 6/80) satisfied with their level of understanding.
CONCLUSIONS: Nutrition and sustainability goals can be better aligned by
increasing awareness on seafood that is healthy and sustainable. For health
professionals to confidently make recommendations, or identify trade-offs, more
evidence-based information needs to be made accessible through forums such as
dietetic organisations, industry groups and nutrition programmes.
PMID- 29352834
TI - Confidence in communicating with patients with cancer mediates the relationship
between rehabilitation therapists' autistic-like traits and perceived difficulty
in communication.
AB - OBJECTIVE: Recently, rehabilitation therapists have become involved in cancer
rehabilitation; however, no communication skills training that increases the
ability to provide emotional support for cancer patients has been developed for
rehabilitation therapists. In addition, no study has examined associations
between rehabilitation therapists' communication skills and their level of
autistic-like traits (ALT), which are in-born characteristics including specific
communication styles and difficulty communicating with patients. In this study,
we aimed to investigate whether confidence in communicating with patients
mitigates communication difficulties experienced by rehabilitation therapists who
have high levels of ALT. METHOD: Rehabilitation therapists who treat patients
with cancer completed self-administered postal questionnaires anonymously. Scores
were obtained on the Autism-Spectrum Quotient short form, confidence in
communication, and communication difficulties. We used covariance structure
analyses to test hypothetical models, and confirmed that confidence in
communication mediates the relationship between ALT and perceived communication
difficulties. RESULTS: Participants included 1,343 respondents (49.6%). Autism
Spectrum Quotient scores were positively correlated with communication
difficulties (r = 0.16, p < 0.001). The correlation was mitigated by confidence
in communication in the fit model. However, higher confidence in creating a
supportive atmosphere was associated with more difficulty in communication (r =
0.16, p < 0.001). Significance of results Communication difficulty was linked to
rehabilitation therapists' ALTs. By increasing confidence in areas of
communication other than creation of a supportive atmosphere, ALT-related
difficulties in communication may be ameliorated. Confidence to create supportive
environments correlated positively with difficulty. Communication skills training
to increase confidence in communication for rehabilitation therapists should be
developed with vigilance regarding ALT levels.
PMID- 29352835
TI - A Gap Analysis Survey of US Aircraft Rescue and Fire Fighting (ARFF) Members to
Determine Highly Infectious Disease Training and Education Needs.
AB - OBJECTIVE: Despite lessons learned from the recent Ebola epidemic, attempts to
survey and determine non-health care worker, industry-specific needs to address
highly infectious diseases have been minimal. The aircraft rescue and fire
fighting (ARFF) industry is often overlooked in highly infectious disease
training and education, even though it is critical to their field due to elevated
occupational exposure risk during their operations. METHODS: A 44-question gap
analysis survey was distributed to the ARFF Working Group to determine where
highly infectious education and training can be improved. In total, N=245
responses were initiated and collected. Descriptive statistics were generated
utilizing Qualtrics Software Version 2016.17(c). RESULTS: Supervisors perceived
Frontline respondents to be more willing and comfortable to encounter potential
highly infectious disease scenarios than the Frontline indicated. More than one
third of respondents incorrectly marked transmission routes of viral hemorrhagic
fevers. There were discrepancies in self-reports on the existence of highly
infectious disease orientation and skills demonstration, employee resources, and
personal protective equipment policies, with a range of 7.5%-24.0% more
Supervisors than Frontline respondents marking activities as conducted.
CONCLUSIONS: There are deficits in highly infectious disease knowledge, skills,
and abilities among ARFF members that must be addressed to enhance member safety,
health, and well-being. (Disaster Med Public Health Preparedness. 2018;page 1 of
5).
PMID- 29352836
TI - Implementation of the integrated palliative care outcome scale in acute care
settings - a feasibility study.
AB - OBJECTIVE: Although hospitals have been described as inadequate place for end-of
life care, many deaths still occur in hospital settings. Although patient
reported outcome measures have shown positive effects for patients in need of
palliative care, little is known about how to implement them. We aimed to explore
the feasibility of a pilot version of an implementation strategy for the
Integrated Palliative care Outcome Scale (IPOS) in acute care settings. METHOD: A
strategy, including information, training, and facilitation to support the use of
IPOS, was developed and carried out at three acute care units. For an even
broader understanding of the strategy, it was also tested at a palliative care
unit. A process evaluation was conducted including collecting quantitative data
and performing interviews with healthcare professionals. Result Factors related
to the design and performance of the strategy and the context contributed to the
results. The prevalence of completed IPOS in the patient's records varied from 6%
to 44% in the acute care settings. At the palliative care unit, the prevalence in
the inpatient unit was 53% and the specialized home care team 35%. The
qualitative results showed opposing perspectives concerning the training
provided: Related to everyday work at the acute care units and Nothing in it for
us at the palliative care unit. In the acute care settings, A need for an
improved culture regarding palliative care was identified. A context
characterized by A constantly increasing workload, a feeling of Constantly on
going changes, and a feeling of Change fatigue were found at all units.
Furthermore, the internal facilitators and the nurse managers' involvement in the
implementation differed between the units. Significance of the results The
feasibility of the strategy in our study is considered to be questionable and the
components need to be further explored to enhance the impact of the strategy and
thereby improve the use of IPOS.
PMID- 29352837
TI - Socio-economic determinants of vitamin D deficiency in the older Polish
population: results from the PolSenior study.
AB - OBJECTIVE: Numerous studies have reported an association between vitamin D (25
hydroxyvitamin D; 25(OH)D) deficiency and low economic status, lower educational
level, drugs exposure, smoking and reduced physical activity. Our study analysed
the association between sociodemographic factors and 25(OH)D status in Polish
(Caucasian) seniors. DESIGN: Cross-sectional study (part of the PolSenior study).
Serum 25(OH)D concentration was measured by a solid-phase ELISA method; a
standardized questionnaire evaluated educational level, economic status, alcohol
consumption, current or past cigarette smoking, physical activity, activities of
daily living (ADL) and instrumental activities of daily living. SETTING:
Community-dwelling randomly selected individuals aged 65 years or older, selected
using three-stage stratified, proportional draw. SUBJECTS: Seniors (n 3472; 1658
women and 1814 men). RESULTS: Mean serum 25(OH)D concentration was 20.5 (sd 9.6)
ng/ml. Values below the recommended level (30 ng/ml) were detected in 82.8 % of
men and 90.4 % of women. Regression analysis revealed that the difference between
sexes was associated with decreased walking activity in women, probably resulting
in less sunlight exposure. There was a positive association between any
disability in ADL and the presence of vitamin D deficiency/insufficiency. In the
sex-adjusted analysis, older age, alcohol abstinence and lack of cycling and long
distance walking were explanatory variables for vitamin D deficiency.
CONCLUSIONS: Vitamin D deficiency/insufficiency is frequent in the older Polish
population and associated with functional disability and impaired mobility of
seniors.
PMID- 29352838
TI - Reduction or cessation of antiviral agents in hepatitis B virus carriers treated
with biologic agents.
PMID- 29352839
TI - The new frontier of imaging: the micron.
PMID- 29352840
TI - Serum aminoacyl-tRNA synthetase-interacting multifunctional protein-1 (AIMP1), a
novel disease activity predictive biomarker of systemic lupus erythematosus.
AB - OBJECTIVES: Secreted aminoacyl-tRNA synthetase-interacting multifunctional
protein-1 (AIMP1) has been reported to have pro-inflammatory properties. The aim
of this study was to evaluate the clinical significance of serum AIMP1 in
patients with systemic lupus erythematosus (SLE). METHODS: Serum levels of AIMP1
were measured in 160 patients with SLE using a human AIMP1 ELISA kit. Eighty
patients were classified as active SLE (SLEDAI-2K >= 5), and 80 patients were
classified as stable SLE. Correlation between serum AIMP1, SLE disease activity
index-2000 (SLEDAI-2K), and laboratory variables related to disease activity or
inflammatory burdens were assessed using Pearson's correlation analysis. The
optimal cut-off value for serum AIMP1 to predict active SLE was estimated by
using a receiver operator characteristic curve, and logistic regression analysis
was used to compare the odds ratios (ORs) of laboratory variables in predicting
active SLE. RESULTS: The median serum AIMP1 was higher in patients with active
SLE than those with stable SLE (8.0 vs. 6.5 ng/ml, p<0.001). Serum AIMP1
demonstrated correlation with SLEDAI-2K and laboratory variables related to
disease activity or inflammatory burdens. The optimal cut-off AIMP1 to predict
active SLE was 10.09. Multivariate logistic regression analysis including
conventional laboratory variables demonstrated that serum AIMP1 >=10.09 ng/ml (OR
3.919, 95% confidence interval 1.223-12.564, p=0.022) was useful in predicting
active SLE. CONCLUSIONS: Serum levels of AIMP1 were associated with disease
activity of SLE and could predict active SLE based on SLEDAI-2K.
PMID- 29352841
TI - Predict rheumatoid arthritis conversion from undifferentiated arthritis with
dynamic contrast-enhanced MRI and laboratory indexes.
AB - OBJECTIVES: To investigate the clinical value of dynamic contrast-enhanced MRI
(DCE-MRI) and laboratory indexes in predicting conversion from undifferentiated
arthritis (UA) to rheumatoid arthritis (RA). METHODS: A total 81 DMARD-naive UA
patients were studied. 37 cases were ultimately diagnosed as RA, 32 cases were
diagnosed as other types of arthritis, and the remaining cases were still UA
during the 1-year follow-up. The DCE-MRI and laboratory measures were fed into a
logistic regression analysis. RESULTS: Wash-in rate and anti-cyclic citrullinated
peptide (anti-CCP) antibody served as the final variables into the regression
equation (p<0.05). The area under the ROC curve of wash-in rate was 0.966. With
optimal cut-off point 29.84 s-1, wash-in rate achieved a sensitivity of 94.6% and
specificity of 88.6% for predicting RA conversion from UA; anti-CCP antibody
positive achieved a sensitivity of 37.8% and specificity of 90.9%. The
combination of wash-in rate and anti-CCP antibody positive improved specificity
(100%) but not sensitivity (27.3%). CONCLUSIONS: The conversion from UA to RA is
highly predictable. The wash-in rate of DCE-MRI can be used as an important
biomarker to predict UA progression.
PMID- 29352842
TI - Amylin in the insulin resistance of patients with rheumatoid arthritis.
AB - OBJECTIVES: Amylin, which is co-secreted with insulin, plays a role in glycemic
regulation and is impaired in type 2 diabetes. In the present study we assess,
for the first time, the implication of amylin in the development of insulin
resistance (IR) in rheumatoid arthritis (RA). METHODS: This was a cross-sectional
study involving 361 non-diabetic individuals, 151 patients with RA and 210 sex
matched controls. Insulin, C-peptide, amylin, lipoprotein serum concentrations,
and IR indexes by homeostatic model assessment (HOMA2) were evaluated in patients
and controls. A multivariable analysis, adjusted for IR-related factors, was
performed to determine the differences between patients and controls vis-a-vis
amylin and how it is related to IR in RA. RESULTS: Insulin, C-peptide and HOMA2
IR indexes were higher in RA patients than in controls. Amylin serum levels were
found to be upregulated in RA patients compared to controls (1.36 +/- 0.81 vs.
1.79 +/- 1.51 ng/ml, p=0.011), although this difference was lost after adjusting
for covariates (p=0.46). While amylin positively correlated with the presence of
rheumatoid factor (beta coef. 0.90 [95%CI -0.23-1.56], p=0.009) and SDAI (beta
coef 0.01 [95%CI 0.00-0.03], p=0.034), no significant association with other
disease activity scores, glucocorticoid intake, methotrexate use or TNF-alpha
inhibitors was found. CONCLUSIONS: IR in RA does not appear to be mediated by
amylin. This would imply that the mechanisms associated with IR in RA patients
differ from those at work in type 2 diabetes.
PMID- 29352843
TI - Tocilizumab after a first-line with anti-TNF in rheumatoid arthritis: a cost
consequence analysis in the Italian setting.
AB - OBJECTIVES: Switching to a different mechanism of action in rheumatoid arthritis
(RA) patients after a first anti-TNF-alpha has proved to be effective. The
objective of this study was a health economic assessment in Italy. METHODS: The
study was conducted using a pharmacoeconomic model with a 3-year time horizon.
Effectiveness was measured as days gained in low disease activity (LDA; DAS28-ESR
<3.2) or in remission (DAS28-ESR <2.6). The model simulated the response to
treatments, based on the Rotation Or Change (ROC) trial, the probability of
discontinuation and switch to a 3rd-line biologic, and the transition to death.
Time on treatment curves for 2nd-line biologics were derived from published
Italian real-word data. Costs were estimated based on published sources and
Italian prices and tariffs. RESULTS: The switch to tocilizumab after the failure
of a first anti-TNF-alpha was more effective than a second anti-TNF-alpha, in
terms of days in remission (224 vs. 114 days) and of days in LDA (345 vs. 193
days). The cost-consequence ratio with tocilizumab iv was 174 euros/day in
remission and 113 euros/day in LDA. With tocilizumab sc the ratio was 181
euros/day in remission and 117 euros/day in LDA. The same ratios for the anti-TNF
alpha treatments ranged from 233 to Euro 320 euros per day in remission and from
138 to 190 euros per day in LDA. CONCLUSIONS: The switch to a different mechanism
of action, namely tocilizumab, after the failure of a first anti-TNF-alpha agent
seems a rational strategy for RA patients in the Italian setting.
PMID- 29352844
TI - Hypoalbuminaemia in antineutrophil cytoplasmic antibody-associated vasculitis:
incidence and significance.
AB - OBJECTIVES: Hypoalbuminaemia has been proved to be a biomarker of poor prognosis
in many diseases. The objective of this study was to investigate the significance
of hypoalbuminaemia in antineutrophil cytoplasmic antibody (ANCA)-associated
vasculitis (AAV). METHODS: Data of 117 AAV patients were analysed
retrospectively. The relationship between hypoalbuminaemia and disease severity
were studied. The influence of albumin on the pathogenetic role of ANCA was
investigated in vitro. RESULTS: Among all patients, 52 had light hypoalbuminaemia
(30g/L<=albumin<35g/L) and 40 had nephrotic hypoalbuminaemia (albumin <30g/L).
Patients with hypoalbuminaemia had higher inflammation levels and more severe
kidney injury than patients without hypoalbuminaemia, but no significant
difference of the urinary protein levels were found between patients with
nephrotic and light hypoalbuminaemia. Multivariate analysis showed serum albumin
correlated with age (r=-0.566, p=0.018), C-reactive protein (r=-0.521, p=0.032)
and haemoglobin (r=0.512, p=0.036). Patients with nephrotic hypoalbuminaemia had
higher incidence of infection, end stage renal disease and all cause mortality
during treatment than patients with light hypoalbuminaemia or normal serum
albumin. In vitro study indicated albumin could inhibit the binding between ANCA
and neutrophils in a concentration dependent manner. Albumin also inhibited the
ANCA-induced respiratory burst and neutrophil extracellular traps formation.
CONCLUSIONS: Serum albumin have an inhibitory effect on the binding between ANCA
and its antigen. The incidence of hypoalbuminaemia in AAV with kidney involvement
is high but is not caused by heavy proteinuria. Hypoalbuminaemia is correlated
with the high inflammation level and poor prognosis of AAV. Therapy targeting
hypoalbuminaemia might benefit patients with AAV.
PMID- 29352845
TI - Rapidly progressive glomerulonephritis caused by overlap syndrome of IgG4-related
tubulointerstitial nephritis and myeloperoxidase-antineutrophil cytoplasmic
antibody-associated necrotising glomerulonephritis.
PMID- 29352846
TI - The Janus kinase inhibitor tofacitinib inhibits TNF-alpha-induced gliostatin
expression in rheumatoid fibroblast-like synoviocytes.
AB - OBJECTIVES: Gliostatin (GLS) is known to have angiogenic and arthritogenic
activity, and GLS expression levels in serum from patients with rheumatoid
arthritis (RA) are significantly correlated with the disease activity.
Tofacitinib is a novel oral Janus kinase (JAK) inhibitor and is effective in
treating RA. However, the mechanism of action of tofacitinib in fibroblast-like
synoviocytes (FLSs) has not been elucidated. The purpose of this study was to
investigate the modulatory effects of tofacitinib on serum GLS levels in patients
with RA and GLS production in FLSs derived from patients with RA. METHODS: Six
patients with RA who had failed therapy with at least one TNF inhibitor and were
receiving tofacitinib therapy were included in the study. Serum samples were
collected to measure CRP, MMP-3 and GLS expression. FLSs derived from patients
with RA were cultured and stimulated by TNFalpha with or without tofacitinib. GLS
expression levels were determined using reverse transcription-polymerase chain
reaction (RT-PCR), EIA and immunocytochemistry, and signal transducer and
activator of transcription (STAT) protein phosphorylation levels were determined
by western blotting. RESULTS: Treatment with tofacitinib decreased serum GLS
levels in all patients. GLS mRNA and protein expression levels were significantly
increased by treatment with TNF-alpha alone, and these increases were suppressed
by treatment with tofacitinib, which also inhibited TNF-alpha-induced STAT1
phosphorylation. CONCLUSIONS: JAK/STAT activation plays a pivotal role in TNF
alpha-mediated GLS up-regulation in RA. Suppression of GLS expression in FLSs has
been suggested to be one of the mechanisms through which tofacitinib exerts its
anti-inflammatory effects.
PMID- 29352847
TI - Switching to biosimilar infliximab: real world data in patients with severe
inflammatory arthritis.
PMID- 29352848
TI - Association between perceived level of stress, clinical characteristics and
psychopathological symptoms in women with systemic lupus erythematosus.
AB - OBJECTIVES: To evaluate psychopathological status and stress level from a sample
with SLE; compare mental functioning and stress levels between women with SLE and
healthy women; determine whether disease duration, disease activity, cumulative
organ damage and stress have an influence on psychopathological symptoms in SLE
patients; and evaluate whether perception of stress is related to SLE severity.
METHODS: We conducted a cross-sectional study of 425 participants; 202 women with
SLE, with an average age (SD) of 36.61 (10.15), and 223 healthy women, with age
matched controls. The assessment included the clinical characteristics (disease
duration, SLE activity, cumulative organ damage, pharmacotherapy), the Symptom
Checklist-90-Revised (SCL-90-R) and the Perceived Stress Scale. Descriptive,
comparative, univariate and multivariate analysis were performed. RESULTS: SLE
patients showed psychopathological alterations in the somatisation, obsessive
compulsive and positive discomfort subscales of SCL-90-R. Women with SLE reported
significantly higher scores on the psychopathological dimensions and perceived
stress compared to healthy women, except for paranoid ideation. Disease duration,
SLE activity, cumulative organ damage, and perceived stress were shown to be
significant predictors of psychopathological manifestations, explaining a range,
between 20 and 43%, of variance across SCL-90-R dimensions. Moreover, perceived
stress was related to SLE activity, after controlling for psychopathological
dimensions. CONCLUSIONS: The psychopathological manifestations in SLE appeared to
be influenced by perceived stress, disease duration, disease activity and
cumulative organ damage. In turn, perceived stress was associated with disease
severity. This knowledge may contribute to a more comprehensive perspective of
these manifestations in the SLE population in the clinical setting.
PMID- 29352849
TI - Impact of vasculitis on employment and income.
AB - OBJECTIVES: Work disability associated with rheumatic diseases accounts for a
substantial financial burden. However, few studies have investigated disability
among patients with vasculitis. The purpose of this study was to examine the
impact of vasculitis on patient employment and income. METHODS: Patients enrolled
in the Vasculitis Clinical Research Consortium (VCRC) Patient Contact Registry,
living in the USA or Canada, and followed for >1 year post-diagnosis,
participated in an online survey-based study. RESULTS: 421 patients with
different systemic vasculitides completed the survey between June and December
2015. The majority of patients were female (70%) and Caucasian (90%);
granulomatosis with polyangiitis (GPA) was the most common type of vasculitis
(49%), and the mean age at the time of diagnosis was 53 years. At the time of
their diagnosis of vasculitis 76% of patients were working a paid job, 6% were
retired, and 2% were on disability. Over the course of their disease, and with a
mean follow-up of 8+/-6.4 years post-diagnosis, 26% of participants became
permanently work disabled or had to retire early due to vasculitis. Variables
that were independently associated with permanent work disability included work
physicality, less supportive work environment, and symptoms such as respiratory
disease, pain, and cognitive impairment. Overall, patients reported a mean
productivity loss of 6.9% and income was reduced by a median of 45%. CONCLUSIONS:
Due to their vasculitis, patients frequently suffer substantial limitations in
work and productivity, and personal income loss.
PMID- 29352850
TI - Ultrasound to detect involvement of vertebral artery in giant cell arteritis.
PMID- 29352851
TI - Prostate cancer-associated polyarteritis nodosa: improvement of clinical
manifestations after prostatectomy.
PMID- 29352852
TI - Associations between the SLC22A12 gene and gout susceptibility: a meta-analysis.
AB - OBJECTIVES: The aim of this study is to explore whether the polymorphisms of
rs475688 and rs3825016 in the solute carrier family 22 member 12 (SLC22A12) gene
are associated with the susceptibility to gout or hyperuricaemia. METHODS:
Relevant studies were enrolled by searching databases systematically. The pooled
odds ratios (ORs) with 95% confidence interval (CI) were used to evaluate the
associations. Q-test and I2 statistics were used to evaluate the heterogeneity.
Publication bias was evaluated using Begg's funnel plots and Egger regression
test. RESULTS: A total of 7 articles involving 1216 patients and 1844 healthy
controls were included in this meta-analysis. Significant association was
detected between rs475688 polymorphism and gout susceptibility in three genetic
models (C vs. T: OR=1.464, 95% CI 1.078-1.989, p=0.015; CC+CT vs. TT: OR=2.028,
95% CI 1.488-2.763, p=0.000; CC vs. CT+TT: OR=2.226, 95% CI 1.746-2.838,
p=0.000). Significant association was observed between rs3825016 polymorphism and
hyperuricaemia susceptibility only in allelic comparison (C vs. T: OR=1.274, 95%
CI 1.101-1.474, p=0.001). CONCLUSIONS: The rs475688 polymorphism is associated
with gout susceptibility. The correlation between rs3825016 polymorphism of
SLC22A12 and hyperuricaemia susceptibility is possible.
PMID- 29352853
TI - Interferon regulatory factor 5 is a potential target of autoimmune response
triggered by Epstein-barr virus and Mycobacterium avium subsp. paratuberculosis
in rheumatoid arthritis: investigating a mechanism of molecular mimicry.
AB - OBJECTIVES: Rheumatoid arthritis (RA) is a chronic disease characterised by a pro
inflammatory cytokines linked erosive joint damage and by humoral and cellular
response against a broad range of self-peptides. Molecular mimicry between
Epstein-Barr virus (EBV), Mycobacterium avium subsp. paratuberculosis (MAP) and
host peptides has long been regarded as an RA pathogenetic mechanism. Using
bioinformatic analysis we identified high sequence homology among interferon
regulatory factor 5 (IRF5), EBV antigen BOLF1 and MAP antigen MAP_4027. Our
objective was to evaluate the presence in sera of RA patients of antibodies (Abs)
directed against human homologous IRF5 cross-reacting with BOLF1 and MAP_4027.
METHODS: Frequency of reactivity against IRF5424-434, BOLF1305-320 and MAP_402718
32 was tested by indirect ELISA in sera from 71 RA patients and 60 healthy
controls (HCs). RESULTS: RA sera show a remarkable high frequency of reactivity
against IRF5424-434 in comparison to HCs (69% vs. 8%; p<0.0001). Similarly,
seroreactivity against BOLF1305-320 was more frequently detected in RA sera than
in HCs counterpart (58% vs. 8%; p<0.0001). Frequency of Abs against MAP_402718-32
was 17% in RA sera vs. 5% in HCs with a p-value at the threshold level (p<0.051).
Prevalence of Abs against at least one of the assessed epitopes reached 72% in RA
patients and 15% among HCs. Levels of Abs in RA patients were significantly
related to systemic inflammation. CONCLUSIONS: IRF5 is a potential autoimmune
target of RA. Our results support the hypothesis that EBV and MAP infections may
be involved in the pathogenesis of RA, igniting a secondary immune response that
cross-reacts against RA self-peptides.
PMID- 29352854
TI - Fc gamma receptor binding profile of anti-citrullinated protein antibodies in
immune complexes suggests a role for FcgammaRI in the pathogenesis of synovial
inflammation.
AB - OBJECTIVES: Anti-citrullinated protein antibodies (ACPA) are highly specific for
rheumatoid arthritis (RA). Here, we studied binding of ACPA-IgG immune complexes
(IC) to individual Fc gamma receptors (FcgammaR) to identify potential effector
mechanisms by which ACPA could contribute to RA pathogenesis. METHODS: ACPA-IgG1
and control IgG1(IgG1 depleted of ACPA-IgG1) were isolated from plasma and
synovial fluid (SF) of RA patients by affinity chromatography using CCP2
peptides. Subsequently, IC were generated using fluorescently labelled F(ab')2
fragments against the F(ab')2 region of IgG, or by using citrullinated
fibrinogen. IC were incubated with FcgammaR-transfected CHO cell lines or
neutrophils from healthy donors. FcgammaR binding of IC was analysed by flow
cytometry in the presence or absence of specific blocking antibodies. RESULTS:
ACPA-IgG1 IC predominantly bound to FcgammaRI and FcgammaRIIIA on FcgammaR
transfected CHO cell lines, while much lower binding was observed to FcgammaRIIA
and FcgammaRIIB. ACPA-IgG1 IC showed reduced binding to FcgammaRIIIA compared to
control IgG1 IC, in line with enhanced ACPA-IgG1 Fc core-fucosylation.
Neutrophils activated in vitro to induce de novo expression of FcgammaRI showed
binding of ACPA-IgG IC, and blocking studies revealed that almost 30% of ACPA-IgG
IC binding to activated neutrophils was mediated by FcgammaRI. CONCLUSIONS: Our
studies show that ACPA-IgG1 IC bind predominately to activating FcgammaRI and
FcgammaRIIIA, and highlight FcgammaRI expressed by activated neutrophils as
relevant receptor for these IC. As neutrophils isolated from SF exhibit an
activated state and express FcgammaRI in the synovial compartment, this IC
binding could contribute to driving disease pathogenesis in RA.
PMID- 29352856
TI - Facial Nerve Paralysis-A Rare Complication of Open Reduction of
Zygomaticomaxillary Complex Fractures.
AB - Facial nerve paralysis is an unusual formidable complication that can manifest
after various maxillofacial surgeries, including open reduction of facial trauma.
The branches more predisposed to such injury are the zygomatic and marginal
mandibular branches. Zygomaticomaxillary complex (ZMC) fractures are frequently
encountered in maxillofacial trauma. The facial nerve has not been described as
endangered during ZMC surgery. Paralysis of the buccal branch after ZMC repair
with delayed onset has been reported only once. This report describes a patient
with immediate facial nerve paralysis after open reduction and internal fixation
of an isolated ZMC fracture through an intraoral approach. This is a previously
unreported rare complication after ZMC fracture repair that should be discussed
as a possibility when obtaining preoperative consent.
PMID- 29352855
TI - Risk of progression from subjective cognitive decline to mild cognitive
impairment: The role of study setting.
AB - INTRODUCTION: We compared risk of progression from subjective cognitive decline
(SCD) to mild cognitive impairment (MCI) in an academic memory clinic versus a
population-based study. METHODS: Older adults presenting at a memory clinic were
classified as SCD (n = 113) or as noncomplainers (n = 82). Participants from a
population study were classified as SCD (n = 592) and noncomplainers (n = 589)
based on a memory complaint score. Annual follow-up performed for a mean of 3
years. RESULTS: The adjusted hazard ratio for SCD was 15.97 (95% confidence
interval: 6.08-42.02, P < .001) in the memory clinic versus 1.18 (95% confidence
interval: 1.00-1.40, P = .047) in the population study, where reported "worry"
about memory further increased SCD-associated risk for MCI. DISCUSSION: SCD is
more likely to progress to MCI in a memory clinic than the general population;
participants' characteristics vary across settings. Study setting should be
considered when evaluating SCD as a risk state for MCI and dementia.
PMID- 29352857
TI - Combining chemotherapy with PD-1 blockade in NSCLC.
AB - Antitumor immunity relies on the ability of the immune system to recognize tumor
cells as foreign and eliminate them. An effective immune response in this setting
is due to surveillance of tumor-specific antigens that induce an adaptive immune
response resulting in T-cell mediated cytotoxicity. Immune checkpoint inhibitors,
specifically those targeting the programmed cell death-1 (PD-1)/programmed cell
death ligand-1 (PD-L1) axis, have demonstrated promising activity in non-small
cell lung cancer (NSCLC). However, there remains a crucial need for better
treatment strategies for the majority of patients with advanced NSCLC,
particularly in the frontline setting. Chemotherapy can increase antigenicity via
immunogenic cell death (ICD) of tumor cells as well as also reduce "off target"
immunosuppression in the tumor microenvironment (TME). Combining chemotherapy
with PD-1 blockade harnesses the potential synergy between these agents and has
led to encouraging results in the up-front treatment of NSCLC. In this review, we
summarize the preclinical rationale behind these combinations and review recent
trial data demonstrating their efficacy.
PMID- 29352858
TI - Cardiac repair by epicardial EMT: Current targets and a potential role for the
primary cilium.
AB - Despite therapeutic advances that have prolonged life, myocardial infarction (MI)
remains a leading cause of death worldwide and imparts a significant economic
burden. The advancement of treatments to improve cardiac repair post-MI requires
the discovery of new targeted treatment strategies. Recent studies have
highlighted the importance of the epicardial covering of the heart in both
cardiac development and lower vertebrate cardiac regeneration. The epicardium
serves as a source of cardiac cells including smooth muscle cells, endothelial
cells and cardiac fibroblasts. Mammalian adult epicardial cells are typically
quiescent. However, the fetal genetic program is reactivated post-MI, and
epicardial epithelial-to-mesenchymal transition (EMT) occurs as an inherent
mechanism to support neovascularization and cardiac healing. Unfortunately,
endogenous EMT is not enough to encourage sufficient repair. Recent developments
in our understanding of the mechanisms supporting the EMT process has led to a
number of studies directed at augmenting epicardial EMT post-MI. With a focus on
the role of the primary cilium, this review outlines the newly demonstrated
mechanisms supporting EMT, the role of epicardial EMT in cardiac development, and
promising advances in augmenting epicardial EMT as potential therapeutics to
support cardiac repair post-MI.
PMID- 29352859
TI - Is selective 5-HT1F receptor agonism an entity apart from that of the triptans in
antimigraine therapy?
AB - Migraine is a neurovascular disorder that involves activation of the
trigeminovascular system and cranial vasodilation mediated by release of
calcitonin gene-related peptide (CGRP). The gold standard for acute migraine
treatment are the triptans, 5-HT1B/1D/(1F) receptor agonists. Their actions are
thought to be mediated through activation of: (i) 5-HT1B receptors in cranial
blood vessels with subsequent cranial vasoconstriction; (ii) prejunctional 5-HT1D
receptors on trigeminal fibers that inhibit trigeminal CGRP release; and (iii) 5
HT1B/1D/1F receptors in central nervous system involved in (anti)nociceptive
modulation. Unfortunately, coronary arteries also express 5-HT1B receptors whose
activation would produce coronary vasoconstriction; hence, triptans are
contraindicated in patients with cardiovascular disease. In addition, since
migraineurs have an increased cardiovascular risk, it is important to develop
antimigraine drugs devoid of vascular (side) effects. Ditans, here defined as
selective 5-HT1F receptor agonists, were developed on the basis that most of the
triptans activate trigeminal 5-HT1F receptors, which may explain part of the
triptans' antimigraine action. Amongst the ditans, lasmiditan: (i) fails to
constrict human coronary arteries; and (ii) is effective for the acute treatment
of migraine in preliminary Phase III clinical trials. Admittedly, the exact site
of action is still unknown, but lasmiditan possess a high lipophilicity, which
suggests a direct action on the central descending antinociceptive pathways.
Furthermore, since 5-HT1F receptors are located on trigeminal fibers, they could
modulate CGRP release. This review will be particularly focussed on the
similarities and differences between the triptans and the ditans, their proposed
sites of action, side effects and their cardiovascular risk profile.
PMID- 29352860
TI - Towards targeting resolution pathways of airway inflammation in asthma.
AB - Asthma is a chronic disorder characterized by persistent inflammation of the
airways with mucosal infiltration of eosinophils, T lymphocytes, and mast cells,
and release of proinflammatory cytokines and lipid mediators. The natural
resolution of airway inflammation is now recognized as an active host response,
with highly coordinated cellular events under the control of endogenous pro
resolving mediators that enable the restoration of tissue homeostasis. Lead
members of proresolving mediators are enzymatically derived from essential
polyunsaturated fatty acids, including arachidonic acid-derived lipoxins,
eicosapentaenoic acid-derived E-series resolvins, and docosahexaenoic acid
derived D-series resolvins, protectins, and maresins. Functionally, these
specialized pro-resolving mediators can limit further leukocyte recruitment,
induce granulocyte apoptosis, and enhance efferocytosis by macrophages. They can
also switch macrophages from classical to alternatively activated cells, promote
the return of non-apoptotic cells to lymphatics and blood vessels, and help
initiate tissue repair and healing. In this review, we highlight cellular and
molecular mechanisms for successful resolution of inflammation, and describe the
main specialized pro-resolving mediators that drive these processes. Furthermore,
we report recent data suggesting that the pathobiology of severe asthma may
result in part from impaired resolution of airway inflammation, including defects
in the biosynthesis of these specialized pro-resolving mediators. Finally, we
discuss resolution-based therapeutic perspectives.
PMID- 29352861
TI - ERICA: age at menarche and its association with nutritional status.
AB - OBJECTIVE: To estimate the mean age at menarche and its association with
nutritional status in Brazilian adolescents. METHODS: The study sample included
female adolescents aged 12-17 who participated in a multicenter, school-based,
country-wide, cross-sectional study entitled The Study of Cardiovascular Risk in
Adolescents (Estudo de Riscos Cardiovasculares em Adolescentes [ERICA]). Mean and
median ages at menarche in Brazil were estimated. The association of age at
menarche with sociodemographic data and nutritional status were described as
means and their respective 95% confidence intervals. Survival analysis was used
to assess the age at menarche according to nutritional status categories and the
log-rank test was used to compare the medians. Bivariate and multivariate
analyses were performed using Cox regression to verify the association between
menarche and other variables. RESULTS: A total of 73,624 students were evaluated,
comprising 40,803 girls, of whom 37,390 reported menarche at a mean age of 11.71
years and a median of 12.41 years. Median age at menarche was lower in overweight
and obese girls (p<0.001). The multivariate analysis showed that excess weight
(HR=1.28; 95% CI 1.21-1.36; p<0.001) and studying in a private school (HR=1.06;
95% CI 1.02-1.10; p=0.003) were associated with menarche. CONCLUSIONS: This is a
pioneering study in Brazil with national and regional representativeness to
estimate the mean and the median age of occurrence of menarche. Adolescents with
excess weight had an earlier menarche than their peers, even after adjustment for
confounding factors.
PMID- 29352862
TI - Integrated care and the challenge of chronic illness.
PMID- 29352863
TI - A chitin deacetylase of Podospora anserina has two functional chitin binding
domains and a unique mode of action.
AB - Chitosan is a structurally diverse biopolymer that is commercially derived from
chitin by chemical processing, but chitin deacetylases (CDAs) potentially offer a
sustainable and more controllable approach allowing the production of chitosans
with tailored structures and biological activities. We investigated the CDA from
Podospora anserina (PaCDA) which is closely related to Colletotrichum
lindemuthianum CDA in the catalytic domain, but unique in having two chitin
binding domains. We produced recombinant PaCDA in Hansenula polymorpha for
biochemical characterization and found that the catalytic domain of PaCDA is also
functionally similar to C. lindemuthianum CDA, though differing in detail. When
studying the enzyme's mode of action on chitin oligomers by quantitative mass
spectrometric sequencing, we found almost all possible sequences up to full
deacetylation but with a clear preference for specific products. Deletion muteins
lacking one or both CBDs confirmed their proposed function in supporting the
enzymatic conversion of the insoluble substrate colloidal chitin.
PMID- 29352864
TI - Rosin modified cellulose nanofiber as a reinforcing and co-antimicrobial agents
in polylactic acid /chitosan composite film for food packaging.
AB - Cellulose nanofiber (CNF) was modified by rosin and used as a reinforcement
filler within a polylactic acid (PLA) matrix. The resulting film was then coated
with chitosan (CHT) to prepare a two-layer composite film for antimicrobial food
packaging. The FT-IR spectra of rosin modified CNF (R-CNF) displayed a clear peak
at 1730cm-1, which confirmed the successful esterification of CNF by rosin. The R
CNF showed a better dispersion in PLA matrix than CNF and the loading of R-CNF
had a significant effect on the mechanical properties of the resulting film. A
percolation network was formed when the R-CNF loading was 8%, where the composite
film displayed optimum mechanical properties. The antimicrobial test showed that
the R-CNF/PLA/CHT composite film exhibited excellent antimicrobial performance
against E. coli and B. subtilis, which could be attributed to the synergistic
antimicrobial effect of CHT and rosin.
PMID- 29352865
TI - Antihyperlipidemic and hepatoprotective activities of polysaccharide fraction
from Cyclocarya paliurus in high-fat emulsion-induced hyperlipidaemic mice.
AB - The objective of this study was to analyse the structure of CPP-2, and to observe
the pharmacological effects of CPP-2 on lipid metabolism and oxidative stress.
CPP-2, eluted as two main fractions comprised of two polysaccharides with Mw of
307 and 3.7kDa, was mainly consisted of rhamnose, mannose, glucose and galactose
in a molar ratio of 1.00:0.78:3.22:0.45. The results showed that treatment with
CPP-2 could improve blood lipid levels (TC, TG, HDL-C and LDL-C), liver lipid
levels (TC and TG) and antioxidant status (SOD, T-AOC, GSH-PX, MDA and LPO). In
addition, the histopathological observations of mice livers and the GPT
activities indicated that CPP-2 could attenuate liver cell injury. The present
findings demonstrated that CPP-2 might be effective in lowering lipid and
protecting against HFE-induced hyperlipidemia and non-alcoholic fatty liver.
PMID- 29352866
TI - Thermo-reversible supramolecular hydrogels of trehalose-type diblock
methylcellulose analogues.
AB - This paper describes the design and synthesis of new trehalose-type diblock
methylcellulose analogues with nonionic, cationic, and anionic cellobiosyl
segments, namely 1-(tri-O-methyl-cellulosyl)-4-[beta-d-glucopyranosyl-(1->4)-beta
d-glucopyranosyloxymethyl]-1H-1,2,3-triazole (1), 1-(tri-O-methyl-cellulosyl)-4
[(6-amino-6-deoxy-beta-d-glucopyranosyl)-(1->4)- 6-amino-6-deoxy-beta-d
glucopyranosyloxymethyl]-1H-1,2,3-triazole (2), and 4-(tri-O-methyl
cellulosyloxymethyl)-1-[beta-d-glucopyranuronosyl-(1->4)-beta-d
glucopyranuronosyl]-1H-1,2,3-triazole (3), respectively. Aqueous solutions of all
of the 1,2,3-triazole-linked diblock methylcellulose analogues possessed higher
surface activities than that of industrially produced methylcellulose and
exhibited lower critical solution temperatures, that allowed the formation of
thermoresponsive supramolecular hydrogels at close to human body temperature.
Supramolecular structures of thermo-reversible hydrogels based on compounds 1, 2,
and 3 were investigated by means of scanning electron microscopy (SEM) and
transmission electron microscopy (TEM). Detailed structure-property-function
relationships of compounds 1, 2, and 3 were discussed. Not only nonionic
hydrophilic segment but also ionic hydrophilic segments of diblock
methylcellulose analogues were valid for the formation of thermo-reversible
supramolecular hydrogels based on end-functionalized methylcellulose.
PMID- 29352867
TI - Preparation, surface activity and colloidal properties of the ionic complex of
chitosan with hexadecyl-oligo-oxyethylene hemisuccinate.
AB - Chitosan polyelectrolyte complex with high surface activity has been prepared by
salt complexation of chitosan with hexadecyl-oligo-oxyethylene hemisuccinate
(HOS), an anionic surfactant. Physicochemical properties of chitosan-HOS complex
can be explained by unusual structure of HOS which has the polyoxyethylene chain
located between the polar carboxyl head group and the hydrophobic alkyl tail.
Aqueous solutions of chitosan-HOS complex are structured systems with pronounced
thixotropic properties. The effect of content of hydrophobic exchanged groups on
the solution properties of chitosan-HOS complex and various factors controlling
the stability of oil-in-water emulsions in the presence of chitosan-HOS complex
are studied. The synergistic effect of the enhanced emulsifying ability of
chitosan-HOS complexes is shown. The study discloses a possibility to produce an
effective bio-compatible emulsifier based on chitosan-HOS complex.
PMID- 29352868
TI - Alginate-based cancer-associated, stimuli-driven and turn-on theranostic prodrug
nanogel for cancer detection and treatment.
AB - Alginate-based cancer-associated, stimuli-driven and turn-on theranostic prodrug
nanogels were designed for the tumor diagnosis and chemotherapy, by crosslinking
the folate-terminated poly(ethylene glycol) (FA-PEG-NH2) and rhodamine B (RhB)
terminated poly(ethylene glycol) (RhB-PEG-NH2) modified oxidized alginate (OAL-g
PEG-FA/RhB) with cystamine (Cys), followed covalent conjugation of doxorubicin
(DOX) via acid-labile Schiff base bond. Owing to the surface folic acid (FA)
groups, disulfide crosslinking structure and Schiff base conjugation for DOX, the
folate receptor (FR)-mediated targeting and pH/reduction dual responsive
intracellular triggered release of DOX was achieved. The cytotoxicity and
cellular uptake results clearly illustrated that most DOX was released and
accumulated in the cell nuclei and killed the cancer cells efficaciously, due to
the desirable targeting intracellular triggered release. Furthermore, the
theranostic nanogels could be used for the real-time and noninvasive location
tracking to cancer cells, owing to the pH-modulated fluorescence property of the
pendant RhB groups.
PMID- 29352869
TI - Positively charged gold nanoparticles capped with folate quaternary chitosan:
Synthesis, cytotoxicity, and uptake by cancer cells.
AB - In this study, we synthesized various quaternary chitosan derivatives and used
them to stabilize gold nanoparticles (AuNPs). These chitosan derivatives
comprised N-(2-hydroxy)propyl-3-trimethylammonium chitosan chloride (HTCC),
folate-HTCC, galactosyl-HTCC, and their fluorescein isothiocyanate-conjugated
derivatives. Various positively surface-charged AuNPs were prepared under
alkaline conditions using glucose as a reducing agent in the presence of the HTCC
derivatives (HTCCs). The effects of the concentration of NaOH, glucose, and HTCCs
on the particles size, zeta potential, and stability were studied in detail. Cell
cycle assays verify that none of the HTCCs or HTCCs-AuNPs was cytotoxic to human
umbilical vein endothelial cells. Flow cytometry analysis showed that the folate
HTCC-AuNPs were internalized in Caco-2, HepG2, and HeLa cancer cells to a
significantly greater extent than AuNPs without folate. But, galactosyl HTCC
AuNPs only showed high cell uptake by HepG2 cells.
PMID- 29352870
TI - Radiation grafting: A voyage from bio-waste corn husk to an efficient
thermostable adsorbent.
AB - The initiator free environmentally benign gamma radiation is employed to graft
poly-acrylic acid (PAA) onto the widely produced bio-waste corn husk to develop
promising, cheap, efficient and reusable adsorbent (AAc-g-husk) having specific
adsorption capacity of 1682.7mgg-1 of methylene blue (MB) at pH 9.0 and 320K. The
most suitable grafting yield is found by optimizing absorbed dose, dose rate and
concentrations of monomer, Mohr's salt and inorganic acid. The inter-planar
hydrogen bonding among (002) planes of cellulose in the husk decreases after
diversifying grafting of PAA on ad-axial, ribs and micro-fibrils surfaces of the
corn husks. The chemically and structurally modified AAc-g-husk shows superior
thermal stability. The mechanism of MB dye adsorption by AAc-g-husk has been
discussed through six two-parameters adsorption isotherm and ten three-parameters
adsorption isotherm models at three different temperatures (300, 310 and 320K),
seven kinetic models at room temperature, FT-IR and desorption studies in
different solvent compositions.
PMID- 29352871
TI - A novel approach for fabricating nanocomposite materials by embedding stabilized
core-shell micelles into polysaccharide cryogel matrix.
AB - We report a novel approach for fabricating nanocomposite polysaccharide-based
carriers for sustained delivery of poorly-water-soluble drugs by embedding
stabilized core-shell micelles (SPM) possessing hydrophobic cores into super
macroporous hydroxypropyl cellulose (HPC) cryogels. Firstly, nano-sized SPM were
synthesized by loading and photochemical crosslinking of
pentaerythritoltetraacrylate (PETA) in poly(ethylene oxide)-b-poly(propylene
oxide)-b-poly(ethylene oxide) (PEO19PPO29PEO19) core-shell micelles. Next, HPC
cryogels containing different amount of SPM were fabricated by combination of
cryogenic treatment and photo-crosslinking. A crosslinking agent, N,N'
methylenebisacrylamide, was used to enhance the density of polymer network. The
effect of SPM concentration on gel fraction yield, swelling degree, cryogel
morphology and mechanical properties were studied. Nanocomposite cryogels were
loaded with curcumin and their encapsulation efficiency and drug release profile
as a function of SPM content were investigated. The cytotoxic effect of blank and
curcumin loaded nanocomposite cryogels was assessed as well.
PMID- 29352872
TI - Preparation and testing of a tetra-amine copper(II) chitosan bead system for
enhanced phosphate remediation.
AB - A tetra-amine copper(II) chitosan bead system (TAC@CS composite beads) was
developed by grafting tetra-amine copper(II) (TAC) with chitosan (CS) and
utilized for phosphate removal. The prepared TAC@CS composite beads possess
enhanced phosphate sorption capacity (SC) of 41.42 +/- 0.071 mg/g than copper
grafted chitosan (Cu@CS) composite, TAC and chitosan which were found to be 37.01
+/- 0.803, 33.20 +/- 0.650 and 7.24 +/- 0.059 mg/g respectively. In batch mode,
various adsorption influencing parameters like contact time, initial phosphate
concentration, solution pH, co-anions and temperature were optimized for maximum
phosphate sorption. The prepared adsorbents were characterized by FTIR, XRD, UV
Visible, SEM and EDAX analysis. The adsorption isotherms and thermodynamic
parameters of the adsorbent were studied. The feasible phosphate uptake mechanism
of TAC@CS biocomposite beads was reported. The reusability studies of TAC@CS
composite beads were carried out using NaOH as elutant. The suitability of TAC@CS
composite beads at field conditions was tested with phosphate contaminated field
water samples collected from nearby areas of Dindigul district.
PMID- 29352873
TI - Electrospray-assisted drying of live probiotics in acacia gum microparticles
matrix.
AB - Acacia gum solution was employed as a carrier for electrospray-assisted drying of
probiotic cells. To optimize the process, effect of gum concentration, thermal
sterilization as a prerequisite for microbial studies, and surfactant addition on
physical properties of feed solution was investigated. Increasing gum
concentration from 20 to 40 wt.% led to a viscosity increase, whilst surface
tension did not change meaningfully and electrical conductivity declined after an
increasing trend up to 30 wt.% of the gum. Thermal sterilization increased the
viscosity without any significant effect on the conductivity and surface tension.
Surfactant addition reduced the surface tension and conductivity but the
viscosity increased. Highly uniform particles were formed by electrospray
assisted drying of autoclaved 35 wt.% acacia gum solution containing 1 wt.% Tween
80. Thermal sterilization and surfactant addition improved electrospray-ability
of acacia gum solution. Bacterial count showed that more than 96 percent of
probiotic cells passed the process viably.
PMID- 29352874
TI - 2,3-Di-O-sulfo glucuronic acid: An unmodified and unusual residue in a highly
sulfated chondroitin sulfate from Litopenaeus vannamei.
AB - The occurrence of a natural and unmodified highly sulfated chondroitin sulfate
from Litopenaeus vannamei heads (sCS) is herein reported. Its partial digestion
by Chondroitinases AC and ABC together with its electrophoretic migration profile
revealed it as a highly sulfated chondroitin sulfate despite its average
molecular weight being similar to CSA. Using orthogonal 1D/2D NMR experiments,
the anomeric signals (delta 4.62/106.0) corresponding to unusual 2,3-di-O-Sulfo
GlcA (~36%), U33S (delta 4.42/84.1, ~63%) and U22S (4.12/80.1, ~50%)
substitutions were confirmed. In addition, non-sulfated GlcA (delta 4.5/106.3)
linked to 4-O- (A14S, 36%) or 6-O-Sulfo (A16S, 28%) GalNAc (delta 4.64/103.5) was
observed. Although the biological role of sCS in shrimp is unknown, its influence
on hemostasis was also demonstrated. The sCS identification brings to light new
questions about the hierarchical model of GAGs biosynthesis and contributes to
the better understanding of the subtle relationship between GAGs structure and
function.
PMID- 29352875
TI - Combined effect of sodium carboxymethyl cellulose, cellulose nanofibers and
drainage aids in recycled paper production process.
AB - The present work shows the suitability of using recovered cardboard boxes for the
development of high-performance papers through the use of cellulose nanofibers
(CNF) and sodium carboxymethyl cellulose (CMC-Na). CNF were prepared by enzymatic
hydrolysis using bleached kraft hardwood pulp, while a commercial grade of CMC-Na
was used. Both were added in bulk together with polyethylenimine (PEI) as wet-end
additive to improve pulp drainability. The combination of 3 wt% CNF and 7.5 wt%
CMC-Na double the breaking length of paper. In addition, the use of 0.4 wt% of
PEI significantly decreased the Schopper - Riegler degree, while mechanical
properties remained almost at the same level. It was found that it is possible to
recover and even increase the properties of recycled papers, with the added
advantage that no structural damages were caused on the fibres, increasing the
life span and recyclability of paper products.
PMID- 29352876
TI - Structural characterization and macrophage activation of a hetero-galactan
isolated from Flammulina velutipes.
AB - We isolated and purified a new polysaccharide (WFVP-N-b1) with a molecular weight
of 20 kDa from Flammulina velutipes. Results showed that WFVP-N-b1 is composed of
an alpha (1 -> 6)-linked D-galactan backbone and branched at the O-2 of its Galp
residues by an alpha-D-(1 -> 6)-linked Manp attached to t-beta-D-Glcp or t-alpha
D-Fucp side chains. WFVP-N-b1 can significantly induce cytokines secretion and
release of toxic molecules. On a cellular level, WFVP-N-b1 is recognized by Toll
like receptor 4 (TLR4). Thereby, the hetero-galactan increased the
phosphorylation of mitogen-activated protein kinases (MAPKs) and Akt, promoted
degradation of IkappaB-alpha and the nuclear translocation of the NF-kappaB p65
subunit. Importantly, our results indicate that WFVP-N-b1 activated macrophage is
mediated by autophagy, as blockade of WFVP-N-b1-induced autophagy by Baf-A1
significantly decreases macrophage activation. This is the first report that
hetero-galactan-induced macrophage activation is mediated by autophagy.
Collectively, WFVP-N-b1 activated RAW264.7 cells through MAPKs, autophagy, and
Akt/NF-kappaB signaling pathways via TLR4 receptor.
PMID- 29352877
TI - Valorization of peanut shells: Manufacture of bioactive oligosaccharides.
AB - Peanut shells were subjected to non-isothermal aqueous treatments to cause the
partial breakdown of hemicelluloses into soluble oligosaccharides and lignin
derived compounds with high antioxidant activity. The effects of temperature on
the chemical composition of the substrate and soluble reaction products were
assessed. Under selected conditions (210 degrees C, severity=4.09), the overall
amount of poly- and oligo- saccharides present in the liquid phase reached
9.8g/L. This solution was refined by consecutive stages of discontinuous
diafiltration, yielding a refined product containing about 72.4wt% of oligomers
at a global yield of 8.5kg/100kg oven-dry PS. The purified products were
characterized by HPLC, MALDI-TOF-MS and FTIR, confirming the major reaction
products were saccharides made up of xylose with degrees of polymerization up to
17, substituted with acetyl and methylglucuronosyl groups, for which a number of
pharmaceutical and food applications have been proposed. Solubilization of
hemicelluloses in the treatments resulted in the production of solids enriched in
cellulose and lignin suitable for further applications.
PMID- 29352878
TI - In vitro fermentation of Cookeina speciosa glucans stimulates the growth of the
butyrogenic Clostridium cluster XIVa in a targeted way.
AB - Dietary fiber chemical and physical structures may be critical to the
comprehension of how they may modulate gut bacterial composition. We purified
insoluble polymers from Cookeina speciosa, and investigated its fermentation
profile in an in vitro human fecal fermentation model. Two glucans, characterized
as a (1 -> 3),(1 -> 6)-linked and a (1->3)-linked beta-D-glucans were obtained.
Both glucans were highly butyrogenic and propiogenic, with low gas production,
during in vitro fecal fermentation and led to distinct bacterial shifts if
compared to fructooligosaccharides. Specific increases in Bacteroides uniformis
and genera from the Clostridium cluster XIVa, such as butyrogenic Anaerostipes
and Roseburia were observed. The (1 -> 3)-linked beta-D-glucan presented a faster
fermentation profile compared to the branched (1 -> 3),(1 -> 6)-linked beta-D
glucan. Our findings support the view that depending on its fine chemical
structure, and likely its insoluble nature, these dietary fibers can be utilized
to direct a targeted promotion of the intestinal microbiota to butyrogenic
Clostridium cluster XIVa bacteria.
PMID- 29352879
TI - Structural characterization and in vitro fermentation of a novel polysaccharide
from Sargassum thunbergii and its impact on gut microbiota.
AB - The aim of the present study was to investigate structural characteristic and in
vitro fermentation of a novel polysaccharide named ST-P2 from Sargassum
thunbergii by human fecal inoculums, and its impact on human colonic microbiota.
The results showed that ST-P2 was homogeneous with molecular weight of 48,788 Da,
and consisted of arabinose, galactose, glucose, xylose, and mannose. The main
linkage types were identified as (1 -> 5)-alpha-L-Araf, (1 -> 3)-alpha-L-Manp, (1
-> 3,6)-beta-D-Galp, (1 -> 6)-alpha-D-Glcp, and (1 -> 3)-beta-D-Xylp,
respectively. After 48 h fermentation, 67.83 +/- 1.15% of total carbohydrate was
utilized by colonic microbiota. The pH value in the fecal culture significantly
decreased from 6.09 +/- 0.11 to 4.70 +/- 0.04. The concentrations of total short
chain fatty acids, acetic, propionic, n-butyric and n-valeric acids significantly
increased compared to the blank. ST-P2 could remarkably modulate the composition
and abundance of beneficial microbiota. These results suggest that ST-P2 could
potentially be a functional food aimed at promoting the gut health.
PMID- 29352880
TI - Preparation of chitosan sulfate and vesicle formation with a conventional
cationic surfactant.
AB - Chitosan of high molecular weight and 85% deacetylation was used to prepare
chitosan sulfate (CHS) by employing an industrial recognized green and highly
reactive sulfating agent gas SO3. FT-IR and solid-state CP-MAS 13C NMR spectra
confirmed that sulfate groups were successfully introduced into chitosan chains
with a sulfur content of 16.50% and the substitution degree of 1.75 according to
the results of elemental analysis. The aggregation behavior of the mixture of
chitosan sulfate polyelectrolyte and oppositely charged surfactant
cetyltrimethylammonium bromide (CTAB) was characterized by surface tension,
steady-state fluorescent, turbidity, zeta potential and transmission electron
microscopy. The results indicate that the CHS/CTAB mixture has pretty high
surface activity and low critical aggregation concentration. The CHS/CTAB mixture
successively forms spherical aggregates, precipitation, vesicles and micelle
aggregates coated by CHS chains by increasing surfactant concentration due to the
cooperative hydrophobic and electrostatic interactions.
PMID- 29352881
TI - Carbon nanotube-modified oxidized regenerated cellulose gauzes for hemostatic
applications.
AB - Functionalized carbon nanotubes have recently received interest because of their
unique properties, especially in the biomedical field. In this research,
unmodified multiwalled carbon nanotubes (MWCNTs), and functionalized carbon
nanotubes with amino groups (MWCNTs-NH2) and carboxyl groups (MWCNTs-COOH) were
grafted to oxidized regenerated cellulose (ORC) gauze to fabricate novel
functionalized ORC, and the performance of the functionalized gauze was
investigated. The functionalized ORC was characterized by FT-IR, XPS and SEM,
which showed the different kinds of CNTs grafted on its surface. The XPS results
demonstrated the successful incorporation of functionalized MWCNTs in the active
layer of modified ORC gauze. Meanwhile, the specific surface area of the CNTs
modified functionalized ORC gauze was improved in varying degrees, whereas the
porosity was slightly decreased. Furthermore, hydrophilicity experiment results
presented a significant increment in water uptake of the functionalized CNTs
grafted to the surface of the ORC gauze. Results of the hemostatic performance
test on rabbit ear artery and liver showed that compared with the original ORC
gauze, the bleeding time was significantly reduced when using the functionalized
CNTs modified ORC hemostatic gauze. Moreover, the results also showed that the
MWCNTs-COOH/ORC functionalized gauze had outstanding hemostatic efficiency.
PMID- 29352882
TI - Conductive bacterial cellulose-polyaniline blends: Influence of the matrix and
synthesis conditions.
AB - Bacterial cellulose/polyaniline (BC/PANi) blends present a great potential for
several applications. The current study evaluates the impact of using different
BC matrixes (drained, freeze-dried and regenerated) and different synthesis
conditions (in situ and ex situ) to improve the inherent properties of BC, which
were monitored through FTIR-ATR, EDX, XRD, SEM, AFM, swelling, contact angle
measurement and IGC. The employment of in situ polymerization onto drained BC
presented the most conductive membrane (1.4 * 10-1 S/cm). The crystallinity,
swelling capacity, surface energy and acid/base behavior of the BC membranes is
substantially modified upon PANi incorporation, being dependent on the BC matrix
used, being the freeze-dried BC blends the ones with highest crystallinity (up to
54%), swelling capacity (up to 414%) and surface energy (up to 75.0 mJ/m2).
Hence, this work evidenced that the final properties of the BC/PANi blends are
greatly influenced by both the BC matrixes and synthesis methods employed.
PMID- 29352884
TI - Designed cellulose nanocrystal surface properties for improving barrier
properties in polylactide nanocomposites.
AB - Nanocomposites are an opportunity to increase the performance of polymer
membranes by fine-tuning their morphology. In particular, the understanding of
the contribution of the polymer matrix/nanofiller interface to the overall
transport properties is key to design membranes with tailored selective and
adsorptive properties. In that aim, cellulose nanocrystals (CNC)/polylactide
(PLA) nanocomposites were fabricated with chemically designed interfaces, which
were ensuring the compatibility between the constituents and impacting the mass
transport mechanism. A detailed analysis of the mass transport behaviour of
different permeants in CNC/PLA nanocomposites was carried out as a function of
their chemical affinity to grafted CNC surfaces. Penetrants (O2 and cyclohexane),
which were found to slightly interact with the constituents of the
nanocomposites, provided information on the small tortuosity effect of CNC on
diffusive mass transport. The mass transport of water (highly interacting with
CNC) and anisole (interacting only with designed CNC surfaces) exhibited non
Fickian, Case II behaviour. The water vapour caused significant swelling of the
CNC, which created a preferential pathway for mass transport. CNC surface
grafting could attenuate this phenomenon and decrease the water transport rate.
Anisole, an aromatic organic vapour, became reversibly trapped at the
specifically designed CNC/PLA interface, but without any swelling or creation of
an accelerated pathway. This caused the decrease of the overall mass transport
rate. The latter finding could open a way to the creation of materials with
specifically designed barrier properties by designing nanocomposites interfaces
with specific interactions towards permeants.
PMID- 29352883
TI - The use of starch azure for measurement of alpha-amylase activity.
AB - Despite being widely used, there is no standard protocol for alpha-amylase
activity measurement with starch azure substrate. Boiling pre-treatment of starch
azure suspension increased the reaction rate of hydrolysis catalysed by human
salivary alpha-amylase (HSA) or porcine pancreatic alpha-amylase (PPA) and the
sensitivity of spectrophotometric activity measurement has been improved. Kinetic
constants, KM, and vmax, obtained from parallel isothermal titration calorimetric
(ITC) measurements on natural and starch azure revealed, that the blue starch
derivative does not differ significantly from its natural counterpart from
kinetic point of view. Interestingly, substrate inhibition was observed in starch
azure hydrolysis characterised by dissociation constants 49 mg/mL and 16.4 mg/mL
for HSA and PPA, respectively. In this work a new protocol has been suggested for
alpha-amylase activity measurement using boiled insoluble starch azure as
substrate at 5 mg/mL concentration.
PMID- 29352885
TI - Synthesis of stimuli-responsive chitosan-based hydrogels by Diels-Alder cross
linking 'click' reaction as potential carriers for drug administration.
AB - Stimuli-responsive chitosan-based hydrogels for biomedical applications using the
Diels-Alder reaction were prepared. Furan modified chitosan (Cs-Fu) was cross
linked with polyetheramine derived bismaleimide at different equivalent ratios in
order to determine the effect in the swelling and release properties on the final
CsFu:BMI hydrogels. The Diels Alder cross-linking reaction was monitored by UV
vis spectroscopy and rheological measurements. Both the sol-gel transition value
and the final storage modulus for the different formulations were similar and
close to 40 min and 400 Pa, respectively. On the contrary, the swelling degree
was found to be strongly dependent on the amount of bismaleimide, mainly in
acidic medium, where the increased cross-linking reduced the swelling value in
25%, but maintaining the sustained drug release in the simulated gastrointestinal
environment. Our study suggested that these DA-cross-linked chitosan hydrogels
could be potential carriers for targeted drug administration.
PMID- 29352887
TI - The development of an alginate/polycaprolactone composite scaffold for in situ
transfection application.
AB - Alginate and polycaprolactone (PCL) were coelectrospun using a dual-jet system to
prepare composite nanofibers in defined ratios, and hence both chemical
properties and hydrophobicity of scaffolds can be manipulated. These nanofibers
were applied in gene immobilization: positively charged polyethyleneimine
(PEI)/DNA polyplexes were adsorbed onto anionic alginate fibers, and the higher
ratios of alginate resulted in the more immobilized nonviral vectors. Through the
incorporation of PCL, biocompatibility of scaffolds was highly improved. Finally,
these scaffolds were used for in situ transfection application. Compared to pure
alginate fibers, composite fibers not only successfully transferred target genes
to adhered cells but also enhanced cell morphology and viability, suggesting that
alginate/PCL nanofibers were multifunctional with gene delivery capability and
biocompatibility, and the manipulation of their composition can balance and
optimize both requirements. To our knowledge, this approach might be the first
one using electrostatic interactions to immobilize genes onto nanofibrous
scaffolds for in situ transfection application.
PMID- 29352886
TI - Aldehyde-functionalized chitosan-montmorillonite films as dynamically-assembled,
switchable-chemical release bioplastics.
AB - Temporal release of synergistic and/or complementary chemicals (e.g.: drugs) is
recognized as extremely challenging because of their frequently intertwined
kinetic delivery and presently, straightforward concepts enabling to circumvent
this bottleneck are missing in the open literature. In this framework, we report
herein on aldehyde-functionalized, transparent and flexible chitosan
montmorillonite hybrid films that act as a new generation of eco-friendly,
controlled-chemical release bioplastics. These dynamically-assembled
nanomaterials are designed by a ternary assembly from biowaste derived chitin
biopolymer, aromatic aldehydes and layered clay nanoparticles. On the basis of
their geometrical and conformational properties, the oxygenated groups on the
grafted aromatics interact preferentially with either the base Schiff belonging
to the carbohydrate (via intramolecular CNHO-Ar known as "imine clip") or with
the hydroxyl groups belonging to the clay surface (via intermolecular Si-OHO-Ar).
The exfoliated clay nanoparticles within the carbohydrate polymer enables either
accelerating or slowing down of the imine (CN) hydrolysis depending on the
interaction of the conjugated aromatics. This provides the driving force for fine
tuning host-guest interactions at the molecular level and constitutes an entry
toward subtle discrimination of different chemicals (e.g. complementary
fertilizers, synergistic drugs) during their sequential release.
PMID- 29352888
TI - Production of cellulose nanoparticles from blue agave waste treated with
environmentally friendly processes.
AB - Tequila elaboration leaves two main byproducts that are undervalued (bagasse and
leaves). Organosolv pulping and Total Chlorine Free bleaching were integrated to
obtain cellulose fibers from agricultural waste which consisted of blue agave
bagasse and leaf fibers; together they represent a green process which valorizes
biomass waste. The obtained celluloses were characterized by FT-IR, colorimetry,
and SEM and their extraction yields were evaluated. These celluloses were used to
produce cellulose nanocrystals and cellulose nanofibers. First, an acid
hydrolysis was performed in a sonication bath to induce cavitation during the
reaction to produce cellulose nanocrystals. Then a high-pressure homogenization
was selected to produce cellulose nanofibers. These nanocelluloses were
characterized by powder XRD, Nanosizer, zeta potential, NMR, and electronic
microscopy. Results showed that cellulose from organosolv pulps bleached with TCF
bleaching is suitable for nanocellulose production. Moreover, the use of a new
step to separate cellulose nanocrystals resulted in yields almost doubling
traditional yields, while the rest of the properties remained within the
expected.
PMID- 29352889
TI - Cellulose nanocrystals (CNCs) with different crystalline allomorph for oil in
water Pickering emulsions.
AB - In recent years, nanocelluloses have attracted a lot of interests as promising
stabilizers for Pickering emulsion particularly in food, cosmetics and
pharmaceutics industries. In this work, two cellulose nanocrystals (named CNCs-I
and CNCs-II) with different crystalline allomorph were investigated as
stabilizers for oil-water Pickering emulsion. CNCs were prepared by sulfuric acid
hydrolysis of unmodified and mercerized microcrystalline cellulose (MCC),
respectively. CNCs-I was needle-like particles (length - 200 nm, width - 16.4
nm), while CNCs-II was individual granules (length - 18.8 nm, width - 10.9 nm)
with ellipsoid shapes. Both CNCs had surface charge density higher than 0.1
e/nm2. Pickering emulsions stabilized by CNCs-I had larger emulsion ratio, two
times smaller droplet size and superior performance of stability than CNCs-II. It
is concluded that crystalline allomorph of CNCs played more dominating roles to
the stabilization of Pickering emulsion than morphologies of CNCs themselves in
this work, and CNCs-II is not the good candidates as stabilizer for Pickering
emulsion compared to CNCs-I.
PMID- 29352890
TI - Nanocrystalline cellulose as an eco-friendly reinforcing additive to polyurethane
coating for augmented anticorrosive behavior.
AB - Nanocrystalline cellulose (NCC) and micro-powdered cellulose (MPC) were extracted
from rice straw by mechanical and alkali treatment methods, then characterized
via infrared spectroscopy and dynamic light scattering. A series of polyurethane
nanocrystalline cellulose composite (PNCCC) and polyurethane micro-powdered
cellulose composite (PMPCC) coatings were prepared with various loading levels of
NCC and MPC from 0.5 to 2.0 wt.%, and the coatings were applied onto the
pretreated mild steel substrate at room temperature. The results showed that the
NCC and MPC influenced positively the studied properties of the polyurethane
coating; furthermore the most pronounced anticorrosive properties were obtained
at 1 wt.% NCC and MPC, as confirmed by open circuit potential (OCP) study,
electrochemical impedance spectroscopy (EIS) study and salt spray test. However,
the optimum enhancement of mechanical properties was found at 1.5 wt.% loading
level, after which further loading of NCC and MPC led to the reduction in the
mechanical properties.
PMID- 29352891
TI - Efficient gene delivery by oligochitosan conjugated serum albumin: Facile
synthesis, polyplex stability, and transfection.
AB - Chitosan and its derivatives have shown to be potential gene carriers with
biocompatiblility and safety. However, their practical delivery is far from being
ideal because of the low transfection efficiency. The present work describes the
potential of a natural protein, bovine serum albumin (BSA), conjugated with a
natural oligosaccharide, oligochitosan (OC), as a considerable promising approach
for a safe and efficient non-viral gene delivery vector. The FTIR spectra proved
the effective conjugation of BSA with OC through covalent bond. The condensation
ability of plasmid DNA (pDNA) with a BSA-OC biopolymer was analyzed by gel
retardation assay, competition binding assay, and dynamic light scattering used
to measure the nanoparticle size. In addition, the BSA-OC biopolymer showed the
protection of pDNA from enzymatic degradation by DNase I and showed good
stability when exposed to 50% fetal bovine serum. The transfection efficiency was
evaluated in the presence of 10% serum-supplemented media or serum-free media on
three kinds of mammalian cells. Our results showed that the BSA-OC biopolymer is
a good non-viral vehicle for gene delivery. We investigated the parameters such
as the pDNA payload, temperature, incubating duration, and biopolymer/pDNA ratio
on the transfection efficiency. This hybrid vehicle had the ability to transfect
90% of cells and to maintain 80% of cell viability. The aforementioned results
suggest that the facile synthesis of the BSA-OC biopolymer could overcome the
cytotoxicity problem and transfection barriers during in vitro gene delivery.
PMID- 29352892
TI - Synergistic effect of carbon nanotubes and graphene for high performance
cellulose acetate membranes in biomedical applications.
AB - Comparative evaluation of innovative combinations of three types of carbon
nanomaterial (CNM) highlighted membranes with important potential for biomedical
applications. Non-solvent induced phase separation coupled with ultrasound
technique was used to generate membranes comprised of (i) cellulose
acetate/ammonia functionalized carbon nanotubes (CA/CNT), (ii) cellulose
acetate/ammonia functionalized graphene oxide (CA/GO), and (iii) cellulose
acetate/CNT-GO. Structural, topographical and thermal features as well as water
and ethanol permeation, bovine serum albumin (BSA) and haemoglobin (Hb) rejection
were evaluated. Biocompatibility in terms of cytotoxicity, cell proliferation and
adhesion were explored using a 3T3E1 cell line. The formation of amorphous
structures, within which the CNMs were well dispersed, facilitated the
development of smoother topographies. Addition of CNMs generated morphological
changes influencing a decrease in water and ethanol fluxes. Furthermore, CNMs
concentrated within the membrane skin layer exhibited repellent effects against
BSA and Hb molecules and excellent cytocompatibility.
PMID- 29352893
TI - Preparation and characterization of tree-like cellulose nanofiber membranes via
the electrospinning method.
AB - A novel tree-like cellulose nanofiber membrane was controllably fabricated via
the electrospinning method by adding certain amount of tetra butyl ammonium
chloride (TBAC) into the cellulose acetate solution followed by a deacetylation
treatment process. The morphological structure, material structure and air
filtration performance of both the cellulose and the cellulose acetate tree-like
nanofiber membranes were characterized. Water contact angles, mechanical
properties, and air filtration properties were also evaluated. The air filtration
efficiency of cellulose acetate tree-like nanofiber membrane can reached 99.58%,
and the eventually cellulose tree-like membrane still maintain 98.37%. The
eventual cellulose tree-like nanofiber membranes exhibited small pore size,
excellent hydrophilicity, good solvent resistance and preferable mechanical
property. The small average pore size caused by the tree-like structure and the
strong resistance to organic solvent can make it a potential candidate for the
membrane separation.
PMID- 29352894
TI - A novel wound dressing based on a Konjac glucomannan/silver nanoparticle
composite sponge effectively kills bacteria and accelerates wound healing.
AB - A novel Konjac glucomannan/silver nanoparticle (KGM/AgNP) composite sponge was
successfully prepared via a simple 2-step method for biomedical applications as
wound-healing materials. First, AgNPs were prepared with green deoxidizer egg
white. Then, KGM powder was added to the AgNP solution and stirred vigorously,
and the composite sponge was obtained by freeze-drying. The KGM/AgNP composite
sponge showed excellent water absorption and water retention, and considerable
mechanical properties. KGM/AgNP composite sponges displayed good antibacterial
activity against test microorganisms. In vitro cytocompatibility testing showed
that L929 cells could survive well in the presence of KGM/AgNPs, indicating that
KGM/AgNPs have good cytocompatibility. Animal models showed that the KGM/AgNP
composite sponges effectively accelerated wound healing, and histological
findings showed that they promoted fibroblast growth and accelerated
epithelialization. The experimental results showed that KGM/AgNP composite
sponges have great potential in promoting wound healing.
PMID- 29352895
TI - Sequencing the oligosaccharide pool in the low molecular weight heparin
dalteparin with offline HPLC and ESI-MS/MS.
AB - Low molecular weight heparins (LMWHs) are widely used anticoagulant drugs. The
composition and sequence of LMWH oligosaccharides determine their safety and
efficacy. The short oligosaccharide pool in LMWHs undergoes more depolymerization
reactions than the longer chains and is the most sensitive indicator of the
manufacturing process. Electrospray ionization tandem mass spectrometry (ESI
MS/MS) has been demonstrated as a powerful tool to sequence synthetic heparin
oligosaccharide but never been applied to analyze complicated mixture like LMWHs.
We established an offline strong anion exchange (SAX)-high performance liquid
chromatography (HPLC) and ESI-MS/MS approach to sequence the short
oligosaccharides of dalteparin sodium. With the help of in-house developed MS/MS
interpretation software, the sequences of 18 representative species ranging from
tetrasaccharide to octasaccharide were obtained. Interestingly, we found a novel
2,3-disulfated hexauronic acid structure and reconfirmed it by complementary
heparinase digestion and LC-MS/MS analysis. This approach provides
straightforward and in-depth insight to the structure of LMWHs and the reaction
mechanism of heparin depolymerization.
PMID- 29352896
TI - Biological activities and pharmaceutical applications of polysaccharide from
natural resources: A review.
AB - Pharmacotherapy using natural substances can be currently regarded as a very
promising future alternative to conventional therapy. As biological
macromolecules, polysaccharide together with protein and polynucleotide, are
extremely important biomacromoleules which play important roles in the growth and
development of living organism. Polysaccharide is important component of higher
plants, membrane of the animal cell and the cell wall of microbes. It is also
closely related to the physiological functions. Recently, increasing attention
has been paid on polysaccharides as an important class of bioactive natural
products. Numerous researches have demonstrated the bioactivities of natural
polysaccharides, which lead to the application of polysaccharides in the
treatment of disease. In this paper, the various aspects of the investigation
results of the bioactivities of polysaccharides were summarized, including its
diversity pharmacological applications, such as immunoregulatory, anti-tumor,
anti-virus, antioxidation, and hypoglycemic activity, and their application of
polysaccharides in the treatment of disease are also discussed. We hope this
review can offer some theoretical basis and inspiration for the mechanism study
of the bioactivity of polysaccharides.
PMID- 29352897
TI - Cost-utility of quadrivalent versus trivalent influenza vaccine in Brazil -
comparison of outcomes from different static model types.
AB - BACKGROUND: Influenza burden in Brazil is considerable with 4.2-6.4 million cases
in 2008 and influenza-like-illness responsible for 16.9% of hospitalizations.
Cost-effectiveness of influenza vaccination may be assessed by different types of
models, with limitations due to data availability, assumptions, and modelling
approach. OBJECTIVE: To understand the impact of model complexity, the cost
utility of quadrivalent versus trivalent influenza vaccines in Brazil was
estimated using three distinct models: a 1-year decision tree population model
with three age groups (FLOU); a more detailed 1-year population model with five
age groups (FLORA); and a more complex lifetime multi-cohort Markov model with
nine age groups (FLORENCE). METHODS: Analysis 1 (impact of model structure)
compared each model using the same data inputs (i.e., best available data for
FLOU). Analysis 2 (impact of increasing granularity) compared each model
populated with the best available data for that model. RESULTS: Using the best
data for each model, the discounted cost-utility ratio of quadrivalent versus
trivalent influenza vaccine was R$20,428 with FLOU, R$22,768 with FLORA (versus
R$20,428 in Analysis 1), and, R$19,257 with FLORENCE (versus R$22,490 in Analysis
1) using a lifetime horizon. Conceptual differences between FLORA and FLORENCE
meant the same assumption regarding increased all-cause mortality in at-risk
individuals had an opposite effect on the incremental cost-effectiveness ratio in
Analysis 2 versus 1, and a proportionally higher number of vaccinated elderly in
FLORENCE reduced this ratio in Analysis 2. DISCUSSION: FLOU provided adequate
cost-effectiveness estimates with data in broad age groups. FLORA increased
insights (e.g., in healthy versus at-risk, paediatric, respiratory/non
respiratory complications). FLORENCE provided greater insights and precision
(e.g., in elderly, costs and complications, lifetime cost-effectiveness).
CONCLUSION: All three models predicted a cost per quality-adjusted life year
gained for quadrivalent versus trivalent influenza vaccine in the range of
R$19,257 (FLORENCE) to R$22,768 (FLORA) with the best available data in Brazil
(Appendix A).
PMID- 29352898
TI - Traffic University: How Small Changes in Lifestyle Can Change Your Life.
PMID- 29352899
TI - Sodium alginate adhesives as binders in wood fibers/textile waste fibers
biocomposites for building insulation.
AB - Alginate derived from seaweed is a natural polysaccharide able to form stable gel
through carbohydrate functional groups largely used in the food and
pharmaceutical industry. This article deals with the use of sodium alginate as an
adhesive binder for wood fibres/textile waste fibres biocomposites. Several
aldehyde-based crosslinking agents (glyoxal, glutaraldehyde) were compared for
various wood/textile waste ratios (100/0, 50/50, 60/40, 70/30 and 0/100 in
weight). The fully biomass derived composites whose properties are herewith
described satisfy most of the appropriate requirements for building materials.
They are insulating with a thermal conductivity in the range 0.078-0.089 W/m/K
for an average density in the range 308-333 kg/m3 according to the biocomposite
considered. They are semi-rigid with a maximal mechanical strength of 0.84 MPa
under bending and 0.44 MPa under compression for 60/40 w/w wood/textile waste
biocomposites with a glutaraldehyde crosslinking agent.
PMID- 29352900
TI - Evaluation of nisin-loaded chitosan-monomethyl fumaric acid nanoparticles as a
direct food additive.
AB - Nisin-loaded chitosan-monomethyl fumaric acid (CM-N) nanoparticles were evaluated
as a novel, direct food additive. Chitosan (CS) was modified with monomethyl
fumaric acid (MFA) in the presence of 1-ethyl-3-(3-dimethylaminopropyl)
carbodiimide (EDC). CS-loaded nisin (CS-N) and CM-N nanoparticles were produced
through ionic interactions between the positive amino group of CS and CS-MFA and
negative tripolyphosphate ions. The resultant materials were characterized by
TNBS assay, X-ray diffraction, Fourier-transform infrared spectroscopy, scanning
electron microscopy, thermogravimetric analysis, and zeta potential analysis. CS
MFA was successfully synthesized with 8.38 +/- 0.02% substitution of the amino
groups, as confirmed by TNBS assay. The percentage yield of CS-N and CM-N
nanoparticles was 81.64 and 76.83% and nisin encapsulation efficiency was 71.48
+/- 0.48 and 60.32 +/- 0.63%, respectively. The average particle size of CS-N and
CM-N nanoparticles was 134.3 and 207.9 nm, while the zeta potential of CS-N and
CM-N nanoparticles was +39.4 mV and +31.5 mV, respectively. Upon antibacterial
activity against foodborne pathogens, CM-N significantly reduced bacterial counts
compared to the other tested samples in orange juice after 48 h of incubation.
Based on the preliminarily results, CM-N nanoparticles have shown impressive
properties and can be used in the food industry as carriers and direct
antimicrobial agents.
PMID- 29352901
TI - Synthesis and characterization of carboxymethylcellulose grafted with
thermoresponsive side chains of high LCST: The high temperature and high salinity
self-assembly dependence.
AB - Graft copolymers based on carboxymethylcellulose (CMC) and thermosensitive
polyetheramines (ethylene oxide/propylene oxide = 33/10 and 1/9) were prepared in
water, at room temperature, by using a carbodiimide and N-hydroxysuccinimide as
activators. SLS was applied to obtain Mw, A2 and Rg of CMC and its derivatives.
Amide linkages were evidenced by FTIR and grafting percentage was determined by
1H NMR. TGA demonstrated that copolymers were thermally more stable than their
precursors. DLS, UV-vis and rheological measurements revealed that properties
were salt- and thermo-responsive and linked to the polysaccharide/polyetheramine
ratio and the hydrophobicity of the graft. None of the copolymers showed cloud
point temperature (Tcp) in water, but they turned turbid in saline media when
heated. Copolymers exhibited thermothickening behaviour at 60 degrees C (>Tcp)
in saline media. Below their Tcp, they showed the ability of keeping constant
viscosity or even slight increase it, which was interpreted in terms of
intermolecular hydrophobic associations.
PMID- 29352902
TI - Thermoresponsive behavior of sodium alginate grafted with poly(N
isopropylacrylamide) in aqueous media.
AB - Thermoresponsive graft copolymers were synthesized. Thermally induced properties
were introduced by grafting poly (N-isopropylacrylamide) (PNIPAM) side chains
onto a sodium alginate backbone. The resulting graft copolymers cover a broad
range in PNIPAM composition and molecular weight. Remarkable thermothickening
behavior in water and 0.1 M NaCl is observed by viscometry when the temperature,
concentration, weight ratio of PNIPAM side chains to backbone, and PNIPAM
molecular weight are higher than certain critical values. This behavior is
reversible and could have applications in oil rigs and drug delivery systems.
PMID- 29352903
TI - Isolation, fine structure and morphology studies of galactomannan from endosperm
of Gleditsia japonica var. delavayi.
AB - The water-soluble polysaccharides extracted from endosperm of Gleditsia japonica
var. delavayi seeds (EGSP) were identified as galactomannan having the M/G ratio
of 2.54-2.66 and a weight average molecular weight (Mw) of 1913 kDa. The
molecular structure of EGSP was determined by periodate oxidation, Smith
degradation, methylation, FTIR and NMR spectroscopy. The main chain is composed
of beta-1,4-d-mannopyranose and the branches composed of single alpha-1-d
galactopyranose. We had also established a model to speculate the fine structure
of galactomannan molecules and given preliminary results. The I2-KI test
indicated that there were many branches on the EGSP backbone and no starch in
EGSP. The CD spectra and Congo red test showed EGSP was random coil conformations
in solution and could form a small quantity of helical conformation under
alkaline conditions. The microstructure of morphology was observed by OM, SEM and
AFM. The results showed that the fibers composed of multiple microfibers formed a
network construction by entangling with each other.
PMID- 29352904
TI - Structural properties and in vitro digestibility of edible and pH-sensitive films
made from guinea arrowroot starch and wastes from wine manufacture.
AB - A non-conventional starch obtained from guinea arrowroot tubers (Calathea
allouia) grown in the Amazon was used as a polymeric matrix for the development
of edible films. The films were manufactured by blending/thermo molding and
plasticized with glycerol. Agro-industrial wastes from wine manufacture (grape
waste flour and grape waste extract) were used as natural fillers of the
thermoplastic starch (TPS) matrices. The results showed that the natural fillers
caused cross-linking in the TPS matrix. This led to the production of films with
higher resistant starch (RS) content, especially RS type 4 (RS4), although the
DSC results showed that the films developed also contained RS type 3 (RS3). As
expected, the presence of RS reduced the in vitro digestibility rate. Films made
with the natural fillers were also less hydrophilic, had a greater thermal
resistance, and tended towards ductile mechanical behavior. Finally, the edible
film containing grape waste flour as a natural filler proved to be pH-sensitive,
although this material disintegrated under alkaline conditions.
PMID- 29352905
TI - Glucose-sensitive polyelectrolyte microcapsules based on (alginate/chitosan)
pair.
AB - Novel chemical stimulus-responsive multilayer assemblies have been elaborated
through the layer-by-layer deposition of oppositely charged polysaccharides on
either flat or spherical surfaces. Concentration-dependent glucose responsiveness
was obtained through chemical modification of alginate, selected as polyanion,
with phenylboronic acid moieties. QCM measurements showed that the alginate
derivate still self-assembles though electrostatically-driven interactions with
chitosan at pH 4, and that the polysaccharides multilayer assemblies, as obtained
after crosslinking, exhibit improved stability versus pH (in the range 4-9) as
well as swelling ability in the presence of glucose-containing solution.
Glutaraldehyde-mediated crosslinking was achieved through reaction with free
primary amines of chitosan. This approach was further extended to the preparation
of smart capsules using CaCO3 microparticles as dissolvable core templates.
Success of the LbL deposition process, stability (pH range 4-9) of the multilayer
assemblies and glucose-induced swelling were fully confirmed for the
microcapsules. One of the major result of this study is that crosslinking
prevents total dissolution of the capsules and enables modulating the
permeability of the polysaccharide shell yielding controlled release on in
capsule entrapped low molecular weight molecules.
PMID- 29352906
TI - Preparation of composite hydroxybutyl chitosan sponge and its role in promoting
wound healing.
AB - In this work, a composite sponge was produced by physically mixing hydroxybutyl
chitosan with chitosan to form a porous spongy material through vacuum freeze
drying. Hydrophilic and macroporous composite hydroxybutyl chitosan sponge was
developed via the incorporation of chitosan into hydroxybutyl chitosan. The
composite sponge showed higher porosity (about 85%), greater water absorption
(about 25 times), better softness and lower blood-clotting index (BCI) than those
of chitosan sponge and hydroxybutyl chitosan sponge. The composite sponge with
good hydrophilic could absorb the moisture in the blood to increase blood
concentration and viscosity, and become a semi-swelling viscous colloid to clog
the capillaries. Cytocompatibility tests with L929 cells and HUVEC cells
demonstrated that composite sponge were no cytotoxicity, and could promote the
growth of fibroblasts. It made up for the shortcomings of hydroxybutyl chitosan
with unfavorable antibacterial effect to achieve a higher level of antibacterial
(>99.99% reduction). Eventually, the vivo evaluations in Sprague-Dawley rats
revealed that epithelial cells attached to the composite sponge and penetrated
into the interior, in addition to this, it was also proved that the composite
sponge (HC-1) had a better ability to promote wound healing and helped for faster
formation of skin glands and re-epithelialization. The obtained data encourage
the use of this composite sponge for wound dressings.
PMID- 29352907
TI - Preparation and characterization of microcrystalline cellulose (MCC) from tea
waste.
AB - Microcrystalline cellulose (MCC) was prepared from Oolong tea waste by acid
hydrolysis in the present study. Based on the single factor experiment results,
the hydrolysis conditions were optimized by an orthogonal L9(3)4 experiment.
Results showed that the degree of polymerization (DP) and yield of MCC were
strongly influenced by the time and temperature of hydrolysis, the concentration
of HCl and the ratio of acid to material. Under optimal conditions (acid to
materials ratio 1:20, HCl concentration 1.5 mol/L, 65 degrees C, 90 min), the
yield and DP of the tea waste MCC were 86.7% and 145, respectively. Fourier
transform-infrared spectroscopy (FT-IR) and X-ray diffraction (XRD) analysis
indicated that tea waste MCC had cellulosic structure and was cellulose I type.
Scanning electron microscopy (SEM) showed that MCC had shorter fibers with some
holes on the rough surface. The MCC prepared from tea waste had good thermal
stability.
PMID- 29352908
TI - Preparation of starch nanocrystals through enzymatic pretreatment from waxy
potato starch.
AB - A comparative experiment about the production of starch nanocrystals (SNCs)
with/without glucoamylase enzymatic pretreatment by sulfate acid hydrolysis from
waxy potato starch (WPS) was employed in the present study. The research focused
on the enhancement of the preparation efficiency while improving the dispersion
of SNCs at the same time. The enzymatic pretreatment resulted in the decrease of
acid hydrolysis duration. The minimum size and best dispersibility of
nanocrystals was obtained after 5 days of hydrolysis. X-ray diffraction confirmed
the increase of crystallinity (from 33% to 50.8%) and the polymorphic transitions
(B-A) for nanocrystals harvested at day 5. The structure of nanocrystals was
characterized by FT-IR, which suggested the presence of sulfate ester on the
surface of SNCs. Zeta potential and size distribution revealed the prominent
stability and dispersibility of nanocrystals at pH 7.0. SEM and TEM revealed that
SNCs had square shapes with particle sizes ranging from 50 to 100 nm.
PMID- 29352909
TI - A review of polysaccharides from Schisandra chinensis and Schisandra
sphenanthera: Properties, functions and applications.
AB - Schisandra is an herbal plant resource with dual functions in medicine and food
since ancient times. It has a variety of healthcare and therapeutic effects.
Schisandra chinensis (Turcz.) Baill and Schisandra sphenanthera Rehd. et Wits are
two of the most commonly used Schisandra. Traditional Chinese medicine experience
has shown that water-soluble polysaccharide from Schisandra may be one of its
main components with pharmacological effects. Progress in the industrial
production and extraction process of plant polysaccharides, especially Chinese
medicine polysaccharides in the past three decades, have led to many new
discoveries about Schisandra polysaccharides in the fields of purification and
identification. Their health benefits and pharmacological value have increased
the interest of the public and academia. This review summarizes the
physicochemical properties and biological functions of polysaccharides from two
Schisandra fruits, and the application prospects of these bioactive
macromolecules in future daily life and medicine.
PMID- 29352910
TI - A novel photocleavable heparin derivative with light controllable anticoagulant
activity.
AB - Heparin (HP) has enormous potential for clinical medication applications owing to
its anticoagulant activity. However, the strong anticoagulant capacity of HP also
leads to some side effects. Herein, a photocaged derivative (HP-DMNB) of HP was
synthesized for light control of its anticoagulant activity. The synthesized HP
DMNB was characterized by NMR and FTIR analysis, which confirmed the successful
modification of HP with the photocleavable 4,5-dimethoxyl-2-nitrobenzyl (DMNB)
groups. After the modification, the molecular weight of HP-DMNB (DS = 0.34%)
changed from 61 to 71 kDa. Anticoagulant activity analysis showed that HP-DMNB
had a reduced anticoagulant capacity compared with commercial HP, while its
anticoagulant activity will regain after releasing the free carboxyl groups of HP
under UV light. In addition, HP-DMNB and its UV irradiated products were observed
to possess good biocompatibility through the MTT assays and live-dead assays with
HaCaT cells, which may have impacts on the clinical medication applications of
HP.
PMID- 29352911
TI - HPMCAS as an effective precipitation inhibitor in amorphous solid dispersions of
the poorly soluble drug candesartan cilexetil.
AB - Among the strategies to improve the biopharmaceutic properties of poorly soluble
drugs, Supersaturating Drug Delivery Systems like polymer-based amorphous solid
dispersions (SD) have been successfully applied. The screening of appropriate
polymeric carriers to compose SD is a crucial point on their development. In this
study, hydroxypropylmethylcellulose (HPMC), hydroxypropylmethylcellulose acetate
succinate (HPMCAS) types L, M and H and polyvinyl caprolactam-polyvinyl acetate
polyethylene glycol graft copolymer (SOL) were evaluated by in vitro
supersaturation studies regarding their anti-precipitant ability on the poorly
soluble drug candesartan cilexetil (CC) under two different media, including
biorelevant conditions. According to the results, HPMCAS M was considered the
best carrier to develop SD containing CC among all the polymers tested, due to
its good anti-precipitant performance in both media. In addition, the medium used
in the in vitro supersaturation studies played an important role on the results,
and its selection should be carefully done.
PMID- 29352912
TI - Synthesis of a poly(ester) dendritic beta-cyclodextrin derivative by "click"
chemistry: Combining the best of two worlds for complexation enhancement.
AB - In spite of the progress in the cyclodextrins chemistry, the synthesis of
monodisperse derivatives with a defined degree of substitution is still a
challenge. In this work we present a novel dendritic material produced by
combining betaCD and second generation poly(ester) dendrons. The selective
attachment of dendrons in the seven positions of the betaCD-primary face was
performed through a CuAAC click reaction, which along with a very simple work-up,
allowed obtaining the monodisperse material in very high yields. The product
showed a great aqueous solubility and an in vitro non-toxic profile. The enhanced
complexation potential of the product was evidenced through the formation of an
inclusion complex with albendazole, which presented a Kc = 29636.17 M-1. In this
system, albendazole was 45 times more water-soluble in comparison to the complex
albendazole-native betaCD. All these features make the dendritic material very
attractive for further applications in the formulation and drug delivery fields.
PMID- 29352913
TI - Response surface methodology for optimisation of edible coatings based on dextran
from Leuconostoc mesenteroides T3.
AB - The aim of this study was to develop dextran-based edible films plasticized by
sorbitol. In order to optimise the film-forming formulation, response surface
methodology was used. The influence of dextran and sorbitol concentration on the
mechanical and water vapour barrier properties of obtained films was
investigated. The results showed that both parameters exhibited significant
effect on the water vapour permeability of a film. Both dextran and sorbitol
concentration had significant influence on tensile strength and elongation at
break, whereas only sorbitol concentration had significant effect on Young's
modulus. After optimisation by desirability approach, it was found that a film
made of 3.40 wt% of dextran and 20.43 wt% of sorbitol showed the lowest water
vapour permeability and the highest tensile strength and elasticity.
PMID- 29352914
TI - Silica in situ enhanced PVA/chitosan biodegradable films for food packages.
AB - Non-degradable plastic food packages threaten the security of environment. The
cost-effective and biodegradable polymer films with good mechanical properties
and low permeability are very important for food packages. Among of biodegradable
polymers, PVA/chitosan (CS) biodegradable films have attracted considerable
attention because of feasible film forming ability. However, PVA/CS biodegradable
films suffered from poor mechanical properties. To improve mechanical properties
of PVA/CS biodegradable films, we developed SiO2in situ to enhance PVA/CS
biodegradable films via hydrolysis of sodium metasilicate in presence of PVA and
chitosan solution. The tensile strength of PVA/CS biodegradable films was
improved 45% when 0.6 wt.% SiO2 was incorporated into the films. Weight loss of
PVA/CS biodegradable films was 60% after 30 days in the soil. The permeability of
oxygen and moisture of PVA/CS biodegradable films was reduced by 25.6% and 10.2%,
respectively. SiO2in situ enhanced PVA/CS biodegradable films possessed not only
excellent mechanical properties, but also barrier of oxygen and water for food
packages to extend the perseveration time.
PMID- 29352915
TI - Silver(I) dithiocarbamate on modified magnetic cellulose: Synthesis, density
functional theory study and application.
AB - A magnetically retrievable catalytic system involving Ag(I) dithiocarbamate
supported on functionalized cellulose was prepared. The structure of this hybrid
nano-composite was characterized by various techniques. In addition, to describe
the metal-ligand interactions present in the nano-composite, covalent and
electrostatic interactions, density functional theory model and quantum theory of
atoms in molecule method were employed. The resultant nano-composite exhibits
remarkable catalytic efficacy in the synthesis of 2-amino-6-(2-oxo-2H-chromen-3
yl)-4-phenylnicotinonitriles from the reaction of 3-acetylcoumarin, aromatic
aldehydes, and malononitrile. Its recoverability and reusability for this
catalyst was examined in several runs, which showed no appreciable loss after
five runs. The facile accessibility to the starting materials, and conducting the
reactions in the eco-friendly and cost-effective conditions are the other merits
of such new catalyst. Ambient reaction conditions, wide substrate scope, and
facile accessibility to the starting materials, are some of the other outstanding
features of this protocol that make it economic and sustainable.
PMID- 29352917
TI - Chitooligosaccharides and their biological activities: A comprehensive review.
AB - Chitin is the most abundant natural polysaccharide and chitosan is its most
important derivative. Regardless of having various bioactivities, the water
insolubilities of chitin and chitosan limit their applications in many
industries. The physical, chemical or enzymatic depolymerization of chitin and
chitosan deliver chitooligosaccharides (COS): water-soluble and low molecular
weight derivatives, superior to the parent polymers in multiple aspects. COS
exhibit an enormously wide range of biological activities and a remarkable
potential to be applied in various industries. This review has fully addressed
the latest research on the biological activities of COS and the molecular
mechanism behind these activities in a correlation with their physicochemical
properties. Furthermore, an attempt has been made to report the commercially
available COS products. The bioactivities discussed here may offer new
understanding of the applications of COS in numerous sectors.
PMID- 29352916
TI - Effect of Punica granatum peel extracts on antimicrobial properties in Walnut
shell cellulose reinforced Bio-thermoplastic starch films from cashew nut shells.
AB - The main aim of the present study is to extract and characterize cashew nut shell
(CNS) starch and walnut shell cellulose (WNC) for development of cellulose
reinforced starch films. Moreover, the extraction and characterization of
pomegranate peel extract, for incorporation with CNS-WNC films, was investigated.
CNS starch was examined to be a moderate amylose starch with 26.32 +/- 0.43%
amylose content. Thermal degradation temperature of CNS starch was found to be
310 degrees C. Walnut shell cellulose was found to have high crystallinity index
of 72%, with two thermal degradation temperatures of 319 degrees C and 461
degrees C. 2% WN cellulose reinforced CNS starch films were examined to have good
oxygen transfer rate, mechanical and physical properties. Thermal degradation
temperature of CNS-WNC starch films were found to be at the range of 298-302
degrees C. Surface roughness of CNS-WNC starch films were found to be increasing
with increase in concentration of cellulose in films. Hydroxymethylfurfurole,
Benzene, 2-methoxy-1,3,4-trimethyl and 1,2,3-Propanetriol, 1-acetate were found
to be major active compounds present in hydrophilic extracts of Punica granatum
peels. 2% WN cellulose reinforced starch films infused with hydrophilic active
compounds of pomegranate peel was examined to be having good active package
properties.
PMID- 29352918
TI - Structural characteristics and anticancer activity in vitro of fucoidan from
brown alga Padina boryana.
AB - The sulfated and acetylated fucoidan fraction, containing fucose, galactose,
mannose, glucose and uronic acid residues, was isolated from the brown alga
Padina boryana. The structure of galactofucan part was studied after different
modifications by NMR spectroscopy and mass spectrometry. It was shown that
galactofucan contained the main chain of alternating 1,4-linked alpha-l
fucopyranose and 1,3-linked beta-d-Galactopyranose. Single fucose residues were
found as branches at C4 of galactose residues. Also, fucoidan contained 1,3- or
1,4-linked Fuc-Fuc and Gal-Gal fragments. The sulfate groups occupied positions
C2, C3 and C4 of both fucose and galactose residues, which was shown by tandem
mass spectrometry of fragments, labeled with heavy-oxygen. The anticancer effect
of native and modified fucoidan fractions was studied in vitro on the colorectal
carcinoma cells DLD-1 and HCT-116. All fucoidans had no cytotoxicity under 400
MUg/mL and inhibited colony formation of cancer cells at concentration of 200
MUg/mL.
PMID- 29352919
TI - Comprehensive analysis of glycosaminoglycans from the edible shellfish.
AB - We have previously reported that the keratan sulfate (KS) disaccharide was
branched to the C-3 position of glucuronate in chondroitin sulfate (CS)-E derived
from the Mactra chinensis. We carried out the comprehensive disaccharide analysis
of GAGs from 10 shellfish, Ruditapes philippinarum, Scapharca broughtonii,
Mizuhopecten yessoensis, Turbo cornutus, Crassostrea nippona, Corbicula japonica,
Mytilus galloprovincialis, Neptunea intersculpta, Pseudocardium sachalinense and
Crassostrea gigas, to better understand the glycan structures in marine
organisms. The contents of CS, heparan sulfate and hyaluronic acid and their
compositions depend on the species of shellfish. Interestingly, a peak
corresponding to a pentasaccharide containing KS disaccharide was observed when
GAGs from T. cornutus was treated with chondroitinase (Chase) ACII but not Chase
ABC. In addition, unidentified peaks were also observed when CS derived from R.
philippinarum, S. broughtonii were treated with Chase ACII. These results suggest
the presence of additional unidentified structure of CS in these shellfish.
PMID- 29352920
TI - Biomass-based magnetic fluorescent nanoparticles: One-step scalable synthesis,
application as drug carriers and mechanism study.
AB - A biomass-based magnetic fluorescent nanoparticle (MFNPs) was successively in
situ synthesized via a one-step high-gravity approach, which constructed by a
magnetic core of Fe3O4 nanoparticles, the fluorescent marker of carbon dots
(CDs), and shells of chitosan (CS). The obtained MFNPs had a 10 nm average
diameter and narrow particle size distribution, low cytotoxicity, superior
fluorescent emission and superparamagnetic properties. The encapsulating and
release 5-fluorouracil experiments confirmed that the introduction of CS/CDs
effectively improved the drug loading capacity. Mechanism and kinetic studies
proved that: (i) the monolayer adsorption was the main sorption mode under the
studied conditions; (ii) the whole adsorption process was controlled by intra
liquid diffusion mass transfer and governed by chemisorption; and (iii) the
release process was controlled by Fickian diffusion. These results demonstrated
this method to one-step continuously produce MFNPs and the construction of non
toxic nanostructure possessed great superiority in currently Nano-delivery
systems, which would show high application value in targeted drug delivery,
magnetic fluid hyperthermia treatment, magnetic resonance imaging (MRI), in vitro
testing and relative research.
PMID- 29352921
TI - Anti-osteoporosis activity of a novel Achyranthes bidentata polysaccharide via
stimulating bone formation.
AB - Achyranthes bidentata is an important Traditional Chinese Medicine for the
treatment of osteoporosis. In this study, A. bidentata polysaccharide (ABPB),
which was extracted with alkali from the root of A. bidentata at room
temperature, significantly increased the bone mineral density, bone mineral
content, trabecular thickness, trabecular number and biomechanical properties of
ovariectomized (OVX) rats, indicating that ABPB had prominent curative effects on
osteoporosis in OVX rats. A novel polysaccharide (ABPB-3) was purified from ABPB,
and its structure was characterized as a repeating unit consisting of ->4)-alpha
d-GalpA-(1->, ->2,4)-alpha-l-Rhap-(1->, ->5)-alpha-l-Araf-(1->, ->2,3,5)-alpha-l
Araf-(1->, ->3)-beta-d-Galp-(1->, ->3,4,6)-beta-d-Galp-(1->, terminated with
alpha-l-Araf, alpha-l-Rhap and beta-d-Galp. Up to now, there were no literature
reports relevant to the structure of ABPB-3. In the zebrafish model of
glucocorticoid-induced osteoporosis (GIOP), ABPB-3 significantly increased the
relative fluorescence intensity of the skull bone mass in a concentration
dependent manner, indicating that it stimulated bone formation activity. Thus,
ABPB and ABPB-3 have the potential to be used for the anti-osteoporosis medicine.
PMID- 29352922
TI - Cellulose nanofiber-embedded sulfonated poly (ether sulfone) membranes for proton
exchange membrane fuel cells.
AB - Cellulose nanofibers were embedded into sulfonated poly (ether sulfone) matrix to
heighten the water retention and proton conductivity of proton exchange membranes
(PEMs). Cellulose nanofibers were obtained by hydrolyzing cellulose acetate
nanofibers, which were prepared via electrostatic-induction-assisted solution
blow spinning. Morphology, thermal stability, and mechanical properties of the
PEMs were investigated. The results showed that proton conductivity, water
uptake, and methanol permeability of the composite membranes were improved.
Hydrophilicity of the composite membranes was gradually improved with the
addition of nanofibers. When the content of nanofibers was 5 wt%, the highest
proton conductivity was 0.13 S/cm (80 degrees C, 100% RH). Therefore, the
cellulose nanofiber could be used as support materials to enhance the performance
of proton exchange membranes, the composite membranes have potential application
in Direct methanol fuel cells (DMFCs).
PMID- 29352923
TI - Fabrication of cellulose/graphene paper as a stable-cycling anode materials
without collector.
AB - Flexible and foldable devices attract substantial attention in low-cost
electronics. Among the flexible substrate materials, paper has several attractive
advantages. In our study, we fabricate cellulose/graphene paper by wet end
formation (papermaking). The cationic polyacrylamide remarkably improve the
retention ratio of graphene of cellulose/graphene slurry. Besides,
cellulose/graphene paper exhibits well mechanical properties such as its
flexibility and folding endurance. And we replace copper foil collector with
cellulose/graphene paper in lithium-ion batteries without collector, and
investigate its electrochemical properties. The obtained results show that
cellulose/graphene paper presents excellent charge-discharge stability after
1600th cycles as the anode of lithium-ion batteries. These advantages highlight
the potential applications of cellulose/graphene paper as anode materials for
lithium-ion batteries.
PMID- 29352924
TI - Producing nanofibres from carrots with a chemical-free process.
AB - The production of nanofibres (NF) from fresh carrots residue was investigated
with a mechanical process without using any pulping or bleaching chemicals.
Refining with a PFI mill followed by mechanical fibrillation with a homogenizer
was used to produce fine NF. Blanching with hot water was carried out to leach
the extractives from carrot fibres prior to refining. The energy required to
prepare carrot pulp is one order of magnitude lower than for wood pulp and the
fibrillation of nanofibres from carrot residue is four times lower in energy than
using wood pulp as feedstock. The average diameter and length of carrot NF are 18
nm and 5.1 MUm, respectively. The chemical composition of the manufactured
nanofibers, as measured by HPLC, was 53% glucose and 47% xylose. Translucent and
strong flexible films were prepared from the carrot NF using a filtration based
papermaking process. The strength and water vapor permeability of these carrot NF
paper like composites are similar to those derived from wood-fibre of comparable
dimensions.
PMID- 29352925
TI - Influence of hydrothermal treatment on the structural modification of spent grain
specific carbohydrates and the formation of degradation products using model
compounds.
AB - Brewer's spent grain (BSG) constitutes various valuable carbohydrates that may
contribute to a healthy diet. These components may be obtained from BSG via
hydrothermal treatment (HT), a procedure for dissolving water-inextricable
carbohydrates. The objective of this study was to investigate HT as an
environmentally friendly technology for extracting high-molecular-weight fiber
with proven beneficial effects on human health. Cellulose, beta-glucan, and
arabinoxylan (AX) served as model substances and were subjected to auto
hydrolysis at different temperatures and reaction times. The results were
evaluated in terms of structural and chemical characteristics. When the treatment
temperature was increased, the original weight-average molar mass of AX (370 kDa)
and beta-glucan (248 kDa) decreased gradually (<10 kDa), and the molar mass
distribution narrowed. Further investigations focused on the heat-induced
formation and elimination of monosaccharides and undesirable by-products. The
concentrations of by-products were successfully described by kinetic models that
can be used to optimize the hydrolysis process.
PMID- 29352927
TI - Self-assembly and rheological behaviors of intermacromolecular complexes
consisting of oppositely charged fluorinated guar gums.
AB - We synthesized fluorinated cationic/anionic guar gums (FCGG and FAGG) and
characterized these species using Fourier transform infrared spectroscopy and 1H
nuclear magnetic resonance spectroscopy. The degree of fluorine substitution of
FCGG (0.26%) and FAGG (0.21%) was calculated by elemental analysis. In addition,
we explored the self-assembly and rheological behaviors of FCGG-FAGG complexes by
viscometry, scanning electron microscopy, light scattering, fluorescence
spectroscopy, and rheometry. The maximum viscosity and molecular weights were
observed with a FAGG:FCGG mass ratio of 7.0:3.0, denoted by COMP. Moreover, FAGG
FCGG interactions in COMP led to the lowest shape factor and critical associating
concentration. Additionally, the relaxation time and crossover modulus of COMP
(6.65 s and 0.90 Pa, respectively) were remarkably higher than those of FCGG and
FAGG alone. Finally, viscoelastic hysteresis loops emerged for FAGG and COMP. The
results suggested that the self-assembly behaviors of FAGG-FCGG were influenced
by both ionic and fluorinated groups.
PMID- 29352926
TI - A novel microporous oxidized bacterial cellulose/arginine composite and its
effect on behavior of fibroblast/endothelial cell.
AB - The bacterial cellulose (BC) has been reported widely. Although there are many
methods to modify BC, such as the oxidized BC, which is biodegradable and can be
used as wound dressing. However, the nanostructure of BC makes it difficult to be
oxidized. Importantly, high oxidation degree makes the content of aldehyde high,
which make the cell biocompatibility poor. Herein, we fabricated a novel bio
composite based on microporous oxidized BC (MOBC) and in-situ grafted with Arg.
The micropores can increase the contact area between BC and oxidizing agent and
the reaction between MOBC and Arg, which will enhance the biocompatibility. The
roughness and surface energy of MOBC/68.68%Arg are 1.5 and 1.16 times than that
of BC respectively. We applied a microfluidic chip to evaluate the cell
migration. Comparing with BC, MOBC/Arg promoted proliferation, migration and
expression of Collagen-I of fibroblasts and endothelial cells. It prospects the
MOBC/Arg can be used as wound dressing.
PMID- 29352928
TI - Thermo-sensitive injectable glycol chitosan-based hydrogel for treatment of
degenerative disc disease.
AB - The use of injectable hydrogel formulations have been suggested as a promising
strategy for the treatment of degenerative disc disease to both restore the
biomechanical function and reduce low back pain. In this work, a new thermo
sensitive injectable hydrogels with tunable thermo-sensitivity and enhanced
stability were developed with N-hexanoylation of glycol chitosan (GC) for
treatment of degenerative disc disease, and their physico-chemical and biological
properties were evaluated. The sol-gel transition temperature of the hydrogels
was controlled in a range of 23-56 degrees S, depending on the degree of
hexanoylation and the polymer concentration. In vitro and in vivo tests showed no
cytotoxicity and no adverse effects in a rat model. The hydrogel filling of the
defective IVD site in an ex vivo porcine model maintained its stability for
longer than 28 days. These results suggest that the hydrogel can be used as an
alternative material for treatment of disc herniation.
PMID- 29352929
TI - Structural characterization, antioxidant and hepatoprotective activities of
polysaccharides from Sophorae tonkinensis Radix.
AB - In current study we present two polysaccharides, STRP1 and STRP2, purified from
Sophorae tonkinensis Radix via column chromatography. Structural analyses
indicated that STRP1 and STRP2 were consisted of mannose, rhamnose, glucuronic
acid, glucose, galactose and arabinose in a similar molar ratio with main
backbones of (1 -> 3)-linked-alpha-d-Gal and (1 -> 4)-linked-alpha-d-Glc, while
average molecular weights were 1.30 * 104 and 1.98 * 105 Da, respectively. We
observed a strong chelating ability on ferrous ions; substantial radical
scavenging activities on DPPH, hydroxyl and superoxide anion radicals in vitro;
and significant attenuation on acetaminophen-induced hepatic oxidative damage in
mice for STRP1 and STRP2. The promising data on these polysaccharides showcase
the need to further develop novel natural antioxidant and liver-protecting drugs.
PMID- 29352931
TI - Effects of chitosan quaternary ammonium salt on the physicochemical properties of
sodium carboxymethyl cellulose-based films.
AB - The effects of N-(2-hydroxyl)-propyl-3-trimethylammonium chitosan chloride (HTCC)
on the physicochemical properties of sodium carboxymethyl cellulose (CMC)-based
films were investigated. The prepared HTCC/CMC film-forming solutions (FFSs) with
varying mass ratios exhibited shear-thinning behavior and typical pseudo
plasticity. The highest apparent viscosity and lowest crossover frequency was
obtained for the HTCC/CMC FFS with a mass ratio of 10%, due to the formation of
the strongest intermolecular interactions, which also led to the best mechanical
properties. Furthermore, the effects of temperature and degree of substitution of
HTCC on the composite film properties were also investigated. Structural property
assessment by FTIR, SEM, and DSC showed a clear interaction between HTCC and CMC,
leading to the formation of a new composite material with enhanced
physicochemical properties. The data demonstrate the importance of HTCC in CMC
based films for food and drug packaging.
PMID- 29352930
TI - An efficient approach to prepare sulfated polysaccharide and evaluation of anti
tumor activities in vitro.
AB - Use of microwave radiation is one of the most potential techniques in
polysaccharide derivatives synthesis due to its advantages such as higher yields,
milder reaction conditions and shorter reaction times. This study was aimed at
producing sulfated polysaccharides by microwave irradiation using polar reagents
and solvent. Six sulfated Artemisia sphaerocephala polysaccharide derivatives
(SPAS) were obtained by using chlorosulfuric acid/pyridine method in the reaction
duration range of 15-300 min at a fixed microwave power of 100W. Synthesis of
SPAS under microwave filed was highly effective and characterized by time saving
and high degrees of substitution (DS). The chemical structure of SPAS was
confirmed by FT-IR and 13C NMR that sulfation had occurred and the substitution
position was mainly at C-6. Size-exclusion chromatograph combined with multi
angle laser photometer (SEC-MALLS) data indicated that short reaction time
minimized acid-catalyzed degradation of polysaccharide chains. AFM observation
demonstrated that low-MW derivative exhibited aggregation of polysaccharide chain
as irregular spherical lumps. In anti-tumor activity assays, sulfation of PAS
significantly improved the capacity to inhibit tumor cells growth by arresting
the cell cycle progress in specific phases. It could be concluded that MW and
molecular mass distribution had much greater influence on anti-tumor activities
of SPAS against human non-small cell lung cancer A549 cells, human hepatocellular
carcinoma HepG2 cells and human cervical cancer Hela cells. Microwave-assisted
synthesis might possess widespread application in preparation of new
polysaccharide derivatives with rich variety of structural features and
bioactivities.
PMID- 29352932
TI - The effect of nanocrystalline cellulose on flow properties of fiber crop aqueous
suspension.
AB - Nanocrystalline cellulose (NCC) a nature-based material, has gained significant
attentions for its unique properties. The present study aims to investigate the
flow behavior of cellulosic suspension containing non-wood pulp fibers and NCC,
by means of rheological and pressure drop measurements. The NCC sample was
prepared by sulfuric acid hydrolysis from Acacia mangium fibers. The rheological
properties of kenaf/NCC suspensions were studied using viscosity and yield stress
measurements. The pressure drop properties of the suspension flow were studied
with respect to variation in flow velocity (0.4 m/s-3.6 m/s) and the NCC
concentration (70 mg/l and 150 mg/l). The pressure drop results showed that the
pulp suspension containing 150 mg/l NCC had higher drag reduction than kenaf
suspension alone. The present insights into the flow of pulp/NCC suspension
provide a new data and promote the application of NCC in industries.
PMID- 29352933
TI - Photopolymerized maleilated chitosan/thiol-terminated poly (vinyl alcohol)
hydrogels as potential tissue engineering scaffolds.
AB - Photocrosslinkable hydrogels composed of natural materials exhibit great
application potential in tissue engineering scaffolds. However, weak formation
and poor mechanical property can usually be a limitation. Herein, the photo
clickable thiol-ene hydrogels based chitosan were synthesized using
photopolymerization of maleic chitosan (MCS) and thiol-terminated poly (vinyl
alcohol) (TPVA) in the presence of a biocompatible photoinitiator. Rheological
property and absorbing behavior of the MCS/TPVA hydrogels could be tailored by
varying the amount of TPVA in the feed. There was strong intermolecular hydrogen
bonding between the molecules of MCS and TPVA. Notably, the MCS/TPVA hydrogel (MT
3) exhibited rapid gelation behavior (<120 s), improved stiff (G' = ~5500 Pa) and
compressive strength (0.285 +/- 0.014 MPa), which were important for hydrogel
scaffolds, especially for injectable hydrogel scaffolds. Photocrosslinked
MCS/TPVA hydrogels was cytocompatible and could promote the L929 cells attachment
and proliferation, showing their potential as tissue engineering scaffolds.
PMID- 29352934
TI - gamma-Cyclodextrin-phenylacetic acid mesh as a drug trap.
AB - In this study, we developed a nanoporous biodegradable mesh, bioinspired by the
spider web, which is prepared via electrospinning using gamma-cyclodextrin (gamma
CD) conjugated with phenylacetic acid (PA), named gamma-CDP. The resulting gamma
CDP has a microfibrous or microspherical shape and contains drug trap meshlike
gamma-CD pores. These gamma-CDP micromeshes (microspheres or microfibers) enable
efficient drug capture and drug transport into deep gamma-CDP nanocompartments or
out of the gamma-CDP web, resulting in a driving domain for a 4-week drug
release. When used to deliver chemotherapeutic agents to xenografted tumors, the
gamma-CDP implants caused nearly complete tumor regression for 4 weeks after
single administration. This strategy of a drug trap biodegradable mesh (with low
density) will make drug containers uniquely attractive for the development of
therapeutic implants and functional biomedical devices.
PMID- 29352935
TI - Development of pH-responsive chitosan-based hydrogel modified with bone ash for
controlled release of amoxicillin.
AB - In present study, bone ash-reinforced chitosan-based hydrogels were obtained by
encapsulation of bone ash into the hydrogel structure which was fabricated by
photopolymerization of chitosan-grafted-glycidyl methacrylate (CTS-g-GMA) and
poly(ethylene glycol)diacrylate (PEGDA) under the UV light. Hydrogels were
characterized by ATR-FTIR, SEM and XRD analyses. Mechanical performance of the
hydrogels was determined by universal mechanical tester. Cytotoxicity tests for
hydrogels were conducted with L929 cell lines to determine cellular
compatibility. Swelling tests were carried out to investigate the water uptake
capacity of hydrogels. Amoxicillin which could be used for treatment of gastric
ulcer was selected as the model drug. The release of amoxicillin was provided at
simulated gastric (pH: 1.2) and intestinal media (pH: 7.4) in efficient and
controlled manner. All results visualized that the obtained pH-sensitive chitosan
based hydrogel with enhanced mechanical properties could be a potential candidate
as a drug carrier for treatment of gastric ulcer in the future applications.
PMID- 29352936
TI - Freeze dried chitosan acetate dressings with glycosaminoglycans and traxenamic
acid.
AB - Bleeding control plays an important role to increase survival in the early phase
after a traumatic event. The aim of present work was the development of
hemostatic sponge-like dressings based on chitosan, in association with
glycosaminoglycans (GAG) (chondroitin sulfate or hyaluronic acid) and the
improvement of their hemostatic performance by loading tranexamic acid (TA). The
dressings were prepared by lyophilizazion and were characterized for mechanical,
hydration, bioadhesion properties and morphology. Moreover, FTIR analysis was
performed to understand the interactions between the different polyelectrolytes
present in the dressings. Clotting was investigated in vitro by using rat whole
blood. Moreover, in vitro biocompatibility and proliferation were evaluated
towards fibroblasts. Ex vivo proliferation properties were assessed by using
human skin. All the dressings were characterised by mechanical, hydration and
bioadhesion properties suitable to be applied on bleeding wounds and to absorb
bleeding or wound exudate, avoiding tissue dehydration. TA release was fast; TA
and chitosan showed a synergic effect to speed up clotting. The dressings were
biocompatible and able to sustain cell proliferation in vitro and ex vivo in
human skin. In conclusion, sponge-like dressings based on chitosan and GAG and
loaded with TA are an effective tool to enhance hemostasis and healing in
bleeding wounds.
PMID- 29352937
TI - Synthesis, thermal properties and cell-compatibility of photocrosslinked
cinnamoyl-modified hydroxypropyl cellulose.
AB - Biocompatibility of cinnamoyl-modified carbohydrate materials is not well-known,
while they are attracting attention as a photoreactive material. In order to
investigate biocompatible properties of cinnamoyl-modified carbohydrate,
hydroxypropyl cellulose (HPC) was reacted with cinnamoyl chloride to yield
cinnamoyl-modified HPC (HPC-C) for a cell proliferation test. HPC-Cs with three
different degrees of substitution (DS) were prepared by changing a feed ratio of
cinnamoyl chloride to HPC. The DS of the products ranged from 1.3 to 3.0 per one
hydroxylpropyl anhydroglucose unit. Thermal analysis using DSC and TGA showed
that the HPC-C with higher DS has a glass transition temperature and higher
thermal stability. Ultraviolet (UV) light was irradiated on the HPC-C thin films,
and changes in the UV-vis spectrum of the films were examined. In the course of
UV irradiation, the absorbance at 280 nm was reduced. Fibroblast cells were
cultured on the photocrosslinked HPC-C films, and cell growth was examined. The
cell proliferation test revealed that the photocrosslinked HPC-C films have good
compatibility with fibroblast cells.
PMID- 29352938
TI - DMTMM-mediated amidation of alginate oligosaccharides aimed at modulating their
interaction with proteins.
AB - Alginate oligosaccharides (AOS) with a weight average molecular weight of 5 kDa
were efficiently amidated with amino acids and carbohydrates in aqueous media in
the presence of 4-(4,6-dimethoxy-1,3,5-triazin-2-yl)-4-methylmorpholinium
chloride (DMTMM). Here, alanine, leucine, serine, as well as mannose and
rhamnose, were amidated at high yields with a good control of the degree of
substitution (DS). Amino acid- and carbohydrate-grafted AOS showed improved
stability against degradation by alginate lyases having different specificities.
This enzyme resistance was correlated with the DS: hydrolysis was reduced by 60
70% for low DS (0.1), whereas AOS with DS ranging from 0.4 to 0.6 remained
unhydrolyzed. Competitive inhibition assays demonstrated multivalent binding of
mannose-amidated AOS to concanavalin A lectin. A 178-fold affinity enhancement
was observed for AOSMan-0.38 (DS 0.38) over alpha-methyl-mannoside with an IC50
of 5.6 MUM, lending further evidence for the promising potential of AOS as
multivalent scaffolds.
PMID- 29352939
TI - Paenibacillus panacisoli enhances growth of Lactobacillus spp. by producing
xylooligosaccharides in corn stover ensilages.
AB - The knowledge about the association of lignocellulosic biomass-degrading microbes
with lactic acid bacteria (LAB) in ensilages is still limited. Paenibacillus
strains are important microbes in sustainable agriculture. Here, P. panacisoli
SDMCC050309 was isolated from ensiled corn stover and used as an example to
investigate the effects on LAB. This strain produced at least 7 xylanases, and
two of them were purified and characterized. Temperature and pH optima were
determined to be 55 degrees C and 8.0 for Xyn10 and 40 degrees C and 7.0 for
Xyn11, respectively. They could degraded larch wood xylan and alkali-pretreated
corn stover into xylooligosaccharides (XOS). Using the produced XOS to culture
Lactobacillus brevis SDMCC050297 and L. parafarraginis SDMCC050300, both of them
grew well with high level of acetic acid production. The same phenomenon was
observed when co-culturing those two Lactobacillus strains with P. panacisoli
SDMCC050309. Therefore, P. panacisoli enhances growth of LAB by producing XOS in
corn stover ensilages.
PMID- 29352940
TI - PEGylated chitosan nanoparticles with embedded bismuth sulfide for dual
wavelength fluorescent imaging and photothermal therapy.
AB - It is of great significance to construct multifunctional nanosystems for
simultaneous imaging and therapy of cancer cells. Herein, PEGylated chitosan
nanoparticles with embedded bismuth sulfide were facilely fabricated via reverse
microemulsion method for fluorescent imaging and photothermal therapy of HepG2
cells. The obtained BSA-Bi2S3-CG-PEG nanospheres revealed dual-wavelength
fluorescence, which were spectrally isolated from the bioautofluorescence.
Moreover, they demonstrated remarkable photothermal conversion efficiency and
stability. Importantly, these small BSA-Bi2S3-CG-PEG nanoparticles shown a zeta
potential of + 42.3 mV, which could rapidly get into HepG2 cells and locate in
the cytoplasm and nuclei of cells. Based on their excellent photothermal effect
and high cellular uptake, BSA-Bi2S3-CG-PEG nanoparticles could efficiently kill
HepG2 cells under an 808 nm laser irradiation. This construction strategy can be
used for preparation of fluorescent chitosan nanoparticles with other therapeutic
agents embedded, which would provide a versatile platform for dual-wavelength
fluorescent imaging guided therapy of cancer.
PMID- 29352941
TI - Preparation and characterization of PVA/nanocellulose/Ag nanocomposite films for
antimicrobial food packaging.
AB - Antimicrobial packaging is an area of emerging interest and is rapidly expanding
with application of nanotechnology. The present work investigates the effect of
nanocellulose (NC) and Ag NPs on the physical, mechanical and thermal properties
of PVA nanocomposite films. The tensile strength of PVA was improved from 5.52 +/
0.27 MPa to 12.32 +/- 0.61 MPa when filled with 8 wt% of NC. Nanocomposite films
exhibited strong antibacterial activity against both Staphylococcus aureus (MRSA)
and Escherichia coli (DH5-alpha). The maximum inhibition zone at 0.5 g Ag NPs
with 12 wt% NC against DH5-alpha was 14 +/- 0.70 mm. While, the maximum
inhibition zone at 0.3 g Ag NPs for 16 wt% NC was 13.6 +/- 0.68 mm against MRSA.
Moreover, nanocomposites films have no cytotoxicity effect on HepG2 and cell
viability was more than 90%. Based on mechanical properties and antibacterial
potential of the developed nanocomposite films, it can be envisaged to use these
films for packaging applications.
PMID- 29352942
TI - Comparative study of molecular recognition of folic acid subunits with
cyclodextrins.
AB - The complexation of pteroic acid and pterine, subunits of folic acid, with native
cyclodextrins (alpha-, beta-, and gamma-CDs) was studied in solution (UV-vis),
and in the solid state (thermal analysis, IR and Raman). UV-vis titrations at pH
= 7.4 provided data regarding stoichiometry of the formed complexes as well as
their associations constants. Stability of the complexes increases in the series:
gamma-CD < beta-CD << alpha-CD for pterine, and gamma-CD < alpha-CD << beta-CD
for pteroic acid. The mode of complexation was further exploited by molecular
modeling studies (docking studies, PM6) showing additionally changes in
conformation of pteroic acid upon complexation. Comparison of the association
constants of the complexes of pterine and pteroic acid with native cyclodextrins
with data obtained for analogous complexes with folic acid shows that all folic
acid complexes are less stable than those formed from its subunits.
PMID- 29352943
TI - How does temperature govern mechanisms of starch changes during extrusion?
AB - Potato and pea starches were processed on a twin-screw extruder under various
moisture and thermomechanical conditions, chosen to keep material temperature Te
close to starch melting temperature, Tm, whilst avoiding die expansion. Extruded
rods were analysed by asymmetrical flow field flow fractionation coupled with
light scattering, X-ray diffraction, DSC, and light microscopy with image
analysis. Molar mass of extruded materials decreased more for potato than for pea
starch, when specific mechanical energy SME increased, likely because of larger
amylopectin sensitivity to shear. No crystallinity was detected when DeltaT = (Tm
Te) <= 0. Residual gelatinization enthalpy DeltaHg decreased with DeltaT. As
illustrated by larger DeltaT values for DeltaHg = 0, decreasing moisture favored
melting, likely by increasing solid friction. The fraction of granular remnants
of potato starch was inversely correlated to SME. These results could be
explained by considering starch melting during extrusion as a suspension of solid
particles embedded in a continuous amorphous matrix.
PMID- 29352944
TI - Fabrication of cellulose nanowhiskers reinforced chitosan-xylan nanocomposite
films with antibacterial and antioxidant activities.
AB - Antibacterial and antioxidant chitosan-xylan/cellulose nanowhiskers (CNW)
nanocomposite films were successfully prepared using CNW as nanofillers. The
structure and morphology of the nanocomposite films were investigated by Fourier
transform infrared spectroscopy (FTIR), X-ray diffractometry (XRD), and scanning
electron microscopy (SEM). The optical transmittance, thermal stability,
mechanical property, and swelling property of the nanocomposite films were also
evaluated. These results revealed the microstructure of the films and confirmed
the good miscibility between chitosan-xylan and CNW. The improvements of tensile
strength and elongation at break of the nanocomposite films confirmed the
reinforcement effects of CNW. Moreover, the inhibitory effects against S. aureus
and E. coli and the ABTS+ scavenging activity indicated antibacterial and
antioxidant functions of the nanocomposite films. In this work, the prepared
chitosan-xylan/CNW nanocomposite films, combined the antibacterial property of
chitosan, the antioxidant property of xylan, and good mechanical property of CNW,
could be potentially applied in food and health-related areas.
PMID- 29352945
TI - Silylation and characterization of microcrystalline cellulose isolated from
indonesian native oil palm empty fruit bunch.
AB - Silylation of microcrystalline cellulose (MCC), isolated from Indonesian native
oil palm empty fruit bunch (OPEFB), using aminosilane compound synthesized
through aminolysis of 3-glycidoxypropyltrimethoxysilane (GPTMS) with
ethylenediamine (EDA) has been conducted in this study. Generally, there are
three steps performed to isolate MCC before silylation process, i.e. bleaching,
alkaline treatment and acid hydrolysis. All products resulted from bleaching,
alkaline and acid hydrolysis treatments were characterized using Fourier
Transform Infrared (FTIR) spectroscopy. Two conditions were optimized in this
study which they were an acid concentration in hydrolysis process and aminosilane
ratio in silylation process. The preliminary study regarding optimizing acid
hydrolysis process by varying sulfuric acid concentration was obtained an optimum
sulfuric acid concentration by 45% having highest crystallinity index (CI)
measured using x-ray diffraction (XRD) data. The morphological structure of MCC
was rod-like crystalline structure confirmed by transmission electron microscopy
(TEM). The silylating agent was varied in this study with the ratio to MCC by
1:1, 3:1 and 5:1 mmol g-1. Based on loading analysis, the aminosilane with ratio
1:1 mmol g-1 was noted as optimum concentration having high loading yield by
79.2%. Effect of silylation on MCC properties was, on the one hand, it decreased
the CI and crystallite size, however, on the other hand, it increased the surface
area and pore volume.
PMID- 29352946
TI - Redox/enzyme sensitive chondroitin sulfate-based self-assembled nanoparticles
loading docetaxel for the inhibition of metastasis and growth of melanoma.
AB - In this report, redox/enzyme responsive chondroitin sulfate-ss-deoxycholic acid
(CSCD) conjugates were synthesized using cystamine as the linkage which could
self-assemble to form self-assembled nanoparticles (175.6 + 5.2 nm) in the
aqueous environment. Docetaxel (DTX) was loaded in nanoparticles with desired
loading efficiency for the inhibition of tumor growth and metastasis of melanoma.
Interestingly, nanoparticles were demonstrated to respond to hyaluronidase-1
(Hyal-1) which could degrade chondroitin sulfate (CS) backbones. In this case, we
designed dual-sensitive nanoparticles with enhanced drug release pattern under
the presence of glutathione (GSH)/Hyal-1. Compared with Taxotere(r), CSCD
nanoparticles significantly improved the DTX distribution in tumors and lungs
with about 4.4-fold higher area-under-the-curve (AUC) value. In situ tumor volume
and pulmonary metastatic formation were reduced upon the administration of DTX
loaded CSCD nanoparticles via DTX-induced apoptosis and decreased metastasis
promotion protein expression. With only minor cytotoxicity, CSCD nanoparticles
could be promising nano-drug delivery systems for successful management of
melanoma.
PMID- 29352947
TI - Novel organic/inorganic hybrid flower-like structure of selenium nanoparticles
stabilized by pullulan derivatives.
AB - We proudly present the first organic/inorganic hybrid pullulan/SeNPs hybrid
microflower material obtained using a simple and bio-inspired strategy. The
chemical structures of pullulan, folic acid decorated cationic pullulan (FA-CP)
were designed for stabilizing selenium nanoparticles (SeNPs). SeNPs stabilized by
FA-CP hybrid microflowers were observed after the addition of a cysteine
hydrochloride solution into the solution mixture of Na2SeO3 and FA-CP. We
suggested that the concentrations of cysteine and FA-CP were the key factors for
the formation of flower-like structure. In addition, the formation mechanism of
the microflowers was tentatively identified as anisotropic hierarchical growth.
The microflowers exhibited effective drug adsorption with the loading capacity of
142.2 mg g-1 for doxorubicin which was three times higher than that for the
doxorubicin-loaded spherical SeNPs and showed more potent activity against cancer
cells while showing less toxicity against normal cells. These data demonstrated
that the microflower-like FA-CP/SeNPs structure could be a candidate anticancer
drug template in drug delivery systems.
PMID- 29352948
TI - Laccase-TEMPO-mediated air oxidation of galactomannan for use as paper
strengthening agent.
AB - In this study, galactomannans, which are a type of plant polysaccharide, were
oxidized by using a laccase and TEMPO-mediated air-oxidation system. The
influence of the oxidation conditions, including the laccase doses, oxidation
times, and amounts of oxidizing system, on the properties of the paper were
investigated. The results showed that the oxidized galactomannans could improve
the paper properties. The optimal conditions were as follows: a 60 U/g enzyme
dosage; 6-h oxidization time and a 0.1 g TEMPO dosage. When compared with the
control paper, the tensile index and folding endurance have been improved by
28.42% and 88.02%, respectively, when 1.5% oxidized galactomannans were used, and
for the recycled paper, the increment could reach 126.97% and 43.85%
correspondingly. Also, the results of the elemental, FT-IR, and CP/MAS13C NMR
analyses demonstrated that some of the hydroxyl of the galactomannans had been
transformed into the carboxyl and aldehyde groups.
PMID- 29352949
TI - Editorial Comment.
PMID- 29352950
TI - Editorial Comment.
PMID- 29352951
TI - Reply by Authors.
PMID- 29352952
TI - Editorial Comment.
PMID- 29352953
TI - Reply.
PMID- 29352955
TI - Endoscopy Examination Time: Longer Is Really Better?
PMID- 29352954
TI - Unexpected Findings in a Patient With Cancer of Unknown Primary Syndrome.
PMID- 29352956
TI - What Are the Benefits of Anticoagulation for Portal Vein Thrombosis in
Individuals With Cirrhosis?
PMID- 29352957
TI - The Fragility of Probiotic Bifidobacterium longum NCC3001 Use for Depression in
Patients With Irritable Bowel Syndrome.
PMID- 29352958
TI - Portal Vein Thromboses in Cirrhosis: To Treat or Not to Treat?
PMID- 29352959
TI - A Flat Tire in the Colon.
PMID- 29352960
TI - Persistent Fever and Abdominal Pain in a Young Woman With Budd-Chiari Syndrome.
PMID- 29352961
TI - An Unusual Cause of Abdominal Pain in a Young, Hypertensive Female.
PMID- 29352962
TI - Improved systemic metabolism and adipocyte biology in miR-150 knockout mice.
AB - INTRODUCTION: Short non-coding micro-RNAs (miRNAs) are post-transcriptional
factors that directly regulate protein expression by degrading or inhibiting
target mRNAs; however, the role of miRNAs in obesity and cardiometabolic disease
remains unclarified. Based on our earlier study demonstrating that miR-150
influences lipid metabolism, we have studied effects of miR-150 on systemic
metabolism and adipocyte biology. MATERIALS AND METHODS: Metabolic phenotypes
including body weight, food intake, body composition, glucose tolerance and
insulin sensitivity were assessed in WT and global miR-150 KO male mice fed a
high-fat diet. Molecular changes in epididymal adipose tissue were evaluated
through qRT-PCR and Western blotting. RESULTS: miR-150 KO mice displayed lower
body weight characterized by a reduction in % fat mass while % lean mass was
increased. Lower body weight was associated with reduced food consumption and an
increase in circulating leptin concentrations, as well as enhanced insulin
sensitivity and glucose tolerance compared with WT mice. Absence of miR-150
resulted in increased mTOR expression known to participate in increased leptin
production leading to reduction of food intake. Expression of PGC-1alpha, another
target gene of miR-150, was also increased together with upregulation of
PPARalpha and glycerol kinase in adipose tissue as well as other genes
participating in triglyceride degradation and lipid oxidation. CONCLUSION: miR
150 KO mice showed metabolic benefits accompanied by reduced body weight,
decreased energy intake, and enhanced lipid metabolism. miR-150 may represent
both a biomarker and novel therapeutic target regarding obesity and insulin
resistance.
PMID- 29352964
TI - C24-hydroxylated stigmastane derivatives as Liver X Receptor agonists.
AB - Phytosterols are stucturally correlated to the endogenous ligands of Liver X
Receptor (LXR), a ligand-activated nuclear receptor that has emerged as an
attractive drug target due to its ability to integrate metabolic and inflammatory
signaling. Natural and semi-synthetic phytosterol derivatives characterized by
the presence of side-chain oxygenated functions have shown to be able to modulate
LXR activity. Here, we describe the efficient synthesis of four stigmastane
derivatives, endowed with a hydroxyl group at C24 position, namely (24R)- and
(24S)-stigmasta-5,28-diene-3beta,24-ols (also referred to as saringosterols, 10a
and 10b) and (24R)- and (24S)-stigmasta-5-ene-3beta,24-ols (11a and 11b),
starting from the readily available stigmasterol. Thanks to X-ray crystallography
the absolute configuration of the newly created chiral centers was definitively
assigned for all the four compounds. The subsequent luciferase assays with GAL-4
chimeric receptors evidenced the ability of the two 24(S)-epimers, 10b and 11b,
to interact with LXRs, showing the same degree of affinity as (22R)
hydroxycholesterol (1). With regard to the isoform selectivity both the
derivatives 10b and 11b showed a preference for LXRbeta, up to 4-fold in terms of
efficacy for 11b. The gene expression profiling of (24S)-stigmasta-5,28-diene
3beta,24-ol (10a) and (24S)-stigmasta-5-ene-3beta,24-ol (11a) demonstrated the
capability of both the compounds to induce the expression of four well-known LXR
target genes, such as ABCA1, SREBP1c, FASN, and SCD1 in U937 monocytic cell line,
thus supporting the hypothesis they were LXR positive modulators.
PMID- 29352965
TI - Establishment of a protocol for the isolation of feline pancreatic islets.
AB - Diabetes mellitus is a common endocrinopathy in cats that is associated with
pancreatic islets lesions. Research on isolated islets contributed to the
understanding of the pathophysiology of human diabetes. Therefore, by improving
the existing methods of isolation in cats, we aimed at increasing islet yield,
purity and viability of feline isolated islets. Islet isolation was accomplished
by pancreas perfusion with 80ml of Collagenase type IV through the pancreatic
duct at the site of the major papilla. The enzymatic digestion was combined with
mechanical disruption and controlled by dithizone staining. Purification was
performed by filtration and handpicking. Purified islets were plated on
extracellular matrix pre-coated plates and cultured for 48h. Feline islets with a
high degree of viability and purity were isolated and cultured for the first
time. Although the percentage of islet free from the acinar tissue relative to
the total number of isolated islets was low compared to other species, the
suggested protocol represents a promising progress in the procedure of islet
isolation in cats.
PMID- 29352966
TI - Polyphyllin G exhibits antimicrobial activity and exerts anticancer effects on
human oral cancer OECM-1 cells by triggering G2/M cell cycle arrest by
inactivating cdc25C-cdc2.
AB - Plant natural products have long been considered to be important sources of
bioactive molecules. A large number of antimicrobial and anticancer agents have
been isolated form plants. In the present study we evaluated the antimicrobial
and anticancer activity of a plant derived secondery metabolite, Polyphyllin G.
The results of antibacterial assays showed that Polyphyllin G prevented the
growth of both Gram-positive and Gram-negative bacteria with minimum inhibitory
concentrations (MICs) ranging from 13.1 to 78 MUg/ml. Antifungal activity
measured as inhibition of mycelium growth ranged between 38.32 and 56.50%.
Further Polyphyllin G was also evaluated against a panel of cancer cell lines.
The IC50 of Polyphyllin G ranged from 10 to 65 MUM. However the IC50 of
Polyphyllin G was found to be comparatively high (120 MUM) against the normal FR2
cancer cell line. The lowest IC50 of 10 MUM was found against the oral cancer
cell line OECM-1. Therefore further studies were carried out on this cell line
only. Our results indicated that Polyphyllin G induced cell arrest in oral cancer
OECM-1 cells by inactivation of cdc25C-cdc22 via ATM-Chk 1/2 stimulation.
Therefore, we propose that Polyphyllin G might prove a lead molecule in the
management of oral cancers and at the same time may prevent the growth of
opportunistic microbes.
PMID- 29352963
TI - Three-dimensional visualization of extracellular matrix networks during murine
development.
AB - The extracellular matrix (ECM) plays a crucial role in embryogenesis, serving
both as a substrate to which cells attach and as an active regulator of cell
behavior. However, little is known about the spatiotemporal expression patterns
and 3D structure of ECM proteins during embryonic development. The lack of
suitable methods to visualize the embryonic ECM is largely responsible for this
gap, posing a major technical challenge for biologists and tissue engineers.
Here, we describe a method of viewing the 3D organization of the ECM using a
polyacrylamide-based hydrogel to provide a 3D framework within developing murine
embryos. After removal of soluble proteins using sodium dodecyl sulfate, confocal
microscopy was used to visualize the 3D distribution of independent ECM networks
in multiple developing tissues, including the forelimb, eye, and spinal cord.
Comparative analysis of E12.5 and E14.5 autopods revealed proteoglycan-rich
fibrils maintain connections between the epidermis and the underlying tendon and
cartilage, indicating a role for the ECM during musculoskeletal assembly and
demonstrating that our method can be a powerful tool for defining the
spatiotemporal distribution of the ECM during embryogenesis.
PMID- 29352967
TI - Product specificity of fungal 8R- and 9S-dioxygenases of the peroxidase
cyclooxygenase superfamily with amino acid derivatized polyenoic fatty acids.
AB - Pathogenic fungi express fatty acid dioxygenases (DOX) fused to cytochromes P450
with diol or allene oxide synthase activities. The orientation of the fatty acids
in the active sites of DOX was investigated with amino acid conjugates of 18:3n-3
and 18:2n-6. 9S-DOX-allene oxide synthase (AOS) oxidized the Gly, Ile, and Trp
derivatives at C-9, which suggests that these conjugates enter the substrate
recognition site with the omega end in analogy with fatty acids bound to
cyclooxygenases and coral 8R-lipoxygenase (8R-LOX). In contrast, 7,8-diol
synthases (7,8-LDS), 5,8-LDS, and 8R-DOX-AOS oxidized the Gly conjugates in most
case only to small amounts of metabolites, but with retention of hydrogen
abstraction at C-8 and relatively minor hydrogen abstraction at C-11. The Ile and
Trp conjugates were not oxidized at C-8, and often insignificantly at C-9/C-13.
The 8-DOX domains of these enzymes likely position the carboxyl group of
substrates at the end of the active site in analogy with plant alpha-DOX and 9
LOX. Tyr radicals of the 9S-DOX and 8R-DOX domains catalyze antarafacial hydrogen
abstraction and oxygen insertion in 18:3n-3. This occurs by abstraction of the
proR and proS hydrogens at C-11 and C-8, respectively, in agreement with
different "head to tail" orientation in the active site.
PMID- 29352968
TI - Children with dyslexia show a reduced processing benefit from bimodal speech
information compared to their typically developing peers.
AB - During information processing, individuals benefit from bimodally presented
input, as has been demonstrated for speech perception (i.e., printed letters and
speech sounds) or the perception of emotional expressions (i.e., facial
expression and voice tuning). While typically developing individuals show this
bimodal benefit, school children with dyslexia do not. Currently, it is unknown
whether the bimodal processing deficit in dyslexia also occurs for visual
auditory speech processing that is independent of reading and spelling
acquisition (i.e., no letter-sound knowledge is required). Here, we tested school
children with and without spelling problems on their bimodal perception of video
recorded mouth movements pronouncing syllables. We analyzed the event-related
potential Mismatch Response (MMR) to visual-auditory speech information and
compared this response to the MMR to monomodal speech information (i.e., auditory
only, visual-only). We found a reduced MMR with later onset to visual-auditory
speech information in children with spelling problems compared to children
without spelling problems. Moreover, when comparing bimodal and monomodal speech
perception, we found that children without spelling problems showed significantly
larger responses in the visual-auditory experiment compared to the visual-only
response, whereas children with spelling problems did not. Our results suggest
that children with dyslexia exhibit general difficulties in bimodal speech
perception independently of letter-speech sound knowledge, as apparent in altered
bimodal speech perception and lacking benefit from bimodal information. This
general deficit in children with dyslexia may underlie the previously reported
reduced bimodal benefit for letter-speech sound combinations and similar findings
in emotion perception.
PMID- 29352969
TI - Unusual yellow scaly colonic mucosal appearance: Tangier disease.
PMID- 29352970
TI - An uncommon cecal bulge caused by a large appendiceal mucinous neoplasm.
PMID- 29352972
TI - Double percutaneous endoscopic gastrostomies for a refractory duodenal fistula.
PMID- 29352971
TI - Magnetic anchor-guided endoscopic submucosal dissection for gastric lesions (with
video).
AB - BACKGROUND AND AIMS: The feasibility of magnetic anchor-guided endoscopic
submucosal dissection (MAG-ESD) using a neodymium magnet for gastric lesions has
not been clarified. The aim of study was to evaluate the feasibility of MAG-ESD
using neodymium magnets while treating gastric lesions. METHODS: This prospective
trial was conducted at the Yamashita Hospital. MAG-ESD was performed for 50
gastric lesions using an insulated-tip knife. The magnetic anchor consisted of an
internal neodymium magnet attached to a hemoclip with 3-0 silk. The external and
internal magnets were made from the neodymium magnet. The feasibility of traction
using MAG-ESD, en bloc resection rate, complete en bloc resection rate, time
required for preparation and attaching the magnetic anchor, procedure time, rate
of retrieval of the magnetic anchors, and adverse events were evaluated. RESULTS:
Fifty patients (median lesion size, 20 mm [range, 5-100]) were enrolled. MAG-ESDs
were successfully performed for all 50 gastric lesions. Adequate counter-traction
was obtained using the external magnet. En bloc resections were achieved and
complete en bloc resections confirmed in all cases without adverse events.
Attaching the magnetic anchor required a median of 6 minutes (range, 2-14). The
median procedure time was 49 minutes (range, 15-301), and the magnetic anchors
could be retrieved in all cases. CONCLUSIONS: This study clearly demonstrated the
feasibility of this MAG-ESD in the stomach. We hope this procedure will
facilitate the resection of difficult lesions. (Clinical trial registration
number: UMIN000024100.).
PMID- 29352973
TI - The Senegal urban reproductive health initiative: a longitudinal program impact
evaluation.
AB - OBJECTIVES: This paper presents the impact of key components of the Senegal Urban
Reproductive Health Initiative, including radio, television, community-based
activities, Muslim religious-leader engagement and service quality improvement on
modern contraceptive use by all women and the sub-sample of poor women. STUDY
DESIGN: This study uses baseline (2011) and endline (2015) longitudinal data from
a representative sample of urban women first surveyed in 2011 to examine the
impact of the Initiative's demand- and supply-side activities on modern
contraceptive use. RESULTS: By endline, there was increased exposure to radio and
television programming, religious leaders speaking favorably about contraception,
and community-based initiatives. In the same period, modern contraceptive use
increased from 16.9% to 22.1% with a slightly larger increase among the poor
(16.6% to 24.1%). Multivariate analyses demonstrate that women exposed to
community-based activities were more likely to use modern contraception by
endline (marginal effect (ME): 5.12; 95% confidence interval (CI): 2.50-7.74)
than those not exposed. Further, women living within 1 km of a facility with
family planning guidelines were more likely to use (ME: 3.54; 95% CI: 1.88-5.20)
than women without a nearby facility with guidelines. Among poor women, community
based activities, radio exposure (ME: 4.21; 95% CI: 0.49-7.93), and living close
to program facilities (ME: 4.32; 95% CI: 0.04-8.59) impacted use. CONCLUSIONS:
Community-based activities are important for reaching urban women, including poor
women, to achieve increased contraceptive use. Radio programming is also an
important tool for increasing demand, particularly among poor women. Impacts of
other program activities on contraceptive use were modest. IMPLICATIONS: This
study demonstrates that community-based activities led to increased modern
contraceptive use among all women and poor women in urban Senegal. These findings
can inform future programs in urban Senegal and elsewhere in francophone Africa.
PMID- 29352974
TI - Chrysin, a natural and biologically active flavonoid suppresses tumor growth of
mouse B16F10 melanoma cells: In vitro and In vivo study.
AB - Chrysin (5,7-dihydroxyflavone) is a natural and biologically active compound
which has many biological activities as an anticancer agent. The current report
is aimed at finding out whether the antitumor potential of chrysin, evidenced in
vitro and in vivo, is linked or not to its effect on immunological mechanisms of
melanoma-bearing mice. Chrysin-treated B16F10 cells were analyzed for their
metabolic rate and apoptotic potentials. In vivo, BALB/c mice received a
subcutaneous injection of B16F10 melanoma cells prior to antitumor treatments
with chrysin (50 mg/kg b.w) for 14 days and 21 days. The results showed that
chrysin inhibited cancer cell growth at a dose-dependent manner by inducing
apoptosis and cell cycle arrest at G2/M phase. Moreover, chrysin suppressed
melanoma tumor growth at an average of 60% (after 14 days of treatment) and 71%
(after 21 days of treatment) compared to the tumor-bearing group. Furthermore,
chrysin treatment increased the cytotoxic activity of NK, CTL and macrophages.
The findings showed that chrysin antitumor action on the murine melanoma model
was very promising, suggesting that chrysin could be a potentially good candidate
for future use in alternative anti-melanoma treatments.
PMID- 29352976
TI - Anterior Segment Imaging for Angle Closure.
AB - PURPOSE: To summarize the role of anterior segment imaging (AS-imaging) in angle
closure diagnosis and management, and the possible advantages over the current
standard of gonioscopy. DESIGN: Literature review and perspective. METHODS:
Review of the pertinent publications with interpretation and perspective in
relation to the use of AS-imaging in angle closure assessment focusing on
anterior segment optical coherence tomography and ultrasound biomicroscopy.
RESULTS: Several limitations have been encountered with the reference standard of
gonioscopy for angle assessment. AS-imaging has been shown to have performance in
angle closure detection compared to gonioscopy. Also, imaging has greater
reproducibility and serves as better documentation for long-term follow-up than
conventional gonioscopy. The qualitative and quantitative information obtained
from AS-imaging enables better understanding of the underlying mechanisms of
angle closure and provides useful parameters for risk assessment and possible
prediction of the response to laser and surgical intervention. The latest
technologies-including 3-dimensional imaging-have allowed for the assessment of
the angle that simulates the gonioscopic view. These advantages suggest that AS
imaging has a potential to be a reference standard for the diagnosis and
monitoring of angle closure disease in the future. CONCLUSIONS: Although
gonioscopy remains the primary method of angle assessment, AS-imaging has an
increasing role in angle closure screening and management. The test should be
integrated into clinical practice as an adjunctive tool for angle assessment. It
is arguable that AS-imaging should be considered first-line screening for
patients at risk for angle closure.
PMID- 29352975
TI - Pinocembrin from Penthorum chinense Pursh suppresses hepatic stellate cells
activation through a unified SIRT3-TGF-beta-Smad signaling pathway.
AB - The inactivation of hepatic stellate cells (HSCs) has been verified to be an
effective therapeutic strategy for treatment of liver fibrosis. Penthorum
chinense Pursh has been widely used to protect liver in China; while, the role of
P. chinense Pursh in treatment of liver fibrosis is still unexplored. In the
current study, the aqueous extract of P. chinense Pursh (PCE) was found to
suppress the expressions of fibrotic markers, including collagen I and alpha
smooth muscle actin (alpha-SMA), in human HSCs (LX-2); and its major active
constituent, pinocembrin (PIN), was discovered to inhibit the expressions of
fibrotic markers in LX-2 cells and rat HSCs (HSC-T6). Further study indicated
that PIN suppressed the activation of LX-2 and HSC-T6 cells through elevating the
expression and activity of silent mating type information regulation 2 homolog 3
(SIRT3). Via SIRT3, PIN activated superoxide dismutase 2 (SOD2), to alleviate the
accumulation of reactive oxygen species (ROS) and inhibit phosphoinositide 3
kinase (PI3K)-protein kinase B (Akt) signaling, resulting in decreased production
of transforming growth factor-beta (TGF-beta) and nuclear translocation of the
transcription factor Sma- and Mad-related proteins (Smad). Furthermore, PIN
activated glycogen synthase kinase 3beta (GSK3beta) through SIRT3, to enhance
Smad protein degradation. Taken together, PCE and PIN were identified as
potential anti-fibrotic agents, which might be well developed as a candidate for
treatment of liver fibrosis.
PMID- 29352977
TI - Paclitaxel inhibited lysozyme fibrillation by increasing colloidal stability
through formation of "off-pathway" oligomers.
AB - Protein fibrillation is a challenging issue in medicine, causing many diseases,
and an impediment to pharmaceutics and protein industry. Many chemicals,
especially polyphenol compounds and aromatic small molecules, have been widely
used as an effective strategy to combat protein fibril formation. Hence,
understanding mechanisms of fibrillation inhibition and contributing forces in
this process are significant. In this study, the inhibitory effect of paclitaxel
on lysozyme fibrillation was investigated with respect to thermal and colloidal
stability. Fibrillation was monitored with ThT fluorescence, circular dichroism,
and AFM; paclitaxel-lysozyme interaction with isothermal titration calorimetry
and docking; thermal and colloidal stability with differential scanning
calorimetry and zeta-pulse, respectively. Paclitaxel inhibited lysozyme
fibrillation, and interacted with lysozyme through hydrogen bonds and van der
Waals' interactions. The viability of PC12 cells retrieved as a result of
fibrillation inhibition by paclitaxel. Hydrophobic forces dominantly shielded the
aggregation-prone region of lysozyme and suppressed the effective interactions
between lysozyme monomers. Although paclitaxel did not affect lysozyme's thermal
stability, it increased lysozyme's colloidal stability by either increasing the
surface charge density or charge distribution on lysozyme. In conclusion, our
results suggest a model for paclitaxel's inhibitory role through two
complementary steps driving to "off-pathway" oligomer formation and attenuation
of fibril formation.
PMID- 29352978
TI - Use of multimodality imaging and artificial intelligence for diagnosis and
prognosis of early stages of Alzheimer's disease.
AB - Alzheimer's disease (AD) is a major neurodegenerative disease and the most common
cause of dementia. Currently, no treatment exists to slow down or stop the
progression of AD. There is converging belief that disease-modifying treatments
should focus on early stages of the disease, that is, the mild cognitive
impairment (MCI) and preclinical stages. Making a diagnosis of AD and offering a
prognosis (likelihood of converting to AD) at these early stages are challenging
tasks but possible with the help of multimodality imaging, such as magnetic
resonance imaging (MRI), fluorodeoxyglucose (FDG)-positron emission topography
(PET), amyloid-PET, and recently introduced tau-PET, which provides different but
complementary information. This article is a focused review of existing research
in the recent decade that used statistical machine learning and artificial
intelligence methods to perform quantitative analysis of multimodality image data
for diagnosis and prognosis of AD at the MCI or preclinical stages. We review the
existing work in 3 subareas: diagnosis, prognosis, and methods for handling
modality-wise missing data-a commonly encountered problem when using
multimodality imaging for prediction or classification. Factors contributing to
missing data include lack of imaging equipment, cost, difficulty of obtaining
patient consent, and patient drop-off (in longitudinal studies). Finally, we
summarize our major findings and provide some recommendations for potential
future research directions.
PMID- 29352979
TI - Evaluation of sheep ovarian tissue cryopreservation with slow freezing or
vitrification after chick embryo chorioallantoic membrane transplantation.
AB - The aim of our investigations was to compare the effectiveness of two methods for
cryopreservation of sheep ovarian tissue, slow freezing and vitrification. The
quality of cryopreserved tissues was evaluated after 5 days of thawing and
chorioallantoic membrane (CAM) transplantation. Follicular structure, stromal
integrity and neovascularization were assessed. The areas of fibrosis and
necrosis were measured using MICROVISIBLE software, and proliferation was
assessed with Ki-67 immunostaning. After 5 days of culture, the proportion of
primordial follicles decreased, whereas the primary and intermediary follicles
increased insignificantly (p > .05). Only necrosis in the vitrified culture group
increased significantly (p < .05). It was established also that 5 days CAM
culture was not suitable methodology for detection of folliculogenesis.
Follicular quality decreased after culture, but was better in fresh and slow
frozen tissues than after vitrification (p < .05). Cellular proliferative
activity fell, but it preserved to some extent in all groups. In conclusion,
follicles was preserved better in grafted tissue after slow freezing than
vitrification and stroma was more susceptible to ischemia in vitrified rather
than conventional freezing in this view. Vitrification may not be a suitable
alternative to the slow freezing.
PMID- 29352980
TI - Effect of two cooling protocols on the post-thaw characteristics of Iberian ibex
sperms.
AB - The rate at which lethal intracellular ice forms during sperm cryopreservation is
highly dependent on the cooling protocol. The present work compares two cooling
protocols for use with Iberian ibex (Capra pyrenaica) sperm by assessing the
effects on the motility, viability, and size of frozen-thawed sperm cells.
Ejaculates, obtained from six adult ibex males via transrectal, ultrasound-guided
massage of the accessory sex glands plus electroejaculation if necessary, were
cooled via either 1) Protocol 1 (decelerating cooling), involving cooling in
liquid nitrogen vapor from 5 degrees C to -35 degrees C (40 degrees C/min),
from -35 degrees C to -65 degrees C (17 degrees C/min), and then from -65
degrees C to -85 degrees C (3 degrees C/min); or 2) Protocol 2 (accelerating
cooling) involving cooling in a biological freezer from 5 degrees C to -5
degrees C (4 degrees C/min), from -5 degrees C to -110 degrees C (25 degrees
C/min), and then from -110 degrees C to -140 degrees C (35 degrees C/min).
Compared to fresh ejaculates, sperm quality at thawing was found to be reduced by
both protocols (p < .05), but especially by Protocol 1. Sperm head size was also
significantly reduced by both protocols, although the Protocol 1 sperm heads were
also significantly smaller than those of Protocol 2 sperms heads (p < .05). In
fresh sperm samples, clustering analyses revealed two subpopulations of sperms
with different morphometric characteristics, SP1 with larger cells, and SP2 with
smaller cells. Both cooling protocols caused reduction in the proportion of SP1
cells, and an increase in the proportion of SP2 cells. In conclusion, the
decelerating cooling protocol (Protocol 1) caused greater cryodamage to the sperm
cells than the accelerating protocol (Protocol 2).
PMID- 29352981
TI - Editorial.
PMID- 29352982
TI - Inhibition of CYP3A by Antimalarial Piperaquine and Its Metabolites in Human
Liver Microsomes With IVIV Extrapolation.
AB - The potential of the antimalarial piperaquine and its metabolites to inhibit
CYP3A was investigated in pooled human liver microsomes. CYP3A activity was
measured by liquid chromatography-tandem mass spectrometry as the rate of 1'
hydroxymidazolam formation. Piperaquine was found to be a reversible, potent
inhibitor of CYP3A with the following parameter estimates (%CV): IC50 = 0.76 MUM
(29), Ki = 0.68 MUM (29). In addition, piperaquine acted as a time-dependent
inhibitor with IC50 declining to 0.32 MUM (28) during 30-min pre-incubation. Time
dependent inhibitor estimates were kinact = 0.024 min-1 (30) and KI = 1.63 MUM
(17). Metabolite M2 was a highly potent reversible inhibitor with estimated IC50
and Ki values of 0.057 MUM (17) and 0.043 MUM (3), respectively. M1 and M5
metabolites did not show any inhibitory properties within the limits of assay
used. Average (95th percentile) simulated in vivo areas under the curve of
midazolam increased 2.2-fold (3.7-fold) on the third which is the last day of
piperaquine dosing, whereas for its metabolite M2, areas under the curve of
midazolam increased 7.7-fold (13-fold).
PMID- 29352983
TI - Parasitoid polydnaviruses and immune interaction with secondary hosts.
AB - Polydnaviruses (PDVs) are obligatory symbionts with parasitoid wasps. The PDV
virions are produced solely in wasp (the primary host) calyx cells. They are
injected into caterpillar hosts (the secondary host) during parasitoid
oviposition, where they express irreplaceable actions to ensure survival and
development of wasp larvae. Some of PDV gene products suppress host immune
responses while others alter host growth, metabolism or endocrine system. Here,
we treat new findings on PDV gene products and their action on immunity within
secondary hosts.
PMID- 29352984
TI - SpCrus3 and SpCrus4 share high similarity in mud crab (Scylla paramamosain)
exhibiting different antibacterial activities.
AB - Type I crustins are crucial effectors of crustacean immune system. Various type I
crustins with high sequence diversity possess different antimicrobial activities.
To date, the mechanism on how the sequence diversity of type I crustins affects
their antimicrobial activities is largely unclear, and how different crustins
function together against bacterial invasion still remains unknown. In this
study, we identified two novel type I crustins, namely, SpCrus3 and SpCrus4, from
an economically important crab, Scylla paramamosain. Either SpCrus3 or SpCrus4
was highly expressed in gill. After challenges with Vibrio parahemolyticus or
Staphylococcus aureus, SpCrus4 was up-regulated, whereas SpCrus3 was down
regulated. No significant expression change of SpCrus3 and SpCrus4 was observed
after white spot syndrome virus injection, suggesting that these two genes may
not participate in the antiviral immune responses. SpCrus3 and SpCrus4 had the
common 5' terminus and high similarity of 66.06%, but SpCrus4 exhibited stronger
antimicrobial activity than that of SpCrus3. Microorganism-binding assay results
revealed that both SpCrus3 and SpCrus4 exhibited binding ability to all tested
microorganisms. Furthermore, the polysaccharide-binding assay showed that these
two proteins exhibited strong binding activity to bacterial polysaccharides, such
as lipopolysaccharide (LPS), lipoteichoic acid (LTA), and peptidoglycan (PGN).
SpCrus3 and SpCrus4 exhibited stronger binding activity to LPS or LTA than to
PGN. Moreover, SpCrus4 showed stronger binding activity to LTA than that of
SpCrus3, which may be responsible for the significantly distinct antimicrobial
activity between these two proteins. In addition, SpCrus4 displayed stronger
agglutination activity against several kinds of microorganisms than that of
SpCrus3. This increased agglutination activity may also contribute to the strong
antibacterial activity of SpCrus4. On the basis of all these results, a possible
antibacterial mode exerted by SpCrus3 and SpCrus4 was proposed as follows.
SpCrus3 was highly expressed in normal crabs to maintain low-level antibacterial
activity without bacterial challenges. When crabs were challenged with bacteria,
large amount of SpCrus4 was generated to exhibit strong antibacterial activity
against bacterial invasion. This study provides new insights to understand the
antibacterial functions and mechanisms of type I crustins.
PMID- 29352985
TI - Easy Suprapubic Tube Placement Using a Van Buren Sound.
AB - OBJECTIVE: To place a suprapubic tube without using specialized instruments.
Suprapubic cystostomy is a straightforward urological procedure, which
nevertheless may become complicated if the equipment needed to perform the
operation is unavailable. Nowadays, in most operating rooms, control of
urological instruments has been taken away from a small cadre of dedicated
urology nurses, and instead is delegated to a central sterilization department or
is even outsourced, leading to an increased rate of instrument misplacement or
breakage. Disposable kits are useful, but may not be stocked at all facilities.
Hence, the tools needed by the urologist to perform suprapubic cystostomy may not
be readily available when they are needed. This reality was the impetus for the
development of the following technique for suprapubic tube placement, which
relies only upon ordinary instruments. METHODS: A simple method is described for
placing a suprapubic catheter using a curved Van Buren sound, a cystoscope, and a
minor surgical set. RESULTS: Not only is the operation is easy to do, but is also
advantageous in that the catheter may be exchanged over a wire, if necessary,
before the establishment of a suprapubic tract. The technique depends on the
presence of an intact urethra; therefore, it is contraindicated in the setting of
pelvic trauma. CONCLUSION: This suprapubic cystostomy method may be used either
as a primary technique or as a back-up procedure if the urologist's preferred
instrumentation is not available.
PMID- 29352986
TI - Association of Androgen Deprivation Therapy and Thromboembolic Events: A
Systematic Review and Meta-analysis.
AB - OBJECTIVES: To investigate the association of androgen deprivation therapy (ADT)
for prostate cancer with thromboembolic events. METHODS: PubMed, Web of Science,
and Scopus were queried on April 5, 2017 for systematic review. Additionally, The
World Health Organization International Trials Registry Platform was queried on
June 23, 2017. Eligible studies reported thromboembolic events among individuals
with prostate cancer exposed to ADT vs a lesser-exposed group. Five hundred sixty
nine unique studies were identified with 65 undergoing full-text review. We
utilized the Meta-analysis of Observational Studies in Epidemiology statement
guidelines and the Cochrane Review Group's data extraction template. Study
quality was evaluated by Newcastle-Ottawa Scale criteria. We conducted random
effects meta-analyses to calculate summary statistic risk ratios and 95%
confidence intervals. Heterogeneity was quantified using the I2 statistic. Small
study effects were evaluated using Begg and Egger statistics. RESULTS: In 10
studies "ADT without estrogen" increased the risk of thromboembolic events (risk
ratio [RR] 1.43, 95% confidence interval [CI] 1.15-1.77, P = .001). In 9 studies
estrogen therapy alone was associated with an increased risk of thromboembolic
events (RR 3.72, 95% CI 1.78-7.80, P <.001). We found an increased risk of
thromboembolic events from ADT use without estrogen when limited to localized
disease (RR 1.10, 95% CI 1.05-1.16, P <.001). Heterogeneity was resolved in those
studies examining localized disease. There was no evidence of small study
effects. CONCLUSION: The currently available evidence suggests that ADT without
estrogen is associated with an increased the risk of thromboembolic events.
PMID- 29352987
TI - The interaction between XBP1 and eNOS contributes to endothelial cell migration.
AB - The X-box binding protein 1 (XBP1) is a pivotal transcription factor in the
endoplasmic reticulum stress response. Our previous studies have proven that XBP1
is involved in vascular endothelial growth factor (VEGF)-mediated endothelial
cell (EC) proliferation and angiogenesis. In this study, we used EC monolayer
wound healing, tube formation and transwell migration models to explore the role
of XBP1splicing in EC migration. We found that scratching on EC monolayer
triggered XBP1splicing, which was attenuated by the presence of SU5416and
LY294002, suggesting that VEGF signalling pathways may be involved. Over
expression of the spliced XBP1 (XBP1s) via Ad-XBP1s gene transfer increased while
knockdown of IRE1alphaor XBP1 by ShRNA lentivirus suppressed EC migration. Over
expression of XBP1s up-regulated the nitric oxide synthase 3 (NOS3)mRNA through
the 3'UTR-mediated stabilisation and increased eNOS protein translation. Further
experiments demonstrated that miR-24 participated in the XBP1s-induced eNOSup
regulation and EC migration. Further co-IP and immunofluorescence staining assays
revealed that protein kinase B (Akt), eNOS andXBP1s form a complex, resulting in
Akt and eNOS nucleus relocation. These results suggest that XBP1 splicing can
regulate eNOS expression and cellular location, leading to EC migration and
therefore contributing to wound healing and angiogenesis.
PMID- 29352988
TI - STAT3 as a promising chemoresistance biomarker associated with the
CD44+/high/CD24-/low/ALDH+ BCSCs-like subset of the triple-negative breast cancer
(TNBC) cell line.
AB - The cancer stem cell (CSC) concept is currently employed to explain the mechanism
of multidrug resistance that is implicated in the reduced efficacy of many
chemotherapeutic agents, consequently leading to metastatic spread and disease
relapse. We searched for potential predictive markers of doxorubicin (DOX)
resistance in breast cancer stem cells (BCSCs) of the BT-549 human triple
negative breast cancer (TNBC) cell line classified as a claudin-low subtype. In
this study, we show that BT-549 presents a BCSCs-like subset determined by a
CD44+/high/CD24-/low/ALDH1+ phenotype. The CD44+/high/CD24-/low/ALDH+ BCSCs-like
subset presented the downregulation of a majority of the genes analyzed (64
genes), and only 3 genes were upregulated after DOX treatment. Among the
upregulated genes, MAPK3, PRKCZ and STAT3, STAT3 presented a higher level of
upregulation in the DOX-treated CD44+/high/CD24-/low/ALDH+ BCSCs-like subset. The
identification of biomarkers that predict antitumor responses is at the top of
cancer research priorities. STAT3 was highlighted as a molecular signature in the
CD44+/high/CD24-/low/ALDH1+ BCSCs-like subset obtained from the TNBC BT-549 cell
line related to DOX resistance. A majority of the evaluated genes in the EGF
pathway appear to be not associated with DOX resistance, as observed in the
CD44+/high/CD24-/low/ALDH1+ BCSCs-like subset.
PMID- 29352989
TI - Detrusor Acontractility after Acute Spinal Cord Injury-Myth or Reality?
AB - PURPOSE: We assessed urodynamic parameters within the first 40 days after spinal
cord injury to investigate whether the detrusor is acontractile during the acute
phase of spinal cord injury. MATERIALS AND METHODS: We performed a prospective
cohort study in 54 patients with neurogenic lower urinary tract dysfunction due
to acute spinal cord injury who underwent urodynamic investigation within the
first 40 days after injury at a single university spinal cord injury center.
RESULTS: Urodynamic investigation revealed an acontractile detrusor in only 20 of
the 54 patients (37%) but unfavorable urodynamic parameters in 34 (63%). We found
detrusor overactivity in 32 patients, detrusor-sphincter dyssynergia in 25,
maximum storage detrusor pressure greater than 40 cm H2O in 17, vesicoureteral
reflux in 3 and low bladder compliance (less than 20 ml/cm H2O) in 1. More than 1
unfavorable urodynamic parameter per patient was possible. CONCLUSIONS: In
contrast to the common notion of an acontractile detrusor during acute spinal
cord injury, almost two-thirds of our patients showed unfavorable urodynamic
parameters within the first 40 days after spinal cord injury. Considering that
early treatment of neurogenic lower urinary tract dysfunction in patients with
acute spinal cord injury might improve the long-term urological outcome,
urodynamic investigation should be performed timely to optimize patient tailored
therapy.
PMID- 29352990
TI - Low socioeconomic condition and the risk of dengue fever: A direct relationship.
AB - This study aimed to characterize the first dengue fever epidemic in Varzea
Paulista, Sao Paulo, Brazil, and its spatial and spatio-temporal distribution in
order to assess the association of socioeconomic factors with dengue occurrence.
We used autochthonous dengue cases confirmed in a 2007 epidemic, the first
reported in the city, available in the Information System on Diseases of
Compulsory Declaration database. These cases where geocoded by address. We
identified spatial and spatio-temporal clusters of high- and low-risk dengue
areas using scan statistics. To access the risk of dengue occurrence and to
evaluate its relationship with socioeconomic level we used a population-based
case-control design. Firstly, we fitted a generalized additive model (GAM) to
dengue cases and controls without considering the non-spatial covariates to
estimate the odds ratios of the occurrence of the disease. The controls were
drawn considering the spatial distribution of the household of the study area and
represented the source population of the dengue cases. After that, we assessed
the relationship between socioeconomic variables and dengue using the GAM and
obtained the effect of these covariates in the occurrence of dengue adjusted by
the spatial localization of the cases and controls. Cluster analysis and GAM
indicated that northeastern area of Varzea Paulista was the most affected area
during the epidemic. The study showed a positive relationship between low
socioeconomic condition and increased risk of dengue. We studied the first dengue
epidemic in a highly susceptible population at the beginning of the outbreak and
therefore it may have allowed to identify an association between low
socioeconomic conditions and increased risk of dengue. These results may be
useful to predict the occurrence and to identify priority areas to develop
control measures for dengue, and also for Zika and Chikungunya; diseases that
recently reached Latin America, especially Brazil.
PMID- 29352991
TI - Molecular monitoring of dihydrofolatereductase (dhfr) and
dihydropteroatesynthetase (dhps) associated with sulfadoxine-pyrimethamine
resistance in Plasmodium vivax isolates of Palawan, Philippines.
AB - The emergence of drug-resistant Plasmodium vivax poses problems for malaria
control and elimination in some parts of the world, especially in developing
countries where individuals are routinely exposed to the infection. The aim of
this study was to determine the single nucleotide polymorphisms (SNPs) in
dihydropteroate synthase (pvdhps) and dihydrofolate reductase (pvdhfr) genes
associated with sulfadoxine-pyrimethamine (SP) drug resistance among P. vivax
isolates collected in Palawan, Philippines. Genetic polymorphisms of pvdhps and
pvdhfr were analysed by nested PCR. Analysis at specific codons
I13P33F57S58T61S117I173 associated with pyrimethamine resistance in the pvdhfr
gene revealed that most of the samples (66/87, 75.9%) carried double mutation at
positions I13P33F57R58T61N117I173, while only 18.4% (16/87) of the isolates
carried the wild-type haplotype (I13P33F57S58T61S117I173). For the pvdhps gene,
the codons involved in sulfadoxine resistance S382A383K512A553V585 were
investigated. Single mutation at position S382G383K512A553V585 was most observed
in 68.0% (68/100) of the samples, whereas wild-type haplotype was found in 26.0%
(26/100) of samples. The pvdhps and pvdhfr combination
S382A383K512A553V585/I13P33F57S58T61S117I173 (wild-type),
S382G383K512A553V585/I13P33F57R58T61N117I173, and S382A383K512A553V585
I13P33F57R58T61N117I173 were the most frequently observed combination haplotypes
from the three study sites. The information on molecular markers associated with
antifolate drug-resistance could help better understanding ofthe molecular
epidemiology and situation of SP resistant P. vivax malaria in the country.
Continuous surveillance of these genetic markers is necessary to monitor the
evolution of SP resistance in the Philippines.
PMID- 29352992
TI - Endobronchial Granular Tumor Excision With Bronchial Resection Inclusive of
Second Carinoplasty.
AB - Bronchial granular cell tumor is a rare tumor. A 39-year-old nonsmoking woman was
admitted to our hospital with back pain. Chest computed tomography scan and
bronchoscopy revealed a 10-mm endobronchial tumor arising from the membrane near
the second carina. The tumor was excised inclusive of the right second carina
that contained the extraluminally invaded endobronchial mass and was diagnosed as
a granular cell tumor. The postoperative course was uneventful, and the patient
was well without signs of recurrence for 3 years of follow-up.
PMID- 29352995
TI - Assessing risk of bias in studies that evaluate health care interventions:
recommendations in the misinformation age.
AB - Methods to assess the risk of bias in a way that is reliable, reproducible. and
transparent to readers, have evolved over time. Viswanathan et al. recently
provided updated recommendations for assessing risk of bias in systematic reviews
of health care interventions. We comment on their recommendations and discuss new
tools in development that we, as co-convenors and coordinators of the Cochrane
Bias Methods Group, are leading, which complement the methods recommended.
PMID- 29352996
TI - Plasticity Molecule Reveals Interneuronal Alterations in Alzheimer's Disease.
PMID- 29352994
TI - Topical drug delivery devices: A review.
AB - For the treatment and prevention of ocular diseases, most patients are treated
with conventional drug delivery formulations such as eye drops or ointments.
However, eye drops and ointments suffer from low patient compliance and low
effective drug concentration at the target site. Therefore, new medical devices
are being explored to improve drug delivery to the eye. Over the years, various
delivery devices have been developed including resorbable devices, oval- and ring
shaped devices, rod-shaped devices, punctum plugs, contact lenses and corneal
shields. Only a few devices (eg. Mydriasert(r), Ozurdex(r), Surodex(r),
Iluvien(r), Lacrisert(r) and Retisert(r)) have made it to the market while others
are being investigated in clinical trials. Altogether, there is a need for
enhanced topical drug delivery. Only by working together (academia, industry and
authorities) and by exploring parallel strategies (new drug delivery devices,
enhanced drug formulations, better understanding of the pharmacokinetic
properties), the therapeutic effect of drug treatments can be improved.
PMID- 29352993
TI - Comparison of chorioretinal layers in rhesus macaques using spectral-domain
optical coherence tomography and high-resolution histological sections.
AB - Nonhuman primates are important preclinical models of retinal diseases because
they uniquely possess a macula similar to humans. Ocular imaging technologies
such as spectral-domain optical coherence tomography (SD-OCT) allow noninvasive,
in vivo measurements of chorioretinal layers with near-histological resolution.
However, the boundaries are based on differences in reflectivity, and detailed
correlations with histological tissue layers have not been explored in rhesus
macaques, which are widely used for biomedical research. Here, we compare the
macular anatomy and thickness measurements of chorioretinal layers in rhesus
macaque eyes using SD-OCT and high-resolution histological sections. Images were
obtained from methylmethacrylate-embedded histological sections of 6 healthy
adult rhesus macaques, and compared with SD-OCT images from 6 age-matched
animals. Thicknesses of chorioretinal layers were measured across the central 3
mm macular region using custom semi-automated or manual software segmentation,
and compared between the two modalities. We found that histological sections
provide better distinction between the ganglion cell layer (GCL) and inner
plexiform layer (IPL) than SD-OCT imaging. The first hyperreflective band between
the external limiting membrane (ELM) and retinal pigment epithelium (RPE) appears
wider on SD-OCT than the junction between photoreceptor inner and outer segments
seen on histology. SD-OCT poorly distinguishes Henle nerve fibers from the outer
nuclear layer (ONL), while histology correctly identifies these fibers as part of
the outer plexiform layer (OPL). Overall, the GCL, inner nuclear layer (INL), and
OPL are significantly thicker on histology, especially at the fovea; while the
ONL, choriocapillaris (CC), and outer choroid (OC) are thicker on SD-OCT. Our
results show that both SD-OCT and high-resolution histological sections allow
reliable measurements of chorioretinal layers in rhesus macaques, with distinct
advantages for different sublayers. These findings demonstrate the effects of
tissue processing on chorioretinal anatomy, and provide normative values for
chorioretinal thickness measurements on SD-OCT for future studies of disease
models in these nonhuman primates.
PMID- 29352997
TI - Microglia and Neonatal Brain Injury.
AB - Microglial cells are now recognized as the "gate-keepers" of healthy brain
microenvironment with their disrupted functions adversely affecting neurovascular
integrity, neuronal homeostasis, and network connectivity. The perception that
these cells are purely toxic under neurodegenerative conditions has been
challenged by a continuously increasing understanding of their complexity, the
existence of a broad array of microglial phenotypes, and their ability to rapidly
change in a context-dependent manner to attenuate or exacerbate injuries of
different nature. Recent studies have demonstrated that microglial cells exert
crucial physiological functions during embryonic and postnatal brain development,
some of these functions being unique to particular stages of development, and
extending far beyond sensing dangerous signals and serving as antigen presenting
cells. In this focused review we cover the roles of microglial cells in
regulating embryonic vasculogenesis, neurogenesis, and establishing network
connectivity during postnatal brain development. We further discuss context
dependent microglial contribution to neonatal brain injuries associated with
prenatal and postnatal infection and inflammation, in relation to
neurodevelopmental disorders, as well as perinatal hypoxia-ischemia and arterial
focal stroke. We also emphasize microglial phenotypic diversity, notably at the
ultrastructural level, and their sex-dependent influence on the pathophysiology
of neurodevelopmental disorders.
PMID- 29352999
TI - Aortic dysfunction along with subaortic ridge in a patient with neurofibromatosis
type 1 and a history of midaortic syndrome.
PMID- 29352998
TI - Two Weeks of Variable Stress Increases Gamma-H2AX Levels in the Mouse Bed Nucleus
of the Stria Terminalis.
AB - Recent reports demonstrate that DNA damage is induced, and rapidly repaired, in
circuits activated by experience. Moreover, stress hormones are known to slow DNA
repair, suggesting that prolonged stress may result in persistent DNA damage.
Prolonged stress is known to negatively impact physical and mental health;
however, DNA damage as a factor in stress pathology has only begun to be
explored. Histone H2A-X phosphorylated at serine 139 (gammaH2AX) is a marker of
DNA double-strand breaks (DSB), a type of damage that may lead to cell death if
unrepaired. We hypothesized that a 14-day period of variable stress exposure
sufficient to alter anxiety-like behavior in male C57BL/6J mice would produce an
increase in gammaH2AX levels in the bed nucleus of the stria terminalis (BNST), a
region implicated in anxiety and stress regulation. We observed that 14 days of
variable stress, but not a single stress exposure, was associated with increased
levels of gammaH2AX 24 h after termination of the stress paradigm. Further
investigation found that phosphorylation levels of a pair of kinases associated
with the DNA damage response, glycogen synthase kinase 3 beta (GSK3beta) and p38
mitogen-activated protein kinase (MAPK) were also elevated following variable
stress. Our results suggest that unrepaired DNA DSBs and/or repetitive attempted
repair may represent an important component of the allostatic load that stress
places on the brain.
PMID- 29353000
TI - Transarterial embolization of a late common hepatic artery pseudoaneurysm
secondary to Stanford type B aortic dissection treated with thoracic endograft.
PMID- 29353001
TI - Membrane progesterone receptors beta and gamma have potential as prognostic
biomarkers of endometrial cancer.
AB - Endometrial cancer (EC) is one of the most common malignancies in women
worldwide. EC is linked to chronic exposure to estrogens that is unopposed by
protective effects of progesterone. Progesterone modulates gene expression via
classical nuclear receptors, and has rapid effects via the less characterized
membrane-bound progesterone receptors (mPRs) of the progestin and adipoQ receptor
(PAQR) family. The presence of mPRs in EC has not been investigated to date. The
aims of this study were to examine PAQR7, PAQR8 and PAQR5, which encode for
mPRalpha, mPRbeta and mPRgamma, respectively, for their expression and
localization in EC tissue and adjacent control endometrium. Our results reveal
decreased expression of PAQR7 and PAQR8, and unaltered expression of PAQR5 in EC
versus control tissue. Expression of PAQR5 was decreased in EC with higher FIGO
stage versus stage IA. Immunohistochemistry revealed lower levels of mPRalpha and
mPRbeta, but higher levels of mPRgamma, in EC versus control tissue. There was
greater decrease in mPRbeta levels in tumors with lymphovascular invasion. The
analysis of the expression data associates higher PAQR5 mRNA and mPRbeta protein
levels with favorable patient prognosis. Immunohistochemistry showed diverse
localizations of mPRs in control and cancer endometrium. In control endometrium,
mPRalpha and mPRbeta were localized mostly at the cell membranes, while mPRgamma
was localized in the cytoplasm and/or nucleus. In cancer endometrium, mPRalpha
and mPRbeta were detected at the cell membrane or in the cytoplasm, or both,
while mPRgamma was only localized in the cytoplasm. Taken together, these results
imply that mPRs are involved in EC pathogenesis through effects on the
development or progression of cancer. The potential role of mPRbeta and mPRgamma
as prognostic biomarkers needs to be further assessed on a larger number of
samples.
PMID- 29353002
TI - Telfairia occidentalis Hook.f. - associated haematopoietic effect is mediated by
cytokines but independent of testosterone: A preliminary report.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Telfairia occidentalis Hook.f. (TO) is popular in
Nigeria for the ethnopharmacological use of its leaves to improve haematological
parameters in normal and anaemic subjects. Cytokines are well-known to regulate
haematopoiesis. However, their involvement in TO-associated haematopoietic effect
is not known and necessitated this study. MATERIALS AND METHODS: Twenty-five (25)
male rats were randomly divided into 3 oral treatment groups as follows: Group 1
(control, n=5) received 0.2 ml/kg normal saline for 14 days. Groups 2 and 3 (n=
10 each) were subdivided into 2 (n=5) and received 100 mg/kg and 200 mg/kg of
aqueous extract of TO respectively for 7 or 14 days. RESULTS: TO had dose- and
duration-dependent effects on the estimated parameters. Both doses of TO
increased the RBC, WBC and erythropoietin concentrations at 14 but not 7 days.
Moreover, its 100 mg/kg increased haemoglobin, neutrophil, and interleukin-3
concentrations at 7 days, while 200 mg/kg increased PCV and neutrophils at 14
days, lymphocytes at 7 days, and haemoglobin at both durations. CONCLUSION: The
haematopoietic effect of TO might be partly mediated by cytokines (interleukin-3
and erythropoietin) but independent of testosterone.
PMID- 29353003
TI - Urine and plasma metabolomics study on potential hepatoxic biomarkers
identification in rats induced by Gynura segetum.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Gynura segetum (GS) is an herbal medicine
containing Pyrrolizidine Alkaloids (PAs) that causes hepatic sinusoidal
obstruction syndrome (HSOS). AIM OF THE STUDY: To discover potential biomarkers
and metabolic mechanisms involved in the hepatotoxicity induced by GS. METHODS:
SD rats were randomly divided into 4 groups including Saline, the decoction of GS
high, medium and low dosage at dosages of 3.75g * kg-1, 7.5g * kg-1 and 15g * kg
1. A metabolomics approach using Ultraperformance Liquid Chromatography
Quadrupole-Time-of-Flight / Mass Spectrometry (UPLC-Q-TOF/MS) was developed to
perform the plasma and urinary metabolic profiling analysis, and identified
differential metabolites by comparing the saline control group and decoction of
GS groups. RESULTS: The herbal was presented dosage-dependent led to
ingravescence of hepatotoxicity after the rats were consecutively given with the
decoction of GS at varied dosages. A total of 18 differential metabolites of
decoction of GS-induced hepatotoxicity were identified, while 10 of them
including arginine, proline, glutamate, creatine, valine, linoleic acid,
arachidonic acid, sphinganine, phytosphingosine, and citric acid could be
discovered in urine and plasma, and primarily involved in Amino acid metabolism,
Lipids metabolism and Energy metabolism. CONCLUSIONS: The results suggested that
the differential metabolites of arginine, creatine, valine, glutamine and citric
acid were verified as potential markers of GS-induced hepatotoxicity via the
regulation of multiple metabolic pathways primarily involving in Amino acids
metabolism and Energy metabolism.
PMID- 29353004
TI - Leishmania tropica isolates from non-healed and healed patients in Iran: A
molecular typing and phylogenetic analysis.
AB - The precise identification of the parasite species causing leishmaniasis is
essential for selecting proper treatment modality. The present study aims to
compare the nucleotide variations of the ITS1, 7SL RNA, and Hsp70 sequences
between non-healed and healed anthroponotic cutaneous leishmaniasis (ACL)
patients in major foci in Iran. A case-control study was carried out from
September 2015 to October 2016 in the cities of Kerman and Bam, in the southeast
of Iran. Randomly selected skin-scraping lesions of 40 patients (20 non-healed
and 20 healed) were examined and the organisms were grown in a culture medium.
Promastigotes were collected by centrifugation and kept for further molecular
examinations. The extracted DNA was amplified and sequenced. After global
sequence alignment with BioEdit software, maximum likelihood phylogenetic
analysis was performed in PhyML for typing of Leishmania isolates. Nucleotide
composition of each genetic region was also compared between non-healed and
healed patients. Our results showed that all isolates belonged to the Leishmania
tropica complex, with their genetic composition in the ITS1 region being
different among non-healed and healed patients. 7SL RNA and Hsp70 regions were
genetically identical between both groups. Variability in nucleotide patterns
observed between both groups in the ITS1 region may serve to encourage future
research on the function of these polymorphisms and may improve our understanding
of the role of parasite genome properties on patients' response to Leishmania
treatment. Our results also do not support future use of 7SL RNA and Hsp70
regions of the parasite for comparative genomic analyses.
PMID- 29353005
TI - Cryptotanshinone inhibits prostaglandin E2 production and COX-2 expression via
suppression of TLR4/NF-kappaB signaling pathway in LPS-stimulated Caco-2 cells.
AB - Crytotanshinone (CTN), one of the main constituents of Salvia miltiorrhiza, has
been known to exhibit antioxdative, anti-inflammatory and other important
therapeutic activities. The aim of this study was to evaluate the effect of CTN
on prostaglandin E2 and COX-2 production in LPS-stimulated human intestinal cells
(Caco-2 cells). Caco-2 cells were stimulated with LPS in the presence or absence
of CTN. The production of prostaglandin E2 (PGE2) was detected by ELISA. The
expression of COX-2 was detected by qRT-PCR and Western blot. The extent of
phosphorylation of IkappaB-alpha, NF-kappaB p65 and the expression of TLR4 were
detected by western blot. The results showed that CTN dose-dependently inhibited
the expression of COX-2 both in mRNA and protein levels, resulting in a decreased
production of PGE2. We also found that CTN suppressed LPS-induced NF-kappaB
activation and IkappaBalpha degradation. Furthermore, CTN inhibited the
expression of TLR4 up-regulated by LPS. These results suggest that CTN exerts an
anti-inflammatory property by inhibiting TLR4/NF-kappaB signaling pathway and the
release of pro-inflammatory mediators. These findings suggest that CTN may be a
therapeutic agent against intestinal inflammatory diseases.
PMID- 29353007
TI - Nitric oxide suppresses aluminum-induced programmed cell death in peanut (Arachis
hypoganea L.) root tips by improving mitochondrial physiological properties.
AB - Aluminum (Al) stress alters nitric oxide (NO) and induces programmed cell death
(PCD) in plants. Recent study has shown that NO inhibits Al-induced PCD. However,
the mechanism of NO inhibiting Al-induced PCD has not been revealed yet. Here, we
investigated the behavior of mitochondria during Al-induced PCD suppressed by NO
in peanut. Seedlings of peanut was grown hydroponically in a controllable growth
room. The mitochondrial physiological parameters were determined
spectrophotometrically. The expression of AhANT and AhHsp70 was determined by
quantitative RT-PCR. Al-induced cell death rapidly in peanut root tips is
mitochondria-dependent PCD. There was a significantly negative relationship
between PCD and mitochondrial NO/H2O2 level. Compared with Al treatment alone,
the addition of NO donor sodium nitroprusside (SNP) increased the ratio of
NO/H2O2, down-regulated AhANT expression and inhibited the opening of
mitochondrial permeability transition pore (MPTP), up-regulated AhHsp70
expression and increased mitochondrial inner membrane potential (DeltaPsim),
reduced cytochrome c (Cyt c) release from mitochondria and caspase 3-like
protease activity, while the effect of NO specific scavenger cPTIO supplement was
opposite. NO suppresses Al-induce PCD in peanut root tips by improving
mitochondrial physiological properties.
PMID- 29353006
TI - Comparing the portion size effect in women with and without extended training in
portion control: A follow-up to the Portion-Control Strategies Trial.
AB - Following a 1-year randomized controlled trial that tested how weight loss was
influenced by different targeted strategies for managing food portions, we
evaluated whether the effect of portion size on intake in a controlled setting
was attenuated in trained participants compared to untrained controls. Subjects
were 3 groups of women: 39 participants with overweight and obesity from the
Portion-Control Strategies Trial, 34 controls with overweight and obesity, and 29
controls with normal weight. In a crossover design, on 4 different occasions
subjects were served a meal consisting of 7 foods that differed in energy density
(ED). Across the meals, all foods were varied in portion size (100%, 125%, 150%,
or 175% of baseline). The results showed that serving larger portions increased
the weight and energy of food consumed at the meal (P < .0001), and this effect
did not differ across groups. Increasing portions by 75% increased food intake by
a mean (+/-SEM) of 111 +/- 10 g (27%) and increased energy intake by 126 +/- 14
kcal (25%). Across all meals, however, trained participants had lower energy
intake (506 +/- 15 vs. 601 +/- 12 kcal, P = .006) and lower meal ED (1.09 +/-
0.02 vs. 1.27 +/- 0.02 kcal/g; P = .003) than controls, whose intake did not
differ by weight status. The lower energy intake of trained participants was
attributable to consuming meals with a greater proportion of lower-ED foods than
controls. These results further demonstrate the robust nature of the portion size
effect and reinforce that reducing meal ED is an effective way to moderate energy
intake in the presence of large portions.
PMID- 29353008
TI - Isolated Ovarian Endometrioma: A History Between Myth and Reality.
AB - STUDY OBJECTIVE: To assess the association between ovarian endometriomas
detectable at transvaginal ultrasound (TVS) and other specific extraovarian
lesions including adhesions, deep infiltrating endometriosis (DIE), and
adenomyosis. DESIGN: Retrospective observational study (Canadian Task Force
classification II-2). SETTING: Two university hospitals. PATIENTS: Two hundred
fifty-five symptomatic women with at least 1 ovarian endometrioma found on
ultrasound after presentation with pain or irregular menstruation. INTERVENTIONS:
Patients underwent TVS followed by either medical or surgical treatment.
MEASUREMENTS AND MAIN RESULTS: Two hundred fifty-five women, aged 20 to 40 years,
underwent TVS and were found to have at least 1 endometrioma with a diameter > 20
mm. Associated sonographic signs of pelvic endometriosis (adhesions, DIE, and
adenomyosis) were recorded, and a subgroup of patients (n = 50) underwent
laparoscopic surgery within 3 months of TVS. Mean endometrioma diameter was 40.0
+/- 18.1 mm, and bilateral endometriomas were observed in 65 patients (25.5%).
TVS showed posterior rectal DIE in 55 patients (21.5%) and a thickening of at
least 1 uterosacral ligament in 93 patients (36.4%). One hundred eighty-six
patients (73%) had adhesions, and 134 patients (53%) showed signs of myometrial
adenomyosis on TVS. Thirty-eight patients (15%) exhibited only a single isolated
endometrioma with a mobile ovary and no other signs of pelvic
endometriosis/adenomyosis at TVS. CONCLUSION: Ovarian endometriomas are
indicators for pelvic endometriosis and are rarely isolated. Particularly, left
endometriomas were found to be associated with rectal DIE and left uterosacral
ligament localization and bilateral endometriomas correlated with adhesions and
pouch of Douglas obliteration, whereas no correlation was found between
endometrioma size and DIE. Determining appropriate management, whether clinical
or surgical, is critical for ovarian endometriomas and concomitant adhesions,
endometriosis, and adenomyosis in patients desiring future fertility.
PMID- 29353009
TI - A Rare Case of Cutaneous Metastases Secondary to Hepatocellular Carcinoma.
PMID- 29353010
TI - Modulation of immune responses by Plasmodium falciparum infection in asymptomatic
children living in the endemic region of Mbita, western Kenya.
AB - Individuals living in malaria endemic areas become clinically immune after
multiple re-infections over time and remain infected without apparent symptoms.
However, it is unclear why a long period is required to gain clinical immunity to
malaria, and how such immunity is maintained. Although malaria infection is
reported to induce inhibition of immune responses, studies on asymptomatic
individuals living in endemic regions of malaria are relatively scarce. We
conducted a cross-sectional study of immune responses in asymptomatic school
children aged 4-16years living in an area where Plasmodium falciparum and
Schistosoma mansoni infections are co-endemic in Kenya. Peripheral blood
mononuclear cells were subjected to flow cytometric analysis and cultured to
determine proliferative responses and cytokine production. The proportions of
cellular subsets in children positive for P. falciparum infection at the level of
microscopy were comparable to the negative children, except for a reduction in
central memory-phenotype CD8+ T cells and natural killer cells. In functional
studies, the production of cytokines by peripheral blood mononuclear cells in
response to P. falciparum crude antigens exhibited strong heterogeneity among
children. In addition, production of IL-2 in response to anti-CD3 and anti-CD28
monoclonal antibodies was significantly reduced in P. falciparum-positive
children as compared to -negative children, suggesting a state of
unresponsiveness. These data suggest that the quality of T cell immune responses
is heterogeneous among asymptomatic children living in the endemic region of P.
falciparum, and that the responses are generally suppressed by active infection
with Plasmodium parasites.
PMID- 29353011
TI - Genetic diversity and phylogenetic relations of salmon trematode Nanophyetus
japonensis.
AB - Nanophyetiasis is the severe zoonotic disease caused by parasitic worms from the
genus Nanophyetus. Humans and carnivorous animals become infected when they
ingest raw fish containing metacercariae, especially Pacific salmonids.
Nanophyetiasis is detected in limited geographical areas which include the
coastal regions of the North Pacific: the United States of America, Russian
Federation and Japan. Despite the epidemiological significance, Nanophyetus
species have not been well studied genetically. In this research, we for the
first time explored genetic diversity of Nanophyetus japonensis from Japan in
comparison with those of related species, N. salmincola from North America and N.
schikhobalowi from the Russian Far East, based on sequence variation in the
nuclear ribosomal gene family (18S, ITS1-5.8S-ITS2 and 28S) and mitochondrial
nad1 gene, encoding subunit I of the respiratory chain NADH dehydrogenase. The
results confirmed the independent species status for the compared flukes,
demonstrated a greater genetic similarity of Asian species between themselves
than each of them with the North American one, suggesting that N. japonensis and
N. schikhobalowi are close sister species, and also revealed discrepancy between
the levels of morphological and genetic differentiation.
PMID- 29353013
TI - Bottom-up gamma maintenance in various disorders.
AB - Maintained gamma band activity is a key element of higher brain function,
participating in perception, executive function, and memory. The pedunculopontine
nucleus (PPN), as part of the reticular activating system (RAS), is a major
source of the "bottom-up" flow of gamma activity to higher regions. However,
interruption of gamma band activity is associated with a number of neurological
and psychiatric disorders. This review will focus on the role of the PPN in
activating higher regions to induce arousal and descending pathways to modulate
posture and locomotion. As such, PPN deep brain stimulation (DBS) can not only
help regulate arousal and stepping, but continuous application may help maintain
necessary levels of gamma band activity for a host of other brain processes. We
will explore the potential future applications of PPN DBS for a number of
disorders that are characterized by disturbances in gamma band maintenance.
PMID- 29353012
TI - Fabrication of poly(ethylene glycol)-coated mesoporous nanocomposite ZnO@Fe2O3
for methotrexate delivery: An integrated nanoplatform for dual-mode cancer
therapy.
AB - Although chemotherapy is an effective strategy for treatment of tumor cells, the
non-specific distribution of chemotherapy drugs and their poor aqueous solubility
result in impaired treatment and cause serious side effects in patients. In this
study, mesoporous ZnO@Fe2O3 nanocomposite was fabricated and used as a platform
for drug delivery. ZnO@Fe2O3 nanocomposite showed a high capacity for the
adsorption of chemotherapy drug, methotrexate (MTX). Drug-loaded nanoparticle was
coated with poly(ethylene glycol) (PEG), leading to the enhanced colloidal
stability and good cytocompatibility. In order to improve tumor selective
targeting of PEG-coated nanoparticles, it was also decorated with folic acid.
Fabricated drug delivery system (F-P-M-ZnO@Fe2O3) was characterized by FT-IR,
TGA, zeta potential, and UV-Visible spectroscopy. F-P-M-ZnO@Fe2O3 nanoparticles
showed spatio-temporal drug release which was precisely controlled by pH and UVA
light. In vitro biological studies on breast cancer cell lines (MCF-7 and T47D
cells) revealed that F-P-M-ZnO@Fe2O3 nanoparticles can be internalized by
clathrin-mediated endocytosis in energy-dependent and folate receptor-dependent
manner. Cytotoxicity experiments showed that the treatment of tumor cells with
both F-P-M-ZnO@Fe2O3 nanoparticle and UV irradiation causes better synergistic
effect in inducing cellular apoptosis than the free drug and UV irradiation
alone. Induction of apoptosis occurred following the mitochondrial membrane
disruption and caspase activation. Moreover, F-P-M-ZnO@Fe2O3 did not affect
normal cells, indicating the selective cytotoxic effect of fabricated nanosystem.
From these data, F-P-M-ZnO@Fe2O3 is a dual-responsive nanoplatform which could be
considered as an appropriate candidate for targeted chemo-phototherapy in cancer.
PMID- 29353014
TI - Neuroprotective effect of morin on lead acetate- induced apoptosis by preventing
cytochrome c translocation via regulation of Bax/Bcl-2 ratio.
AB - Lead (Pb) intoxication is a prevalent type of environmental toxicity as well as
minimal amount of lead exposure is liable for neurobehavioral or perhaps
intelligence defects. The present study was undertaken to investigate the
beneficial effects of morin in protecting the lead acetate (PbAc)-induced
oxidative stress in rat brain. PbAc intoxication resulted in motor deficit,
memory impairment and oxidative stress Further, PbAc administration alters
Bax/Bcl-2 expression thereby increases cytochrome c release from the
mitochondria. Treatment with morin at a dose of 40 mg/kg b.wt. significantly
restored back the abnormal changes that were noticed in PbAc intoxicated rats.
Histopathological sections of cortex, cerebellum and hippocampus showed the
extent of neuronal loss in PbAc induced rats and its restoration upon
administration of morin. These outcomes imply that morin might be employed
therapeutically to chelate toxic metals like Pb, thus possibly lowering PbAc
induced neurotoxicity and tissue damage.
PMID- 29353015
TI - Proteomic and functional variation within black snake venoms (Elapidae:
Pseudechis).
AB - Pseudechis (black snakes) is an Australasian elapid snake genus that inhabits
much of mainland Australia, with two representatives confined to Papua New
Guinea. The present study is the first to analyse the venom of all 9 described
Pseudechis species (plus one undescribed species) to investigate the evolution of
venom composition and functional activity. Proteomic results demonstrated that
the typical Pseudechis venom profile is dominated by phospholipase A2 toxins.
Strong cytotoxicity was the dominant function for most species. P. porphyriacus,
the most basal member of the genus, also exhibited the most divergent venom
composition, being the only species with appreciable amounts of procoagulant
toxins. The relatively high presence of factor Xa recovered in P. porphyriacus
venom may be related to a predominantly amphibian diet. Results of this study
provide important insights to guide future ecological and toxinological
investigations.
PMID- 29353017
TI - pH-triggered surface charge-reversal nanoparticles alleviate experimental murine
colitis via selective accumulation in inflamed colon regions.
AB - In this study, we developed pH-triggered surface charge-reversal lipid
nanoparticles (LNPs), loaded with budesonide, which could precisely deliver the
drug to inflamed colon segments for the treatment of ulcerative colitis.
Polyethyleneimine (PEI) was used to render LNPs cationic (PEI-LNPs), and
Eudragit(r) S100 (ES) was coated on PEI-LNPs to obtain pH-triggered charge
reversal LNPs (ES-PEI-LNPs). ES coating avoided a burst drug release under acidic
conditions mimicking the stomach and early small intestine environments and
showed a sustained release in the colon. The surface charge of ES-PEI-LNPs
switched from negative to positive under colonic conditions owing to pH-triggered
removal of the ES coating. Bioimaging of the mouse gastrointestinal tract and
confocal analysis of colon tissues revealed that ES-PEI-LNPs selectively
accumulated in an inflamed colon. Furthermore, ES-PEI-LNPs mitigated experimental
colitis in mice. These results suggest that the pH-triggered charge-reversal LNPs
could be a promising drug carrier for ulcerative colitis therapy and other colon
targeted treatments.
PMID- 29353016
TI - Pyrosequencing analysis of methylation levels of clock genes in leukocytes from
Parkinson's disease patients.
AB - DNA methylation of neuronal PAS domain protein 2 (NPAS2) and cryptochrome
circadian clock 1 (CRY1) promoters may be associated with Parkinson's disease
(PD). However, there is no simple and cost-effective method to quantify DNA
methylation in these regions. Additionally, it is not clear whether DNA
methylation of NPAS2 and CRY1 promoters is altered in peripheral blood of PD
patients, especially newly diagnosed drug-naive PD patients, and thus can be used
as a PD biomarker. In the present study, we utilized bisulfite pyrosequencing
assays to examine DNA methylation levels of six CpG sites in the NPAS2 promoter
and five CpG sites in the CRY1 promoter. We compared DNA methylation levels at
these sites in leukocytes from 80 medicated PD patients, 30 drug-naive PD
patients, and 80 healthy controls. Our results indicate that NPAS2
hypomethylation occurs at the early stage of PD and is a moderate biomarker for
distinguishing PD patients from healthy subjects.
PMID- 29353018
TI - Nebulization effects on structural stability of bacteriophage PEV 44.
AB - Reduced infectivity of phage due to the nebulization process has been reported
previously, but no visual evidence on structural change upon nebulization has
been established, or whether these structural changes can be attributed to the
titer reduction. In this study, transmission electron microscopy (TEM) was
employed to assess the impact of three different types of nebulizers, air-jet,
vibrating-mesh and static-mesh nebulizers, on the structural stability of a
Myoviridae phage, PEV44, active against Pseudomonas aeruginosa. The morphology of
the phage in the nebulized samples was categorized into two groups: "whole" (the
capsid and tail held together) and "broken" (the capsid separated from the tail)
phages. The "whole" phage group was further divided into three sub-groups: (1)
intact, (2) contracted tail and (3) empty capsid. The starting stock suspension
was found to contain considerable portions of "broken" phages (35.5 +/- 6.7%),
"whole" phages with contracted tails (9.9 +/- 5.4%) and empty capsids (19.3 +/-
8.9%). The fraction of "broken" phages was significantly increased after
nebulization, with the air-jet nebulizer (83%) being more pronounced than the
mesh type nebulizers (50-60%). While the amount of intact phages (2-10%) and
whole phages with contracted tails (0-3%) were significantly decreased, the
proportion of phages with empty capsids (15-36%) were not significantly
different. Phages with broken features obtained by TEM quantification were 92.9
+/- 3.2%, 74.8 +/- 10.4% and 71.2 +/- 11.0% for the jet, vibrating-mesh and
static-mesh nebulizers, respectively. These results were found to be comparable
with the titer loss obtained by the conventional plaque assay results. The in
vitro aerosol performance and viable phage delivery of the three nebulizers was
also assessed. The Omron nebulizer achieved a significantly higher viable
respirable fraction (VRF) than the SideStream and Aeroneb Go (15.1 +/- 5.8%, 2.4
+/- 2.0%, 4.1 +/- 2.7% respectively). In conclusion, this study identified
various changes in the phage structure and viability of phage from different
types of nebulizers. Understanding these effects and the phage tolerance to
nebulization stresses can potentially improve our choice of the delivery method
for inhaled phage therapy.
PMID- 29353019
TI - Induction by innate defence regulator peptide 1018 of pro-angiogenic molecules
and endothelial cell migration in a high glucose environment.
AB - Synthetic innate defence regulator (IDR) peptides such as IDR-1018 modulate
immunity to promote key protective functions including chemotaxis, wound healing,
and anti-infective activity, while suppressing pro-inflammatory responses to non
pathological levels. Here we demonstrated that IDR-1018 induced, by up to 75
fold, pro-angiogenic VEGF-165 in keratinocytes but suppressed this isoform in
endothelial cells. It also induced early angiogenin and prolonged anti
inflammatory TGFbeta expression on endothelial cells, while suppressing early pro
inflammatory IL-1beta expression levels. IDR-1018 also down-regulated the hypoxia
induced transcription factor HIF-1alpha in both keratinocytes and endothelial
cells. Consistent with these data, in an in vitro wound healing scratch assay,
IDR-1018 induced migration of endothelial cells under conditions of hypoxia while
in epithelial cells migration increased only under conditions of normoxia.
PMID- 29353020
TI - Ultrasound improves antimicrobial effect of sodium dichloroisocyanurate to reduce
Salmonella Typhimurium on purple cabbage.
AB - The consumer's interest in ready-to-eat, fast-ready, nutritious and fresh foods
is a major challenge for the food industry. Thus, studies on new sanitization
methods are relevant. The effect of Sodium Hypochlorite (SH), Benzalkonium
Chloride (BC), and Sodium Dichloroisocyanurate (SD) isolated or combined with
Ultrasound (US) in purple cabbage quality over 7 days of storage at 8 +/- 1
degrees C was evaluated. The natural microbiota (mesophilic aerobic bacteria,
lactic acid bacteria and coliforms), intentionally inoculated Salmonella
Typhimurium, physicochemical quality (anthocyanins, pH, total titratable acidity,
instrumental color and mass loss) and sensorial quality (multiple comparison test
and visual sensory acceptance) were analyzed. The best treatments for natural
microbiota reduction were BC and US + BC, which in general reduced between 1.9
and 3.2 log cfu/g. US improved (p < .05) the effect of SD reducing almost 4 log
cycles in the population of S. Typhimurium adhered to cabbage. The treatments
with benzalkonium chloride resulted in important physicochemical changes in
cabbage. The treatments SD and US + SD did not alter the physicochemical and
sensorial characteristics of purple cabbage. Therefore, ultrasound combined with
sodium dichloroisocyanurate is a promising alternative for the reduction of
microbiological contaminants of purple cabbage without physicochemical, sensory
and anthocyanin content loss.
PMID- 29353021
TI - Phosphoproteomics unveils stable energy supply as key to flooding tolerance in
Kandelia candel.
AB - : The mangrove Kandelia candel (L.) Druce experiences daily flooding cycles. To
explore the molecular mechanism underlying the physiological adaptation of K.
candel to flooding, the potential role of protein phosphorylation in flooding
responses was investigated by a large-scale quantitative phosphoproteomic
analysis using isobaric tag for relative and absolute quantitation. Total 2141
unique phosphopeptides and 2603 non-redundant phosphorylation sites were
identified from 1516 phosphoproteins in K. candel leaves. In addition to known
phosphorylation motifs, three new motifs [GSP], [GxxSP] and [RSxS] were
discovered. The phosphorylation levels of 96 differentially expressed
phosphoproteins, including those involved in pyruvate metabolism and energy
production, were identified in response to flooding. The physiological parameters
and transcriptional levels relevant to flooding responses including
photosynthesis, pyruvate metabolism, and ROS production were investigated and all
were found to be robust under flooding conditions. The consistent results of the
phosphoproteomic, physiological analyses and transcriptional levels reinforce
each other to demonstrate that K. candel adapts to flooding through maintaining
sufficient photosynthesis activities, achieving effective anaerobic respiration
and increasing pentose phosphate pathway flux. Protein phosphorylation is likely
to play a major role in the regulation of these pathways which together
contribute to stable energy supply that enhances flooding tolerance in K. candel.
BIOLOGICAL SIGNIFICANCE: Flooding stress is one of the major environmental
stresses. The woody mangrove Kandelia candel experiences daily flooding cycles in
its natural habitat. Protein phosphorylation is a crucial regulatory mechanism in
plants' responses to both biotic and abiotic stresses. To analyze phosphorylation
levels in critical enzymes involved in key metabolic pathways, we employed
phosphoproteomic approach to dissect the adaptive mechanism of K. candel to
flooding conditions. To our knowledge, this is the first large-scale quantitative
phosphoproteomic analyses of K. candel's flooding responses. Multiplex iTRAQ
based quantitative proteomic and Nano-LC-MS/MS methods were used to construct the
phosphorproteome. Our results indicate that K. candel is able to acquire stable
energy supply under flooding by maintaining sufficient photosynthesis activities,
enhancing effective anaerobic respiration and increasing pentose phosphate
pathway (PPP) flux. The protein phosphorylation found in photosynthesis,
anaerobic respiration and PPP is likely to play important roles in the flooding
tolerance of K. candel.
PMID- 29353023
TI - Diaphragm Excursion-Time Index: A New Parameter Using Ultrasonography to Predict
Extubation Outcome.
AB - BACKGROUND: The diaphragmatic response to increased mechanical load following
withdrawal of mechanical ventilation is critical in determining the outcome of
extubation. Using ultrasonography, we aimed to evaluate the performance of the
excursion-time (E-T) index-a product of diaphragm excursion and inspiratory time,
to predict the outcome of extubation. METHODS: Right hemidiaphragm excursion,
inspiratory time, and E-T index were measured by ultrasonography during
mechanical ventilation: (1) on assist-control (A/C) mode during consistent
patient-triggered ventilation, (2) following 30 min during a spontaneous
breathing trial (SBT), and (3) between 4 and 24 h following extubation. These
measurements were correlated with the outcome of extubation. Patients in the
"failure" group required reintubation or noninvasive ventilation within 48 h of
extubation. RESULTS: Of the 73 patients studied, 20 patients failed extubation.
During SBT, diaphragm excursion was 1.65 +/- 0.82 and 2.1 +/- 0.9 cm (P = .06),
inspiratory time was 0.89 +/- 0.30 and 1.11 +/- 0.39 s (P = .03), and the E-T
index was 1.64 +/- 1.19 and 2.42 +/- 1.55 cm-s (P < .03) in the "failure" and
"success" groups, respectively. The mean change in E-T index between A/C and SBT
was -3.9 +/- 57.8% in the failure group and 59.4 +/- 74.6% in the success group
(P < .01). A decrease in diaphragmatic E-T index less than 3.8% between A/C and
SBT had a sensitivity of 79.2% and a specificity of 75%, to predict successful
extubation. CONCLUSIONS: Diaphragm E-T index measured during SBT may help predict
the outcome of extubation. Maintenance or increase in diaphragm E-T index between
A/C and SBT increases the likelihood of successful extubation.
PMID- 29353022
TI - Using medical examiner case narratives to improve opioid overdose surveillance.
AB - BACKGROUND: Current opioid overdose mortality surveillance methods do not capture
the complexity of the overdose epidemic. Most rely on death certificates, which
may underestimate both opioid analgesic and heroin deaths. Categorizing deaths
using other characteristics from the death record including route of drug
administration may provide useful information to design and evaluate overdose
prevention interventions. METHODS: We reviewed California Electronic Death
Reporting System records and San Francisco Office of the Chief Medical Examiner
(OCME) toxicology reports and investigative case narratives for all unintentional
opioid overdose deaths in San Francisco County from 2006 to 2012. We chose this
time period because it encompassed a period of evolution in local opioid use
patterns and expansion of overdose prevention efforts. We created a
classification system for heroin-related and injection-related opioid overdose
deaths and compared demographic, death scene, and toxicology characteristics
among these groups. RESULTS: We identified 816 unintentional opioid overdose
deaths. One hundred fifty-two (19%) were standard heroin deaths, as designated by
the OCME or by the presence of 6-monoacetylmorphine. An "expanded" classification
for heroin deaths incorporating information from toxicology reports and case
narratives added 20 additional heroin deaths (13% increase), accounting for 21%
of all opioid deaths. Two hundred five deaths (25%) were injection-related, 60%
of which were attributed to heroin. A combined classification of expanded heroin
and injection-related deaths accounted for 31% of opioid overdose deaths during
this period. CONCLUSIONS: Using additional sources of information to classify
opioid overdose cases resulted in a modest increase in the count of heroin
overdose deaths but identified a substantial number of non-heroin injection
related opioid analgesic deaths. Including the route of administration in the
characterization of opioid overdose deaths can identify meaningful subgroups of
opioid users to enhance surveillance efforts and inform targeted public health
programming including overdose prevention programs.
PMID- 29353024
TI - Outcomes and Mortality Prediction Model of Critically Ill Adults With Acute
Respiratory Failure and Interstitial Lung Disease.
AB - BACKGROUND: We aimed to examine short- and long-term mortality in a mixed
population of patients with interstitial lung disease (ILD) with acute
respiratory failure, and to identify those at lower vs higher risk of in-hospital
death. METHODS: We conducted a single-center retrospective cohort study of 126
consecutive adults with ILD admitted to an ICU for respiratory failure at a
tertiary care hospital between 2010 and 2014 and who did not undergo lung
transplantation during their hospitalization. We examined associations of ICU-day
1 characteristics with in-hospital and 1-year mortality, using Poisson
regression, and examined survival using Kaplan-Meier curves. We created a risk
score for in-hospital mortality, using a model developed with penalized
regression. RESULTS: In-hospital mortality was 66%, and 1-year mortality was 80%.
Those with connective tissue disease-related ILD had better short-term and long
term mortality compared with unclassifiable ILD (adjusted relative risk, 0.6; 95%
CI, 0.3-0.9; and relative risk, 0.6; 95% CI, 0.4-0.9, respectively). Our
prediction model includes male sex, interstitial pulmonary fibrosis diagnosis,
use of invasive mechanical ventilation and/or extracorporeal life support, no
ambulation within 24 h of ICU admission, BMI, and Simplified Acute Physiology
Score-II. The optimism-corrected C-statistic was 0.73, and model calibration was
excellent (P = .99). In-hospital mortality rates for the low-, moderate-, and
high-risk groups were 33%, 65%, and 96%, respectively. CONCLUSIONS: We created a
risk score that classifies patients with ILD with acute respiratory failure from
low to high risk for in-hospital mortality. The score could aid providers in
counseling these patients and their families.
PMID- 29353025
TI - Efficacy and safety of fezakinumab (an IL-22 monoclonal antibody) in adults with
moderate-to-severe atopic dermatitis inadequately controlled by conventional
treatments: A randomized, double-blind, phase 2a trial.
AB - BACKGROUND: Interleukin 22 promotes epidermal hyperplasia and inhibits skin
barrier function. OBJECTIVE: Evaluate interleukin 22 blockade in adults with
moderate-to-severe atopic dermatitis (AD). METHODS: We performed a randomized,
double-blind, placebo-controlled trial with intravenous fezakinumab monotherapy
every 2 weeks for 10 weeks, with follow-up assessments until 20 weeks. The change
in SCOring AD (SCORAD) score from baseline at 12 weeks served as the primary end
point. RESULTS: At 12 weeks, the mean declines in SCORAD for the entire study
population were 13.8 +/- 2.7 in the fezakinumab arm and 8.0 +/- 3.1 in the
placebo arm (P = .134). In the severe AD patient subset (with a baseline SCORAD
of >=50), SCORAD decline was significantly stronger in the drug-treated patients
than placebo-treated patients at 12 weeks (21.6 +/- 3.8 vs 9.6 +/- 4.2, P = .029)
and 20 weeks (27.4 +/- 3.9 vs 11.5 +/- 5.1, P = .010). At 12 weeks, improvements
in body surface area involvement in the entire population were significantly
stronger in the drug-treated than placebo-treated patients (12.4% +/- 2.4 vs 6.2%
+/- 2.7; P = .009), and in the severe AD subset, the decline in Investigator
Global Assessment was significantly higher in the drug-treated than placebo
treated patients (0.7 +/- 0.2 vs 0.3 +/- 0.1; P = .034). All scores showed
progressive improvements after last dosing (10 weeks) until end of study (20
weeks). Common adverse events were upper respiratory tract infections.
LIMITATIONS: The limited sample size and lack of assessment with Eczema Area and
Severity Index and a pruritus numerical rating scale were limiting factors.
Significance was primarily obtained in severe AD. CONCLUSION: Fezakinumab was
well-tolerated, with sustained clinical improvements after last drug dosing.
PMID- 29353026
TI - Efficacy and safety of lebrikizumab (an anti-IL-13 monoclonal antibody) in adults
with moderate-to-severe atopic dermatitis inadequately controlled by topical
corticosteroids: A randomized, placebo-controlled phase II trial (TREBLE).
AB - BACKGROUND: Interleukin (IL)-13 plays a key role in type 2 inflammation and is an
emerging pathogenic mediator in atopic dermatitis (AD). OBJECTIVE: We
investigated the efficacy and safety of lebrikizumab, an IL-13 monoclonal
antibody, as an add-on to topical corticosteroid (TCS) treatment. METHODS: A
randomized, placebo-controlled, double-blind, phase 2 study. Adults with moderate
to-severe AD were required to use TCS twice daily and then randomized (1:1:1:1)
to lebrikizumab 125 mg single dose, lebrikizumab 250 mg single dose, lebrikizumab
125 mg every 4 weeks for 12 weeks, or placebo every 4 weeks for 12 weeks, after a
2-week TCS run-in. The primary endpoint was percentage of patients achieving
Eczema Area and Severity Index (EASI)-50 at week 12. RESULTS: In total, 209
patients received the study drug. At week 12, significantly more patients
achieved EASI-50 with lebrikizumab 125 mg every 4 weeks (82.4%; P = .026) than
placebo every 4 weeks (62.3%); patients receiving a single dose of lebrikizumab
showed no statistically significant improvements in EASI-50 compared with
placebo. Adverse events were similar between groups (66.7% all lebrikizumab vs
66.0% placebo) and mostly mild or moderate. LIMITATIONS: Protocol-mandated twice
daily TCS treatment limits our understanding of the efficacy of lebrikizumab as a
monotherapy. The short study duration did not enable long-term efficacy or safety
evaluations. CONCLUSION: When combined with TCS, lebrikizumab 125 mg taken every
4 weeks led to a significant improvement and was well tolerated in patients with
moderate-to-severe AD.
PMID- 29353027
TI - Estrogen Receptor-alpha is Involved in Tamoxifen Neuroprotective Effects in a
Traumatic Brain Injury Male Rat Model.
AB - OBJECTIVE: To determine the neuroprotective mechanisms of tamoxifen (TMX) during
traumatic brain injury (TBI), especially the effects on estrogen receptor-alpha
(ERalpha) expression, as well as neuroinflammatory associations. MATERIALS AND
METHODS: Anesthetized male Sprague-Dawley rats were divided into 4 groups: sham
operated controls, sham-operated controls given TMX (1 mg/kg/per day) for 3 days,
those given a vehicle solution immediately after TBI, and those given TMX (1
mg/kg/per day) for 3 days. The functional outcome was evaluated by assessments of
body weight and proprioception. The total ERalpha expression in the cortex also
was investigated by Western blotting, and ERalpha expression in neurons,
microglia, and astroglia were each detected via immunofluorescence staining.
Neuronal apoptosis (marker caspase-3), activated microglia (marker OX42),
astroglia (marker glial fibrillary acidic protein), and tumor necrosis factor
alpha expression in microglia and astroglia in the cortex were evaluated by
immunofluorescence staining methods. RESULTS: Compared with sham-operated
controls, the TBI-induced proprioception inhibition was significantly attenuated
by TMX therapy on day 3 after TBI. Using immunofluorescence staining, we found
that the TBI-induced neuronal loss, apoptosis, activated microglia, and astrocyte
expression and tumor necrosis factor-alpha and ERalpha in the cortex were
significantly reduced by TMX therapy. CONCLUSIONS: Our results suggest that the
intraperitoneal injection of TMX (1 mg/kg/per day) for 3 days may affect ERalpha
expression in neurons and glia, which is accompanied by neuroinflammation and
neuronal apoptosis, and it might represent one mechanism by which functional
recovery occurs. We consider TMX administration to be a promising strategy for
TBI.
PMID- 29353028
TI - Reply.
PMID- 29353029
TI - Applying surgical antimicrobial standards in cesarean deliveries.
AB - Antimicrobial prophylaxis practices are critical to surgical site infection risk
reduction strategies. Included in these practices is antibiotic redosing
following prolonged procedures or after large blood losses. Guidelines have been
published by several professional associations, with most endorsing repeat
antibiotic administration after an estimated blood loss of 1500 mL or following 2
half-lives of the select agent. These conventions have been widely adopted by
surgeons with the exception of obstetricians at the time of cesarean delivery.
This Viewpoint explores existing guidelines, reviews the data for these
recommendations, and questions the tradition of abstinence from redosing in
cesarean deliveries despite the burden of infection in this cohort.
PMID- 29353030
TI - Adherence to practice guidelines is associated with reduced referral times for
patients with ovarian cancer.
AB - BACKGROUND: Patients with ovarian cancer tend to receive the highest quality of
care at high-volume cancer centers with gynecological oncologists. However, the
care that they receive prior to gynecological oncology consult has not been
examined. We investigated the quantity and quality of care given to patients with
ovarian cancer before being seen by a gynecological oncologist. OBJECTIVE: We
evaluated the variability, quantity, and quality of diagnostic testing and
physician-referral patterns prior to consultation with a gynecological
oncologist, in women with suspicious pelvic masses seen on imaging. STUDY DESIGN:
A chart review was performed on patients treated for ovarian cancer at a single
institution from 2001 to 2014. We evaluated their workup in 4 categories, drawn
from National Comprehensive Care Network guidelines: provider visits,
abdominal/pelvic imaging, chest imaging, and tumor markers. Workup was classified
as guideline adherent or guideline nonadherent. RESULTS: We identified 335 cases
that met our criteria. In the provider visit category, 83.9% of patients received
guideline-adherent workup: 77% in the abdominal/pelvic imaging, 98.2% in the
chest imaging, and 95.2% in the tumor marker categories. Each patient's workup
was assessed as a compilation of the 4 categories, yielding 65.7% patients as
having received an adherent workup and 34.3% of workup as nonadherent to
guidelines. The timeframe to see a gynecological oncologist for patients with
guideline-adherent workup was significantly shorter than for those whose workup
was nonadherant (20 vs 86 days, P < .001). A suspicious pelvic mass was
identified by obstetrics-gynecology in only 23.9% of patients; 42.7% of patients
did not have tumor marker testing before a gynecological oncologist consult. When
an obstetrics-gynecology specialist discovered the suspicious pelvic mass, the
remaining workup was more likely to be guideline adherent prior to gynecological
oncologist referral than when initial imaging was not ordered by an obstetrics
gynecology specialist (P = .18). Survival was not significantly different (P =
.103). CONCLUSION: With a guideline-adherent workup, including tumor marker
testing, gynecological oncologist referral times can be shortened, minimizing
cost inefficiencies and delays that can compromise the effectiveness of
downstream care for patients with ovarian cancer. Guidelines should be
disseminated beyond the obstetrics-gynecology field.
PMID- 29353031
TI - Uterine preservation vs hysterectomy in pelvic organ prolapse surgery: a
systematic review with meta-analysis and clinical practice guidelines.
AB - OBJECTIVE: We aimed to systematically review the literature on apical pelvic
organ prolapse surgery with uterine preservation compared with prolapse surgeries
including hysterectomy and provide evidence-based guidelines. DATA SOURCES: The
sources for our data were MEDLINE, Cochrane, and clinicaltrials.gov databases
from inception to January 2017. STUDY ELIGIBILITY CRITERIA: We accepted
randomized and nonrandomized studies of uterine-preserving prolapse surgeries
compared with those involving hysterectomy. STUDY APPRAISAL AND SYNTHESIS
METHODS: Studies were extracted for participant information, intervention,
comparator, efficacy outcomes, and adverse events, and they were individually and
collectively assessed for methodological quality. If 3 or more studies compared
the same surgeries and reported the same outcome, a meta-analysis was performed.
RESULTS: We screened 4467 abstracts and identified 94 eligible studies, 53
comparing uterine preservation to hysterectomy in prolapse surgery. Evidence was
of moderate quality overall. Compared with hysterectomy plus mesh sacrocolpopexy,
uterine preservation with sacrohysteropexy reduces mesh exposure, operative time,
blood loss, and surgical cost without differences in prolapse recurrence.
Compared with vaginal hysterectomy with uterosacral suspension, uterine
preservation in the form of laparoscopic sacrohysteropexy improves the C point
and vaginal length on the pelvic organ prolapse quantification exam, estimated
blood loss, postoperative pain and functioning, and hospital stay, but open
abdominal sacrohysteropexy worsens bothersome urinary symptoms, operative time,
and quality of life. Transvaginal mesh hysteropexy (vs with hysterectomy)
decreases mesh exposure, reoperation for mesh exposure, postoperative bleeding,
and estimated blood loss and improves posterior pelvic organ prolapse
quantification measurement. Transvaginal uterosacral or sacrospinous hysteropexy
or the Manchester procedure compared with vaginal hysterectomy with native tissue
suspension both showed improved operative time and estimated blood loss and no
worsening of prolapse outcomes with uterine preservation. However, there is a
significant lack of data on prolapse outcomes >3 years after surgery, the role of
uterine preservation in obliterative procedures, and longer-term risk of uterine
pathology after uterine preservation. CONCLUSION: Uterine-preserving prolapse
surgeries improve operating time, blood loss, and risk of mesh exposure compared
with similar surgical routes with concomitant hysterectomy and do not
significantly change short-term prolapse outcomes. Surgeons may offer uterine
preservation as an option to appropriate women who desire this choice during
apical prolapse repair.
PMID- 29353032
TI - Clinical assessment and brain findings in a cohort of mothers, fetuses and
infants infected with ZIKA virus.
AB - BACKGROUND: Congenital Zika virus (ZIKV) infection can be detected in both the
presence and absence of microcephaly and manifests as a number of signs and
symptoms that are detected clinically and by neuroimaging. However, to date,
qualitative and quantitative measures for the purpose of diagnosis and prognosis
are limited. OBJECTIVES: Main objectives of this study conducted on fetuses and
infants with confirmed congenital Zika virus infection and detected brain
abnormalities were (1) to assess the prevalence of microcephaly and the frequency
of the anomalies that include a detailed description based on ultrasound and
magnetic resonance imaging in fetuses and ultrasound, magnetic resonance imaging,
and computed tomography imaging postnatally, (2) to provide quantitative measures
of fetal and infant brain findings by magnetic resonance imaging with the use of
volumetric analyses and diffusion-weighted imaging, and (3) to obtain additional
information from placental and fetal histopathologic assessments and postnatal
clinical evaluations. STUDY DESIGN: This is a longitudinal cohort study of Zika
virus-infected pregnancies from a single institution in Colombia. Clinical and
imaging findings of patients with laboratory-confirmed Zika virus infection and
fetal brain anomalies were the focus of this study. Patients underwent monthly
fetal ultrasound scans, neurosonography, and a fetal magnetic resonance imaging.
Postnatally, infant brain assessment was offered by the use of ultrasound
imaging, magnetic resonance imaging, and/or computed tomography. Fetal head
circumference measurements were compared with different reference ranges with <2
or <3 standard deviations below the mean for the diagnosis of microcephaly. Fetal
and infant magnetic resonance imaging images were processed to obtain a
quantitative brain volumetric assessment. Diffusion weighted imaging sequences
were processed to assess brain microstructure. Anthropometric, neurologic,
auditory, and visual assessments were performed postnatally. Histopathologic
assessment was included if patients opted for pregnancy termination. RESULTS: All
women (n=214) had been referred for Zika virus symptoms during pregnancy that
affected themselves or their partners or if fetal anomalies that are compatible
with congenital Zika virus syndrome were detected. A total of 12 pregnant
patients with laboratory confirmation of Zika virus infection were diagnosed with
fetal brain malformations. Most common findings that were assessed by prenatal
and postnatal imaging were brain volume loss (92%), calcifications (92%),
callosal anomalies (100%), cortical malformations (89%), and ventriculomegaly
(92%). Results from fetal brain volumetric assessment by magnetic resonance
imaging showed that 1 of the most common findings associated with microcephaly
was reduced supratentorial brain parenchyma and increased subarachnoid
cerebrospinal fluid. Diffusion weighted imaging analyses of apparent diffusion
coefficient values showed microstructural changes. Microcephaly was present in
33.3-58.3% of the cases at referral and was present at delivery in 55.6-77.8% of
cases. At birth, most of the affected neonates (55.6-77.8%) had head
circumference measurements >3 standard deviations below the mean. Postnatal
imaging studies confirmed brain malformations that were detected prenatally.
Auditory screening results were normal in 2 cases that were assessed. Visual
screening showed different anomalies in 2 of the 3 cases that were examined.
Pathologic results that were obtained from 2 of the 3 cases who opted for
termination showed similar signs of abnormalities in the central nervous system
and placental analyses, including brain microcalcifications. CONCLUSION:
Congenital microcephaly is not an optimal screening method for congenital Zika
virus syndrome, because it may not accompany other evident and preceding brain
findings; microcephaly could be an endpoint of the disease that results from
progressive changes that are related to brain volume loss. Long-term studies are
needed to understand the clinical and developmental relevance of these findings.
PMID- 29353033
TI - Acetylsalicylic acid in pregnant women with chronic hypertension.
PMID- 29353034
TI - Impact of targeted scanning protocols on perinatal outcomes in pregnancies at
risk of placenta accreta spectrum or vasa previa.
AB - BACKGROUND: Placenta accreta spectrum and vasa previa (VP) are congenital
disorders of placentation associated with high morbidity and mortality for both
mothers and newborns when undiagnosed before delivery. Prenatal diagnosis of
these conditions is essential to allow multidisciplinary management and thus
improve perinatal outcomes. OBJECTIVE: The objective of the study was to compare
perinatal outcome in women with placenta accreta spectrum or vasa previa before
and after implementation of targeted scanning protocols. STUDY DESIGN: This
retrospective study included 2 nonconcurrent cohorts for each condition before
and after implementation of the corresponding protocols (2004-1012 vs 2013-2016
for placenta accreta spectrum and 1988-2007 vs 2008-2016 for vasa previa).
Clinical reports of women diagnosed with placenta accreta spectrum and vasa
previa during the study periods were reviewed and outcomes were compared.
RESULTS: In total, there were 97 cases of placenta accreta spectrum and 51 cases
with vasa previa, all confirmed at delivery. In both cohorts, the prenatal
detection rate increased after implementation of the scanning protocols (28 of 65
cases [43.1%] vs 31 of 32 cases [96.9%], P < .001, for placenta accreta spectrum
and 9 of 18 cases [50%] vs 29 of 33 cases [87.9%], 87.9%, P < .01 for vasa
previa). The perinatal outcome improved also significantly in both cohorts after
implementation of the protocols. In the placenta accreta spectrum cohort, the
estimated blood loss and the postoperative hospitalization stay decreased between
periods (1520 +/- 845 vs 1168 +/- 707 mL, P < .01, and 10.9 +/- 14.1 vs 5.7 +/-
2.2 days, P < .05, respectively). In the vasa previa cohort, the number of 5
minute Apgar score <=5 and umbilical cord pH <7 decreased between periods (5 of
18 cases [27.8%] vs 1 of 33 cases [3%]; P < .05, and 4 of 18 cases [22.2%] vs 1
of 33 cases [3%], P < .05, respectively). CONCLUSION: The implementation of
standardized prenatal targeted scanning protocols for pregnant women with risk
factors for placenta accreta spectrum and vasa previa was associated with
improved maternal and neonatal outcomes. The continuous increases in the rates of
caesarean deliveries and use of assisted reproductive technology highlights the
need to develop training programs and introduce targeted scanning protocols at
the national and international levels.
PMID- 29353035
TI - Fellow perceptions of residency training in obstetrics and gynecology.
PMID- 29353036
TI - NMR-based serum metabolomics study reveals a innovative diagnostic model for
missed abortion.
AB - A missed abortion (MA) is an in-utero death of the embryo or fetus before the
20th week of gestation with retained products of conception. In order to discover
novel biomarkers for MA, a 1H NMR spectroscopy-based metabolomics approach was
applied to detect human MA serum metabolic profiles. Serum samples were obtained
from patients with MA (n = 15) and healthy controls (n = 9) for study. The
NOESYPR1D spectrum combined with multi-variate pattern recognition analysis was
used to cluster the groups and establish a disease-specific metabolites
phenotype. Principal component analysis (PCA) and orthogonal partial least
squares discriminant analysis (OPLS-DA) models were capable of distinguishing MA
patients from healthy subjects. The results revealed that 24 metabolites altered
in MA patients compared with the control population. Metabolomic pathway analysis
demonstrated that alanine, aspartate and glutamate metabolism, citrate cycle (TCA
cycle), taurine and hypotaurine metabolism were significantly altered in MA. The
results indicated that serum NMR-based metabolomic profiling method is sensitive
and specific enough to distinguish MA and from healthy controls, this method
could be developed as a clinically useful diagnostic tool for MA. The finding
from the MA serum metabolic profiling shed a new light on further understanding
of MA disease mechanisms.
PMID- 29353037
TI - Curcumin protects cortical neurons against oxygen and glucose
deprivation/reoxygenation injury through flotillin-1 and extracellular signal
regulated kinase1/2 pathway.
AB - In this study, we provided evidence that curcumin could be a promising
therapeutic agent for ischemic stroke by activating neuroprotective signaling
pathways. Post oxygen and glucose deprivation/reoxygenation (OGD/R), primary
mouse cortical neurons treated with curcumin exhibited a significant decrease in
cell death, LDH release and enzyme caspase-3 activity under OGD/R circumstances,
which were abolished by flotillin-1 downregulation or extracellular signal
regulated kinase (ERK) inhibitor. Moreover, flotillin-1 knockdown led to
suppression of curcumin-mediated ERK phosphorylation under OGD/R condition. Based
on these findings, we concluded that curcumin could confer neuroprotection
against OGD/R injury through a novel flotillin-1 and ERK1/2 pathway.
PMID- 29353039
TI - WITHDRAWN: Cetylpyridinium chloride as a novel inhibitor of hepatitis B viral
capsid assembly.
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at https://www.elsevier.com/about/our
business/policies/article-withdrawal.
PMID- 29353038
TI - Cereblon (CRBN) deletion reverses streptozotocin induced diabetic osteoporosis in
mice.
AB - Diabetes mellitus is a major cause to induce osteoporosis. Though the
pathogenesis of osteoporosis progression has been well investigated, its still
not fully understood. Recently, cereblon (CRBN) was considered as a negative
modulator of adenosine monophosphate-activated protein kinase (AMPK) in vitro and
in vivo. Here, we presented results indicating that CRBN could effectively
regulate osteoporosis development. In STZ-induced wild type (WT) mice with
diabetes, the osteoclasts were highly increased along with the deterioration of
bone structure. However, CRBN knockout (KO) reduced blood glucose the levels and
attenuated insulin resistance. What's more, CRBN ablation suppressed osteoclast
differentiation and rescued diabetic bone loss in vivo, accompanied with
decreased receptor activator of NF-kB ligand (RANKL), RANKL/osteoprotegerin
(OPG), and tartrate-resistant acid phosphatase (TRAP) levels, as well as improved
AMP-activated kinase (AMPK) alpha/acetyl-CoA carboxylase (ACC)alphaactivation. In
vitro, suppressing CRBN expression could reduce RANKL-induced osteoclastogenesis,
supported by the reduction of TRAP-positive cells. CRBN knockdown (KD) obviously
reduced RANKL-induced activity of IkappaBalpha/nuclear factor-kappaB (NF-kappaB)
pathway. In addition, osteoclast-specific genes expression levels stimulated by
RANKL were also decreased by CRBN silence. More importantly, CRBN blockage
increased phosphorylated AMPK-alpha and ACC-alpha expressions in RANKL-incubated
cells. However, these processes could be abolished by suppressing AMPK-alpha with
its inhibitor, Compound C. Collectively, our data suggested that CRBN is a
potential treatment option against diabetes-induced osteolytic bone disease.
PMID- 29353040
TI - Eupatilin, an activator of PPARalpha, inhibits the development of oxazolone
induced atopic dermatitis symptoms in Balb/c mice.
AB - Eupatilin (5,7-dihydroxy-3',4',6-trimethoxyflavone) is the main lipophilic
flavonoid obtained from the Artemisia species. Eupatilin has been reported to
have anti-apoptotic, anti-oxidative and anti-inflammatory activities. Previously,
we found that eupatilin increases transcriptional activity and expression of
peroxisome proliferator-activated receptor alpha (PPARalpha) in a keratinocyte
cell line and acts as an agonist of PPARalpha. PPARalpha agonists ameliorate
atopic dermatitis (AD) and restore the skin barrier function. In this study, we
confirmed that the effects of eupatilin improved AD-like symptoms in an oxazolone
induced AD-like mouse model. Furthermore, we found that eupatilin suppressed the
levels of serum immunoglobulin E (IgE), interleukin-4 (IL-4), and AD involved
cytokines, such as tumor necrosis factor alpha (TNFalpha), interferon-gamma (IFN
gamma), IL-1beta, and thymic stromal lymphopoietin (TSLP), IL-33, IL-25 and
increased the levels of filaggrin and loricrin in the oxazolone-induced AD-like
mouse model. Taken together, our data suggest that eupatilin is a potential
candidate for the treatment of AD.
PMID- 29353041
TI - FADS2 inhibition in essential fatty acid deficiency induces hepatic lipid
accumulation via impairment of very low-density lipoprotein (VLDL) secretion.
AB - Fatty acid desaturase 2 (FADS2) is responsible for the first desaturation
reaction in the synthesis of highly unsaturated fatty acids (HUFAs), such as
arachidonic acid (20:4n-6) and eicosapentaenoic acid (20:5n-3), and is involved
in Mead acid (20:3n-9) production during essential fatty acid deficiency (EFAD).
In this study, an obvious hepatic lipid accumulation was observed in EFAD mice
treated with a FADS2 inhibitor. FADS2 inhibition in the EFAD state reduced
secretion of very low-density lipoprotein (VLDL) and markedly diminished Mead
acid in phosphatidylcholine (PC) in the liver and plasma. As the results, the
amount of C20 HUFAs in hepatic and plasma PC dramatically reduced in the EFAD
mice treated with a FADS2 inhibitor, whereas the decrease of C20 HUFA levels of
PC in EFAD mice was not observed because of the increased Mead acid in PC. These
results supposed that Mead acid in PC is important as a component of VLDL. It is
possible that Mead acid plays the role of a substitute of HUFAs in VLDL secretion
during EFAD.
PMID- 29353042
TI - Ginkgolic acid induces interplay between apoptosis and autophagy regulated by ROS
generation in colon cancer.
AB - Presently, developing effective anti-colon cancer drugs still remains to be
important. Ginkgolic acids (GA), as a botanical drug extracted from the seed coat
of Ginkgo biloba L., possess various bioactive properties. Our findings, for the
first time, indicated that GA suppressed colon cancer cell proliferation,
migration and invasion. GA led to cell death through G0/G1 phase arrest. In
addition, apoptosis was significantly induced by GA treatment. The intrinsic
apoptosis pathway was included, proved by the release of cytochrome c (Cyto-c)
from the mitochondria into the cytosol. GA-induced autophagy was supported by the
dose-dependent increase of LC3BII, autophagy-related gene-5 (ATG-5) and Beclin-1.
Notably, silencing ATG-5 further reduced the cell viability and enhanced
apoptosis in GA-treated colon cancer cells, indicating that GA-induced apoptosis
rather than autophagy contributes to colon cancer cell death. And mammalian
target of rapamycin complex 1 (mTORC1) was dose-dependently reduced by GA,
evidenced by the reduction of p-mTOR, p-p70 ribosomal S6 kinase (p70s6k) and p
pras40. Moreover, GA markedly resulted in reactive oxygen species (ROS)
generation, along with increased H2O2 and O2-. However, blocking ROS generation
using its scavenger, NAC, significantly recovered GA-induced cells death,
supported by the increase of cell viability, and the decrease of apoptosis. The
expressions of autophagy- and cell cycle arrest-related molecules, as well as
mTORC1 were also reversed by N-acetyl-l-cysteine (NAC) in GA-treated cells. In
vivo, GA reduced tumor growth without toxicity to animals. In conclusion, our
study illustrated that GA caused G0/G1 phase arrest and triggered intrinsic
apoptosis and autophagy modulated by ROS generation in human colon cancer,
elucidating that GA might be considered as a potential agent for colon cancer
therapy.
PMID- 29353043
TI - Progression of vasogenic edema induced by activated microglia under permanent
middle cerebral artery occlusion.
AB - Brain edema is a severe complication that accompanies ischemic stroke. Increasing
evidence shows that inflammatory cytokines impair tight junctions of the blood
brain barrier, suggesting the involvement of microglia in brain edema. In this
study, we examined the role of microglia in the progression of ischemic brain
edema using mice with permanent middle cerebral artery occlusion. The intensity
of T2-weighted imaging (T2WI) in the cerebral cortex and the striatum was
elevated 3 h after occlusion and spread to peripheral regions of the ischemic
hemisphere. Merged images of 2,3,5-triphenyl tetrazolium chloride staining and
T2WI revealed the exact vasogenic edema region, which spread from the ischemic
core to outside the ischemic region. Microglia were strongly activated in the
ischemic region 3 h after occlusion and, notably, activated microglia were
observed in the non-ischemic region 24 h after occlusion. Pretreatment with
minocycline, an inhibitor of microglial activation clearly suppressed not only
vasogenic edema but also infarct formation. We demonstrated in this study that
vasogenic edema spreads from the ischemic core to the peripheral region, which
can be elicited, at least in part, by microglial activation induced by ischemia.
PMID- 29353044
TI - BMP4 signaling in NPCs upregulates Bcl-xL to promote their survival in the
presence of FGF-2.
AB - We previously reported that BMP4 does not promote proliferation or
differentiation of CD44-positive astrocyte precursor cells (APCs) but greatly
promotes their survival in the presence of fibroblast growth factor-2 (FGF-2). In
this study, we examined if BMP4 acts as a survival factor also for neural
stem/progenitor cells (NPCs) isolated from ganglionic eminence of neonatal mouse
brain. We found BMP4 promotes survival but not proliferation or differentiation
of these cells, just as in the case for CD44-positive APCs. Microarray analysis
revealed some candidate molecules in the signaling pathway downstream of BMP4.
Among them, we focused on Id1 (inhibitor of DNA-binding 1) and Bcl-xL in this
study. Expression of both genes was promoted in the presence of BMP4, and this
promotion was reduced by dorsomorphin, an inhibitor of BMP4 signaling.
Furthermore, cytochrome c release from mitochondria was significantly reduced in
the presence of BMP4, suggesting up-regulation of Bcl-xL activity by BMP4. Id1
siRNA reduced the expression of Bcl-xL, and negated survival promoting effect of
BMP4. These data suggest that BMP4 promotes survival of NPCs by enhancing the
anti-apoptotic function of Bcl-xL via BMP4-Smad1/5/8-Id1 signaling.
PMID- 29353045
TI - Functionally compromised synovium-derived mesenchymal stem cells in Charcot
neuroarthropathy.
AB - Charcot neuroarthropathy (CNA) often presents as a diabetic foot complication.
The role of synovial mesenchymal stem cells (syn-MSCs) in the pathogenesis of CNA
is unclear. Synovial samples were collected, for isolation of syn-MSCs, from
diabetic patients with CNA (n=7) and non-diabetic patients with intra-articular
fracture or normal joints (non-CNA; n=7) during foot surgery. The syn-MSCs in the
CNA and non-CNA groups were characterized comparatively. The average number of
colonies formed in the CNA group was 6+/-3.5 per half plate (10mm in diameter),
while it was 43+/-21.6 in the non-CNA group (p<0.05). The average size (pixels)
of the colonies in the CNA group was smaller than that in the non-CNA group. When
the colonies were stratified into high-, medium- and low-density subgroups,
colonies in the high-density subgroup of the CNA group were reduced in density.
Expression of PPAR-gamma, RUNX2, Sox9 and type II collagen by syn-MSCs in the CNA
group was decreased during adipogenic, osteogenic and chondrogenic
differentiation as compared with the non-CNA group. In conclusion, syn-MSCs in
CNA joints were reduced in number, with declined differentiation potentials. The
high-density subpopulation of the syn-MSCs was particularly affected by the
pathology of CNA.
PMID- 29353046
TI - Gas Within the Bladder.
PMID- 29353047
TI - Clinical Profile of Nonresponders to Surgical Myectomy with Obstructive
Hypertrophic Cardiomyopathy.
AB - BACKGROUND: Surgical myectomy reverses heart failure symptoms in the vast
majority of obstructive hypertrophic cardiomyopathy patients. However, a small
subgroup fails to experience sustained postoperative improvement despite relief
of obstruction. Clinical profile of such patients has not been well defined.
METHODS: Consecutive obstructive hypertrophic cardiomyopathy patients undergoing
myectomy at Tufts Medical Center for drug-refractory New York Heart Association
III/IV heart failure symptoms, 2004 to 2017, were followed postoperatively for
2.5 +/- 2.8 years and assessed for outcome. RESULTS: Of the 503 patients, there
were 4 postoperative deaths (0.8%); 480 patients (96%) had sustained improvement
to New York Heart Association classes I or II (responders), but 19 (3.8%)
developed advanced symptoms (classes III or IV) in the absence of obstruction
(nonresponders). Compared with responders, nonresponders were younger (40 +/- 13
vs 53 +/- 14 years; P < .001) and had greater septal thickness (25 +/- 9 vs 20 +/
4 mm; P < .001). Massive hypertrophy (>=30 mm) was 5-fold more common in
nonresponders (P < .01). Seven nonresponders developed systolic dysfunction
(ejection fraction 20%-47%), 2 days to 6.1 years postoperatively. Four
nonresponders underwent heart transplant 3.4 to 9.2 years after myectomy, and 2
others have been listed. CONCLUSIONS: Surgical myectomy is highly effective at
reversing heart failure symptoms in the vast majority of patients with
obstructive hypertrophic cardiomyopathy. However, a small minority experience
persistent functional limitation despite surgical relief of outflow obstruction.
Predictors of adverse postoperative course were substantial/massive septal
thickness and youthful age. Patients who failed to respond symptomatically to
myectomy were considered for advanced heart failure treatment, including heart
transplantation.
PMID- 29353048
TI - Cardiac Events after Noncardiac Surgery in Patients Undergoing Preoperative
Dobutamine Stress Echocardiography: Findings From the Mayo Poce-DSE
Investigators.
AB - BACKGROUND: Current guidelines support the use of dobutamine stress
echocardiography (DSE) prior to noncardiac surgery in higher-risk patients who
are unable to perform at least 4 metabolic equivalents of physical activity. We
evaluated postoperative outcomes of patients in different operative risk
categories after preoperative DSE. METHODS: We collected data from the medical
record on 4494 patients from January 1, 2006 to December 31, 2011 who had DSE up
to 90 days prior to a noncardiac surgery. Patients were divided into low,
intermediate, and high preoperative surgery-specific risk. Baseline demographic
data and risk factors were abstracted from the medical record, as were
postoperative cardiac events including myocardial infarction, cardiac arrest, and
mortality within 30 days after surgery. RESULTS: There were 103 cardiac outcomes
(2.3%), which included myocardial infarction (n = 57, 1.3%), resuscitated cardiac
arrest (n = 26, 0.6%), and all-cause mortality (n = 40, 0.9%). Cardiac event
rates were 0.0% (95% confidence interval [CI], 0.0%-3.9%) in the low-surgical
risk group, 2.1% (95% CI, 1.6%-2.5%) in the intermediate-surgical-risk group, and
3.4% (95% CI, 2.0%-4.4%) in the high-risk group. Thirty-day postoperative
mortality rates were 0%, 0.9%, and 0.8% for the low-risk, intermediate-risk, and
high-risk surgical groups, respectively, and were not statistically different.
CONCLUSIONS: These findings demonstrate low cardiac event rates in patients who
underwent a DSE prior to noncardiac surgery. The previously accepted construct of
low-, intermediate-, and high-risk surgeries based on postoperative events of
<1%, 1%-5%, and >5% overestimates the actual risk in contemporary settings.
PMID- 29353049
TI - Candid to the Bitter End: Indiscriminate Disclosures.
PMID- 29353050
TI - Helicobacter pylori Infection: An Update for the Internist in the Age of
Increasing Global Antibiotic Resistance.
AB - Helicobacter pylori infects approximately half the world's population and is
especially prevalent in the developing world. H. pylori is an important cause of
global ill health due to its known etiological role in peptic ulcer disease,
dyspepsia, gastric cancer, lymphoma, and more recently, recognized in iron
deficiency anemia and idiopathic thrombocytopenic purpura. Increased antibiotic
usage worldwide has led to antibiotic resistance among many bacteria, including
H. pylori, resulting in falling success rates of first-line anti-H. pylori
therapies. Eradication failures are principally due to resistance to
clarithromycin, levofloxacin, and metronidazole. Several new treatment options or
modifications of established regimens are now recommended by updated practice
guidelines for primary or secondary therapy. Because these updated
recommendations were published in the gastroenterological literature, internists
and primary care physicians, who commonly manage H. pylori, may be unaware of
these advances. In this review, we outline the changing epidemiology of H.
pylori, advise on diagnostic test selection for patients not undergoing
endoscopy, and highlight current management options in this era of growing
antibacterial resistance.
PMID- 29353051
TI - Infrequent isolation of extensively drug-resistant (XDR) Klebsiella pneumoniae
resistant to colistin in Spain.
PMID- 29353052
TI - Evidence for altered insulin receptor signaling in Alzheimer's disease.
AB - Epidemiological data have shown that metabolic disease can increase the
propensity for developing cognitive decline and dementia, particularly
Alzheimer's disease (AD). While this interaction is not completely understood,
clinical studies suggest that both hyper- and hypoinsulinemia are associated with
an increased risk for developing AD. Indeed, insulin signaling is altered in post
mortem brain tissue from AD patients and treatments known to enhance insulin
signaling can improve cognitive function. Further, clinical evidence has shown
that AD patients and mouse models of AD often display alterations in peripheral
metabolism. Since insulin is primarily derived from the periphery, it is likely
that changes in peripheral insulin levels lead to alterations in central nervous
system (CNS) insulin signaling and could contribute to cognitive decline and
pathogenesis. Developing a better understanding of the relationship between
alterations in peripheral metabolism and cognitive function might provide a
foundation for the development of better treatment options for patients with AD.
In this article we will begin to piece together the present data defining this
relationship by briefly discussing insulin signaling in the periphery and CNS,
its role in cognitive function, insulin's relationship to AD, peripheral
metabolic alterations in mouse models of AD and how information from these models
helps understand the mechanisms through which these changes potentially lead to
impairments in insulin signaling in the CNS, and potential ways to target insulin
signaling that could improve cognitive function in AD. This article is part of
the Special Issue entitled 'Metabolic Impairment as Risk Factors for
Neurodegenerative Disorders.'
PMID- 29353054
TI - The role of the vasopressin V1A receptor in oxytocin modulation of
methamphetamine primed reinstatement.
AB - The neuropeptide oxytocin has shown promise as an effective therapy in pre
clinical models of methamphetamine (METH) addiction. The nucleus accumbens core
(NAcc) has been identified as an important site for oxytocin to inhibit METH
behaviours, although previous findings suggest that the effects of oxytocin in
the NAcc are mediated by receptors other than the oxytocin receptor (OTR).
Oxytocin has high affinity for the vasopressin V1A receptor (V1AR) which has been
implicated in numerous oxytocin-dependent social behaviours. The aim of this
study was to investigate the involvement of the V1AR in mediating the effect of
oxytocin treatment to reduce METH-primed reinstatement of METH-seeking behaviour.
Male rats were trained to self-administer intravenous infusions of METH by lever
press during daily 2-h fixed ratio 1 scheduled sessions for 20 days. Following
extinction of lever pressing, rats were tested for the effects of oxytocin alone,
oxytocin co-administered with a selective V1AR antagonist, or oxytocin co
administered with a selective OTR antagonist, on METH-primed reinstatement, when
administered systemically, or when microinjected into the NAcc. Systemic
administration of oxytocin prevented METH-primed reinstatement, an effect which
was significantly reduced by systemic pre-treatment with a V1AR but not OTR
antagonist. Local administration of oxytocin into the NAcc reduced METH-primed
reinstatement, but not when the V1AR was blocked. Our results demonstrate a
substantial role for the V1AR in mediating the inhibitory effects of oxytocin on
METH-primed reinstatement, and indicate the need for investigations into the
differential involvement of V1ARs and OTRs in oxytocin-induced reduction of METH
related behaviours.
PMID- 29353053
TI - Conditional inactivation of Npy1r gene in mice induces behavioural inflexibility
and orbitofrontal cortex hyperactivity that are reversed by escitalopram.
AB - Cognitive flexibility is the ability to rapidly adapt established patterns of
behaviour in the face of changing circumstance and depends critically on the
orbitofrontal cortex (OFC). Impaired flexibility also results from altered
serotonin transmission in the OFC. The Y1 (Y1R) and Y5 (Y5R) receptors for
neuropeptide Y (NPY) colocalize in several brain regions and have overlapping
functions in regulating cognition and emotional behaviour. The targeted
disruption of gene encoding Y1R (Npy1r gene) in Y5R containing neurons (Npy1rY5R
/- mice) increases anxiety-like behaviour and spatial reference memory. Here we
used the same conditional system to analyse whether the coordinated expression of
the Y1R and Y5R might be required for behavioural flexibility in reversal
learning tasks, OFC serotoninergic tone and OFC neural activity, as detected by
immunohistochemical quantification of the immediate-early gene, c-Fos. In
addition, we investigated whether the acute treatment of Npy1rY5R-/- mice with
the selective serotonin reuptake inhibitor escitalopram affected behavioural
flexibility and OFC c-Fos expression. Npy1rY5R-/- male mice exhibit an impairment
in performing the reversal task of the Morris water maze and the water T-maze but
normal spatial learning, working memory and sociability, compared to their
control siblings. Furthermore, Npy1rY5R-/- male mice display decreased 5
hydroxytriptamine (5-HT) positive fibres and increased baseline neural activity
in OFC. Importantly, escitalopram normalizes OFC neural activity and restores
behavioural flexibility of Npy1rY5R-/- male mice. These findings suggest that the
inactivation of Y1R in Y5R containing neurons increases pyramidal neuron activity
and dysregulates serotoninergic tone in OFC, whereby contributing to reversal
learning impairment.
PMID- 29353055
TI - Pituitary adenylate cyclase-activating polypeptide (PACAP) signaling in the
prefrontal cortex modulates cued fear learning, but not spatial working memory,
in female rats.
AB - A genetic polymorphism within the gene encoding the pituitary adenylate cyclase-
activating polypeptide (PACAP) receptor type I (PAC1R) has recently been
associated with hyper-reactivity to threat-related cues in women, but not men,
with post-traumatic stress disorder (PTSD). PACAP is a highly conserved peptide,
whose role in mediating adaptive physiological stress responses is well
established. Far less is understood about the contribution of PACAP signaling in
emotional learning and memory, particularly the encoding of fear to discrete
cues. Moreover, a neurobiological substrate that may account for the observed
link between PAC1R and PTSD in women, but not men, has yet to be identified. Sex
differences in PACAP signaling during emotional learning could provide novel
targets for the treatment of PTSD. Here we investigated the contribution of PAC1R
signaling within the prefrontal cortex to the acquisition of cued fear in female
and male rats. We used a variant of fear conditioning called trace fear
conditioning, which requires sustained attention to fear cues and depends on
working-memory like neuronal activity within the prefrontal cortex. We found that
cued fear learning, but not spatial working memory, was impaired by
administration of a PAC1R antagonist directly into the prelimbic area of the
prefrontal cortex. This effect was specific to females. We also found that levels
of mRNA for the PAC1R receptor in the prelimbic cortex were greater in females
compared with males, and were highest during and immediately following the
proestrus stage of the estrous cycle. Together, these results demonstrate a sex
specific role of PAC1R signaling in learning about threat-related cues.
PMID- 29353057
TI - Mechanisms of Enhanced Osteoclastogenesis in Alkaptonuria.
AB - Alkaptonuria (AKU) is a rare disorder characterized by the deficiency of the
enzyme homogentisate 1,2-dioxygenase and consequent homogentisate accumulation,
which leads to progressive and severe osteoarthopathy starting from the second
decade of life. Thus, in AKU patients, bone involvement represents an important
clinical issue, which we investigated. Serum levels of receptor activator of NF
kappaB ligand (RANKL), osteoprotegerin, sclerostin, Dickkopf-1, and bone
remodeling markers were measured in nine AKU patients (two children and seven
adults) and 22 controls, together with lumbar spine bone mineral density (LS-BMD)
and femoral-BMD. In the two AKU children, the average of LS-BMD and femoral-BMD Z
scores were within the normal range, but reduced with respect to the controls.
Otherwise, in the adult AKU patients, LS-BMD T-score was inside the normal range,
but femoral-BMD T-score reached osteopenic levels. Consistently, in AKU adults,
higher RANKL and C-terminal telopeptide of collagen type 1 and lower
osteoprotegerin levels were observed than in controls. Otherwise, spontaneous
osteoclastogenesis was already evident in peripheral blood mononuclear cell
cultures from AKU children, together with a high percentage of circulating
osteoclast precursors. Osteoclastogenesis was sustained by the high levels of
tumor necrosis factor-alpha, RANK, RANKL, and LIGHT. In conclusion, the altered
osteoclastogenesis was observed already in AKU children, despite the absence of
evident injury. Thus, a preventive approach in young patients, targeting
osteoclast activity, may prevent the macroscopic bone disease that appears in
adult AKU.
PMID- 29353059
TI - ASK Family Kinases Are Required for Optimal NLRP3 Inflammasome Priming.
AB - Activation of the multimeric inflammasome complex leads to inflammatory responses
to biotic and abiotic triggers. The inflammasome sensor, Nod-like receptor family
pyrin domain containing 3 (NLRP3), is activated by a range of stimuli and is
tightly regulated to restrict excessive inflammation. Because NLRP3 responds
broadly to cellular insults and regulates cell death similar to the stress
activated apoptosis signal-regulating kinases 1 and 2 (ASK1/2), we hypothesized
that ASK1/2 may regulate NLRP3 activity. Although essential for mediating NLRP3
inflammasome activation, ASK1/2 were not required for NLRC4 or absent in melanoma
2 inflammasome activation. ASK1/2 was required for NLRP3 up-regulation after
lipopolysaccharide treatment in primary bone marrow-derived macrophages and lung
fibroblasts as well as during infection with Burkholderia thailandensis and
influenza virus. Consistent with reduced NLRP3 expression in response to B.
thailandensis, caspase-1 cleavage and cell death were reduced in infected bone
marrow-derived macrophages, and mice lacking ASK1/2 were resistant to
Burkholderia intranasal infection. Single knockouts of either ASK1 or ASK2 showed
a partial role for both ASK1 and ASK2 in NLRP3 up-regulation in response to
lipopolysaccharide or B. thailandensis, but ASK2 was required primarily to
mediate lethal pathology during intranasal infection in vivo. Our findings
identify the ASK1/2 complex as a regulator of NLRP3 activation and highlight a
larger role for ASK2 in lung infection during B. thailandensis infection.
PMID- 29353056
TI - Interspecific studies of circadian genes period and timeless in Drosophila.
AB - The level of rescue of clock function in genetically arrhythmic Drosophila
melanogaster hosts using interspecific clock gene transformation was used to
study the putative intermolecular coevolution between interacting clock proteins.
Among them PER and TIM are the two important negative regulators of the circadian
clock feedback loop. We transformed either the D. pseudoobscura per or tim
transgenes into the corresponding arrhythmic D. melanogaster mutant (per01 or
tim01) and observed >50% rhythmicity but the period of activity rhythm was either
longer (D. pseudoobscura-per) or shorter than 24 h (D. pseudoobscura-tim)
compared to controls. By introducing both transgenes simultaneously into double
mutants, we observed that the period of the activity rhythm was rescued by the
pair of hemizygous transgenes (~24 h). These flies also showed a more optimal
level of temperature compensation for the period. Under LD 12:12 these flies have
a D. pseudoobscura like activity profile with the absence of morning anticipation
as well as a very prominent earlier evening peak of activity rhythm. These
observation are consistent with the view that TIM and PER form a heterospecific
coevolved module at least for the circadian period of activity rhythms. However
the strength of rhythmicity was reduced by having both transgenes present, so
while evidence for a coevolution between PER and TIM is observed for some
characters it is not for others.
PMID- 29353058
TI - Chd7 Is Critical for Early T-Cell Development and Thymus Organogenesis in
Zebrafish.
AB - Coloboma, heart defect, atresia choanae, retarded growth and development, genital
hypoplasia, ear anomalies/deafness (CHARGE) syndrome is a congenital disorder
affecting multiple organs and mainly caused by mutations in CHD7, a gene encoding
a chromatin-remodeling protein. Immunodeficiency and reduced T cells have been
noted in CHARGE syndrome. However, the mechanisms underlying T lymphopenia are
largely unexplored. Herein, we observed dramatic decrease of T cells in both
chd7knockdown and knockout zebrafish embryos. Unexpectedly, hematopoietic stem
and progenitor cells and, particularly, lymphoid progenitor cells were increased
peripherally in nonthymic areas in chd7-deficient embryos, unlikely to contribute
to the T-cell decrease. Further analysis demonstrated that both the organogenesis
and homing function of the thymus were seriously impaired. Chd7 might regulate
thymus organogenesis through modulating the development of both neural crest cell
derived mesenchyme and pharyngeal endoderm-derived thymic epithelial cells. The
expression of foxn1, a central regulator of thymic epithelium, was remarkably
down-regulated in the pharyngeal region in chd7-deficient embryos. Moreover, the
T-cell reduction in chd7-deficient embryos was partially rescued by
overexpressing foxn1, suggesting that restoring thymic epithelium may be a
potential therapeutic strategy for treating immunodeficiency in CHARGE syndrome.
Collectively, the results indicated that chd7 was critical for thymic development
and T-lymphopenia in CHARGE syndrome may be mainly attributed to the defects of
thymic organogenesis. The current finding may benefit the diagnosis and therapy
of T lymphopenia and immunodeficiency in CHARGE syndrome.
PMID- 29353060
TI - Parathyroid Hormone-Related Protein Contributes to Early Healing of Habu Snake
Venom-Induced Glomerulonephritis in Mice.
AB - Proliferative glomerulonephritis is characterized by local inflammation and
mesangial cell deterioration, followed by mesangial proliferation and glomerular
healing. Parathyroid hormone-related peptide (PTHrP) is a mesangial cytokine-like
growth factor implicated in mesangial proliferation and survival. No data are
available about its role in glomerulonephritis. Herein, we analyzed the
expression and role of PTHrP in glomerular inflammation and healing in an
experimental model of glomerulonephritis induced by i.v. injection of Habu snake
venom in mice. The temporal analysis showed marked renal damage in the first days
after venom injection and the beginning of recovery within 7 days. Glomerular
expression of PTHrP (transcript and protein) was observed in the early phase
after venom injection (from day 1 to day 3), along with an inflammatory
environment. The inactivation of secreted PTHrP with PTHrP-neutralizing antibody
(PTH2E11; 120 MUg i.p. daily) reduced the markers of local inflammation
(expression of macrophage chemotactic protein-1; regulated upon activation,
normal T cell expressed and secreted; cyclooxygenase 2; IL-6; and macrophage
infiltration) and abolished the expression of PTHrP itself. Moreover, the
glomerular cell proliferation was hampered, and the healing process was prevented
on day 7 after venom injection. These results show that PTHrP has antinomic
actions in glomerulonephritis, participating in both the proinflammatory
condition and the healing process. Our work reveals the essential role of PTHrP
in early glomerular repair in an experimental model of glomerulonephritis.
PMID- 29353061
TI - Next-Generation Sequencing to Detect Deletion of RB1 and ERBB4 Genes in
Chromophobe Renal Cell Carcinoma: A Potential Role in Distinguishing Chromophobe
Renal Cell Carcinoma from Renal Oncocytoma.
AB - Overlapping morphologic, immunohistochemical, and ultrastructural features make
it difficult to diagnose chromophobe renal cell carcinoma (ChRCC) and renal
oncocytoma (RO). Because ChRCC is a malignant tumor, whereas RO is a tumor with
benign behavior, it is important to distinguish these two entities. We aimed to
identify genetic markers that distinguish ChRCC from RO by using next-generation
sequencing (NGS). NGS for hotspot mutations or gene copy number changes was
performed on 12 renal neoplasms, including seven ChRCC and five RO cases. Matched
normal tissues from the same patients were used to exclude germline variants.
Rare hotspot mutations were found in cancer-critical genes (TP53 and PIK3CA) in
ChRCC but not RO. The NGS gene copy number analysis revealed multiple
abnormalities. The two most common deletions were tumor-suppressor genes RB1 and
ERBB4 in ChRCC but not RO. Fluorescence in situ hybridization was performed on 65
cases (ChRCC, n = 33; RO, n = 32) to verify hemizygous deletion of RB1 (17/33,
52%) or ERBB4 (11/33, 33%) in ChRCC, but not in RO (0/32, 0%). In total, ChRCCs
(23/33, 70%) carry either a hemizygous deletion of RB1 or ERBB4. The combined use
of RB1 and ERBB4 fluorescence in situ hybridization to detect deletion of these
genes may offer a highly sensitive and specific assay to distinguish ChRCC from
RO.
PMID- 29353062
TI - miRNA in Rat Liver Sinusoidal Endothelial Cells and Hepatocytes and Application
to Circulating Biomarkers that Discern Pathogenesis of Liver Injuries.
AB - Sinusoidal obstruction syndrome is a serious liver injury caused by toxic injury
to liver sinusoidal endothelial cells (LSECs) during clinical chemotherapy.
Although circulating miRNAs, such as hepatocyte-specific miR-122-5p and miR-192
5p, have been proposed as potential noninvasive biomarkers of hepatocellular
liver injury, these miRNAs may not be specific to damage to other hepatic cell
types, including LSECs. We characterized miRNA expression in LSECs and
hepatocytes and investigated whether cell type-specific miRNAs in plasma can
discern pathogenesis of liver injuries in rats. Comprehensive miRNA expression
analyses found that 66 and 12 miRNAs were highly expressed in LSECs and
hepatocytes isolated from nontreated rats, respectively. An LSEC-enriched miR-511
3p was relatively liver specific according to public data. For establishing LSEC
and hepatocyte injury models, rats were orally treated with monocrotaline and
thioacetamide, respectively. In monocrotaline-treated rats, a sinusoidal
obstruction syndrome model, LSEC damage was observed 6 hours after dosing,
whereas hepatocellular damage was observed after 48 hours. Interestingly, the
level of miR-511-3p in plasma was increased as early as 6 hours after
monocrotaline dosing, followed by an increase of miR-122-5p after 24 hours. In
the thioacetamide-induced hepatocellular injury model, the level of miR-511-3p
was not altered in plasma, whereas miR-122-5p levels were increased after 6
hours. In conclusion, we identified miR-511-3p in plasma as a possible biomarker
for LSEC damage.
PMID- 29353063
TI - Dipeptidyl peptidase IV, which probably plays important roles in Alzheimer
disease (AD) pathology, is upregulated in AD brain neurons and associates with
amyloid plaques.
AB - There is evidence from in vitro experiments that dipeptidyl peptidase IV (DPP IV)
might play role(s) in amyloid formation. However, nothing is known about the
localization of the enzyme in brains of individuals with Alzheimer's disease. We
herein show that in comparison to non-demented controls DPP IV is upregulated in
AD brain neurons and occurs in multiple amyloid plaques.
PMID- 29353064
TI - Multiplexed isothermal nucleic acid amplification.
AB - Multiplexed isothermal amplification and detection of nucleic acid sequences and
biomarkers is of increasing importance in diverse areas including advanced
diagnostics, food quality control and environmental monitoring. Whilst there are
several very elegant isothermal amplification approaches, multiplexed
amplification remains a challenge, requiring careful experimental design and
optimisation, from judicious primer design in order to avoid the formation of
primer dimers and non-specific amplification, applied temperature as well as the
ratio and concentration of primers. In this review, we describe the various
approaches that have been reported to date for multiplexed isothermal
amplification, for both "one-pot" multiplexing as well as parallelised
multiplexing using loop-mediated isothermal amplification, strand-displacement
amplification, helicase-dependent amplification, rolling circle amplification,
nucleic acid sequence-based amplification, with a particular focus on recombinase
polymerase amplification.
PMID- 29353065
TI - Detection of protein targets with a single binding epitope using DNA-templated
photo-crosslinking and strand displacement.
AB - DNA-based probes are powerful analytical tools for protein detection and
analysis. Target-induced DNA assembly is a widely used strategy to transduce
target-ligand binding to detectable signals. However, most of the existing
methods based on DNA assembly require two or more binding sites on the target
protein. Here we report a novel detection method suitable for protein targets
with just a single binding site. This method is based on target-induced probe
assembly, DNA-templated photo-crosslinking, and DNA-mediated toehold strand
displacement to form a tri-probe complex that is specific for target protein.
PMID- 29353066
TI - Azodicarbonamide (ADCA): A reconsideration of classification as a respiratory
sensitiser.
PMID- 29353067
TI - Antinociception of the spirocyclopiperazinium salt compound LXM-15 via activating
alpha7 nAChR and M4 mAChR and inhibiting CaMKIIalpha/cAMP/CREB/CGRP signalling
pathway in mice.
AB - The aim of this study was to investigate the analgesic effect of the
spirocyclopiperazinium salt compound LXM-15 by intragastric administration in
thermal and chemical pain models and further to elucidate the possible molecular
mechanisms. The results showed that LXM-15 exerted significant antinociception in
hot-plate test, formalin test and acetic acid writhing test. Western blot
analysis showed that LXM-15 significantly reduced the upregulation of
phosphorylation of calcium/calmodulin -dependent protein kinase IIalpha
(CaMKIIalpha) and cAMP response element-binding protein (CREB), and further
decreased the elevation of calcitonin gene related peptide (CGRP) in the dorsal
root ganglion (DRG) and spinal cord in mice. ELISA analysis showed the level of
cAMP in the spinal cord was decreased by LXM-15. All effects of LXM-15 could be
blocked by methyllycaconitine citrate (MLA, a selective alpha7 nicotinic receptor
antagonist) or tropicamide (TRO, a selective M4 muscarinic receptor antagonist).
This study first reported that intragastric administration of LXM-15 produced
significant analgesic effect, which may be related to the activation of alpha7
nicotinic acetylcholine receptor and M4 muscarine acetylcholine receptor, and
thereby inhibiting CaMKIIalpha/cAMP/CREB/CGRP signalling pathway.
PMID- 29353068
TI - Mitochondrial ATP-sensitive potassium channel regulates mitochondrial dynamics to
participate in neurodegeneration of Parkinson's disease.
AB - Parkinson's disease (PD) is the second most common age-related neurodegenerative
disease. Mitochondrial dysfunction has been the focus of the pathogenesis of PD.
The mitochondrial ATP-sensitive potassium channel (mitoKATP) plays a significant
role in mitochondrial physiology and has been extensively shown to protect
against ischemic and brain reperfusion injury. However, there have long been
controversies regarding its role in Parkinson's disease. We investigated the role
of mitoKATP channels in rotenone-induced PD model in vivo and vitro and the
interactions of mitoKATP channels, mitochondrial dynamics and PD. The results
indicated that the use of diazoxide to activate mitoKATP channels resulted in the
aggravation of rotenone-induced dopamine neurodegeneration in PC12 cells and SD
rats. In contrast, the use of 5-hydroxydecanoate (5-HD) to inhibit mitoKATP
channels improved rotenone-induced dopamine neurodegeneration, which was not
consistent with mitoKATP channels in ischemic and brain reperfusion injury.
Further analysis determined that the mitoKATP channel was involved in PD mainly
via the regulation of mitochondrial biogenesis and fission/fusion. And the pore
subunits of Kir6.1, the major component of mitoKATP channels, was the key
contributor in its interaction with mitochondrial dynamics in rotenone-induced
dopamine neurodegeneration. Therefore, it can be concluded that mitoKATP channels
regulate mitochondrial dynamics to participate in rotenone-induced PD mainly
attributes to the pore subunits of Kir6.1. And additionally, though mitoKATP
channels may represent a direction of one potential target for neuroprotection,
it should be noted that the effects are different in the activation or inhibition
of mitoKATP channels in different models.
PMID- 29353069
TI - Lanthanum chloride impairs memory in rats by disturbing the glutamate-glutamine
cycle and over-activating NMDA receptors.
AB - Studies have reported that lanthanum chloride (LaCl3) can across the blood-brain
barrier, accumulate in the brain and affect the spatial learning and memory
abilities. However, the potential mechanism that LaCl3-induced neurotoxic effects
has not yet been defined. Glutamate (Glu) is a vital excitatory neurotransmitter,
and the excessive Glu accumulation in extracellular space can induce excitatory
neurotoxicity. This study was designed to research the influence of LaCl3 on the
spatial learning and memory abilities of rats and to discuss the possible
mechanism underlying this effect regarding the extracellular Glu concentration,
the Glu-glutamine (Gln) cycle and the N-methyl-D-aspartate (NMDA) receptors. Four
groups of Wistar rats were exposed to 0%, 0.125%, 0.25% or 0.5% LaCl3 via the
drinking water from the day of conception to 1 month after weaning. These results
showed that LaCl3 exposure damaged spatial learning and memory, long-term
potentiation, and neuronal ultrastructure, generated an excessive accumulation of
glutamate, significantly decreased the expression of glutamate/aspartate
transporter (GLAST), glutamate transporter-1 (GLT-1), glutamine synthetase (GS)
and phosphate-activated glutaminase (PAG), and increased the expression of GluN1,
GluN2A and GluN2B. This study showed that LaCl3 impaired the rats' spatial
learning and memory abilities by disturbing the Glu-Gln cycle and over-activating
NMDA receptors thereby inducing excitotoxicity.
PMID- 29353070
TI - 7-Hydroxylation of warfarin is strongly inhibited by sesamin, but not by
episesamin, caffeic and ferulic acids in human hepatic microsomes.
AB - Warfarin is a commonly used anticoagulant drug and is a derivate of coumarin.
Cytochrome P450 2C9 (CYP2C9) plays the key role in transformation of coumarin and
thus, influences determination of warfarin dosage. A number of factors including
dietary compounds such as sesamin, caffeic acid and ferulic acids can regulate
the activity of CYP2C9. The present study tested the hypothesis that sesamin,
episesamin, caffeic acid and ferulic acid decreases the rate of warfarin 7
hydroxylation via inhibition of hepatic CYP2C9. The experiments were conducted on
hepatic microsomes from human donors. It was demonstrated that the rate of 7
hydroxylation of warfarin was significantly decreased in the presence of sesamin
in the range of concentrations from 5 to 500 nM, and was not affected by
episesamin, caffeic acid and ferulic acid in the same range of concentrations.
The kinetic analysis indicated non-competitive type of inhibition by sesamin with
Ki = 202 +/- 18 nM. In conclusion, the results of our in vitro study revealed
that sesamin was able to inhibit formation of a major metabolite of warfarin, 7
hydroxywarfarin. The potentially negative consequences of the consumption of high
amounts of sesamin-containing food or dietary supplements in warfarin-treated
patients need to be further studied.
PMID- 29353071
TI - Effects of warfarin on biological processes other than haemostasis: A review.
AB - Warfarin is the world's most widely used anticoagulant drug. Its anticoagulant
activity is based on the inhibition of the vitamin K-dependent (VKD) step in the
complete synthesis of a number of blood coagulation factors that are required for
normal blood coagulation. Warfarin also affects synthesis of VKD proteins not
related to haemostasis including those involved in bone growth and vascular
calcification. Antithrombotic activity of warfarin is considered responsible for
some aspects of its anti-tumour activity of warfarin. Some aspects of activities
against tumours seem not to be related to haemostasis and included effects of
warfarin on non-haemostatic VKD proteins as well as those not related to VKD
proteins. Inflammatory/immunomodulatory effects of warfarin indicate much broader
potential of action of this drug both in physiological and pathological
processes. This review provides an overview of the published data dealing with
the effects of warfarin on biological processes other than haemostasis.
PMID- 29353073
TI - Serum HBV DNA plus RNA shows superiority in reflecting the activity of
intrahepatic cccDNA in treatment-naive HBV-infected individuals.
AB - BACKGROUND: Both serum hepatitis B virus (HBV) DNA and RNA can reflect
intrahepatic covalently closed circular DNA (cccDNA) activity. However,
correlations among viral markers haven't been fully explored. OBJECTIVES: Here we
investigated the correlations between serum HBV RNA and other viral markers in
acute hepatitis B patients and treatment-naive chronic HBV-infected individuals.
STUDY DESIGN: The serum viral markers of 19 acute hepatitis B patients and 84
treatment-naive chronic HBV-infected individuals at different infection stages
were quantified. Correlations among viral markers were analyzed by Pearson's or
Spearman's correlation analysis. RESULTS: Serum viral markers and intrahepatic
cccDNA levels were lower in acute hepatitis B patients than in treatment-naive
chronic HBV-infected individuals. Serum HBV RNA levels were positively correlated
with serum HBV DNA, HBsAg and intrahepatic cccDNA levels in HBeAg-positive
chronic HBV-infected individuals. Total serum HBV nucleic acids (HBV DNA plus
RNA) showed superiority in reflecting intrahepatic cccDNA activity. Stratified
analysis revealed that such correlations were only found in HBeAg-positive
chronic hepatitis B phase. Moreover, high-frequency R193M and P196A mutations
were found in the RT region of HBV polymerase leading to lower serum HBV DNA and
higher serum HBV RNA levels in HBeAg-negative chronic HBV infection phase.
CONCLUSIONS: HBV replication capability was lower in acute hepatitis B patients
than in chronic HBV-infected individuals. In treatment-naive HBeAg-positive
chronic HBV-infected individuals, serum HBV DNA plus RNA showed superiority in
reflecting intrahepatic cccDNA activity than each alone. Moreover, mutated RT
region of HBV polymerase might lead to the attenuated reverse transcriptional
activity of HBV polymerase in HBeAg-negative chronic HBV infection phase.
PMID- 29353072
TI - Development of subcortical volumes across adolescence in males and females: A
multisample study of longitudinal changes.
AB - The developmental patterns of subcortical brain volumes in males and females
observed in previous studies have been inconsistent. To help resolve these
discrepancies, we examined developmental trajectories using three independent
longitudinal samples of participants in the age-span of 8-22 years (total 216
participants and 467 scans). These datasets, including Pittsburgh (PIT;
University of Pittsburgh, USA), NeuroCognitive Development (NCD; University of
Oslo, Norway), and Orygen Adolescent Development Study (OADS; The University of
Melbourne, Australia), span three countries and were analyzed together and in
parallel using mixed-effects modeling with both generalized additive models and
general linear models. For all regions and across all samples, males were found
to have significantly larger volumes as compared to females, and significant sex
differences were seen in age trajectories over time. However, direct comparison
of sample trajectories and sex differences identified within samples were not
consistent. The trajectories for the amygdala, putamen, and nucleus accumbens
were most consistent between the three samples. Our results suggest that even
after using similar preprocessing and analytic techniques, additional factors,
such as image acquisition or sample composition may contribute to some of the
discrepancies in sex specific patterns in subcortical brain changes across
adolescence, and highlight region-specific variations in congruency of
developmental trajectories.
PMID- 29353074
TI - Diagnostic value of human papillomavirus (HPV) 16 and HPV18 viral loads for the
detection of high-grade cervical intraepithelial neoplasia (CIN2+) in a cohort of
African women living with HIV.
AB - BACKGROUND: African women living with HIV (WLHIV) are at high risk of cervical
cancer but rarely adequately screened. Better strategies enabling identification
of WLHIV with high-grade cervical intraepithelial lesions (CIN2+) are required.
OBJECTIVES: To investigate the diagnostic value of HPV16 and HPV18 viral loads in
a cohort of African WLHIV. DESIGN: HPV16 and HPV18 viral loads were determined by
quantitation of the E6 gene DNA by real-time PCR in cervical specimens collected
at baseline and endline (16 months) from 245 African WLHIV positive for HPV16
or/and HPV18. Cervical biopsies were graded using the histopathological CIN
classification. RESULTS: Women with CIN2+ had higher viral load for HPV16 (p <
0.0001) or HPV18 (p = 0.03) than those without CIN2+. HPV16 viral load >=3.59 log
copies/1000 cells detected CIN2+ with sensitivity and specificity of 93.5%
(95%CI: 81.7-98.3%) and 74.1% (95%CI: 66.3-80.6%), respectively, whereas HPV18
viral load >=1.63 log copies/1000 cells detected CIN2+ with sensitivity and
specificity of 59.1% (95%CI: 38.7-76.7%) and 66.9% (95%CI: 58.8-74.1%),
respectively. A high baseline HPV16 viral load was significantly associated with
persistence of, or progression to CIN2+ at endline; these findings were not
observed for HPV18. CONCLUSIONS: HPV16 viral load is a powerful marker of CIN2+
in African WLHIV. HPV18 viral load is of lower diagnostic value in this
population.
PMID- 29353076
TI - First report of an Escherichia coli from Lebanon carrying an OXA-181
carbapenemase resistance determinant.
PMID- 29353075
TI - BTLA marks a less cytotoxic T-cell subset in diffuse large B-cell lymphoma with
high expression of checkpoints.
AB - Immunotherapy results in lymphoma have been encouraging. Preclinical and clinical
trials have proven checkpoint blockade, such as PD-1 antibody, as an effective
treatment for lymphoma, including diffuse large B-cell lymphoma (DLBCL).
Combination of checkpoint blockades has emerged as a new way to treat lymphoma;
however, the status of checkpoint expression and their function in DLBCL have not
been fully elucidated yet. In this study, we examined the expression of BTLA, PD
1, TIM-3, LIGHT, and LAG-3 in tumor microenvironmental T cells of DLBCL using
flow cytometry and compared the cytotoxicity and differentiation status of BTLA+
and BTLA- T-cells. We further characterized the relationship of STAT3
phosphorylation (p-STAT3) with BTLA expression. Our results suggest that BTLA+ T
cells highly express other checkpoint molecules, including PD-1, TIM-3, LIGHT,
and LAG-3. Moreover, high expression of BTLA is correlated with advanced stage of
DLBCL. BTLA+ T cells have a less-differentiated phenotype, lower cytolytic
function, and higher potential to proliferate compared with BTLA- T cells. Taken
together, our data provide the first evidence that increased BTLA predicts poor
prognosis in patients with DLBCL, and blockade of BTLA with other checkpoints may
potentially represent a new strategy for immunotherapy of DLBCL.
PMID- 29353077
TI - Classification of heterogeneous genetic variations of microRNA regulome in
cancer.
AB - Genetic variations and differential expression of miRNA regulome components are
associated with cancer. Thus miRNA based diagnosis and treatments have been
proposed. However, to better explore these options, the molecular changes in
miRNA regulome must be understood. MicroRNAs can be involved in regulation of
oncogenes and tumour suppressors. As each miRNA targets broad range of genes,
minor changes in miRNAs can have great effects, contributing to cell
transformation. Many genetic variants of miRNA regulome have been reported to be
associated with cancer, but this information needs to be systematized. Therefore,
we here classify different types of genetic variations of miRNA regulome in
cancer. Genetic variations are comprised of structural and short polymorphisms
and changes in epigenetic landscape. Additionally, unexplained differential
expression is often reported. These alterations affect miRNA genes and their
regulatory elements, processing machinery, degradation machinery, and targets,
leading to changes in miRNA silencing. However, miRNA regulome components are not
equally explored. A systematic overview over miRNA regulome can contribute to
more targeted study design and understanding of miRNA function. We also present
treatments and diagnosis based on miRNA regulome genetic variability and
expression.
PMID- 29353078
TI - Blood biochemical parameters and melanomacrophage centers in Nile tilapia fed
essential oils of clove basil and ginger.
AB - Nile tilapia (Oreochromis niloticus) is the most farmed freshwater fish in the
world, however, disease outbreaks are the main cause of losses in production. Due
to this, there is an increasing interest in natural products for enhancing
disease resistance, without causing physiological impairment. The aim of this
study was to verify the effect of the essential oils of clove basil (Ocimum
gratissimum) and ginger (Zingiber officinale) on physiological and immunological
parameters of nile tilapia. After 35 and 55 days of supplementation (0.5%, 1.0%
and 1.5%), blood collection was performed for determination of metabolites
(glucose, cholesterol, triglycerides, serum total protein and immunoglobulins)
and lysozyme activity. Spleen tissue was also collected at 55 days for analyzing
melanomacrophages centers. At 35 days, cholesterol showed significant reduction
in the treatments 0.5% and 1.5 ginger and 1.5% clove basil. The serum
triglycerides showed significant reduction in these same treatments, in addition
to 1.0% clove basil. At 55 days all the supplemented groups showed significant
reduction for both parameters. The lysozyme activity at 35 days was significantly
higher in fish supplemented with 1.0% ginger and showed no difference among the
treatments at 55 days. Regarding the melanomacrophage centers, at 35 days
increased number in fish supplemented with 0.5% clove basil was observed but with
no significant difference in the total percent area of spleen occupied by
centers. The levels of glucose, total serum protein and immunoglobulins did not
show significant differences in both sampling times. The addition of essential
oils showed to be useful for improving physiological status of the fish, without
excessive activation of defense mechanisms.
PMID- 29353079
TI - Expression and functional characterization of transferrin in Nile tilapia
(Oreochromis niloticus) in response to bacterial infection.
AB - Transferrin (TF), an iron-binding glycoprotein, plays an important role in host
defense against pathogenic infection, which inhibits the growth and proliferation
of pathogens, deprives iron from invading pathogens, and activates anti-microbial
responses in macrophages. In this study, a TF homologue (OnTF) was identified
from Nile tilapia (Oreochromis niloticus) and characterized at expression pattern
against bacterial infection and capability binding bacterial pathogens. The open
reading frame of OnTF is 2118 bp of nucleotide sequence encoding polypeptides of
705 amino acids. The deduced protein is highly homology to the other species,
containing two conserved iron binding lobes: N-lobe and C-lobe. Expression
analysis revealed that the OnTF was extremely highly expressed in liver tissue;
however, much weakly exhibited in other examined tissues including spleen and
head kidney. The OnTF expression was significantly up-regulated in the liver,
spleen and head kidney following infection of a Gram-positive bacterial pathogen
(Streptococcus agalactiae) and a Gram-negative bacterial pathogen (Aeromonas
hydrophila). The up-regulation of OnTF expression was also demonstrated in
hepatocytes and macrophages in vitro stimulated with S. agalactiae and A.
hydrophila. In addition, recombinant OnTF ((r)OnTF) protein possessed capability
to bind both S. agalactiae and A. hydrophila in vitro. Taken together, the
present study indicated that OnTF might be involved in host defense against
bacterial infection in Nile tilapia.
PMID- 29353080
TI - Atlantic salmon adapted to seawater for 9 weeks develop a robust immune response
to salmonid alphavirus upon bath challenge.
AB - Pancreas disease (PD) caused by salmonid alphavirus (SAV) is the most serious
viral disease in Norwegian aquaculture. Study of the immune response to SAV will
aid preventative measures including vaccine development. The innate immune
response was studied in Atlantic salmon infected by either bath immersion (BI) or
by intra-muscular (i.m.) injection (IM) with SAV subtype 3, two and nine weeks
after seawater transfer (Phases A and B respectively). Phase A results have been
previously published (Moore et al., 2017) and Phase B results are presented here
together with a comparison of results achieved in Phase A. There was a rapid
accumulation of infected fish in the IM-B (IM Phase B) group and all fish sampled
were SAV RNA positive by 7 dpi (days post infection). In contrast, only a few SAV
RNA positive (infected) fish were identified at 14, 21 and 28 dpi in the BI-B (BI
Phase B) group. Differences in the transcription of several immune genes were
apparent when compared between the infected fish in the IM-B and BI-B groups.
Transcription of the analysed genes peaked at 7 dpi in the IM-B group and at 14
dpi in the BI-B group. However, this latter finding was difficult to interpret
due to the low prevalence of SAV positive fish in this group. Additionally, fish
positive for SAV RNA in the BI-B group showed higher transcription of IL-1beta,
IFNgamma and CXCL11_L1, all genes associated with the inflammatory response,
compared to the IM-B group. Histopathological changes in the heart were
restricted to the IM-B group, while (immune) cell filtration into the pancreas
was observed in both groups. Compared to the Phase A fish that were exposed to
SAV3 two weeks after seawater transfer, the Phase B fish in the current paper,
showed a higher and more sustained innate immune gene transcription in response
to the SAV3 infection. In addition, the basal transcription of several innate
immune genes in non-infected control fish in Phase B (CT-B) was also
significantly different when compared to Phase A control fish (CT-A).
PMID- 29353081
TI - Hydrogels based on poly(methyl vinyl ether-co-maleic acid) and Tween 85 for
sustained delivery of hydrophobic drugs.
AB - Hydrogels based on poly(methyl vinyl ether-co-maleic acid) and Tween 85 were
prepared for hydrophobic drug delivery. The hydrogels were synthesized following
a simple procedure carried out in solid state. The process did not require the
use of any solvent and, as it is based on an esterification reaction, no toxic by
products were obtained. The resulting hydrogels contained Tween 85 inside the
structure and due to the amphiphilic nature of this compound, hydrophobic domains
within the hydrogel structure were formed. The obtained hydrogels showed good
swelling capacities ranging from 100% to 600%. The esterification reaction that
took place between poly(methyl vinyl ether-co-maleic acid) and Tween 85 was
confirmed by infrared spectroscopy. Hydrogels were loaded with a hydrophobic drug
model, Curcumin (CUR), showing that the hydrogels were able to retain up to 36 mg
of CUR per g of hydrogel. Additionally, the synthesized hydrogels provided in
vitro sustained CUR release over periods of up to 30 days. Finally, and due to
the mucoadhesive nature of the prepared materials, one of the hydrogels was
tested in vitro as an oral drug delivery system. For this purpose, the selected
material was milled into microparticles (45-90 um diameter). The release of CUR
from the microparticles was evaluated under simulated gastric and intestinal
conditions. The microparticles were able to release their cargos in 7 h. However,
further work is required to optimize this system for oral drug delivery
applications.
PMID- 29353082
TI - 3D extrusion printing of high drug loading immediate release paracetamol tablets.
AB - The manufacture of immediate release high drug loading paracetamol oral tablets
was achieved using an extrusion based 3D printer from a premixed water based
paste formulation. The 3D printed tablets demonstrate that a very high drug
(paracetamol) loading formulation (80% w/w) can be printed as an acceptable
tablet using a method suitable for personalisation and distributed manufacture.
Paracetamol is an example of a drug whose physical form can present challenges to
traditional powder compression tableting. Printing avoids these issues and
facilitates the relatively high drug loading. The 3D printed tablets were
evaluated for physical and mechanical properties including weight variation,
friability, breaking force, disintegration time, and dimensions and were within
acceptable range as defined by the international standards stated in the United
States Pharmacopoeia (USP). X-ray Powder Diffraction (XRPD) was used to identify
the physical form of the active. Additionally, XRPD, Attenuated Total Reflectance
Fourier Transform Infrared spectroscopy (ATR-FTIR) and differential scanning
calorimetry (DSC) were used to assess possible drug-excipient interactions. The
3D printed tablets were evaluated for drug release using a USP dissolution
testing type I apparatus. The tablets showed a profile characteristic of the
immediate release profile as intended based upon the active/excipient ratio used
with disintegration in less than 60 s and release of most of the drug within 5
min. The results demonstrate the capability of 3D extrusion based printing to
produce acceptable high-drug loading tablets from approved materials that comply
with current USP standards.
PMID- 29353083
TI - Combining ultrasound and intratumoral administration of doxorubicin-loaded
microspheres to enhance tumor cell killing.
AB - Melanoma is an incurable disease for which alternative treatments to chemotherapy
alone are sought. Here, using a melanoma model, we investigated the antitumor
potential of combining ultrasound (US) with poly(lactic-co-glycolic acid) (PLGA)
microspheres loaded with doxorubicin (DOX). The aim was to achieve synergistic
tumoricidal activity through direct and indirect US-mediated damage of tumor
cells combined with sustained and potentially controllable release (when combined
with US) of DOX from microspheres. An in vitro release assay demonstrated an
ability of US to affect the release kinetics of DOX from DOX-loaded PLGA
microspheres by inducing a 12% increase in the rate of release. In vitro
viability assays demonstrated that combining US with DOX-loaded PLGA microspheres
resulted in synergistic tumor cell (B16-F10 melanoma cells) killing. Melanoma
bearing mice were treated intratumorally with DOX (8 ug)-loaded microspheres and
subjected to US treatment at the tumor site. This treatment could significantly
extend survival (mean survival (MS) = 22.1 days) compared to untreated mice (MS =
10.4 days) and most other treatments, such as blank microspheres plus US (MS =
11.5 days) and DOX (8 ug)-loaded microspheres alone (MS = 13 days). The findings
that immune checkpoint blockade did not significantly extend survival of mice
treated with DOX (8 ug)-loaded microspheres plus US, and that tumor-free
("cured") mice were not protected from subsequent tumor rechallenge suggests
minimal involvement of the adaptive immune response in the observed antitumor
activity. Nevertheless, the synergistic increase in survival of melanoma
challenged mice treated with the combination of US and DOX-loaded microspheres
implicates such a treatment methodology as a promising additional tool for
combatting otherwise currently incurable cancers.
PMID- 29353084
TI - Creating diverse synapses from the same molecules.
AB - Research over the past half a century has revealed remarkable diversity among
chemical synapses of the CNS. The structural, functional and molecular diversity
of synapses was mainly concluded from studying different synapses in distinct
brain regions and preparations. It is not surprising that synapses made by
molecularly distinct pre-synaptic and post-synaptic cells display different
morphological and functional properties with distinct underlying molecular
mechanisms. However, synapses made by a single presynaptic cell onto distinct
types of postsynaptic cells, or distinct presynaptic inputs onto a single
postsynaptic cell, also show remarkable heterogeneity. Here, by reviewing recent
experiments, I suggest that robust functional diversity can be achieved by
building synapses from the same molecules, but using different numbers, densities
and nanoscale arrangements.
PMID- 29353085
TI - Reactions of a tetranuclear Pt-thiosemicarbazone complex with model proteins.
AB - The tetranuclear Pt complex (PtL)4 (where L2- is the anion derived from para
isopropyl thiosemicarbazone) was first described in A.G. Quiroga et al., J. Med.
Chem. 41, 1998, 1399-1408. (PtL)4 manifests antiproliferative properties toward
various cancer cell lines being a promising anticancer drug candidate. Yet,
details of its reactivity with biomolecules have not been elucidated. To this
end, we investigated the reactions of (PtL)4 with a few model proteins, i.e.
bovine pancreatic ribonuclease (RNase A), cytochrome c (Cyt c) and hen egg white
lysozyme (Lysozyme), through electrospray ionization mass spectrometry and other
biophysical methods. A rich reactivity of (PtL)4 with the above-mentioned model
proteins is observed, leading to the formation of numerous metallodrug-protein
adducts. The tetranuclear complex breaks down and various fragments bind proteins
up to high metal/protein ratios; this typically results into very complicated
mass spectral patterns. However, some of the main mass peaks could be assigned in
the case of the Lysozyme adduct. In addition, crystallographic data were obtained
for the (PtL)4/Lysozyme and (PtL)4/RNase A adducts pointing at His side chains as
the primary binding sites for monometallic Pt fragments. Notably, a few selected
features of the interactions observed in the (PtL)4/protein adducts were
reproduced by reacting (PtL)4 with a small molecule, i.e. N-methylimidazole. In
conclusion, the present study confirms the prodrug nature of the tetraplatinum
complex, clarifies one possible pathway for its activation through cluster
disassembly and allows initial identification of adducts formed with a
representative protein.
PMID- 29353086
TI - Ruthenium dendrimers as carriers for anticancer siRNA.
AB - Dendrimers, which are considered as one of the most promising tools in the field
of nanobiotechnology due to their structural organization, showed a great
potential in gene therapy, drug delivery, medical imaging and as antimicrobial
and antiviral agents. This article is devoted to study interactions between new
carbosilane-based metallodendrimers containing ruthenium and anti-cancer small
interfering RNA (siRNA). Formation of complexes between anti-cancer siRNAs and Ru
based carbosilane dendrimers was evaluated by transmission electron microscopy,
circular dichroism and fluorescence. The zeta-potential and the size of
dendriplexes were determined by dynamic light scattering. The internalization of
dendriplexes were estimated using HL-60 cells. Results show that ruthenium
dendrimers associated with anticancer siRNA have the ability to deliver siRNA as
non-viral vectors into the cancer cells. Moreover, dendrimers can protect siRNA
against nuclease degradation. Nevertheless, further research need to be performed
to examine the therapeutic potential of ruthenium dendrimers as well as
dendrimers complexed with siRNA and anticancer drugs towards cancer cells.
PMID- 29353087
TI - The impact of timetable changes on student achievement and learning experiences.
AB - BACKGROUND: Many pre-registration nursing programs in Australia use distributive
models of clinical placement whereby students attend placement on regular days
each week of the teaching semester. The use of this model offers practical
advantages by increasing the placement offerings, but reduces the weekdays
available for students to attend on-campus classes. The impact of introducing
this model on the delivery of on-campus classes has not been examined.
OBJECTIVES: The aim of this study was to explore the impact of delivering classes
using a condensed weekly timetable on the academic achievement, learning
experiences and approaches to learning of pre-registration Bachelor of Nursing
Science students at an Australian regional university. METHODS: This was a mixed
methods study, including Likert-type and free text responses. Second-year
students studying Human Pathophysiology and Pharmacology were invited to complete
a questionnaire about their learning practices and experiences; student grades
were obtained from official university records. RESULTS: The academic achievement
of students learning under the condensed class schedule was approximately 7.5%
lower than that achieved by cohorts prior to the timetable changes. This resulted
in an additional 9% of the cohort failing the subject compared to previous
cohorts. Many students reported that they did not prepare adequately for classes
and that their learning experiences were negatively impacted by the condensed
class timetable. CONCLUSIONS: The incorporation of a distributed model for
clinical placements required major changes to the delivery of on-campus
coursework classes and added to the semester workload for some Nursing Science
students. These changes coincided with lower academic achievement by students
learning Human Pathophysiology and Pharmacology and poorer quality learning
experiences. The development of students' awareness of how they study and the
effectiveness of their study practices may help them to develop self-regulated
learning skills which will assist them to succeed in diverse learning
environments and workplaces.
PMID- 29353088
TI - Healthcare students' evaluation of the clinical learning environment and
supervision - a cross-sectional study.
AB - BACKGROUND: The purpose of clinical placements and supervision is to promote the
development of healthcare students' professional skills. High-quality clinical
learning environments and supervision were shown to have significant influence on
healthcare students' professional development. OBJECTIVES: This study aimed to
describe healthcare students' evaluation of the clinical learning environment and
supervision, and to identify the factors that affect these. DESIGN: The study was
performed as a cross-sectional study. METHODS: The data (n = 1973) were gathered
through an online survey using the Clinical Learning Environment, Supervision and
Nurse Teacher scale during the academic year 2015-2016 from all healthcare
students (N = 2500) who completed their clinical placement at a certain
university hospital in Finland. The data were analysed using descriptive
statistics and binary logistic regression analysis. RESULTS: More than half of
the healthcare students had a named supervisor and supervision was completed as
planned. The students evaluated the clinical learning environment and supervision
as 'good'. The students' readiness to recommend the unit to other students and
the frequency of separate private unscheduled sessions with the supervisor were
the main factors that affect healthcare students' evaluation of the clinical
learning environment and supervision. Individualized and goal-oriented
supervision in which the student had a named supervisor and where supervision was
completed as planned in a positive environment that supported learning had a
significant impact on student's learning. CONCLUSIONS: The clinical learning
environment and supervision support the development of future healthcare
professionals' clinical competence. The supervisory relationship was shown to
have a significant effect on the outcomes of students' experiences. We recommend
the planning of educational programmes for supervisors of healthcare students for
the enhancement of supervisors' pedagogical competencies in supervising students
in the clinical practice.
PMID- 29353089
TI - Influence of perceptions and stereotypes of the nursing role on career choice in
secondary students: A regional perspective.
AB - BACKGROUND: This study examined the influence that perceptions and stereotypes of
the nursing role had on future career choice of rural secondary students.
OBJECTIVE: The study was undertaken to identify a method of attracting final year
secondary school students to an undergraduate nursing degree at a rural
University. DESIGN: A mixed method study using a pre-post-interventional design.
SETTING: The rural campus of an Australian university. PARTICIPANTS: 71 secondary
students attending a secondary school career development program at a rural
Australian university. METHOD: Semi structured questionnaires were used for data
collection. The surveys were analysed using descriptive statistics and content
analysis of open-ended survey questions. RESULTS: The research supports the
importance of being aware of young people's impressions about nurses and nursing
as a career, to ensure the successful implementation of targeted recruitment.
CONCLUSION: Targeted recruitment strategies can increase students' awareness of
the wide variety of pathways within nursing, rather than leaving awareness to
what family, friends or career advisers tell them, or how nurses are portrayed on
television, movies and the media.
PMID- 29353090
TI - Investigating the prevalence of febrile convulsion in Kayseri, Turkey: An
assessment of the risk factors for recurrence of febrile convulsion and for
development of epilepsy.
AB - PURPOSE: The purpose of this study was to investigate the prevalence and
recurrence of febrile convulsion (FC) and risk factors for development of
epilepsy in school children throughout in the Kayseri provincial center. METHOD:
Ten thousand individuals selected using "stratified cluster sampling" from a
student population of 259,428 inside the Kayseri Urban Municipality represented
the study sample. Fifteen thousand questionnaires were distributed, of which
10,742 (71.6%) were returned. Telephone interviews were performed with the
families of the students reported as having undergone FC, and the medical records
of patients with a history of hospitalization were evaluated. Data were analyzed
on IBM SPSS Statistics 22.0 package program. Significance was set at p < 0.05.
RESULTS: Prevalence of FC was 4.2% in girls and 4.3% in boys, with a total
prevalence of 4.3%. Recurrence of FC was observed in 25.4% of cases. Risk of
recurrence increased 7.1 times in subjects with a history of FC in first and
second degree relatives, 17.8 times in those with fever interval <1 h before
convulsion and 17.6 times in those with pre-convulsion body temperature <39
degrees C. Epilepsy developed in 33 (7.2%) cases. Neurodevelopmental abnormality
was the most important risk factor for epilepsy (21.1-fold risk increase).
CONCLUSIONS: Analysis revealed that FC with a good prognosis had a high rate of
recurrence and a higher risk of epilepsy than in the general population. The
prevalence of FC in the province of Kayseri was closer to that in developed
rather than developing countries.
PMID- 29353092
TI - Individual and dyadic rope turning as a window into social coordination.
AB - The spontaneous and intentional movement coordination between peoples is well
understood. Less is known about such interactions when the coordination is
subordinate to the task and when the task involves, next to vision, mechanically
induced haptic and kinesthetic coupling between dyadic partners. We therefore
investigated dyadic jump rope turning. Fifteen dyadic pairs conjointly turned a
jump rope to which five markers were equidistantly attached, and whose movements
were recorded in 3D. In addition, each participant turned one side of the rope
while the other side was quasi-fixed in an individual baseline condition. The
participants' goal was to turn the rope regularly and smoothly. Individual
spontaneous turning frequencies differed substantially across participants. Yet,
dyadic pairs spontaneously turned the rope at a common frequency, indicative of
frequency entrainment. The dyadic rope rotations were less variable despite
weaker between near-hand marker coordination than the individual rope rotations,
and the degree of performance improvement was most pronounced for participants
who were paired with a partner who performed better in the individual condition.
The direction and relative strength of the coupling between partners varied
substantially across dyads, but the degree of coupling asymmetry had no
substantial effect on the rope tuning quality. The absolute degree in which
dyadic partners adjusted to each other, however, scaled moderately with their
turning performance. Although the individual performances did not predict the
dyadic performances, the difference in individual performance between dyadic
partners had some predictive value for the dyadic performance. In combination,
these results indicate that the partners were functionally adapting to each other
in order to satisfy the task goal and suggest that the relative performance
differences rather than the individual performances has predictive value for
conjoint action.
PMID- 29353091
TI - Adding vibrotactile feedback to a myoelectric-controlled hand improves
performance when online visual feedback is disturbed.
AB - We investigated whether adding vibrotactile feedback to a myoelectric-controlled
hand, when visual feedback is disturbed, can improve performance during a
functional test. For this purpose, able-bodied subjects, activating a myoelectric
controlled hand attached to their right hand performed the modified Box & Blocks
test, grasping and manipulating wooden blocks over a partition. This was
performed in 3 conditions, using a repeated-measures design: in full light, in a
dark room where visual feedback was disturbed and no auditory feedback - one time
with the addition of tactile feedback provided during object grasping and
manipulation, and one time without any tactile feedback. The average time needed
to transfer one block was measured, and an infrared camera was used to give
information on the number of grasping errors during performance of the test. Our
results show that when vibrotactile feedback was provided, performance time was
reduced significantly, compared with when no vibrotactile feedback was available.
Furthermore, the accuracy of grasping and manipulation was improved, reflected by
significantly fewer errors during test performance. In conclusion, adding
vibrotactile feedback to a myoelectric-controlled hand has positive effects on
functional performance when visual feedback is disturbed. This may have
applications to current myoelectric-controlled hands, as adding tactile feedback
may help prosthesis users to improve their functional ability during daily life
activities in different environments, particularly when limited visual feedback
is available or desirable.
PMID- 29353093
TI - Executive functions, visual-motor coordination, physical fitness and academic
achievement: Longitudinal relations in typically developing children.
AB - The present longitudinal study included different school readiness factors
measured in kindergarten with the aim to predict later academic achievement in
second grade. Based on data of N = 134 children, the predictive power of
executive functions, visual-motor coordination and physical fitness on later
academic achievement was estimated using a latent variable approach. By entering
all three predictors simultaneously into the model to predict later academic
achievement, significant effects of executive functions and visual-motor
coordination on later academic achievement were found. The influence of physical
fitness was found to be substantial but indirect via executive functions. The
cognitive stimulation hypothesis as well as the automaticity hypothesis are
discussed as an explanation for the reported relations.
PMID- 29353094
TI - Effects of scaling task constraints on emergent behaviours in children's racquet
sports performance.
AB - Manipulating task constraints by scaling key features like space and equipment is
considered an effective method for enhancing performance development and refining
movement patterns in sport. Despite this, it is currently unclear whether scaled
manipulation of task constraints would impact emergent movement behaviours in
young children, affording learners opportunities to develop relevant skills.
Here, we sought to investigate how scaling task constraints during 8 weeks of
mini tennis training shaped backhand stroke development. Two groups, control (n =
8, age = 7.2 +/- 0.6 years) and experimental (n = 8, age 7.4 +/- 0.4 years),
underwent practice using constraints-based manipulations, with a specific field
of affordances designed for backhand strokes as the experimental treatment. To
evaluate intervention effects, pre- and post-test match-play characteristics
(e.g. forehand and backhand percentage strokes) and measures from a tennis
specific skills test (e.g. forehand and backhand technical proficiency), were
evaluated. Post intervention, the experimental group performed a greater
percentage of backhand strokes out of total number of shots played (46.7 +/-
3.3%). There was also a significantly greater percentage of backhand winners out
of total backhand strokes observed (5.5 +/- 3.0%), compared to the control group
during match-play (backhands = 22.4 +/- 6.5%; backhand winners = 1.0 +/- 3.6%).
The experimental group also demonstrated improvements in forehand and backhand
technical proficiency and the ability to maintain a rally with a coach, compared
to the control group. In conclusion, scaled manipulations implemented here
elicited more functional performance behaviours than standard Mini Tennis Red
constraints. Results suggested how human movement scientists may scale task
constraint manipulations to augment young athletes' performance development.
PMID- 29353095
TI - Integration of visual feedback and motor learning: Corticospinal vs.
corticobulbar pathway.
AB - Although movement is controlled by different descending pathways, it remains
unknown whether the integration of visual feedback and motor learning differs for
movements controlled by different descending pathways. Here, we compare motor
control and learning of the ankle joint and tongue because they are primarily
controlled by the corticospinal and corticobulbar pathways, respectively. Twelve
young adults (19.63 +/- 2.11 years, 6 females) practiced a tracking task
(combination of 0.02, 0.37, 0.5, and 1 Hz) with ankle dorsiflexion and with
tongue elevation for 100 trials. The participants practiced each effector (ankle
and tongue) in different days and the order of the effector was counterbalanced.
Following practice, participants performed the same tracking task with concurrent
contractions of the tongue and ankle (dual tracking task; transfer) with three
different visual feedback conditions (no visual feedback, visual feedback only
for ankle, visual feedback only for tongue). We quantified the force accuracy
(RMSE) from each effector during the practice and transfer periods. During
practice, the force accuracy and performance improvement to the visuomotor task
was greater for the ankle dorsiflexion than tongue elevation. During the transfer
task, the ankle dorsiflexion was more accurate than tongue elevation, independent
of whether visual feedback was given for the ankle or tongue. The greater
performance improvement for the ankle dorsiflexion during practice was related to
superior transfer performance. These findings suggest that the corticospinal
pathway integrates visual feedback more efficiently than the corticobulbar
pathway, which enhances performance and learning of visuomotor tasks.
PMID- 29353096
TI - Modification of Aspergillus niger by conducting polymer, Polypyrrole, and the
evaluation of electrochemical properties of modified cells.
AB - The enhancement of bioelectrochemical properties of microorganism by in situ
formation of conducting polymer within the cell structures (e.g. cell wall) was
performed. The synthesis of polypyrrole (Ppy) within fungi (Aspergillus niger)
cells was achieved. Two different Aspergillus niger strains were selected due to
their ability to produce glucose oxidase, which initiated the Ppy formation
through products of enzymatic reaction. The evolution of Ppy structural features
was investigated by absorption spectroscopy, cyclic voltammetry and Fourier
transform infrared spectroscopy.
PMID- 29353097
TI - Genetics of immunoglobulin-A vasculitis (Henoch-Schonlein purpura): An updated
review.
AB - Immunoglobulin-A vasculitis (IgAV) is classically a childhood small-sized blood
vessel vasculitis with predominant involvement of the skin. Gastrointestinal and
joint manifestations are common in patients diagnosed with this condition.
Nephritis, which is more severe in adults, constitutes the most feared
complication of this vasculitis. The molecular bases underlying the origin of
IgAV have not been completely elucidated. Nevertheless, several pieces of
evidence support the claim that genes play a crucial role in the pathogenesis of
this disease. The human leukocyte antigen (HLA) region is, until now, the main
genetic factor associated with IgAV pathogenesis. Besides a strong association
with HLA class II alleles, specifically HLA-DRB1 alleles, HLA class I alleles
also seem to influence on the predisposition of this disease. Other gene
polymorphisms located outside the HLA region, including those coding cytokines,
chemokines, adhesion molecules as well as those related to T-cells, aberrant
glycosylation of IgA1, nitric oxide production, neoangiogenesis, renin
angiotensin system and lipid, Pyrin and homocysteine metabolism, may be
implicated not only in the predisposition to IgAV but also in its severity. An
update of the current knowledge of the genetic component associated with the
pathogenesis of IgAV is detailed in this review.
PMID- 29353098
TI - The anti-inflammatory effects of statins on patients with rheumatoid arthritis: A
systemic review and meta-analysis of 15 randomized controlled trials.
AB - BACKGROUND: Over the past several years, numerous studies investigated the anti
inflammatory effects of statin on patients with RA. However, the findings of the
individual studies were often inconsistent or conflicting. MATERIALS AND METHODS:
The Pubmed, Web of Science, Embase, Cochrane Library and CNKI literature
databases were searched in order to identify randomized controlled clinical
trials where the association between the anti-inflammatory effect of statin and
RA was investigated. Two researchers performed data extraction from eligible
independently. Quality parameters and risk of bias in the included studies were
assessed according to Cochrane's guidelines. The pooled Standardized Mean
Difference (SMD) with a 95%CI was used to assess the anti-inflammatory effect of
statin in patients with RA. RESULTS: Fifteen randomized controlled clinical,
classified as "high quality" and with a relatively low risk of selection bias,
were included in the meta-analysis. Of these, eight reported that there was no
difference in the level of serum total lipids between the atorvastatin-treated
and the conventional treatment group. However, the pooled analysis showed that
atorvastatin could increase the level of serum amount of high-density lipoprotein
(HDL) in RA patients by approximately x +/- SD95% [HDL: SMD = 0.807, 95%CI =
(0.187, 1.426), p = .011]. Meanwhile atorvastatin could reduce the level of serum
low-density lipoprotein (LDL), total cholesterol (TC), and triglyceride (TG) in
RA patient by x +/- SD95% [LDL: SMD = -4.015, 95%CI = (-5.848, -2.183), p = .000;
TC: SMD = -4.497, 95%CI = (-6.457, -2.537), p = .000; TG: SMD = -1.475, 95%CI = (
2.352, -0.599), p = .001]. Nine studies reported a change in C-Reactive Protein
(CRP) after atorvastatin treatment, and the pooled analysis showed that
atorvastatin decreased CRP in RA patients by x +/- SD95% [SMD = -3.033, 95%CI = (
4.460, -1.606), p = .000]. Seven studies investigated the change of Erythrocyte
Sedimentation Rate (ESR), and the pooled analysis showed that atorvastatin
decreased ESR by x +/- SD95% [SMD = -2.097, 95%CI = (-3.408, -0.786), p = .002].
Nine studies reported the improvement of disease activity score in RA patients
after taking atorvastatin for 12 weeks, and the pooled analysis showed
atorvastatin could decrease the DAS28 score in RA patients by x +/- SD95% [SMD =
2.001, 95%CI = (-3.191, -0.811), p = .001]. CONCLUSIONS: Statins have a
significant anti-inflammatory effect in RA patients. However, atorvastatin was
superior to simvastatin both in terms of its anti-inflammatory and lipid-lowering
activities.
PMID- 29353099
TI - Cardiovascular involvement in systemic rheumatic diseases: An integrated view for
the treating physicians.
AB - Systemic autoimmune diseases can affect various kinds of organs including the
kidney, the skin, soft tissue and the bone. Among others, cardiovascular
involvement in rheumatic diseases has been shown to affect myocardium,
pericardium, cardiac vessels, conduction system and valves, eventually leading to
increased mortality. In general, underlying chronic inflammation leads to
premature atherosclerosis, but also other manifestations such as arrhythmia and
heart failure may have a 'silent' progress. Traditional cardiovascular risk
factors play a secondary role, while disease-specific factors (i.e. disease
duration, severity, antibody positivity, persistent disease activity) can
directly influence the cardiovascular system. Therefore, early diagnosis is
critical to optimize management and to control inflammatory activity and recent
data suggest that risk factors (i.e. hypercholesterolemia and hypertension) need
intensive treatment as well. With the advent of immunosuppressive agents, most
rheumatic diseases are well controlled on treatment, but information related to
their cardioprotective efficacy is not well-defined. In this review, we focus on
cardiovascular involvement in rheumatic diseases and highlight current evidence
which should be of help for the treating physicians. Moreover, cardiotoxicity of
immunosuppressive drugs is a rare issue and such potential adverse events will be
briefly discussed.
PMID- 29353100
TI - Vitamin D and juvenile systemic lupus erythematosus: Lights, shadows and still
unresolved issues.
AB - Systemic lupus erythematosus (SLE) and juvenile SLE (jSLE) are autoimmune
disorders naturally associated with several genetic, environmental, hormonal, and
immunological contributing factors. It has been assumed that vitamin D deficiency
may have a role in the immune activation of patients with SLE and play an active
part in many comorbidities and even complications. A host of clinical studies
suggested that vitamin D exerts inhibitory effects on many immunological
abnormalities associated with SLE, also in children and adolescents, while
different reports have hypothesized that vitamin D may be associated with
accelerated cardiovascular disease in SLE. This review updates and summarizes the
information related to the immunoregulatory effects of vitamin D and its
importance in jSLE, discusses the innumerable correlations between vitamin D and
disease activity, including clinical expression and gene polymorphisms of vitamin
D receptor as well as the recommendations for vitamin D supplementation in these
patients. Despite the excitement raised by many data obtained about vitamin D and
its influence on several aspects of the disease, further well-designed
perspective trials are required to define the exact role that vitamin D may have
in the management of both SLE and jSLE.
PMID- 29353101
TI - Amyloid pathology in the progression to mild cognitive impairment.
AB - The objective of this study was to determine the cognitive and functional decline
and development of brain injury in individuals progressing from preclinical (beta
amyloid positive cognitively normal) to prodromal Alzheimer's disease (AD) (beta
amyloid positive mild cognitive impairment [MCI]), and compare this with
individuals who progress to MCI in the absence of significant amyloid pathology.
Seventy-five cognitively healthy participants who progressed to MCI were followed
for 4 years on average and up to 10 years. We tested effects of beta-amyloid
(Abeta) on measures of cognition, functional status, depressive symptoms, and
brain structure and metabolism. Preclinical AD subjects showed greater cognitive
decline in multiple domains and increased cerebrospinal fluid phosphorylated tau
levels at baseline while Abeta-negative progressors showed increased rates of
white matter hyperintensity accumulation and had a greater frequency of
depressive symptoms at baseline. Abeta status did not influence patterns of brain
atrophy, but preclinical AD subjects showed greater decline of brain metabolism
than Abeta-negative progressors. Several unique features separate the transition
from preclinical to prodromal AD from other causes of cognitive decline. These
features may facilitate early diagnosis and treatment of AD, especially in
clinical trials aimed at halting the progression from preclinical to prodromal
AD.
PMID- 29353102
TI - Aging African green monkeys manifest transcriptional, pathological, and cognitive
hallmarks of human Alzheimer's disease.
AB - While many preclinical models of Alzheimer's disease (AD) have been reported,
none fully recapitulate the disease. In an effort to identify an appropriate
preclinical disease model, we characterized age-related changes in 2 higher order
species, the African green monkey (AGM) and the rhesus macaque. Gene expression
profiles in the dorsolateral prefrontal cortex and the visual cortex showed age
related changes in AGMs that are strikingly reminiscent of AD, whereas aged
rhesus were most similar to healthy elderly humans. Biochemically, age-related
changes in AGM cerebrospinal fluid levels of tau, phospho-tau, and amyloid beta
were consistent with AD. Histologically, aged AGMs displayed pathological
hallmarks of the disease, plaques, and 2 AGMs showed evidence of neurofibrillary
tangle-like structures. We hypothesized and confirmed that AGMs have age-related
cognitive deficits via a prefrontal cortex-dependent cognition test, and that
symptomatic treatments that improve cognition in AD patients show efficacy in
AGMs. These data suggest that the AGM could represent a novel and improved
translational model to assist in the development of therapeutics for AD.
PMID- 29353104
TI - Galactosylated iodine-based small molecule I.V. CT contrast agent for bile duct
imaging.
AB - Computed tomography (CT) with contrast plays an important role as a clinical
diagnostic tool but still has a limited diagnostic range. In this work, we
developed a novel injectable iodine-based small molecule CT contrast agent, even
can be used for bile duct diagnostics. The bile duct diagnosable CT contrast
agent (BDICA) is synthesized with 5-amino-2,4,6-triiodoisophthaloyl dichloride
(ATIPC), tromethamine and lactobionic acid (LBA) for asialoglycoprotein receptor
(ASGPR) targeted delivery via receptor-mediated endocytosis and transport to the
bile canaliculi. Specific binding to the ASGPRs was confirmed by in vitro
cellular uptake in HepG2 cells (ASGPR positive) and HCT 116 cells (ASGPR
negative). Compared to iohexol, BDICA has equal in vivo distribution and a 13
fold iodine increase in content was observed in bile juice after BDICA injection.
The radiopaque contrast effect in the bile duct has been clearly shown in in vivo
CT scans. Furthermore, within 36 h, 91.3% of the BDICA was eliminated without
organ damage, which verified the overall safety of the contrast agent. BDICA not
only provides sufficient contrast images similar to iohexol, but also provides
superior images of the bile duct. Based on recent studies, it has been shown that
BDICA is a promising, safe and effective contrast agent for CT imaging of the
organs and soft tissues, including the bile duct.
PMID- 29353103
TI - Taking the heat or taking the temperature? A qualitative study of a large-scale
exercise in seeking to measure for improvement, not blame.
AB - Measurement of quality and safety has an important role in improving healthcare,
but is susceptible to unintended consequences. One frequently made argument is
that optimising the benefits from measurement requires controlling the risks of
blame, but whether it is possible to do this remains unclear. We examined
responses to a programme known as the NHS Safety Thermometer (NHS-ST). Measuring
four common patient harms in diverse care settings with the goal of supporting
local improvement, the programme explicitly eschews a role for blame. The study
design was ethnographic. We conducted 115 hours of observation across 19 care
organisations and conducted 126 interviews with frontline staff, senior national
leaders, experts in the four harms, and the NHS-ST programme leadership and
development team. We also collected and analysed relevant documents. The
programme theory of the NHS-ST was based in a logic of measurement for
improvement: the designers of the programme sought to avoid the appropriation of
the data for any purpose other than supporting improvement. However,
organisational participants - both at frontline and senior levels - were
concerned that the NHS-ST functioned latently as a blame allocation device. These
perceptions were influenced, first, by field-level logics of accountability and
managerialism and, second, by specific features of the programme, including
public reporting, financial incentives, and ambiguities about definitions that
amplified the concerns. In consequence, organisational participants, while they
identified some merits of the programme, tended to identify and categorise it as
another example of performance management, rich in potential for blame. These
findings indicate that the search to optimise the benefits of measurement by
controlling the risks of blame remains challenging. They further suggest that a
well-intentioned programme theory, while necessary, may not be sufficient for
achieving goals for improvement in healthcare systems dominated by institutional
logics that run counter to the programme theory.
PMID- 29353107
TI - An educational intervention to enhance clinical skills learning: Experiences of
nursing students and teachers.
AB - The simulation centre is a key setting for the acquisition of practical skills.
However, pedagogical underpinnings of skills instruction in this setting are not
always well founded. This study aimed to explore student and teacher experiences
with an educational intervention to enhance clinical skills learning in the first
semester of nursing education. The study had an exploratory design, where
qualitative data were collected in focus group interviews involving 18 students
and four teachers. The participants had generally positive experiences of the
intervention. The findings showed that organisation, time usage, an observer
role, re-training and structured reflection enhanced systematic feedback by
students. We conclude that an educational intervention based on theoretically
sound learning tools and pedagogical principles improved students' skills
acquisition and gave the teachers a common educational platform.
PMID- 29353105
TI - Biomimetic and enzyme-responsive dynamic hydrogels for studying cell-matrix
interactions in pancreatic ductal adenocarcinoma.
AB - The tumor microenvironment (TME) governs all aspects of cancer progression and in
vitro 3D cell culture platforms are increasingly developed to emulate the
interactions between components of the stromal tissues and cancer cells. However,
conventional cell culture platforms are inadequate in recapitulating the TME,
which has complex compositions and dynamically changing matrix mechanics. In this
study, we developed a dynamic gelatin-hyaluronic acid hybrid hydrogel system
through integrating modular thiol-norbornene photopolymerization and enzyme
triggered on-demand matrix stiffening. In particular, gelatin was dually modified
with norbornene and 4-hydroxyphenylacetic acid to render this bioactive protein
photo-crosslinkable (through thiol-norbornene gelation) and responsive to
tyrosinase-triggered on-demand stiffening (through HPA dimerization). In addition
to the modified gelatin that provides basic cell adhesive motifs and protease
cleavable sequences, hyaluronic acid (HA), an essential tumor matrix, was
modularly and covalently incorporated into the cell-laden gel network. We
systematically characterized macromer modification, gel crosslinking, as well as
enzyme-triggered stiffening and degradation. We also evaluated the influence of
matrix composition and dynamic stiffening on pancreatic ductal adenocarcinoma
(PDAC) cell fate in 3D. We found that either HA-containing matrix or a
dynamically stiffened microenvironment inhibited PDAC cell growth. Interestingly,
these two factors synergistically induced cell phenotypic changes that resembled
cell migration and/or invasion in 3D. Additional mRNA expression array analyses
revealed changes unique to the presence of HA, to a stiffened microenvironment,
or to the combination of both. Finally, we presented immunostaining and mRNA
expression data to demonstrate that these irregular PDAC cell phenotypes were a
result of matrix-induced epithelial-mesenchymal transition (EMT).
PMID- 29353106
TI - Perineurium-like sheath derived from long-term surviving mesenchymal stem cells
confers nerve protection to the injured spinal cord.
AB - The functional multipotency enables mesenchymal stem cells (MSCs) promising
translational potentials in treating spinal cord injury (SCI). Yet the fate of
MSCs grafted into the injured spinal cord has not been fully elucidated even in
preclinical studies, rendering concerns of their safety and genuine efficacy.
Here we used a rat spinal cord transection model to evaluate the cell fate of
allograft bone marrow derived MSCs. With the application of immunosuppressant,
donor cells, delivered by biocompatible scaffold, survived up to 8 weeks post
grafting. Discernible tubes formed by MSCs were observed beginning 2 weeks after
transplantation and they dominated the morphological features of implanted MSCs
at 8 weeks post-grafting. The results of immunocytochemistry and transmission
electron microscopy displayed the formation of perineurium-like sheath by donor
cells, which, in a manner comparable to the perineurium in peripheral nerve,
enwrapped host myelins and axons. The MSC-derived perineurium-like sheath
secreted a group of trophic factors and permissive extracellular matrix, and
served as a physical and chemical barrier to insulate the inner nerve fibers from
ambient oxidative insults by the secretion of soluble antioxidant, superoxide
dismutase-3 (SOD3). As a result, many intact regenerating axons were preserved in
the injury/graft site following the forming of perineurium-like sheath. A
parallel study utilizing a good manufacturing practice (GMP) grade human
umbilical cord-derived MSCs or allogenic MSCs in an acute contusive/compressive
SCI model exhibited a similar perineurium-like sheath formed by surviving donor
cells in rat spinal cord at 3 weeks post-grafting. The present study for the
first time provides an unambiguous morphological evidence of perineurium-like
sheath formed by transplanted MSCs and a novel therapeutic mechanism of MSCs in
treating SCI.
PMID- 29353108
TI - Chronic Graft-versus-Host Disease: A Long Road Ahead.
PMID- 29353110
TI - Orchestration of Chemomobilization and G-CSF Administration for Successful
Hematopoietic Stem Cell Collection.
AB - Successful collection of peripheral blood stem cells (PBSCs) depends on the
optimal orchestration of mobilization chemotherapy, granulocyte colony
stimulating factor (G-CSF) application, and CD34+ cell number assessment in the
peripheral blood (PB). However, determining the optimal timing in accordance to
the applied chemomobilization regimen can be challenging. Although most centers
apply their own local timing schedules, a reliable timetable including the
currently most often used mobilization regimens is lacking. We present a
comprehensive analysis of the timing modalities for 11 of the most commonly used
chemomobilization regimens. A retrospective analysis was performed on the
clinical and PBSC collection parameters (including duration of G-CSF application,
time point of CD34+ assessment, PB CD34+ cell count, number of leukapheresis [LP]
sessions, processed blood volume, and CD34+ collection results) of 91
representatively selected patients who had undergone stem cell mobilization at 2
collection centers. Six to 10 patients were analyzed per regimen with a variety
of diagnoses, including multiple myeloma, malignant lymphoma, and sarcoma. No
collection failures (<2 * 106 CD34+ cells/kg body weight) were observed. All
analyzed patients successfully reached their individual collection goal in
adherence to the given schedule of chemotherapy, application of G-CSF,
measurement of CD34+ cells, and subsequent LP. The presented data on the timing
of chemomobilization, G-CSF application, and stem cell collection may be helpful
in clinical decision making and contribute to a more transparent and predictable
treatment process.
PMID- 29353109
TI - Shortened-Duration Tacrolimus after Nonmyeloablative, HLA-Haploidentical Bone
Marrow Transplantation.
AB - With post-transplantation cyclophosphamide (PTCy) as graft-versus-host disease
(GVHD) prophylaxis, nonmyeloablative HLA-haploidentical (NMA haplo) and HLA
matched blood or marrow transplantation (BMT) have comparable outcomes. Early
discontinuation of immunosuppression may reduce the risk of relapse and improve
immune reconstitution, but may increase the risk of GVHD. We conducted a
prospective trial of NMA haplo BMT for patients with hematologic malignancies
(median age, 61 years), evaluating the safety of early discontinuation of
tacrolimus. All patients received T cell-replete bone marrow followed by high
dose PTCy, mycophenolate mofetil, and tacrolimus. Tacrolimus was prespecified to
stop without taper at day +90, +60, or +120, contingent on having >=5% donor T
cells, no relapse, and no grade II-IV acute or significant chronic GVHD. Safety
stopping rules were based on >=5% graft failure, >=10% nonrelapse mortality
(NRM), or a >=20% combined incidence of severe acute and chronic GVHD from the
tacrolimus stop date through day +180. Of the 47 patients in the day +90 arm, 23
(49%) stopped tacrolimus as planned. Of the 55 patients in the day +60 arm, 38
(69%) stopped as planned. Safety stopping criteria were not met. In both arms, at
day +180, the probability of grade II-IV acute GVHD was <40%, that of grade III
IV acute GVHD was <8%, and that of NRM was <5%. The 1-year probabilities of
chronic GVHD and NRM were <15% and <10%, respectively, in both arms. The 1-year
GVHD-free relapse-free survival was higher in the day 60 arm. Thus, stopping
tacrolimus as early as day +60 is feasible and carries acceptable risks after NMA
haplo BMT with PTCy. This approach may facilitate post-transplantation strategies
for relapse reduction.
PMID- 29353111
TI - Investigation of phase separated polyimide blend films containing boron nitride
using FTIR imaging.
AB - Immiscible aromatic polyimide (PI) blend films and a PI blend film incorporated
with thermally conductive boron nitride (BN) were prepared, and their phase
separation behaviors were examined by optical microscopy and FTIR imaging. The
2,2'-bis(trifluoromethyl)benzidine (TFMB)-containing and 4,4'-thiodianiline (TDA)
containing aromatic PI blend films and a PI blend/BN composite film show two
clearly separated regions; one region is the TFMB-rich phase, and the other
region is the TDA-rich phase. The introduction of BN induces morphological
changes in the immiscible aromatic PI blend film without altering the composition
of either domain. In particular, the BN is selectively incorporated into the TDA
rich phase in this study.
PMID- 29353112
TI - Bioleaching of metals from WEEE shredding dust.
AB - A bioleaching process developed in two separate steps was investigated for the
recovery of base metals, precious metals and rare earth elements from dusts
generated by Waste Electrical and Electronic Equipment (WEEE) shredding. In the
first step, base metals were almost completely leached from the dust in 8 days by
Acidithiobacillus thiooxidans (DSM 9463) that lowered the pH of the leaching
solution from 3.5 to 1.0. During this step, cerium, europium and neodymium were
mobilized at high percentages (>99%), whereas lanthanum and yttrium reached an
extraction yield of 80%. In the second step, the cyanide producing Pseudomonas
putida WSC361 mobilized 48% of gold within 3 h from the A. thiooxidans leached
shredding dust. This work demonstrated the potential application of
biohydrometallurgy for resource recovery from WEEE shredding dust, destined to
landfill disposal, and its effectiveness in the extraction of valuable
substances, including elements at high supply risk as rare earths.
PMID- 29353113
TI - Cadmium immobilization in river sediment using stabilized nanoscale zero-valent
iron with enhanced transport by polysaccharide coating.
AB - Proper management of metal-contaminated sediment plays a key role in sediment
recovery and reuse. This study synthesized two kinds of stabilized nanoscale zero
valent iron (nZVI) with starch (S-nZVI) and carboxymethyl cellulose (C-nZVI) for
the in situ immobilization of Cd(II) in river sediment and investigated their
transport in porous media. Experimental data showed that when the sediment sample
was treated with C-nZVI for 56 days at a dosage ranging from 5 to 10 mg/g
sediment as Fe0, the TCLP (toxicity characteristic leaching procedure)
leachability of Cd(II) in the sediment decreased by 93.75-96.43%, and the PBET
(physiologically-based extraction test) bioaccessibility of Cd(II) decreased by
22.79-71.32%. Additionally, the acid soluble fraction of Cd(II) was partially
transformed to a residual fraction, resulting in a 32.4-33.1% decrease of acid
soluble Cd(II) and a 125.4-205.6% increase of the residual-Cd(II) fraction.
Surface complexation with iron oxyhydroxide minerals might be the main mechanism
of Cd(II) immobilization in sediment. Column experiments indicate that starch or
carboxymethyl cellulose (CMC) could extend the travel distance of nZVI, but
inherent site physical and chemical heterogeneities still posed challenges for
nanoparticle transport. Over all, this study verifies the effectiveness of
stabilized nZVI for Cd(II) immobilization in sediment and discusses the potential
immobilization mechanism.
PMID- 29353114
TI - Toxic hazards of ammonia release and population vulnerability assessment using
geographical information system.
AB - Today, chemical industries manufacture, store and transport evermore hazardous
substances and hence the risk of accidental releases of these chemicals can
become more and more catastrophic in the context of increasing population and
their requirements. The damage potential is proportional to the population
characteristics of the location as well as various meteorological factors and
geographical features. For the risk assessment of ammonia toxicity, the storage
facility at Eloor industrial area is taken as a sample. Pollutant dispersion
model - Areal Locations of Hazardous Atmosphere (ALOHA) is utilized to predict
the toxicity impacted distance of ammonia. The model estimates the vulnerable
areas, which may be affected toxically by an Ammonia release by integrating
information about chemical properties of the substance, weather conditions
prevalent in the area and release conditions. Risk assessment is done for four
different atmospheric conditions, typical to the prevailing seasons and affected
area is estimated in each scenario. To determine the affected population, the
areal interpolation method in GIS database is also employed in this study, which
illustrates the toxically impacted areas and the population in need of immediate
help and evacuation. Such studies can serve as an effective tool for decision
makers to prepare an emergency plan in case of accidental releases.
PMID- 29353115
TI - Efficient removal of Acid Green 25 dye from wastewater using activated Prunus
Dulcis as biosorbent: Batch and column studies.
AB - Biosorbent synthesized from dead leaves of Prunus Dulcis with chemical activation
during the synthesis was applied for the removal of Acid Green 25 dye from
wastewater. The obtained biosorbent was characterized using Brunauer-Emmett
Teller analysis, Fourier transform-infrared spectroscopy and scanning electron
microscopy measurements. It was demonstrated that alkali treatment during the
synthesis significantly increased surface area of biosorbent from 67.205 to
426.346 m2/g. The effect of various operating parameters on dye removal was
investigated in batch operation and optimum values of parameters were established
as pH of 2, 14 g/L as the dose of natural biosorbent and 6 g/L as the dose of
alkali treated biosorbent. Relative error values were determined to check fitting
of obtained data to the different kinetic and isotherm models. It was established
that pseudo-second order kinetic model and Langmuir isotherm fitted suitably to
the obtained batch experimental data. Maximum biosorption capacity values were
estimated as 22.68 and 50.79 mg/g for natural biosorbent and for alkali activated
Prunus Dulcis, respectively. Adsorption was observed as endothermic and
activation energy of 6.22 kJ/mol confirmed physical type of adsorption. Column
experiments were also conducted to probe the effectiveness of biosorbent for
practical applications in continuous operation. Breakthrough parameters were
established by studying the effect of biosorbent height, flow rate of dye
solution and initial dye concentration on the extent of dye removal. The maximum
biosorption capacity under optimized conditions in the column operation was
estimated as 28.57 mg/g. Thomas and Yoon-Nelson models were found to be suitably
fitted to obtained column data. Reusability study carried out in batch and
continuous column operations confirmed that synthesized biosorbent can be used
repeatedly for dye removal from wastewater.
PMID- 29353116
TI - Scale-inhibition and flocculation dual-functionality of poly(acrylic acid)
grafted starch.
AB - Natural-polymer based water treatment agents have recently received much more
attention due to their environmental friendliness, widespread availability, and
prominent structural features. Starch-graft-poly(acrylic acid) (St-g-PAA) is a
simple natural-polymer based material that can be obtained easily by a one-step
graft copolymerization. When used as a water treatment agent, St-g-PAA exhibits
both effective scale-inhibition performance and high turbidity removal
efficiency. The scale-inhibition efficiency of St-g-PAA against calcium carbonate
(CaCO3) is approximately 94% at the optimal dose in a static test of
approximately 40 mg/L. Dispersion, crystal lattice distortion, and chelating
effects all play important roles in the scale inhibition. When St-g-PAA is used
as a coagulant aid for polyaluminum chloride (PAC) in the flocculation of a real
hairwork wastewater, the highest reduction of the optimal PAC dose is more than
30% while the turbidity reduction is about 97% at the same time, both floc size
and compactness increase, and the final settling efficiency also improves
evidently. The efficient bridging flocculation effects account for the effective
turbidity removal. The prominent scale-inhibition and flocculation dual
functionality of St-g-PAA is intrinsically ascribed to its distinct anionic
linear branched-chain structure.
PMID- 29353117
TI - Exposure keratopathy: Incidence, risk factors and impact of protocolised care on
exposure keratopathy in critically ill adults.
AB - PURPOSE: We aimed to determine the rate of exposure keratopathy (EK) in
critically ill patients, identify risk factors for developing EK and ascertain
the effectiveness of a protocol to prevent EK. MATERIALS AND METHODS: We
undertook a two-phase prospective cohort study in a general adult ICU with first
phase being observational and an eye care protocol was introduced in the second
phase. Daily ophthalmic assessment was carried out along with recording of
various risk factors. RESULTS: We studied 371 patients. In the first phase, the
overall rate of EK was 21% but the rate in mechanically ventilated patients was
56%; chi2 (1, N=257)=80.8, p<0.001. Adjusted odds ratios (AOR) for development of
EK was 28.6 (8.19-43.37), 13.0 (3.16-54.38) and 1.2 (1.03-1.33) with incomplete
eye closure, mechanical ventilation, and higher sequential organ failure
assessment score respectively. Following the introduction of the protocol, the
overall rate of EK reduced to 2.6% (3 cases); chi2 (1, N=371)=18.6, p<0.001.
CONCLUSIONS: EK is common in critically ill patients and a simple protocol
substantially reduces the incidence of EK and is easily achieved in clinical
practice.
PMID- 29353118
TI - Magnesium supplementation: Pharmacokinetics in cardiac surgery patients with
normal renal function.
AB - BACKGROUND: Intravenous magnesium is routinely administered in intensive care
units (ICU) to treat arrhythmias after cardiothoracic surgery. There are no data
on the pharmacokinetics of continuous magnesium infusion therapy. OBJECTIVE: To
investigate the pharmacokinetics of continuous magnesium infusion, focusing on
serum and urinary magnesium concentration, volume of distribution and half-life.
METHODS: We administered a 10 mmol bolus of magnesium-sulfate followed by a
continuous infusion of 3 mmol/h for 12 h in twenty cardiac surgery patients. We
obtained blood and urine samples prior to magnesium administration and after one,
six, and 12 h. RESULTS: Median magnesium levels increased from 1.09 (IQR 1.00
1.23) mmol/L to 1.59 (1.45-1.76) mmol/L after 60 min (p < .001), followed by 1.53
(1.48-1.71) and 1.59 (1.48-1.76) mmol/L after 6 and 12 h. Urinary magnesium
concentration increased from 9.2 (5.0-13.9) mmol/L to 17 (13.6-21.6) mmol/L after
60 min (p < .001). Cumulative urinary magnesium excretion was 28 mmol (60.9% of
the dose given). The volume of distribution was 0.25 (0.22-0.30) L/kg. There were
no episodes of severe hypermagnesemia (>=3 mmol/L). CONCLUSION: Combined bolus
and continuous magnesium infusion therapy leads to a significant and stable
increase in magnesium serum concentration despite increased renal excretion and
redistribution.
PMID- 29353119
TI - Diagnostic and predictive values of procalcitonin in bloodstream infections for
nosocomial pneumonia.
AB - PURPOSE: We evaluated the diagnostic accuracy of PCT to distinguish between gram
negative (GN) and gram-positive (GP) bloodstream infections nosocomial pneumonia
(NP) patients and compared PCT levels with the pneumonia severity index (PSI) for
predicting mortality. METHODS: Data were collected retrospectively for blood
culture-positive NP patients between January 2014 and August 2016. PCT levels
were compared between patients with GN versus GP infections. Outcome variables
included 28- and 60-day mortality. RESULTS: PCT level was higher in GN infections
than in GP infections. PCT could differentiate between GN and GP infections with
an AUC value of 0.706. At a PCT cutoff of 5.4 ng/mL, the specificity for GN
infections were 80.3%. The AUCs for 28- and 60-day mortality were 0.758 and 0.759
for PSI, and 0.620 and 0.634 for PCT. Serum PCT level was less predictive of
mortality in GN NP patients compared with that for GP NP patients. There was a
significantly positive correlation between PCT and PSI, and the correlation in GP
NP patients was better than that in GN NP patients. CONCLUSIONS: PCT could
differentiate between GN and GP bloodstream infections in patients with NP.
However, PCT levels were less predictive of mortality compared with the PSI.
PMID- 29353120
TI - Phase angle assessment in critically ill cancer patients: Relationship with the
nutritional status, prognostic factors and death.
AB - PURPOSE: To evaluate the relationship between phase angle (PA) and nutritional
status and the prognostic significance of PA in critically ill cancer patients.
METHODS: 31 patients that had been admitted to the intensive care unit (ICU) of a
center on oncology were evaluated. Their PA was obtained from their bioelectrical
impedance within 48 h of the ICU admission. The logistic regression analysis of
Cox was used in order to identify the independent predictors of the outcomes.
RESULTS: Negative and significant correlations were observed between the PA and
the following variables: the length of hospital ward stay, the length of ICU
stay, the total time of hospital stay, the mechanical ventilation time, and the
acute physiology and chronic health evaluation II (APACHE II) scores. A positive
correlation was ascertained between the PA and albumin. PA was significantly
associated with death. Patients with a PA <=3.8 degrees presented a
significantly shorter survival time than those with a PA >3.8 degrees .
CONCLUSION: PA was a prognostic marker in this population, independently of
previously established prognostic factors. PA can represent a clinically feasible
approach for the initial identification of critically ill cancer patients who
require an early and specialized nutritional intervention.
PMID- 29353121
TI - Tau-PET imaging with [18F]AV-1451 in primary progressive apraxia of speech.
AB - Apraxia of speech is a motor speech disorder characterized by combinations of
slow speaking rate, abnormal prosody, distorted sound substitutions, and trial
and-error articulatory movements. Apraxia of speech is due to abnormal planning
and/or programming of speech production. It is referred to as primary progressive
apraxia of speech (PPAOS) when it is the only symptom of a neurodegenerative
condition. Past reports suggest an association of PPAOS with primary 4-repeat
(4R) tau (e.g., progressive supranuclear palsy, corticobasal degeneration),
rather than amyloid, pathology. The goal of the current study was to investigate
the distribution of tau tracer uptake using [18F]AV-1451 positron emission
tomography (PET) imaging in patients with PPAOS. Fourteen PPAOS patients
underwent [18F]AV-1451 PET (tau-PET) imaging, [C11] Pittsburgh Compound B (PiB)
PET and structural MRI and were matched 3:1 by age and sex to 42 cognitively
normal controls. Tau-PET uptake was assessed at the region-of-interest (ROI)
level and at the voxel-level. The PPAOS group (n = 14) showed increased tau-PET
uptake in the precentral gyrus, supplementary motor area and Broca's area
compared to controls. To examine whether tau deposition in Broca's area was
related to the presence of aphasia, we examined a subgroup of the PPAOS patients
who had predominant apraxia of speech, with concomitant aphasia (PPAOSa; n = 7).
The PPAOSa patients showed tau-PET uptake in the same regions as the whole group.
However, the remaining seven patients who did not have aphasia showed uptake only
in superior premotor and precentral cortices, with no uptake observed in Broca's
area. This cross-sectional study demonstrates that elevated tau tracer uptake is
observed using [18F]AV-1451 in PPAOS. Further, it appears that [18F]AV-1451 is
sensitive to the regional distribution of tau deposition in different stages of
PPAOS, given the relationship between tau signal in Broca's area and the presence
of aphasia.
PMID- 29353122
TI - Asparagine and sugars are both required to sustain secondary axis elongation
after bud outgrowth in Rosa hybrida.
AB - Nitrogen is required for optimal plant growth, especially in young organs such as
secondary axes (axes II) after axillary bud outgrowth. Several studies have shown
an increase of nitrogen concentration in xylem sap concomitantly with bud
outgrowth, but the relation between nitrogen, sugars and plant hormones in axis
II still remains unclear. We investigated in Rosa hybrida the involvement of
nitrogen nutrition in axis II elongation in relation with sugars and cytokinins
using 15N-labeled nitrate and sugars, amino acids and cytokinin quantifications.
Besides, we measured the effect of the exogenous supply of these compounds on
axis II elongation using in vitro excised bud culture. We demonstrated that
nitrogen in the axis II comes mainly from new root uptake after decapitation.
Asparagine, which concentration increases in sap exudates and tissues during axis
II elongation, was the sole amino acid able to sustain an efficient elongation in
vitro when supplied in combination with sucrose.
PMID- 29353123
TI - A locking compression plate versus the gold-standard non-locking plate with lag
screw for first metatarsophalangeal fusion: A biomechanical comparison.
AB - INTRODUCTION: The treatment of end-stage first metatarso-phalangeal joint (MTP)
arthritis has been arthrodesis. A dorsal non-locking plate with a lag screw has
been the standard traditional fixation method. This study compares the
biomechanical strength of a locking compression plate (LCP) with and without
internal compression versus this known gold standard. METHODS: In group 1, six
matched pairs of cadaver great toes were used to compare the standard non-locking
dorsal plate and 3.5mm lag screw to an anatomic locking compression plate in
which a lag screw was utilized rather than the internal compression features of
the plate. In group 2, another six matched pairs of cadaver great toes were used
to compare the gold standard to the locking compression plate, utilizing the
plate's internal compression feature instead of a lag screw. A material testing
system (MTS) machine applied loads to the MTP joints and measured displacement
and stiffness of the constructs. The stiffness of the constructs (Young's
modulus) was calculated from the force-displacement curves, and the displacement
was measured. RESULTS: The locking compression plate group that used the
compression features of the plate, without the lag screw, had less joint
displacement and higher stiffness than control (p<0.05). The same plating
construct in which a lag screw was used rather than internal compression of the
plate was found to be stiffer than the control (p<0.05), but displacement was not
statistically significant. DISCUSSION: The results suggest that a locking
compression plate alone provides the stiffest construct for a first MTP joint
fusion.
PMID- 29353124
TI - Projecting environmental suitable areas for malaria transmission in China under
climate change scenarios.
AB - INTRODUCTION: The proportion of imported malaria cases in China has increased
over recent years, and has presented challenges for the malaria elimination
program in China. However, little is known about the geographic distribution and
environmental suitability for malaria transmission under projected climate change
scenarios. METHODS: Using the MaxEnt model based on malaria presence-only
records, we produced environmental suitability maps and examined the relative
contribution of topographic, demographic, and environmental risk factors for P.
vivax and P. falciparum malaria in China. RESULTS: The MaxEnt model estimated
that environmental suitability areas (ESAs) for malaria cover the central, south,
southwest, east and northern regions, with a slightly wider range of ESAs
extending to the northeast region for P. falciparum. There was spatial agreement
between the location of imported cases and area environmentally suitable for
malaria transmission. The ESAs of P. vivax and P. falciparum are projected to
increase in some parts of southwest, south, central, north and northeast regions
in the 2030s, 2050s, and 2080s, by a greater amount for P. falciparum under the
RCP8.5 scenario. Temperature and NDVI values were the most influential in
defining the ESAs for P. vivax, and temperature and precipitation the most
influential for P. falciparum malaria. CONCLUSION: This study estimated that the
ESA for malaria transmission in China will increase with climate change and
highlights the potential establishment of further local transmission. This model
should be used to support malaria control by targeting areas where interventions
on malaria transmission need to be enhanced.
PMID- 29353126
TI - Evaluation of gamma contribution in a neutron calibration field of Am-Be241
source.
AB - The paper presents the evaluation of gamma contribution in a neutron calibration
field of a 241Am-Be source. The characterization of gamma flux spectra and gamma
ambient dose equivalent rates has been performed using a portable NaI(Tl) gamma
spectrometer. For obtaining the gamma ambient dose equivalent rates from the
measured spectra, two approaches were applied based on the applications of the
G(E) function and the ICRP 74 conversion factors, respectively. Comparison of the
gamma ambient dose equivalent rates obtained from the two approaches shows an
agreement within 5%. The gamma contribution in the neutron calibration field is
evaluated about 2.3-3.3% of the total neutron ambient dose equivalent rate in the
distance range of 100-250cm.
PMID- 29353125
TI - Relationship between serum trimethylamine N-oxide and exposure to dioxin-like
pollutants.
AB - Trimethylamine N-oxide (TMAO) is a diet and gut microbiota-derived metabolite
that has been linked to cardiovascular disease risk in human studies and animal
models. TMAO levels show wide inter and intra individual variability in humans
that can likely be accounted for by multiple factors including diet, the gut
microbiota, levels of the TMAO generating liver enzyme Flavin-containing
monooxygenase 3 (FMO3) and kidney function. We recently found that dioxin-like
(DL) environmental pollutants increased FMO3 expression to elevate circulating
diet-derived TMAO in mice, suggesting that exposure to this class of pollutants
might also contribute to inter-individual variability in circulating TMAO levels
in humans. To begin to explore this possibility we examined the relationship
between body burden of DL pollutants (reported by serum lipid concentrations) and
serum TMAO levels (n = 340) in the Anniston, AL cohort, which was highly exposed
to polychlorinated biphenyls (PCBs). TMAO concentrations in archived serum
samples from the Anniston Community Health Survey (ACHS-II) were measured, and
associations of TMAO with 28 indices of pollutant body burden, including total
dioxins toxic equivalent (TEQ), were quantified. Twenty-three (22 after
adjustment for multiple comparisons) of the 28 indices were significantly
positively associated with TMAO. Although the design of ACHS-II does not enable
quantitative assessment of the contributions of previously known determinants of
TMAO variability to this relationship, limited multivariate modeling revealed
that total dioxins TEQ was significantly associated with TMAO among females
(except at high BMIs) but not among males. Our results from this cross-sectional
study indicate that exposure to DL pollutants may contribute to elevated serum
TMAO levels. Prospective longitudinal studies will be required to assess the
joint relationship between DL pollutant exposures, other determinants of TMAO,
and health outcomes.
PMID- 29353127
TI - Physical comorbidities increase the risk of psychiatric comorbidity in immune
mediated inflammatory disease.
AB - OBJECTIVE: We tested the association between physical comorbidity and incident
depression, anxiety disorder and bipolar disorder in three immune-mediated
inflammatory diseases (IMID): inflammatory bowel disease (IBD), multiple
sclerosis (MS) and rheumatoid arthritis (RA) versus age-, sex- and geographically
matched controls. METHODS: Using population-based administrative data we
identified 6119 persons with IBD, 3514 persons with MS, 10,206 persons with RA
and 97,727 matched controls. We identified incident cases of depression, anxiety
disorder and bipolar disorder in these populations. We evaluated the association
of physical comorbidities with incident psychiatric comorbidity using Cox
regression, adjusting for sociodemographic factors and index year. RESULTS: The
risk of incident depression, anxiety disorders and bipolar disorder was higher in
each IMID cohort versus their matched cohorts. The risk of incident psychiatric
comorbidity increased with an increasing number of physical comorbidities for
each psychiatric comorbidity evaluated, across all IMID. Adjustment for physical
comorbidity did not attenuate the increased risk of psychiatric comorbidity in
the IMID cohorts versus their matched cohorts. CONCLUSION: The increased
incidence of psychiatric comorbidity in IMID versus matched general population
cohorts is not accounted for by their increased prevalence of physical
comorbidities. However, within IMID cohorts, physical comorbidity increases the
risk of psychiatric comorbidity.
PMID- 29353129
TI - Keeping it together and falling apart: Women's dynamic experience of birth.
AB - OBJECTIVE: To explore the complexity of women's birth experiences in the context
in which they occur and to describe how these influence women's well-being in
labor. DESIGN: Qualitative method with a phenomenological approach, following the
analysis principles of van Manen. PARTICIPANTS AND SETTING: Eight women from
different ethnic and socioeconomic backgrounds in Atlanta, Georgia, United States
with a recent, healthy birth were interviewed twice about their experience of the
labor journey. The first interview was 3-12 weeks post-partum, with the second
interview at 10-22 weeks post-partum. FINDINGS: The phenomenon of childbirth was
a dynamic fluctuating between keeping it together and falling apart. The changes
in emotion were created by a sensitive feedback loop between the woman and her
environment, the physical space, and interactions with humans present. Four
characteristics supported and created this phenomenon: confidence, comfort,
agency and connection. Confidence was believing in one's physical ability to
birth the baby while at the same time, having the emotional resources to cope
with the experience. Comfort was essential to manage pain and difficult emotions.
The presence of comfort changed the meaning and experience of pain and increased
relaxation. Agency was overtly supported in labor, but compromised by hospital
routine and unresponsive caregiver practices, and was diminished by women's
vulnerability in labor. When agency was compromised, falling apart increased, and
there was a move towards intense negative emotion. In labor, women wanted an
authentic human connection, being known as a person. This connection was a
mechanism to support the other characteristics of comfort, confidence, and
agency. IMPLICATIONS FOR PRACTICE: Clinicians need to accommodate the complex,
dynamic fluctuations of emotion during birth addressing both the physical and non
physical aspects of the person. Birth care practices and childbirth research need
to account for the complexity of birth as a holistic experience, specifically
regarding the emotional shifts as well as the women's sensitivity to the
environment and everything contained in it. There is a need for more research
related to the dynamics of emotional changes in labor, how these changes affect
labor physiology and influence normal birth and birth outcomes.
PMID- 29353128
TI - Adoption, penetration, and effectiveness of a secondary risk screener for
intimate partner violence: Evidence to inform screening practices in integrated
care settings.
AB - OBJECTIVE: Veterans Health Administration (VHA) has implemented screening for
past-year intimate partner violence (IPV) in some healthcare facilities along
with secondary screening of risk for severe violence among those screening
positive in order to facilitate follow-up care for high-risk patients. We
evaluated the adoption, penetration, and effectiveness of secondary screening as
a tool to facilitate timely follow-up services. METHODS: Retrospective review of
medical records (screening and healthcare use) of 774 women screening positive
for past-year IPV (IPV+) at 11 facilities nationwide from April 2014-April 2016.
Chi-square and t-tests examined factors related to secondary screening. RESULTS:
Three of eleven (27.3%) facilities that implemented primary IPV screening adopted
secondary screening. At adopting sites, 56.4% eligible (i.e., IPV+) women
received secondary screening. Among 185 IPV+ women who completed secondary
screening, 33.0% screened positive for severe IPV. Screening positive during
secondary screening was associated with higher rate of psychosocial care within
60 days (73.8% vs. 54.0% of IPV+ patients screening negative; p < .05),
posttraumatic stress disorder diagnosis (31.1% vs. 15.3%; p < .05), and being
physically threatened or harmed (>50% vs. <15%; p < .001). CONCLUSIONS: Secondary
risk assessment following IPV screening may expedite access to psychosocial
follow-up care in integrated healthcare settings. However, program uptake needs
to be enhanced.
PMID- 29353130
TI - Serum miR-1181 and miR-4314 associated with ovarian cancer: MiRNA microarray data
analysis for a pilot study.
AB - OBJECTIVE: This study aims to identify serum microRNAs (miRNAs) related to
ovarian cancer. STUDY DESIGN: MiRNA profiling data (GSE79943) were generated from
the Gene Expression Omnibus, including 3 serum samples from healthy individuals
and 4/3/16/6 serum samples from patients with ovarian cancer stage I/II/III/IV.
Differentially expressed miRNAs (DEmiRNAs) were identified between controls and
ovarian cancer stage I/II/III/IV by using limma package (p-value <0.05 and |log2
fold change| >=0.5). miRWALK2.0 database was used to find experiment-validated
targets of DEmiRNAs, and CTD database was utilized to screen known genes related
to ovarian cancer. clusterProfiler package was used to perform pathway enrichment
analysis of DEmiRNAs. Targets of DEmiRNAs were validated by using GSE40595,
involving 8 normal ovarian stroma, 31 ovarian cancer stroma, 6 human ovarian
surface epthelium, and 32 ovarian tumor epthelial component. RESULTS: Between
stage I/II/III/IV and control, 39/143/29/39 DEmiRNAs were identified, which were
regarded as key miRNAs. Between 4 DEmiRNA sets, 15 common DEmiRNAs were
identified (e.g. up-regulated hsa-miR-1181 and hsa-miR-4314). Hsa-miR-1181
participated in "Jak-STAT signaling pathway" and "miRNAs in cancer"; hsa-miR-4314
took part in cancer-related pathways. STAT3 and KRAS, known marker genes of
ovarian cancer, were targeted by hsa-miR-1181 and hsa-miR-4314, respectively.
Besides, FOXP1 was targeted by hsa-miR-1181; FOXP1-AS1 and FOXP1-IT1 were down
regulated in ovarian cancer. GRWD1, IP6K1, and NEGR1 were targeted by hsa-miR
4314; GRWD1, IP6K1, and NEGR1 were down-regulated in ovarian tumor. CONCLUSION:
MiR-1181 and miR-4314 might promote ovarian tumorigenesis via down-regulating
FOXP1 and GRWD1/IP6K1/NEGR1, respectively. In addition, the 15 common DEmiRNAs
might provide directions for ovarian cancer diagnosis.
PMID- 29353131
TI - Dermatoglyphic patterns on fingers and gynecological cancers.
AB - OBJECTIVES: Fingerprints have so far been used for determining the basis of
certain malignant diseases, with positive outcomes. Considering the high rates of
cancer-related mortality in Iran, this study was conducted for the purpose of
examining the dermatoglyphic pattern of fingers in patients with gynecological
cancers as compared to healthy people. STUDY DESIGN: The present study was
conducted on 151 women with gynecological cancers as the case group and 152
healthy women with no history of such cancers as control group. The dematographic
details of participants from both control and case groups were collected using a
checklist, and the pattern of their fingerprints was prepared and examined. The
data were analyzed for their significance using chi-square test and t- test. Odds
ratio with 95% confidence intervals were calculated. RESULTS: Dermatoglyphic
analysis showed that arch and loop patterns significantly changed in cases group
as compared to control. However, the odds ratio suggested that loop pattern in 6
or more fingers might be a risk factor for developing gynecological cancers.
CONCLUSION: Our results showed that there is an association between fingerprint
patterns and gynecological cancers and so, dermatoglyphic analysis may aid in the
early diagnosis of these cancers.
PMID- 29353132
TI - Supporting parents through stillbirth: A qualitative study exploring the views of
health professionals and health care staff in three hospitals in England.
AB - OBJECTIVE: To investigate the views of a range of hospital based health
professionals and health care staff involved in the management of stillbirth.
STUDY DESIGN: A qualitative pilot study informed by grounded theory conducted in
three hospital trusts in the North East of England. In total, 21 consultant
obstetricians, 3 trainees (including 1 senior trainee), 29 midwives, 3 midwife
sonographers and 4 chaplains took part in six focus groups and two semi
structured interviews. RESULTS: Two different approaches in stillbirth management
could be detected in our study. One approach emphasised the existing evidence
base and patient directed choice whilst the other emphasised tradition and
profession-directed care. These differences were particularly apparent in choices
over mode of delivery, and the location of women as well as the time interval
between diagnosis of an IUD and delivery. The existence of these two approaches
was underscored by a lack of high quality evidence. CONCLUSION: Robust, high
quality evidence is needed regarding the longer term psychological and emotional
sequelae of different modes of delivery and varying time intervals and locations
of women between diagnosis and delivery in stillbirth. If the competing
discourses demonstrated here are found elsewhere then such need to be considered
in any future policy development, evidence implementation and training
programmes.
PMID- 29353133
TI - Maternal serum uric acid levels and blood pressure during pregnancy: A community
based cohort study.
AB - OBJECTIVE: Studies find both very low and high serum uric acid (UA) levels are
related to oxidative stress and to conditions such as cardiovascular diseases and
chronic kidney disease in the general population. Pregnancy studies have focused
only on high maternal UA. In present study, we tested whether unusually high and
low levels of maternal serum UA are associated with increases in blood pressure
(BP) during pregnancy. STUDY DESIGN: The Pregnancy Outcomes and Community Health
Study enrolled 3019 pregnant women between their 16th-27th week of pregnancy from
52 clinics in 5 Michigan communities (1998-2004). UA levels were measured in
maternal blood collected at enrollment from a sub-cohort of 1223 participants. BP
was abstracted from prenatal medical records; these analyses used highest
recorded diastolic BP (DBP) and its companion systolic BP (SBP). Mean arterial
pressure (MAP) was calculated using the formula of (2 * DBP + SBP)/3. Covariates,
including maternal race/ethnicity, age at enrollment, education level, medical
insurance status, body mass index before pregnancy, parity, smoking during
pregnancy, alcohol use during pregnancy, and gestational week at blood
collection, were considered as potential confounding variables. Associations
between UA levels and BP were evaluated with linear spline or multiple linear
regression models. Models' robustness was examined with bootstrap estimation of
variance, sensitivity analysis, and 10-fold cross-validation. RESULTS: Both DBP
and MAP had a J-shaped relationship with maternal UA; the breakpoints (nadirs)
were 0.153 and 0.161 mmol/L UA, respectively. For DBP versus UA, adjusted
regression coefficient (beta) = -95.67 (standard error (SE) = 37.67 and p = 0.01)
for the left and adjusted beta = 48.95 (SE = 9.56 and p < 0.01) for the right;
for MAP versus UA, adjusted beta = -58.48 (SE = 31.42 and p = 0.06) for the left
and adjusted beta = 52.23 (SE = 11.39 and p < 0.01) for the right. Maternal SBP
followed a positive linear trend with UA levels (adjusted beta = 37.75, SE =
12.93, and p < 0.01). All results were robust. CONCLUSION: Extreme high and low
maternal serum UA levels may be informative in studying maternal blood pressure
during pregnancy.
PMID- 29353134
TI - Knowledge, attitudes and practices of pregnant women in Martinique in the
immediate aftermath of the Zika virus outbreak.
AB - INTRODUCTION: The WHO and the HCSP recognize the Zika virus as a public health
problem of international concern primarily because of the foetal risks. During
the epidemic in Martinique, several modifications to the normal obstetrical
follow-up were set up and information had to be delivered to pregnant women about
these modifications, the attitudes to prevent infection and the signs motivating
additional visits. The objective of our work was to evaluate the knowledge,
attitudes and practices of pregnant women in Martinique about the risks
associated with Zika infection during pregnancy in the immediate aftermath of the
epidemic. MATERIALS AND METHODS: A descriptive cross-sectional survey was
conducted from February to May 2017. It took place through an anonymous and
standardized face-to-face questionnaire. The questions dealt with the pregnant
women general knowledge about the virus, information disseminated by media on
this subject, the potential risks, the protective measures taken and the
monitoring throughout the pregnancy in case of infection. RESULTS: The total
sample consisted of 297 pregnant women. Despite a weak adherence to individual
and domestic protection recommendations, we found a good level of knowledge about
Zika virus from pregnant women in Martinique. The fetal risk in case of maternal
infection was known for 96.6% of those surveyed, individual protective measures
were followed by 64.6% of women, 77.0% knew where to go in case of suspected
infection, and 79.4% reported that the modifications in follow-up mainly
concerned ultrasound monitoring. CONCLUSION: The awareness campaign on zika virus
had a significant impact on population's knowledge and main practical information
was correctly captured. The information did not spill excessive fear.
Nevertheless, modification of individual behavior appeared harder to obtain.
PMID- 29353135
TI - Copper induces expression and methylation changes of early development genes in
Crassostrea gigas embryos.
AB - Copper contamination is widespread along coastal areas and exerts adverse effects
on marine organisms such as mollusks. In the Pacific oyster, copper induces
severe developmental abnormalities during early life stages; however, the
underlying molecular mechanisms are largely unknown. This study aims to better
understand whether the embryotoxic effects of copper in Crassostrea gigas could
be mediated by alterations in gene expression, and the putative role of DNA
methylation, which is known to contribute to gene regulation in early embryo
development. For that purpose, oyster embryos were exposed to 4 nominal copper
concentrations (0.1, 1, 10 and 20 MUg L-1 Cu2+) during early development assays.
Embryotoxicity was monitored through the oyster embryo-larval bioassay at the D
larva stage 24 h post fertilization (hpf) and genotoxicity at gastrulation 7 hpf.
In parallel, the relative expression of 15 genes encoding putative homeotic,
biomineralization and DNA methylation proteins was measured at three
developmental stages (3 hpf morula stage, 7 hpf gastrula stage, 24 hpf D-larvae
stage) using RT-qPCR. Global DNA content in methylcytosine and
hydroxymethylcytosine were measured by HPLC and gene-specific DNA methylation
levels were monitored using MeDIP-qPCR. A significant increase in larval
abnormalities was observed from copper concentrations of 10 MUg L-1, while
significant genotoxic effects were detected at 1 MUg L-1 and above. All the
selected genes presented a stage-dependent expression pattern, which was impaired
for some homeobox and DNA methylation genes (Notochord, HOXA1, HOX2, Lox5, DNMT3b
and CXXC-1) after copper exposure. While global DNA methylation (5
methylcytosine) at gastrula stage didn't show significant changes between
experimental conditions, 5-hydroxymethylcytosine, its degradation product,
decreased upon copper treatment. The DNA methylation of exons and the transcript
levels were correlated in control samples for HOXA1 but such a correlation was
diminished following copper exposure. The methylation level of some specific gene
regions (HoxA1, Hox2, Engrailed2 and Notochord) displayed changes upon copper
exposure. Such changes were gene and exon-specific and no obvious global trends
could be identified. Our study suggests that the embryotoxic effects of copper in
oysters could involve homeotic gene expression impairment possibly by changing
DNA methylation levels.
PMID- 29353136
TI - Mutual inhibition of lateral inhibition: a network motif for an elementary
computation in the brain.
AB - A series of classical studies in non-human primates has revealed the neuronal
activity patterns underlying decision-making. However, the circuit mechanisms for
such patterns remain largely unknown. Recent detailed circuit analyses in simpler
neural systems have started to reveal the connectivity patterns underlying
analogous processes. Here we review a few of these systems that share a
particular connectivity pattern, namely mutual inhibition of lateral inhibition.
Close examination of these systems suggests that this recurring connectivity
pattern ('network motif') is a building block to enforce particular dynamics,
which can be used not only for simple behavioral choice but also for more complex
choices and other brain functions. Thus, a network motif provides an elementary
computation that is not specific to a particular brain function and serves as an
elementary building block in the brain.
PMID- 29353137
TI - Assessment of the cytotoxicity of ionic liquids on Spodoptera frugiperda 9 (Sf-9)
cell lines via in vitro assays.
AB - Cytotoxicity studies are important tools for the assessment of the toxicity of
ionic liquids (ILs). In the present study, the cytotoxicity of eleven ILs against
Spodoptera frugiperda 9 (Sf-9) cell lines were evaluated via 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assays. The effect on
cellular morphology, ultrastructural morphology, and nuclear morphology induced
by 1-ethyl-3-methylimidazolium bromide ([C2mim][Br]) was studied via inverted
light microscopy observation, acridine orange staining, and transmission electron
microscope (TEM) analysis, respectively. The effect on cell DNA fragmentation,
cell apoptosis and cell cycle induced by [C2mim][Br] was also investigated via
DNA agarose gel electrophoresis and flow cytometry analysis, respectively. The
results showed that the cytotoxic effect of ILs on Sf-9 cells was related to the
IL structures, concentrations, and length of exposure. The morphological features
of apoptosis induced by [C2mim][Br] such as cell shrinkage and convolution,
apoptotic bodies, pyknosis, and karyorrhesis were observed. All these phenomena
confirmed that Sf-9 cells exposed to [C2mim][Br] died via apoptosis. This study
complements the current knowledge about the cytotoxic properties of ILs on insect
cells and highlights the mechanism by which ILs kill these cells. Furthermore, it
provides a basis for further studies on the future applications of ILs as
insecticides.
PMID- 29353138
TI - Competitive swimmers with hypermobility have strength and fatigue deficits in
shoulder medial rotation.
AB - Generalised Joint Hypermobility including shoulder hypermobility (GJHS) in
swimmers is considered an intrinsic risk factor for shoulder injuries. The aim
was to investigate the association of GJHS with shoulder strength, fatigue
development and muscle activity during swimming-related shoulder rotations.
Totally, 38 competitive swimmers (aged 13-17 years) participated, 19 were
competitive swimmers with GJHS and 19 were age, sex and club matched swimmers
without GJHS. Concentric isokinetic force in medial and lateral rotations were
measured at 60 degrees /s (5 repetitions) and 180 degrees /s (10 repetitions).
Electromyographic activity was measured from upper trapezius, lower trapezius,
serratus anterior, infraspinatus and pectoralis major muscles. Swimmers with GJHS
produced significantly lower peak torque (0.53 vs. 0.60 Nm/kg; p = .047) and
maximum work (0.62 vs. 0.71 J/kg; p = .031) than controls during medial rotation
(60 degrees /s). Swimmers with GJHS showed significantly larger isokinetic
fatigue at 180 degrees /s (0.321 J/repetition; p = .010), and tendencies to lower
levels of muscle activity in infraspinatus (20%, p = .066) and pectoralis major
(34%, p = .092) at 60 degrees /s during medial rotation. Young competitive
swimmers with GJHS, despite no formal diagnosis, displayed strength and fatigue
deficits in medial rotation, potentially inherent with greater risk of shoulder
injury. Whether GJHS swimmers benefit from medial rotation strengthening is an
important topic for future studies.
PMID- 29353139
TI - Effects of lighting illuminance levels on stair negotiation performance in
individuals with visual impairment.
AB - BACKGROUND: Stair-related falls of older people cause a substantial financial and
social burden. Deterioration of the visual system amongst other factors put older
people at a high risk of falling. Improved lighting is often recommended. The aim
of this study was to investigate the effect of lighting illuminance on stair
negotiation performance in older individuals with visual impairment. METHODS:
Eleven participants aged 60 or over with a vision of 6/18 or worse ascended and
descended a staircase under: 50 lx, 100 lx, 200 lx, 300 lx and distributed 200 lx
lighting. A motion capture system was used to measure movements of the lower
limb. Clearance, clearance variability, temporal and spatial parameters and
joint/segment kinematics were computed. FINDINGS: There was no effect on
clearance or clearance variability. Participants had lower speed, cadence,
increased cycle time and stance time in the 50 lx compared to 300 lx and
distributed 200 lx lighting in descent. The minimum hip angle in ascent was
increased in the 200 lx lighting. Clearance was found to be moderately correlated
with balance scores. INTERPRETATION: Individuals with visual impairment adopt
precautionary gait in dim lighting conditions. This does not always result in
improvements in the parameters associated with risk of falling (e.g. clearance).
PMID- 29353140
TI - Development of sea bream (Sparus aurata) semen vitrification protocols.
AB - The long-term goal of this research project is to set up efficient protocol that
can be used to develop a standardized approach for vitrification of marine fish
spermatozoa. In particular, the aim of the present study was to develop a
vitrification protocol for sea bream (Sparus aurata) spermatozoa. To draw up the
protocol, we tested two different dilution media (1% NaCl and Mounib medium),
three different vitrification devices (loops, drops and cut straws), different
cryoprotectants (CPs) and three different equilibration times (30, 60 and 120 s).
The effect of the different vitrification procedures on spermatozoa quality was
checked by measuring spermatozoa motility rate and viability, mitochondrial
membrane potential and the fertilizing ability of both fresh and post-thawed
gametes. The best result was obtained by dropping directly into liquid nitrogen
20 MUl of spermatozoa suspension (drop-wise method) diluted with Mounib buffer
containing 10% Me2SO + 10% glycerol. The addition of a mixture of anti-freezing
proteins, AFPI and AFPIII, to Mounib buffer significantly increases the
spermatozoa quality following vitrification so confirming the usefulness of AFPs
in improving the quality of gametes subjected to the vitrification process. The
present study proves that vitrification offers an alternative to conventional
sperm cryopreservation also in this species.
PMID- 29353141
TI - Vitrification of testicular tissue from prepubertal cats in cryotubes using
different cryoprotectant associations.
AB - Protocols for the cryopreservation of testicular tissue are not yet established.
In cats, few studies have been conducted on testicular vitrification using
different cryoprotectant associations (CPAs). Thus, the objective of this study
was to compare the effect of different CPAs on the vitrification of testicular
tissue from prepubertal cats in cryotubes. We used 10 pairs of testicles, with
each pair divided into 8 fragments that were distributed into different
experimental groups. Two of these fragments were allocated into the control group
(CG) and the other six were distributed according to the CPAs to be tested
(dimethyl sulfoxide (DMSO)/glycerol (GLY), ethylene glycol (EG)/GLY, or DMSO/EG).
The cryoprotectants were used at a final concentration of 5.6 M. The fragments
were subjected to vitrification in cryotubes and after 1 week, they were warmed
and processed for histomorphologic assessment, quantification of nucleolar
organizer regions (NORs), and determination of cell viability. The DMSO/EG and
EG/GLY groups presented the greatest cell separation from the cell basement
membrane and the highest degrees of retraction of the basal membrane. In these
aspects, DMSO/GLY did not differ from the CG and both were significantly superior
to the other groups. In terms of cell distinction, visibility of the nucleus, and
nuclear condensation, all the vitrified groups had significantly lower values
than the CG, while the DMSO/GLY and EG/GLY groups did not differ between
themselves. Through the quantification of NORs, the potential for cell
proliferation of the CG was found to have a mean of 3.80, while DMSO/GLY
presented a mean of 3.60, and thus there was no significant difference between
these two groups. The proliferation potentials of both groups were significantly
superior to that of the DMSO/EG (mean: 2.07) and EG/GLY (mean: 1.98) groups. In
the CG and DMSO/GLY group, 91.8% and 64.2% of cells, respectively, were found to
be viable. The cell viabilities of both groups were significantly superior to
those of DMSO/EG (52.5%) and EG/GLY (57.10%). Vitrification in cryotubes combined
with the use of the DMSO/GLY association was effective in maintaining the
histomorphology, cell proliferation potential, and cell viability of testicular
tissue from prepubertal cats after cryopreservation.
PMID- 29353142
TI - miRNA-185 regulates the VEGFA signaling pathway in dairy cows with retained fetal
membranes.
AB - Retention of fetal membranes (RFM) of cows is an important reproductive
disturbance, and is related to miRNAs. Vascular endothelial growth factor
(VEGF)A, regulated by miRNA-185, can activate arachidonic acid (ARA) release via
the VEGFA signaling pathway, which influences RFM. The aim of this study was to
explore the pathogenic mechanism of RFM by investigating the regulatory
relationship between miRNA-185 and the VEGFA signaling pathway. Serum samples of
healthy Holstein dairy cows (n = 20) and RFM cows (n = 12), with a similar age,
parity, weight, and milk yield, were collected to detect VEGFA and ARA
concentrations at 6, 12, and 24 h after calving. Caruncle tissues were collected
from healthy (n = 6) and RFM cows (n = 6) at 12 h after calving. Quantitative
polymerase chain reaction (qPCR) and western blotting (WB) were performed to
detect the mRNA and proteins levels, respectively, of genes involved in the VEGFA
signaling pathway. Uterine caruncle epithelial (UCE) cells were cultured by the
explant culture method, further purified, and subsequently treated with miRNA-185
mimics, miRNA-185 mimics + MEK inhibitor, or left untreated as a control for
detection of the mRNA and protein levels of genes involved in the VEGFA signaling
pathway. The cellular supernatant was collected for measurement of ARA levels at
12, 24 and 48 h after treatment. Serum levels of VEGFA and ARA from RFM cows were
abnormally increased at 12 h after calving, as compared to those in healthy dairy
cows. Expression levels of most of the investigated genes (VEGFA, PLC, PRK, RAF,
MEK, MAPK, and PLA) were down-regulated in the caruncle tissue of RFM cows.
However, P-p44/42 MAPK was up-regulated in the caruncle tissues of cows with RFM
(p < .01). In UCE cells treated with the miRNA-185 mimics, expression of VEGFA,
PLC, RAF, MEK, MAPK and PLA was significantly down-regulated, while that of P
p44/42 MAPK was significantly up-regulated. Expression of genes involved in the
VEGFA signaling pathway was similar to that in the in vivo assay. In UCE cells
treated with the miRNA-185 mimics + MEK inhibitors, expression of VEGFA, PLC,
RAF, MEK, MAPK and P-p44/42 MAPK was significantly down-regulated, while that of
PLA was significantly up-regulated. Meanwhile, the release of ARA was increased
(p < .01). These results demonstrate that miRNA-185 can regulate the VEGFA
signaling pathway, especially via abnormal expression of P-p44/42 MAPK, which
influences the release of the fetal placenta after calving.
PMID- 29353143
TI - Contractile effect of rifaximin on bovine uterus in the presence of steroid
hormone antagonists.
AB - This in vitro study investigated the modulatory effect of rifaximin on bovine
uterus contractility, in both phases of the oestrous cycle, with and without the
steroid hormones that are predominant in the respective phases: oestrogen in the
follicular phase and progesterone in the luteal phase. The procedures were
conducted in an isolated organ bath by using rifaximin alone (10-4 M) and in
association with the steroid hormone antagonists (10-5 M) tamoxifen (oestrogen
antagonist) in the follicular phase and mifepristone (progesterone antagonist) in
the luteal phase. The results indicated that rifaximin can stimulate uterine
contractility. Indeed, the administration of rifaximin in the presence of
tamoxifen or mifepristone increased the tonic activity of the uterus in both
phases of the cycle. This result is clinically significant because rifaximin
might also enable, in vivo, a simultaneous increase in uterine cleaning and the
antimicrobial action against bacteria during the first 14 days postpartum, during
the development of acute metritis.
PMID- 29353144
TI - Effects of the HDAC inhibitor scriptaid on the in vitro development of bovine
embryos and on imprinting gene expression levels.
AB - This study examines the effects of the histone deacetylation inhibitor scriptaid
(SCR) on preimplantation embryo development in vitro and on imprinting gene
expression. We hypothesized that SCR would increase histone acetylation levels,
enhance embryonic genome activation, and regulate imprinting and X-chromosome
inactivation (XCI) in in vitro produced bovine embryos. Zygotes were cultured in
vitro in presence or absence of SCR added at different time points. We assessed
cleavage and blastocyst rates as well as the quality of blastocysts through: (i)
differential cell counts; (ii) survival after vitrification/thawing and (iii)
gene expression analysis -including imprinted genes. Blastocyst yields were not
different in the control and experimental groups. While no significant
differences were observed between groups in total cell or trophectoderm cell
numbers, SCR treatment reduced the number of inner cell mass cells and improved
the survival of vitrified embryos. Further, genes involved in the mechanism of
paternal imprinting (GRB10, GNAS, XIST) were downregulated in presence of SCR
compared with controls. These observations suggest SCR prevents deacetylation of
paternally imprinting control regions and/or their up-regulation, as these events
took place in controls. Whether or not such reductions in XIST and imprinting
gene expression are beneficial for post implantation development remains to be
clarified.
PMID- 29353145
TI - Extremely low-frequency electromagnetic field (EMF) generates alterations in the
synthesis and secretion of oestradiol-17beta (E2) in uterine tissues: An in vitro
study.
AB - An electromagnetic field (EMF) of extremely low frequency may affect
physiological processes in mammals. The aim of the present study was to determine
the effect of an EMF on the synthesis and secretion of oestradiol-17beta (E2) in
the porcine uterus. Endometrial and myometrial slices were harvested on days 12
13 of the oestrous cycle and exposed in vitro to an EMF (50 and 120 Hz, 8 mT) for
2 and 4 h in the presence or absence of progesterone (P4). Subsequently, the
incubation media were used to determine the concentration of E2 with RIA. Tissues
fragments were used to study the expression of CYP19A3 mRNA using Real-Time PCR
and the abundance of P450 aromatase using Western Blotting. The 50-Hz EMF
increased E2 release from the endometrium and the myometrium at both time points
of in vitro incubation. A 120-Hz EMF decreased the endometrial secretion of E2
after 2 h of incubation and did not affect E2 secretion after 4 h. In the
myometrium, the 120-Hz EMF increased E2 secretion after 4 h of incubation. In P4
treated uterine fragments, no significant EMF exposition-related changes were
observed. Only myometrial fragments incubated in the presence of P4 at 120-Hz EMF
(4 h) released higher amounts of E2 due to EMF treatment. The 50-Hz EMF exposure
did not change the CYP19A3 mRNA expression in endometrial fragments incubated in
the presence or absence of P4. In myometrial fragments, the highest CYP19A3 mRNA
expression was observed in fragments not exposed to the 50-Hz EMF and P4-treated
tissues compared to that in fragments exposed to 50 Hz EMF and incubated with or
without P4 and control (no EMF and no P4) fragments. The EMF at 120 Hz decreased
basal endometrial CYP19A3 mRNA expression and did not change the expression in
the P4-treated endometrium. In the myometrium, the EMF at 120 Hz increased
CYP19A3 mRNA expression in slices incubated without P4 and had no effect in the
presence of P4. The EMF exposure (50 and 120 Hz) did not affect P450 aromatase
abundance in either the endometrium or the myometrium. In conclusion, the EMF
induces changes in the synthesis and release of E2 in uterine tissues harvested
during days 12-13 of the oestrous cycle. These changes are related to the EMF
frequency used, the time of the exposition and the presence of P4. We suspect
that this observed phenomenon might lead to changes in the intrauterine milieu of
oestrogen, which is crucial for the proper activity of uterine tissues during the
mid-luteal phase of the oestrous cycle.
PMID- 29353146
TI - Case study as a means of evaluating the impact of early years leaders: Steps,
paths and routes.
AB - The paper argues that case study will need to play an increasingly important role
in the evaluation of leadership development programmes as both formal and
substantive theories of leadership place greater emphasis upon the role played by
organizational context on leaders ability to bring about change. Prolonged
engagement within a case study provides researchers with opportunities to capture
the dynamics between leaders and their organisational contexts. However, adopting
a case study approach is no substitute for inadequate theorization of the link
between leadership approaches and leadership effects. The paper argues for the
use of inclusive and expansive theoretical notions of leadership and its
relationship to organisational context. The evaluation used to illustrate these
arguments was based on a longitudinal multi-site case study methodology. The case
studies ran over a three-year period and tracked the effect of 42 leaders on the
quality of provision in some 30 early years settings. Both individual and
collective theoires of leadership were used to trace leaders' steps, paths and
routes to improvement. Three overlapping theoretical lenses were used to study
the dynamics of these leaders interactions with a key aspect of their
organisational contexts - the existing formal and informal leadership structures
and how these affected their attempts to improve the quality of provision of
their settings. The analysis, and related findings, were tiered in order to
provide progressively more detailed descriptions of the relationships between
leaders' approaches and changes in their settings' quality of provision. Each
layer of analysis operated with a causal logic that became gradually less general
and linear and increasingly more 'local' and complex.
PMID- 29353147
TI - Prediction of conserved sites and domains in glycoproteins B, C and D of herpes
viruses.
AB - Glycoprotein B (gB), C (gC) and D (gD) of herpes simplex virus are implicated in
virus adsorption and penetration. The gB, gC and gD are glycoproteins for
different processes of virus binding and attachment to the host cells. Moreover,
their expression is necessary and sufficient to induce cell fusion in the absence
of other glycoproteins. Egress of herpes simplex virus (HSV) and other herpes
viruses from cells involves extensive modification of cellular membranes and
sequential envelopment, de-envelopment and re-envelopment steps. Viral
glycoproteins are important in these processes, and frequently two or more
glycoproteins can largely suffice in any step. Hence, we target the 3 important
glycoproteins (B, C and D) of eight different herpes viruses of different
species. These species include human (HSV1 and 2), bovine (BHV1), equine (EHV1
and 4), chicken (ILT1 and MDV2) and pig (PRV1). By applying different
bioinformatics tools, we highlighted the conserved sites in these glycoproteins
which might be most significant regarding attachment and infection of the
viruses. Moreover the conserved domains in these glycoproteins are also
highlighted. From this study, we will able to analyze the role of different viral
glycoproteins of different species during herpes virus adsorption and
penetration. Moreover, this study will help to construct the antivirals that
target the glycoproteins of different herpes viruses.
PMID- 29353148
TI - Light and electron microscopic observations on the organization of skin and
associated glands of two caecilian amphibians from Western Ghats of India.
AB - We adopted light and electron microscopy to understand the structure of the skin
of two species of caecilians, Ichthyophis tricolor and Uraeotyphlus cf. oxyurus,
from Western Ghats of Kerala, India. The surface of the skin of these caecilians
contains an irregular pattern of microridges. Oval, round and polymorphic
glandular openings are randomly distributed all over the skin surface. Most of
the openings are funnel shaped. The epithelial cells along the rim of the opening
descend into the tunnel of the duct. A few glandular openings protrude slightly
above the epithelium of the duct. The skin is formed of epidermis and dermis.
Small flat disk-like dermal scales, composed of a basal plate of several layers
of unmineralized collagen fibers topped with a discontinuous layer of mineralized
globular squamulae, are lodged in pouches in the transverse ridges of the skin.
Each pouch contains 1-4 scales, which might differ in size. The scales are almost
similar between species, yet the difference can be useful in distinguishing
between the two species. Flask cells and Merkel cells are present in the
epidermis. Two types of glands, mucous and granular, are present in the dermis.
The mucous glands are densely packed with mucous vesicles. Darkly stained mucous
producing cells are located around the periphery of the gland. Secretory mucous
vesicles differ in their organization and distribution. The granular glands are
located perpendicular to the skin surface. The granule producing cells of the
gland are located near the periphery. There are differently stained spherical
secretory granules of various sizes in the cytoplasm. Thus, the use of different
microscopic techniques contributed fascinatingly to the first ever understanding
of organization of the skin of two selected caecilian species from Western Ghats,
revealing certain features to differ between them.
PMID- 29353149
TI - Different ways to balance the spine in sitting: Muscle activity in specific
postures differs between individuals with and without a history of back pain in
sitting.
AB - BACKGROUND: Previous research explored muscle activity in four distinct sitting
postures with fine-wire electromyography, and found that lumbar multifidus muscle
activity increased incrementally between sitting with flat thoracolumbar and
lumbar regions, long thoracolumbar lordosis, or short lordosis confined to the
lumbar region. This study used similar methods to explore whether people with a
history of low back pain provoked by prolonged sitting used different patterns of
trunk muscle activity in specific postures. METHODS: Fine-wire electromyography
electrodes were inserted into the right lumbar multifidus (deep and superficial),
iliocostalis (lateral and medial), longissimus thoracis and transversus abdominis
muscles. Superficial abdominal muscle activity was recorded with surface or fine
wire electrodes. Electromyography amplitude was compared between postures for the
back pain group and observations were contrasted with the changes previously
reported for pain-free controls. For comparison between groups normalised and non
normalised electromyography amplitudes were compared. FINDINGS: Individuals with
a history of back pain demonstrated greater activity of the longissimus thoracis
muscle in the long lordosis compared with the flat posture [mean difference (95%
CI): 46.6 (17.5-75.7)%, normalised to sitting posture peak activity], but pain
free participants did not [mean difference: 7.7 (minus 12-27.6)%]. Pain-free
participants modulated lumbar multifidus activity with changes in lumbar curve,
but people with a history of pain in prolonged sitting did not change multifidus
activity between the long and short lordotic postures. INTERPRETATION: In
clinical ergonomic interventions that modify spinal curves and sagittal balance
in sitting, the muscle activity used in those postures may differ between people
with and without a history of back pain.
PMID- 29353150
TI - Biodegradable spacer reduces the subacromial pressure: A biomechanical cadaver
study.
AB - BACKGROUND: Failure after rotator cuff repair remains a major clinical problem
and could be related to excessive pressures from the acromion. Previous studies
with irreparable tears showed good clinical results of tendon healing with
arthroscopic insertion of a protective biodegradable spacer balloon between the
repaired tendon and the acromion. One hypothesis is that compression pressures on
the repaired tendon will be reduced by the spacer. This cadaver study aimed to
investigate the effects of this subacromial spacer on compression pressures over
a repaired supraspinatus tendon in passive motion. METHODS: Rotator cuff tear and
repair were performed in six fresh-frozen cadaveric shoulders, followed by
insertion of a biodegradable subacromial spacer. Specimens were tested using a
passive shoulder simulator for abduction-adduction, flexion-extension and
internal-external rotations. A sensor positioned below the acromion was used to
measure compression pressure changes through passive range of motion before and
after placement of a subacromial spacer. Peak pressures were measured in
adduction-abduction motion, near 90 degrees abduction. FINDINGS: Both the mean
and peak pressures in abduction-adduction were significantly reduced after
insertion of the subacromial spacer (from mean 121.7 (SD 9.5) MPa to 51.5 (SD
1.2) MPa and from peak 1749.6 (SD 80.7) MPa to 535.1 (SD 27.6) MPa) (P<0.0001).
INTERPRETATION: The reduced peak pressures and wider load distributions over the
sensor during both passive abduction-adduction and flexion-extension motions
suggest that the use of the spacer will lead to reduced wear of the repair in
patients, and potentially prevent rotator cuff re-tear after surgical repair.
PMID- 29353151
TI - The association between recreational physical activity, sedentary time, and
colorectal polyps in a population screened for colorectal cancer.
AB - PURPOSE: Regular recreational moderate to vigorous physical activity (rMVPA) has
been previously associated with a reduced risk of colorectal cancer (CRC),
however, few studies have examined the association of rMVPA with colorectal
polyps, the pre-malignant precursor lesions. The objective of this study was to
examine the associations between physical activity and sitting time and polyps at
the time of screening. METHODS: We conducted a cross-sectional study of 2496
individuals undergoing screening-related colonoscopy in Calgary, Alberta, Canada.
Physical activity and sitting time were characterized using hours of rMVPA,
meeting physical activity recommendations and hours of sitting time using self
reported data obtained from the International Physical Activity Questionnaire.
Logistic regression models were used to estimate the crude and adjusted odds
ratios (OR) for presence of polyps associated with rMVPA and sitting time.
RESULTS: Meeting physical activity guidelines of >=150 min/week was non
significantly associated with a modest decrease in odds of having >=1 polyp at
screening (ORadj = 0.95, 95% CI: 0.80-1.14). In males, threshold effects for
sitting time were observed for up to 20 h/week (ORadj per hour sitting = 1.07,
95% CI: 1.01-1.13). In stratified analysis, larger inverse associations were
observed between physical activity and the presence of polyps in females, obese
individuals, and ever smokers, compared to pooled findings. CONCLUSIONS: In this
large CRC screening population, there was a suggestive association between
increased rMVPA and reduced prevalence of polyps at screening, particularly among
females. Even low amounts of regular sitting time (0-20 h/day) were associated
with the presence of polyps, particularly among males. Further research on rMVPA
and sitting time is necessary to better inform strategies to reduce the frequency
of pre-malignant colorectal lesions.
PMID- 29353152
TI - TGFbeta C-509T, TGFbeta T869C, XRCC1 Arg194Trp, IKBalpha C642T, IL4 C-590T
Genetic polymorphisms combined with socio-economic, lifestyle, diet factors and
gastric cancer risk: A case control study in South Indian population.
AB - BACKGROUND: Gastric cancer is worldwide the third major cause of cancer related
death. Risk factors for gastric cancer includes Helicobacter pylori infection,
gastric ulcer, less hygienic condition, use of tobacco, alcohol consumption, use
of salted, smoked food, genetic alterations etc. In order to identify the risk
factors associated with gastric cancer in South Indian population a case-control
study involving 200 proven gastric cancer cases and 400 controls was conducted.
METHODS: A structured questionnaire was used to interview all the subjects who
participated in our study. Genotyping assay was performed using Taqman allelic
discrimination assay for 5 Single Nucleotide Polymorphisms (SNPs)-TGFbeta C-509T,
TGFbeta T869C, XRCC1 Arg194Trp, IkBalpha C642T and IL4C-590T. RESULTS: Odds
Ratios (ORs) and 95% confidence intervals (CIs) were calculated using conditional
logistic regression. Statistical analysis on socio-economic factors, lifestyle
factors had showed that subjects from low socio economic status, use of tobacco
and consumption of non-vegetarian food had increased risk of developing gastric
cancer. Multi-factorial analysis for the SNPs adjusting for the risk factors
obtained in this study showed that TGFBeta C-509T TT genotypes had four fold
increased risk of gastric cancer (OR = 4.11, CI = 1.02-16.56) and TGFbeta T869C
CC genotype had a decreased risk of gastric cancer (OR = 0.21, CI = 0.05-0.85).
CONCLUSION: Economic status, tobacco use and food habits play a significant role
in gastric cancer development. TT genotype for TGFbeta C-509T had an increased
risk and CC genotype for TGFbeta T869C had a decreased risk of gastric cancer in
south Indian population after adjusting for socio-economic factors and lifestyle
factors.
PMID- 29353153
TI - Productivity losses due to premature mortality from cancer in Brazil, Russia,
India, China, and South Africa (BRICS): A population-based comparison.
AB - BACKGROUND: Over two-thirds of the world's cancer deaths occur in economically
developing countries; however, the societal costs of cancer have rarely been
assessed in these settings. Our aim was to estimate the value of productivity
lost in 2012 due to cancer-related premature mortality in the major developing
economies of Brazil, the Russian Federation, India, China and South Africa
(BRICS). METHODS: We applied an incidence-based method using the human capital
approach. We used annual adult cancer deaths from GLOBOCAN2012 to estimate the
years of productive life lost between cancer death and pensionable age in each
country, valued using national and international data for wages, and workforce
statistics. Sensitivity analyses examined various methodological assumptions.
RESULTS: The total cost of lost productivity due to premature cancer mortality in
the BRICS countries in 2012 was $46.3 billion, representing 0.33% of their
combined gross domestic product. The largest total productivity loss was in China
($28 billion), while South Africa had the highest cost per cancer death
($101,000). Total productivity losses were greatest for lung cancer in Brazil,
the Russian Federation and South Africa; liver cancer in China; and lip and oral
cavity cancers in India. CONCLUSION: Locally-tailored strategies are required to
reduce the economic burden of cancer in developing economies. Focussing on
tobacco control, vaccination programs and cancer screening, combined with access
to adequate treatment, could yield significant gains for both public health and
economic performance of the BRICS countries.
PMID- 29353154
TI - CD41 immune staining of micromegakaryocytes improves the diagnosis of
myelodysplastic syndrome and differentiation from pancytopenia.
AB - A morphometric analysis was performed on aspirated clots of bone marrow to
identify the presence of micromegakaryocytes after immune staining with a
monoclonal antibody raised against CD41. Quantitative and qualitative
abnormalities of micromegakaryocytes were assessed based on both standard
staining and CD41 immune staining. Micromegakaryocytes were largely detected in
bone marrow from patients with myelodysplastic syndrome (MDS), while almost no
micromegakaryocytes were present in aplastic anemia. CD41 immune staining clearly
improved the efficiency of micromegakaryocyte detection under any conditions,
showing strong potential as a tool for the auxiliary diagnosis of MDS and
differentiation of MDS from pancytopenia, particularly aplastic anemia(AA).
PMID- 29353155
TI - Isolation, purification, structural characterization and immunostimulatory
activity of water-soluble polysaccharides from Lepidium meyenii.
AB - A water-soluble polysaccharide LMP-1 was isolated and purified by ion-exchange
chromatography from maca (Lepidium meyenii Walp.). LMP-1 has a molecular weight
of 1.01 * 104 Da, and is composed of glucose and arabinose with a molar ratio of
7.03:1.08. Methylation and the 1D and 2D NMR spectroscopy of LMP-1 revealed that
it is mainly composed of ->4)-alpha-D-Glcp-(1->, ->6)-alpha-D-Glcp-(1->, ->3)
alpha-D-Glcp-(1->, and beta-D-Araf-(1->, with branching at O-6 of ->4,6)-alpha-D
Glcp-(1 -> . LMP-1 showed up-regulation of Toll-like receptor 4 (TLR4) and Toll
like receptor 2 (TLR2). The upstream proteins of Toll-like receptors (TLRs) (CD14
and MD2) and mRNA level of IL-1beta also increased. Increased transcription
factor nuclear factor-kappa B (NF-kappaB) p65 was found in the nuclei and
cytoplasm in LMP-1-treated RAW264.7 macrophages. These results indicated that LMP
1 activated RAW264.7 macrophages and elicited immunostimulatory activities via
the TLRs/NF-kappaB signalling pathway.
PMID- 29353156
TI - Sesquiterpenoids from Artemisia vestita.
AB - Eleven previously undescribed sesquiterpenoids, arvestolides D-J, arvestonates A
C, and arvestonol were isolated from the aerial parts of Artemisia vestita W.
Their structures were elucidated by extensive analysis of HRMS, UV, IR, and NMR
spectroscopic data, and the absolute configurations were determined by single
crystal X-ray diffraction, empirical rules, and comparison of calculated and
experimental ECD data. Arvestolides H and I showed inhibitory effects on nitric
oxide production in BV-2 cells induced by lipopolysaccharide with IC50 values of
43.2 and 39.9 MUM, respectively.
PMID- 29353157
TI - Phytochemical analysis of salal berry (Gaultheria shallon Pursh.), a
traditionally-consumed fruit from western North America with exceptionally high
proanthocyanidin content.
AB - Salal (Gaultheria shallon Pursh.) is a wild perennial shrub of the Ericaceae and
common in coastal forests of western North America, and its berries were an
important traditional food for First Nations in British Columbia. Salal berries
were investigated for phytochemical content and antioxidant capacity over the
course of fruit development. The proanthocyanidin content was extremely high in
young berries (280.7 mg/g dry wt) but dropped during development to 52.8 mg/g dry
wt. By contrast, anthocyanins accumulated only at the late berry stages. Total
antioxidant capacity, as measured by the 2,2'-azinobis-(3-ethylbenzothiazoline-6
sulfonic acid (ABTS) method, reflected both proanthocyanidin and anthocyanin
content, and in mature berries reached 36 mmol Trolox equivalents/100 g dry wt.
More detailed phytochemical analysis determined that delphinidin 3-O-galactoside
is the dominant anthocyanin, and that the berries are also rich in procyanidins,
including procyanidin A2 which has been implicated in anti-adhesion activity for
uropathogenic E. coli. Proanthocyanidins were 60% prodelphinidin, and overall
concentrations were higher than reported for many Vaccinium species including
blueberry, lingonberry, and cranberry. Overall, the phenolic profile of salal
berries indicates that these fruit contain a diversity of health-promoting
phenolics.
PMID- 29353159
TI - Trauma, attentional dysregulation, and the development of posttraumatic stress:
An investigation of risk pathways.
AB - BACKGROUND: Fundamental questions regarding the nature and function of
attentional bias (AB) to threat in the etiology of posttraumatic stress disorder
(PTSD) remain unanswered. We tested the temporal interplay between trauma
exposure, dysregulated attentional processing of threatening information pre- and
post-trauma, and the development of posttraumatic intrusions. METHODS: Response
time to trauma-related threat, trauma-unrelated threat, as well as to trauma
related but typically emotionally-neutral stimuli was assessed using the dot
probe task before and one week after watching a violent movie scene that served
as a trauma analogue. AB was analyzed as a dynamic process by means of a recently
developed approach indexing momentary fluctuations of AB toward and away from
emotional stimuli. Posttraumatic intrusions were measured daily over the week
following analogue trauma exposure. RESULTS: We found that key features of AB
dynamics to trauma-related stimuli at post-, but not pre-, trauma exposure were
associated with posttraumatic intrusions. Notably, these post-trauma exposure
effects were specific to biased attentional processing of trauma-related but not
threatening stimuli unrelated to the traumatic event. In line with a growing body
of findings, pre- and post-trauma exposure traditional aggregated mean AB scores
were not similarly associated with posttraumatic intrusions. CONCLUSIONS: We
conclude that one mechanism through which trauma exposure may contribute to the
development of PTSD is through its dysregulation of attentional processing of
trauma event-related cues. Future work may focus on delineating the developmental
course through which attentional dysregulation post-trauma and posttraumatic
intrusions unfold and interact.
PMID- 29353158
TI - Quantification of light-induced miniSOG superoxide production using the selective
marker, 2-hydroxyethidium.
AB - Genetically-encoded photosensitizers produce reactive oxygen species (ROS) in
response to light. Transgenic expression of fusion proteins can target the
photosensitizers to specific cell regions and permit the spatial and temporal
control of ROS production. These ROS-generating proteins (RGPs) are widely used
for cell ablation, mutagenesis and chromophore-assisted light inactivation of
target proteins. However, the species produced by RGPs are unclear due to
indirect measures with confounding interpretations. Recently, the RGP mini
"Singlet Oxygen Generator" (miniSOG) was engineered from Arabidopsis thaliana
phototropin 2. While miniSOG produces singlet oxygen (1O2), the contribution of
superoxide (O2*-) to miniSOG-generated ROS remains unclear. We measured the light
dependent O2*- production of purified miniSOG using HPLC separation of
dihydroethidium (DHE) oxidation products. We demonstrate that DHE is insensitive
to 1O2 and establish that DHE is a suitable indicator to measure O2*- production
in a system that produces both 1O2 and O2*-. We report that miniSOG produces both
1O2 and O2*-, as can its free chromophore, flavin mononucleotide. miniSOG
produced O2*- at a rate of ~4.0umol O2*-/min/umol photosensitizer for an
excitation fluence rate of 5.9mW/mm2 at 470 +/- 20nm, and the rate remained
consistent across fluences (light doses). Overall, the contribution of O2*- to
miniSOG phenotypes should be considered.
PMID- 29353160
TI - Prediction of venous thromboembolism using semantic and sentiment analyses of
clinical narratives.
AB - Venous thromboembolism (VTE) is the third most common cardiovascular disorder. It
affects people of both genders at ages as young as 20 years. The increased number
of VTE cases with a high fatality rate of 25% at first occurrence makes
preventive measures essential. Clinical narratives are a rich source of knowledge
and should be included in the diagnosis and treatment processes, as they may
contain critical information on risk factors. It is very important to make such
narrative blocks of information usable for searching, health analytics, and
decision-making. This paper proposes a Semantic Extraction and Sentiment
Assessment of Risk Factors (SESARF) framework. Unlike traditional machine
learning approaches, SESARF, which consists of two main algorithms, namely,
ExtractRiskFactor and FindSeverity, prepares a feature vector as the input to a
support vector machine (SVM) classifier to make a diagnosis. SESARF matches and
maps the concepts of VTE risk factors and finds adjectives and adverbs that
reflect their levels of severity. SESARF uses a semantic- and sentiment-based
approach to analyze clinical narratives of electronic health records (EHR) and
then predict a diagnosis of VTE. We use a dataset of 150 clinical narratives, 80%
of which are used to train our prediction classifier support vector machine, with
the remaining 20% used for testing. Semantic extraction and sentiment analysis
results yielded precisions of 81% and 70%, respectively. Using a support vector
machine, prediction of patients with VTE yielded precision and recall values of
54.5% and 85.7%, respectively.
PMID- 29353161
TI - Automated diagnosis of focal liver lesions using bidirectional empirical mode
decomposition features.
AB - Liver is the heaviest internal organ of the human body and performs many vital
functions. Prolonged cirrhosis and fatty liver disease may lead to the formation
of benign or malignant lesions in this organ, and an early and reliable
evaluation of these conditions can improve treatment outcomes. Ultrasound imaging
is a safe, non-invasive, and cost-effective way of diagnosing liver lesions.
However, this technique has limited performance in determining the nature of the
lesions. This study initiates a computer-aided diagnosis (CAD) system to aid
radiologists in an objective and more reliable interpretation of ultrasound
images of liver lesions. In this work, we have employed radon transform and bi
directional empirical mode decomposition (BEMD) to extract features from the
focal liver lesions. After which, the extracted features were subjected to
particle swarm optimization (PSO) technique for the selection of a set of
optimized features for classification. Our automated CAD system can differentiate
normal, malignant, and benign liver lesions using machine learning algorithms. It
was trained using 78 normal, 26 benign and 36 malignant focal lesions of the
liver. The accuracy, sensitivity, and specificity of lesion classification were
92.95%, 90.80%, and 97.44%, respectively. The proposed CAD system is fully
automatic as no segmentation of region-of-interest (ROI) is required.
PMID- 29353162
TI - Monolayers of a tetrazine-containing gemini amphiphile: Interplays with
biomembrane lipids.
AB - The property of a newly synthesized tetrazine derivative comprised of double C18
saturated hydrocarbon chain (C18-rTz-C18) has been studied in situ at the air
water interface. C18-rTz-C18 or a gemini amphiphile contributes to restriction of
its tetrazine moiety on the interface, which is expected to be used for
bioimaging and analytical reagents. Herein, to understand lateral interactions
between Tz and biomembrane constituents, we investigated the interfacial behavior
of Langmuir monolayers composed of C18-rTz-C18 and biomembrane lipids such as
DPPC, DPPG, DPPE, PSM, and Cholesterol (Ch). The lateral interaction of the
binary monolayers was analyzed with the surface pressure (pi)-molecular area (A)
and surface potential (DeltaV)-A isotherms. These thermodynamic data indicate
that all of the two-components are miscible with each other. In particular, as
opposed to the others, the monolayer stability of DPPE, which is a major
constituent of the inner surface of cell membranes, is attenuated by the small
amount addition of C18-rTz-C18. This specific interaction implies the membrane
destruction from the inside. The phase behavior during monolayer compression was
visualized with Brewster angle microscopy (BAM), fluorescence microscopy (FM),
and atomic force microscopy (AFM). The obtained morphologies exhibit a
coexistence state of two different liquid-condensed domains derived from extra
phospholipids and phospholipids-C18-rTz-C18 monolayers.
PMID- 29353163
TI - Time interval between neoadjuvant chemoradiotherapy and surgery for oesophageal
or junctional cancer: A nationwide study.
AB - INTRODUCTION: The optimal time between end of neoadjuvant chemoradiotherapy
(nCRT) and oesophagectomy is unknown. The aim of this study was to assess the
association between this interval and pathologic complete response rate (pCR),
morbidity and 30-day/in-hospital mortality. METHODS: Patients with oesophageal
cancer treated with nCRT and surgery between 2011 and 2016 were selected from a
national database: the Dutch Upper Gastrointestinal Cancer Audit (DUCA). The
interval between end of nCRT and surgery was divided into six periods: 0-5 weeks
(n = 157;A), 6-7 weeks (n = 878;B), 8-9 weeks (n = 972;C), 10-12 weeks (n =
720;D), 13-14 weeks (n = 195;E) and 15 or more weeks (n = 180;F). The association
between these interval groups and outcomes was investigated using univariable and
multivariable analysis with group C (8-9 weeks) as reference. RESULTS: In total,
3102 patients were included. The pCR rate for the groups A to F was 31%, 28%,
26%, 31%, 40% and 37%, respectively. A longer interval was associated with a
higher probability of pCR (>=10 weeks for adenocarcinoma: odds ratio [95%
confidence interval]: 1.35 [1.00-1.83], 1.95 [1.24-3.07], 1.64 [0.99-2.71] and
>=13 weeks for squamous cell carcinoma: 2.86 [1.23-6.65], 2.67 [1.29-5.55].
Patients operated >=10 weeks after nCRT had the same probability for
intraoperative/postoperative complications. Patients from groups D and F had a
higher 30-day/in-hospital mortality (1.80 [1.08-3.00], 3.19 [1.66-6.14]).
CONCLUSION: An interval of >=10 weeks for adenocarcinoma and >=13 weeks for
squamous cell carcinoma between nCRT and oesophagectomy was associated with a
higher probability of having a pCR. Longer intervals were not associated with
intraoperative/postoperative complications. The 30-day/in-hospital mortality was
higher in patients with extended intervals (10-12 and >=15 weeks); however, this
might have been due to residual confounding.
PMID- 29353164
TI - A phase II study of nab-paclitaxel in combination with ramucirumab in patients
with previously treated advanced gastric cancer.
AB - BACKGROUND: Nanoparticle albumin-bound (nab)-paclitaxel was developed to improve
paclitaxel solubility and does not need premedication to avoid infusion-related
reactions associated with solvent-based (sb)-paclitaxel. We conducted a phase II
trial to investigate the efficacy and safety of nab-paclitaxel plus ramucirumab
combination therapy for previously treated advanced gastric cancer. PATIENTS AND
METHODS: Patients with unresectable advanced gastric cancer refractory to first
line chemotherapy were administered nab-paclitaxel 100 mg/m2 intravenously on
days 1, 8 and 15, plus ramucirumab 8 mg/kg intravenously on days 1 and 15 of a 28
day cycle. The primary end-point was Independent Review Committee (IRC)-assessed
overall response rate (ORR). Secondary end-points were progression-free survival
(PFS), overall survival (OS), disease control rate (DCR), safety and quality of
life (QOL). RESULTS: Forty-five patients were enrolled; 43 received the study
treatment. The ORR assessed by the IRC was 54.8% (90% confidence interval [CI]
41.0-68.0) and the primary end-point was met. The DCR was 92.9% (95% CI 80.5
98.5). The IRC-assessed median PFS was 7.6 months (95% CI 5.4-8.1). The median OS
was not reached at the data cutoff. The main treatment-related grade 3 or 4
adverse events were decreased neutrophil count (76.7%), decreased white blood
cell count (27.9%), anaemia (11.6%), decreased appetite (7.0%), febrile
neutropenia (4.7%), hypertension (4.7%) and proteinuria (4.7%). No treatment
related deaths occurred. No QOL deterioration was observed during study
treatment. CONCLUSION: Nab-paclitaxel plus ramucirumab combination therapy shows
promising activity and manageable toxicities and could be a useful second-line
treatment option for patients with previously treated advanced gastric cancer.
PMID- 29353165
TI - Prognostic value of primary tumour resection in synchronous metastatic colorectal
cancer: Individual patient data analysis of first-line randomised trials from the
ARCAD database.
AB - Indication for primary tumour resection (PTR) in asymptomatic metastatic
colorectal cancer (mCRC) patients is unclear. Previous retrospective analyses
suggest a survival benefit for patients who underwent PTR. The aim was to
evaluate the prognostic value of PTR in patients with synchronous mCRC by
analysis of recent large RCTs including systemic therapy with modern targeted
agents. Individual patient data (IPD) of 3423 patients enrolled into 8 randomised
controlled trials (RCTs) with first-line systemic therapy in the ARCAD (Aide et
Recherche en Cancerologie Digestive) database were analysed. The number of
patients with unresected synchronous mCRC, resected synchronous mCRC and
metachronous mCRC was 710 (21%), 1705 (50%) and 1008 (29%), respectively.
Adjusting for age, gender, performance status (PS) and prior chemotherapy, the
unresected group had a significantly worse median overall survival (16.4 m)
compared with the synchronous resected (22.2 m; hazard ratio [HR] 1.60, 95% CI
1.43-1.78) and metachronous (22.4 m; HR 1.81, 95% CI 1.58-2.07) groups.
Similarly, median progression-free survival was significantly worse for the
unresected group compared with the synchronous resected (HR 1.31, 95% CI 1.19
1.44) and metachronous (HR 1.47, 95% CI 1.30-1.66) groups. In a multivariate
analysis, the observed associations remained significant. This largest IPD
analysis of mCRC trials to date demonstrates an improved survival in synchronous
mCRC patients after PTR. These results may be subject to bias since reasons for
(non)resection were not available. Until results of ongoing RCTs are available,
both upfront PTR followed by systemic treatment and upfront systemic treatment
are considered appropriate treatment strategies.
PMID- 29353166
TI - Toxicological responses on cytochrome P450 and metabolic transferases in liver of
goldfish (Carassius auratus) exposed to lead and paraquat.
AB - As the producer of reactive oxygen species (ROS), both lead (Pb) and paraquat
(PQ) can generate serious oxidative stress in target organs which result in
irreversible toxic effects on organisms. They can disturb the normal catalytic
activities of many enzymes by means of different toxicity mechanism. The changed
responses of enzymes are frequently used as the biomarkers for indicating the
relationship between toxicological effects and exposure levels. In this work,
goldfish was exposed to a series of test groups containing lead and paraquat in
the range of 0.05-10mg/L, respectively. Four hepatic enzyme activities, including
7-ethoxyresorufinO-deethylase (EROD), 7-benzyloxy-4-trifluoromethyl-coumarin-O
debenzyloxylase (BFCOD), glutathione S-transferase (GST) and UDP
glucuronosyltransferase (UGT) were determined after 1, 7, 14, 28 days exposure.
The results showed that the activities of EROD and BFCOD in fish were
significantly inhibited in response to paraquat at all exposure levels during the
whole experiment. Similarly, the inhibitory effects of lead exposure on BFCOD
activity were found in our study, while different responses of lead on EROD were
observed. There were no significant differences on EROD activity under lower
concentrations of lead (less than 0.1mg/L) before 14 days until an obvious
increase was occurred for the 0.5mg/L lead treatment group at day 14.
Furthermore, lead showed stronger inhibition on GST activity than paraquat when
the concentrations of the two toxicants were more than 0.5mg/L. However, the
similar dose and time-dependent manners of UGT activity were found under lead and
paraquat exposure. Our results indicated that higher exposure levels and longer
accumulations caused inhibitory effects on the four enzymes regardless of lead or
paraquat stress. In addition, the responses of phase I enzymes were more
sensitive than that of phase II enzymes and they may be served as the acceptable
biomarkers for evaluating the toxicity effects of both lead and paraquat.
PMID- 29353167
TI - Eco- and genotoxicity profiling of a rapeseed biodiesel using a battery of
bioassays.
AB - Biodiesel is considered an important renewable energy source but still there is
some controversy about its environmental toxicity, especially to aquatic life. In
our study, the toxicity of water soluble fraction of biodiesel was evaluated in
relatively low concentrations using a battery of bioassays: Vibrio fischeri
bioluminescence inhibition, Sinapis alba root growth inhibition, Daphnia magna
immobilization, boar semen live/dead ratio and DNA fragmentation and Unio
pictorum micronucleus test. While the S. alba test indicated nutritive
(stimulating) effect of the sample, the biodiesel exerted toxic effect in the
aquatic tests. D. magna was the most sensitive with EC50 value of 0.0226%. For
genotoxicity assessment, the mussel micronucleus test (MNT) was applied,
detecting considerable genotoxic potential of the biodiesel sample: it elucidated
micronuclei formation already at low concentration of 3.3%. Although this test
has never been employed in biodiesel eco/genotoxicity assessments, it seems a
promising tool, based on its appropriate sensitivity, and representativity.
PMID- 29353168
TI - Zinc and lead detoxifying abilities of humic substances relevant to environmental
bacterial species.
AB - The effect of humic substances (HS) and their different fractions (humic acids
(HA) and hymatomelanic acids (HMA)) on the toxicity of zinc and lead to different
strains of bacteria was studied. All tested bacteria demonstrated a lower
resistance to zinc than lead showing minimum inhibitory concentrations of 0.1 -
0.3mM and 0.3-0.5mM, respectively. The highest resistance to lead was
characteristic of Pseudomonas chlororaphis PCL1391 and Rhodococcus RS67, while
Pseudomonas chlororaphis PCL1391 showed the greatest resistance to zinc. The
combined fractions of HS and HA alone reduced zinc toxicity at all added
concentrations of the organic substances (50 - 200mgL-1) to all microorganisms,
while hymatomelanic acids reduced zinc toxicity to Pseudomonas chlororaphis
PCL1391 at 200mgL-1 organic concentration only. The HS fractions imparted similar
effects on lead toxicity also. This study demonstrated that heavy metal toxicity
to bacteria could be reduced through complexation with HS and their fractions.
This was particularly true when the metal-organic complexes held a high
stability, and low solubility and bioavailability.
PMID- 29353169
TI - Integrated biomarkers response confirm the antioxidant role of diphenyl
diselenide against atrazine.
AB - Atrazine (ATZ) is a herbicide worldwide used. That can cause oxidative damage in
non-target organisms, such as fish. Furthermore, the threat of exposure to
pesticides together with poor nutrition is hazardous to the normal development of
fish, and supplementation of the fish diet with antioxidants compounds is an
alternative approach to prevent the hazardous effects of pesticide exposure. Here
we aimed to investigate the capacity of diphenyl diselenide (PhSe)2 diet
supplementation to improve the antioxidant defense of Cyprinus carpio (carp)
exposed to environmental concentrations of ATZ. To prove the efficiency of
(PhSe)2, we used the Integrated Biomarkers Response (IBR) methodology. Therefore,
carp were fed for 8 weeks diets either with or without (PhSe)2 and exposed to 2
or 10ug/L of ATZ for 96h, euthanized, and their liver, gills, and muscle tissues
were removed for biochemical assays. ATZ was able to cause oxidative damage from
reactive species production in all tissues of carp, as observed by the increase
of lipid peroxidation and protein damage. The activity of some antioxidant
enzymes was inhibited in carp exposed to ATZ. However, (PhSe)2 supplementation
was able to prevent this ATZ-induced damage by improving the activities of
antioxidant enzymes and through antioxidant competence of (PhSe)2per se.
Furthermore, IBR was shown to be a useful tool to compare treatments, even at
different concentrations, and identify the efficiently antioxidant behavior of
the organoselenium compound.
PMID- 29353170
TI - Transgenerational effects of ocean warming on the sea urchin Strongylocentrotus
intermedius.
AB - Transgenerational effects, which involve both selection and plasticity, are
important for the evolutionary adaptation of echinoderms in the changing ocean.
Here, we investigated the effects of breeding design and water temperature for
offspring on fertilization, hatchability, larval survival, size, abnormality and
metamorphosis of the sea urchin Strongylocentrotus intermedius, whose dams and
sires were exposed to long-term (~15 months) elevated temperature (~3 degrees C
above ambient) or ambient temperature. There was no transgenerational effect on
fertilization and metamorphosis of S. intermedius, while negative
transgenerational effects were found in hatchability and most traits of larval
size. Dam and sire effects were highly trait and developmental stage dependent.
Interestingly, we found S. intermedius probably cannot achieve transgenerational
acclimation to long-term elevated temperature for survival provided their
offspring were exposed to an elevated temperature. The present study enriches our
understanding of transgenerational effects of ocean warming on sea urchins.
PMID- 29353171
TI - Microcystin-LR nanobody screening from an alpaca phage display nanobody library
and its expression and application.
AB - Microcystin-LR (MC-LR) is a type of biotoxin that pollutes the ecological
environment and food. The study aimed to obtain new nanobodies from phage
nanobody library for determination of MC-LR. The toxin was conjugated to keyhole
limpet haemocyanin (KLH) and bovine serum albumin (BSA), respectively, then the
conjugates were used as coated antigens for enrichment (coated MC-LR-KLH) and
screening (coated MC-LR-BSA) of MC-LR phage nanobodies from an alpaca phage
display nanobody library. The antigen-specific phage particles were enriched
effectively with four rounds of biopanning. At the last round of enrichment,
total 20 positive monoclonal phage nanobodies were obtained from the library,
which were analyzed after monoclonal phage enzyme linked immunosorbent assay
(ELISA), colony PCR and DNA sequencing. The most three positive nanobody genes,
ANAb12, ANAb9 and ANAb7 were cloned into pET26b vector, then the nanobodies were
expressed in Escherichia coli BL21 respectively. After being purified, the
molecular weight (M.W.) of all nanobodies were approximate 15kDa with sodium
dodecyl sulfate polyacrylamide gel electrophoresis (SDS-PAGE). The purified
nanobodies, ANAb12, ANAb9 and ANAb7 were used to establish the indirect
competitive ELISA (IC-ELISA) for MC-LR, and their half-maximum inhibition
concentrations (IC50) were 0.87, 1.17 and 1.47MUg/L, their detection limits
(IC10) were 0.06, 0.08 and 0.12MUg/L, respectively. All of them showed strong
cross-reactivity (CRs) of 82.7-116.9% for MC-RR, MC-YR and MC-WR, and weak CRs of
less than 4.56% for MC-LW, less than 0.1% for MC-LY and MC-LF. It was found that
all the IC-ELISAs for MC-LR spiked in tap water samples detection were with good
accuracy, stability and repeatability, their recoveries were 84.0-106.5%,
coefficient of variations (CVs) were 3.4-10.6%. These results showed that IC
ELISA based on the nanobodies from the alpaca phage display antibody library were
promising for high sensitive determination of multiple MCs.
PMID- 29353172
TI - Bioaccumulation and sources of metal(loid)s in lilies and their potential health
risks.
AB - Dietary intake of metal(loid)s can seriously affect human health, but the levels,
the bioaccumulation, sources and related health risks of As, Cd, Cr and Pb in
cultivated lilies, particularly for Lilium davidii var. unicolor, remain
unresolved. We collected 35 lily samples aged 1-6 years from farmlands of two
types of soil (heilu soils and loessal soils) in Qilihe district in 2016 and
analysed the concentrations of As, Cd, Cr and Pb in bulbs, the soil-bulb
bioaccumulation and the potential sources of these elements in bulbs. Non
carcinogenic and carcinogenic risks by consuming lilies were also assessed.
Concentrations of four elements decreased in the order of Cr > Pb > Cd > As, and
soil-bulb BCFs in the order of BCFCd > BCFCr > BCFPb > BCFAs. The Cd
concentration of bulbs of lilies which grew in heilu soils was statistically
higher than that of bulbs of lilies which grew in loessal soils, and the Cd
concentration of bulbs of lilies aged 1-3 years was statistically higher than
that of bulbs of lilies aged 4-6 years. Levels and soil-bulb BCFs of Cr and Pb of
two-bulbed lilies were statistically higher than those of one-bulbed lilies.
Farmyard manure may be a primary source of Cd in soil. There existed overall
potential non-carcinogenic effects by exposure to the combination of four
elements. Dietary intake of Cr posed carcinogenic risks to both adults and
children. Non-carcinogenic and carcinogenic risks were higher for adults than
children. Concluding, the edible parts of lily were significantly polluted by Cr
and Pb but not by As and Cd. The number of bulbs significantly impacted
concentrations and soil-bulb BCFs of Cr and Pb, but the reason for which needs
further studies. Non-carcinogenic and carcinogenic risks caused by lily
consumption should not be neglected.
PMID- 29353173
TI - Use of Cu/Zn-superoxide dismutase tool for biomonitoring marine environment
pollution in the Persian Gulf and the Gulf of Oman.
AB - Superoxide dismutase (SOD) is the pivotal antioxidant enzyme that defends
organisms against the oxidative stresses of superoxide radicals. In this
experimental study, purification of SOD from the leaves of Avicennia marina (grey
mangrove or white mangrove) from the family Acanthaceae, located in Sirik
mangrove forest on the shore of the Gulf of Oman was performed, for the intended
characterization of SOD. The Sirik AmSOD (A. marina SOD) expressed optimum
activity in the pH range of 6-9 with the maximum activity at pH 8. The optimal
temperature for Sirik AmSOD activity was 70 degrees C. Comparison of the pH and
temperature optima in two regions (the Persian Gulf and the Gulf of Oman) showed
significant differences with P<0.05. The SOD from the Persian Gulf was more
resistant against the environmental stressors, because of the biochemical
adaption to this environment, which is harsher. The evidence from these results
suggests that AmSOD has different characteristics in each place, and mangroves
undergo different adaptations and require different protections. The results of
the enzymatic research can be useful for ecological management of organisms.
PMID- 29353174
TI - Cholinesterase activity in the cup oyster Saccostrea sp. exposed to chlorpyrifos,
imidacloprid, cadmium and copper.
AB - In the present study, the sensitivity and concentration dependence of three
functionally-defined components of cholinesterase activity (total: T-ChE; eserine
sensitive: Es-ChE; and eserine-resistant: Er-ChE) were quantified in the gill,
digestive gland and adductor muscle of the tropical cup oyster Saccostrea sp.,
following acute (96h) aqueous exposure to commercial formulations of the
organophosphate (OP) insecticide chlorpyrifos and the neonicotinoid (NN)
imidacloprid (concentration range: 0.1-100mg/L), as well as to dissolved cadmium
and copper (concentration range: 1-1000MUg/L). Oysters (1.5-5.0cm shell length),
field-collected from a boating marina in Santa Marta, Colombia (Caribbean Sea)
were exposed in the laboratory to each substance at five concentrations. T-ChE,
Es-ChE, and Er-ChE activity were quantified in the three tissues in pools of 5
individuals (3 replicates per concentration), before and after inhibition with
the total cholinesterase inhibitor eserine (physostigmine, 100uM). Oysters
exposed to chlorpyrifos, imidacloprid and Cd showed reduced T-ChE and Es-ChE
activity in gills at highest exposure concentrations, with Es-ChE activity being
inhibited proportionally more so than T-ChE, whereas Er-ChE activity showed no
significant concentration-response. Digestive gland also showed diminished T-ChE,
Es-ChE and Er-ChE activity for highest chlorpyrifos and Cd concentrations
relative to controls, but an increase of T-ChE and Er-ChE activity at the highest
imidacloprid concentration (100mg/L). For Cu, T-ChE, Es-ChE and Er-ChE activities
in gills and digestive gland were elevated relative to controls in oysters
exposed to Cu concentrations > 100ug/L. In adductor muscle, T-ChE, Es-ChE and Er
ChE activity showed no apparent pattern for any of the four xenobiotics and
concentration levels tested. Although this study confirms acute (96h)
concentration-dependent reduction of tissue T-ChE and Es-ChE activity in gills
and digestive glands of Saccostrea sp. exposed to high concentrations of
chlorpyrifos (100mg/L), significant changes in T-ChE, Es-ChE and Er-ChE were also
caused by exposure to Cd and Cu at concentrations > 100ug/L and by exposure to
imidacloprid (100mg/L), indicating that cholinesterase activity is not a specific
biomarker of organophosphate exposure in this species, but, rather, a biomarker
of diverse xenobiotic exposure.
PMID- 29353175
TI - Phyto-management of chromium contaminated soils through sunflower under
exogenously applied 5-aminolevulinic acid.
AB - Soil contamination with heavy metals is threatening the food security around the
globe. Chromium (Cr) contamination results in poor quality and reduction in yield
of crops. The present research was performed to figure out the Cr toxicity in
sunflower and the ameliorative role of 5-aminolevulinic acid (ALA) as a plant
growth regulator. The sunflower (FH-614) was grown under increasing concentration
of Cr (0, 5, 10 and 20mgkg-1) alone and/or in combination with 5-ALA (0, 10 and
20mgL-1). Results showed that Cr suppressed the overall growth, biomass, gas
exchange attributes and chlorophyll content of sunflower plants. Moreover, lower
levels of Cr (5 and 10mgkg-1) increased the production of reactive oxygen species
(ROS) and electrolyte leakage (EL) along with the activities of antioxidant
enzymes i.e., superoxide dismutase (SOD), guaiacole peroxidase (POD), ascorbate
(APX), catalase (CAT). But at higher concentration of Cr (20mgkg-1), the
activities of these enzymes presented a declining trend. However, the addition of
5-ALA significantly alleviated the Cr-induced toxicity in sunflower plant and
enhanced the plant growth and biomass parameters along with increased chlorophyll
content, gas exchange attributes, soluble proteins and soil plant analysis
development (SPAD) values by scavenging the ROS and lowering down the EL. The 5
ALA also enhanced the activities of antioxidant enzymes at all levels of Cr. The
increase in Cr concentration in all plant parts such as leaf, root and stem was
directly proportional to the Cr concentration in soil. The application of 5-ALA
further enhanced the uptake of Cr and its concentration in the plants. To
understand this variation in response of plants to 5-ALA, detailed studies are
required on plant biochemistry and genetic modifications.
PMID- 29353176
TI - Predicting time to recall in patients conditionally released from a secure
forensic hospital: A survival analysis.
AB - BACKGROUND: The recall of conditionally discharged forensic patients in England
is a formal order from the Ministry of Justice under the Mental Health Act (1983)
which has the power to revoke conditional release and direct readmission to
hospital. Recall has significant implications for the individual and for hospital
services, but despite this, little is known about predictors of recall for
forensic patients. METHODS: We examined the rate of recall for 101 patients
conditionally discharged from medium secure forensic inpatient services between
2007 and 2013. Demographic, clinical, and forensic factors were examined as
possible predictors of time to recall using Cox regression survival techniques.
RESULTS: Conditionally discharged patients were followed for an average of 811
days, during which 45 (44.5%) were recalled to hospital. Younger age (HR 1.89;
95% CI 1.02-3.49; p = 0.04), non-white ethnicity (HR 3.44; 95% CI 1.45-8.13),
substance abuse history (HR 2.52; 95% CI 1.17-5.43), early violence (HR 1.90; 95%
CI 1.03-3.50), early childhood maladjustment (HR 1.92; 95% CI 1.01-3.68),
treatment with a depot medication (HR 2.17; 95% CI 1.14-4.11), being known to
mental health services (HR 3.44; 95% CI 1.06-11.16), and a psychiatric admission
prior to the index admission (HR 2.44; 95% CI 1.08-5.52) were significantly
associated with a shorter time to recall. Treatment with clozapine reduced the
risk of recall to hospital (HR 0.40; 95% CI 0.20-0.79). CONCLUSIONS: Time to
recall can be predicted by a range of factors that are readily available to
clinical teams. Further research is required to determine if targeted
interventions can modify the likelihood or time to recall for conditionally
released forensic patients.
PMID- 29353177
TI - Psychiatric disorders and compliance with prenatal care: A 10-year retrospective
cohort compared to controls.
AB - BACKGROUND: Inadequate prenatal care has been associated with adverse perinatal
outcomes. We sought to compare compliance with prenatal care visits (PCV), oral
glucose tolerance test (OGTT) and serum alfa-fetoprotein (aFP) in women with
psychiatric disorders (PD) and healthy controls. METHODS: Subjects were 5395
women (1043 PD and 4352 controls), members of Clalit Health Services (Tel-Aviv
district, Israel), who gave birth during 2004-2014. We used Generalized
Estimating Equations with binary-logistic models, considering consecutive
pregnancies as repeated measures with unbalanced design. The diagnostic subgroup
was the main independent, assessed once with and once without age, socioeconomic
status and multiple gestation variables. RESULTS: Risk for non-compliance with
OGTT was increased in women with depression (aOR = 1.4, 95% CI = 1.1-1.7) and
schizophrenia (aOR = 1.8, 95% CI = 1.1-2.9), but not anxiety. Risk for non
compliance with aFP was decreased in women with anxiety (aOR = 0.6, 95% CI = 0.5
0.8), but women with depression and schizophrenia did not differ from controls.
PD were at risk for both absence of PCV (aOR = 4.6, 95% CI = 2.7-8.0) and high
utilization of PCV (>20 visits, aOR = 2.8, 95% CI = 2.1-3.7).
Psychopharmacological treatment during pregnancy was associated with high
utilization of PCV (OR = 2.2, 95% CI = 1.7-2.9), increased compliance with aFP
tests (OR = 1.4, 95% CI = 1.1-1.7) and marginally-significant increased
compliance with OGTT (OR = 0.82, 95% CI = 0.67-1.01). CONCLUSION: PD under
utilized tests perceived for the wellbeing of the mother (OGTT) and over-utilize
tests for the wellbeing of the fetus (aFP). PD exhibited patterns of both very
low and very high utilization of PCV. Psychopharmacological treatment during
pregnancy may improve some measures of compliance with prenatal care.
PMID- 29353178
TI - Impulsivity in early psychosis: A complex link with violent behaviour and a
target for intervention.
AB - BACKGROUND: Violent behaviour (VB) occurs in first episode of schizophrenia and
can have devastating impact both on victims and patients themselves. A better
knowledge of the underlying mechanisms of VB may pave the way to preventive
treatments. OBJECTIVES: 1) To explore the nature of the link between impulsivity
and VB in early psychosis (EP) patients; 2) To explore the interactions between
impulsivity and substance abuse, insight, and positive symptoms, the main dynamic
risk factors of VB described to date. DESIGN AND METHODS: Post hoc analysis of
data acquired in the frame of a 36-months EP cohort study. A total of 265 EP
patients, aged 18 to 35, treated at TIPP (Treatment and early Intervention in
Psychosis Program), at the Department of Psychiatry in Lausanne, Switzerland,
were included in the study. Logistic regression analyzes were performed as well
as mediation analysis and interaction analysis RESULTS: Our data suggest that
impulsivity is a predictor of VB when analyzed independently and as part of a
multi-factorial model. Impulsivity continues to differentiate violent patients
from non-violent ones at the end of the program. In addition, the relationship
between impulsivity and VB is not mediated by substance abuse. Finally, the
effect of impulsivity on the probability of VB is potentiated by the interaction
of different levels of insight and positive symptoms. CONCLUSIONS: Early
intervention strategies in psychotic disorders should include evaluation of
impulsivity considering it is linked to increased risk of VB and may respond to
treatment.
PMID- 29353179
TI - Features of borderline personality disorder as a mediator of the relation between
childhood traumatic experiences and psychosis-like experiences in patients with
mood disorder.
AB - BACKGROUND: Psychosis-like experiences (PEs) are common in patients with non
psychotic disorders. Several factors predict reporting of PEs in mood disorders,
including mood-associated cognitive biases, anxiety and features of borderline
personality disorder (BPD). Childhood traumatic experiences (CEs), often reported
by patients with BPD, are an important risk factor for mental disorders. We
hypothesized that features of BPD may mediate the relationship between CEs and
PEs. In this study, we investigated the relationships between self-reported PEs,
CEs and features of BPD in patients with mood disorders. METHODS: As part of the
Helsinki University Psychiatric Consortium study, McLean Screening Instrument
(MSI), Community Assessment of Psychic Experiences (CAPE-42) and Trauma and
Distress Scale (TADS) were filled in by patients with mood disorders (n = 282) in
psychiatric care. Correlation coefficients between total scores of scales and
their dimensions were estimated, multiple regression and mediation analyses were
conducted. RESULTS: Total scores of MSI correlated strongly with scores of the
CAPE-42 dimension "frequency of positive symptoms" (rho = 0.56; p <= 0.001) and
moderately with scores of TADS (rho = 0.4; p <= 0.001). Total score of MSI and
its dimension "cognitive symptoms", including identity disturbance,
distrustfulness and dissociative symptoms, fully mediated the relation between
TADS and CAPE-42. Each cognitive symptom showed a partial mediating role
(dissociative symptoms 43% (CI = 25-74%); identity disturbance 40% (CI = 30-73%);
distrustfulness 18% (CI = 12-50%)). CONCLUSIONS: Self-reported cognitive
perceptual symptoms of BPD fully mediate, while affective, behavioural and
interpersonal symptoms only partially mediate the relationships between CEs and
PEs. Recognition of co-morbid features of BPD in patients with mood disorders
reporting PEs is essential.
PMID- 29353180
TI - Differential changes in the spinal segmental locomotor output in Hereditary
Spastic Paraplegia.
AB - OBJECTIVE: A comprehensive treatment of Hereditary Spastic Paraplegia (HSP)
should consider the specific pathophysiological changes in the spinal cord. Here
we reported a detailed characterization of the spinal motoneuronal output in HSP
during locomotion. METHODS: We recorded kinematics and electromyographic (EMG)
activity of 12 leg muscles in 29 patients with pure forms of HSP and compared
them with 30 controls while walking at matched speeds. We assessed the spinal
locomotor output by evaluating EMG patterns and by mapping them onto the
rostrocaudal location of the spinal motoneuron pools. RESULTS: The activity
profiles of muscles innervated from the sacral segments were significantly wider
in patients. Similarly, spinal maps revealed a tendency for spreading the main
loci of activation, involving initially the sacral segments and, at more severe
stages, the lumbar segments. CONCLUSIONS: The degeneration of the corticospinal
tract in HSP is associated with a widening of spinal locomotor output spreading
from caudal to rostral segments. SIGNIFICANCE: The findings highlight
pathophysiologically relevant differential changes in the spinal locomotor output
in HSP related to the specific innervation of muscles in the spinal cord, and
might be helpful for developing future therapeutic strategies and identifying
physiological markers of the disease.
PMID- 29353181
TI - Neurophysiological correlates of word processing deficits in isolated reading and
isolated spelling disorders.
AB - OBJECTIVE: In consistent orthographies, isolated reading disorders (iRD) and
isolated spelling disorders (iSD) are nearly as common as combined reading
spelling disorders (cRSD). However, the exact nature of the underlying word
processing deficits in isolated versus combined literacy deficits are not well
understood yet. METHODS: We applied a phonological lexical decision task
(including words, pseudohomophones, legal and illegal pseudowords) during ERP
recording to investigate the neurophysiological correlates of lexical and
sublexical word-processing in children with iRD, iSD and cRSD compared to
typically developing (TD) 9-year-olds. RESULTS: TD children showed enhanced early
sensitivity (N170) for word material and for the violation of orthographic rules
compared to the other groups. Lexical orthographic effects (higher LPC amplitude
for words than for pseudohomophones) were the same in the TD and iRD groups,
although processing took longer in children with iRD. In the iSD and cRSD groups,
lexical orthographic effects were evident and stable over time only for correctly
spelled words. CONCLUSIONS: Orthographic representations were intact in iRD
children, but word processing took longer compared to TD. Children with spelling
disorders had partly missing orthographic representations. SIGNIFICANCE: Our
study is the first to specify the underlying neurophysiology of word processing
deficits associated with isolated literacy deficits.
PMID- 29353182
TI - Observations on muscle activity in REM sleep behavior disorder assessed with a
semi-automated scoring algorithm.
AB - OBJECTIVES: Rapid eye movement (REM) sleep behavior disorder (RBD) is defined by
dream enactment due to a failure of normal muscle atonia. Visual assessment of
this muscle activity is time consuming and rater-dependent. METHODS: An EMG
computer algorithm for scoring 'tonic', 'phasic' and 'any' submental muscle
activity during REM sleep was evaluated compared with human visual ratings.
Subsequently, 52 subjects were analyzed with the algorithm. Duration and maximal
amplitude of muscle activity, and self-awareness of RBD symptoms were assessed.
RESULTS: The computer algorithm showed high congruency with human ratings and all
subjects with RBD were correctly identified by excess of submental muscle
activity, when artifacts were removed before analysis. Subjects with RBD
exhibited prolonged bouts of 'phasic' muscle activity with high amplitude. Self
awareness of RBD symptoms correlated with amount of REM sleep without atonia.
CONCLUSIONS: Our proposed algorithm was able to detect and rate REM sleep without
atonia allowing identification of RBD. Increased duration and amplitude of muscle
activity bouts were characteristics of RBD. Quantification of REM sleep without
atonia represents a marker of RBD severity. SIGNIFICANCE: Our EMG computer
algorithm can support a diagnosis of RBD while the quantification of altered
muscle activity provides a measure of its severity.
PMID- 29353183
TI - Automatic bad channel detection in intracranial electroencephalographic
recordings using ensemble machine learning.
AB - OBJECTIVE: Intracranial electroencephalographic (iEEG) recordings contain "bad
channels", which show non-neuronal signals. Here, we developed a new method that
automatically detects iEEG bad channels using machine learning of seven signal
features. METHODS: The features quantified signals' variance, spatial-temporal
correlation and nonlinear properties. Because the number of bad channels is
usually much lower than the number of good channels, we implemented an ensemble
bagging classifier known to be optimal in terms of stability and predictive
accuracy for datasets with imbalanced class distributions. This method was
applied on stereo-electroencephalographic (SEEG) signals recording during low
frequency stimulations performed in 206 patients from 5 clinical centers.
RESULTS: We found that the classification accuracy was extremely good: It
increased with the number of subjects used to train the classifier and reached a
plateau at 99.77% for 110 subjects. The classification performance was thus not
impacted by the multicentric nature of data. CONCLUSIONS: The proposed method to
automatically detect bad channels demonstrated convincing results and can be
envisaged to be used on larger datasets for automatic quality control of iEEG
data. SIGNIFICANCE: This is the first method proposed to classify bad channels in
iEEG and should allow to improve the data selection when reviewing iEEG signals.
PMID- 29353184
TI - Tumour-like giant nerves in entrapment neuropathies.
PMID- 29353185
TI - Neurophysiologic characterization of periodic paralysis episode in a patient with
Andersen-Tawil syndrome.
PMID- 29353186
TI - Addictive behaviors, social and psychosocial factors, and electronic cigarette
use among adolescents: a population-based study.
AB - OBJECTIVES: The aim was to investigate associations between e-cigarette use and
social and psychosocial factors and cigarette smoking, alcohol consumption, and
narcotics use among adolescents attending 9th grade in primary school and 2nd
grade in secondary school. STUDY DESIGN: Cross-sectional study. METHODS: The
public health survey among adolescents in Scania in 2016 includes pupils in
grades 9 and 2. The associations between e-cigarette use and lifestyle, social
and psychosocial factors, and trust were investigated with logistic regressions.
RESULTS: In 9th grade, 32% of male pupils and 27% of female pupils had ever used
e-cigarettes, and in 2nd grade, 43% of males and 31% of females had ever used e
cigarettes. E-cigarette use was significantly associated with current smoking,
snus (a moist powder tobacco product originating in Sweden) use, water pipe use,
intensive alcohol consumption, and narcotics and also with psychosocial
conditions related to home and parents, peers, and school. CONCLUSIONS: The
prevalence of ever e-cigarette use was high among adolescents attending both
grades. E-cigarette use was most strongly associated with health-related
lifestyles. It was also associated with psychosocial factors such as study
difficulties, school stress, problems talking with parents, and generalized
trust.
PMID- 29353188
TI - Mechanism of anaerobic bio-reduction of azo dye assisted with lawsone-immobilized
activated carbon.
AB - Lawsone redox (LQ) mediator was covalently bound to granular activated carbon
(GAC) by Fischer esterification. A high LQ adsorption capacity on GAC was
achieved (~230 mg/g), and desorption studies showed strong chemical stability.
Furthermore, kinetic experiments with solid-phase redox mediator (RM) and their
controls (soluble RM, GAC and anaerobic sludge) were tested for decolorization of
congo red dye at initial concentration of 175 mg/L. Benzidine, a by-product of
complete congo red reduction, was also measured by HPLC analysis along the
kinetic experiments. The highest percentage of decolorization after 24 h of
incubation was achieved in cultures with soluble (77%) and immobilized (70%) LQ.
In contrast, low decolorization efficiency was reached in anaerobic bio-reduction
assays with unmodified GAC (47%) and anaerobic sludge (28%) after 24 h. Removal
of congo red by adsorption onto LQ-GAC was negligible. The rate of benzidine
production was slower than decolorization rate, suggesting that one azo bond of
congo red is selectively broke and followed by a slower breaking of the second
azo bond, consequently, appearance of benzidine in solution. These issues could
be attributed to the steric rearrangement and the inhibitory effects of the
produced aromatic amines in the biotransformation process.
PMID- 29353187
TI - Medication use in a cohort of newly admitted nursing home residents (Ageing@NH)
in relation to evolving physical and mental health.
AB - BACKGROUND: Medication use is high among nursing home (NH) residents, but there
is a lack of longitudinal studies, determining medication use at admission and
its evolution over time. AIM: Describing the evolution of the medication use two
years after entering a NH, compared to the baseline observations and exploring
the relation to the physical and mental health. METHODS: Data from the
observational prospective Ageing@NH study, based on an inception cohort of newly
admitted residents at NHs (65+) was used, selecting those consenting and with
medication chart available. Information about socio-demographic, functional and
mental characteristics, as well as medication use, was collected at baseline,
year 1 and year 2. RESULTS: Medication chart was available for n = 741 at
baseline (mean age 83.8, 66% female), and for n = 342 residents in year 2. The
mean number of total medications increased from 8.9 to 10.1 (p-value < 0.001).
Polypharmacy was high, with an increase in extreme polypharmacy from 23% to 32%.
The biggest increase was noted in the respiratory (from 17% to 27%) and
alimentary medications (from 80% to 87%). Cardiovascular medication use in year
2, was lower in those with stable high dependency (77%) and those evolving from
low to high dependency (79%), than those with stable low dependency (89%) (p <
0.025). For residents with or evolving to dementia symptoms, decline in most
medication groups was observed, especially in pain and sleeping medications,
while antipsychotics use increased. CONCLUSION: Although medication use was high,
signs of deprescribing were noted when the physical and mental health of the
residents declined.
PMID- 29353189
TI - Synthesis of cross-linked protein-metal hybrid nanoflowers and its application in
repeated batch decolorization of synthetic dyes.
AB - Herein, we report the preparation of a cross-linked protein-metal hybrid
nanoflower (NF) system for laccase immobilization. The immobilized laccase showed
effective encapsulation yield and activity recovery of 78.1% and 204%,
respectively. The catalytic efficiency (kcatVmax-1) of cross-linked NF (CL-NF)
was 2.2-fold more than that of free laccase. The CL-NF also exhibited
significantly higher stability towards pH and temperature changes. It exhibited
excellent storage stability and tolerance towards solvents and inhibitors as
compared with the free enzyme. After 10 cycles of reuses, the NF and CL-NF
laccase showed 41.2% and 92.3% residual activity, respectively. The CL-NF showed
high oxidation potential, 265% that of the free enzyme, towards phenolic
compounds. The CL-NF laccase retained the residual decolorization efficiency of
up to 84.6% for synthetic dyes under repeated batch conditions of 10 cycles.
These results suggested that the preparation of CL-NF is an effective approach to
enhance the enzymatic properties and has great potential in many industrial
applications.
PMID- 29353190
TI - Characterization of acrylamide-induced oxidative stress and cardiovascular
toxicity in zebrafish embryos.
AB - Acrylamide (AA) is a high production volume chemical in industrial applications
and widely found in baked or fried carbohydrate-rich foods. In this study, we
unravelled that AA induced developmental toxicity associated with oxidative
stress status and disordered lipid distribution in heart region of developing
zebrafish. Treatment with AA caused a deficient cardiovascular system with
significant heart malformation and dysfunction. We also found that AA could
reduce the number of cardiomyocytes through the reduced capacity of cardiomyocyte
proliferation rather than cell apoptosis. The cardiac looping and ballooning
appeared abnormal though cardiac chamber-specific identity in the differentiated
myocardium was maintained well after AA treatment through MF20/S46
immunofluorescence assay. Furthermore, treatment with AA disturbed the
differentiation of atrioventricular canal, which was demonstrated by the
disordered expressions of the atrioventricular boundary markers bmp4, tbx2b and
notch1b and further confirmed by the ectopic expressions of the cardiac valve
precursor markers has2, klf2a and nfatc1 through whole-mount in situ
hybridization. Thus, our studies provide the evidence of cardiac developmental
toxicity of AA in the cardiovascular system, and also raised health concern about
the harm of trans-placental exposure to high level of AA for foetuses and the
risk of high exposure to AA for the pregnant women.
PMID- 29353192
TI - Monte Carlo calculations of the cellular S-values for alpha-particle-emitting
radionuclides incorporated into the nuclei of cancer cells of the MDA-MB231, MCF7
and PC3 lines.
AB - S-values (dose per unit of cumulated activity) for alpha particle-emitting
radionuclides and monoenergetic alpha sources placed in the nuclei of three
cancer cell models (MCF7, MDA-MB231 breast cancer cells and PC3 prostate cancer
cells) were obtained by Monte Carlo simulation. The MCNPX code was used to
calculate the fraction of energy deposited in the subcellular compartments due to
the alpha sources in order to obtain the S-values. A comparison with
internationally accepted S-values reported by the MIRD Cellular Committee for
alpha sources in three sizes of spherical cells was also performed leading to an
agreement within 4% when an alpha extended source uniformly distributed in the
nucleus is simulated. This result allowed to apply the Monte Carlo Methodology to
evaluate S-values for alpha particles in cancer cells. The calculation of S
values for nucleus, cytoplasm and membrane of cancer cells considering their
particular geometry, distribution of the radionuclide source and chemical
composition by means of Monte Carlo simulation provides a good approach for
dosimetry assessment of alpha emitters inside cancer cells. Results from this
work provide information and tools that may help researchers in the selection of
appropriate radiopharmaceuticals in alpha-targeted cancer therapy and improve its
dosimetry evaluation.
PMID- 29353191
TI - Kinetic and equilibrium modelling of MTBE (methyl tert-butyl ether) adsorption on
ZSM-5 zeolite: Batch and column studies.
AB - The intensive use of methyl tert-butyl ether (MTBE) as a gasoline additive has
resulted in serious environmental problems due to its high solubility, volatility
and recalcitrance. The feasibility of permeable reactive barriers (PRBs) with ZSM
5 type zeolite as a reactive medium was explored for MTBE contaminated
groundwater remediation. Batch adsorption studies showed that the MTBE adsorption
onto ZSM-5 follows the Langmuir model and obeys the pseudo-second-order model
with an adsorption capacity of 53.55 mg g-1. The adsorption process reached
equilibrium within 24 h, and MTBE was barely desorbed with initial MTBE
concentration of 300 mg L-1. The mass transfer process is found to be primarily
controlled by pore diffusion for MTBE concentrations from 100 to 600 mg L-1. pH
has little effect on the maximum adsorption capacity in the pH range of 2-10,
while the presence of nickel reduces the capacity with Ni concentrations of 2.5
25 mg L-1. In fixed-bed column tests, the Dose-Response model fits the
breakthrough curve well, showing a saturation time of ~320 min and a removal
capacity of ~18.71 mg g-1 under the conditions of this study. Therefore, ZSM-5 is
an extremely effective adsorbent for MTBE removal and has a huge potential to be
used as a reactive medium in PRBs.
PMID- 29353193
TI - Automated radiosynthesis of Al[18F]PSMA-11 for large scale routine use.
AB - OBJECTIVES: We report a reproducible automated radiosynthesis for large scale
batch production of clinical grade Al[18F]PSMA-11. METHODS: A SynthraFCHOL module
was optimized to synthesize Al[18F]PSMA-11 by Al[18F]-chelation. Results
Al[18F]PSMA-11 was synthesized within 35min in a yield of 21 +/- 3% (24.0 +/-
6.0GBq) and a radiochemical purity > 95%. Batches were stable for 4h and conform
the European Pharmacopeia guidelines. CONCLUSIONS: The automated synthesis of
Al[18F]PSMA-11 allows for large scale production and distribution of Al[18F]PSMA
11.
PMID- 29353194
TI - Investigation of the favorable etching time of CN-85 nuclear track detector.
AB - The favorable etching time of alpha tracks registered in CN-85 solid-state
nuclear track detector was explored by chemical etching heated by three different
techniques: the classical water bath, unconventional microwave, and ultrasound
techniques. The parameters that affect the etching of the tracks, namely the rate
of bulk etching (VB), the rate of track etching (VT), the ratio of track etching
(V), the critical angle (thetac), and the registration efficiency (eta), were
determined. The favorable etching times to develop the highest number of
registered tracks in CN-85 irradiated with alpha particles emanated from a 241Am
source was found to be 30, 10, and 20min for water bath, microwave, and
ultrasound heating techniques, respectively. The obtained results showed the
track density possesses the highest value when the detector was etched by
different heating techniques: ultrasound, water bath, and microwave heating
technique respectively. As well as, the etching time was faster when the etching
was done by microwave, ultrasound, and water bath. Moreover the results might
also showed that the etching parameters (except OC) are maximum with using
microwave, ultrasound, and microwave, respectively.
PMID- 29353195
TI - Neutron field characterization at the independent spent fuel storage installation
of the Trillo nuclear power plant.
AB - Neutron fields inside and outside the independent spent fuel storage installation
of Trillo Nuclear Power Plant are characterized exhaustively in terms of neutron
spectra and ambient dose equivalent, measured by Bonner sphere system and LB6411
monitor. Measurements are consistent with storage casks and building shield
characteristics, and also with casks distribution inside the building. Outer
values at least five times lower than dose limit for free access area are found.
Measurements with LB6411 and spectrometer are consistent with each other.
PMID- 29353196
TI - High adsorption of methylene blue by salicylic acid-methanol modified steel
converter slag and evaluation of its mechanism.
AB - A novel adsorbent based on steel converter slag (SCS), useful for adsorbing
cationic pollutants from water was prepared by a simple method. The
characterization showed that salicylic acid-methanol (SAM) modification
selectively removed calcium silicate minerals from the surface of SCS and lead to
a prominent increase in the specific surface areas. The maximum adsorption
capacity of SAM-modified SCS for methylene blue (MB) at initial pH of 7.0 and
temperature of 293 K was 41.62 mg/g, which is 35.2-times higher than that of SCS
(1.15 mg/g). Adsorption kinetics and isotherms of MB on the SAM-modified SCS can
be satisfactorily fitted by pseudo-second order kinetic and Langmuir model,
respectively, which suggest that single-layer chemical adsorption was mainly
responsible for MB removal. Further studies showed that pH value and ionic
strength of wastewater have minimal effects on the adsorption capacity of SAM
modified SCS. A small decrease (<10%) was found in the adsorption capacity of SAM
modified SCS after five cycles. These findings indicate that SAM-modified SCS is
a promising adsorbent for the efficient removal of MB from aqueous solution due
to its low cost, good thermal stability, excellent adsorption performance and
simple separation.
PMID- 29353197
TI - Bioanalytical assessment of adaptive stress responses in drinking water: A
predictive tool to differentiate between micropollutants and disinfection by
products.
AB - Drinking water can contain low levels of micropollutants, as well as disinfection
by-products (DBPs) that form from the reaction of disinfectants with organic and
inorganic matter in water. Due to the complex mixture of trace chemicals in
drinking water, targeted chemical analysis alone is not sufficient for
monitoring. The current study aimed to apply in vitro bioassays indicative of
adaptive stress responses to monitor the toxicological profiles and the formation
of DBPs in three drinking water distribution systems in France. Bioanalysis was
complemented with chemical analysis of forty DBPs. All water samples were active
in the oxidative stress response assay, but only after considerable sample
enrichment. As both micropollutants in source water and DBPs formed during
treatment can contribute to the effect, the bioanalytical equivalent
concentration (BEQ) approach was applied for the first time to determine the
contribution of DBPs, with DBPs found to contribute between 17 and 58% of the
oxidative stress response. Further, the BEQ approach was also used to assess the
contribution of volatile DBPs to the observed effect, with detected volatile DBPs
found to have only a minor contribution as compared to the measured effects of
the non-volatile chemicals enriched by solid-phase extraction. The observed
effects in the distribution systems were below any level of concern, quantifiable
only at high enrichment and not different from bottled mineral water. Integrating
bioanalytical tools and the BEQ mixture model for monitoring drinking water
quality is an additional assurance that chemical monitoring is not overlooking
any unknown chemicals or transformation products and can help to ensure
chemically safe drinking water.
PMID- 29353198
TI - A tiered approach to assess effects of diclofenac on the brown mussel Perna
perna: A contribution to characterize the hazard.
AB - Pharmaceutical discharges into the aquatic ecosystem are of environmental concern
and sewage treatment plants (STPs) have been pointed out as the major source of
these compounds to coastal zones, where oceanic disposal of sewage occurs through
submarine outfalls. Diclofenac (DCF) is one of the most frequently detected
pharmaceuticals in water, but little is known about the effects on marine
organisms. In this study, we employed a tiered approach involving the
determination of environmental concentrations of DCF in marine water and the
adverse biological effects for fertilization, embryo-larval development and
biomarker responses of the mussel Perna perna. Results indicate that effects in
fertilization rate and embryo-larval development were found in the order of mg.L
1. However, low concentrations of DCF (ng.L-1) significantly decreased the
lysosomal membrane stability and COX activity, as well as triggered DNA damage,
oxidative stress and changes in antioxidant defenses. Our results point to an
environmental hazard at coastal ecosystems and suggest the need for improvements
in the treatment of domestic wastewater aiming to reduce DCF concentrations, as
well as regulation on current environmental legislation and monitoring of aquatic
ecosystems.
PMID- 29353199
TI - Applicability of Kd for modelling dissolved 137Cs concentrations in Fukushima
river water: Case study of the upstream Ota River.
AB - A study is presented on the applicability of the distribution coefficient (Kd)
absorption/desorption model to simulate dissolved 137Cs concentrations in
Fukushima river water. The upstream Ota River basin was simulated using GEneral
purpose Terrestrial Fluid-flow Simulator (GETFLOWS) for the period 1 January 2014
to 31 December 2015. Good agreement was obtained between the simulations and
observations on water and suspended sediment fluxes, and on particulate bound
137Cs concentrations under both base and high flow conditions. By contrast the
measured concentrations of dissolved 137Cs in the river water were much harder to
reproduce with the simulations. By tuning the Kd values for large particles, it
was possible to reproduce the mean dissolved 137Cs concentrations during base
flow periods (observation: 0.32 Bq/L, simulation: 0.36 Bq/L). However neither the
seasonal variability in the base flow dissolved 137Cs concentrations (0.14-0.53
Bq/L), nor the peaks in concentration that occurred during storms (0.18-0.88
Bq/L, mean: 0.55 Bq/L), could be reproduced with realistic simulation parameters.
These discrepancies may be explained by microbial action and leaching from
organic matter in forest litter providing an additional input of dissolved 137Cs
to rivers, particularly over summer, and limitations of the Kd
absorption/desorption model. It is recommended that future studies investigate
these issues in order to improve simulations of dissolved 137Cs concentrations in
Fukushima rivers.
PMID- 29353200
TI - Monitoring of soil radon by SSNTD in Eastern India in search of possible
earthquake precursor.
AB - The present paper deals with monitoring soil radon-222 concentration at two
different locations, designated Site A and Site B, 200 m apart at Jadavpur
University campus, Kolkata, India, with a view to find possible precursors for
the earthquakes that occurred within a few hundred kilometers from the monitoring
site. The solid state nuclear track detector CR-39 has been used for detection of
radon gas coming out from soil. Radon-222 time series at both locations during
the period August 2012-December 2013 have been analysed. Distinct anomalies in
the soil radon time series have been observed for seven earthquakes of magnitude
greater than 4.0 M that occurred during this time. Of these, radon anomalies for
two earthquakes have been observed at both locations A and B. Absence of
anomalies for some other earthquakes has been discussed, and the observations
have been compared with some earthquake precursor models.
PMID- 29353201
TI - miR-204 inhibits angiogenesis and promotes sensitivity to cetuximab in head and
neck squamous cell carcinoma cells by blocking JAK2-STAT3 signaling.
AB - This study aims to investigate the roles of miR-204 in tumor angiogenesis of head
and neck squamous cell carcinoma (HNSCC). Here, we found that miR-204 level was
reduced in HNSCC tissues relative to that in normal adjacent tissues.
Overexpression of miR-204 promoted tumor angiogenesis in HNSCC cells.
Mechanistically, JAK2 was identified as a direct target of miR-204, and miR-204
overexpression blocked JAK2/STAT3 pathway. Moreover, overexpression of JAK2
attenuated the inhibition of miR-204 on tumor angiogenesis of HNSCC. Furthermore,
overexpression of miR-204 enhanced sensitivity of cetuximab in HNSCC cells, this
effect was attenuated by JAK2 overexpression too. Importantly, JAK2 expression
was negatively correlated with miR-204 level in HNSCC tissues. Therefore, miR-204
acts as a tumor suppressor by blocking JAK2/STAT3 pathway in HNSCC cells.
PMID- 29353202
TI - Validation of a traditional preparation against multi-drug resistant Salmonella
Typhi and its protective efficacy in S. Typhimurium infected mice.
AB - Shorea robusta Gaertn has been used for skin and intestinal ailments in Indian
Traditional medicine; while two tribal communities used its tender leaves in
'Meyadi-bukhar' or long-term fever. This prompted us to validate the aqueous and
methanol extracts of Shorea robusta tender leaves against wild- and multidrug
resistant clinical isolates of Salmonella enterica Serovar Typhi (S. Typhi), the
causative agent of typhoid fever. The antibacterial activity, minimum inhibitory
concentration (MIC), minimum bactericidal concentration (MBC) and growth
inhibition were determined using disc diffusion, agar-and-broth dilution, dose-
and time-response assays, along with the safety and protective efficacy in Balb/C
mice, infected with S. Typhimurium. The MIC of the extract was 256-450 MUg/ml
against S. Typhi isolates, and 700 MUg/ml for mouse virulent S. Typhimurium,
while MBC was <=512-1024 MUg/ml. The growth curve revealed that the extract was
bactericidal at 4-6 h of exposure. Toxicity study showed that the extract was
safe up to 3000 mg/kg (p.o.). Moreover, it significantly (p > 0.01) protect the
challenged (1.4 * 108 cfu/ml) mice at 93.75 (i.p.) and 300 mg/kg (p.o.) dose,
compared to the infection control (distilled water treatment group).
Collectively, our results confirmed the antibacterial potential of the test
extracts against MDR-isolates of S. Typhi.
PMID- 29353203
TI - The water extract of tutsan (Hypericum androsaemum L.) red berries exerts
antidepressive-like effects and in vivo antioxidant activity in a mouse model of
post-stroke depression.
AB - Hypericum androsaemum L., commonly known as 'tutsan' or 'shrubby St. John's
Wort', is a member of the Hypericum genus found growing spontaneously in the
Mediterranean area and is cultivated extensively as an ornamental plant due to
the showy color variation in its fresh berry-like capsules, which turn from red
to shiny black as they ripen. Tutsan has also been used in Portuguese and Spanish
folk medicine to treat depression. In this study, we assessed the beneficial role
of the water extract of H. androsaemum red berries (WE) in an experimental animal
model of post-stroke depression. WE was obtained by decoction of H. androsaemum
red berries, and its content of ten bioactive compounds was determined through
HPLC-DAD analysis. Behavioral tests were carried out using a mouse model of post
stroke depression to examine the antidepressive-like activity of WE at two doses
(15 and 30 mg/kg bw). In addition, the in vivo antioxidant activity in the mouse
brain was evaluated by measuring CAT, GSH, and SOD activity and TBARS levels. WE
contained significant amounts of shikimic acid (110.0 g/kg), chlorogenic acid
(56.9 g/kg), catechin (5.8 g/kg) and hyperoside (2.7 g/kg). Overall, the highest
dosage of WE was found to significantly reduce the symptoms of depression,
restoring normal behaviour and reducing levels of oxidative stress by increasing
endogenous antioxidant defenses. The protective effects of WE in post-stroke
depression in a mouse model were demonstrated in vivo for the first time, and
correlated with the antioxidant capacity of its bioactive constituents.
PMID- 29353204
TI - miR-152 inhibits proliferation of human endometrial cancer cells via inducing
G2/M phase arrest by suppressing CDC25B expression.
AB - microRNA-152 (miR-152) is a tumor suppressor that is down-regulated in many
cancers including endometrial cancer (EC). However, the underlying mechanism of
action of miR-152 in EC is unclear. The aim of the present study was to evaluate
the role of miR-152 on proliferation of human endometrial cancer cells. Herein,
we found that miR-152 overexpression and CDC25B knockdown inhibited proliferative
ability and induced G2/M phase arrest in KLE and HEC-1B cells. CDC25B was a
target of miR-152. In addition, CDC25B overexpression rescued miR-152-induced
proliferation inhibition and G2/M phase arrest in human endometrial cancer cells.
The results indicated that miR-152 was a tumor suppressor in EC that inhibited
proliferation of human endometrial cancer cells via inducing G2/M phase arrest by
suppressing CDC25B expression.
PMID- 29353205
TI - WISP3 prevents fibroblast-myofibroblast transdifferentiation in NRK-49F cells.
AB - CCN family, a group of six extracellular matrix-associated proteins, plays an
important role in fibrosis. WISP3 has addressed as a pro-fibrotic molecule in the
development of human lung fibrosis. However, whether WISP3 involved in the
activation and proliferation of renal fibroblast, and ultimately inhibited
fibroblast-myofibroblast transdifferentiation remained unknown. Herein, we found
that down-regulated WISP3 was involved in the fibrogenesis of rat renal NRK-49F
cells induced by transforming growth (TGF-beta1), which was further confirmed in
a rat renal fibrosis induced by unilateral ureteral obstruction (UUO). In the
present study, we aimed to investigate the roles of WISP3 in NRK-49F fibroblast
myofibroblast transdifferentiation, and the underlying mechanism. Results showed
that after TGF-beta1 treatment, significant increased cell proliferation, and up
regulated expressions of TGF-beta1, connective tissue growth factor (CTGF), alpha
smooth muscle actin (alpha-SMA), vimentin, as well as increased concentrations of
collagen types I (COL I), collagen types III (COL III) and hydroxyproline in cell
culture supernatant were observed, demonstrating a successful establishment of
fibroblast-myofibroblast transdifferentiation of NRK-49F cells. Besides, siRNA
WISP3 remarkably promoted the fibrogenesis of NRK-49F cells with or without TGF
beta1 treatment, and increased mRNA levels of Axin, demonstrating that activating
WNT signaling pathway was the underlying mechanism. However, lentivirus-mediated
WISP3 overexpression exerted an opposite effect, protecting NRK-49F cells from
transdifferentiation, and decreasing mRNA levels of Axin. In conclusion, the
WISP3 played an anti-fibrotic role in NRK-49F cells, and WNT signaling pathway
was the potential mechanism. WISP3 was an anti-fibrotic factor in fibroblast
myofibroblast transdifferentiation, and may be used as a possible target for
prevention and treatment of human renal fibrosis.
PMID- 29353206
TI - Dihydroartemisinin ameliorates sepsis-induced hyperpermeability of glomerular
endothelium via up-regulation of occludin expression.
AB - Sepsis, the systemic inflammatory responses after infection, remains a serious
cause of morbidity and mortality in critically ill patients. The anti-malarial
agent dihydroartemisinin (DHA) has been shown to be anti-inflammatory. In this
study, we examined the effects of DHA on sepsis-induced acute kidney injury (AKI)
and explored the mechanism underlying its mode of action in AKI. In a
lipopolysaccharide (LPS)-induced mouse model, we observed that DHA treatment
ameliorated glomerular injury, and relieved elevation of the urine albumin to
creatinine ratio (UACR) and serum creatinine. At a concentration of 25 MUM, DHA
had no effect on overall cellular viability or apoptosis in assays with human
renal glomerular endothelial cells (HRGECs), but significantly inhibited the
tumor necrosis factor-alpha (TNF-alpha)-induced hyperpermeability of HRGEC
monolayers. We found that TNF-alpha decreases the expression of the junctional
protein occludin in HRGECs, which is reversed by DHA. Taken together, our results
demonstrate that DHA decreases permeability of the glomerular endothelium by
maintenance of occludin expression. This suggests DHA may have therapeutic
utility in sepsis-induced AKI.
PMID- 29353207
TI - Geraniin promotes osteoblast proliferation and differentiation via the activation
of Wnt/beta-catenin pathway.
AB - Geraniin is an ellagitannin isolated from Phyllanthus amarus and has a wide range
of bioactivities. Our previous study demonstrated that geraniin could alleviate
osteoporosis by accelerating bone formation, but the mechanism remains unclear.
This study aimed to elucidate the molecular mechanisms by which geraniin promotes
osteoblast proliferation and differentiation in vitro. Primary rat bone marrow
derived mesenchymal stem cells were separated and divided into sham operated
(Sham) group, Sham treated with geraniin (Sham + GE) group, ovariectomized (OVX)
group, OVX treated with geraniin (OVX + GE) group, OVX treated with osteogenic
medium (OVX + OM) group, OVX treated with Wnt inhibitor (OVX + WI) group, and OVX
treated with Wnt inhibitor and geraniin (OVX + W I + GE) group. Following
bilateral ovariectomy, the expression of beta-catenin, frizzled2, LRP6, TCF4,
LEF1, c-myc, cyclin D1, Runx2 and osterix significantly reduced, while the
expression of axin2 significantly increased (P < 0.05). Geraniin enhanced the
expression of beta-catenin, frizzled2, LRP6, TCF4, LEF1, c-myc, cyclin D1, Runx2
and osterix, while inhibited the expression of axin2 (P < 0.05). Wnt inhibitor
significantly weakened geraniin-induced Wnt/beta-catenin activation (P < 0.05).
In conclusion, geraniin enhances the activation of Wnt/beta-catenin pathway,
which may explain how it promotes osteoblast proliferation and differentiation.
PMID- 29353208
TI - Natural products berberine and curcumin exhibited better ameliorative effects on
rats with non-alcohol fatty liver disease than lovastatin.
AB - Studies have shown that satins and herbal products have potential to treat non
alcohol fatty liver disease (NAFLD) in clinic. However, no study has compared
their effects, and their mechanisms remain unresolved. Here, we choose lovastatin
and two herbal products including berberine and curcumin to compare their effects
in treating NAFLD. NAFLD model was established by high fat food, and rats were
administrated with lovastatin, berberine, curcumin, berberine + curcumin at the
dosage of 100, 100, 100, 50 + 50 mg/kg bw, respectively. The body weight,
visceral fat gain, histological inspection and serum parameters were studied to
exam the curative effects. In addition, mediators including SREBP-1c, caveolin-1,
pERK, NF-kappaB, TNF-alpha, and pJNK were studied. Results showed that berberine
+ curcumin group exhibited lower body and fat weigh compared with lovastatin
group. Biochemical assays showed that LDL-c, ALT, AST, ALP, MDA, LSP level were
lower in berberine + curcumin group compared with lovastatin group. Lower
expression of SREBP-1c, pERK, TNF-alpha, and pJNK were also observed in berberine
+ curcumin group. We conclude that combination of curcumin and berberine
exhibited better ameliorative effects in treating NAFLD than lovastatin, and this
enhanced effect is associated with oxidative stress, hepatic inflammation and
lipid metabolism.
PMID- 29353209
TI - MiR-182 promotes prostate cancer progression through activating Wnt/beta-catenin
signal pathway.
AB - Although prostate cancer can be surgical excised and effectively treated by
androgen-deprivation therapy, radiotherapy, or chemotherapy, management of
patients with advanced or drug-resistance prostate cancer stills remains a big
trouble. Accumulated evidence indicated that miR-182 and Wnt/beta-catenin
function as tumor oncogene in the progression of a variety of tumors. However,
little is known about how miR-182 regulates beta-catenin signal molecular and
impacts on the tumorigenesis of human prostate cancer. In this study, employing
the analyses of qRT-PCR, we found that prostate cancer tissues expressed much
more miR-182 than non-cancer tissues did. In vitro studies revealed that
overexpression of miR-182 promoted cell proliferation, colony formation,
migration, invasion and inhibited cell apoptosis; in vivo results demonstrated
that silencing of miR-182 mediated by inhibitor dramatically reduced prostate
cancer xenograft tumor growth. Importantly, through western blotting analysis, we
identified that miR-182 dramatically activated Wnt/beta-catenin pathway by
targeting multiple negative regulators of Wnt/beta-catenin signaling, including
GSK-3beta, APC, CK1 and Axin. Besides, we observed the elevated levels of c-myc
and Cyclin D1 when PC-3 and LNCap cells were up-regulated miR-182. Our findings
indicate that miR-182 acts as one of oncogenic factor in the progression of
prostate cancer by recruiting a mechanism of aberrant activation of Wnt/beta
catenin signaling.
PMID- 29353210
TI - VPg unlinkase/TDP2 in cardiovirus infected cells: Re-localization and proteolytic
cleavage.
AB - Cardioviruses cause diseases in many animals including, in rare cases, humans.
Although they share common features with all picornaviruses, cardioviruses have
unique properties that distinguish them from other family members, including
enteroviruses. One feature shared by all picornaviruses is the covalent
attachment of VPg to the 5' end of genomic RNA via a phosphotyrosyl linkage. For
enteroviruses, this linkage is cleaved by a host cell protein, TDP2. Since TDP2
is divergently required during enterovirus infections, we determined if TDP2 is
necessary during infection by the prototype cardiovirus, EMCV. We found that EMCV
yields are reduced in the absence of TDP2. We observed a decrease in viral
protein accumulation and viral RNA replication in the absence of TDP2. In
contrast to enterovirus infections, we found that TDP2 is modified at peak times
of EMCV infection. This finding suggests a unique mechanism for cardioviruses to
regulate TDP2 activity during infection.
PMID- 29353212
TI - Pregnant women with depressive and anxiety symptoms read, talk, and sing less to
their fetuses.
PMID- 29353211
TI - Examining a transdiagnostic measure of repetitive thinking in depressed, formerly
depressed and never-depressed individuals.
AB - BACKGROUND: Evidence that repetitive negative thinking (RNT) is a shared feature
of a number of disorders has prompted the need for transdiagnostic self-report
instruments; that is, measures of RNT that can be administered to individuals
irrespective of their diagnosis. The Repetitive Thinking Questionnaire (RTQ;
McEvoy et al., 2010) was developed to meet this need, and its psychometric
properties and capacity to predict psychopathology have been tested in
undergraduate and clinically anxious samples. METHODS: We administered the RTQ to
currently depressed (n = 29), formerly depressed (n = 61) and never-depressed (n
= 93) community participants. RESULTS: The RTQ demonstrated good psychometric
properties, with excellent internal consistency for the RNT subscale (alpha=.93)
and good convergent validity with measures of negative affect and psychopathology
symptoms (rs= .47-.61). In addition, and in accord with our predictions,
currently depressed and recovered depressed participants reported more RNT than
never-depressed participants, but currently and recovered depressed participants
did not differ. In addition, RNT scores explained additional variance in
depression and anxiety symptoms, after controlling for gender, age, neuroticism,
state negative affect, and intolerance of uncertainty. LIMITATIONS: Our sample
was drawn from the community but participants were not treatment-seeking, and we
employed a cross-sectional design. DISCUSSION: Taken together with previous
experimental and longitudinal studies, our results support the utility of
addressing RNT in the treatment and prevention of relapse in depression.
Moreover, these data confirm the utility of the RTQ as a brief, transdiagnostic
self-report measure of RNT.
PMID- 29353213
TI - Multiple cortical thickness sub-networks and cognitive impairments in first
episode, drug naive patients with late life depression: A graph theory analysis.
AB - BACKGROUND: Coordinated and pattern-wise changes in large scale gray matter
structural networks reflect neural circuitry dysfunction in late life depression
(LLD), which in turn is associated with emotional dysregulation and cognitive
impairments. However, due to methodological limitations, there have been few
attempts made to identify individual-level structural network properties or sub
networks that are involved in important brain functions in LLD. METHODS: In this
study, we sought to construct individual-level gray matter structural networks
using average cortical thicknesses of several brain areas to investigate the
characteristics of the gray matter structural networks in normal controls and LLD
patients. Additionally, we investigated the structural sub-networks correlated
with several clinical measurements including cognitive impairment and depression
severity. RESULTS: We observed that small worldness, clustering coefficients,
global and local efficiency, and hub structures in the brains of LLD patients
were significantly different from healthy controls. We further found that a sub
network including the anterior cingulate, dorsolateral prefrontal cortex and
superior prefrontal cortex is significantly associated with attention control and
executive function. The severity of depression was associated with the sub
networks comprising the salience network, including the anterior cingulate and
insula. LIMITATIONS: We investigated cortico-cortical connectivity, but omitted
the subcortical structures such as the striatum and thalamus. CONCLUSION: We
report differences in patterns between several clinical measurements and sub
networks from large-scale and individual-level cortical thickness networks in
LLD.
PMID- 29353214
TI - Effects of poor sleep quality on brain functional connectivity revealed by
wavelet-based coherence analysis using NIRS methods in elderly subjects.
AB - OBJECTIVE: Poor sleep quality, which is typically related to impairments in
cognitive control, is pervasive among the elderly. However, the brain function
mechanisms underlying the association between sleep and elderly behavior remain
elusive. This study aims to assess the effects of poor sleep quality on low
frequency neural oscillations based on the wavelet phase coherence (WPCO) and
wavelet amplitude (WA) of oxyhemoglobin concentration changes (Delta [HbO2])
measured through near-infrared spectroscopy (NIRS) method. METHODS: Subjective
sleep quality was measured through the Pittsburgh Sleep Quality Index (PSQI).
Continuously recorded NIRS signals from the prefrontal cortex, sensorimotor
cortical, and occipital lobes of 15 poor-sleep-quality elderly subjects (PSQ
group) as well as 14 healthy elderly subjects (control group) in the resting and
task states. The WPCO and WA values were calculated in low frequency (0.01-0.08
Hz). Pearson correlation analysis was used to assess the respective degrees of
correlation between the WPCO of bilateral prefrontal lobes, WA of left prefrontal
cortex (LPFC), WA of right prefrontal cortex (RPFC), F1 scores, and PSQI scores,
respectively. RESULTS: The WPCO values were significantly lower in the PSQ group
than in the control group (p < 0.05). Compared with the control group, the WA was
significantly higher in the PSQ group and was also significantly higher in the
resting state than in the task state. The F1 scores were significantly lower in
the PSQ group when performing 1-back task. In the PSQ group, the correlation
analysis showed the negative correlation between the PSQI scores and WPCO values.
The WA values of LPFC and RFFC exhibited positive correlations with the PSQI
scores. CONCLUSIONS: Collectively, these results suggest that poor sleep reduces
phase synchronization, which may contribute to the diminished cognitive functions
among the sample population.
PMID- 29353215
TI - Sparks fly between ascorbic acid and iron-based nanozymes: A study on Prussian
blue nanoparticles.
AB - Herein we reported Prussian blue nanoparticles (PBNPs) possess ascorbic acid
oxidase (AAO)- and ascorbic acid peroxidase (APOD)-like activities, which
suppressed the formation of harmful H2O2 and finally inhibited the anti-cancer
efficiency of ascorbic acid (AA). This newly revealed correlation between iron
and AA could provide new insight for the studies of nanozymes and free radical
biology.
PMID- 29353216
TI - An efficient swarm intelligence approach to feature selection based on invasive
weed optimization: Application to multivariate calibration and classification
using spectroscopic data.
AB - Variable selection plays a key role in classification and multivariate
calibration. Variable selection methods are aimed at choosing a set of variables,
from a large pool of available predictors, relevant to the analyte concentrations
estimation, or to achieve better classification results. Many variable selection
techniques have now been introduced among which, those which are based on the
methodologies of swarm intelligence optimization have been more respected during
a few last decades since they are mainly inspired by nature. In this work, a
simple and new variable selection algorithm is proposed according to the invasive
weed optimization (IWO) concept. IWO is considered a bio-inspired metaheuristic
mimicking the weeds ecological behavior in colonizing as well as finding an
appropriate place for growth and reproduction; it has been shown to be very
adaptive and powerful to environmental changes. In this paper, the first
application of IWO, as a very simple and powerful method, to variable selection
is reported using different experimental datasets including FTIR and NIR data, so
as to undertake classification and multivariate calibration tasks. Accordingly,
invasive weed optimization - linear discrimination analysis (IWO-LDA) and
invasive weed optimization- partial least squares (IWO-PLS) are introduced for
multivariate classification and calibration, respectively.
PMID- 29353217
TI - Vibrational spectroscopic study of cationic phosphorus dendrimers with
aminoethylpiperidine terminal groups.
AB - Two generations of phosphoric dendrimers with piperidine functional groups were
synthesized for use in biology and medicine. Neutral samples are soluble in
organic solvents but after protonation these dendrimers become water soluble and
can be used for biological experiments. The FTIR and FT Raman spectra of two
generations of dendrimers Gi constructed from the cyclotriphosphazene core,
repeating units OC6H4CHNN(CH3)P(S)< and aminoethylpiperidine end groups
NH(CH2)2C5NH11 were recorded. The study of the IR spectra shows that the NH
groups form hydrogen bonds. The calculation of the molecular structure and
vibrational spectra of the first generation dendrimer was performed by the method
of DFT. This molecule has flat, repeating units and a plane of symmetry passing
through the core. The calculation of the distribution of potential energy made it
possible to classify the bands in the experimental spectra of dendrimers. Amine
groups are manifested in the form of a band of NH stretching vibrations at 3389
cm-1 in the IR spectrum of G1. NH+ stretching bands located at 2646 and 2540 cm-1
in the IR spectrum of G2. The stretching vibrations of NH+ groups are noticeably
shifted to low frequencies due to the formation of a hydrogen bond with the
chlorine atom. The line at 1575 cm-1 in the Raman spectrum of G1 is
characteristic for repeating units.
PMID- 29353218
TI - Sulforaphane prevents angiotensin II-induced cardiomyopathy by activation of Nrf2
via stimulating the Akt/GSK-3beta/Fyn pathway.
AB - AIMS: Activation of nuclear factor erythroid 2-related factor 2 (Nrf2) by
sulforaphane (SFN) protects from, and deletion of the Nrf2 gene exaggerates,
diabetic cardiomyopathy. Angiotensin II (Ang II) plays a critical role in the
development of diabetic cardiomyopathy. Therefore, whether SFN prevents Ang II
induced cardiomyopathy through activation of Nrf2 was examined using wild-type,
global deletion of Nrf2 gene (Nrf2-KO) and cardiomyocyte-specific overexpression
of Nrf2 gene (Nrf2-TG) mice. METHODS AND RESULTS: Administration of a subpressor
dose of Ang II to wild-type mice induced cardiac oxidative stress, inflammation,
remodeling and dysfunction, all of which could be prevented by SFN treatment with
Nrf2 up-regulation and activation. Nrf2-KO mice are susceptible, and Nrf2-TG mice
are resistant, respectively, to Ang II-induced cardiomyopathy. Meanwhile, the
ability of SFN to protect against Ang II-induced cardiac damage was lost in Nrf2
KO mice. Up-regulation and activation of Nrf2 by SFN is accompanied by activation
of Akt, inhibition of glycogen synthase kinase (GSK)-3beta, and accumulation of
Fyn in nuclei. In vitro up-regulation of Nrf2 by SFN was abolished and nuclear
Fyn accumulation was increased when cardiac cells were exposed to a PI3K
inhibitor or GSK-3beta-specific activator. CONCLUSION: These results suggest that
Nrf2 plays a central role in the prevention of Ang II-induced cardiomyopathy, and
SFN prevents Ang II-induced cardiomyopathy partially via the Akt/GSK-3beta/Fyn
mediated Nrf2 activation.
PMID- 29353220
TI - Quantification of spheno-occipital synchondrosis fusion in a contemporary
Malaysian population.
AB - Timing of fusion of the spheno-occipital synchondrosis (SOS) is correlated with
age. Previous research, however, has demonstrated variation in the timing of
closure among different global populations. The present study aims to quantify
the timing of SOS fusion in Malaysian individuals as visualised in multi-detector
computed tomography (CT) scans and to thereafter formulate age estimation models
based on fusion status. Anonymised cranial CT scans of 336 males and 164 females,
aged 5-25 years, were acquired from the National Institute of Forensic Medicine,
Hospital Kuala Lumpur and Department of Diagnostic Imaging, Hospital Sultanah
Aminah. The scans were received in DICOM format and reconstructed into three
dimensional images using OsiriX. The SOS is scored as open, fusing endocranially,
fusing ectocranially or completely fused. Statistical analyses are performed
using IBM SPSS Statistics version 24. Transition analysis (Nphases2) is then
utilised to calculate age ranges for each stage. To assess the reliability of an
observation, intra- and inter-observer agreement is quantified using Fleiss Kappa
and was found to be excellent (kappa=0.785-0.907 and 0.812). The mean (SD) age
for complete fusion is 20.84 (2.84) years in males and 19.78 (3.35) years in
females. Transition ages between Stages 0 and 1, 1 and 2, and 2 and 3 in males
are 12.52, 13.98 and 15.52 years, respectively (SD 1.37); in females, the
corresponding data are 10.47, 12.26 and 13.80 years (SD 1.72). Complete fusion of
the SOS was observed in all individuals above the age of 18 years. SOS fusion
status provides upper and lower age boundaries for forensic age estimation in the
Malaysian sample.
PMID- 29353221
TI - An in-frame deletion in BICD2 associated with a non-progressive form of SMALED.
PMID- 29353219
TI - Blocking mitochondrial cyclophilin D ameliorates TSH-impaired defensive barrier
of artery.
AB - AIMS: Endothelial cells (ECs) constitute the defensive barrier of vasculature,
which maintains the vascular homeostasis. Mitochondrial oxidative stress (mitoOS)
in ECs significantly affects the initiation and progression of vascular diseases.
The higher serum thyroid stimulating hormone (TSH) level is being recognized as a
nonconventional risk factor responsible for the increased risk of cardiovascular
diseases in subclinical hypothyroidism (SCH). However, effects and underlying
mechanisms of elevated TSH on ECs are still ambiguous. We sought to investigate
whether cyclophilin D (CypD), emerging as a crucial mediator in mitoOS, regulates
effects of TSH on ECs. METHODS AND RESULTS: SCH patients with TSH > = 10mIU/L
showed a positive correlation between serum TSH and endothelin-1 levels. When TSH
levels declined to normal in these subjects after levothyroxine therapy, serum
endothelin-1 levels were significantly reduced. Supplemented with exogenous
thyroxine to keep normal thyroid hormones, thyroid-specific TSH receptor (TSHR)
knockout mice with injection of exogenous TSH exhibited elevated serum TSH
levels, significant endothelial oxidative injuries and disturbed endothelium
dependent vasodilation. However, Tshr-/- mice resisted to TSH-impaired vasotonia.
We further confirmed that elevated TSH triggered excessive mitochondrial
permeability transition pore (mPTP) opening and mitochondrial oxidative damages
in mouse aorta, as well as in cultured ECs. Genetic or pharmacological inhibition
of CypD (the key regulator for mPTP opening) attenuated TSH-induced mitochondrial
oxidative damages and further rescued endothelial functions. Finally, we
confirmed that elevated TSH could activate CypD by enhancing CypD acetylation via
inhibiting adenosine monophosphate-activated protein kinase/sirtuin-3 signaling
pathway in ECs. CONCLUSIONS: These findings reveal that elevated TSH triggers
mitochondrial perturbations in ECs and provide insights that blocking
mitochondrial CypD enhances the defensive ability of ECs under TSH exposure.
PMID- 29353222
TI - Cohort study of cervical ossification of posterior longitudinal ligament in a
Korean populations: Demographics of prevalence, surgical treatment, and
disability.
AB - OBJECTIVE: To investigate the demographic characteristics of cervical
ossification of posterior longitudinal ligament (OPLL) including prevalence,
surgical treatment, and disability in Korean population using Korean National
Health Insurance Service National Sample Cohort (NHIS-NSC) data, and to analyze
association between accessibility for surgical treatment and socioeconomic
factors. PATIENTS AND METHODS: A population-based cohort study was conducted
using stratified representative sampling from NHIS-NSC data from the year 2002 to
2013. We analyzed prevalence and distribution of cervical OPLL according to age,
sex, and socioeconomic factors. Multiple logistic regression analysis was
conducted to investigate associations between independent variables and the rate
of surgical treatment. RESULTS: The overall prevalence of cervical OPLL was 190
per 100,000 people in Korea, and 11.4% of male patients and 4.0% of female
patients received surgical treatment. Logistic regression analysis revealed that
male patients received more surgical treatment than did female patients, also
income level and residential area influence the rate of surgical treatment in
females after adjustment of covariates (p< 0.05). Disability rate associated with
cervical OPLL was 2.27% in male and 0.99% in female patients. CONCLUSION: In this
cohort study, the prevalence of cervical OPLL was 190 per 100,000 people. Male
patients received more surgery, and disability rate of male was higher than
female patients. Although surgical treatment is covered by medical insurance in
Korea, socioeconomic factors such as income level and residential area influence
the treatment plans in females. These findings can help in the understanding of
disease progression and can inform surgical treatment plans to reduce disability.
PMID- 29353223
TI - Parathyroid carcinoma: From a case report to a review of the literature.
AB - INTRODUCTION: Parathyroid carcinoma is a rare endocrine malignancy. The reported
incidence is from 0.5 to 5% of primary hyperparathyroidism cases in various
series. Consideration of parathyroid carcinoma in the differential diagnosis of
hypercalcaemic disorders is important because the morbidity and mortality are
substantial and the best prognosis is associated with early recognition and
surgical resection. PRESENTATION OF CASE: We report a case of parathyroid
carcinoma occurring in a 60-year-old woman who presented to our institution with
persistent hyperparathyroidism and hypercalcemia 3 years after being submitted to
one gland parathyroidectomy in another hospital. DISCUSSION AND CONCLUSION: A
review of the related literature follows.
PMID- 29353224
TI - Coronary microvascular dysfunction may be related to IGF-1 in acromegalic
patients and can be restored by therapy.
AB - BACKGROUND AND AIMS: Acromegaly increases the risk of cardiovascular mortality.
Data on the cardiovascular risk in asymptomatic acromegaly are limited. In
particular, data on coronary microvascular abnormalities are lacking. We assessed
coronary flow reserve (CFR) as a marker of coronary microvascular function in
asymptomatic acromegaly. METHODS: We studied 40 acromegalic patients (23 male,
age 52 +/- 11 years) without clinical evidence of cardiovascular disease, and 40
control subjects matched for age and sex. Coronary flow velocity in the left
anterior descending coronary artery was detected by transthoracic Doppler
echocardiography, at rest, and during adenosine infusion. CFR was the ratio of
hyperaemic to resting diastolic flow velocity. RESULTS: CFR was lower in patients
than in controls (2.9 +/- 0.8 vs. 3.7 +/- 0.6, p < 0.0001) and was abnormal
(<=2.5) in 13 patients (32.5%) compared with any control subjects (0%) (p <
0.0001). CFR was inversely related to insulin-like growth factor 1 (IGF-1) levels
(r = -0.5, p < 0.004). In patients with CFR<=2.5, IGF-1 was higher (756 [381-898]
MUg/l versus 246 [186-484] MUg/l, p < 0.007) whereas growth hormone (GH) levels
were similar (6.3 [2.8-13.7] MUg/l versus 5 [2.8-8.9] MUg/l, p = 0.8). In
multivariable linear regression analysis, IGF-1 was independently associated with
CFR (p < 0.0001). In multiple logistic regression analysis, IGF-1 independently
increased the probability of CFR<=2.5 (p = 0.009). In four patients with active
disease (all with CFR<2.5), treatment with somatostatin analogues normalized CFR.
However the other four patients with active disease were not responder.
CONCLUSIONS: Acromegalic patients have coronary microvascular dysfunction that
may be restored by therapy with somatostatin analogues. IGF-1 independently
correlates with the coronary microvascular impairment, suggesting the pivotal
role of this hormone in explaining the increased cardiovascular risk in
acromegaly.
PMID- 29353225
TI - Spectrum of mutations in index patients with familial hypercholesterolemia in
Singapore: Single center study.
AB - BACKGROUND AND AIMS: Familial hypercholesterolemia (FH) is an autosomal dominant
genetic disease characterized by the presence of high plasma low density
lipoproteins cholesterol (LDL-c). Patients with FH, with mutation detected, are
at increased risk of premature cardiovascular disease compared to those without
mutations. The aim of the study was to assess the type of mutations in patients,
clinically diagnosed with FH in Singapore. METHODS: Patients (probands) with
untreated/highest on-treatment LDL-c>4.9 mmol/l were recruited (June 2015 to
April 2017). Anthropometric, biochemical indices, blood and family history were
collected. DNA was extracted and Next Generation Sequencing (NGS) was performed
in 26 lipid-related genes, including LDLR, APOB and PCSK9, and validated using
Sanger. Multiplex-ligation probe analyses for LDLR were performed to identify
large mutation derangements. Based on HGVS nomenclature, LDLR mutations were
classified as "Null"(nonsense, frameshift, large rearrangements) and
"Defective"(point mutations which are pathogenic). RESULTS: Ninety-six probands
were recruited: mean age: (33.5 +/- 13.6) years. 52.1% (n = 50) of patients had
LDLR mutations, with 15 novel mutations, and 4.2% (n = 4) had APOB mutations.
Total cholesterol (TC) and LDL-c were significantly higher in those with LDLR
mutations compared to APOB and no mutations [(8.53 +/- 1.52) vs. (6.93 +/- 0.47)
vs. (7.80 +/- 1.32)] mmol/l, p = 0.012 and [(6.74 +/- 0.35) vs. (5.29 +/- 0.76)
vs. (5.98 +/- 1.23)] mmol/l, p=0.005, respectively. Patients with "null LDLR"
mutations (n = 13) had higher TC and LDL-c than "defective LDLR" mutations (n =
35): [(9.21 +/- 1.60) vs. (8.33 +/- 1.41)]mmol/l, p = 0.034 and [(7.43 +/- 1.47)
vs. (6.53 +/- 1.21)]mmol/l, p=0.017, respectively. CONCLUSIONS: To our knowledge,
this is the first report of mutation detection in patients with clinically
suspected FH by NGS in Singapore. While percentage of mutations is similar to
other countries, the spectrum locally differs.
PMID- 29353226
TI - High neutrophil to lymphocyte ratios predict intracranial atherosclerosis in a
healthy population.
AB - BACKGROUND AND AIMS: Although atherosclerosis has been shown to be an
inflammatory disease, intracranial atherosclerosis (ICAS) has not been well
addressed. The purpose of this study was to evaluate the relationship between the
neutrophil to lymphocyte ratio (NLR) and the presence of ICAS lesions in a
generally healthy population. METHODS: A consecutive series of subjects, who
voluntarily visited for health check-ups between January 2006 and December 2013,
were selected. Brain magnetic resonance imaging, brain magnetic angiography
(MRA), and blood cell count data were assessed. ICAS was defined as an occlusion
or more than 50% stenosis of intracranial vessels, as observed on brain MRA
images. NLR was calculated based on absolute neutrophil and lymphocyte counts.
RESULTS: A total of 2842 subjects were evaluated, and 76 ICAS cases were found.
The median NLR was 1.52 [1.17-2.01]. In multivariate analysis, NLR remained an
independent predictor of ICAS [adjusted OR (aOR) = 1.72, 95% confidence interval
(CI) = 1.01-2.95, p = 0.048]. Age (aOR = 1.08, 95% CI = 1.05-1.11, p < 0.001) and
hypertension (aOR = 1.81, 95% CI = 1.11-2.94, p = 0.017) were also significant
factors for ICAS independent of NLR. Regarding ICAS burdens, NLR was
significantly higher when the number of ICAS lesions (p = 0.017) or occlusive
ICAS lesions (p = 0.005) was increased in a dose-response manner. CONCLUSIONS: A
high NLR was associated with both prevalence and burdens of ICAS in a healthy
population.
PMID- 29353227
TI - The natural history of phytosterolemia: Observations on its homeostasis.
AB - BACKGROUND AND AIMS: Phytosterolemia is a rare genetic disease caused by mutation
of the ABCG5/8 gene. Our aim was to elucidate the natural history and homeostasis
of phytosterolemia. METHODS: We analyzed a Hutterite kindred consisting of 21
homozygotes with phytosterolemia assembled over a period of two decades, all of
whom carried the ABCG8 S107X mutation and were treated with ezetimibe. RESULTS:
Most of these subjects were asymptomatic and devoid of clinical stigmata, and
this, since they were ascertained primarily by a process of cascade testing,
suggests that, relative to its true prevalence, phytosterolemia is a condition of
low morbidity. All subjects have responded well to treatment with ezetimibe.
Initial (pre-treatment) and post-ezetimibe levels of cholesterol and sitosterol
were measured and percentage changes on ezetimibe were calculated. We found
initial levels to be inversely related to subjects' ages as were percentage
responses to ezetimibe therapy. There was also a direct correlation between
initial levels and percentage responses to ezetimibe. Hence on-treatment levels
were very uniform. CONCLUSIONS: This evidence of a link with age leads us to
propose that an age-related change in cholesterol and sterol homeostasis occurs
at puberty in phytosterolemia and that the change is due to high sterol and/or
stanol levels causing feedback inhibition of sterol regulatory element-binding
protein (SREBP-2) processing. This would explain the well-documented phenomenon
of depressed cholesterol synthesis in phytosterolemia. It is also well-known that
LDL-receptor activity is increased, and this feasibly explains reduced LDL levels
and consequent reduction of plasma cholesterol and sitosterol levels.
Downregulated SREBP-2 processing would be expected to also lower proprotein
convertase subtilisin/kexin type 9 (PCSK9) levels and this would explain high LDL
receptor activity. The above state could be termed disrupted homeostasis and the
alternative, seen mostly in children and characterized by hypercholesterolemia
and hypersterolemia, simple homeostasis.
PMID- 29353228
TI - Association of lifelong occupation and educational level with subclinical
atherosclerosis in different European regions. Results from the IMPROVE study.
AB - BACKGROUND AND AIMS: We aimed to examine the association between socioeconomic
status (SES) and subclinical atherosclerosis, as assessed by carotid intima-media
thickness (C-IMT) and to investigate whether the effect of social inequality on C
IMT is mediated by cardiovascular (CV) risk factors and whether it is dissimilar
in men and women, and in different European countries. METHODS: We assessed the
association of lifelong occupation and educational level with C-IMT in the
IMPROVE study cohort including 3703 subjects (median age 64.4 years; 48% men)
from Southern (Italy), Western (France and the Netherlands) and Northern Europe
(Finland and Sweden). Three summary measures of C-IMT (IMTmean, IMTmax, IMTmean
max), obtained from four segments of both carotids, were considered. RESULTS:
After adjusting for conventional CV risk factors, current employment status and
diet, C-IMT was higher in manual workers than in white collars (+7.7%, +5.3%,
+4.6% for IMTmax, IMTmean-max and IMTmean, respectively; all p<.0001). Similar
results were obtained by stratification for educational level. The effect of
occupation on C-IMT was comparable in men and women and in different age groups,
and was only partially mediated by differences in CV risk factors. Of note, the
association of C-IMT with occupation was significant in Western and Northern
Europe but not in Italy, with a significant statistical interaction (p = .0005).
CONCLUSIONS: Low SES was associated with subclinical atherosclerosis in subjects
with at least three CV risk factors. Such association was stronger in Northern
and Western Europe than in Italy. This difference was not completely explained by
inequalities in CV risk factors and behavioural variables.
PMID- 29353229
TI - The association between plasma endotoxin, endotoxin pathway proteins and outcome
after ischemic stroke.
AB - BACKGROUND AND AIMS: In animals, peripheral lipopolysaccharide (LPS) injection
before cerebral ischemia exacerbates neurological deficit, impairs survival and
augments sickness behaviour. The goal of our study was to determine a
relationship between plasma LPS, LPS pathway proteins (LPS binding protein (LBP)
and sCD14) and outcome in stroke patients. METHODS: We included 335 patients with
ischemic stroke. Plasma LPS activity and levels of LBP and sCD14 were measured
within 24 h after stroke onset. The endpoints of this study were (1) 3-month poor
functional outcome defined as a modified Rankin Scale score >2; (2) 3-month and
12-month case fatality; (3) delirium during the first 7 days after admission.
RESULTS: Plasma LPS activity did not correlate with either functional outcome or
mortality. The higher levels of LBP and sCD14 predicted 3-month and 12-month case
fatality. The adjusted hazard ratio for 12-month case fatality was 1.84 (95% CI:
1.32-2.58, p < 0.01) for LBP and 1.62 (95% CI: 1.15-2.29, p < 0.01) for sCD14. On
multivariate analysis, higher LPS activity (OR: 1.63, 95% CI: 1.15-2.31, p =
0.01) and higher LBP (OR: 1.44, 95% CI: 1.04-2.00, p = 0.03) and sCD14 levels
(OR: 1.54, 95% CI: 1.12-2.13, p = 0.01) were associated with increased risk of
delirium. CONCLUSIONS: In ischemic stroke patients, higher levels of plasma sCD14
and LBP are associated with increased risk of death, whereas, elevated LPS
activity and higher levels of LBP and CD14 are associated with post-stroke
delirium.
PMID- 29353230
TI - A Model of Dormant-Emergent Metastatic Breast Cancer Progression Enabling
Exploration of Biomarker Signatures.
AB - Breast cancer mortality predominantly results from dormant micrometastases that
emerge as fatal outgrowths years after initial diagnosis. In order to gain
insights concerning factors associated with emergence of liver metastases, we
recreated spontaneous dormancy in an all-human ex vivo hepatic microphysiological
system (MPS). Seeding this MPS with small numbers (<0.05% by cell count) of the
aggressive MDA-MB-231 breast cancer cell line, two populations formed: actively
proliferating ("growing"; EdU+), and spontaneously quiescent ("dormant"; EdU-).
Following treatment with a clinically standard chemotherapeutic, the
proliferating cells were eliminated and only quiescent cells remained; this
residual dormant population could then be induced to a proliferative state
("emergent"; EdU+) by physiologically-relevant inflammatory stimuli,
lipopolysaccharide (LPS) and epidermal growth factor (EGF). Multiplexed proteomic
analysis of the MPS effluent enabled elucidation of key factors and processes
that correlated with the various tumor cell states, and candidate biomarkers for
actively proliferating (either primary or secondary emergence) versus dormant
metastatic cells in liver tissue. Dormancy was found to be associated with
signaling reflective of cellular quiescence even more strongly than the original
tumor-free liver tissue, whereas proliferative nodules presented inflammatory
signatures. Given the minimal tumor burden, these markers likely represent
changes in the tumor microenvironment rather than in the tumor cells. A
computational decision tree algorithm applied to these signatures indicated the
potential of this MPS for clinical discernment of each metastatic stage from
blood protein analysis.
PMID- 29353231
TI - The Preterm Heart in Childhood: Left Ventricular Structure, Geometry, and
Function Assessed by Echocardiography in 6-Year-Old Survivors of Periviable
Births.
AB - BACKGROUND: Preterm birth has been associated with increased risk of
cardiovascular morbidity in adult life. We evaluated whether preterm birth is
associated with deviating cardiac structure and function before school start.
METHODS AND RESULTS: In total, 176 children aged 6 years and born extremely
preterm (EXPT; gestational age of 22-26 weeks) and 134 children born at term
(control [CTRL]) were studied. We used echocardiography to assess left heart
dimensions, geometry, and functions. Recording and off-line analyses of
echocardiographic images were performed by operators blinded to group belonging.
Body size, blood pressure, and heart rate were also measured. Rates of family
history of cardiovascular disease and sex distribution were similar in the EXPT
and CTRL groups. Heart rate and systolic blood pressure did not differ, whereas
diastolic blood pressure was slightly higher in EXPT than CTRL participants.
After adjusting for body surface area, left ventricular length, width, and aortic
valve annulus diameter were 3% to 5% smaller in EXPT than CTRL participants. Left
ventricular longitudinal shortening and systolic tissue velocity were 7% to 11%
lower, and transversal shortening fraction was 6% higher in EXPT than CTRL
participants. The EXPT group also exhibited lower atrial emptying velocities than
the CTRL group. Sex, fetal growth restriction, or a patent ductus arteriosus in
the neonatal period did not contribute to cardiac dimensions or performance.
CONCLUSIONS: Six-year-old children born extremely preterm exhibit a unique
cardiac phenotype characterized by smaller left ventricles with altered systolic
and diastolic functions than same-aged children born at term.
PMID- 29353232
TI - Albuminuria Increases the Risks for Both Alzheimer Disease and Vascular Dementia
in Community-Dwelling Japanese Elderly: The Hisayama Study.
AB - BACKGROUND: Epidemiologic evidence has emerged to reveal an association of
albuminuria and low estimated glomerular filtration rate (eGFR) with dementia,
but the findings are inconsistent. In addition, there are limited studies
addressing the association between albuminuria and Alzheimer disease (AD).
METHODS AND RESULTS: A total of 1562 community-dwelling Japanese subjects aged
>=60 years without dementia were followed up for 10 years. The outcomes were
incidence of all-cause dementia and its subtypes, namely, AD and vascular
dementia (VaD). The hazard ratios for the outcomes were estimated according to
urine albumin-creatinine ratio (UACR) and eGFR levels using a Cox proportional
hazards model. During the follow-up, 358 subjects developed all-cause dementia
(238 AD and 93 VaD). Higher UACR level was significantly associated with greater
multivariable-adjusted risks of all-cause dementia (hazard ratios [95% confidence
intervals]: 1.00 [reference], 1.12 [0.78-1.60], 1.65 [1.18-2.30], and 1.56 [1.11
2.19] for UACR of <=6.9, 7.0-12.7, 12.8-29.9, and >=30.0 mg/g, respectively), AD
(1.00 [reference], 1.20 [0.77-1.86], 1.75 [1.16-2.64], and 1.58 [1.03-2.41],
respectively), and VaD (1.00 [reference], 1.03 [0.46-2.29], 1.94 [0.96-3.95], and
2.19 [1.09-4.38], respectively). On the other hand, lower eGFR level was
marginally associated with greater risk of VaD, but not AD. Subjects with UACR
>=12.8 mg/g and eGFR of <60 mL/min per 1.73 m2 had 3.3-fold greater risk of VaD
than those with UACR <12.8 mg/g and eGFR of >=60 mL/min per 1.73 m2. CONCLUSIONS:
Albuminuria is a significant risk factor for the development of both AD and VaD
in community-dwelling Japanese elderly. Moreover, albuminuria and low eGFR are
mutually associated with a greater risk of VaD.
PMID- 29353233
TI - Cardiovascular Safety, Long-Term Noncardiovascular Safety, and Efficacy of Sodium
Glucose Cotransporter 2 Inhibitors in Patients With Type 2 Diabetes Mellitus: A
Systemic Review and Meta-Analysis With Trial Sequential Analysis.
AB - BACKGROUND: The cardiovascular and long-term noncardiovascular safety and
efficacy of SGLT2 (sodium-glucose cotransporter 2) inhibitors have not been well
documented. METHODS AND RESULTS: For cardiovascular outcomes, we performed a meta
analysis with trial sequential analysis of randomized controlled trials and
adjusted observational studies, each with a minimum of 26 weeks and 2000 patient
years of follow-up. For long-term noncardiovascular safety and efficacy outcome
analyses, we included only randomized controlled trials with at least 2 years and
1000 patient-years of follow-up. Five studies with 351 476 patients were included
in cardiovascular outcomes analysis. Meta-analyses showed that SGLT2 inhibitors
significantly reduced the risks of major adverse cardiac events (hazard ratio
[HR]: 0.80; 95% confidence interval [CI], 0.69-0.92; P=0.002), all-cause
mortality (HR: 0.67; 95% CI, 0.54-0.84; P<0.001), cardiovascular mortality (HR:
0.77; 95% CI, 0.60-0.98; P=0.03), nonfatal myocardial infarction (HR: 0.86; 95%
CI, 0.76-0.98; P=0.02), hospitalization for heart failure (HR: 0.62; 95% CI, 0.55
0.69; P<0.001), and progression of albuminuria (HR: 0.68; 95% CI, 0.58-0.81;
P<0.001). No significant difference in nonfatal stroke was found. Analyses
limited to randomized controlled trials showed similar findings. Trial sequential
analysis provided firm evidence of a 20% reduction in major adverse cardiac
events, all-cause mortality, and hospitalization for heart failure with SGLT2
inhibitors, but evidence remains inconclusive for cardiovascular mortality. Nine
randomized controlled trials contributed to long-term noncardiovascular and
efficacy analyses. SGLT2 inhibitors reduced incidence of hypoglycemia and acute
kidney injury but increased the risks of urinary tract and genital infections.
CONCLUSIONS: SGLT2 inhibitors showed remarkable cardiovascular- and renal
protective effects and good long-term noncardiovascular safety with sustained
efficacy.
PMID- 29353234
TI - Psychiatric symptoms in preclinical behavioural-variant frontotemporal dementia
in MAPT mutation carriers.
AB - OBJECTIVE: To characterise psychiatric symptoms in preclinical and early
behavioural-variant frontotemporal dementia (bvFTD), a neurodegenerative disorder
whose symptoms overlap with and are often mistaken for psychiatric illness.
METHODS: The present study reports findings from a systematic, global,
prospective evaluation of psychiatric symptoms in 12 preclinical carriers of
pathogenic MAPT mutations, not yet meeting bvFTD diagnostic criteria, and 46
familial non-carrier controls. Current psychiatric symptoms, informant-reported
symptoms and lifetime prevalence of psychiatric disorders were assessed with The
Structured Clinical Interview for the Diagnostic and Statistical Manual of Mental
Disorders, Fourth Edition (DSM-IV) and the Neuropsychiatric Inventory
Questionnaire. Fisher exact test was used to compare carriers and non-carriers'
lifetime prevalence of six DSM-IV disorders: major depressive disorder, panic
attacks, alcohol abuse, generalised anxiety disorder, panic disorder, and
depressive disorder not otherwise specified. Other DSM-IV disorders had
insufficient prevalence across our sample for between-group comparisons, but are
reported. RESULTS: Non-carriers had greater prevalence of mood and anxiety
disorders than has been reported for a general reference population. Preclinical
carriers had lower lifetime prevalence of mood and anxiety disorders than non
carriers, except for depressive disorder not otherwise specified, an atypical
syndrome comprising clinically significant depressive symptoms which fail to meet
criteria for major depressive disorder. CONCLUSION: Findings suggest that early
psychiatric symptoms of emergent bvFTD may manifest as emotional blunting or mood
changes not cleanly conforming to criteria for a DSM-defined mood disorder.
PMID- 29353235
TI - Iron.ic facts about dementia.
PMID- 29353236
TI - Deep brain stimulation for Parkinson's disease: defining the optimal location
within the subthalamic nucleus.
AB - BACKGROUND: Individual motor improvement after deep brain stimulation (DBS) of
the subthalamic nucleus (STN) for Parkinson's disease (PD) varies considerably.
Stereotactic targeting of the dorsolateral sensorimotor part of the STN is
considered paramount for maximising effectiveness, but studies employing the
midcommissural point (MCP) as anatomical reference failed to show correlation
between DBS location and motor improvement. The medial border of the STN as
reference may provide better insight in the relationship between DBS location and
clinical outcome. METHODS: Motor improvement after 12 months of 65 STN DBS
electrodes was categorised into non-responding, responding and optimally
responding body-sides. Stereotactic coordinates of optimal electrode contacts
relative to both medial STN border and MCP served to define theoretic DBS
'hotspots'. RESULTS: Using the medial STN border as reference, significant
negative correlation (Pearson's correlation -0.52, P<0.01) was found between the
Euclidean distance from the centre of stimulation to this DBS hotspot and motor
improvement. This hotspot was located at 2.8 mm lateral, 1.7 mm anterior and 2.5
mm superior relative to the medial STN border. Using MCP as reference, no
correlation was found. CONCLUSION: The medial STN border proved superior compared
with MCP as anatomical reference for correlation of DBS location and motor
improvement, and enabled defining an optimal DBS location within the nucleus. We
therefore propose the medial STN border as a better individual reference point
than the currently used MCP on preoperative stereotactic imaging, in order to
obtain optimal and thus less variable motor improvement for individual patients
with PD following STN DBS.
PMID- 29353237
TI - Beyond motor neurons: expanding the clinical spectrum in Kennedy's disease.
AB - Kennedy's disease, or spinal and bulbar muscular atrophy (SBMA), is an X-linked
neuromuscular condition clinically characterised by weakness, atrophy and
fasciculations of the limb and bulbar muscles, as a result of lower motor neuron
degeneration. The disease is caused by an abnormally expanded triplet repeat
expansions in the ubiquitously expressed androgen receptor gene, through
mechanisms which are not entirely elucidated. Over the years studies from both
humans and animal models have highlighted the involvement of cell populations
other than motor neurons in SBMA, widening the disease phenotype. The most
compelling aspect of these findings is their potential for therapeutic impact:
muscle, for example, which is primarily affected in the disease, has been
recently shown to represent a valid alternative target for therapy to motor
neurons. In this review, we discuss the emerging study of the extra-motor neuron
involvement in SBMA, which, besides increasingly pointing towards a
multidisciplinary approach for affected patients, deepens our understanding of
the pathogenic mechanisms and holds potential for providing new therapeutic
targets for this disease.
PMID- 29353238
TI - Multimodal spinal cord MRI offers accurate diagnostic classification in ALS.
PMID- 29353239
TI - Altered eicosanoid production and phospholipid remodeling during cell culture.
AB - The remodeling of PUFAs by the Lands cycle is responsible for the diversity of
phospholipid molecular species found in cells. There have not been detailed
studies of the alteration of phospholipid molecular species as a result of serum
starvation or depletion of PUFAs that typically occurs during tissue culture. The
time-dependent effect of cell culture on phospholipid molecular species in RAW
264.7 cells cultured for 24, 48, or 72 h was examined by lipidomic strategies.
These cells were then stimulated to produce arachidonate metabolites derived from
the cyclooxygenase pathway, thromboxane B2, PGE2, and PGD2, and the 5
lipoxygenase pathway, leukotriene (LT)B4, LTC4, and 5-HETE, which decreased with
increasing time in culture. However, the 5-lipoxygenase metabolites of a 20:3
fatty acid, LTB3, all trans-LTB3, LTC3, and 5-hydroxyeicosatrienoic acid, time
dependently increased. Molecular species of arachidonate containing phospholipids
were drastically remodeled during cell culture, with a new 20:3 acyl group being
populated into phospholipids to replace increasingly scarce arachidonate. In
addition, the amount of TNFalpha induced by lipopolysaccharide stimulation was
significantly increased in the cells cultured for 72 h compared with 24 h,
suggesting that the remodeling of PUFAs enhanced inflammatory response. These
studies supported the rapid operation of the Lands cycle to maintain cell growth
and viability by populating PUFA species; however, without sufficient n-6 fatty
acids, 20:3 n-9 accumulated, resulting in altered lipid mediator biosynthesis and
inflammatory response.
PMID- 29353240
TI - PIP4K2A regulates intracellular cholesterol transport through modulating
PI(4,5)P2 homeostasis.
AB - The transport of LDL-derived cholesterol from lysosomes to peroxisomes is
facilitated by membrane contacts formed between the lysosomal protein
synaptotagmin VII and the peroxisomal lipid phosphatidylinositol 4, 5
bisphosphate [PI(4,5)P2]. Here, we used RNA interference to search for regulators
of PI(4,5)P2 and to study the effects of altered PI(4,5)P2 homeostasis on
cholesterol transport. We found that knockdown of phosphatidylinositol 5
phosphate 4-kinase type-2 alpha (PIP4K2A) reduced peroxisomal PI(4,5)P2 levels,
decreased lysosome-peroxisome membrane contacts, and increased accumulation of
lysosomal cholesterol in human SV-589 fibroblasts. Forced expression of
peroxisome-localized, kinase-active PIP4K2A in the knockdown cells reduced
cholesterol accumulation, and in vitro addition of recombinant PIP4K2A restored
membrane contacts. These results suggest that PIP4K2A plays a critical role in
intracellular cholesterol transport by upregulating PI(4,5)P2 levels in the
peroxisomal membrane. Further research into PIP4K2A activity may inform future
therapeutic interventions for managing lysosomal storage disorders.
PMID- 29353241
TI - Inhibition of Endothelial Notch Signaling Impairs Fatty Acid Transport and Leads
to Metabolic and Vascular Remodeling of the Adult Heart.
AB - BACKGROUND: Nutrients are transported through endothelial cells before being
metabolized in muscle cells. However, little is known about the regulation of
endothelial transport processes. Notch signaling is a critical regulator of
metabolism and angiogenesis during development. Here, we studied how genetic and
pharmacological manipulation of endothelial Notch signaling in adult mice affects
endothelial fatty acid transport, cardiac angiogenesis, and heart function.
METHODS: Endothelial-specific Notch inhibition was achieved by conditional
genetic inactivation of Rbp-jkappa in adult mice to analyze fatty acid metabolism
and heart function. Wild-type mice were treated with neutralizing antibodies
against the Notch ligand Delta-like 4. Fatty acid transport was studied in
cultured endothelial cells and transgenic mice. RESULTS: Treatment of wild-type
mice with Delta-like 4 neutralizing antibodies for 8 weeks impaired fractional
shortening and ejection fraction in the majority of mice. Inhibition of Notch
signaling specifically in the endothelium of adult mice by genetic ablation of
Rbp-jkappa caused heart hypertrophy and failure. Impaired heart function was
preceded by alterations in fatty acid metabolism and an increase in cardiac blood
vessel density. Endothelial Notch signaling controlled the expression of
endothelial lipase, Angptl4, CD36, and Fabp4, which are all needed for fatty acid
transport across the vessel wall. In endothelial-specific Rbp-jkappa-mutant mice,
lipase activity and transendothelial transport of long-chain fatty acids to
muscle cells were impaired. In turn, lipids accumulated in the plasma and liver.
The attenuated supply of cardiomyocytes with long-chain fatty acids was
accompanied by higher glucose uptake, increased concentration of glycolysis
intermediates, and mTOR-S6K signaling. Treatment with the mTOR inhibitor
rapamycin or displacing glucose as cardiac substrate by feeding a ketogenic diet
prolonged the survival of endothelial-specific Rbp-jkappa-deficient mice.
CONCLUSIONS: This study identifies Notch signaling as a novel regulator of fatty
acid transport across the endothelium and as an essential repressor of
angiogenesis in the adult heart. The data imply that the endothelium controls
cardiomyocyte metabolism and function.
PMID- 29353242
TI - Sickle cell crisis: A crisis of a different sort?
AB - A 9-year-old boy with sickle cell disease (SCD) was presented to the emergency
department with acute headache and swelling over his bilateral temporoparietal
region. There was no history of antecedent trauma, fever, vomiting or other
features of an intercurrent illness. On arrival, his blood pressure was 112/62 mm
Hg, heart rate was 98/min and his Glasgow Coma Scale score was 15/15. There was
evidence of significant scalp tenderness over the bilateral temporoparietal
region. A complete neurological examination including direct and consensual
pupillary response was unremarkable. Initial investigations revealed haemoglobin
of 9.6 g/dL, leucocyte count of 6.8/mm3, platelet count of 219/mm3 and a normal
coagulation profile. His current medications included hydroxyurea and penicillin
prophylaxis. He underwent an urgent CT of the head followed by MRI of the brain,
which revealed abnormalities as depicted in figures 1,2
edpract;103/6/290/F1F1F1Figure 1CT head. edpract;103/6/290/F2F2F2Figure 2MRI
brain. QUESTION 1: Is this one of the most common neurological presentation seen
in sickle cell crisis? QUESTION 2: How common is this presentation in paediatric
SCD? QUESTION 3: What is the best way to manage this child?
PMID- 29353244
TI - Edge conditions and crash-avoidance roles: the future of traffic safety in the
world of autonomous vehicles.
PMID- 29353243
TI - Impact of order set design on urine culturing practices at an academic medical
centre emergency department.
AB - BACKGROUND: Urinalysis and urine culture are commonly ordered tests in the
emergency department (ED). We evaluated the impact of removal of order sets from
the 'frequently ordered test' in the computerised physician order entry system
(CPOE) on urine testing practices. METHODS: We conducted a before (1 September to
20 October 2015) and after (21 October to 30 November 2015) study of ED patients.
The intervention consisted of retaining 'urinalysis with reflex to microscopy' as
the only urine test in a highly accessible list of frequently ordered tests in
the CPOE system. All other urine tests required use of additional order screens
via additional mouse clicks. The frequency of urine testing before and after the
intervention was compared, adjusting for temporal trends. RESULTS: During the
study period, 6499 (28.2%) of 22 948 ED patients had >=1 urine test ordered.
Urine testing rates for all ED patients decreased in the post intervention period
for urinalysis (291.5 pre intervention vs 278.4 per 1000 ED visits post
intervention, P=0.03), urine microscopy (196.5vs179.5, P=0.001) and urine culture
(54.3vs29.7, P<0.001). When adjusted for temporal trends, the daily culture rate
per 1000 ED visits decreased by 46.6% (-46.6%, 95% CI -66.2% to -15.6%), but
urinalysis (0.4%, 95% CI -30.1 to 44.4%), microscopy (-6.5%, 95% CI -36.0% to
36.6%) and catheterised urine culture rates (17.9%, 95% CI -16.9 to 67.4) were
unchanged. CONCLUSIONS: A simple intervention of retaining only 'urinalysis with
reflex to microscopy' and removing all other urine tests from the 'frequently
ordered' window of the ED electronic order set decreased urine cultures ordered
by 46.6% after accounting for temporal trends. Given the injudicious use of
antimicrobial therapy for asymptomatic bacteriuria, findings from our study
suggest that proper design of electronic order sets plays a vital role in
reducing excessive ordering of urine cultures.
PMID- 29353245
TI - New WHO Violence Prevention Information System, an interactive knowledge platform
of scientific findings on violence.
AB - Scientific information on violence can be difficult to compile and understand. It
is scattered across websites, databases, technical reports and academic journals,
and rarely addresses all types of violence. In response, in October 2017 WHO
released the Violence Prevention Information System or Violence Info, an online
interactive collection of scientific information about the prevalence,
consequences, risk factors and preventability of all forms of interpersonal
violence. It covers homicide, child maltreatment, youth violence, intimate
partner violence, elder abuse and sexual violence.
PMID- 29353246
TI - A concept mapping approach to identifying the barriers to implementing an
evidence-based sports injury prevention programme.
AB - BACKGROUND AND AIM: Understanding the barriers to programme use is important to
facilitate implementation of injury prevention programmes in real-word settings.
This study investigated the barriers to coaches of adolescent female soccer
teams, in Victoria, Australia, implementing the evidence-based FIFA 11+ injury
prevention programme. METHODS: Concept mapping with data collected from 19 soccer
coaches and administrators. RESULTS: Brainstorming generated 65 statements as
barriers to 11+ implementation. After the statements were synthesised and edited,
participants sorted 59 statements into groups (mean, 6.2 groups; range, 3-10
groups). Multidimensional scaling and hierarchical cluster analysis identified a
six-cluster solution: Lack of 11+ knowledge among coaches (15 statements), Lack
of player enjoyment and engagement (14), Lack of link to football-related goals
(11), Lack of facilities and resources (8), Lack of leadership (6) and Lack of
time at training (5). Statements in the 'Lack of 11+ knowledge among coaches'
cluster received the highest mean importance (3.67 out of 5) and feasibility for
the Football Federation to address (3.20) rating. Statements in the 'Lack of
facilities and resources' cluster received the lowest mean importance rating
(2.23), while statements in the 'Lack of time at training' cluster received the
lowest mean feasibility rating (2.19). CONCLUSIONS: A multistrategy, ecological
approach to implementing the 11+-with specific attention paid to improving coach
knowledge about the 11+ and how to implement it, linking the 11+ to the primary
goal of soccer training, and organisational leadership-is required to improve the
uptake of the 11+ among the targeted coaches.
PMID- 29353247
TI - Hydroxychloroquine-induced restrictive cardiomyopathy: a case report.
PMID- 29353248
TI - Hypertrophic osteoarthropathy in a patient with known lung cancer.
PMID- 29353250
TI - Loss of KRAS control as consequence of downregulated microRNA-622 in
hepatocellular carcinoma and its potential therapeutic implication.
PMID- 29353251
TI - Cardiopulmonary resuscitation: the science behind the hands.
AB - Sudden cardiac arrest is a leading cause of death worldwide. Despite significant
advances in resuscitation science since the initial use of external chest
compressions in humans nearly 60 years ago, there continues to be wide
variability in rates of successful resuscitation across communities. The American
Heart Association (AHA) and European Resuscitation Council emphasise the
importance of high-quality chest compressions as the foundation of resuscitation
care. We review the physiological basis for the association between chest
compression quality and clinical outcomes and the scientific basis for the AHA's
key metrics for high-quality cardiopulmonary resuscitation. Finally, we highlight
that implementation of strategies that promote effective chest compressions can
improve outcomes in all patients with cardiac arrest.
PMID- 29353249
TI - Plasma cell-free DNA methylation: a liquid biomarker of hepatic fibrosis.
PMID- 29353252
TI - Systematic review of general practice end-of-life symptom control.
AB - BACKGROUND: End of life care (EoLC) is a fundamental role of general practice,
which will become more important as the population ages. It is essential that
general practice's role and performance of at the end of life is understood in
order to maximise the skills of the entire workforce. OBJECTIVE: To provide a
comprehensive description of the role and performance of general practitioners
(GPs) and general practice nurses (GPNs) in EoLC symptom control. METHOD:
Systematic literature review of papers from 2000 to 2017 were sought from
Medline, PsycINFO, Embase, Joanna Briggs Institute and Cochrane databases.
RESULTS: From 6209 journal articles, 46 papers reported GP performance in symptom
management. There was no reference to the performance of GPNs in any paper
identified. Most GPs expressed confidence in identifying EoLC symptoms. However,
they reported lack of confidence in providing EoLC at the beginning of their
careers, and improvements with time in practice. They perceived emotional support
as being the most important aspect of EoLC that they provide, but there were
barriers to its provision. GPs felt most comfortable treating pain, and least
confident with dyspnoea and depression. Observed pain management was sometimes
not optimal. More formal training, particularly in the use of opioids was
considered important to improve management of both pain and dyspnoea.
CONCLUSIONS: It is essential that GPs receive regular education and training, and
exposure to EoLC from an early stage in their careers to ensure skill and
confidence. Research into the role of GPNs in symptom control needs to occur.
PMID- 29353253
TI - Experiential learning to increase palliative care competence among the Indigenous
workforce: an Australian experience.
AB - OBJECTIVES: Improving Indigenous people's access to palliative care requires a
health workforce with appropriate knowledge and skills to respond to end-of-life
(EOL) issues. The Indigenous component of the Program of Experience in the
Palliative Approach (PEPA) includes opportunities for Indigenous health
practitioners to develop skills in the palliative approach by undertaking a
supervised clinical placement of up to 5 days within specialist palliative care
services. This paper presents the evaluative findings of the components of an
experiential learning programme and considers the broader implications for
delivery of successful palliative care education programme for Indigenous people.
METHODS: Semistructured interviews were conducted with PEPA staff and Indigenous
PEPA participants. Interviews were recorded, transcribed and key themes
identified. RESULTS: Participants reported that placements increased their
confidence about engaging in conversations about EOL care and facilitated
relationships and ongoing work collaboration with palliative care services.
Management support was critical and placements undertaken in settings which had
more experience caring for Indigenous people were preferred. Better engagement
occurred where the programme included Indigenous staffing and leadership and
where preplacement and postplacement preparation and mentoring were provided.
Opportunities for programme improvement included building on existing
postplacement and follow-up activities. CONCLUSIONS: A culturally respectful
experiential learning education programme has the potential to upskill Indigenous
health practitioners in EOL care.
PMID- 29353255
TI - Commentary: Heterogeneity of respiratory disease in children and young adults
with sickle cell disease.
PMID- 29353254
TI - The supportive relationship between palliative patients and family caregivers.
PMID- 29353256
TI - Dieulafoy's disease with mediastinal arteriovenous malformation.
PMID- 29353257
TI - Ethical dilemmas of recording and reviewing neonatal resuscitation.
AB - Neonatal resuscitation is provided to approximately 3% of neonates. Adequate
ventilation is often the key to successful resuscitation, but this can be
difficult to provide. There is increasing evidence that inappropriate respiratory
support can have severe consequences. Several neonatal intensive care units have
recorded and reviewed neonatal resuscitation procedures for quality assessment,
education and research; however, ethical dilemmas sometimes make it difficult to
implement this review process. We reviewed the literature on the development of
recording and reviewing neonatal resuscitation and have summarised the ethical
concerns involved. Recording and reviewing vital physiological parameters and
video imaging of neonatal resuscitation in the delivery room is a valuable tool
for quality assurance, education and research. Furthermore, it can improve the
quality of neonatal resuscitation provided. We observed that ethical dilemmas
arise as the review process is operating in several domains of healthcare that
all have their specific moral framework with requirements and conditions on
issues such as consent, privacy and data storage. These moral requirements and
conditions vary due to local circumstances. Further research on the ethical
aspects of recording and reviewing is desirable before wider implementation of
this technique can be recommended.
PMID- 29353258
TI - Aplasia cutis congenita and 'vanishing twin' caused by iatrogenic fetal
reduction.
PMID- 29353259
TI - Non-invasive prenatal testing of pregnancies at risk for phenylketonuria.
AB - BACKGROUND: Phenylketonuria (PKU) is a common metabolic disorder caused
predominately by mutations in the phenylalanine hydroxylase (PAH) gene. The aim
of the study was to design and validate the performance of a non-invasive
prenatal test (NIPT) for PKU using circulating single molecule amplification and
resequencing technology (cSMART). METHODS: A total of 18 couples at genetic risk
for having a child with PKU were recruited to the study. Gold standard invasive
prenatal diagnosis (IPD) was performed on amniocyte or villus cell DNA by Sanger
sequencing, targeting the known parental PAH mutations. Retrospectively, NIPT was
also performed on stored maternal plasma samples from the 18 pregnancies by a
multiplex cSMART assay designed to target all known DNA variants in the PAH gene.
RESULTS: Benchmarking against IPD results, NIPT correctly genotyped all fetuses,
including six compound heterozygotes with PKU, four normal non-carriers of PKU
and eight heterozygote carriers of PKU comprising five cases of a maternally
inherited mutation and three cases of a paternally inherited mutation.
CONCLUSIONS: The NIPT cSMART PKU assay was highly sensitive and specific for
mutation detection and correct assignment of fetal genotypes. Based on
comprehensive mutation coverage across the PAH gene, the assay may initially have
clinical utility as a pregnancy screening test for high-risk carrier couples.
PMID- 29353260
TI - Wide variation in severe neonatal morbidity among very preterm infants in
European regions.
AB - OBJECTIVE: To investigate the variation in severe neonatal morbidity among very
preterm (VPT) infants across European regions and whether morbidity rates are
higher in regions with low compared with high mortality rates. DESIGN: Area-based
cohort study of all births before 32 weeks of gestational age. SETTING: 16
regions in 11 European countries in 2011/2012. PATIENTS: Survivors to discharge
from neonatal care (n=6422). MAIN OUTCOME MEASURES: Severe neonatal morbidity was
defined as intraventricular haemorrhage grades III and IV, cystic periventricular
leukomalacia, surgical necrotizing enterocolitis and retinopathy of prematurity
grades >=3. A secondary outcome included severe bronchopulmonary dysplasia (BPD),
data available in 14 regions. Common definitions for neonatal morbidities were
established before data abstraction from medical records. Regional severe
neonatal morbidity rates were correlated with regional in-hospital mortality
rates for live births after adjustment on maternal and neonatal characteristics.
RESULTS: 10.6% of survivors had a severe neonatal morbidity without severe BPD
(regional range 6.4%-23.5%) and 13.8% including severe BPD (regional range 10.0%
23.5%). Adjusted inhospital mortality was 13.7% (regional range 8.4%-18.8%).
Differences between regions remained significant after consideration of maternal
and neonatal characteristics (P<0.001) and severe neonatal morbidity rates were
not correlated with mortality rates (P=0.50). CONCLUSION: Severe neonatal
morbidity rates for VPT survivors varied widely across European regions and were
independent of mortality rates.
PMID- 29353261
TI - Impact of delivered tidal volume on the occurrence of intraventricular
haemorrhage in preterm infants during positive pressure ventilation in the
delivery room.
AB - BACKGROUND AND OBJECTIVES: Delivery of inadvertent high tidal volume (VT) during
positive pressure ventilation (PPV) in the delivery room is common. High VT
delivery during PPV has been associated with haemodynamic brain injury in animal
models. We examined if VT delivery during PPV at birth is associated with brain
injury in preterm infants <29 weeks' gestation. METHODS: A flow-sensor was placed
between the mask and the ventilation device. VT values were compared with
recently described reference ranges for VT in spontaneously breathing preterm
infants at birth. Infants were divided into two groups: VT<6 mL/kg or VT>6 mL/kg
(normal and high VT, respectively). Brain injury (eg, intraventricular
haemorrhage (IVH)) was assessed using routine ultrasound imaging within the first
days after birth. RESULTS: A total of 165 preterm infants were included, 124
(75%) had high VT and 41 (25%) normal VT. The mean (SD) gestational age and birth
weight in high and normal VT group was similar, 26 (2) and 26 (1) weeks, 858
(251) g and 915 (250) g, respectively. IVH in the high VT group was diagnosed in
63 (51%) infants compared with 5 (13%) infants in the normal VT group
(P=0.008).Severe IVH (grade III or IV) developed in 33/124 (27%) infants in the
high VT group and 2/41 (6%) in the normal VT group (P=0.01). CONCLUSIONS: High VT
delivery during mask PPV at birth was associated with brain injury. Strategies to
limit VT delivery during mask PPV should be used to prevent high VT delivery.
PMID- 29353262
TI - Preterm human milk macronutrient concentration is independent of gestational age
at birth.
AB - OBJECTIVE: To evaluate the amount of macronutrients in aggregate of human milk
samples after preterm delivery during the first 2 months of lactation. METHODS:
Analysis of the donated single milk samples, gained by complete emptying of the
whole breast at the same daytime between 24+0 and 35+6 gestational age (GA), was
designed as prospective observational cohort trial. Two milk samples were
analysed every postnatal week up to the discharge from the hospital, week 9 or
loss of lactation. 24-Hour milk collection was not done. Analysis was performed
using the MIRIS Human Milk Analyser (MIRIS AB, Uppsala, Sweden). RESULTS: A set
of 1917 human milk samples donated by 225 mothers after preterm labour was
analysed. Group A (24-30 GA) contains 969 milk samples; group B (31-35 GA)
contains 948 milk samples. No difference in milk composition between the groups
was identified. Median of true protein content decreased from 1.6 g/dL in group A
and 1.5 g/dL in group B in the first week of life, to 1.1 g/dL in both groups at
the end of week 3, and then remained stable up to week 9. Content of
carbohydrates and fat was stable during the whole observation, with
interindividual differences. CONCLUSION: Human milk does not differ as a function
of degree of prematurity. Protein content of preterm human milk is low and
decreases during the first 3 weeks of lactation. Recommended daily protein intake
cannot be achieved with routine fortification in majority of milk samples.
PMID- 29353263
TI - Subcutaneous adipose tissue biology in metabolic syndrome.
AB - Metabolic syndrome (MetS) is a common global problem that comprises the cardio
metabolic cluster and predisposes to both diabetes and cardiovascular diseases.
Although the pathogenic mechanisms have not been elucidated, both increased
inflammation and insulin resistance play a pivotal role. It appears that both
monocyte/macrophages and adipose tissue (AT) conspire to accentuate both the pro
inflammatory state and increased insulin resistance. Whilst there are scant data
on visceral adipose tissue (VAT) and epicardial adipose tissue (EAT) biology,
there are data on subcutaneous adipose tissue (SAT) dysregulation. There is a
significant increase in macrophages and crown-like structures in the SAT of
patients with MetS. With respect to adipokines, there is an increase in plasma
leptin, plasminogen activator inhibitor-1, retinol-binding protein-4 (RBP-4),
chemerin, serum amyloid-A, C-reactive protein (CRP), interleukin-1, -6, -8,
lipopolysaccharide, fetuin A (FetA) and a decrease in adiponectin and omentin-1.
All of the abnormalities in plasma were also confirmed for SAT-secreted
adipokines except for adiponectin and RBP-4 which derive largely from VAT. As
many of these biomediators correlate with both insulin resistance and increased
inflammation, we can posit that dysregulation of SAT is detrimental and
contributes to both the pathogenesis of MetS and its sequalae. Furthermore, as
future directions, much work is needed with respect to VAT/EAT biology,
autophagy, sirtuins, the gut microbiome, browning of AT, to further elucidate
this common syndrome and identify potential therapeutic targets to forestall its
serious complications.
PMID- 29353264
TI - Response to growth hormone treatment in very young patients with growth hormone
deficiencies and mini-puberty.
AB - BACKGROUND: The aim of the study was to assess the response to growth hormone
(GH) treatment in very young patients with GH deficiency (GHD) through a
national, multi-center study. Possible factors affecting growth response were
assessed (especially mini-puberty). METHODS: Medical reports of GHD patients in
whom treatment was initiated between 0 and 3 years of age were retrospectively
evaluated. RESULTS: The cohort numbered 67. The diagnosis age was 12.4+/-8.6
months, peak GH stimulation test response (at diagnosis) as 1.0+/-1.4 ng/mL. The
first and second years length gain was 15.0+/-4.3 and 10.4+/-3.4 cm. Weight gain
had the largest effect on first year growth response; whereas weight gain and GH
dose were both important factors affecting second year growth response. In the
multiple pituitary hormone deficiency (MPHD) group (n=50), first year GH response
was significantly greater than in the isolated GH deficiency (IGHD) group (n=17)
(p=0.030). In addition first year growth response of infants starting GH between
0 and 12 months of age (n=24) was significantly greater than those who started
treatment between 12 and 36 months of age (n=43) (p<0.001). These differences
were not seen in the second year. Delta Length/height standard deviation score
(SDS), Delta body weight SDS, length/height SDS, weight SDS in MPHD without
hypogonadism for the first year of the GH treatment were found as significantly
better than MPHD with hypogonadism. CONCLUSIONS: Early onsets of GH treatment,
good weight gain in the first year of the treatment and good weight gain-GH dose
in the second year of the treatment are the factors that have the greatest effect
on length gain in early onset GHD. The presence of the sex steroid hormones
during minipubertal period influence growth pattern positively under GH treatment
(closer to the normal percentage according to age and gender).
PMID- 29353265
TI - Growth, sexual and bone development in a boy with bilateral anorchia under
testosterone treatment guided by the development of his monozygotic twin.
AB - BACKGROUND: Sex steroids are essential for sexual maturation, linear growth and
bone development. However, there is no consensus on the optimal timing, dosage
and dosage interval of testosterone therapy to induce pubertal development and
achieve a normal adult height and bone mass in children with hypogonadism. CASE
PRESENTATION: A monozygotic monochorial male twin pair, of which one boy was
diagnosed with anorchia at birth due to testicular regression syndrome was
followed from the age of 3 until the age of 18 years. Low dose testosterone
substitution (testosterone esters 25 mg/2 weeks) was initiated in the affected
twin based on the start of pubertal development in the healthy twin and then
gradually increased accordingly. Both boys were followed until age 18 and were
compared as regards to linear growth, sexual maturation, bone maturation and bone
development. Before puberty induction both boys had a similar weight and height.
During puberty, a slightly faster weight and height gain was observed in the
affected twin. Both boys ended up however, with a similar and normal (near) adult
height and weight and experienced a normal development of secondary sex
characteristics. At the age of 17 and 18 years, bone mineral density, body
composition and volumetric bone parameters at the forearm and calf were evaluated
in both boys. The affected boy had a higher lean mass and muscle cross-sectional
area. The bone mineral density at the lumbar spine and whole body was similar.
Trabecular and cortical volumetric bone parameters were comparable. At one
cortical site (proximal radius), however, the affected twin had a smaller
periosteal and endosteal circumference with a thicker cortex. CONCLUSIONS: In
conclusion, a low dose testosterone substitution in bilateral anorchia led to a
normal onset of pubertal development and (near) adult height. Furthermore, there
was no difference in bone mineral density at the age of 17 and 18 years.
PMID- 29353266
TI - Twenty-seven mutations with three novel pathologenic variants causing biotinidase
deficiency: a report of 203 patients from the southeastern part of Turkey.
AB - BACKGROUND: Biotinidase deficiency (BD) is an autosomal recessive inborn error of
metabolism characterized by neurologic and cutaneous symptoms and can be detected
by newborn screening. Newborn screening for BD was implemented in Turkey at the
end of 2008. METHODS: In total, 203 patients who were identified among the
infants detected by the newborn screening were later confirmed to have BD through
measurement of serum biotinidase activity. We also performed BTD mutation
analysis to characterize the genetic profile. RESULTS: Twenty-seven mutations
were identified. The most commonly found variants were c.1330G>C (p.D444H),
c.1595C>T (p.T532M), c.470G>A (p.R157H), and c.198_104delGCGGCTGinsTCC (p.C33Ffs
) with allele frequencies of 0.387, 0.175, 0.165 and 0.049, respectively. Three
novel pathogenic and likely pathogenic variants were identified: p.W140*
(c.419G>A), p.S319F (c.956C>T) and p.L69Hfs*24 (c.192_193insCATC). We also
identified three mutations reported in just one patient in the past (p.V442Sfs*59
[c.1324delG], p.H447R [c.1340A>G] and p.198delV [c.592_594delGTC]). Although all
of the patients were asymptomatic under the treatment of biotin, only one
patient, who had the novel c.419G>A homozygous mutation became symptomatic during
an episode of acute gastroenteritis with a presentation of ketosis and metabolic
acidosis. Among the screened patients, 156 had partial and 47 had profound BD.
CONCLUSIONS: We determined the mutation spectra of BD from the southeastern part
of Turkey. The results of this study add three more mutations to the total number
of mutations described as causing BD.
PMID- 29353267
TI - Bioactive pyrrole alkaloids isolated from the Red Sea: marine sponge Stylissa
carteri.
AB - Fifteen pyrrole alkaloids were isolated from the Red Sea marine sponge Stylissa
carteri and investigated for their biological activities. Four of them were
dibrominated [(+) dibromophakelline, Z-3-bromohymenialdisine, (+/-) ageliferin
and 3,4-dibromo-1H-pyrrole-2-carbamide], nine compounds were monobrominated [(-)
clathramide C, agelongine, (+) manzacidin A, (-) 3-bromomanzacidin D, Z
spongiacidin D, Z-hymenialdisine, 2-debromostevensine, 2-bromoaldisine and 4
bromo-1H-pyrrole-2-carbamide)] and finally, two compounds were non-brominated
derivatives viz., E-debromohymenialdisine and aldisine. The structure
elucidations of isolated compounds were based on 1D & 2D NMR spectroscopic and MS
studies, as well as by comparison with literature. In-vitro, Z-spongiacidin D
exhibited a moderate activity on (ARK5, CDK2-CycA, CDK4/CycD1, VEGF-R2, SAK and
PDGFR-beta) protein kinases. Moreover, Z-3-bromohymenialdisine showed nearly
similar pattern. Furthermore, Z-hymenialdisine displayed a moderate effect on
(ARK5 & VEGF-R2) and (-) clathramide C showed a moderate activity on AURORA-A
protein kinases. While, agelongine, (+) manzacidin A, E-debromohymenialdisine and
3,4-dibromo-1H-pyrrole-2-carbamide demonstrated only marginal inhibitory
activities. The cytotoxicity study was evaluated in two different cell lines. The
most effective secondary metabolites were (+) dibromophakelline and Z-3
bromohymenialdisine on L5178Y. Finally, Z-hymenialdisine, Z-3-bromohymenialdisine
and (+/-) ageliferin exhibited the highest cytotoxic activity on HCT116. No
report about inhibition of AURORA-A and B by hymenialdisine/hymenialdisine
analogs existed and no reported toxicity of ageliferin existed in literature.
PMID- 29353268
TI - Non-Invasive Diagnostic Criteria for Hepatocellular Carcinoma in Hepatitis B
Virus-Endemic Areas: Is Cirrhosis Indispensable?
AB - AIM: To confirm whether cirrhosis is indispensable for the non-invasive
diagnostic criteria for hepatocellular carcinoma (HCC) in hepatitis B virus (HBV)
endemic areas. METHODS: Between January 2014 and December 2014, a total of 409
patients with pathologically proven focal liver lesions who underwent contrast
enhanced ultrasound (CEUS) were recruited from our institution. Clinical liver
cirrhosis, HBV/HCV infection and HCC-typical vascular pattern of the targeted
lesion on CEUS were evaluated. The following 3 criteria were applied to these
patients to diagnose HCC: criterion 1, clinical liver cirrhosis and HCC-typical
vascular pattern; criterion 2, HBV/HCV infection and HCC-typical vascular
pattern; criterion 3, HBV/HCV infection or clinical liver cirrhosis and HCC
typical vascular pattern. Pathological reports were considered the gold standard.
RESULTS: A total of 311 patients had confirmed HCC by pathology. The sensitivity,
specificity, accuracy, positive predictive value, negative predictive value and
area under the ROC curve for criterion 1 were 29.6, 90.8, 44.3, 91.1, 28.9, and
0.60% respectively. For criterion 2, they were 83.3, 74.5, 81.2, 91.2, 58.4, and
0.79%, respectively, and for criterion 3, they were 86.2, 72.5, 82.9, 90.9, 62.3,
and 0.79% respectively. CONCLUSIONS: In HBV-endemic areas, when using the HBV/HCV
infection instead of cirrhosis as the precondition of the non-invasive diagnostic
criteria for HCC, we should be aware of the potential false positive. Cirrhosis
still plays an important role in the non-invasive diagnostic criteria for HCC
because of the high specificity.
PMID- 29353270
TI - Screening for Brain Involvement in Infants with Multifocal Cutaneous Infantile
Hemangiomas.
AB - BACKGROUND: Multifocal (>=5) infantile hemangiomas (IHs) are known as a risk
factor for extracutaneous involvement. Liver is the most commonly involved organ,
but involvement of other systems has also been reported. This study aims to
describe the characteristic findings in a group of infants with multiple
cutaneous hemangiomas, with emphasis on intracranial involvement. METHODS: A
retrospective case series study was carried out in a pediatric dermatology unit
of a tertiary pediatric medical center. Patients diagnosed with multiple
cutaneous IHs from 2006 to 2015 were identified by a computerized search.
Clinical data were retrieved from the medical charts. RESULTS: A total of 60
infants (37 females and 23 males) were identified for analysis. Forty-four brain
ultrasounds were recorded and reported as normal. One patient out of the 44 was
later diagnosed with a small asymptomatic hemangioma seen on a brain MRI/MRA done
for another indication. CONCLUSION: Brain hemangiomas may present as an
asymptomatic incidental finding in infants presenting with multifocal cutaneous
and liver IHs. The single case reported in our study emphasizes the low
prevalence and the benign course expected. Therefore, routine ultrasound
screening for brain involvement is probably unnecessary for this population.
PMID- 29353269
TI - Clinical Characteristics and Allergen Sensitization Patterns of Patients with
Local Allergic Rhinitis in Southern China.
AB - BACKGROUND: Local allergic rhinitis (LAR) is characterized by the production of
specific IgE (sIgE) in the nasal mucosa without evidence of systemic atopy. The
characteristics of LAR in Caucasians have been well documented. LAR is
understudied in China, with prevalence, patient demographics, symptomatology, and
the allergen sensitization profile being poorly understood. The purpose of this
study is to investigate the demographics, characteristics, and allergen
sensitization profile of patients with LAR in Southern China. METHODS: A total of
194 patients with rhinitis and 13 healthy subjects were enrolled in the current
study. The patients' demographic data, clinical history, and symptoms were
recorded. Local and systemic sIgE to a wide panel of specific allergens were
measured in the nasal secretion and serum samples. RESULTS: Among the rhinitis
patients, 115 were classified as allergic rhinitis (AR; 59.3%), 15 as LAR (7.7%),
and 64 as non-AR (33.0%). The demographic characteristics, duration, frequency,
and severity of symptoms were similar, although LAR exhibited higher symptom
scores for nasal itch. Monosensitization was the predominant pattern of
sensitization in both AR (109 out of 115, 95%) and LAR (14 out of 15, 93%). House
dust mite was the dominant allergen in AR patients (109 out of 115, 95%), while
pollen was the dominant allergen in LAR patients (11 out of 15, 73%). CONCLUSION:
The prevalence of LAR patients in Southern China was 7.7%. Pollen was the most
common sensitizing allergen for the local LAR patients, which differs from
Caucasian studies, in which house dust mite was the dominant sensitizing
allergen. Monosensitization was the predominant pattern in both AR and LAR.
PMID- 29353271
TI - Erythrocytes and Skeletal Muscle Unsaturated and Omega-6 Fatty Acids Are
Positively Correlated after Caloric Restriction and Exercise.
AB - BACKGROUND: Nutritional intervention studies with fatty acid (FA) supplements
assess the efficacy of the intervention by measuring the changes in erythrocyte
membrane lipid profiles reflected in tissue composition changes. The aim was to
determine the effects of caloric restriction (CR) on erythrocytes lipid
composition and to compare and correlate these changes with skeletal muscle acid
profiles after CR. METHODS: Erythrocytes were obtained from 11 healthy men before
and after 4 weeks of 33% CR in post-exercise conditions; muscle biopsies were
obtained from the same athletes after 4 weeks of 33% CR in post-exercise
conditions. Samples were used for FA determination by chromatography. RESULTS: CR
significantly modified erythrocyte FAs composition. Skeletal muscle FA profile
was significantly different from that for the erythrocytes. The erythrocyte FA
profile was more saturated (52.1 +/- 1.5% and 32.8 +/- 0.9%, respectively) and
less monounsaturated (21.0 +/- 0.8% and 39.0 +/- 2.0%, respectively) than the
skeletal muscle FA profile and similarly polyunsaturated. CONCLUSIONS: CR
modifies erythrocyte lipid composition, mainly omega-6 FAs. Erythrocyte
monounsaturated, polyunsaturated and omega-6 FAs, but not the saturated and omega
3 FAs, were significantly positively correlated with skeletal muscle FAs. There
is a discordance between saturated and omega-3 FAs from erythrocyte and from
muscle, but monounsaturated, polyunsaturated and omega-6 fatty acids are
positively correlated.
PMID- 29353272
TI - Optical Coherence Tomography Angiography Offers New Insights into
Choriocapillaris Perfusion.
AB - The choriocapillaris (CC) represents a fundamentally important vascular layer
that is subject to physiologic changes with increasing age and that is also
associated with a wide range of chorioretinal diseases. So far, information on
blood flow in this specific layer has remained limited. With the advent of
optical coherence tomography angiography (OCTA), new perspectives and
possibilities of CC imaging have begun to evolve. This article shall review the
opportunities and challenges of applying OCTA technology to the CC layer and
summarize the current clinical efforts in OCTA CC imaging exemplarily in dry age
related macular degeneration and central serous chorioretinopathy.
PMID- 29353273
TI - Metabolomics in Sepsis and Its Impact on Public Health.
AB - Sepsis, with its often devastating consequences for patients and their families,
remains a major public health concern that poses an increasing financial burden.
Early resuscitation together with the elucidation of the biological pathways and
pathophysiological mechanisms with the use of "-omics" technologies have started
changing the clinical and research landscape in sepsis. Metabolomics (i.e., the
study of the metabolome), an "-omics" technology further down in the "-omics"
cascade between the genome and the phenome, could be particularly fruitful in
sepsis research with the potential to alter the clinical practice. Apart from its
benefit for the individual patient, metabolomics has an impact on public health
that extends beyond its applications in medicine. In this review, we present
recent developments in metabolomics research in sepsis, with a focus on
pneumonia, and we discuss the impact of metabolomics on public health, with a
focus on free/libre open source software.
PMID- 29353274
TI - Postoperative Immobilization following Occipitocervical Fusion in the Pediatric
Population: Outcome Evaluation and Review of Literature.
AB - The scientific literature does not have a consensus about the role and method of
postoperative immobilization after occipitocervical fusion in the pediatric
population. The primary goal of this study is to review the medical literature
and evaluate different immobilization methods and their impact on fusion,
following the surgical management of craniocervical instability in children. It
started with an extensive research of randomized controlled trials, series of
cases and case reports, describing occipitocervical junction pathologies,
clinical, epidemiological characteristics, and treatment. The search was
performed using the Pubmed database evaluating all the literature involving
postoperative immobilization after occipitocervical fusion in pediatric patients.
The results showed that most cases of occipitocervical stabilization were due to
congenital spinal instability followed by trauma in most series. The most common
type of surgery performed was occipitocervical fusion using screw and rod
constructs. The different methods of postoperative immobilization did not affect
outcomes. Then, we can conclude that screw-and-rod constructions in
occipitocervical fusion augment the rates of fusion, independently from which
immobilization was used, even when none was used at all.
PMID- 29353275
TI - Endocrine and Metabolic Disturbances in Survivors of Hematopoietic Stem Cell
Transplantation in Childhood and Adolescence.
AB - BACKGROUND/AIMS: The objective was to evaluate endocrine complications in
survivors of hematopoietic stem cell transplantation (HSCT) performed during
childhood. METHODS: Endocrine dysfunction and metabolic syndrome parameters were
assessed by chart review of 178 childhood HSCT survivors (median age at
evaluation, 15.5 [range: 3.8-29.8] years; median follow-up, 8.5 [range: 2-23.4]
years). RESULTS: The following statistically significant associations were
identified (p < 0.05 for all): growth hormone deficiency (17.4%) was associated
with cranial/craniospinal irradiation, total body irradiation (TBI), allogeneic
HSCT, and longer follow-up. Short adult stature (23.3% of patients who had
attained adult height) was associated with cranial/craniospinal irradiation and,
in females, with younger age at HSCT. Primary gonadal failure was more prevalent
in females (52.6 vs. 24.1%), and was associated with TBI in males and with a
primary diagnosis of hematological malignancy in females. Hypothyroidism (25.2%)
was associated with previous neck/mediastinal irradiation. Metabolic disturbances
included obesity (3.9%), type 2 diabetes (2.2%), impaired glucose tolerance
(2.8%), and dyslipidemia (18.5%). Dyslipidemia was associated with a primary
diagnosis of hematological malignancy, TBI, and a positive family history of
dyslipidemia. Endocrine dysfunction was less frequent in patients who had
received fludarabine. CONCLUSIONS: Patients after HSCT require long-term
surveillance for the detection of endocrine and metabolic disorders.
Nonmyeloablative conditioning regimens may reduce the incidence of these
complications.
PMID- 29353276
TI - What Can Google Inform Us about People's Interests regarding Dental Caries in
Different Populations?
AB - The diagnosis or suspicion of dental caries can lead people to seek additional
information on the Internet through the use of structured queries in search
engine tools. This action generates a considerable volume of data, which can be
analyzed to provide a better understanding of the public's behavior linked to the
consumption of oral health information. This study aimed to assess the volume and
profile of web searches on dental caries-related queries performed by Google
users from different countries. The monthly variation of the Search Volume Index
(SVI) for dental caries was obtained in Google Trends for the period between
January 2004 and September 2016. The validity of SVI data was assessed by their
levels of stability and correlation with the disability-adjusted life-years
(DALYs) for permanent teeth. In all countries, a trend of an increasing interest
of Google users in dental caries issues was revealed by the comparison of the
means observed in the predictive models and those in the last 12 months. The
interest levels varied throughout the year, with the observation of the highest
SVI values in the spring and the lowest in the summer. The most popular queries
were markedly associated with symptoms and treatments, with a little interest in
prevention. In conclusion, the use of Internet data mining could be helpful in
establishing the dental needs of specific population groups in a near real-time,
since the web consumption of dental information is increasing in importance and
appears to have a direct relation with untreated dental caries.
PMID- 29353277
TI - A Critical Review of the Consensus Statement from the European Atherosclerosis
Society Consensus Panel 2017.
AB - BACKGROUND: The Consensus Statement from the European Atherosclerosis Society
(EAS) Consensus Panel 2017 concludes on the basis of 3 different types of
clinical studies that low-density lipoprotein (LDL) causes atherosclerotic
cardiovascular disease (ASCVD). In Mendelian randomization studies, rare genetic
mutations affecting LDL receptor function were found to cause higher or lower LDL
C levels, which are associated with correspondingly altered ASCVD risk. In
prospective cohort studies and randomized controlled trials (RCTs) of statins, a
remarkably consistent log-linear association was demonstrated between the
absolute magnitude of LDL-C exposure and ASCVD risk. The EAS Statement proposes
that any mechanism of lowering plasma LDL concentration should reduce the risk of
ASCVD events proportional to the absolute reduction in LDL-C and the cumulative
duration of exposure to lower LDL-C. However, as we explain, we do not find this
conclusion acceptable. SUMMARY: Our review points out that different
interpretations are possible for the results of Mendelian randomization studies.
As for prospective cohort studies, many inconsistent reports on the association
of LDL-C and ASCVD were disregarded when drafting the Statement, reports with and
without genetic factors related to LDL receptor function should be analyzed
separately, and the term ASCVD in the Statement is used inappropriately because
myocardial infarction and cerebral infarction differ in their association with
LDL-C. As for RCTs, clinical reports on statins published before and after the
implementation of new regulations affecting clinical trials (2004/2005) should
not both be included in meta-analyses because the evaluated efficacy of statins
changed markedly, and the irreversible adverse effects of statins need to be
evaluated more rigorously now that their mechanisms have been elucidated. Key
Messages: Apart from the EAS hypothesis that LDL causes ASCVD, recent
pharmacological/biochemical studies, as summarized in this review and elsewhere,
have revealed that atherosclerosis is caused by statins taken to lower LDL-C, as
well as by warfarin and some types of vegetable fats and oils, in the absence of
significantly elevated LDL-C levels. Thus, the promotion of statin treatment by
the Statement is rather risky and we do not feel that the conclusions are
justified for the prevention of ASCVD.
PMID- 29353278
TI - Stereoselective Inhibition of Renal Basolateral Human Organic Anion Transporter 3
by Lansoprazole Enantiomers.
AB - Lansoprazole, a proton pump inhibitor, potently inhibits human organic anion
transporter, hOAT3 (SLC22A8). Lansoprazole has an asymmetric atom in its
structure and is clinically administered as a racemic mixture of (R)-and (S)
enantiomers. However, little is known about the stereoselective inhibitory
potencies of lansoprazole against hOAT3 and its homolog, hOAT1. In the present
study, the stereoselective inhibitory effect of lansoprazole was evaluated using
hOAT1-and hOAT3-expressing cultured cells. hOAT1 and hOAT3 transported [14C]p
aminohippurate and [3H]estrone-3-sulfate (ES) with Michaelis-Menten constants of
29.8 +/- 4.0 and 30.1 +/- 9.0 umol/L respectively. Lansoprazole enantiomers
inhibited hOAT1- and hOAT3-mediated transport of each substrate in a
concentration-dependent manner. The IC50 value of (S)-lansoprazole against hOAT3
mediated transport of [3H]ES (0.61 +/- 0.08 umol/L) was significantly lower than
that of (R)-lansoprazole (1.75 +/- 0.31 umol/L). In contrast, stereoselectivity
was not demonstrated for the inhibition of hOAT1. Furthermore, (S)-lansoprazole
inhibited hOAT3-mediated transport of pemetrexed and methotrexate (hOAT3
substrates) more strongly than the corresponding (R)-lansoprazole. This study is
the first to demonstrate that the stereoselective inhibitory potency of (S)
lansoprazole against hOAT3 is greater than that of (R)-lansoprazole. The present
findings provide novel information about the drug interactions associated with
lansoprazole.
PMID- 29353279
TI - Lactobacillus rhamnosus GG in Experimental Oral Biofilms Exposed to Different
Carbohydrate Sources.
AB - Probiotic administration may favour caries prevention, as recent research has
shown. This in vitro study aimed to investigate the growth of Lactobacillus
rhamnosus GG (LGG) in experimental biofilms exposed to various carbohydrates, and
also to assess its cariogenic potential. Multispecies experimental oral biofilms
with or without LGG were grown with a sole-carbohydrate source
(fructose/glucose/lactose/sorbitol/sucrose). The viable cells of LGG and
structure of the biofilms were examined after 64.5 h of incubation, and pH values
of spent media were measured at 16.5, 40.5, and 64.5 h. Fermentation profiles of
LGG in biofilm media were assessed with study carbohydrate as the sole energy
source. Our results showed that LGG reached higher viable cell numbers with
glucose and sucrose in 64.5-h multispecies experimental oral biofilms compared to
other carbohydrates. When LGG was incorporated in biofilms, no distinct pH
changes at any time points were observed under any of the carbohydrates used; the
pH values of spent media at each time point were lower when lactose was used,
compared to other carbohydrates. The fermentation profiles of LGG in biofilm
media were similar to its growth in MRS (no obvious growth with lactose or
sucrose). In conclusion, LGG in our in vitro multispecies experimental oral
biofilms was capable of surviving and growing well in each carbohydrate source.
LGG might not have harmful effects on dental hard tissues. Another finding from
our study was that the lowest pH values were observed in the presence of lactose,
and the thickest biofilms were in sucrose.
PMID- 29353281
TI - The Effectiveness of Dietary Approaches to Stop Hypertension Diet Intervention in
Persons with Arterial Hypertension and Obesity: A Key Role of the Patients'
Personality Profile.
AB - BACKGROUND/AIMS: Benefits resulting from weight loss and dietary approaches to
stop hypertension (DASH) diet in the treatment of arterial hypertension led to a
search for a better therapy that would include psychological factors. The present
study aimed to assess the relationship between the personality traits included in
the Big Five personality traits and the effectiveness of treatment for weight
loss in patients with essential hypertension and excessive body weight. METHODS:
The study involved 84 patients with essential hypertension, overweight or
obesity, managed by a hypertensiologist. All patients underwent a 3-month diet
treatment as part of the development process of an individualised eating plan
that follows the principles of DASH diet and food education. Body mass index and
DASH index were calculated before and after the therapy. NEO Five-Factor
Inventory was applied to measure psychological variables. RESULTS: The
intervention led to a statistically significant increase of DASH index measured
before and after treatment. There was a significant correlation between the
increase of DASH index and gender. Patients presented higher intensity of
openness to experience, extraversion, conscientiousness and lower levels of
neuroticism and agreeableness compared to general population. CONCLUSION: The
influence of psychological variables on the observed difference between men and
women in DASH values and weight loss was not demonstrated.
PMID- 29353280
TI - Microstructure of Strategic White Matter Tracts and Cognition in Memory Clinic
Patients with Vascular Brain Injury.
AB - BACKGROUND: White matter injury is an important factor for cognitive impairment
in memory clinic patients. We determined the added value of diffusion tensor
imaging (DTI) of strategic white matter tracts in explaining variance in
cognition in memory clinic patients with vascular brain injury. METHODS: We
included 159 patients. Conventional MRI markers (white matter hyperintensity
volume, lacunes, nonlacunar infarcts, brain atrophy, and microbleeds), and
fractional anisotropy and mean diffusivity (MD) of the whole brain white matter
and of 18 white matter tracts were related to cognition using linear regression
and Bayesian network analysis. RESULTS: On top of all conventional MRI markers
combined, MD of the whole brain white matter explained an additional 3.4% (p =
0.014), 7.8% (p < 0.001), and 1.2% (p = 0.119) variance in executive functioning,
speed, and memory, respectively. The Bayesian analyses of regional DTI measures
identified strategic tracts for executive functioning (right superior
longitudinal fasciculus), speed (left corticospinal tract), and memory (left
uncinate fasciculus). MD within these tracts explained an additional 3.4% (p =
0.012), 3.8% (p = 0.007), and 2.1% (p = 0.041) variance in executive functioning,
speed, and memory, respectively, on top of all conventional MRI and global DTI
markers combined. CONCLUSION: In memory clinic patients with vascular brain
injury, DTI of strategic white matter tracts has a significant added value in
explaining variance in cognitive functioning.
PMID- 29353282
TI - Differential Changes in Myocardial Performance Index and Its Time Intervals in
Donors and Recipients of Twin-to-Twin Transfusion Syndrome before and after Laser
Therapy.
AB - OBJECTIVE: To evaluate left myocardial performance index (MPI) and time intervals
in fetuses with twin-to-twin transfusion syndrome (TTTS) before and after laser
surgery. METHODS: Fifty-one fetal pairs with TTTS and 47 uncomplicated
monochorionic twin pairs were included. Left ventricular isovolumetric
contraction time (ICT), ejection time (ET), and isovolumetric relaxation time
(IRT) were measured using conventional Doppler. RESULTS: Recipients showed
prolonged ICT (46 +/- 12 vs. 31 +/- 8 vs. 30 +/- 5 ms; p < 0.001) and IRT (51 +/-
9 vs. 43 +/- 8 vs. 43 +/- 5 ms; p < 0.001) and higher MPI (0.57 +/- 0.12 vs. 0.47
+/- 0.09 vs. 0.44 +/- 0.05; p < 0.001) than donors and controls. Donors showed
shorter ET than recipients and controls (157 +/- 12 vs. 169 +/- 10 vs. 168 +/- 10
ms; p < 0.001) and higher MPI than controls (0.47 +/- 0.09 vs. 0.44 +/- 0.05; p =
0.006). Preoperative MPI changes were observed in all TTTS stages. Time intervals
partially improved after surgery. CONCLUSION: Donor and recipient twins had
higher MPI due to different changes in the time intervals, possibly reflecting
the state of hypovolemia in the donor and hypervolemia and pressure overload in
the recipient.
PMID- 29353284
TI - Usefulness of Totally Implantable Central Venous Access Devices in Elderly
Patients: A Retrospective Study.
AB - BACKGROUND/AIMS: The need for totally implantable central venous access devices
(TICVADs) has increased with increased opportunities in the use of chemotherapy
and parenteral nutrition. This study aimed to determine the outcomes of TICVAD
implantation and use in patients aged >=85 years. METHODS: Between January 2010
and August 2016, 117 patients underwent TICVAD implantation and their records
were retrospectively reviewed. RESULTS: Participants were divided into 2 groups
(plus-85 and sub-85 groups). Fifty-five patients (47.0%) had solid organ cancer
alone; 35 patients (29.9%) had cerebrovascular or cranial nerve disease. The
average follow-up period was 201 (2-1,620) days. Major complications were
identified in 6 (14.6%) plus-85 patients and 11 (14.5%) sub-85 patients (p =
0.9813). Catheter-related infections developed in 3 plus-85 (7.3%) and 4 sub-85
patients (5.3%; p = 0.6549). There were no significant group differences in
hematoma, pneumothorax, occlusion, and removal rates. In plus-85 patients
examined just before surgery and a month after surgery, increased rates of serum
albumin and Onodera's prognostic nutritional index were observed in 48% (14/39)
and 41% (12/39), respectively. CONCLUSIONS: The use of TICVADs in the plus-85
group resulted in effective outcomes. The results of this retrospective study
support the wider use of TICVADs in patients aged >=85 years.
PMID- 29353283
TI - Association between Dental Caries and BMI in Children: A Systematic Review and
Meta-Analysis.
AB - Research on the association between dental caries and body mass index (BMI) in
children has shown contradictory results; thus we aimed to examine the
association between dental caries and the full range of BMI classes among
children. We comprehensively searched PubMed, Embase, and the Cochrane Library
for studies published prior to March 2017. Articles comparing dental caries among
the full range of BMI classes for children below 18 years of both genders were
included. Fourteen studies were eligible for this study. Basic information -
i.e., first author, published year, study design, country, sample size, age, type
of dental caries index and BMI, main results and conclusions, and means and
standard deviations of the dental caries indexes used - was pooled. The weighted
mean differences and corresponding 95% confidence intervals for dental caries
between children with abnormal weight and those with normal weight were analyzed.
Generally, no significant differences in caries were found between any abnormal
weight group and the normal-weight group for both primary and permanent teeth.
Sensitivity analyses showed that the obese group had more caries than the normal
weight group in their primary teeth. Significantly more caries was found among
the overweight and obese children in both primary and permanent teeth in high
income countries, but not in low- and middle-income countries. We recommend that
further studies use suitable sample sizes, unify the criteria for BMI
categorization and the dental caries index, and investigate the confounding
factors that might influence dental caries and BMI.
PMID- 29353285
TI - Laser Scanning Microscopic Investigations of the Decontamination of Soot
Nanoparticles from the Skin.
AB - BACKGROUND/AIMS: Airborne pollutants, such as nano-sized soot particles, are
increasingly being released into the environment as a result of growing
population densities and industrialization. They can absorb organic and metal
compounds with potential biological activity, such as polycyclic aromatic
hydrocarbons and airborne pollen allergens. Local and systemic toxicities may be
induced in the skin if the particulates release their harmful components upon
dermal contact. METHODS: In the present study, skin pretreatments with serum
and/or shield as barrier formulations prior to exposure and washing with a
cleanser subsequent to exposure were evaluated as a protection and
decontamination strategy using laser scanning microscopy. RESULTS: The results
indicate that while the application of serum and a cleanser was insufficient for
decontamination, the pretreatment with shield prior to nanoparticle exposure
followed by washing led to the removal of a considerable amount of the carbon
black particles. The combined application of serum and shield before the
administration of carbon black particles and subsequent washing led to their
elimination from the skin samples. CONCLUSION: The application of barrier
enhancing formulations in combination with a cleanser may reduce the penetration
of harmful airborne particulates by preventing their adhesion to the skin and
facilitating their removal by subsequent washing with the cleanser.
PMID- 29353286
TI - Predictors of Thrombocytopenia after Self-Expandable Transcatheter Aortic Valve
Replacement: A Single-Center Experience from China.
AB - OBJECTIVES: The importance of thrombocytopenia (TP) has been discussed
previously. However, data are still limited, especially on predictors of TP. We
sought to investigate predictors of TP after transcatheter aortic valve
replacement (TAVR), in particular, clinically significant TP. METHODS: We
reviewed a total of 123 consecutive patients undergoing TAVR in our medical
center. They were stratified into 3 groups according to the nadir platelet count
post-TAVR: no/mild TP, moderate TP, and severe TP. Clinically significant TP,
also known as major TP, was defined as moderate-to-severe TP (a nadir platelet
count <100 * 109/L and a >50% decrease in platelet count). RESULTS: Baseline
platelet, baseline hemoglobin, general anesthesia (GA), valve malpositioning and
post-TAVR left ventricular ejection fraction were found to be predictors of post
TAVR nadir platelet count. Major TP was associated with a higher risk of major
bleeding (OR 3.524, 95% CI 1.546-8.031) and 1-month mortality (OR 11.226, 95% CI
1.208-104.328). Age (OR 1.110, 95% CI 1.014-1.215) and GA (OR 6.494, 95% CI 2.058
20.408) were predictors of major TP. CONCLUSION: Post-TAVR nadir platelet count
can be predicted based on baseline and procedural data. Old age and GA contribute
to clinically significant TP.
PMID- 29353287
TI - High CXC Chemokine Ligand 16 (CXCL16) Expression Promotes Proliferation and
Metastasis of Lung Cancer via Regulating the NF-kappaB Pathway.
AB - BACKGROUND CXC chemokine ligand 16 (CXCL16) is a soluble chemokine with a
transmembrane domain, playing an important role in inflammatory regulation. NF
kappaB has a critical role in tumor progression. Recent studies focused on the
effect of CXCL16 on tumor progression. However, few reports showed the influence
of CXCL16 on lung cancer, especially in regulating NF-kappaB activity. Here we
investigated CXCL16 expression and its clinical significance in lung cancer, as
well as the effect on lung cancer cell biological characteristics by regulating
NF-kappaB. MATERIAL AND METHODS CXCL16 expression in lung cancer was detected and
its associations with clinical characteristics were analyzed. Proliferation and
invasion of A549 and PC-9 cells was measured before and after silencing CXCL16 or
inhibiting the NF-kappaB pathway, separately. RESULTS The positive rate of CXCL16
in lung cancer tissue was significantly higher than that in adjacent tissue, and
that in patients with lymphatic metastasis was significantly higher than that in
patients without (all, P<0.05). The positive rate of CXCL16 was significantly
(P<0.05) positively corrected with poor prognosis of lung cancer. Silencing
CXCL16 not only suppressed proliferation and invasion of A549 and PC-9 cells, but
also significantly (P<0.05) inhibited c-Rel, p105, and Rel-B in the NF-kappaB
pathway. Inhibiting NF-kappaB also suppressed proliferation and invasion of A549
and PC-9 cells, which was similar to the results after silencing CXCL16.
CONCLUSIONS Enhanced CXCL16 expression in lung cancer tissue promoted the
proliferation and invasion of lung cancer cells. CXCL16 might promote
proliferation and invasion of lung cancer by regulating the NF-kappaB pathway.
PMID- 29353288
TI - Quercetin Inhibits Breast Cancer Stem Cells via Downregulation of Aldehyde
Dehydrogenase 1A1 (ALDH1A1), Chemokine Receptor Type 4 (CXCR4), Mucin 1 (MUC1),
and Epithelial Cell Adhesion Molecule (EpCAM).
AB - BACKGROUND Quercetin, nature's most common flavonoid, possesses anticarcinogenic
properties against various forms of cancer. The aim of this study was to
investigate the effect of quercetin on breast cancer stem cells in the MDA-MB-231
cell line, and to elucidate the possible mechanisms for those effects. MATERIAL
AND METHODS We evaluated breast cancer stem cell proliferation, clone generation,
and mammosphere formation to determine the effect of quercetin treatment on
breast cancer stem cells. RESULTS In our study, quercetin suppressed breast
cancer stem cell proliferation, self-renewal, and invasiveness. It also lowered
the expression levels of proteins related to tumorigenesis and cancer
progression, such as aldehyde dehydrogenase 1A1, C-X-C chemokine receptor type 4,
mucin 1, and epithelial cell adhesion molecules. CONCLUSIONS These results
indicate that quercetin targets and destroys breast cancer stem cells, making it
a potential novel drug in the fight against cancer.
PMID- 29353289
TI - The new agreement of the international RIGA consensus conference on nasal airway
function tests.
AB - The report reflects an agreement based on the consensus conference of the
International Standardization Committee on the Objective Assessment of the Nasal
Airway in Riga, 2nd Nov. 2016. The aim of the conference was to address the
existing nasal airway function tests and to take into account physical,
mathematical and technical correctness as a base of international standardization
as well as the requirements of the Council Directive 93/42/EEC of 14 June 1993
concerning medical devices. Rhinomanometry, acoustic rhinometry, peak nasal
inspiratory flow, Odiosoft-Rhino, optical rhinometry, 24-h measurements,
computational fluid dynamics, nasometry and the mirrow test were evaluated for
important diagnostic criteria, which are the precision of the equipment including
calibration and the software applied; validity with sensitivity, specificity,
positive and negative predictive values, reliability with intra-individual and
inter-individual reproducibility and responsiveness in clinical studies. For
rhinomanometry, the logarithmic effective resistance was set as the parameter of
high diagnostic relevance. In acoustic rhinometry, the area of interest for the
minimal cross-sectional area will need further standardization. Peak nasal
inspiratory flow is a reproducible and fast test, which showed a high range of
mean values in different studies. The state of the art with computational fluid
dynamics for the simulation of the airway still depends on high performance
computing hardware and will, after standardization of the software and both the
software and hardware for imaging protocols, certainly deliver a better
understanding of the nasal airway flux.
PMID- 29353290
TI - Postmodernity, addictive societies, cannabis and suicidal behaviour: Towards a
brave new world?
AB - Editorial of vol. 30-1.
PMID- 29353291
TI - Editorial. Impact of the Binge Drinking (BD) in Adolescence. Are we doing it
right? Editorial. Impacto del consumo episodico excesivo de alcohol en la
adolescencia. ?Lo estamos haciendo bien?
AB - Nowadays, one of the most prevalent patterns of alcohol consumption is called
binge drinking (BD). In 2015, the European School Survey Project on Alcohol and
Drugs (ESPAD) Group estimated that about 35% of adolescents of 15-16 years old
have had at least one BD occasion in the past 30 days while at national level,
the series of surveys on the use of drugs in adolescents of secondary education
(ESTUDES, 2014-2015) determined that 32.2% of adolescents stated having performed
BD in the last month. The aim of this editorial was to update the context of
adolescence drinking and analysing the impact of BD by ages, including health and
social costs derived. Once the magnitude of the problem was set, some research
and action lines have been established in order to guide future work for the
prevention of alcohol misuse and for establishing future preventive policies on
alcohol. Finally, the need for evaluating these interventions from the efficiency
point of view was discussed and assessed.
PMID- 29353292
TI - Harm reduction program use, psychopathology and medical severity in patients with
methadone maintenance treatment.
AB - Methadone maintenance programs (MMP) for opioid dependence treatment have been
widely used due to their effective therapeutic outcomes. Harm reduction programs
(HRP) are complementary programs for severe patients with high risk behaviors and
when abstinence is not possible. This study aims to compare patients in MMP that
use HRP (MMP-HRP) and patients in MMP who do not use HRP (MMP-NO HRP). The sample
was composed of 143 patients (MMP-HRP = 42 vs. MMP-NO HRP = 101). An additional
subanalysis was performed with patients under 45 years of age (n = 116; MMP-HRP =
38 vs. MMP-NO HRP = 78). All patients were assessed with an ad hoc socio
demographic questionnaire, EuropASI, SCID-I, and SCID-II. Results show that MMP
HRP patients were younger with more frequent use of intravenous drugs and with a
high prevalence of Cluster B personality disorders. MMP-NO HRP patients had lower
methadone doses compared to MMP-HRP patients and preferred to use drugs by smoked
route more frequently. In the subanalysis of patients under 45, MMP-HRP patients
were younger, had a higher prevalence of liver diseases, more intravenous drug
use, greater severity on the drug use scale, less social and family support in
the suescales of EUROP-ASI than compared to patients under 45 years in the group
MMP-NO HRP. In conclusion, MMP-HRP patients are younger compared to MMP-NO HRP
patients, they also receive higher doses of methadone and had more intravenous
use. The above findings imply that the early onset of high risk drug use and long
term exposure to heroin have more severe outcomes such as higher comorbidities
(e.g. infectious diseases, medical and psychiatric disorders), and consequently,
these patients are a more vulnerable group with a worse prognosis.
PMID- 29353293
TI - Alcohol, tobacco and cannabis consumption in adolescents from a multicultural
population (Burela, Lugo).
AB - Social inequalities have been associated with morbidity and mortality. Gender,
ethnic group and inequalities were studied in an adolescent population to analyze
alcohol, tobacco and cannabis consumption. We carried out a cross-sectional study
of pupils from high schools in Burela (northern Spain) (n=238). We used the
"Factors de Risc en Estudiants de Secundaria" questionnaire designed by Agencia
de Salut Publica de Barcelona. Independent variables: nationality and weekly
pocket money. Dependent variables: expectations and consumption of alcohol,
tobacco and marihuana. Logistic regression was used. Participation in the study
reached 91%. The proportion of pupils that have tried alcohol on occasion
increases with age (27.3%, 47.7%, 75.9%), as with tobacco (1.8%, 7.6%, 17.0%),
and cannabis (0%, 3.1%, 7.0%). Higher levels of spending money constitute a risk
factor for tasting alcohol (OR=3.01), for high-risk consumption (OR=3.35), for
getting drunk (OR=6.45) and for trying marijuana (OR=15.30). Sex and nationality
were not shown to be associated with the use of any of these three drugs. The
results of our study show that consumption of alcohol, tobacco and cannabis
increases with age and with increased spending money. The data do not support the
argument that foreign pupils are a risk group for alcohol consumption, so they
should not be stigmatized.
PMID- 29353294
TI - Development and validation of the alcohol Expectancy Questionnaire Short Form (EQ
SF).
AB - Alcohol expectancies are proximal variables to alcohol use and misuse. In recent
decades, different measures have been developed to assess this construct. One of
the most frequently used and recommended instruments is the Expectancy
Questionnaire (EQ; Leigh y Stacy, 1993). Our aim is to develop a short version of
the EQ (EQ-SF) for suitable use in time-limited administrations. Two samples,
adolescents (N = 514, 57.20% females) and adults (N = 548, 61.50% females),
completed the EQ together with alcohol-use measures. Different item selection
strategies were applied to select the 24 items. The EQ-SF structure was explored
using confirmatory factor analysis, and measurement invariance was tested running
a multi-group analysis comparing groups by sex and age. Reliability was tested
using Cronbach's alpha and omega coefficients. Concurrent validity was
investigated with regression analyses. The EQ-SF showed acceptable between-groups
measurement invariance. Alphas and omegas ranged from .77 to .93. Positive
expectancies predicted both alcohol use and alcohol-related problems. Negative
expectancies predicted alcohol-related problems. Sex and age moderated these
associations. Males with high positive alcohol expectancies showed higher alcohol
consumption than females, while adults with high negative alcohol expectancies
showed greater alcohol-related problems than adolescents. Different evidence on
the validity and reliability of the EQ-SF suggest that it is a suitable
instrument to assess alcohol expectancies in the Spanish population.
PMID- 29353295
TI - Spanish version validation of the Marihuana Motives Measure in a drug-consuming
adolescent sample.
AB - INTRODUCTION: Cannabis is the illicit drug mostly widely consumed by adolescents
in Spain. The understanding of consumption motives is an important factor for
intervention. In Spain, there are no available instruments for their evaluation,
hence, the goal of this paper is to study the psychometric properties of the
Marihuana Motives Measure (MMM) in a sample of adolescent consumers. MATERIAL AND
METHOD: Firstly, translation and back-translation was performed. A total of 228
adolescent consumers of cannabis were evaluated. Factorial analysis was
conducted, and the reliability of the total scores and of each scale of the
questionnaire was studied through Cronbach's alpha. Test-retest reliability was
analyzed through interclass correlations. Validity evidence of the MMM was
examined through correlations between current cannabis use, subjective
consumption effects measured with the Addiction Research Center Inventory (ARCI),
and personality measured with the Millon Adolescent Clinical Inventory (MACI).
RESULTS: High reliability was observed in total score of the MMM (Cronbach alpha
= .86), and high and moderate reliability for each of the five factors obtained
in the factorial analysis of the MMM, Social = .82, Enhancement = .72, Coping =
.83, Expansion = .74, and Conformity = .64. Significant correlations were also
observed between cannabis consumption motives and subjective effects, and between
consumption motives and personality. CONCLUSION: The Spanish version of the MMM
shows a similar factorial structure as the one obtained by the original author,
and its measures are reliable and valid for the study of cannabis consumption
motives in adolescent consumer population.
PMID- 29353296
TI - The urban environment of alcohol: a study on the availability, promotion and
visibility of its use in the neighborhoods of Barcelona.
AB - INTRODUCTION: This paper describes the presence of alcohol in the public space,
assessing establishments that offer it, its advertising, and signs of
consumption, as factors that may influence its consumption. METHOD: Descriptive
observational study based on cluster sampling with two-step selection. Results
are described, and the spatial association between variables is assessed.
RESULTS: In the 20 census tracts studied, 306 premises were identified that
offered alcoholic beverages: 204 were on-premises and 102 were off-premises,
mainly supermarkets and food retail stores. Their spatial distribution was
uneven, concentrated in two central districts. We identified 72 publicity items,
mostly sponsorship of musical events. There were many promotional items linked to
on- premises, especially in their terraces. Five people were detected promoting
consumption or selling alcohol in the Old Town. In each time slot, between 39 and
51 signs of consumption on the public space were observed (mostly abandoned beer
cans), more frequent at night and in the Old Town. There is an association
between the presence of establishments that offer alcohol and advertising. There
is no relationship between these variables and signs of consumption in the public
space; these are concentrated in the Old Town, which has greater presence of
tourism. CONCLUSIONS: The urban environment is characterized by elements that
stimulate alcohol use and its distribution is uneven, with a strong influence of
tourism-related activities. Further regulation of alcohol promotion, availability
and consumption in the public space may change its social image and decrease its
use.
PMID- 29353297
TI - Individual and contextual factors related to binge drinking among adolescents in
Spain: a multilevel approach.
AB - The aim of this study was to estimate the prevalence of binge drinking by regions
in Spain and assess the effect of individual and contextual factors related to
this drinking pattern in adolescents. A cross-sectional study was performed with
data from the 2014 Spanish School Survey on Drug Use (ESTUDES) in students aged
14-18 years (N = 34,259). The outcome was binge drinking in adolescents during
the last 30 days. Individual independent variables were socioeconomic variables
and variables related to access to alcohol and its availability. Contextual
variables consisted of adult alcohol consumption, public policies on alcohol, and
socioeconomic factors. Multilevel Poisson regression models with robust variance
were estimated, obtaining prevalence ratios (PR) and their 95% confidence
intervals. The results showed that the prevalence of youth binge drinking by
region of residence was similar for both sexes (r = 0.72). At the individual
level, binge drinking was mainly associated with the perception of easy access to
alcohol (PR: 1.38; 95% CI: 1.23-1.55), consumption in open areas [(PR: 3.82; 95%
CI: 3.44-4.24) < once a month and (PR: 6.57; 95% CI: 5.85-7.37) >= once a month],
at least one parent allowing alcohol consumption (PR: 1.42; 95% CI: 1.37-1.47),
and receiving >30 euros weekly (PR :1.51; 95% CI: 1.37-1.67). Contextual
variables were not associated with youth binge drinking when individual variables
were considered. In conclusion, youth binge drinking was associated with
individual variables related to high alcohol accessibility and availability,
regardless of contextual variables. These variables explained the variability in
binge drinking among Spanish regions.
PMID- 29353298
TI - Development and Validation of the Marijuana Motives Measure Short Form.
AB - Marijuana motives are a proximal variable to marijuana use. This research aimed
to adapt and validate the short form of the Marijuana Motives Measure (MMM;
Simons, Correia, Carey, y Borsari, 1998), the MMM SF, in Spanish. The sample
comprised 232 participants (mean age = 25.11 (7.58), 50.43% males) who had tried
marijuana at least once in their lifetime. Item and Rasch analyses were performed
to choose the final pool of 15 items. Confirmatory Factor Analysis (CFA) showed
an adequate 5-factor structure (S-BX2(80) = 121.30, p = .002; NNFI = .944; CFI =
.958; IFI = .959; MFI = .915; RMSEA = .047(0.029, 0.063); AIC = -38.70), and the
multi-group CFA between males and females showed acceptable fit indices (S
BX2(160) = 230.01, p = .000; NNFI = .900; CFI = .924; IFI = .927; MFI = .860;
RMSEA = .062(.043, .078); AIC = -89.99). The questionnaire indicated metric (S
BX2diff (15) = 13.61, p = .556)), scalar (S-BX2diff (15) = 23.15, p = .081)) and
error measurement invariance (S-BX2diff (15) = 8.65, p = .895)) between gender
groups. The internal consistencies and ordinal omega of the scales were between
.79 and .90. In the regression analysis, enhancement, coping and low conformity
motives predicted frequency and quantity of marijuana smoked. The best predictor
of frequency and quantity consumed during the heaviest smoking period was
enhancement, while coping and, to a lesser extent, low conformity, were the only
predictors of cannabis-related problems when marijuana frequency and quantity
were controlled for. The MMM SF shows adequate psychometric properties and is a
suitable instrument to assess marijuana motives, especially during time-limited
sessions.
PMID- 29353299
TI - Creation of the TXP parenting questionnaire and study of its psychometric
properties.
AB - Parenting is linked to conduct disorders (CD) and substance related disorders
(SRD) in adolescents, but with differences according to cultural context. A
questionnaire with two versions (parenting questionnaire TXP-A for adolescents
and TXP-C for primary caregivers) was designed using the Delphi method to
evaluate parenting practices related to CD and SRD in a Spanish population. It
was validated in a community sample of 631 adolescents aged between 14 and 16 and
their caregivers. Results suggest a 29-item TXP-A questionnaire with bifactorial
structure: affection-communication and control-structure, with high internal
(Cronbach’s alpha=0.89) and test-retest (intraclass correlation
coefficient=0.94) reliabilities. Both factors are related to SRD (r=0.273,
p<0.001) and with most of the psychopathological dimensions studied. The total
score and affection-communication are related to dissocial disorder (t=3.259,
p=0.001) and its severity (r=-0,119; p=0.003). Inter-observer reliability between
adolescents and caregivers is low, in part because the 16-item TXP-C has a
different bifactorial structure: affection-communication and prosocial values.
TXP-C’s internal (Cronbach’s alpha=0.87) and test-retest (intraclass
correlation coefficient=0.94) reliabilities are high. The total score and
affection-communication were related to dissocial disorder (t=2.586; p=0.010) but
TXP-C did not discriminate according to SRD. In conclusion, the TXP-A
questionnaire for adolescents seems to be a reliable, valid and unbiased
instrument that evaluates the perception of parenting practices, relating higher
affection-communication and control-structure to less psychopathology and alcohol
and drug use. TXP-C also seems to be reliable and unbiased, but shows less
evidence of validity regarding substance use and psychopathology. .
PMID- 29353300
TI - Empirical validation of the CRAFFT Abuse Screening Test in a Spanish sample.
AB - The CRAFFT Substance Abuse Screening Instrument, developed by the Center for
Adolescents Substance Abuse Research (CeASAR) (Knight et al., 1999), is a
screening tool for high-risk alcohol and drug risk consumption designed for use
with adolescents. Since its publication it has been the subject of translations
and validations in different countries, populations and contexts that have
demonstrated its enormous potential. However, there is still no empirical
validation study that would ensure its good psychometric performance in Spain.
The aim of this paper is to develop an adapted version of the CRAFFT in Spanish
and to analyze its psychometric properties in a sample of Spanish adolescents.
For this purpose an individual interview was conducted on 312 adolescents aged
between 12 and 18 years of age (M = 15.01; SD = 1.83) from the Galician
community. The interview included a part of the Adolescent Diagnostic Interview
(ADI) and the Problem Oriented Screening Instrument for Teenagers (POSIT). The
results obtained, similar to those found in other countries, allow us to report
that the Spanish version of the CRAFFT has a good psychometric
behaviorproperties. It was found to have a satisfactory internal consistency with
a Cronbach’s alpha value of .74. In terms of sensitivity and specificity,
values of 74.4% and 96.4% respectively, were obtained and the area under the ROC
curve was .946. The Spanish version of the CRAFFT is made available to
researchers and professionals in the field of addictive behaviors, so that it can
be used with the necessary psychometric guarantees.
PMID- 29353301
TI - The efficacy of Motivational Intervention and Cognitive-Behavioral Therapy for
Pathological Gambling.
AB - The aim of the current study is to determine the effectiveness of an individual
psychological intervention based on the motivational interview and cognitive
behavioral therapy for the treatment of pathological gambling. A sample of 18
participants, diagnosed of pathological gambling and without any other associated
comorbidity, were assessed, attended at the publicly-funded Gambling and
Behavioral Addictions Unit (Consorci Sanitari de Terrassa). Median age was 46
years (SD = 12). All the patients achieved abstinence and completed follow-up.
The Screen for Gambling Problems (NODS), Quality of Life Enjoyment and
Satisfaction (Q-LES-Q), Impulsive Behavior Scale (UPPS-P), Sheehan Disability
Inventory (SDI), Beck Depression Inventory (BDI) and State-Trait Anxiety
Inventory (STAI) were administered pre- and posttreatment. Results showed that
patients significantly reduced the problems related to gambling behavior
according to the NODS score (p < .000). Regarding impulsive behavior (UPPS-P), we
found significant differences in negative urgency (p < .001), positive urgency (p
< .001), (lack of) premeditation (p < .029) and (lack of) perseverance (p <
.048). Some relevant aspects of quality of life as assessed by the Q-LES-Q had
improved. In conclusion, the study shows that psychological intervention based on
the motivational interview and cognitive-behavioral therapy not only
significantly reduces gambling-related behavior problems but also leads to
improvements in impulsivity and quality of life. .
PMID- 29353302
TI - "Diazepam loading": ?Can a strategy for preventing alcohol withdrawal be used to
treat benzodiazepine use disorder?
AB - Benzodiazepines (BZDs) are central nervous system (CNS) depressants which are
widely used to treat insomnia and anxiety, despite having long-term adverse side
effects. (Fortea Gonzalez, Oriolo, Balcells Olivero, Sanchez Del Valle &
Castellvi, 2017). As with alcohol, continued use can lead to tolerance and
dependence phenomena. Discontinuation in such cases can produce abstinence
symptoms such as tremors, anxiety, seizures and, occasionally, death (Brett y
Murnion, 2015).
PMID- 29353303
TI - Chemsex: are we prepared?
AB - It was with great interest that we read the article published by Dolengevich
Segal (Dolengevich-Segal et al., 2017), which provides an interesting review of
the emerging phenomenon known as chemsex and the different drugs used in this
type of practice.We would like to highlight the importance and usefulness of this
paper, given the progressive increase in the prevalence of drug use in the
context of sexual activity among men who have sex with men (MSM) in Western
Europe (Fernandez-Davila et al,. 2016). This situation raises the need to launch
epidemiological studies to assess the phenomenon - not only, however, from the
point of view of mental health, but also from the field of infectious diseases
and toxicology. We must not forget that this type of practice involves an
increase in the risk of infection by sexually transmitted diseases and the
problems that drug use can cause from the toxicological point of view. Both are
causes of emergency room (ER) consultation, and more training in how to tackle
the problems arising from chemsex should be provided.
PMID- 29353304
TI - Unraveling the heterogeneity of IgM monoclonal gammopathies: a gene mutational
and gene expression study.
AB - Immunoglobulin M (IgM) monoclonal gammopathies show considerable variability,
involving three different stages of presentation: IgM monoclonal gammopathy of
undetermined significance (IgM-MGUS), asymptomatic Waldenstrom's
macroglobulinemia (AWM), and symptomatic WM (SWM). Despite recent findings about
the genomic and transcriptomic characteristics of such disorders, we know little
about the causes of this clinical heterogeneity or the mechanisms involved in the
progression from indolent to symptomatic forms. To clarify these matters, we have
performed a gene expression and mutational study in a well-characterized cohort
of 69 patients, distinguishing between the three disease presentations in an
attempt to establish the relationship with the clinical and biological features
of the patients. Results showed that the frequency of genetic alterations
progressively increased from IgM-MGUS to AWM and SWM. This means that, in
contrast to MYD88 p.L265P and CXCR4 WHIM mutations, present from the beginning of
the pathogenesis, most of them would be acquired during the course of the
disease. Moreover, the expression study revealed a higher level of expression of
genes belonging to the Toll-like receptor (TLR) signaling pathway in symptomatic
versus indolent forms, which was also reflected in the disease presentation and
prognosis. In conclusion, our findings showed that IgM monoclonal gammopathies
present higher mutational burden as the disease progresses, in parallel to the
upregulation of relevant pathogenic pathways. This study provides a translational
view of the genomic basis of WM pathogenesis.
PMID- 29353305
TI - An Investigation of the Critical Events and Influential Factors to the Evolution
of the U.S. Man and the Biosphere Program.
AB - The UNESCO Man and the Biosphere program has operated for 45 years as an
international program that started in the 1970s to conserve biotic communities
and provide areas for research, education, and training. The program later
evolved in the 1990s to address social and environmental issues in a sustainable
manner across a landscape. This program was one of the first efforts that
recognized the importance of working beyond park and protected area boundaries
and the need to sustain livelihoods as much as the resources. In the MAB
program's infancy, the United States (U.S.) was a major advocate and leader with
more than 45 biosphere reserves, most of them established in or around 1976. Yet,
many political, economic, and other external factors influenced the U.S. MAB
involvement in subsequent years. Consequently, the U.S. has remained largely
inactive in the international MAB network for the past fifteen years until a
recent push to revive the program under the leadership of the State Department
and the National Park Service. Through in-depth research on two longterm U.S.
biosphere reserves, this paper provides a description of the key events impacting
the U.S. MAB program over the past several decades and discusses the influential
role of politics, a public image, and the perceptions of international
designations. Through the lessons presented in this paper, recommendations are
provided to support the revival of the MAB program in the U.S.
PMID- 29353306
TI - Toxoplasma gondii antigen SAG2A differentially modulates IL-1beta expression in
resistant and susceptible murine peritoneal cells.
AB - The cell surface of Toxoplasma gondii is covered by antigens (SAGs) from the SRS
family anchored by glycosylphosphatidylinositol (GPI) and includes antigens from
the SAG2 family. Among these, the SAG2A surface antigen shows great potential in
activating humoral responses and has been used in characterizing the acute phase
of infection and in the serological diagnosis of toxoplasmosis. In this study, we
aimed to evaluate rSAG2A-induced proteins in BALB/c and C57BL/c mice macrophages
and to evaluate the phenotypic polarization induced in the process. We treated
the peritoneal macrophages from mouse strains that were resistant or susceptible
to T. gondii with rSAG2A to analyze their proteomic profile by mass spectrometry
and systems biology. We also examined the gene expression of these cells by RT
qPCR using the phenotypic markers of M1 and M2 macrophages. Differences were
observed in the expression of proteins involved in the inflammatory process in
both resistant and susceptible cells, and macrophages were preferentially induced
to obtain a pro-inflammatory immune response (M1) via the overexpression of IL
1beta in mice susceptible to this parasite. These data suggest that the SAG2A
antigen induces phenotypic and classical activation of macrophages in both
resistant and susceptible strains of mice during the acute phase of the disease.
PMID- 29353307
TI - Characterization of an alpha-agarase from Thalassomonas sp. LD5 and its
hydrolysate.
AB - It has been a long time since the first alpha-agarase was discovered. However,
only two alpha-agarases have been cloned and partially characterized so far and
the study of alpha-agarases has lagged far behind that of beta-agarases. Here, we
report an alpha-agarase, AgaD, cloned from marine bacterium Thalassomonas sp.
LD5. Its cDNA consists of 4401 bp, encoding a protein of 1466 amino acids. Based
on amino acid similarity, AgaD is classified into glycoside hydrolase (GH) family
GH96. The recombinant enzyme gave a molecular weight of about 180 kDa on SDS-PAGE
and 360 kDa on Native-PAGE indicating it acted as a dimer. However, the
recombinant enzyme is labile and easy to be fractured into series of small active
fragments, of which the smallest one is about 70 kDa, matching the size of
catalytic module. The enzyme has maximal activity at 35 degrees C and pH 7.4,
and shows a strong dependence on the presence of calcium ions. AgaD degrades
agarose to yield agarotetraose as the predominate end product. However, the
hydrolysates are rapidly degraded to odd-numbered oligosaccharides under strong
alkaline condition. The spectra of ESI-MS and 1H-NMR proved that the main
hydrolysate agarotetraose is degraded into neoagarotriose, bearing the sequence
of G-A-G (G, D-galactose; A, 3,6-anhydro-alpha-L-galactose). Unlike the alkaline
condition, the hydrolysates are further hydrolyzed into smaller degree
polymerization (DP) of agaro-oligosaccharides (AOS) in dilute strong acid.
Therefore, this study provides more insights into the properties for both the
alpha-agarases and the AOS.
PMID- 29353308
TI - Single-stage autotrophic nitrogen removal process at high loading rate: granular
reactor performance, kinetics, and microbial characterization.
AB - For the possible highest performance of single-stage combined partial
nitritation/anammox (PNA) process, a continuous complete-mix granular reactor was
operated at progressively higher nitrogen loading rate. The variations in
bacterial community structure of granules were also characterized using high
throughput pyrosequencing, to give a detail insight to the relationship between
reactor performance and functional organism abundance within completely
autotrophic nitrogen removal system. In 172 days of operation, a superior total
nitrogen (TN) removal rate over 3.9 kg N/(m3/day) was stable implemented at a
fixed dissolved oxygen concentration of 1.9 mg/L, corresponding to the maximum
specific substrate utilization rate of 0.36/day for TN based on the related
kinetics modeling. Pyrosequencing results revealed that the genus Nitrosomonas
responsible for aerobic ammonium oxidation was dominated on the granule surface,
which was essential to offer the required niche for the selective enrichment of
anammox bacteria (genus Candidatus Kuenenia) in the inner layer. And the present
of various heterotrophic organisms with general functions, known as fermentation
and denitrification, could not be overlooked. In addition, it was believed that
an adequate excess of ammonium in the bulk liquid played a key role in
maintaining process stability, by suppressing the growth of nitrite-oxidizing
bacteria through dual-substrate competitions.
PMID- 29353309
TI - Methods for enhancing cyanobacterial stress tolerance to enable improved
production of biofuels and industrially relevant chemicals.
AB - Cyanobacteria are photosynthetic prokaryotes that can fix atmospheric CO2 and can
be engineered to produce industrially important compounds such as alcohols, free
fatty acids, alkanes used in next-generation biofuels, and commodity chemicals
such as ethylene or farnesene. They can be easily genetically manipulated, have
minimal nutrient requirements, and are quite tolerant to abiotic stress making
them an appealing alternative to other biofuel-producing microbes which require
additional carbon sources and plants which compete with food crops for arable
land. Many of the compounds produced in cyanobacteria are toxic as titers
increase which can slow growth, reduce production, and decrease overall biomass.
Additionally, many factors associated with outdoor culturing of cyanobacteria
such as UV exposure and fluctuations in temperature can also limit the production
potential of cyanobacteria. For cyanobacteria to be utilized successfully as
biofactories, tolerance to these stressors must be increased and ameliorating
stress responses must be enhanced. Genetic manipulation, directed evolution, and
supplementation of culture media with antioxidants are all viable strategies for
designing more robust cyanobacterial strains that have the potential to meet
industrial production goals.
PMID- 29353311
TI - Effects of wrist tendon vibration and eye movements on manual aiming.
AB - In the present study, we investigated whether visual information mediates a
proprioceptive illusion effect induced by muscle tendon vibration in manual
aiming. Visual information was gradually degraded from a situation in which the
targets were present and participants (n = 20; 22.3 +/- 2.7 years) were permitted
to make saccadic eye movements to designated target positions, to a condition in
which the targets were not visible and participants were required to perform
cyclical aiming while fixating a point between the two target positions. Local
tendon vibration applied to the right wrist extensor muscles induced an illusory
reduction of 15% in hand movement amplitude. This effect was greater in the
fixation than in the saccade condition. Both anticipatory control and
proprioceptive feedback are proposed to contribute to the observed effects. The
primary saccade amplitude was also reduced by almost 4% when muscle tendon
vibration was locally applied to the wrist. These results confirm a tight link
between eye movements and manual perception and action. Moreover, the impact of
the proprioceptive illusion on the ocular system indicates that the interaction
between systems is bidirectional.
PMID- 29353310
TI - NOD-like receptor(s) and host immune responses with Pseudomonas aeruginosa
infection.
AB - INTRODUCTION: Molecular mechanisms underlying the interactions between
Pseudomonas aeruginosa, the common opportunistic pathogen in cystic fibrosis
individuals, and host induce a number of marked inflammatory responses and
associate with complex therapeutic problems due to bacterial resistance to
antibiotics in chronic stage of infection. METHODS: Pseudomonas aeruginosa is
recognized by number of pattern recognition receptors (PRRs); NOD-like receptors
(NLRs) are a class of PRRs, which can recognize a variety of endogenous and
exogenous ligands, thereby playing a critical role in innate immunity. RESULTS:
NLR activation initiates forming of a multi-protein complex called inflammasome
that induces activation of caspase-1 and resulted in cleavage of pro-inflammatory
cytokines interleukin (IL)-1beta and IL-18. When the IL-1beta is secreted
excessively, this causes tissue damage and extensive inflammatory responses that
are potentially hazardous for the host. CONCLUSIONS: Recent evidence has laid out
inflammasome-forming NLR far beyond inflammation. This review summarizes current
knowledge regarding the various roles played by different NLRs and associated
down-signals, either in recognition of P. aeruginosa or may be associated with
such bacterial pathogen infection, which may relate to for the complexity of lung
diseases caused by P. aeruginosa.
PMID- 29353313
TI - General solution of the chemical master equation and modality of marginal
distributions for hierarchic first-order reaction networks.
AB - Multimodality is a phenomenon which complicates the analysis of statistical data
based exclusively on mean and variance. Here, we present criteria for
multimodality in hierarchic first-order reaction networks, consisting of
catalytic and splitting reactions. Those networks are characterized by
independent and dependent subnetworks. First, we prove the general solvability of
the Chemical Master Equation (CME) for this type of reaction network and thereby
extend the class of solvable CME's. Our general solution is analytical in the
sense that it allows for a detailed analysis of its statistical properties. Given
Poisson/deterministic initial conditions, we then prove the independent species
to be Poisson/binomially distributed, while the dependent species exhibit
generalized Poisson/Khatri Type B distributions. Generalized Poisson/Khatri Type
B distributions are multimodal for an appropriate choice of parameters. We
illustrate our criteria for multimodality by several basic models, as well as the
well-known two-stage transcription-translation network and Bateman's model from
nuclear physics. For both examples, multimodality was previously not reported.
PMID- 29353312
TI - Child labour and health: a systematic review.
AB - OBJECTIVES: This study aimed to synthesise the available knowledge, identify
unexplored areas and discuss general limits of the published evidence. We focused
on outcomes commonly hypothesised to be affected by child labour: nutritional
status, harmful exposures and injuries. METHODS: Four electronic databases
(EMBASE, MEDLINE, Scopus, ISI Web of Science) were searched in November 2017. All
articles published since 1996, without restrictions on language, were considered
for inclusion. RESULTS: Out of the 1090 abstracts initially identified by the
search, 78 articles were selected for inclusion and reviewed. Most of the studies
were conducted in Asia and South America, and only a third of them compared
working children to a control group of non-working children. Child labour appears
to be associated with poor nutritional status, diseases due to harmful exposures,
and a higher prevalence of injuries. CONCLUSIONS: Despite evidence for a negative
relation between child work and health, the cross-sectional design of most
studies limits the causal interpretation of existing findings. More rigorous
observational studies are needed to confirm and better quantify these
associations.
PMID- 29353315
TI - Whole grain intakes in Irish adults: findings from the National Adults Nutrition
Survey (NANS).
AB - PURPOSE: Observational studies link high whole grain intakes to reduced risk of
many chronic diseases. This study quantified whole grain intakes in the Irish
adult population and examined the major contributing sources. It also
investigated potential dietary strategies to improve whole grain intakes.
METHODS: Whole grain intakes were calculated in a nationally representative
sample of 1500 Irish adults using data from the most recent national food survey,
the National Adult Nutrition Survey (NANS). Food consumption was assessed, at
brand level where possible, using a 4-day semi-weighed food diary with whole
grain content estimated from labels on a dry matter basis. RESULTS: Mean daily
whole grain intakes were 27.8 +/- 29.4 g/day, with only 19% of the population
meeting the quantity-specific recommendation of 48 g per day. Wheat was the
highest contributor to whole grain intake at 66%, followed by oats at 26%. High
whole grain intakes were associated with higher dietary intakes of fibre,
magnesium, potassium, phosphorus, and a higher alternative Mediterranean Diet
Score. Whole grain foods were most frequently eaten at breakfast time. Regression
analysis revealed that consumption of an additional 10 g of whole grain
containing 'ready-to-eat breakfast cereals', 'rice or pastas', or 'breads' each
day would increase intake of whole grains by an extra 5, 3.5, and 2.7 g,
respectively. CONCLUSIONS: This study reveals low intakes of whole grains in
Irish adults. Recommending cereals, breads, and grains with higher whole grain
content as part of public health campaigns could improve whole grain intakes.
PMID- 29353314
TI - Robot-assisted post-chemotherapy retroperitoneal lymph node dissection in germ
cell tumor: is the single-docking with lateral approach relevant?
AB - INTRODUCTION: Surgical treatment of post-chemotherapy residual mass of germ cell
tumor (GCT) may be performed in various techniques. We assess the feasibility,
safety, and efficacy of single-docking with lateral approach robot-assisted
retroperitoneal lymph node dissection (R-RPLND) in residual mass of GCT in our
center. MATERIALS AND METHODS: A retrospective review of patients undergoing R
RPLND for residual mass of CGT was performed between January 2014 and April 2017.
Patients with residual mass < 3 cm for seminoma or < 1 cm for non-seminoma were
eligible. All surgeries were performed with single-docking RPNLD technique in
lateral decubitus. We assessed preoperative characteristics (age, testicular
pathology, template, chemotherapy regimen, lesion size, and clinical stage),
peroperative (operative time, estimated blood loss, intraoperative complication,
node count, pathology, and number of positive node), and postoperative outcomes
(postoperative complications, hospital length of stay, recurrence-free survival
at 2 year, and ejaculation dysfunction). RESULTS: Eleven patients underwent R
RPLND with a median size of the residual mass of 20 mm. Median operative time was
153 min with 120 ml of estimated blood loss, without intraoperative complication.
Median nodes count was 7 [1; 24]. Two patients had post-chemotherapy necrotic
nodes and one no tumorous node. One patient had postoperative Clavien I
complication (chyloperitoneum). We report 72.7% of antegrade ejaculation at 1
month from the surgery. Median clinical recurrence-free survival was 100% after 2
years from the surgery (n = 6). CONCLUSION: Lateral approach with single-docking
R-RPLND for residual mass of GCT is feasible and safe, with satisfying functional
and oncologic outcomes.
PMID- 29353316
TI - Validation of a new classification for periprosthetic shoulder fractures.
AB - INTRODUCTION: Successful treatment of periprosthetic shoulder fractures depends
on the right strategy, starting with a well-structured classification of the
fracture. Unfortunately, clinically relevant factors for treatment planning are
missing in the pre-existing classifications. Therefore, the aim of the present
study was to describe a new specific classification system for periprosthetic
shoulder fractures including a structured treatment algorithm for this important
fragility fracture issue. METHODS: The classification was established, focussing
on five relevant items, naming the prosthesis type, the fracture localisation,
the rotator cuff status, the anatomical fracture region and the stability of the
implant. After considering each single item, the individual treatment concept can
be assessed in one last step. To evaluate the introduced classification, a
retrospective analysis of pre- and post-operative data of patients, treated with
periprosthetic shoulder fractures, was conducted by two board certified trauma
surgery consultants. RESULTS: The data of 19 patients (8 male, 11 female) with a
mean age of 74 +/- five years have been analysed in our study. The suggested
treatment algorithm was proven to be reliable, detected by good clinical outcome
in 15 of 16 (94%) cases, where the suggested treatment was maintained. Only one
case resulted in poor outcome due to post-operative wound infection and had to be
revised. CONCLUSIONS: The newly developed six-step classification is easy to
utilise and extends the pre-existing classification systems in terms of
clinically-relevant information. This classification should serve as a simple
tool for the surgeon to consider the optimal treatment for his patients.
PMID- 29353318
TI - Diagnostic Value of Vascular Endothelial Growth Factor, Transforming Growth
Factor-beta, Interleukin-8, and the Ratio of Lactate Dehydrogenase to Adenosine
Deaminase in Pleural Effusion.
AB - PURPOSE: We studied the diagnostic value of cytokines, including vascular
endothelial growth factor (VEGF), transforming growth factor-beta (TGF-beta), and
interleukin-8 (IL-8), and the ratio of lactate dehydrogenase (LDH) to adenosine
deaminase (ADA) in pleural fluid. METHODS: Prospective analysis of 44 inpatients
or outpatients with pleural fluid, from December 2016 to March 2017 was
conducted. RESULTS: We enrolled patients with malignant pleural effusion (MPE, N
= 15), empyema (N = 11), parapneumonic effusion (PPE, N = 7), chronic renal
failure (CRF)/chronic heart failure (CHF) (N = 7), and tuberculous pleural
effusion (TBPE, N = 4). The pleural fluid values of IL-8 and VEGF were
significantly higher in empyema patients than in CRF/CHF or PPE patients. In all
patients, the pleural fluid VEGF and IL-8 values were significantly positively
correlated (r = 0.405, p = 0.006; r = 0.474, p = 0.047, respectively). TGF-beta
was elevated in patients with empyema, PPE, TBPE, and MPE. The pleural LDH-to-ADA
ratio in patients with MPE or empyema/PPE was significantly higher than in
patients with CRF/CHF or TBPE. LDH and ADA levels correlated significantly only
in patients with MPE (r = 0.648, p = 0.009) and empyema/PPE (r = 0.978, p <
0.001). CONCLUSIONS: VEGF and IL-8 production in the pleural cavity appear to
accelerate the progression of PPE to empyema, by enhancing vascular permeability
associated with inflammation. Sequential sampling would be needed to confirm
this. The pleural LDH/ADA ratio may be a useful diagnostic tool for
discriminating between various pleural effusion etiologies.
PMID- 29353317
TI - Tumor suppressor C-RASSF proteins.
AB - Human genome has ten genes that are collectedly called Ras association domain
family (RASSF). RASSF is composed of two subclasses, C-RASSF and N-RASSF. Both N
RASSF and C-RASSF encode Ras association domain-containing proteins and are
frequently suppressed by DNA hypermethylation in human cancers. However, C-RASSF
and N-RASSF are quite different. Six C-RASSF proteins (RASSF1-6) are
characterized by a C-terminal coiled-coil motif named Salvador/RASSF/Hippo
domain, while four N-RASSF proteins (RASSF7-10) lack it. C-RASSF proteins
interact with mammalian Ste20-like kinases-the core kinases of the tumor
suppressor Hippo pathway-and cross-talk with this pathway. Some of them share the
same interacting molecules such as MDM2 and exert the tumor suppressor role in
similar manners. Nevertheless, each C-RASSF protein has distinct characters. In
this review, we summarize our current knowledge of how C-RASSF proteins play
tumor suppressor roles and discuss the similarities and differences among C-RASSF
proteins.
PMID- 29353319
TI - Management Strategies for Noncardiac Surgery Following a Coronary Artery Event.
AB - PURPOSE OF REVIEW: Coronary artery event includes acute coronary syndrome (ACS),
percutaneous coronary intervention (PCI), and coronary artery bypass graft (CABG)
surgery. Following such an event, risk of noncardiac surgery is increased. Of
major concern is what can make this surgery safer? RECENT FINDINGS: High
functional capacity improves cardiovascular (CV) risk; at least 4.0 metabolic
equivalents (METs) on stress test are favorable. Risk scores can suggest need for
further evaluation. Coronary angiography prior to surgery usually is not
indicated since revascularization shows disappointing CV risk reduction results.
Due to high association of peripheral arterial disease (PAD) with coronary artery
disease (CAD), low ankle-brachial index (ABI) indicates increased CV risk. New
perioperative beta blockade has shown disappointing benefit, but if ongoing
should be continued. De novo perioperative beta blockade is for the highest CV
risk patient undergoing noncardiac vascular surgery. Good evidence supports CV
risk reduction from new or existing statin in the perioperative period,
especially for the diabetic. Diabetics should also be on an angiotensin
converting enzyme inhibitor (ACEI) or angiotensin receptor blocker (ARB)
secondarily, during the perioperative period to decrease 30-day perioperative
mortality. Optimal timing of elective noncardiac surgery following a coronary
artery event appears to be 180 days with CV risk decreased by a statin and an
ACEI or an ARB.
PMID- 29353320
TI - Monitoring and measurement of microalgae using the first derivative of absorbance
and comparison with chlorophyll extraction method.
AB - Monitoring of microalgae in water supplies and industrial applications are
becoming increasingly important, yet there are few options available that are
simple and accurate, and can provide real-time information. The present work
illustrates a new method to determine the concentration of microalgae in water
and wastewater using spectrophotometry and the first derivative of absorbance.
Chlorella vulgaris was used as an indicator microalga, spiked in water samples
representing a range of water qualities (distilled water, surface water, and
wastewater), and correlations among C. vulgaris concentrations, absorbance, and
the first derivative of absorbance measurements were investigated. In addition,
detection limits were established and sensitivity analyses were carried out to
determine the lowest C. vulgaris concentrations that can be confidently measured
in different water matrices. Finally, the study compared the performance and
detection limits of the spectrophotometry-based methods with the well-accepted
chlorophyll extraction method. A strong linear relationship (R2 > 0.97) was found
between C. vulgaris concentration and absorbance at 695 nm. Using the first
derivative of absorbance improved C. vulgaris detection limits by reducing the
effects of the background noise and interferences from other substances. The
detection limits established using the first derivative method were 0.47, 0.56,
and 1.96 mg TVS/L in distilled water, surface water, and wastewater,
respectively. In comparison, the detection limits of the chlorophyll extraction
method were found to be 19.6, 38.6, and 48.3 mg TVS/L in the same water matrices.
These results indicate that first derivative of absorbance can be successfully
used for monitoring of microalgae in surface waters and environmental samples as
well as in bioreactors used for microalgae cultivation in industrial
applications.
PMID- 29353323
TI - Does methylphenidate improve academic performance? A systematic review and meta
analysis.
AB - Academic improvement is amongst the most common treatment targets when
prescribing stimulants to children with ADHD. Previous reviews on stimulant
related academic improvements are inconclusive and focus on task engagement.
Recent literature suggests outcome-domain-specific medication effects that are
larger for productivity than for accuracy. The aims of this study are quantifying
methylphenidate effects on academic productivity and accuracy for math, reading,
spelling; exploring the mediating or moderating effects of symptom improvements,
demographic-, design- and disorder-related variables. PubMed, EMBASE, ERIC and
PsycINFO were searched for articles reporting methylphenidate effects on academic
productivity and accuracy. Thirty-four studies met entry criteria.
Methylphenidate improved math productivity (7.8% increase, p < .001); math
accuracy (3.0% increase, p = .001); increased reading speed (SMD .47, p < .001)
but not reading accuracy. None of the mediators or moderators tested affected
methylphenidate efficacy. Academic improvements were small compared to symptom
improvements; qualitative changes limited to math. Clinicians should take this
discrepancy into account when prescribing medication for ADHD.
PMID- 29353322
TI - Statistical analysis of phase formation in 2D colloidal systems.
AB - Colloidal systems offer unique opportunities for the study of phase formation and
structure since their characteristic length scales are accessible to visible
light. As a model system the two-dimensional assembly of colloidal magnetic and
non-magnetic particles dispersed in a ferrofluid (FF) matrix is studied by
transmission optical microscopy. We present a method to statistically evaluate
images with thousands of particles and map phases by extraction of local
variables. Different lattice structures and long-range connected branching chains
are observed, when tuning the effective magnetic interaction and varying particle
ratios.
PMID- 29353321
TI - Energy expenditure of rugby players during a 14-day in-season period, measured
using doubly labelled water.
AB - Criterion data for total energy expenditure (TEE) in elite rugby are lacking,
which prediction equations may not reflect accurately. This study quantified TEE
of 27 elite male rugby league (RL) and rugby union (RU) players (U16, U20, U24
age groups) during a 14-day in-season period using doubly labelled water (DLW).
Measured TEE was also compared to estimated, using prediction equations. Resting
metabolic rate (RMR) was measured using indirect calorimetry, and physical
activity level (PAL) estimated (TEE:RMR). Differences in measured TEE were
unclear by code and age (RL 4369 +/- 979; RU 4365 +/- 1122; U16, 4010 +/- 744;
U20, 4414 +/- 688; U24, 4761 +/- 1523 Kcal day- 1). Differences in PAL (overall
mean 2.0 +/- 0.4) were unclear. Very likely differences were observed in RMR by
code (RL 2366 +/- 296; RU 2123 +/- 269 Kcal day- 1). Differences in relative RMR
between U20 and U24 were very likely (U16, 27 +/- 4; U20, 23 +/- 3; U24, 26 +/- 5
Kcal kg- 1 day- 1). Differences were observed between measured and estimated TEE,
using Schofield, Cunningham and Harris-Benedict equations for U16 (187 +/- 614,
unclear; - 489 +/- 564, likely and - 90 +/- 579, unclear Kcal day- 1), U20 (- 449
+/- 698, likely; - 785 +/- 650, very likely and - 452 +/- 684, likely Kcal day-
1) and U24 players (- 428 +/- 1292; - 605 +/- 1493 and - 461 +/- 1314 Kcal day-
1, all unclear). Rugby players have high TEE, which should be acknowledged. Large
inter-player variability in TEE was observed demonstrating heterogeneity within
groups, thus published equations may not appropriately estimate TEE.
PMID- 29353324
TI - Stromalized microreactor supports murine hematopoietic progenitor enrichment.
AB - There is an emerging need to process, expand, and even genetically engineer
hematopoietic stem and progenitor cells (HSPCs) prior to administration for blood
reconstitution therapy. A closed-system and automated solution for ex vivo HSC
processing can improve adoption and standardize processing techniques. Here, we
report a recirculating flow bioreactor where HSCs are stabilized and enriched for
short-term processing by indirect fibroblast feeder coculture. Mouse 3 T3
fibroblasts were seeded on the extraluminal membrane surface of a hollow fiber
micro-bioreactor and were found to support HSPC cell number compared to
unsupported BMCs. CFSE analysis indicates that 3 T3-support was essential for the
enhanced intrinsic cell cycling of HSPCs. This enhanced support was specific to
the HSPC population with little to no effect seen with the Lineagepositive and
Lineagenegative cells. Together, these data suggest that stromal-seeded hollow
fiber micro-reactors represent a platform to screening various conditions that
support the expansion and bioprocessing of HSPCs ex vivo.
PMID- 29353326
TI - Radiological and functional outcomes of high-grade spondylolisthesis treated by
intrasacral fixation, dome resection and circumferential fusion: a retrospective
series of 20 consecutive cases with a minimum of 2 years follow-up.
AB - PURPOSE: Major concern during surgery for high-grade spondylolisthesis (HGS) is
to reduce lumbosacral kyphosis and restore sagittal alignment. Despite the
numerous methods described, lumbosacral fixation in HGS is a challenging
technique associated with high complication rate. Few series have described
outcomes and most of the results are limited to lumbosacral correction without
global sagittal alignment analysis. This study aims at analyzing clinical and
radiological outcomes of HGS patients treated with intrasacral rods on full spine
radiographs. METHODS: HGS patients (Meyerding III or higher) operated between
2004 and 2014 were reviewed. All patients underwent full spine stereoradiographic
images. After L5 and S1 decompression, reduction and circumferential fusion with
intrasacral rod fixation and fusion up to L4 were performed under fluoroscopy.
The entry points for S1 screws were located 3-5 mm above and 5 mm lateral to the
first sacral hole, toward the promontory. The two short distal fusion rods were
then positioned into the sacrum guided by anteroposterior fluoroscopy using
Jackson's technique. Then, sacral dome resection was performed and a PEEK cage
was impacted in L5S1 after reduction. Postoperatively, the hip and knee were kept
flexed at 45 degrees for 1 week and extended progressively. Preoperative, 3
months postoperative and last follow-up (> 2 years minimum) clinical and
radiographic data were collected. Sagittal parameters included lumbosacral angle
(LSA), olisthesis, T1 spinopelvic inclination (T1SPi) and spinopelvic parameters.
RESULTS: 20 HGS patients were included (8 ptosis, 5 Meyerding IV). The mean age
was 14 years. At final FU (7.2 years +/- 3), LSA kyphosis and olisthesis were
reduced (65 degrees +/- 14 vs 99 degrees +/- 11, p < 0.001 and 81% +/- 19 vs
45% +/- 18, p < 0.001, respectively). While L1L5 lordosis decreased, T1T12
kyphosis increased. At FU, global alignment with T1SPi was - 6 degrees +/- 3. No
significant loss of correction was observed. Regarding complications, ten
patients presented transient L5 motor deficit that occurred when patients were
put in standing position. However, all recovered before 3 months postoperatively.
CONCLUSION: Intrasacral rod fixation appears to be an effective technique to
correct LSA kyphosis, compensatory hyperlordosis and restore global sagittal
alignment with a postoperative T1SPi corresponding to the value of the
asymptomatic subject and achieve fusion. However, it remains a demanding
technique with high risk of transient neurologic complications.
PMID- 29353327
TI - Transforaminal endoscopic decompression for thoracic spinal stenosis under local
anesthesia.
AB - BACKGROUND: Thoracic spinal stenosis is a common vertebral degenerative disease,
and treatment remains challenging. In recent years, transforaminal endoscopic
decompression has been widely used for treating lumbar degenerative diseases.
However, the efficacy of this procedure for thoracic spinal stenosis has yet to
be established. Herein, we report a case of thoracic spinal stenosis treated with
transforaminal endoscopic decompression under local anesthesia. CASE REPORT: An
88-year-old man presented with a 1-month history of progressive paralysis and
dysesthesia in the bilateral lower extremities. A diagnosis of thoracic spinal
stenosis was made, based on physical examination. A two-step percutaneous
transforaminal endoscopic thoracic decompression was performed for spinal canal
decompression. Over a follow-up of 1 year, a favorable outcome was noted.
CONCLUSION: Transforaminal endoscopic decompression is a safe and an effective
surgical approach for the treatment of thoracic spinal stenosis. For patients
with thoracic spinal stenosis, accurate diagnosis and elaborate surgical planning
should be highlighted, and the surgical outcome can be favorable.
PMID- 29353325
TI - A head-to-tail view of L-selectin and its impact on neutrophil behaviour.
AB - L-selectin is a type I transmembrane cell adhesion molecule expressed on most
circulating leukocytes, including neutrophils. Engagement of L-selectin with
endothelial-derived ligands initiates neutrophil tethering and rolling behaviour
along luminal walls of post-capillary venules, constituting the first step of the
multi-step adhesion cascade. There is a large body of evidence to suggest that
signalling downstream of L-selectin can influence neutrophil behaviour: adhesion,
migration and priming. This review will cover aspects of L-selectin form and
function and introduce the "triad of L-selectin regulation", highlighting the
inextricable links between adhesion, signalling and ectodomain shedding and also
highlighting the cytosolic proteins that interconnect them. Recent advances in
how L-selectin impacts priming, transendothelial migration (TEM) and cell
polarity will also be discussed.
PMID- 29353329
TI - Correction to: Stigma in the context of pregnancy termination after diagnosis of
fetal anomaly: associations with grief, trauma, and depression.
AB - The original version of this article unfortunately incorrectly captured table 4.
PMID- 29353328
TI - Serum uric acid levels are associated with lupus nephritis in patients with
normal renal function.
AB - Uric acid has been recognised as a potential marker of endothelial dysfunction
and kidney disease but there are scarce data about its importance in systemic
lupus erythematosus (SLE) nephritis. This study aimed to evaluate serum uric acid
(UA) levels in lupus nephritis (LN), by comparing SLE patients with normal renal
function, with and without nephritis. Forty-six female SLE patients were
consecutively selected and divided in two groups according to renal activity at
the evaluation: presence of a recently diagnosed lupus nephritis (LN+, n = 18)
and absence of lupus nephritis (LN-, n = 28). Age-matched healthy women were
selected (CONTROL, n = 28). Patients with gout, creatinine clearance lower than
80 ml/min and use of drugs that interfere in UA were excluded. Laboratory and
clinical data were analysed by appropriate tests. A multivariate analysis was
performed, and a receiver operating characteristic (ROC) curve was plotted, and
the area under the curve was calculated to assess the diagnostic strength of UA
in LN. The mean age was similar among LN+, LN- and CONTROL groups (32.44 +/- 6.09
vs. 30.68 +/- 5.36 vs. 30.86 +/- 5.00 years, p = 0.52). UA was significantly
higher in LN+ compared to LN- (5.54 +/- 1.67 vs. 3.65 +/- 1.090 mg/dL, p < 0.001)
and CONTROL (5.54 +/- 1.67 vs. 3.92 +/- 0.95 mg/dL p < 0.001). Multivariate
analysis confirmed that high UA was an independent variable related to LN (p <
0.001). The cut-off value for UA using the ROC curve was 4.47 mg/dL (AUC 0.86, p
= 0.00004, CI 95% 0.75-0.96). Lupus nephritis was associated with higher UA.
Hyperuricemia as a predictor of renal damage in SLE needs to be evaluated in
further studies.
PMID- 29353330
TI - Leveraging variable sensor spatial acuity with a homogeneous, multi-scale place
recognition framework.
AB - Most robot navigation systems perform place recognition using a single-sensor
modality and one, or at most two heterogeneous map scales. In contrast, mammals
perform navigation by combining sensing from a wide variety of modalities
including vision, auditory, olfactory and tactile senses with a multi-scale,
homogeneous neural map of the environment. In this paper, we develop a multi
scale, multi-sensor system for mapping and place recognition that combines
spatial localization hypotheses at different spatial scales from multiple
different sensors to calculate an overall place recognition estimate. We evaluate
the system's performance over three repeated 1.5-km day and night journeys across
a university campus spanning outdoor and multi-level indoor environments,
incorporating camera, WiFi and barometric sensory information. The system
outperforms a conventional camera-only localization system, with the results
demonstrating not only how combining multiple sensing modalities together
improves performance, but also how combining these sensing modalities over
multiple scales further improves performance over a single-scale approach. The
multi-scale mapping framework enables us to analyze the naturally varying spatial
acuity of different sensing modalities, revealing how the multi-scale approach
captures each sensing modality at its optimal operation point where a single
scale approach does not, and enables us to then weight sensor contributions at
different scales based on their utility for place recognition at that scale.
PMID- 29353331
TI - Construction of a plasmid vector containing epidermal growth factor receptor and
C-Jun shRNA.
AB - The objective of this study was to construct a plasmid vector for EGFR-hm-1 and C
Junh-825 small interfering RNA (siRNA). EGFR-hm-1 and C-Jun-hm-825
oligonucleotide fragments were synthesized and short hairpin RNA (shRNA) were
amplified by PCR. Plasmids were isolated from E. coli TOP10 bacterium by
restriction enzyme digestion using pst1 and BamH1 and oligonucleotide fragments
were cloned into the pSilencer plasmid containing the U6 promoter. Recombinant
clones were generated by transforming JM109 competent cells with plasmid vectors
containing shRNA molecules. 58 base-paired EGFR-hm-1 and 59 base-paired C-Jun-hm
825 oligonucleotide fragments were isolated. The fragments were 100% homologous
with human sequences available on GenBank. The recombinant pSilencer1.0 vector
containing a 58-bp EGFR-hm-1 and 59-bp C-Jun-hm-825 fragment was constructed.
These vectors have the potential to be used as treatment to combat skin
photoaging under UV exposure.
PMID- 29353332
TI - First-line chemotherapy with S-1 alone or S-1 plus cisplatin for elderly patients
with advanced gastric cancer: a multicenter propensity score matched study.
AB - BACKGROUND: Fluoropyrimidine and platinum combination is the standard treatment
for advanced or recurrent gastric cancer (AGC). However, fluoropyrimidine
monotherapy is commonly used for elderly patients with AGC because of its good
tolerability. METHODS: In this multicenter retrospective study, we collected
clinical data of AGC patients aged 70 years or older, treated with S-1 alone or S
1 plus cisplatin (SP) as the first-line treatment between January 2009 and
December 2011. Propensity score matched cohorts (PSMC) were used for reducing the
confounding effects to compare efficacy and safety between the two treatment
groups. Cox regression analysis was performed to clarify the prognostic factors.
RESULTS: PSMC (n = 109 in each group) were selected from among 444 eligible
patients (S-1 group, 210; SP group, 234); the S-1 group included more patients
deemed unfit for intensive chemotherapy than the SP group (e.g., higher age,
poorer PS, poor renal function). In the PSMC, patients' characteristics were
comparable between groups, except the male ratio (S-1 group, 64.2%; SP group,
77.1%; p = 0.04). No significant differences were observed in either overall
survival [hazard ratio (HR) 0.93, p = 0.63] or progression-free survival (HR
1.09, p = 0.61). Severe adverse events (AEs) and hospitalization due to AEs were
more frequent in the SP group than in the S-1 group (p < 0.001 each). CONCLUSION:
Our findings do not support the survival benefit of SP over S-1 in elderly
patients with AGC. We are now conducting a prospective comparative study to
optimize treatment strategy and explore applicability of the geriatric assessment
for these patients.
PMID- 29353335
TI - Leveraging model-informed approaches for drug discovery and development in the
cardiovascular space.
AB - Cardiovascular disease remains a significant global health burden, and
development of cardiovascular drugs in the current regulatory environment often
demands large and expensive cardiovascular outcome trials. Thus, the use of
quantitative pharmacometric approaches which can help enable early Go/No Go
decision making, ensure appropriate dose selection, and increase the likelihood
of successful clinical trials, have become increasingly important to help reduce
the risk of failed cardiovascular outcomes studies. In addition, cardiovascular
safety is an important consideration for many drug development programs, whether
or not the drug is designed to treat cardiovascular disease; modeling and
simulation approaches also have utility in assessing risk in this area. Herein,
examples of modeling and simulation applied at various stages of drug
development, spanning from the discovery stage through late-stage clinical
development, for cardiovascular programs are presented. Examples of how modeling
approaches have been utilized in early development programs across various
therapeutic areas to help inform strategies to mitigate the risk of
cardiovascular-related adverse events, such as QTc prolongation and changes in
blood pressure, are also presented. These examples demonstrate how more informed
drug development decisions can be enabled by modeling and simulation approaches
in the cardiovascular area.
PMID- 29353333
TI - Frailty Assessment in Heart Failure: an Overview of the Multi-domain Approach.
AB - PURPOSE OF REVIEW: The study aims (1) to provide a contemporary description of
frailty assessment in heart failure and (2) to provide an overview of multi
domain frailty assessment in heart failure. RECENT FINDINGS: Frailty assessment
is an important predictive measure for mortality and hospitalisation in
individuals with heart failure. To date, there are no frailty assessment
instruments validated for use in heart failure. This has resulted in significant
heterogeneity between studies regarding the assessment of frailty. The most
common frailty assessment instrument used in heart failure is the Frailty
Phenotype which focuses on five physical domains of frailty; the appropriateness
a purely physical measure of frailty in individuals with heart failure who
frequently experience decreased exercise tolerance and shortness of breath is yet
to be determined. A limited number of studies have approached frailty assessment
using a multi-domain view which may be more clinically relevant in heart failure.
There remains a lack of consensus regarding frailty assessment and an absence of
a validated instrument in heart failure. Despite this, frailty continues to be
assessed frequently, primarily for research purposes, using predominantly
physical frailty measures. A more multidimensional view of frailty assessment
using a multi-domain approach will likely be more sensitive to identifying at
risk patients.
PMID- 29353336
TI - Serum Free Light Chains in CVID-a Marker for Differential Diagnosis.
PMID- 29353337
TI - A retrospective analysis of 5-fluorouracil plus cisplatin as first-line
chemotherapy in the recent treatment strategy for patients with metastatic or
recurrent esophageal squamous cell carcinoma.
AB - BACKGROUND: Patients with metastatic or recurrent esophageal squamous cell
carcinoma (ESCC) have a poor prognosis. For decades, the most widely used first
line chemotherapy regimen for these patients has been the combination of 5
fluorouracil + cisplatin (CF). However, prognostic factors of CF as first-line
chemotherapy for ESCC have not been clarified. METHODS: A total of 187 patients
with metastatic or recurrent esophageal ESCC treated with CF at the National
Cancer Center Hospital between January 2001 and December 2012 were enrolled in
the study. The CF regimen comprised cisplatin (80 mg/m2) administered on day 1
and 5-fluorouracil (800 mg/m2) administered continuously on days 1-5, every 4
weeks. Multivariate Cox regression analysis was used to determine the potential
prognostic factors. RESULTS: The median age of the patients was 62 (range 34-84)
years. Metastasis and recurrence occurred in 116 and 71 of these patients,
respectively. The overall response rate was 37.2%, with median progression-free
and overall survival times of 4.8 and 10.4 months, respectively. In the
multivariate analysis, higher serum C-reactive protein level and lower serum
albumin level at the time of CF treatment initiation and number of metastatic
sites were identified as independent prognostic factors for survival.
CONCLUSIONS: The results of this study corroborate previous findings on the
efficacy of CF and will aid physicians in clinical decision-making and individual
patient risk stratification, as well as in the further development of
chemotherapy regimens.
PMID- 29353338
TI - The Use of Infant Confinement Equipment in Community-Based Child Care Centers: An
Analysis of Centers Participating in a Statewide Quality Rating and Improvement
System.
AB - Objectives Although infants increasingly spend time in community-based child care
centers, little attention has been paid to their physical activity experiences
while in group care. In particular, the use of devices to restrict infant
mobility, such as bouncy seats, high chairs, cribs, and stationary activity
centers, has received little attention in the physical activity literature. The
current study examines the presence and use of these confinement devices in
infant classrooms. Methods A secondary analysis of observational data in child
care centers participating in Delaware's Quality Rating and Improvement System
was conducted. Quality assessment observations were coded for the use of
confinement devices and the actual amount of time the confinement device was
employed per child. The sample consisted of 38 infant classrooms serving a total
of 162 infants in the statewide system. Results Findings suggest a high level of
utilization of confinement devices in infant child care classrooms with each
classroom averaging three pieces of equipment used during the observations and
22% of observed children being confined 30 min or longer during the observation
period. Conclusions for Practice The reliance on confinement devices in group
child care is a potential concern in the quest to prevent childhood obesity by
ensuring adequate physical activity and mobility for infants in group care
settings. More research is needed to understand the implications of the use of
confinement devices on physical activity in group care settings.
PMID- 29353339
TI - Chronic pain, discomfort, quality of life and impact on sex life after open
inguinal hernia mesh repair: an expertise-based randomized clinical trial
comparing lightweight and heavyweight mesh.
AB - PURPOSE: There is a paucity of high-quality evidence concerning mesh choice in
open inguinal hernia repair. Using an expertise-based randomized clinical trial
design, we aimed to evaluate the postoperative impact of two different mesh types
on pain and discomfort, quality of life and sex life. METHODS: In two regional
hospitals, male patients with primary inguinal hernia were randomized to one of
two groups of surgeons that performed the Lichtenstein operation. One group of
surgeons used a heavyweight polypropylene mesh (90 g/m2, BardTM Flatmesh, Davol)
while the second group employed a lightweight mesh (28 g/m2, ULTRAPROTM,
Ethicon). Follow-up data were collected by questionnaires and outpatient visits
in the range of 1-3 years after surgery. RESULTS: Some 412 patients were
randomized and 363 patients were analysed. There was no difference in pain
between groups after surgery but a statistically significant difference
concerning awareness of a groin lump and groin discomfort, favouring the
lightweight group 1 year after surgery. No differences in quality of life between
groups could be detected but both groups had a substantially better quality of
life postoperatively, as compared to before surgery. In the analysis of impact on
sex life, no differences between mesh groups were found. CONCLUSION: The
Lichtenstein operation performed for primary inguinal hernia improves quality of
life for most of the male patients, independently of the type of mesh used. The
lightweight mesh group experienced less awareness of a groin lump and groin
discomfort 1 year postoperatively. ClinicalTrials.gov Identifier: NCT00451893.
PMID- 29353340
TI - Deep Learning and Computational Neuroscience.
PMID- 29353341
TI - Field of View Normalization in Multi-Site Brain MRI.
AB - Multi-site brain MRI analysis is needed in big data neuroimaging studies, but
challenging. The challenges lie in almost every analysis step including skull
stripping. The diversities in multi-site brain MR images make it difficult to
tune parameters specific to subjects or imaging protocols. Alternatively, using
constant parameter settings often leads to inaccurate, inconsistent and even
failed skull stripping results. One reason is that images scanned at different
sites, under different scanners or protocols, and/or by different technicians
often have very different fields of view (FOVs). Normalizing FOV is currently
done manually or using ad hoc pre-processing steps, which do not always
generalize well to multi-site diverse images. In this paper, we show that (a) a
generic FOV normalization approach is possible in multi-site diverse images; we
show experiments on images acquired from Philips, GE, Siemens scanners, from
1.0T, 1.5T, 3.0T field of strengths, and from subjects 0-90 years of ages; and
(b) generic FOV normalization improves skull stripping accuracy and consistency
for multiple skull stripping algorithms; we show this effect for 5 skull
stripping algorithms including FSL's BET, AFNI's 3dSkullStrip, FreeSurfer's HWA,
BrainSuite's BSE, and MASS. We have released our FOV normalization software at
http://www.nitrc.org/projects/normalizefov .
PMID- 29353342
TI - Genetic analysis of 17 Y-STR loci from 1026 individuals of Han populations in
Jilin Province, Northeast China.
AB - In this study, 17 Y-chromosomal short tandem repeats (Y-STRs) were analyzed in
1026 male individuals of Han populations in Changchun City, Jilin Province,
Northeast China. The haplotype diversity is 0.99892. The Changchun Han population
is close to most Han populations and different from most other minority
populations of China. Additionally, the Changchun Han show more affiliations with
Han populations in North and Northeast China. These data provide a reference for
the Y-STR database in Jilin Province, and they may be valuable for population
genetic analysis.
PMID- 29353343
TI - The decreased expression of Stat3 and p-Stat3 in preeclampsia-like rat placenta.
AB - This study aims to investigate the expression of Stat3 and p-Stat3 in the
placenta of a preeclampsia-like rat model induced by Nomeganitro-L-arginine
methyl ester (L-NAME). Two-to three-month-old (20 males, 40 females) Sprague
Dawley rats were used in this study. After conception was confirmed by vaginal
smears, on the thirteenth day of pregnancy, the animals were allocated into two
groups: control (0.9% NaCl administered) group and L-NAME (75 mg/kg) group. After
the treatment of L-NAME, there was a significant increase in systolic blood
pressure (SBP) levels in the L-NAME group (148.5 +/- 5.71 mmHg) on day 21
compared to the SBPs in the control group (117.5 +/- 4.57 mmHg) (P < 0.001).
There was also an increase in total proteinuria on day 21 in the L-NAME group
(766.57 +/- 17.7 mg/L), when compared to the control group (459.89 +/- 20.1 mg/L)
(P < 0.001). Moreover, we also found a decrease in fetal numbers and fetal weight
in the PE group in comparison to the control group. After the rats were
sacrificed, the placentas were obtained from both groups. We found that the L
NAME group exhibited fewer placentas compared with the control group.
Furthermore, the immunohistochemistry (IHC) and Western blot results showed that
decreased expression of Stat3 and p-Stat3 were detected in the placenta of the
preeclampsia-like rat model compared to Stat3 and p-Stat3 in the control group.
We found the expression and activation of Stat3 and p-Stat3 were decreased in the
placenta of the L-NAME-induced preeclampsia rat model.
PMID- 29353344
TI - Safety study of intravitreal and suprachoroidal Laponite clay in rabbit eyes.
AB - PURPOSE: To study the safety and biocompatibility of Laponite clay (LAP) within
an intravitreal and suprachoroidal administration in rabbit eyes. METHODS: Thirty
two New Zealand albino rabbits were divided into two experimental groups to test
intravitreal (IVT group) and suprachoroidal (SCS group) administration of a 100
MUl and 50-MUl Laponite suspension respectively. Following injection, the eyes
were monitored by ocular tonometry, slit-lamp eye examination and indirect
ophthalmoscopy, at 24 h, 1, 4, 12, and 14 weeks post administration. Histological
examination was also performed to determine whether any ocular pathological
change had occurred. Throughout the study, LAP presence in vitreous was estimated
by complexometric titration with ethylenediaminetetraacetic acid (EDTA), taking
advantage of the Laponite high content of magnesium ions. RESULTS: Neither
significant differences in the intraocular pressure, nor relevant ocular
complications were found in the two experimental groups after LAP administration.
The histology of the retina remained unchanged. LAP presence in vitreous could be
indirectly confirmed by complexometric titration until 14 weeks post
administration in eyes of IVT group. CONCLUSION: Laponite could be considered as
a vehicle for potential clinical use in ocular drug administration, due to its
proven ocular biocompatibility and its transparency in gel state.
PMID- 29353345
TI - Detailed analysis of retinal morphology in patients with diabetic macular edema
(DME) randomized to ranibizumab or triamcinolone treatment.
PMID- 29353346
TI - Singleplex quantitative real-time PCR for the assessment of human mitochondrial
DNA quantity and quality.
AB - Mitochondrial DNA (mtDNA) can provide a means for forensic identity testing when
genotyping of nuclear DNA (nuDNA) targets is not possible due to degradation or
lack of template. For degraded samples, an indication of the quantity and quality
of mtDNA is essential to allow selection of appropriately sized targets for
hypervariable region (HVR) analysis, which may conserve sample and resources.
Three human-specific mtDNA targets of increasing length (86, 190 and 452 base
pairs) were amplified by singleplex quantitative real-time PCR (qPCR), capable of
providing an index of mtDNA degradation from fragment length information.
Quantification was achieved by preparation of a standard curve for each target,
using a purified mtDNA standard containing all three targets of interest, which
produced a linear, accurate and precise result from 1*108 to 10 copies. These
novel assays demonstrated excellent sensitivity, specificity and reproducibility
in line with the minimum information for qPCR experiments (MIQE) guidelines.
Further, a separate inhibition control reaction was included to guide sample
clean-up and ensure the validity of degradation assays. This protocol assists the
selection and analysis of appropriately sized targets to maximize the chance of
obtaining an informative result in downstream assays like sequencing.
PMID- 29353347
TI - Serum pepsinogen levels indicate the requirement of upper gastrointestinal
endoscopy among Group A subjects of ABC classification: a multicenter study.
AB - BACKGROUND: ABC classification has been used to assess the risk for gastric
cancer. The current problem of ABC classification is that Group A contains
individuals with current and past H. pylori infection. The aims of this study
were to assesse the proportion of current and past infection in Group A and to
establish a criteria for the identification of subjects with past infection from
Group A subjects with negative results of urea breath test (UBT) and/or stool
antigen test. METHODS: 201 subjects classified into Group A received UBT and/or
stool antigen test, and also subsequent upper gastrointestinal endoscopy. The
subjects were classified by the status of H. pylori infection defined by
endoscopic findings. Levels of pepsinogen (PG) I, PG II and PG I/II ratio were
compared between the groups, and receiver operating characteristic curves were
constructed to extract the corresponding cutoff values. RESULTS: 22 subjects were
tested positive by UBT and/or stool antigen test. Endoscopic images of 157 out of
179 subjects were studied. 15 of the subjects were regarded to have past H.
pylori infection. The optimal cut-off value of PG I and PG I/II ratio for the
determination of past H. pylori infection were <= 31.2 ng/mL and <= 4.6,
respectively. CONCLUSIONS: Approximately 20% of Group A subjects have current or
past H. pylori infection. Addition of UBT and/or stool antigen test can identify
current but not past infection. Serum PG levels would be useful to identify
subjects with past H. pylori infection.
PMID- 29353348
TI - Insulin receptor substrate-4 is overexpressed in colorectal cancer and promotes
retinoblastoma-cyclin-dependent kinase activation.
AB - BACKGROUND: Insulin receptor substrate 4 (IRS-4) is an adaptor protein for which
new evidence suggests plays a role in tumour promotion. METHODS: We described
nuclear IRS-4 in RKO colon cancer cell lines in biopsies of patients with
colorectal cancer (CRC) (n = 20) and in matched adjacent normal colorectal (MANC)
tissue (n = 20). RESULTS: Treatment with physiological doses of IGF-1 promoted
nuclear influx of IRS-4 from cellular cytosol in RKO cells. When exogenous IRS-4
was overexpressed in RKO cells, there was an increase in cyclin D1, cyclin E,
E2F1, pRB Ser 809/811 and pRB Ser 705 levels compared with the empty vector
transfected cells. Some of these changes returned to control values after
wortmannin treatment. Subcellular fractionation showed an overexpression of IRS-4
in the cytoplasm, membrane, and nuclei of tumour samples, whereas the levels of
the protein were barely detectable in the three compartments of normal samples.
Immunohistochemical studies showed positive nuclear IRS-4 staining in over 74% of
the tumour cells. IRS-4 was strongly overexpressed in tumoural tissues from CRC
patients compared to MANC tissues. The up-regulation of IRS-4 in CRC samples
correlated significantly with the increase of several G1 checkpoint proteins
including cyclin D1 (r = 0.6662), Rb (r = 0.7779), pRb Serine 809/811 (r =
0.6864), pRb serine 705 (r = 0.6261) and E2F1 (r = 0.8702). CONCLUSIONS: Taken
together, our findings suggest that IRS-4 promotes retinoblastoma-cyclin
dependent kinase activation and it may serve as a pharmacological target since
its expression is very low in normal tissue, including colonic epithelium.
PMID- 29353349
TI - Daclatasvir: A Review of Preclinical and Clinical Pharmacokinetics.
AB - Daclatasvir is a first-in-class, highly selective, hepatitis C virus, non
structural protein 5a polymerase replication complex inhibitor with picomolar
potency and broad genotypic coverage in vitro. Daclatasvir undergoes rapid
absorption, with a time to reach maximum plasma concentration of 1-2 h and an
elimination half-life of ~ 10 to 14 h observed in single-ascending dose studies.
Steady state was achieved by day 4 in multiple-ascending dose studies.
Daclatasvir can be administered without regard to food or pH modifiers.
Daclatasvir exposure is similar between healthy subjects and subjects infected
with hepatitis C virus. Intrinsic factors such as age, race, or sex do not impact
daclatasvir exposure. No dose adjustment is necessary for patients with any
degree of hepatic or renal impairment. Daclatasvir has low-to-moderate clearance
with the predominant route of elimination via cytochrome P450 3A4-mediated
metabolism and P-glycoprotein excretion and intestinal secretion. Renal clearance
is a minor route of elimination for daclatasvir. As a result, the dose of
daclatasvir should be reduced from 60 to 30 mg once daily when co-administered
with strong inhibitors of cytochrome P450 3A4. No dose adjustment is required
when daclatasvir is co-administered with moderate inhibitors of cytochrome P450
3A4. The dose of daclatasvir should be increased from 60 to 90 mg once daily when
co-administered with moderate inducers of cytochrome P450 3A4. Co-administration
of daclatasvir with strong inducers of cytochrome P450 3A4 is contraindicated.
Concurrent medications with inhibitory effects on P-glycoprotein without
concurrent inhibition of cytochrome P450 3A4 are unlikely to cause marked changes
in daclatasvir exposure, as the clearance of daclatasvir is through both
cytochrome P450 3A4 and P-glycoprotein. The potential for daclatasvir to affect
the pharmacokinetics of concomitantly administered drugs that are substrates of
the cytochrome P450 enzyme system is low. In vitro, daclatasvir is a weak-to
moderate inhibitor of transporters including organic cation transporter 1, P
glycoprotein, organic transporting polypeptide 1B1, organic transporting
polypeptide 1B3, and breast cancer resistance protein, although in clinical
studies, daclatasvir has not altered the pharmacokinetics of concomitantly
administered drugs that are substrates of these transporters to an appreciable
degree, except for rosuvastatin. In summary, daclatasvir is a hepatitis C virus,
non-structural protein 5a-selective inhibitor with a well-characterized
pharmacokinetic profile that forms part of potent and well-tolerated all-oral
treatment regimens for chronic hepatitis C virus infection.
PMID- 29353350
TI - Clinical Pharmacokinetics and Pharmacodynamics of Evolocumab, a PCSK9 Inhibitor.
AB - Proprotein convertase subtilisin/kexin type 9 (PCSK9) increases plasma low
density lipoprotein cholesterol (LDL-C) by decreasing expression of the LDL
receptor on hepatic cells. Evolocumab is a human monoclonal immunoglobulin G2
that binds specifically to human PCSK9 to reduce LDL-C. Evolocumab exhibits
nonlinear kinetics as a result of binding to PCSK9. Elimination is predominantly
through saturable binding to PCSK9 at lower concentrations and a nonsaturable
proteolytic pathway at higher concentrations. The effective half-life of
evolocumab is 11-17 days. The pharmacodynamic effects of evolocumab on PCSK9 are
rapid, with maximum suppression within 4 h. At steady state, peak reduction of
LDL-C occurs approximately 1 week after a subcutaneous dose of 140 mg every 2
weeks (Q2W) and 2 weeks after a subcutaneous dose 420 mg once monthly (QM), and
returns towards baseline over the dosing interval. In several clinical studies,
these doses of evolocumab reduced LDL-C by approximately 55-75% compared with
placebo. Evolocumab also reduced lipoprotein(a) [Lp(a)] levels and improved those
of other lipids in clinical studies. No clinically meaningful differences in
pharmacodynamic effects on LDL-C were observed in adult subjects regardless of
mild/moderate hepatic impairment, renal impairment or renal failure, body weight,
race, sex, or age. No clinically meaningful differences were observed for the
pharmacodynamic effects of evolocumab on LDL-C between patients who received
evolocumab alone or in combination with a statin, resulting in additional
lowering of LDL-C when evolocumab was combined with a statin. No dose adjustment
is necessary based on patient-specific factors or concomitant medication use.
PMID- 29353352
TI - Unilateral isolated hypoglossal neuropathy associated to jugular paraganglioma.
PMID- 29353351
TI - The multiple roles of titin in muscle contraction and force production.
AB - Titin is a filamentous protein spanning the half-sarcomere, with spring-like
properties in the I-band region. Various structural, signaling, and mechanical
functions have been associated with titin, but not all of these are fully
elucidated and accepted in the scientific community. Here, I discuss the primary
mechanical functions of titin, including its accepted role in passive force
production, stabilization of half-sarcomeres and sarcomeres, and its
controversial contribution to residual force enhancement, passive force
enhancement, energetics, and work production in shortening muscle. Finally, I
provide evidence that titin is a molecular spring whose stiffness changes with
muscle activation and actin-myosin-based force production, suggesting a novel
model of force production that, aside from actin and myosin, includes titin as a
"third contractile" filament. Using this three-filament model of sarcomeres, the
stability of (half-) sarcomeres, passive force enhancement, residual force
enhancement, and the decrease in metabolic energy during and following eccentric
contractions can be explained readily.
PMID- 29353354
TI - Relationship among aqueous copper half-lives and responses of Pimephales promelas
to a series of copper sulfate pentahydrate concentrations.
AB - Copper algaecide exposures in situ are often of shorter duration than exposures
for static toxicity experiments because aqueous concentrations in situ dissipate
as a function of site-specific fate processes. Consequently, responses of
organisms to static copper exposures may overestimate effects following in situ
exposures. To understand the role of exposure duration for altering responses,
Pimephales promelas survival was compared following static (96 h) and pulse (1.5,
4, 8, and 15 h half-lives) exposures of CuSO4*5H2O. Copper concentrations sorbed
by fry indicated a consequence of different exposures. Responses of P. promelas
to static exposures resulted in 96 h LC50s of 166 ugCu/L (95% confidence interval
[CI], 142-189 ugCu/L) as soluble copper and 162 ugCu/L (CI, 140-183 ugCu/L) as
acid soluble copper. Relative to static 96 h LC50s, exposures with half-lives of
1.5, 4 and 8 h resulted in LC50s 10, 3 and 2 times greater, respectively, for
responses measured 96 h after exposure initiation. Copper concentrations
extracted from fry exposed for 1.5, 4 and 8 h half-lives were less than the
static experiment. However, copper sorbed by fry in the 15 h half-life experiment
was not different than the static experiment. The relationship between 96 h LC50
and 1/half-life was expressed using the equations y = 116 + 1360 * (R2 = 0.97)
for soluble copper and y = 147 + 1620 * (R2 = 0.98) for acid soluble copper.
Incorporation of exposure duration for predictions of P. promelas responses to
copper pulse exposures increases prediction accuracy by an order of magnitude.
PMID- 29353353
TI - Peripheral Th17/Treg imbalance in elderly patients with ischemic stroke.
AB - CD4+CD25+ regulatory T (Treg) cells and Th17 cells play important roles in
peripheral immunity. Immune responses are main elements in the pathogenesis of
ischemic stroke (IS). The contribution of Th17 cells in IS patients has not been
proved, and whether the balance of Treg/Th17 cells is changed in IS patients
remains unidentified. In the present study, we studied Th17 and Treg cell
frequency, cytokine secretion, expression of transcription factors, and microRNAs
related to Th17 and Treg cells differentiation, which is compared between IS
patients and control group. Thirty patients with IS and 30 individuals as control
group were enrolled in this study. The frequency of Th17 and Treg lymphocytes,
the expression of transcription factors and microRNAs related to these cells, and
the serum levels of associated cytokines were assessed by flow cytometry, real
time PCR, and ELISA, respectively. A significant reduction in proportion of
peripheral Treg cell frequency and the levels of TGF-beta and FOXP3 expression
were observed in patients with IS compared with controls, while the proportions
of Th17 were increased dramatically, and these effects were along with increases
in the levels of IL-17A and RORgammat expression in IS patients. The levels of
mir-326 and mir-106b-25 expression were increased in patients with IS. These
studies suggest that the increase in proportion of Th17 cells and decrease in
Treg cells might contribute to the pathogenesis of IS. Manipulating the balance
between Tregs and Th17 cells might be helpful for the treatment of IS.
PMID- 29353355
TI - Cerebrospinal fluid biomarkers profile of idiopathic normal pressure
hydrocephalus.
AB - Idiopathic normal pressure hydrocephalus (iNPH) is a disabling neurological
disorder whose potential treatability is significantly limited by diagnostic
uncertainty. In fact, typical clinical presentation occurs at late phases of
disease, when CSF shunting could be ineffective. In recent years, measurement of
different CSF proteins, whose concentration directly reflects neuropathological
changes of CNS, has significantly improved both diagnostic timing and accuracy of
neurodegenerative disease. Unfortunately iNPH lacks neuropathological hallmarks
allowing the identification of specific disease biomarkers. However,
neuropathology of iNPH is so rich and heterogeneous that many processes can be
tracked in CSF, including Alzheimer's disease core pathology, subcortical
degeneration, neuroinflammation and vascular dysfunction. Indeed, a huge number
of CSF biomarkers have been analyzed in iNPH patients, but a unifying profile has
not been provided yet. In this brief survey, we thus attempted to summarize the
main findings in the field of iNPH CSF biomarkers, aimed at outlining a synthetic
model. Although defined cut-off values for biomarkers are not available, a better
knowledge of CSF characteristics may definitely assist in diagnosing the disease.
PMID- 29353356
TI - Generation of shrimp waste-based dispersant for oil spill response.
AB - In this study, shrimp waste was enzymatically hydrolyzed to generate a green
dispersant and the product was tested for crude oil dispersion in seawater. The
hydrolysis process was first optimized based on the dispersant effectiveness (DE)
of the product. The functional properties of the product were identified
including stability, critical micelle concentration, and emulsification activity.
Water was confirmed as a good solvent for dispersant generation when compared
with three chemical solvents. The effects of salinity, mixing energy, and
temperature on the dispersion of the Alaska North Slope (ANS) crude oil were
examined. Microtox acute toxicity test was also conducted to evaluate the
toxicity of the produced dispersant. In addition, DE of the product on three
different types of crude oil, including ANS crude oil, Prudhoe Bay crude oil
(PBC), and Arabian Light crude oil (ALC) was compared with that of the Corexit
9500, respectively. The research output could lead to a promising green solution
to the oil spill problem and might result in many other environmental
applications.
PMID- 29353357
TI - Heath risk among pesticide sellers in Bamenda (Cameroon) and peripheral areas.
AB - In Bamenda and peripheral zones, studies have been focused on the effects of
pesticides on farmers (pesticide users) while nothing has been done to assess the
exposure of sellers to pesticides. This study aimed at evaluating the exposure of
pesticide sellers in the same area. Thirty-two questionnaires were administered
to 32 pesticide sellers systematically selected, and chi-square was used for
statistical analysis. From each shop, a respondent was chosen among the workers
according to its daily time spent in the workplace. The results showed that there
is similarity between sellers in Bamenda and peripheral area; one active
ingredient (metalaxyl) and one formulation (beauchamp) sold are not registered;
throat irritation, headaches, fatigue, skin irritation, eye irritation, and
difficulty in breathing with more cases of nose irritation were symptoms
observed; pesticides are stored either in the shops or in warehouses; safety
measures generally applied are sitting outside the shop, taking medicated
charcoal and the use of protective clothing; 56% have less than 5 years
experience. Permanent pesticide sellers are then exposed to chronic intoxication
in Bamenda and neighboring zones. Employers should make use of protective
clothing in their shops when manipulating pesticides in the application of safety
measures.
PMID- 29353358
TI - Modeling the impact of transport energy consumption on CO2 emission in Pakistan:
Evidence from ARDL approach.
AB - The objective of this research is to examine the relationship between transport
energy consumption, economic growth, and carbon dioxide emission (CO2) from
transport sector incorporating foreign direct investment and urbanization. This
study is carried out in Pakistan by applying autoregressive distributive lag
(ARDL) and vector error correction model (VECM) over 1990-2015. The empirical
results indicate a strong significant impact of transport energy consumption on
CO2 emissions from the transportation sector. Furthermore, foreign direct
investment also contributes to CO2 emission. Interestingly, the impact of
economic growth and urbanization on transport CO2 emission is statistically
insignificant. Overall, transport energy consumption and foreign direct
investment are not environmentally friendly. The new empirical evidence from this
study provides a complete picture of the determinants of emissions from the
transport sector and these novel findings not only help to advance the existing
literature but also can be of special interest to the country's policymakers. So,
we urge that government needs to focus on promoting the energy efficient means of
transportation to improve environmental quality with less adverse influence on
economic growth.
PMID- 29353359
TI - The effects of rainfall regimes and terracing on runoff and erosion in the Three
Gorges area, China.
AB - Changes in natural rainfall regimes have taken place and are expected to become
more pronounced in future decades. These changes are also likely to be
accompanied by changes in crop management practices. The main purpose of this
study was to analyze runoff and soil loss in relation to rainfall regimes and
terracing in the Three Gorges area, China. Based on 10 years of field observation
and k-mean clusters, 101 rainfall events were grouped into three rainfall
regimes. Rainfall regime I was the group of events with strong rainfall
intensity, high frequency, and short duration. Rainfall regime III consisted of
events with low intensity, long duration, and high rainfall amount. Rainfall
regime II was the aggregation of events of high intensity and amount, and less
frequent occurrence. The results showed that event runoff coefficients were not
significantly different among rainfall regimes. However, the average soil erosion
rates in rainfall regimes I and II were significantly higher than that in regime
III. The average erosion rates under rainfall regimes I, II, and III were 21.6,
39.7, and 9.8 g m-2, respectively. The effect of rainfall regime on soil erosion
also was changed by terracing. On unterraced cropland, soil erosion rate in
rainfall regime I is significantly higher than that in regime III. However, the
situation did not exist in unterraced orchard. Terracing significantly reduced
runoff and soil erosion, and compensated the effects of rainfall regime on soil
erosion, which indicated that runoff and erosion in terraced system may be little
influenced by climate change. Based on these results, it was suggested more
attention should be paid to the timing of rainfall events in relation to crop
development and the high erosion on unterraced citrus orchard to control soil
erosion in this area.
PMID- 29353362
TI - Is it Suitable for a Journal to Bid for Publishing a Review That is Likely to be
Highly Cited?
AB - By following a recently published paper entitled "The effect of publishing a
highly cited paper on a journal's impact factor: a case study of the Review of
Particle Physics" in Learned Publishing, we argue that it is not suitable for
journals to bid for the right to publish a review that is likely to be highly
cited. A few suggestions are also provided to deal with the special case of the
Review of Particle Physics phenomenon.
PMID- 29353361
TI - Liming induces carbon dioxide (CO2) emission in PSB inoculated alkaline soil
supplemented with different phosphorus sources.
AB - Agricultural land is a major sink of global organic carbon (C). Its suitable
management is crucial for improving C sequestration and reducing soil CO2
emission. Incubation experiments were performed to assess the impact of phosphate
solubilizing bacterial (PSB) inoculation (inoculated and uninoculated) and soil
calcification (4.78, 10, 15, and 20% crushed CaCO3) with phosphorus (P) sources
[single superphosphate (SSP), rock phosphate (RP), farm yard manure (FYM), and
poultry manure (PM)] in experiment 1 and with various rates of PM (4, 8, and 12
kg ha-1) in experiment 2 on cumulative soil respiration. These experiments were
arranged in three factorial, complete randomize design (CRD) with three
replications. Interactively, lime with P sources (at day 1 and 3) and lime with
PSB (at day 1) significantly expedited soil respiration. Mainly, PSB inoculation,
liming, PM fertilization, and its various rates significantly enhanced soil
respiration with time over control/minimum in alkaline soil at all incubation
periods. Higher CO2 emission was detected in soil supplemented with organic P
sources (PM and FYM) than mineral sources (SSP and RP). CO2 emission was noted to
increase with increasing PM content. Since liming intensified CO2 discharge from
soil, therefore addition of lime to an alkaline soil should be avoided; instead,
integrated approaches must be adopted for P management in alkaline calcareous
soils for climate-smart agriculture.
PMID- 29353363
TI - The Implications of Using Internet Search Engines in Structured Scientific
Reviews.
PMID- 29353360
TI - In situ monitoring of the Sinos River, southern Brazil: water quality parameters,
biomarkers, and metal bioaccumulation in fish.
AB - The Sinos River is an important water supply in Southern Brazil and receives
industrial, agricultural, and domestic effluents which may affect aquatic biota.
Water physicochemical and microbiological analyses, biomarker responses (scaled
mass index (SMI), gill histopathology, and micronucleus and nuclear abnormality
(MN and NA) frequencies), and metal bioaccumulation in muscle were assessed in
the fish species Bryconamericus iheringii (Characidae) captured at three sampling
sites (S1, S2, and S3) in four sampling periods. The mean values of five
parameters (total phosphorus, thermotolerant coliforms, aluminum, iron, and lead)
exceeded the limits established by the Brazilian legislation at the three
sampling sites. Although physicochemical analysis indicated higher impacts at S3,
in some samples, significantly higher MN frequencies and bioaccumulation of
manganese in fish muscle were observed at S1, whereas low SMI and higher
concentrations of aluminum and zinc in fish muscle were found at S2.
Histopathological alterations in gills were observed in fish collected at the
three sampling sites; however, no spatial differences were observed, indicating
similar environmental conditions with respect to this biomarker. Moreover,
temporal variation of biomarker responses and metal bioaccumulation were found at
all sampling sites. Furthermore, the consumption of fish from the Sinos River
should be avoided given the concentrations of chromium (all samples), cadmium,
and lead in fish muscle above the threshold for safe human consumption.
PMID- 29353364
TI - Testosterone and Proactive-Reactive Aggression in Youth: the Moderating Role of
Harsh Discipline.
AB - This study tests a biosocial model of the link between testosterone and proactive
reactive aggression in youth at varying levels of harsh discipline. Given that
proactive aggression is used to gain power and status and the importance of
social learning in its formation, we hypothesized that testosterone would be
associated with proactive aggression at higher levels of harsh discipline, and
that this relationship would be more pronounced in boys than girls. Participants
(n = 445; 50% male; M age = 11.92 years; 80% African-American) and their
caregivers completed questionnaires including demographics, conflict tactics, and
proactive-reactive aggression. Youth also provided a saliva sample for
testosterone. Analyses revealed an interaction between testosterone and harsh
discipline on proactive aggression in both boys and girls, and an interaction
between testosterone and harsh discipline on reactive aggression in boys only.
For those experiencing high levels of harsh discipline, testosterone was
positively associated with proactive aggression, with the magnitude of the
association increasing as harsh discipline increased. For below average levels of
harsh discipline, there were protective effects of high testosterone for boy's
reactive aggression and for girl's proactive aggression. The findings support
basic tenets of the biosocial model which suggest that links between testosterone
and aggressive behavior are dependent on contextual forces, highlighting the
complex relationship between hormones, social context, and aggression. Novel
findings include protective effects of high testosterone for those exposed to low
levels of harsh discipline. Findings are discussed in light of the context
contingency effect and also within the differential susceptibility framework.
PMID- 29353365
TI - 8TH SRCA SYMPOSIUM ON THE CEREBELLUM: FROM DEVELOPMENT TO DISEASE : RADY FACULTY
OF HEALTH SCIENCES, UNIVERSITY OF MANITOBA, WINNIPEG, MB, CANADA, MAY 24-26,
2017.
PMID- 29353368
TI - Detailed information about chemotherapy in breast control arm might affect
cognitive sequelae compared with endocrine therapy patients.
PMID- 29353367
TI - Surveillance for cancer recurrence in long-term young breast cancer survivors
randomly selected from a statewide cancer registry.
AB - PURPOSE: This study examined clinical breast exam (CBE) and mammography
surveillance in long-term young breast cancer survivors (YBCS) and identified
barriers and facilitators to cancer surveillance practices. METHODS: Data
collected with a self-administered survey from a statewide, randomly selected
sample of YBCS diagnosed with invasive breast cancer or ductal carcinoma in situ
younger than 45 years old, stratified by race (Black vs. White/Other).
Multivariate logistic regression models identified predictors of annual CBEs and
mammograms. RESULTS: Among 859 YBCS (n = 340 Black; n = 519 White/Other; mean age
= 51.0 +/- 5.9; diagnosed 11.0 +/- 4.0 years ago), the majority (> 85%) reported
an annual CBE and a mammogram. Black YBCS in the study were more likely to report
lower rates of annual mammography and more barriers accessing care compared to
White/Other YBCS. Having a routine source of care, confidence to use healthcare
services, perceived expectations from family members and healthcare providers to
engage in cancer surveillance, and motivation to comply with these expectations
were significant predictors of having annual CBEs and annual mammograms. Cost
related lack of access to care was a significant barrier to annual mammograms.
CONCLUSIONS: Routine source of post-treatment care facilitated breast cancer
surveillance above national average rates. Persistent disparities regarding
access to mammography surveillance were identified for Black YBCS, primarily due
to lack of access to routine source of care and high out-of-pocket costs.
IMPLICATIONS: Public health action targeting cancer surveillance in YBCS should
ensure routine source of post-treatment care and address cost-related barriers.
Clinical Trials Registration Number: NCT01612338.
PMID- 29353366
TI - Is invasion a necessary step for metastases in breast cancer?
AB - PURPOSE: To review the empirical evidence to support the conventional
(sequential) model of breast cancer progression, which is based on the paradigm
that cancer passes through several stages, including an in situ stage prior to an
invasive stage, and thereafter (in some cases) disseminates to the lymph nodes
and distant organs. METHODS: We review the cancer literature of the last 50 years
which relates to the prevention of invasive breast cancer (through radiotherapy
or surgery) and reductions in the mortality for breast cancer. RESULTS: For both
invasive cancers and DCIS, the literature indicates that prevention of in-breast
invasive recurrences does not prevent death from breast cancer. Moreover, the
presence of residual cancer cells in the breast after breast-conserving surgery
does not compromise the cure rate. CONCLUSION: We propose an alternate (parallel)
model of breast cancer wherein there is a small pool of cancer stem cells which
have metastatic potential from their inception and which disseminate
synchronously through several routes-to the breast stroma, to the lymph nodes and
to distant organs. Cancer cells which disseminate to the breast give rise to
cells which make up the bulk of the tumour mass but these are not the source of
the distant metastases.
PMID- 29353370
TI - Sleep and circadian rhythms in health and disease: a complex interplay.
PMID- 29353369
TI - Mental health professionals' attitudes towards mental illness: professional and
cultural factors in the INTER NOS study.
AB - BACKGROUND: Research shows that personnel working in mental health facilities may
share some of the societal prejudices towards mental illness. This might result
in stigmatizing behaviours towards people suffering from mental disorders,
undermining the quality of their care. AIMS: To describe and compare attitudes
towards mental illness across a sample of professionals working in a wide range
of mental health facilities in Spain, Portugal and Italy. METHOD: We administered
a survey to personnel including two questionnaires related to stigmatizing
attitudes: The Community Attitudes toward the Mentally Ill (CAMI) and the
Attribution Questionnaire (AQ-27). Data were compared according to professional
category, work setting and country. RESULTS: 34.06% (1525) professionals of the
surveyed population responded adequately. Psychologists and social therapists had
the most positive attitudes, and nursing assistants the most negative, on most
factors of CAMI and AQ-27. Community staff had more positive attitudes than
hospital-based professionals in most factors on CAMI and in discriminatory
responses on AQ-27. CONCLUSIONS: Globally, mental health professionals showed a
positive attitude towards mental illness, but also a relative support to coercive
treatments. There are differences in attitudes modulated by professional category
and setting. Results can guide preventive strategies, particularly for the
hospital-based and nursing staff.
PMID- 29353371
TI - A Systematic Assessment of Google Search Queries and Readability of Online
Gynecologic Oncology Patient Education Materials.
AB - The Internet is a major source of health information for gynecologic cancer
patients. In this study, we systematically explore common Google search terms
related to gynecologic cancer and calculate readability of top resulting
websites. We used Google AdWords Keyword Planner to generate a list of commonly
searched keywords related to gynecologic oncology, which were sorted into five
groups (cervical cancer, ovarian cancer, uterine cancer, vulvar cancer, vaginal
cancer) using five patient education websites from sgo.org . Each keyword was
Google searched to create a list of top websites. The Python programming language
(version 3.5.1) was used to describe frequencies of keywords, top-level domains
(TLDs), domains, and readability of top websites using four validated formulae.
Of the estimated 1,846,950 monthly searches resulting in 62,227 websites, the
most common was cancer.org . The most common TLD was *.com. Most websites were
above the eighth-grade reading level recommended by the American Medical
Association (AMA) and the National Institute of Health (NIH). The SMOG Index was
the most reliable formula. The mean grade level readability for all sites using
SMOG was 9.4 +/- 2.3, with 23.9% of sites falling at or below the eighth-grade
reading level. The first ten results for each Google keyword were easiest to read
with results beyond the first page of Google being consistently more difficult.
Keywords related to gynecologic malignancies are Google-searched frequently. Most
websites are difficult to read without a high school education. This knowledge
may help gynecologic oncology providers adequately meet the needs of their
patients.
PMID- 29353372
TI - The variable position of the inferior alveolar nerve (IAN) in the mandibular
ramus: a computed tomography (CT) study.
AB - INTRODUCTION: This study was designed to quantify the important anatomical
landmarks and the path of the inferior alveolar nerve (IAN) within the human
mandibular body and ramus, in particular with reference to the bilateral sagittal
split osteotomy (BSSO). MATERIALS AND METHODS: Four hundred and eleven CT scans
were studied, 299 of these were involved in determining the position of lingula;
and 230 were involved in determining the course of IAN in the mandibular molar
region, namely from the mesial of the mandibular first molar to the distal of the
mandibular second molar; 118 were involved with both measurements. RESULTS: On
average, the lingula was located 17.0 +/- 2.2 mm from the external oblique ridge;
11.6 +/- 2.0 mm from the internal oblique ridge; 17.2 +/- 2.7 mm from the sigmoid
notch; and 15.6 +/- 1.9 mm from the posterior border of the mandible. The course
of the IAN in the mandibular molar region was found to descend vertically from
the distal of the mandibular second molar (7) to reach its lowest point between
the first and second molars (6 and 7), and then ascend towards the mesial of the
first molar (6). Horizontally, the IAN was found to traverse medially between the
distal of the 7 and the middle of the 7, and then changes its path laterally
towards the mesial of the 6. CONCLUSION: Precise knowledge of the individual's
position of the IAN will help surgical planning.
PMID- 29353373
TI - Disturbance of Metabotropic Glutamate Receptor-Mediated Long-Term Depression
(mGlu-LTD) of Excitatory Synaptic Transmission in the Rat Hippocampus After
Prenatal Immune Challenge.
AB - Maternal immune challenge has proved to induce moderate to severe behavioral
disabilities in the offspring. Cognitive/behavioral deficits are supported by
changes in synaptic plasticity in different brain areas. We have reported
previously that prenatal exposure to bacterial LPS could induce inhibition of
hippocampal long-term potentiation (LTP) in the CA1 area of the juvenile/adult
male offspring associated with spatial learning inabilities. Nevertheless,
deficits in plasticity could be observed at earlier stages as shown by the early
loss of long-term depression (LTD) in immature animals. Moreover, aberrant forms
of plasticity were also evidenced such as the transient occurrence of LTP instead
of LTD in 15-25 day-old animals. This switch from LTD to LTP seemed to involve
the activation of metabotropic glutamate receptor subtype 1 and 5 (mGlu1/5). We
have thus investigated here whether the long-term depression elicited by the
direct activation of these receptors (mGlu-LTD) with a selective agonist was also
disturbed after prenatal stress. We find that in prenatally stressed rats,
mGlu1/5 stimulation elicits long-term potentiation (mGlu-LTP) independently of N
methyl-D-aspartate receptors. Both mGlu5 and mGlu1 receptors are involved in this
switch of plasticity. Moreover, this mGlu-LTP is still observed at later
developmental stages than previously reported, i.e. after 25 day-old. In
addition, increasing synaptic GABA with tiagabine tends to inhibit mGlu-LTP
occurrence. By contrast, long-term depression induced with the activation of CB1
cannabinoid receptor is unaffected by prenatal stress. Therefore, prenatal stress
drastically alters mGlu1/5-associated plasticity throughout development. MGlu
mediated plasticity is an interesting parameter to probe the long-lasting
deficits reported in this model.
PMID- 29353374
TI - BDNF Activates mTOR to Upregulate NR2B Expression in the Rostral Anterior
Cingulate Cortex Required for Inflammatory Pain-Related Aversion in Rats.
AB - The mechanistic target of rapamycin (mTOR) has been demonstrated to mediate pain
related aversion induced by formalin in the rostral anterior cingulate cortex
(rACC). However, it remains unclear the signaling pathways and regulatory
proteins involved. In the rACC, brain-derived neurotrophic factor (BDNF), an
activity-dependent neuromodulator, has been shown to play a role in the
development and persistence of chronic pain. In this study, we used a rat
formalin-induced inflammatory pain model to demonstrate BDNF up-regulation in the
rACC. Stimulation with exogenous BDNF up-regulated mTOR, whilst cyclotraxin B
(CTX-B), a tropomyosin receptor kinase B (TrkB) antagonist, down-regulated mTOR.
Our results suggest BDNF could activate an mTOR signaling pathway. Subsequently,
we used formalin-induced conditioned place avoidance (F-CPA) training in rat
models to investigate if mTOR activation was required for pain-related aversion.
We demonstrated that BDNF/mTOR signaling could activate the NMDA receptor subunit
episilon-2 (NR2B), which is required for F-CPA. Our results reveal that BDNF
activates mTOR to up-regulate NR2B expression, which is required for inflammatory
pain-related aversion in the rACC of rats.
PMID- 29353375
TI - Comparative Effects of Hydrogen Sulfide-Releasing Compounds on [3H]D-Aspartate
Release from Bovine Isolated Retinae.
AB - We investigated the pharmacological actions of a slow-releasing H2S donor, GYY
4137; a substrate for the biosynthesis of H2S, L-cysteine and its precursor, N
acetylcysteine on potassium (K+; 50 mM)-evoked [3H]D-aspartate release from
bovine isolated retinae using the Superfusion Method. GYY 4137 (10 nM-10 uM), L
cysteine (100 nM-10 uM) and N-acetylcysteine (10 uM-1 mM) elicited a
concentration-dependent decrease in K+-evoked [3H]D-aspartate release from
isolated bovine retinae without affecting basal tritium efflux. At equimolar
concentration of 10 uM, the rank order of activity was as follows: L-cysteine >
GYY 4137 > N-acetylcysteine. A dual inhibitor of the biosynthetic enzymes for
H2S, cystathionine beta-synthase (CBS) and cystathionine gamma-lyase (CSE), amino
oxyacetic acid (AOA; 3 mM) reversed the inhibitory responses caused by GYY 4137,
L-cysteine and N-acetylcysteine on K+-evoked [3H]D-aspartate release.
Glibenclamide (300 uM), an inhibitor of KATP channels blocked the inhibitory
action of GYY 4137 and L-cysteine but not that elicited by N-acetylcysteine on K+
induced [3H]D-aspartate release. The inhibitory effect of GYY 4137 and L-cysteine
on K+-evoked [3H]D-aspartate release was reversed by the non-specific inhibitor
of nitric oxide synthase (NOS), L-NAME (300 uM). Furthermore, a specific
inhibitor of inducible NOS (iNOS), aminoguanidine (10 uM) blocked the inhibitory
action of L-cysteine on K+-evoked [3H]D-aspartate release. We conclude that both
donors and substrates for H2S production can inhibit amino acid neurotransmission
in bovine isolated retinae, an effect that is dependent, at least in part, upon
the intramural biosynthesis of this gas, and on the activity of KATP channels and
NO synthase.
PMID- 29353376
TI - Injury Prevention in Baseball: from Youth to the Pros.
AB - PURPOSE OF REVIEW: This review examines recent literature on injury epidemiology
and prevention in the sport of baseball from youth to professional levels. RECENT
FINDINGS: Overuse is the predominant mechanism of injury in youth baseball
players. Newer stretching and exercise protocols may help prevent these injuries.
At the professional level, however, overuse has not been proven to correlate with
increased injury incidence, but pitch counts are still monitored. There continues
to be a rise in operations performed for baseball-related injuries, including
ulnar collateral ligament (UCL) reconstruction, particularly in younger athletes.
As the level of play increases, there is significant loss of participation due to
injuries, such as the UCL in the upper extremity and the hamstrings in the lower
extremity. Baseball is a widely popular sport, which has led to a focus on injury
epidemiology and prevention. While the majority of research regarding baseball
injuries focuses on pitchers, fielders and catchers are also at risk. In an
attempt to decrease non-contact injuries in the upper and lower extremities,
stretching and strengthening exercises are vitally important. Because injury
profiles demonstrate significant variability from youth to professional baseball,
unique prevention strategies are likely necessary at each level. More research is
needed to develop and validate appropriately targeted injury prevention programs.
PMID- 29353377
TI - Highly synergistic activity of melittin with imipenem and colistin in biofilm
inhibition against multidrug-resistant strong biofilm producer strains of
Acinetobacter baumannii.
AB - The rapid increase of drug resistance and failure of available antibiotics to
treat biofilm-associated infections is of great health concern. Accordingly, our
study aimed to evaluate the synergistic antibacterial, biofilm inhibitory, and
biofilm removal activities of melittin in combination with colistin, imipenem,
and ciprofloxacin against multidrug-resistant (MDR) strong biofilm producer
Acinetobacter baumannii isolates. The kinetics of biofilm formation were
evaluated for the isolates for 144 h. Minimum inhibitory concentrations (MICs),
minimum bactericidal concentrations (MBCs), minimum biofilm inhibitory
concentrations (MBICs), and biofilm removal activities for melittin and
combinations with antibiotics were determined. Inhibition of biofilm-associated
protein (bap) expression by melittin was evaluated with real-time polymerase
chain reaction (PCR). Field emission scanning electron microscopy (FE-SEM) was
used to visualize the effect of synergism on the inhibition of biofilm
production. The geometric means of the fractional inhibitory concentration index
(FICi) for melittin-colistin, melittin-imipenem, and melittin-ciprofloxacin
combinations were calculated as 0.31, 0.24, and 0.94, respectively. Comparing the
geometric means of the removal activity for melittin, colistin, imipenem, and
combinations of them in both 6 and 24 h showed a significant difference between
the groups (p-value < 0.05). Exposure to melittin induced a statistically
significant downregulation of bap mRNA levels in all isolates at sub-MIC doses.
Analysis of the FE-SEM results demonstrated that the synergism of melittin
colistin at 0.125-0.25 MUg inhibited biofilm formation completely. In conclusion,
our findings indicate that melittin possesses considerable potential for use in
combination with colistin and imipenem to treat infections caused by MDR strong
biofilm producer A. baumannii isolates.
PMID- 29353378
TI - Secular trends in the appropriateness of empirical antibiotic treatment in
patients with bacteremia: a comparison between three prospective cohorts.
AB - The objective of this study was to explore whether the percentage of
inappropriate empirical antibiotic treatment in patients with bacteremia changed
over time and to understand the factors that brought on the change. Three
prospective cohorts of patients with bacteremia in three different periods
(January 1st, 1988 to December 31st, 1989; May 1st, 2004 to November 30, 2004;
May 1st, 2010 to April 30, 2011) were compared. Analysis was performed on a total
of 811 patients. In 2010-2011, 55.9% (76/136) of patients with bacteremia
received inappropriate empirical treatment, compared with 34.5% (170/493) and
33.5% (55/164) in the first and second periods, respectively, in a significant
upward trend (p = 0.001). Resistance to antibiotics increased significantly
during the study period. The following variables were included in the
multivariate analysis assessing risk factors for inappropriate empirical
treatment: study period (third period) [odds ratio, OR = 2.766 (95% confidence
interval, CI, 1.655-4.625)], gender (male) [OR = 1.511 (1.014-2.253)], pathogen
carrying extended-spectrum beta-lactamases [OR = 10.426 (4.688-23.187)],
multidrug-resistant Acinetobacter baumannii [OR = 5.428 (2.181-13.513)], and
skin/soft infections [OR = 3.23 (1.148-9.084)]. A model excluding microbiological
data included: gender (male) [OR = 1.648 (1.216-2.234)], study period (third
period) [OR = 2.446 (1.653-3.620)], hospital-acquired infection [OR = 1.551
(1.060-2.270)], previous use of antibiotics [OR = 1.815 (1.247-2.642)], bedridden
patient [OR = 2.019 (1.114-3.658)], and diabetes mellitus [OR = 1.620 (1.154
2.274)]. We have observed a worrisome increase in the rate of inappropriate
empirical treatment of bacteremia. We need tools that will allow us better
prediction of the pathogen and its susceptibilities during the first hours of
managing a patient suspected of a severe bacterial infection.
PMID- 29353379
TI - Coagulase-negative staphylococci are associated to the mild inflammatory pattern
of healthcare-associated meningitis: a retrospective study.
AB - The epidemiology of healthcare-associated meningitis (HAM) is dominated by
commensal bacteria from the skin, as coagulase-negative staphylococci (CoNS). We
hypothesized that the pauci-symptomatic and mild inflammatory patterns of HAM are
related to the low pathogenic state of CoNS. Our aim was to describe clinical and
biological features of CoNS HAM, compared to other HAM. All consecutive patients
with HAM admitted in our hospital were retrospectively included from 2007 to
2014. HAM due to CoNS were compared to HAM caused by other bacteria (controls)
for clinical and laboratory patterns. Seventy-one cases of HAM were included,
comprising 18 CoNS and 53 controls. Patients were not different in terms of
baseline characteristics. CoNS HAM occurred later after the last surgery than
controls (17 vs. 12 days, p = 0.029) and had higher Glasgow Coma Scale (GCS)
score (14 vs. 13, p = 0.038). Cerebrospinal fluid (CSF) analysis revealed a lower
pleocytosis (25 vs. 1340/mm3, p < 0.001), a higher glucose level (3.75 vs. 0.8
mmol/L, p < 0.001), and a lower protein level (744 vs. 1751 mg/L, p < 0.001) in
the CoNS group than in the control group, respectively. HAM due to CoNS was
significantly less symptomatic and less inflammatory than HAM due to other
bacteria.
PMID- 29353380
TI - Crystal structure of the NADP+ and tartrate-bound complex of L-serine 3
dehydrogenase from the hyperthermophilic archaeon Pyrobaculum calidifontis.
AB - A gene encoding L-serine dehydrogenase (L-SerDH) that exhibits extremely low
sequence identity to the Agrobacterium tumefaciens L-SerDH was identified in the
hyperthermophilic archaeon Pyrobaculum calidifontis. The predicted amino acid
sequence showed 36% identity with that of Pseudomonas aeruginosa L-SerDH,
suggesting that P. calidifontis L-SerDH is a novel type of L-SerDH, like Ps.
aeruginosa L-SerDH. The overexpressed enzyme appears to be the most thermostable
L-SerDH described to date, and no loss of activity was observed by incubation for
30 min at temperatures up to 100 degrees C. The enzyme showed substantial
reactivity towards D-serine, in addition to L-serine. Two different crystal
structures of P. calidifontis L-SerDH were determined using the Se-MAD and MR
method: the structure in complex with NADP+/sulfate ion at 1.18 A and the
structure in complex with NADP+/L-tartrate (substrate analog) at 1.57 A. The fold
of the catalytic domain showed similarity with that of Ps. aeruginosa L-SerDH.
However, the active site structure significantly differed between the two
enzymes. Based on the structure of the tartrate, L- and D-serine and 3
hydroxypropionate molecules were modeled into the active site and the substrate
binding modes were estimated. A structural comparison suggests that the wide
cavity at the substrate binding site is likely responsible for the high
reactivity of the enzyme toward both L- and D-serine enantiomers. This is the
first description of the structure of the novel type of L-SerDH with bound NADP+
and substrate analog, and it provides new insight into the substrate binding
mechanism of L-SerDH. The results obtained here may be very informative for the
creation of L- or D-serine-specific SerDH by protein engineering.
PMID- 29353381
TI - Effect of Kaempferol Pretreatment on Myocardial Injury in Rats.
AB - The present study was undertaken to evaluate the effect of kaempferol in
isoprenaline (ISP)-induced myocardial injury in rats. ISP was administered
subcutaneously for two subsequent days to induce myocardial injury. Assessment of
myocardial injury was done by estimation of hemodynamic functions, myocardial
infarcted area, cardiac injury markers, lipid profile, oxidative stress, pro
inflammatory cytokines and histopathology of heart and liver. Rats pretreated
with kaempferol showed reduction in the myocardial infarcted area and heart rate.
However, no improvement was observed in change in body weight, mean arterial,
systolic and diastolic blood pressure. Kaempferol showed significant decrease in
serum LDH, CK-MB, troponin-I and lipid profile. However, highest dose of
kaempferol did not reduce the serum triglyceride level. Further, antioxidant
enzymes, SOD and catalase, were also higher. However, reduced glutathione, serum
SGOT and creatinine did not show any improvement. Kaempferol showed reduction in
MDA level. Kaempferol at highest dose showed reduction in pro-MMP-2 expression
and MMP-9 level. mRNA expression level of TNF-alpha was not different in
kaempferol-pretreated myocardial injured rats with ISP-alone group. Pretreatment
with kaempferol at highest dose showed mild mononuclear infiltration and
degenerative changes in heart tissue section of myocardial injured rats. Rats
pretreated with kaempferol at higher concentration showed normal cordlike
arrangement of hepatocytes with moderate swelling of hepatocytes (vacuolar
degeneration) around the central vein. Study suggests that kaempferol attenuated
lipid profile, infarcted area and oxidative stress in ISP-induced myocardial
injury in rats.
PMID- 29353382
TI - A Closer Look at Transcendence and Its Relationship to Mental Health.
AB - Experiences of transcendence can promote growth, creativity and altruism, but
often exist in tension with critical thought and can feature problematically in
certain mental disorders. Identification of the core elements in the experience
of transcendence-suspending disbelief, being moved or inspired, surrendering
oneself and identifying within a larger context, can clarify its relationship to
psychopathology, and the basis for a clinician's role. To help individuals engage
transcendent resources well, clinicians can help patients recognize how
depression and trauma may interfere with engagement, masochistic and magical
tendencies may distort healthy self-surrender, and vulnerability to social
pressure may interfere with mature identification with a larger context or
community.
PMID- 29353383
TI - Religion and Well-Being: The Mediating Role of Positive Virtues.
AB - Researchers have consistently advocated positive associations between religion
and well-being. The present research takes a step forward and explores potential
mechanisms behind the same. The mediating role of a surprisingly neglected
mechanism, positive virtues, specifically gratitude, forgiveness and altruism, is
studied through a quantitative study on a sample of 220 adult respondents
residing in Delhi NCR. The participants adhered to one of the six major religions
present in India. Mediational analysis revealed that gratitude mediated the
relationship between religiosity, spirituality and well-being via two pathways of
forgiveness and altruism. The implications for researchers and practitioners
working in the field of mental health are discussed.
PMID- 29353384
TI - The Effect of Self-Care Education on Emotional Intelligence of Iranian Nursing
Students: A Quasi-experimental Study.
AB - This study aimed to determine the effect of self-care training on emotional
intelligence of nursing students. This quasi-experimental study was conducted on
nursing students of Mazandaran University of Medical Sciences in 2016. The
subjects (60 students) that were collected with random sampling method were
divided into experimental and control groups, and then, self-care behaviors were
taught to the experimental group' students in 12 sessions by using a checklist.
The subjects of control group were not taught. Emotional intelligence was
measured by using Bradberry and Greaves' standard questionnaire before and after
the intervention. Emotional intelligence scores of students in the experimental
group showed positive and significant change between before (75.33 +/- 7.23) and
after (125.70 +/- 7.79) of training (P < 0.001). Also t test shows a significant
change in control (78.73 +/- 6.54) and experimental groups (125.70 +/- 7.79),
after of training (P < 0.001). It is recommended that special programs be
organized in order to improve the emotional intelligence of students that improve
the likelihood of their success in life.
PMID- 29353385
TI - The Relative Importance of Clinical, Economic, Patient Values and Feasibility
Criteria in Cancer Drug Reimbursement in Canada: A Revealed Preferences Analysis
of Recommendations of the Pan-Canadian Oncology Drug Review 2011-2017.
AB - BACKGROUND: Most Canadian provinces and territories rely on the pan-Canadian
Oncology Drug Review (pCODR) to provide recommendations regarding public
reimbursement of cancer drugs. The pCODR review process considers four dimensions
of value-clinical benefit, economic evaluation, patient-based values and adoption
feasibility-but they do not define weights for individual decision criteria or an
acceptable threshold for any of the criteria. Given this implicit review process,
it is of interest to understand which factors appear to carry the most weight in
pCODR recommendations using a revealed preferences approach. METHODS: Using
publicly available decision summaries (n = 91) describing submissions and
resulting recommendations 2011-2017, we extracted ten attributes that
characterized each submission. Using logistic regression, we identified
statistically significant attributes and estimated their relative impact in final
recommendations. RESULTS: Clinical aspects appear to carry the greatest weight in
the decision to reject or not reject, along with aspects of patient value
(treatments with no alternatives were less likely to be rejected). Cost
effectiveness does not appear to play a role in the initial decision to reject or
not reject but is critical in full versus conditional approvals. There is
evidence of a maximum acceptable threshold of around $Can140,000 per quality
adjusted life-year (QALY) gained. CONCLUSION: A set of factors driving pCODR
recommendations is identifiable, supporting the consistency of the review
process. However, the implicit nature of the review process and the difficulty of
extracting and interpreting some of the attribute levels used in the analysis
suggests that the process may still lack full transparency.
PMID- 29353386
TI - Population-based dose-response analysis of liver transcriptional response to
trichloroethylene in mouse.
AB - Studies of gene expression are common in toxicology and provide important clues
to mechanistic understanding of adverse effects of chemicals. Most prior studies
have been performed in a single strain or cell line; however, gene expression is
heavily influenced by the genetic background, and these genotype-expression
differences may be key drivers of inter-individual variation in response to
chemical toxicity. In this study, we hypothesized that the genetically diverse
Collaborative Cross mouse population can be used to gain insight and suggest
mechanistic hypotheses for the dose- and genetic background-dependent effects of
chemical exposure. This hypothesis was tested using a model liver toxicant
trichloroethylene (TCE). Liver transcriptional responses to TCE exposure were
evaluated 24 h after dosing. Transcriptomic dose-responses were examined for both
TCE and its major oxidative metabolite trichloroacetic acid (TCA). As expected,
peroxisome- and fatty acid metabolism-related pathways were among the most dose
responsive enriched pathways in all strains. However, nearly half of the TCE
induced liver transcriptional perturbation was strain-dependent, with abundant
evidence of strain/dose interaction, including in the peroxisomal signaling
associated pathways. These effects were highly concordant between the
administered TCE dose and liver levels of TCA. Dose-response analysis of gene
expression at the pathway level yielded points of departure similar to those
derived from the traditional toxicology studies for both non-cancer and cancer
effects. Mapping of expression-genotype-dose relationships revealed some
significant associations; however, the effects of TCE on gene expression in liver
appear to be highly polygenic traits that are challenging to positionally map.
This study highlights the usefulness of mouse population-based studies in
assessing inter-individual variation in toxicological responses, but cautions
that genetic mapping may be challenging because of the complexity in gene
exposure-dose relationships.
PMID- 29353389
TI - The Design of a Pulse Oximeter to MIDI Output Conversion Unit - a Technical
Report.
PMID- 29353388
TI - Outcomes and cost-effectiveness of ultrasound-guided injection of the
trochanteric bursa.
AB - We hypothesized that ultrasound (US) guidance improves outcomes of corticosteroid
injection of trochanteric bursitis. 40 patients with greater trochanteric pain
syndrome defined by pain to palpation over the trochanteric bursa were randomized
to injection with 5 ml of 1% lidocaine and 80 mg of methylprednisolone using (1)
conventional anatomic landmark palpation guidance or (2) US guidance. Procedural
pain (Visual Analogue Pain Scale), pain at outcome (2 weeks and 6 months),
therapeutic duration, time-to-next intervention, and costs were determined. There
were no complications in either group. Ultrasonography demonstrated that at least
a 2-in (50.8 mm) needle was required to consistently reach the trochanteric
bursa. Pain scores were similar at 2 weeks: US: 1.3 +/- 1.9 cm; landmark: 2.2 +/-
2.5 cm, 95% CI of difference: - 0.7 < 0.9 < 2.5, p = 0.14. At 6 months, US was
superior: US: 3.9 +/- 2.0 cm; landmark: 5.5 +/- 2.6 cm, 95% CI of difference: 0.8
< 1.6 < 2.4, p = 0.036. However, therapeutic duration (US 4.7 +/- 1.4 months;
landmark 4.1 +/- 2.9 months, 95% CI of difference - 2.2 < - 0.6 < 1.0, p = 0.48),
and time-to-next intervention (US 8.7 +/- 2.9 months; landmark 8.3 +/- 3.8
months, 95% CI of difference - 2.8 < - 0.4 < 2.0, p = 0.62) were similar.
Costs/patient/year was 43% greater with US (US $297 +/- 99, landmark $207 +/- 95;
p = 0.017). US-guided and anatomic landmark injection of the trochanteric bursa
have similar 2-week and 6-month outcomes; however, US guidance is considerably
more expensive and less cost-effective. Anatomic landmark-guided injection
remains the method of choice, but should be routinely performed using a
sufficiently long needle [at least a 2 in (50.8 mm)]. US guidance should be
reserved for extreme obesity or injection failure.
PMID- 29353387
TI - Inter-individual variation in health and disease associated with pulmonary
infectious agents.
AB - Respiratory infectious diseases resulting from bacterial or viral pathogens such
as Mycobacterium tuberculosis, Streptococcus pneumoniae, respiratory syncytial
virus (RSV), or influenza, are major global public health concerns. Lower
respiratory tract infections are leading causes of morbidity and mortality, only
behind ischemic heart disease and stroke (GBD 2015 LRI Collaborators in Lancet
Infect Dis 17(11):1133-1161, 2017). Developing countries are particularly
impacted by these diseases. However, while many are infected with viruses such as
RSV (> 90% of all individuals are infected by age 2), only sub-populations
develop severe disease. Many factors may contribute to the inter-individual
variation in response to respiratory infections, including gender, age,
socioeconomic status, nutrition, and genetic background. Association studies with
functional single nucleotide polymorphisms in biologically plausible gene
candidates have been performed in human populations to provide insight to the
molecular genetic contribution to pulmonary infections and disease severity. In
vitro cell models and genome-wide association studies in animal models of genetic
susceptibility to respiratory infections have also identified novel candidate
susceptibility genes, some of which have also been found to contribute to disease
susceptibility in human populations. Genetic background may also contribute to
differential efficacy of vaccines against respiratory infections. Development of
new genetic mouse models such as the collaborative cross and diversity outbred
mice should provide additional insight to the mechanisms of genetic
susceptibility to respiratory infections. Continued investigation of
susceptibility factors should provide insight to novel strategies to prevent and
treat disease that contributes to global morbidity and mortality attributed to
respiratory infections.
PMID- 29353390
TI - Image-based Analysis of Emotional Facial Expressions in Full Face Transplants.
AB - In this study, it is aimed to determine the degree of the development in
emotional expression of full face transplant patients from photographs. Hence, a
rehabilitation process can be planned according to the determination of degrees
as a later work. As envisaged, in full face transplant cases, the determination
of expressions can be confused or cannot be achieved as the healthy control
group. In order to perform image-based analysis, a control group consist of 9
healthy males and 2 full-face transplant patients participated in the study.
Appearance-based Gabor Wavelet Transform (GWT) and Local Binary Pattern (LBP)
methods are adopted for recognizing neutral and 6 emotional expressions which
consist of angry, scared, happy, hate, confused and sad. Feature extraction was
carried out by using both methods and combination of these methods serially. In
the performed expressions, the extracted features of the most distinct zones in
the facial area where the eye and mouth region, have been used to classify the
emotions. Also, the combination of these region features has been used to improve
classifier performance. Control subjects and transplant patients' ability to
perform emotional expressions have been determined with K-nearest neighbor (KNN)
classifier with region-specific and method-specific decision stages. The results
have been compared with healthy group. It has been observed that transplant
patients don't reflect some emotional expressions. Also, there were confusions
among expressions.
PMID- 29353391
TI - Detection of sleep-disordered breathing with ambulatory Holter monitoring.
AB - PURPOSE: Obstructive sleep apnea (OSA) syndrome is a common condition that can
impact clinical outcomes among patients with cardiovascular disease. Screening
all subjects with heart disease via polysomnography (PSG) is costly and resource
limited. We sought to compare a Holter monitor-based algorithm to detect OSA to
in-laboratory polysomnography (PSG). METHODS: Prospective cohort study of
patients undergoing in-laboratory attended PSG for the evaluation of OSA. A
standard 12-lead Holter monitor was attached to patients at the initiation of
PSG. Holter-derived respiratory disturbance index (HDRDI) was extracted from the
respiratory myogram, based on detecting skeletal muscle "noise" detected on the
baseline. Apneic and hypopneic episodes were identified by comparing sudden
changes in the myogram to abrupt increases in heart rate. The HDRDI was compared
with the PSG-derived apnea-hypopnea index (PDAHI). RESULTS: Thirty patients
underwent simultaneous Holter monitoring and overnight diagnostic PSG. An ROC
curve for peak HDRDI was 0.79 (95% CI 0.61, 0.97) for OSA, with sensitivity of
94.4% and specificity of 54.5%. A cutoff value of HDRDI < 10 appeared to identify
those individuals without clinically significant sleep-disordered breathing.
CONCLUSION: Holter-derived respiration detected OSA comparable to PSG. Further
study is warranted to determine its utility for screening and diagnosing OSA in
appropriately selected patients.
PMID- 29353392
TI - A preliminary survey on prevalence and knowledge about different aspects of
somnambulism in Buner District of Khyber Pakhtunkhwa, Pakistan.
AB - PURPOSE: Present study was aimed to investigate the prevalence and knowledge
about different aspects of somnambulism in general population of Buner District,
KP, Pakistan, during December 2015-November 2016. METHODS: Data was collected
through convenient sampling technique which was comprised of structured and
detailed questionnaire. Collected data regarding different actions performed by
sleepwalkers were adjusted through a weighted variable to generalize results.
Univariate and multivariate logistic regression was used to evaluate association
between variables related to somnambulism. Their odds ratios (ORs) were reported
with their corresponding 95% CIs. RESULTS: In total, 11,881 individuals were
surveyed, of which 448 (4%) suffered with sleep disorder. Females 256/448 (57%)
were more prevalent as compared to males 192/448 (43%). Prevalence of
somnambulism among children was 38% whereas 94% sufferers reported no effect on
their growth. Majority of respondents reported that main cause of sleepwalking is
stress and tension. Somnambulism was taken normal and mostly amusing disorder by
sufferers and their families. CONCLUSION: Sleepwalking is not age specific and
serious disorder. Sleepwalkers need special care during their episode due to
unconsciousness.
PMID- 29353393
TI - 131I-Induced Graves' disease in patients treated for toxic multinodular goitre:
systematic review and descriptive analysis.
AB - BACKGROUND: Graves' disease (GD) arising after the treatment of toxic
multinodular goitre (TMNG) with radioiodine has long been described but it
remained unclear whether GD was in fact iodine induced, its incidence, risk
factors, natural history and treatment outcomes. METHODS: A systematic search
using The Cochrane Library, Medline and PubMed Central allowed the pooling of
data from 3633 patients with thyroid autonomy, 1340 patients with TMNG, to fill
gaps in knowledge, regarding the clinical expression of iodine-induced GD (131I
IGD) in adults. RESULTS: 131I-IGD developed in 0-5.3% of those with thyroid
autonomy (first year) and in 5-5.4% of those with TMNG, 3-6 months after
treatment. Patients with toxic adenoma were less affected. 131I-IGD was more
common in patients with pre-treatment direct or indirect signs of autoimmunity:
positive anti-TPO (p < 0.05), glandular hypoechogenicity, TRAbs within reference
range, diffuse uptake on 99mTc-pertechnetate scans (p < 0.05), findings that may
increase the risk tenfold. 131I-IGD manifested 3 months after 131I, justifying
15.4-29% of cases of relapse. The rate of spontaneous remission was 17-20% (6
months) and the rate of relapse after a second 131I treatment 22-25%. The use of
an uptake-based administered 131I activity led to a greater proportion of
euthyroid patients (78% compared to 25-50% with the mass-based approach).
CONCLUSIONS: GD may be triggered by 131I. The incidence of the condition is low.
Several risk factors were consistently identified; some have shown to raise the
risk significantly. 131I-IGD seems more treatment resistant than iodine
independent GD and the best resolution rates were achieved with uptake-based
selected iodine activities.
PMID- 29353394
TI - Comparison of the effects of the L-dopa and insulin tolerance tests on cortisol
secretion.
AB - PURPOSE: The aims of the present study are to evaluate the effect of L-dopa on
the secretion of cortisol and adrenocorticotropic hormone (ACTH) in short
children and compare the performance of this test with the insulin tolerance test
(ITT) in a large number of patients. METHODS: A total of 29 short but otherwise
healthy children [mean age 9.5 +/- 3.1 years (range 3.7-14.9 years)] who had
inadequate growth hormone (GH) responses to ITT, which was performed as the first
test, were consecutively enrolled in this study. GH, cortisol, and ACTH levels
were measured just before administration of L-dopa and then at 30-min intervals
afterward over a total time of 120 min. Peak concentrations of cortisol and ACTH
exceeding 18 ug/dL (496 mmol/L) and 46 pg/mL (10.2 pmol/L), respectively, were
defined as an adequate response. RESULTS: While the L-dopa test revealed that 26
of the 29 children (89.7%) had peak serum cortisol levels of > 18 ug/dL, the ITT
revealed that only 23 children (79.3%) had adequate cortisol responses. The L
dopa test revealed normal ACTH responses (> 46 pg/mL) in 24 (82.8%) patients.
Peak cortisol levels were higher in children with normal ACTH responses than in
those with subnormal ACTH responses (25.6 +/- 6.2 vs. 19.5 +/- 6.4 ug/dL, p =
0.054), but the difference observed was statistically insignificant. CONCLUSION:
The results of the current study confirm that the L-dopa test is a reliable test
of cortisol secretion. As such, this test may be applicable to assessments of the
hypothalamic-pituitary-adrenal axis.
PMID- 29353395
TI - Comparison of osteoporosis in US adults with type 1 and type 2 diabetes mellitus.
AB - PURPOSE: We examined bone mineral density (BMD) and osteoporosis prevalence in
those with type 1 compared to type 2 diabetes derived from a nationally
representative sample from the civilian community in the United States. METHODS:
Data from the National Health and Nutrition Examination Survey (NHANES) for 2005
2006, 2007-2008, 2009-2010, and 2013-2014 were merged to obtain a large sample of
diabetics at least 20 years of age with participation in the interview and
medical examination. Osteoporosis status was defined by BMD at the total femur,
femoral neck, or total lumbar spine. Self-reported diabetics that were prescribed
insulin within the first year of diagnosis, are currently taking insulin, and
reported no prescriptions for any diabetic pills were classified as type 1.
Remaining self-reported diabetics were deemed as having type 2. RESULTS: A total
of 2050 diabetics were included in which 87 (4%) were classified as type 1. Type
1 diabetics were found to have a significantly lower BMD at the total femur and
femoral neck, but not at the lumbar spine in the adjusted models. Diabetics with
type 1 were 4.7 times more likely to have osteoporosis than those with type 2.
There was no significant relationship between diabetes type and BMD or
osteoporosis prior to adjustment for confounders. CONCLUSIONS: Although our
results show an increased likelihood of osteoporosis among those with type 1
diabetes, future studies including a larger sample from a community population
are needed. It may benefit diabetics, especially those with type 1, to initiate
osteoporosis screening methods including evaluation of fracture risk, bone
quality, and BMD measurements at multiple sites earlier than recommended.
PMID- 29353396
TI - The differences in the assessments of side effects at an oncology outpatient
clinic.
AB - Background There is a growing interest in the use of targeted and immunotherapies
in oncology. However, the assessment of side effects can be different due to
interpretation of patients' health status by healthcare professionals in oncology
outpatient clinics. Objective To demonstrate the differences in the assessments
of side effects conducted independently by a clinical pharmacist and nurses in
patients who receive targeted therapies at an oncology outpatient clinic. Setting
The study was conducted at the University Oncology Hospital in an outpatient
clinic from October 2015 to March 2016. Method Patients receiving ipilimumab,
nivolumab, pembrolizumab, bevacizumab, panitumumab or cetuximab during study
period were included. The assessment of side effects was conducted by a
pharmacist and nurse independently using the NCI-CTCAE version-2. Main outcome
measure To compare the severity assessments of side effects between a clinical
pharmacist and nurses in an outpatient clinic. Results During the study, 204
visits for 43 patients with a total of 5508 side effect assessments were recorded
where 1137 (20.64%) assessments were graded differently. Out of 1137 assessments,
473 of them were graded higher by a clinical pharmacist whereas 664 were graded
higher by nurses. Statistically significant differences were detected in the
assessment of vomiting, taste changes, sense changes, alopecia, fatigue, mood
changes, anxiety, hearing impairment, and allergic reactions. Conclusion An
assessment of side effects by healthcare providers in patients with cancer may be
challenging due to an increased workload in clinics and undistinguishable
symptoms of side effects and cancer itself. Therefore, a new care model which
increases an interprofessional communication may improve pharmaceutical care in
oncology outpatient clinics.
PMID- 29353397
TI - Patients' perceptions of pharmacist-managed diabetes services in the ambulatory
care and community settings within Singapore.
AB - Background Qualitative evidence on patients' perceptions of pharmacist-managed
diabetes services (PMDSs) is limited. Objective To explore patients' perceptions
of PMDSs Setting Patients being cared for in the outpatient settings in
Singapore. Methods This focus group included patients who were diagnosed with
type 2 diabetes, spoke either English or Mandarin, attended PMDSs for at least 6
months in the ambulatory care or community settings, and were at least 21 years
of age. Patients with cognitive impairments or mobility issues were excluded.
Thematic analysis of transcribed audio recordings was undertaken. Main outcomes
measure Patients' care experiences with PMDSs. Results A total of 26 patients
from two outpatient ambulatory care clinics and three retail pharmacies were
assembled into four focus groups based on the care setting. Four themes
abstracted from focus group data illustrated patients' perspectives on PMDSs in
fulfilling their health needs, delivery and quality of service, changes
attributed to the service, and suggestions for improvement of service. The
patients highlighted that PMDSs fulfilled their need for information on diabetes.
They also felt comfortable speaking to the pharmacists about their health, and
they appreciated the role of the pharmacists as healthcare professionals.
Furthermore, the patients reported better diabetes-related self-empowerment via
increased medication knowledge and lifestyle modification. Some of the patients
noted that medication adherence and glycemic control had improved. Suggestions to
improve PMDSs were minimal. Conclusions Overall, patients with type 2 diabetes
had positive perceptions of PMDSs regardless of the care settings.
PMID- 29353398
TI - New Strategies of ARV: the Road to Simplification.
AB - PURPOSE OF REVIEW: Simplification of antiretroviral therapy is a strategy aiming
to reduce pill burden, drug interactions, and toxicity. This review focuses on
the most recent and important studies evaluating a reduction on the number of
drugs for HIV treatment, both in naive and virologically suppressed patients.
RECENT FINDINGS: Interesting studies have been performed in the past years
testing dual therapy and monotherapy, with variable rates of virological control.
Novel therapeutics like immunotherapy or long-acting antiretrovirals can also be
considered for simplification. Reducing the number of drugs for HIV treatment can
be an option for selected patients. Current available evidence favors dual
therapy over monotherapy. Future research should seek to identify the best
candidates for simplification.
PMID- 29353399
TI - MRI findings in glutamic acid decarboxylase associated autoimmune epilepsy.
AB - PURPOSE: Glutamic acid decarboxylase (GAD65) has been implicated in a number of
autoimmune-associated neurologic syndromes, including autoimmune epilepsy. This
study categorizes the spectrum of MRI findings in patients with a clinical
diagnosis of autoimmune epilepsy and elevated serum GAD65 autoantibodies.
METHODS: An institutional database search identified patients with elevated serum
GAD65 antibodies and a clinical diagnosis of autoimmune epilepsy who had
undergone brain MRI. Imaging studies were reviewed by three board-certified
neuroradiologists and one neuroradiology fellow. Studies were evaluated for
cortical/subcortical and hippocampal signal abnormality, cerebellar and cerebral
volume loss, mesial temporal sclerosis, and parenchymal/leptomeningeal
enhancement. The electronic medical record was reviewed for relevant clinical
information and laboratory markers. RESULTS: A study cohort of 19 patients was
identified. The majority of patients were female (84%), with a mean age of onset
of 27 years. Serum GAD65 titers ranged from 33 to 4415 nmol/L (normal < 0.02
nmol/L). The most common presentation was medically intractable, complex partial
seizures with temporal lobe onset. Parenchymal atrophy was the most common
imaging finding (47%), with a subset of patients demonstrating
cortical/subcortical parenchymal T2 hyperintensity (37%) or abnormal hippocampal
signal (26%). No patients demonstrated abnormal parenchymal/leptomeningeal
enhancement. CONCLUSION: The most common MRI finding in GAD65-associated
autoimmune epilepsy is disproportionate parenchymal atrophy for age, often
associated with abnormal cortical/subcortical T2 hyperintensities. Hippocampal
abnormalities are seen in a minority of patients. This constellation of findings
in a patient with medically intractable epilepsy should raise the possibility of
GAD65 autoimmunity.
PMID- 29353400
TI - A STARD-compliant prediction model for diagnosing thrombotic microangiopathies.
AB - Aim of the study was the definition of a predictive model for the initial
diagnosis of thrombotic microangiopathies (TMA). We retrospectively collected
data on all adult patients admitted to the Gemelli Hospital from 2010 to 2014.
ICD-9 codes from primary diagnoses were used for TMA diagnosis. Demographic and
laboratory characteristics on admission of patients with TMA were then compared
with a random sample of 500 patients with other diagnoses. The prediction model
was externally validated in a cohort from another hospital. Overall, 23 of
187,183 patients admitted during the study period received a primary diagnosis of
TMA. LDH (OR 1.26, 95% CI 1.05, 1.63) and platelets (OR 0.96, 95% CI 0.94, 0.98)
were the only independent predictors of TMA. The AUROC of the final model
including only LDH and platelets was 0.96 (95% CI 0.91, 1.00). The Hosmer
Lemeshow (HL) test (p = 0.54) suggested good calibration. Our model also
confirmed good discriminatory power (AUROC 0.72 95% CI 0.60, 0.84) and
calibration (HL test p = 0.52) in the validation sample. We present a simple
prediction model for use in diagnosing TMA in hospitalized patients. The model
performs well and can help clinicians to identify patients at high risk of TMA.
PMID- 29353401
TI - Temporal Analysis of Factors Associated with EAT-10 in Outpatients with
Oropharyngeal Dysphagia from a Tertiary Care Clinic.
AB - Self-perception of disease is increasingly recognized as a determinant of health.
The Eating Assessment Tool-10 (EAT-10) is a functional health status
questionnaire that measures the symptomatic severity of dysphagia from the
patient's perspective. The objective of this work was to identify factors
(demographics, clinical variables, swallowing physiology, health-related quality
of life) associated with longitudinal change in EAT-10 scores in outpatients with
oropharyngeal dysphagia at a multi-disciplinary, tertiary care clinic. All
patients with swallowing concerns that were included in the UW Madison Voice and
Swallowing Outcomes database from 12/2012 to 04/2015 were invited to complete EAT
10 and a general health-related quality of life survey (SF-12v2) at their initial
evaluation and six months later. Forty-two patients were included in analysis (n
= 42). Weaning from a gastrostomy tube was significantly associated with EAT-10
improvement. Approximately 70% of the sample had mild dysphagia, and floor
effects were observed for all EAT-10 items in this sample subset. Mean SF-12v2
Physical Component Summary score was substantially lower than that of the general
population. Significant, weak-moderate correlations were found between EAT-10 and
SF-12v2 scores for all comparisons except for Physical Health Composite at six
months (rs = = 0.24 to - 0.43). Weaning from a feeding tube appears to
meaningfully improve self-perceived symptoms of dysphagia. Given the floor
effects observed, validity of EAT-10 for patients with mild dysphagia should be
examined. Future research should address contributors to self-perceived symptom
change across the range of dysphagia severity.
PMID- 29353402
TI - Factors Affecting the Referral Rate of the Hoarding Disorder at Primary Mental
Health Care in Quebec.
AB - Hoarding disorder (HD) places an important burden on people with HD, on their
family members and society. In this paper we evaluate help-seeking in HD at
primary mental health, measured in referral rate, together with its individual,
environmental and structural correlates. We conducted an aggregate study by
combining existing official data with our own survey data at the catchment area
level. We found a mean annual referral rate of 1.58 (SD = 1.79) cases of HD in
primary mental health facilities per 10,000 of adult population. The referrals
rate correlated with socio-demographic characteristics of the catchment area, the
availability of tools for clinical management of HD, and affiliation to a
University Medical school. We also found that: (1) family members, neighbours,
municipal workers and health professionals are the primary source of complaints
for HD; (2) 72% of primary mental health facilities worked with HD in crisis
situations, 52% expressed difficulties in obtaining the consent of people with HD
for an intervention (3) health/social services professionals lack HD clinical
management tools, training and formal collaboration with municipal (housing,
building security, fire prevention) specialists. Improvement of the readiness of
the health-system to deal with HD will improve help-seeking for formal medical
counselling on the part of people with HD. We can improve this readiness by
providing primary mental-health facilities with training, clinical management
tools and by helping them to establish formal collaboration with municipalities
and community organisations. University medical schools can take a leadership
role and become centers catalysing the change in HD clinical management.
PMID- 29353403
TI - Genetic polymorphism in Hsp90AA1 gene is associated with the thermotolerance in
Chinese Holstein cows.
AB - The heat shock protein 90 (Hsp90) is a copious and ubiquitous molecular chaperone
which plays an essential role in many cellular biological processes. The
objective of this study was to identify single nucleotide polymorphisms (SNPs) in
the Hsp90AA1 gene and to determine their association with heat stress traits in
Chinese Holstein cattle breed. Direct sequencing was used to identify new SNPs.
Luciferase reporter assay methods were used to assess g.- 87G > C and g.4172A > G
loci in the promoter activity and 3'-UTR, respectively. Quantitative real-time
PCR was utilized to quantify the gene expression profile. Five SNPs were
identified in 130 multiparous lactating cows: one SNP in the promoter, three SNPs
in the coding region, and one in 3'-UTR were novel and reported for the first
time in this study. As a result of promoter assay using dual luciferase assay
system, the genotype CC showed the highest transcription activity region (13.67
+/- 0.578) compared to the wild-type GG (3.24 +/- 0.103). On the other hand, the
result revealed that one of the selected microRNAs (dme-miR-2279-5p) was found to
interact with the Hsp90AA1 3'-UTR sequence and to suppress the reporter activity
markedly in the presence of the allele G (2.480 +/- 0.136). The expression of
Hsp90AA1 in cow bearing mutant allele C was higher (4.18 +/- 0.928) than cows
bearing wild-type allele G (1.008 +/- 0.0.129) in stress season. In summary,
there was an association between genetic variations in the Hsp90AA1 and
thermoresistance. This association could be used as a marker in genetic selection
for heat tolerance in Chinese Holstein cattle breeds.
PMID- 29353405
TI - Correction to: Voriconazole-induced periostitis deformans: serial imaging in a
patient with ANCA vasculitis.
AB - The original version of this paper unfortunately contained mistakes in the
affiliations for all authors.
PMID- 29353404
TI - DNA methylation rather than single nucleotide polymorphisms regulates the
production of an aberrant splice variant of IL6R in mastitic cows.
AB - Interleukin-6 receptor-alpha (IL6R) interacts with IL6 and forms a ligand
receptor complex, which can stimulate various cellular responses, such as cell
proliferation, cell differentiation, and activation of inflammatory processes.
Both genetic mutation and epigenetic modification regulate gene transcription. We
identified a novel splice variant of bovine IL6R, designated as IL6R-TV, which is
characterized by the skipping of exon 2 of the NCBI-referenced IL6R gene (IL6R
reference). The expression levels of IL6R-TV and IL6R-reference transcripts were
lower in normal mammary gland tissues. These transcripts play a potential role
during inflammatory infection. We also detected two putative functional SNPs
(g.19711 T > C and g.19731 G > C) located within the upstream 100 bp of exon 2.
These SNPs formed two haplotypes (T-G and C-C). Two mutant pSPL3 exon-trapping
plasmids (pSPL3-T-G and pSPL3-C-C) were transferred into the bovine mammary
epithelial cells (MAC-T) and human embryonic kidney 293 T cells (HEK293T) to
investigate the relationship between the two SNPs and the aberrant splicing of
IL6R. DNA methylation levels of the alternatively spliced exon in normal and
mastitis-infected mammary gland tissues were quantified through nested bisulfate
sequencing PCR (BSP) and cloning sequencing. We found that DNA methylation
regulated IL6R transcription. The DNA methylation level was high in mastitis
infected mammary gland tissues and stimulated IL6R expression, thereby promoting
the inclusion of the alternatively spliced exon. The upregulated expression of
the two transcripts was due to DNA methylation modification rather than genetic
mutations.
PMID- 29353406
TI - Case report: vertebral foreign body granuloma mimicking a skeletal metastasis.
AB - Intraosseous foreign body granuloma formation related to migrated surgical
material is a rarely reported condition with variable imaging appearance. In this
case report, we describe a foreign body granuloma that occurred in a lumbar
vertebral body one level above a prior surgical fusion. The lytic appearance
mimicked a skeletal metastasis in a 65-year-old patient with recently diagnosed
renal cell carcinoma. To the best of our knowledge, this is the first reported
case of a lumbar vertebral foreign body granuloma occurring distant from the site
of surgery, indistinguishable from skeletal metastasis on radiologic examination.
PMID- 29353407
TI - Role of the petrous ridge and angulation of the trigeminal nerve in the
pathogenesis of trigeminal neuralgia, with implications for microvascular
decompression.
AB - INTRODUCTION: Vascular compression is the main pathogenetic factor in apparently
primary trigeminal neuralgia; however some patients may present with clinically
classical neuralgia but no vascular conflict on MRI or even at surgery. Several
factors have been cited as alternative or supplementary factors that may cause
neuralgia. This work focuses on the shape of the petrous ridge at the point of
exit from the cavum trigeminus as well as the angulation of the nerve at this
point. METHODS: Patients with trigeminal neuralgia that had performed a complete
imagery workup according to our protocol and had microvascular decompression were
included as well as ten controls. In all subjects, the angle of the petrous ridge
as well as the angle of the nerve on passing over the ridge were measured. These
were compared from between the neuralgic and the non-neuralgic side and with the
measures performed in controls. RESULTS: In 42 patients, the bony angle of the
petrous ridge was measured to be 86 degrees on the neuralgic side, significantly
more acute than that of controls (98 degrees , p = 0.004) and with a trend to be
more acute than the non-neuralgic side (90 degrees , p = 0.06). The angle of the
nerve on the side of the neuralgia was measured to be on average 141 degrees ,
not significantly different either from the other side (144 degrees , p = 0.2) or
from controls (142 degrees , p = 0.4). However, when taking into account the
grade of the conflict, the angle was significantly more acute in patients with
grade II/III conflict than on the contralateral side, especially when the
superior cerebellar artery was the conflicting vessel. CONCLUSION: This pilot
study analyzes factors other than NVC that may contribute to the pathogenesis of
the neuralgia. It appears that aggressive bony edges may contribute-at least
indirectly-to the neuralgia. This should be considered for surgical indication
and conduct of surgery when patients undergo MVD.
PMID- 29353408
TI - Clinical applications of dynamic CT angiography for intracranial lesions.
AB - BACKGROUND: Dynamic CT angiography (dCTA) augments traditional CTA with temporal
resolution and has been demonstrated to influence operative planning in skull
base surgery. METHODS: Three hundred twenty-five dynamic CTA cases from a single
institution were reviewed for indication of study, findings, and comparison to
other modalities of imaging. RESULTS: The most frequent application of dCTA was
pre-operative surgical planning (59.4%); resection of skull base tumors
represented the majority of these pre-operative studies (93.3%). It was also used
to evaluate new neurological symptoms (20.9%). Of these, the most common symptoms
prompting a dCTA study included headache (22.1%) and visual field deficit
(11.8%). The most commonly visualized vascular lesions were partial (22.9%) and
complete vascular occlusions (9.0%). Dynamic CTA has also been useful in post
operative imaging for vascular malformations (9.5%) and tumors (2.5%). Finally,
dCTA was employed to evaluate ambiguous abnormal findings observed on other
imaging modalities (7.7%). Cerebral dCTA ruled out inconclusive abnormal vascular
findings visualized on other imaging modalities (64.0%) more frequently than it
confirmed them (32.0%), and was inconclusive in a singular case (4.0%).
CONCLUSIONS: Cerebral dCTA is an evolving new technology with a diverse spectrum
of potential applications. In addition to its role in guiding pre-operative
planning for skull base surgical cases, dynamic CTA offers excellent spatial and
temporal resolution for assessment of vascular lesions.
PMID- 29353409
TI - 16S rRNA gene sequencing reveals altered composition of gut microbiota in
individuals with kidney stones.
AB - Nephrolithiasis is a common urological disease with high prevalence and
recurrence rates. Characterizing gut microbiome profiles of nephrolithiasis
patients may provide valuable insights and potential biomarkers for the disease.
Therefore, we explored the relation between gut microbiome and nephrolithiasis
using 16S ribosomal RNA (rRNA) gene sequencing. 13 patients with multiple kidney
stones and 13 matched healthy controls were recruited. A decreasing trend in
number of observed species in nephrolithiasis patients was detected, although
statistical significance was not reached (p = 0.086). The inter-group variability
in community structure by beta diversity analysis showed a clear separation
between nephrolithiasis patients and healthy controls. Twenty genera
differentiated significantly in relative abundance between nephrolithiasis
patients and healthy controls (all p < 0.05). Among the 20 genera,
Phascolarctobacterium, Parasutterella, Ruminiclostridium_5,
Erysipelatoclostridium, Fusicatenibacter and Dorea were correlated with the
concentration of the trace elements in blood, including potassium, sodium,
calcium and chlorinum. Characteristic microbiome in nephrolithiasis patients was
also identified by linear discriminant analysis effect size (LEfSe). These
findings may provide novel and non-invasive potential diagnostic biomarkers for
nephrolithiasis, and contribute to prevention and treatment of nephrolithiasis
from the perspective of maintaining micro-ecological equilibrium in gut.
PMID- 29353412
TI - Helicobacter pylori LPS-induced gastric mucosal spleen tyrosine kinase (Syk)
recruitment to TLR4 and activation occurs with the involvement of protein kinase
Cdelta.
AB - Spleen tyrosine kinase (Syk) has emerged recently as a major effector of
proinflammatory genes expression induced by LPS-elicited TLR4 activation, and
manifested by the up-amplification in the production of inflammatory mediators,
including PGE2 and NO. Here, we investigated the nature of factors involved in
the recruitment and interaction of Syk with TLR4 in gastric mucosa in response to
H. pylori LPS. We show that stimulation of gastric mucosal cells with the LPS
leads to localization of Syk with the membrane-associated TLR4 complex and its
activation through phosphorylation on Tyr. Furthermore, we reveal that the
membrane translocation of Syk upon the LPS stimulation occurs with the
involvement of protein kinase Cdelta (PKCdelta)-mediated phosphorylation of Syk
on Ser. Thus, our findings demonstrate that H. pylori LPS-induced up-regulation
in Syk activity proceeds through the stage of PKCdelta-mediated Syk
phosphorylation on Ser, required for its recruitment to the membrane-anchored
TLR4, followed by the kinase activation through phosphorylation on Tyr. Hence,
the phase of PKCdelta-mediated Syk phosphorylation on Ser affects the extent of
amplification in gastric mucosal inflammatory response to H. pylori.
PMID- 29353414
TI - Oral Administration of Compound Probiotics Ameliorates HFD-Induced Gut Microbe
Dysbiosis and Chronic Metabolic Inflammation via the G Protein-Coupled Receptor
43 in Non-alcoholic Fatty Liver Disease Rats.
AB - The aim of this study was to investigate how the effects of compound probiotics
modulate the gut microbiota, short-chain fatty acid (SCFA), body composition,
serum and liver lipids, and inflammatory markers in non-alcoholic fatty liver
disease (NAFLD) rats. Twenty-four male SD rats were randomly divided into 3
groups: normal control group (standard feed), high-fat diet (HFD) feeding group
(83% standard feed + 10% lard oil + 1.5% cholesterol + 0.5% cholate + 5%
sucrose), and compound probiotics intervention group (HFD + 0.6 g * kg-1 * d-1
compound probiotics). The microbial population was assessed by 16S rDNA
amplification and sequence analysis. Body composition, serum and liver lipids,
serum inflammatory markers, colonic SCFAs, and relative proteins were assessed.
The results showed that compound probiotics significantly reduced body weight,
visceral and total fat mass, and the levels of hepatic TC and TG and serum TG,
FFA, ALT, LPS, IL-1beta, and IL-18 (P < 0.05). The proportions of TM7 phylum
(0.06 vs 1.57%, P < 0.05) clearly increased, while that of Verrucomicrobia phylum
(5.69 vs 2.61%, P < 0.05) clearly decreased. Compound probiotics also increased
the representation of Ruminococcus genus (0.95 vs 1.83%, P < 0.05), while the
proportion of Veillonella genus decreased (0.10 vs 0.03%, P < 0.05). The levels
of colonic SCFAs and GPR43, NLRP3, ASC, and CASPASE-1 proteins also changed
significantly (P < 0.05). Compound probiotics modulated gut microbiota, SCFAs,
and their receptor GPR43 in NAFLD rats. These changes might inhibit lipid
deposition and chronic metabolic inflammation in response to the insult of HFD.
PMID- 29353413
TI - Exploring the genetic and adaptive diversity of a pan-Mediterranean crop wild
relative: narrow-leafed lupin.
AB - KEY MESSAGE: This first pan-Mediterranean analysis of genetic diversity in wild
narrow-leafed lupin revealed strong East-West genetic differentiation of
populations, an historic eastward migration, and signatures of genetic adaptation
to climatic variables. Most grain crops suffer from a narrow genetic base, which
limits their potential for adapting to new challenges such as increased stresses
associated with climate change. Plant breeders are returning to the wild
ancestors of crops and their close relatives to broaden the genetic base of their
crops. Understanding the genetic adaptation of these wild relatives will help
plant breeders most effectively use available wild diversity. Here, we took
narrow-leafed lupin (Lupinus angustifolius L.) as a model to understand
adaptation in a wild crop ancestor. A set of 142 wild accessions of narrow-leafed
lupin from across the Mediterranean basin were subjected to genotyping-by
sequencing using Diversity Arrays Technology. Phylogenetic, linkage
disequilibrium and demographic analyses were employed to explore the history of
narrow-leafed lupin within the Mediterranean region. We found strong genetic
differentiation between accessions from the western and eastern Mediterranean,
evidence of an historic West to East migration, and that eastern Mediterranean
narrow-leafed lupin experienced a severe and recent genetic bottleneck. We showed
that these two populations differ for flowering time as a result of local
adaptation, with the West flowering late while the East flowers early. A genome
wide association study identified single nucleotide polymorphism markers
associated with climatic adaptation. Resolving the origin of wild narrow-leafed
lupin and how its migration has induced adaptation to specific regions of the
Mediterranean serves as a useful resource not only for developing narrow-leafed
lupin cultivars with greater resilience to a changing climate, but also as a
model which can be applied to other legumes.
PMID- 29353415
TI - Investigation of Lactic Acid Bacteria Isolated from Giant Panda Feces for
Potential Probiotics In Vitro.
AB - The present study aimed to isolate an optimal lactic acid bacterial strain from
the feces of healthy giant pandas. The strain exhibited good stability at low pH
and high bile salt concentrations, activity against pathogens relevant to pandas,
and antibiotic susceptibility. In the current study, 25 isolates were obtained
from de Man, Rogosa, and Sharpe agar. Two (E21 and G83) and eight (E1, E2, E16,
E18, E21, E69, E70, and G83) isolates demonstrated good performance at pH 2.0 and
bile 2% (w/v), respectively. Three isolates (G83, G88, and G90) possessed better
antimicrobial effect on enterotoxigenic Escherichia coli CVCC196 (ETEC) than the
rest. One isolate (G83) strongly affected Salmonella, whereas three (G83, G87,
and G88) exhibited inhibitory activity against Staphylococcus aureus. All
isolates were multi-drug resistant. These isolates were identified as
Lactobacillus (5 isolates) and Enterococcus (20 isolates) by 16S rRNA sequencing.
Virulence genes were detected in Enterococcus isolates. Isolate G83 was
identified as Lactobacillus plantarum and was considered as the best probiotic
candidate among all of the experimental isolates. This study provided necessary
and important theoretical guidance for further experiments on G83 in vivo.
PMID- 29353416
TI - Physicians' decision about long-term thromboprophylaxis in cancer outpatients:
CAT AXIS, a case vignette study on clinical practice in France.
AB - PURPOSE: Data on long-term venous thromboembolism prophylaxis in cancer
outpatients remain scarce. In the absence of clear and consistent treatment
guidelines, our objectives were to describe and better understand clinical
practice and to identify factors influencing the use of thromboprophylaxis.
METHODS: CAT AXIS was a multicentred cross-sectional study based on the
completion of physician-profile questionnaires and the assessment of 10 e-mailed
credible clinical scenarios of lung, colon and breast cancers by each of
participants using the case vignette-validated method. RESULTS: A total of 224
physicians participated allowing the completion and the analysis of 2085 reviewed
case vignettes corresponding to 765, 703 and 617 fictive clinical scenarios on
lung, colon and breast cancers, respectively. The overall rate of
thromboprophylaxis was 680/2085 (32.6%) among participants with a comparable
proportion for the three types of cancer. Low-molecular-weight heparin (LMWH) was
the most frequently used, by 92.7, 93.8 and 83.9% of participants for lung, colon
and breast cancers, respectively; thromboprophylaxis duration of >= 3 months was
used by 74.4% of participants. Multivariate analyses revealed that the Eastern
Cooperative Oncology Group index, metastatic malignancy, chemotherapy and history
of thrombosis were significantly associated with the therapeutic decision unlike
Khorana score and anaemia. CONCLUSION: In the absence of clear guidance, the use
of thromboprophylaxis remains low and rather empiric even though the selection of
LMWH by the majority of participants and treatment duration seems appropriate
based on available data to date. Specific guidelines with corresponding awareness
are required.
PMID- 29353417
TI - Maternal metabolic response to dietary treatment for impaired glucose tolerance
and gestational diabetes mellitus.
AB - BACKGROUND: Dietary advice is a standard component of treatment for pregnant
women with impaired glucose tolerance (IGT) and gestational diabetes (GDM), yet
few studies report glycemic profiles in response to dietary therapies and the
optimal dietary approach remains uncertain. AIM: To assess changes in maternal
glycemic profile and pregnancy outcomes among women with diet-controlled IGT and
GDM. METHODS: Pregnant women who had one or more elevated values on a 3-h oral
glucose tolerance test were enrolled. All participants received dietary advice
and glucose monitoring as part of routine clinical care. Fasting and 1-h post
prandial blood samples, collected prior to initiation of clinical treatment and
repeated 4-6 weeks later, were analyzed for glucose, insulin, and C-peptide.
Homeostasis model assessment of insulin resistance (HOMA-IR) was calculated.
Women who required pharmacological therapy for glucose control were excluded from
analyses. RESULTS: Participants (N = 93) were of moderately older age (mean 33
years), with a high rate of overweight/obesity (mean body mass index (BMI) =
28.65 kg/m2), and were diagnosed late in gestation (mean 29 weeks). Fasting (mean
+/- SD 4.82 +/- 0.53 to 4.60 +/- 0.42 mmol/l; p < 0.001) and post-prandial
glucose (7.01 +/- 1.19 to 6.47 +/- 1.10; p = 0.004) decreased significantly
following the intervention. Baseline HOMA-IR was elevated (3.12 +/- 1.03) but did
not significantly decrease (2.78 +/- 1.52; p = 0.066). There were high rates of
macrosomia (24.7%) and cesarean delivery (32.3%). CONCLUSIONS: Although
improvements in blood glucose levels were observed among women with diet
controlled IGT and GDM, this was insufficient to significantly affect insulin
resistance or perinatal outcome. Late diagnosis and treatment of IGT/GDM may have
contributed to such outcomes.
PMID- 29353418
TI - Emerging trends in hospitalisation for fragility fractures in Ireland.
AB - BACKGROUND: We analysed hospital admissions and bed occupancy for fragility
fractures in Ireland over a 15-year period to assess fracture admission trends
and to project admissions over the next three decades. METHODS: We used the
Hospital In-Patient Enquiry (HIPE) scheme to extract data on fracture admissions
to Irish hospitals between 2000 and 2014 inclusive. We calculated absolute
numbers and age-standardised rates of hospitalisations for men and women >= 50
years for each osteoporotic-type fracture. We projected fracture numbers and bed
days using these trends, and associated costs to 2046 based on 2014 rates.
RESULTS: The absolute number of all fragility fracture admissions increased by
30% between 2000 and 2014, for both men (40%) and women (27%). In-patient bed
days for osteoporotic fractures have increased by 51% in the same period. Hip
fractures dominated admissions, accounting for 36.5% of all admissions and almost
half (46.7%) of all bed days. Age-standardised rates of hip fracture admission
decreased significantly (p < 0.001) in women, but not in men, while rates of non
hip osteoporotic fracture admissions have not changed significantly for men or
women. Hospitalisations for all osteoporotic fractures are projected to increase
by 150% to 31,605 in 2046, 58% of whom will be 80 years or older. CONCLUSIONS:
There has been a significant increase in the number of fracture admissions in
Irish men and women over the past 15 years. This is projected to increase further
over the next three decades which will place a significant burden on the Irish
healthcare system.
PMID- 29353419
TI - Pleiotropic effects of the wheat domestication gene Q on yield and grain
morphology.
AB - MAIN CONCLUSION: Transformation from q to Q during wheat domestication functioned
outside the boundary of threshability to increase yield, grains m-2, grain weight
and roundness, but to reduce grains per spike/spikelet. Mutation of the Q gene,
well-known affecting wheat spike structure, represents a key domestication step
in the formation of today's free-threshing, economically important wheats. In a
previous study, multiple yield components and spike characteristics were
associated with the Q gene interval in the bread wheat 'Forno' * European spelt
'Oberkulmer' recombinant inbred line population. Here, we reported that this
interval was also associated with grain yield, grains m-2, grain morphology, and
spike dry weight at anthesis. To clarify the roles of Q in agronomic trait
performance, a functional marker for the Q gene was developed. Analysis of
allelic effects showed that the bread wheat Q allele conferred free-threshing
habit, soft glumes, and short and compact spikes compared with q. In addition,
the Q allele contributed to higher grain yield, more grains m-2, and higher
thousand grain weight, whereas q contributed to more grains per spike/spikelet
likely resulting from increased preanthesis spike growth. For grain morphology,
the Q allele was associated with reduced ratio of grain length to height,
indicating a rounder grain. These results are supported by analysis of four Q
mutant lines in the Chinese Spring background. Therefore, the transition from q
to Q during wheat domestication had profound effects on grain yield and grain
shape evolution as well, being a consequence of pleiotropy.
PMID- 29353420
TI - Properties of Antibiotic-Resistant Bacteria Isolated from Onsite Wastewater
Treatment Plant in Relation to Biofilm Formation.
AB - The aim of the present study was to determine some properties of antibiotic
resistant bacterial strains isolated from onsite wastewater technology in
relation to biofilm formation, e.g., autoaggregation and motility. Additionally,
biosurfactant production by the isolates was also evaluated. The ability of
selected strains to develop a biofilm was assessed by using the crystal violet
method, which allows to indirectly quantify the attached bacterial biomass (live,
dead cells, and polysaccharides as well). Obtained results showed that 19 of the
analyzed strains were able to produce biofilm after 72 h of incubation. The low
values of surface tension in the range between 28 and 36 mN/m were observed in
the bacteria, which are not able to produce biofilm or be classified as weak
biofilm producers. Among biofilm-forming strains the highest autoaggregation
index was observed for Mycobacterium brumae and Bacillus alcalophilus.
Noteworthy, that some strains capable of biofilm formation showed no aggregation
abilities or were characterized by low autoaggregative properties. The results of
visual autoaggregation assay showed no visible flocs after given time of
incubation. The results from motility test demonstrated that most of the analyzed
strains were motile. Noteworthy, that up to now literature data about physiology,
biofilm formation, and autoaggregative capabilities of bacteria isolated from
onsite wastewater technology are very limited and this paper gives the
information on the antibiotic-resistant bacteria with ability to form biofilm.
Thus, the present study points to develop novel bioinocula in antibiotic
degradation and to reach novel biofilm-dispersing agents produced by various
bacteria that can be used as disinfectants or surface-coating agents to prevent
microbial surface colonization and biofilm development.
PMID- 29353421
TI - 1-Day or 5-Day Fecal Samples, Which One is More Beneficial to be Used for DNA
Based Gut Microbiota Study.
AB - Fecal sample collection is an important influential factor for DNA-based gut
microbiota study. It is controversial whether the microbiome detected in fecal
sample collected at one random day could fully represent the gut microbial
community. The aim of the study is to figure out whether the use of fecal sample
mixture collected at consecutive 5 days could more accurately represent gut
microbial community. 1- and 5-day fecal samples were collected from 8 healthy
adults and analyzed by 16S rRNA sequence. Our results indicated that both 1-day
fecal samples and 5-day samples exhibited relatively high repeatability. The
relative abundance of majority of bacterial taxa did not changed between 1-day
fecal samples and 5-day fecal samples. However, the alpha diversity of 5-day
fecal samples was higher than that of 1-day fecal samples. When the aims of
studies are to analyze the relative abundance of specific OTUs among subjects,
fecal samples collected at one day could be used. When microbial diversity is one
of essential factors to be analyzed, the use of 5-day fecal samples may be more
recommended.
PMID- 29353422
TI - Biomechanical in vitro comparison of suture anchors for thumb UCL repair.
AB - Different types of intraosseous suture anchors can be used for thumb ulnar
collateral ligament (UCL) repair surgery. Some of them have already been tested
biomechanically in intact bone; however, there exists little knowledge of their
stability when implanted in avulsion fracture. In this biomechanical in vitro
study, three anchor types (hard, soft and novel BoneWelding) were tested on 24
fresh frozen human thumbs from 12 body donors. After the repair of an iatrogenic
ligamentous UCL rupture, the thumbs were cyclically loaded. A new set of anchors
was implanted at the contralateral radial side of the same specimen into an
iatrogenic avulsion fracture and pulled out of the bone. The most common mode of
failure in ligamentous UCL repairs was the suture-ligament interface, especially
when using soft anchors. In avulsion fractures, the novel anchor withstood
significantly higher pullout forces than the hard or soft anchor (65 N vs. 42 N
vs. 27 N; p = .006). The BW anchor provides sufficient anchorage in trabecular
bone for UCL repair in case of avulsion fractures.
PMID- 29353423
TI - Polymerase chain reaction-based detection of myc transduction in feline leukemia
virus-infected cats.
AB - Feline lymphomas are associated with the transduction and activation of cellular
proto-oncogenes, such as c-myc, by feline leukemia virus (FeLV). We describe a
polymerase chain reaction assay for detection of myc transduction usable in
clinical diagnosis. The assay targets c-myc exons 2 and 3, which together result
in a FeLV-specific fusion gene following c-myc transduction. When this assay was
conducted on FeLV-infected feline tissues submitted for clinical diagnosis of
tumors, myc transduction was detected in 14% of T-cell lymphoma/leukemias. This
newly established system could become a useful diagnostic tool in veterinary
medicine.
PMID- 29353424
TI - Characterization of small RNAs originating from mitoviruses infecting the conifer
pathogen Fusarium circinatum.
AB - Deep sequencing of small RNAs has proved effective in the diagnosis of mycovirus
infections. In this study, the presence of mycoviruses in ten isolates of the
phytopathogenic fungus Fusarium circinatum was investigated by high-throughput
sequencing (HTS) of small RNAs. The contigs resulting from de novo assembly of
the reads were aligned to viral genome sequences. The presence of each mycovirus
detected in the isolates was confirmed by RT-PCR analysis with four previously
described primer pairs and seven new pairs designed on the basis of sequencing
data. The findings demonstrate the potential use of HTS for reconstructing
previously identified mitoviruses infecting F. circinatum.
PMID- 29353426
TI - Is dipyrone effective as a preemptive analgesic in third molar surgery? A pilot
study.
AB - PURPOSE: Studies on preemptive analgesia in maxillofacial surgery have shown
several controversial clinical results, mainly due to the absence of a
methodological standard, besides a wide variety of studied drugs. This study
intended to answer the following hypothesis: Is the administration of dipyrone
preemptively capable of decreasing trans- and postoperative pain in the third
molar surgical extraction? METHODS: A pilot prospective double-blind placebo
controlled study was carried out with 25 patients submitted to the third molar
surgical extraction at two moments, one side in each intervention. Dipyrone (1 g)
was preemptively administered (study group) for the extraction of two third
molars on the same side and, in a second surgical procedure, dipyrone (1 g) was
administered in the immediate postoperative period (control group). Evaluated
variables were the amount of anesthetic, pain perceived through the visual
analogue scale (VAS) in transoperative and immediate postoperative periods, and
over 12-h investigation period, analgesic consumption, duration of surgery, and
time to rescue analgesia. RESULTS: The results were submitted to Student's t test
and statistical differences were observed in transoperative (p < 0.05) and
immediate postoperative (p < 0.01) periods, while the other studied variables did
not present statistical differences. CONCLUSION: The preemptive administration of
dipyrone decreased the perception of transoperative and immediate postoperative
pain when compared to its use after surgery only.
PMID- 29353425
TI - Natural attenuation of petroleum hydrocarbons-a study of biodegradation effects
in groundwater (Vitanovac, Serbia).
AB - The role of natural attenuation processes in groundwater contamination by
petroleum hydrocarbons is of intense scientific and practical interest. This
study provides insight into the biodegradation effects in groundwater at a site
contaminated by kerosene (jet fuel) in 1993 (Vitanovac, Serbia). Total petroleum
hydrocarbons (TPH), hydrochemical indicators (O2, NO3-, Mn, Fe, SO42-, HCO3-),
delta13C of dissolved inorganic carbon (DIC), and other parameters were measured
to demonstrate biodegradation effects in groundwater at the contaminated site.
Due to different biodegradation mechanisms, the zone of the lowest concentrations
of electron acceptors and the zone of the highest concentrations of metabolic
products of biodegradation overlap. Based on the analysis of redox-sensitive
compounds in groundwater samples, redox processes ranged from strictly anoxic
(methanogenesis) to oxic (oxygen reduction) within a short distance. The
dependence of groundwater redox conditions on the distance from the source of
contamination was observed. delta13C values of DIC ranged from - 15.83 to -
2.750/00, and the most positive values correspond to the zone under anaerobic and
methanogenic conditions. Overall, results obtained provide clear evidence on the
effects of natural attenuation processes-the activity of biodegradation
mechanisms in field conditions.
PMID- 29353427
TI - Application of three-dimensional printing in laparoscopic dissection to
facilitate D3-lymphadenectomy for right colon cancer.
PMID- 29353428
TI - Staplers for obstructed defecation syndrome.
PMID- 29353429
TI - A sensitive method using SPME pre-concentration for the quantification of
aromatic amines in indoor air.
AB - Monitoring the levels of aliphatic and aromatic amines (AA) in indoor air is
important to protect human health because of exposure to these compounds through
diet and inhalation. A sampling and analytical method using XAD-2 cartridges and
gas chromatography coupled to mass spectrometry used for assessing 25 AA in
different smoking and non-smoking indoor environment was developed. After
sampling and delivering 1 m3 of air (6-8 h sampling), an adsorbent was
ultrasonically extracted with acetonitrile, concentrated to 1 mL and diluted in
25 mL of water (pH = 9; 5% NaCl), and then extracted for 40 min at 80 degrees C
using a divinylbenzene/carboxen/polydimethylsiloxane (DVB/CAR/PDMS) fiber and
injected in a GC/MS system. With this method, 22 of the 25 AA can be analyzed
with detection limits up to five times lower than that of classic liquid
injection. Benzylamine, 3-aminophenol, and 4-aminophenol were not detected with
the solid-phase micro-extraction (SPME) method. It can be assumed that
aminophenols required a derivatization step for their analysis by GC as these
molecules were not detected regardless of the injection mode used. Graphical
abstract Analysis of aromatic amines in indoor air by SPME-GC/MS.
PMID- 29353430
TI - Multiplex highly sensitive immunochromatographic assay based on the use of
nonprocessed antisera.
AB - The format of an immunochromatographic multiassay is first proposed with native
antisera and a universal conjugate of antispecies antibodies with gold
nanoparticles. This format allows (1) the exclusion of purification and
conjugation stages for specific antibodies and (2) significant reduction of the
concentration of specific antibodies in the system. The independent use of
specific antibodies and a conjugated marker provided a low detection limit and
high signal intensity. The proposed format was implemented for the simultaneous
detection of two herbicides. The instrumental limits for the detection of
atrazine and chlorsulfuron were 0.1 and 0.7 ng/mL, respectively, and the analysis
time was 20 min. The suitability of the test system for monitoring these
herbicides in nontreated apple and blackcurrant juices is shown. The assay
technique is simple, sensitive, and easily transferrable to any other antigen.
Graphical abstract The proposed format of the immunochromatographic multiassay is
based on the use of native antisera and a universal conjugate of antispecies
antibodies with gold nanoparticles. In this way purification and conjugation
stages for specific antibodies are excluded, and the concentrations of specific
antibodies and the conjugated marker can be varied independently to obtain a low
detection limit.
PMID- 29353431
TI - Sensitive electrochemical detection of microRNA-21 based on propylamine
functionalized mesoporous silica with glucometer readout.
AB - A new homogeneous electrochemical sensing system was developed for sensitive
detection of microRNA-21 (miRNA-21) based on target-induced glucose release from
propylamine-functionalized mesoporous silica nanoparticle (MSN) with glucometer
readout. Glucose molecules (as the signal tracers) were initially gated into the
pores through the interaction of the negatively charged anchor DNA with the
aminated MSN. Upon addition of target miRNA, the analyte competitively hybridized
with anchor DNA to form the RNA-DNA duplex, thus resulting in detachment of
anchor DNA from the MSN accompanying the pore opening. The loaded glucose
molecules released out from the pores because of concentration gradients, which
could be detected by using a portable personal glucometer (PGM). Experimental
results indicated that the PGM signal increased with the increasing miRNA level,
and exhibited a good linear dependence on the miRNA-21 concentration from 50 pM
to 5.0 nM with a detection limit of 19 pM under optimum conditions. Additionally,
multifunctional mesoporous silica nanoparticles also showed good stability and
favorable selectivity, and satisfactory accuracy for the miRNA detection in cell
lysates with quantitative real-time polymerase chain reaction (qRT-PCR). Such
good analytical performance endows it as a promising scheme for the efficient and
convenient detection of miRNA in clinical diagnosis and therapy. Graphical
abstract An electrochemical sensing system is designed for detection of microRNA
21 based on target-induced glucose release from propylamine-functionalized
mesoporous silica nanoparticle with glucometer readout.
PMID- 29353432
TI - Post-translational modifications in tumor biomarkers: the next challenge for
aptamers?
AB - Advances in proteomics have fueled the search for novel cancer biomarkers with
higher selectivity. Differential expression of low abundant proteins has been the
usual way of finding those biomarkers. The existence of a selective receptor for
each biomarker is compulsory for their use in diagnostic/prognostic assays.
Antibodies are the receptors of choice in most cases although aptamers are
becoming familiar because of their facile and reproducible synthesis, chemical
stability as well as comparable affinity and selectivity. In recent years, it has
been reported that the pattern of post-translational modifications, altered under
neoplastic disease, is a better predictive biomarker than the total protein
level. Among others, abnormal glycosylation is attracting great attention.
Lectins and antibodies are being used for identification and detection of the
carbohydrate moiety with low level of discrimination among various glycoproteins.
Such level of selectivity is critical to bring next-generation biomarkers to the
clinic. Aptamers that can be rationally tailored for a certain molecule domain
can become the golden receptor to specifically detect aberrant glycosylation at
each protein or even at each glycosylation site, providing new diagnostic tools
for early detection of cancer. Graphical abstract Aptamers may specifically
differentiate normal from aberrant glycoproteins.
PMID- 29353433
TI - Recent trends and analytical challenges in plant bioactive peptide separation,
identification and validation.
AB - Interest in research into bioactive peptides (BPs) is growing because of their
health-promoting ability. Several bioactivities have been ascribed to peptides,
including antioxidant, antihypertensive and antimicrobial properties. As they can
be produced from precursor proteins, the investigation of BPs in foods is
becoming increasingly popular. For the same reason, production of BPs from by
products has also emerged as a possible means of reducing waste and recovering
value-added compounds suitable for functional food production and supplements.
Milk, meat and fish are the most investigated sources of BPs, but vegetable
derived peptides are also of interest. Vegetables are commonly consumed, and agro
industrial wastes constitute a cheap, large and lower environmental impact source
of proteins. The use of advanced analytical techniques for separation and
identification of peptides would greatly benefit the discovery of new BPs. In
this context, this review provides an overview of the most recent applications in
BP investigations for vegetable food and by-products. The most important issues
regarding peptide isolation and separation, by single or multiple chromatographic
techniques, are discussed. Additionally, problems connected with peptide
identification in plants and non-model plants are discussed regarding the
particular case of BP identification. Finally, the issue of peptide validation to
confirm sequence and bioactivity is presented. Graphical representation of the
analytical workflow needed for investigation of bioactive peptides and applied to
vegetables and vegetable wastes Graphical Abstract.
PMID- 29353434
TI - Prediction of progression in skull base meningiomas: additional benefits of
apparent diffusion coefficient value.
AB - A subset of benign (WHO grade I) skull base meningiomas show early
progression/recurrence (P/R) in the first years after surgical resection.
Besides, complete surgical resection may be difficult to achieve safely in skull
base meningiomas due to complex neurovascular structures. The one main challenge
in the treatment of skull base meningiomas is to determine factors that correlate
with P/R. We retrospectively investigated the preoperative CT and MR imaging
features for the prediction of P/R in skull base meningiomas, with emphasis on
quantitative ADC values. Only patients had postoperative MRI follow-ups for more
than 1 year (at least every 6 months) were included. From October 2006 to
December 2015, total 73 patients diagnosed with benign (WHO grade I) skull base
meningiomas were included (median follow-up time 41 months), and 17 (23.3%)
patients had P/R (median time to P/R 28 months). Skull base meningiomas with
spheno-orbital location, adjacent bone invasion, high DWI, and lower ADC
value/ratio were significantly associated with P/R (P < 0.05). The cut-off points
of ADC value and ADC ratio for prediction of P/R are 0.83 * 10- 3 mm2/s and 1.09
respectively, with excellent area under curve (AUC) values (0.86 and 0.91) (P <
0.05). In multivariate logistic regression, low ADC values (< 0.83 * 10- 3 mm2/s)
and adjacent bone invasion are high-risk factors of P/R (P < 0.05), with odds
ratios of 31.53 and 17.59 respectively. The preoperative CT and MRI features for
prediction of P/R offered clinically vital information for the planning of
treatment in skull base meningiomas.
PMID- 29353435
TI - Letter to the editor: local alkylating chemotherapy applied immediately after 5
ALA guided resection of glioblastoma does not provide additional benefit.
PMID- 29353437
TI - Correction to: The Compassionate Side of Neuroscience: Tony Sermone's Undiagnosed
Genetic Journey-ADNP Mutation.
AB - The original version of this article unfortunately contained mistakes.
PMID- 29353436
TI - Biomarker-Driven Therapy in Metastatic Gastric and Esophageal Cancer: Real-Life
Clinical Experience.
AB - BACKGROUND: Precision treatment of cancer uses biomarker-driven therapy to
individualize and optimize patient care. OBJECTIVE: To evaluate real-life
clinical experience with biomarker-driven therapy in metastatic gastric and
esophageal cancer in Israel. PATIENTS AND METHODS: This multicenter retrospective
cohort study included patients with metastatic gastric or esophageal cancer who
were treated in the participating institutions and underwent biomarker-driven
therapy. Treatment was considered to have a benefit if the ratio between the
longest progression-free survival (PFS) post biomarker-driven therapy and the
last PFS before the biomarker-driven therapy was >=1.3. The null hypothesis was
that <=15% of patients gain such benefit. RESULTS: The analysis included 46
patients (61% men; median age, 58 years; 57% with poorly-differentiated tumors).
At least one actionable (i.e., predictive of response to a specific therapy)
biomarker was identified for each patient. Immunohistochemistry was performed on
all samples and identified 1-8 (median: 3) biomarkers per patient (most commonly:
low TS, high TOPO1, high TOP2A). Twenty-eight patients received therapy after the
biomarker analysis (1-4 lines). In the 1st line after biomarker analysis, five
patients (18%) achieved a partial response and five (18%) stable disease; the
median (range) PFS was 129 (12-1155) days. Twenty-four patients were evaluable
for PFS ratio analysis; in seven (29.2%), the ratio was >=1.3. In a one-sided
exact binomial test vs. the null hypothesis, p = 0.019; therefore, the null
hypothesis was rejected. CONCLUSIONS: Our findings demonstrated that implementing
biomarker-driven analysis is feasible and could provide clinical benefit for a
considerable proportion (~30%) of patients with metastatic gastric or esophageal
cancer.
PMID- 29353438
TI - Examination of Pituitary Adenylate Cyclase-Activating Polypeptide (PACAP) as a
Potential Biomarker in Heart Failure Patients.
AB - Pituitary adenylate cyclase-activating polypeptide (PACAP) is a pleiotropic and
multifunctional neuropeptide having neurotrophic, neuroprotective, and general
cytoprotective actions in a variety of tissues based on its anti-apoptotic, anti
inflammatory, and antioxidant effects. Several studies have demonstrated its
cardioprotective effects in vitro and in various animal models. However, few data
are available on the presence of PACAP in human cardiac tissues and its role in
the pathomechanism and progression of different cardiac disorders, particularly
heart failure. Earlier, our research group has shown PAC1 receptor
immunoreactivity in human heart tissue samples and we have found significantly
elevated PACAP27- and PACAP38-like immunoreactivity in ischemic cardiac samples
compared to valvular abnormalities with radioimmunoassay. In the last few years,
numerous studies examined the presence and the changes of PACAP levels in
different human tissue samples and biological fluids to show alterations in
different physiological and pathological conditions. Therefore, the aim of the
present study was to measure the alterations of blood PACAP levels in chronic
heart failure caused by primary dilated cardiomyopathy or ischemic cardiomyopathy
and to examine the possible relationship between serum levels of PACAP, N
terminal prohormone of brain natriuretic peptide (NT-proBNP), and systolic left
ventricular function, the most reliable biomarkers of heart failure. In the group
of mild heart failure patients, a significant strong negative correlation was
detected. Furthermore, in moderate heart failure, we found a significant moderate
negative correlation between PACAP and NT-proBNP levels only in ischemic
subgroup. Positive correlation was found between serum PACAP level and ejection
fraction only in patients with heart failure due to ischemic cardiomyopathy but
not in patients with primary dilated cardiomyopathy. In summary, remarkable
differences were observed between the ischemic and non-ischemic heart failure
suggesting that PACAP might play an important role in the pathomechanism and
progression of ischemic heart failure and it might be a potential biomarker of
cardiac diseases in the future.
PMID- 29353439
TI - Transgenesis by microparticle bombardment for live imaging of fluorescent
proteins in Pristionchus pacificus germline and early embryos.
AB - Pristionchus pacificus is a free-living nematode used as a model organism for
evolutionary developmental and ecological biology. Although a transgenic
technique to form complex arrays by microinjection has been established in P.
pacificus, transgene expression from the array in the germline and early embryos
tends to be silenced. Here, we established a method to integrate transgenes into
the genome of P. pacificus using microparticle bombardment with hygromycin B
selection. Additionally, we isolated a mutant exhibiting significantly lower
autofluorescence in the germline and early embryos, facilitating visualization of
transgene-derived fluorescent proteins for live imaging. Transgenic lines
constructed using these tools successfully expressed GFP-tagged proteins in the
germline and early embryos and enabled live imaging of chromosomes, microtubules,
and centrosomes.
PMID- 29353441
TI - Pre- and postoperative management of risk factors for postoperative delirium: who
is in charge and what is its essence?
AB - Postoperative delirium (POD) is an adverse clinical outcome characterized by
cognitive, affective and behavioral symptoms with typically an acute onset and a
fluctuating course. POD is attributed to certain patients' predisposing factors
as well as to treatment-related precipitating factors. While there are several
single-component interventions for the prevention of POD, evolving evidence
suggests the importance of a system approach in the prevention of POD. This
involves strategies by multidisciplinary teams with additional geriatric
consultation services to identify risk factors for POD and to modify their impact
on the perioperative course. Some patients may profit from postponing an elective
surgery and undergoing a prehabilitation program to optimize his/her resilience
for the surgical and anesthesiologic stressors.
PMID- 29353440
TI - Healthy lifestyle promotion in primary schools through the board game Kaledo: a
pilot cluster randomized trial.
AB - : The board game Kaledo was proven to be effective in improving nutrition
knowledge and in modifying dietary behavior in students attending middle and high
school. The present pilot study aims to reproduce these results in younger
students (7-11 years old) attending primary school. A total of 1313 children from
ten schools were recruited to participate in the present study. Participants were
randomized into two groups: (1) the treatment group which consisted of playing
Kaledo over 20 sessions and (2) the no intervention group. Anthropometric
measures were carried out for both groups at baseline (prior to any treatment)
and at two follow-up post-assessments (8 and 18 months). All the participants
completed a questionnaire concerning physical activity and a 1-week food diary at
each assessment. The primary outcomes were (i) BMI z-score, (ii) scores on
physical activity, and (iii) scores on a dietary questionnaire. BMI z-score was
significantly lower in the treated group compared to the control group at 8
months. Frequency and duration of self-reported physical activity were also
significantly augmented in the treated group compared to the control group at
both post-assessments. Moreover, a significant increase in the consumption of
healthy food and a significant decrease in junk food intake were observed in the
treated group. CONCLUSION: The present results confirm the efficacy of Kaledo in
younger students in primary schools, and it can be used as a useful nutritional
tool for obesity prevention programs in children. What is Known: * Kaledo is a
new educational board game to improve nutrition knowledge and to promote a
healthy lifestyle. * In two cluster randomized trials conducted in Campania
region (Italy), we showed that Kaledo could improve nutrition knowledge and
dietary behavior and have a positive effect on the BMI z-score in children with
age ranging from 9 to 14 years old attending school. * Kaledo may be used as an
effective tool for obesity prevention programs in middle and high school
students. What is New: * Investigating the effects of Kaledo on younger primary
school children (7-11 year olds), Kaledo could be an effective tool in obesity
prevention programs for children as young as 7 years old.
PMID- 29353442
TI - Flexible pseudotyping of retrovirus using recombinase-mediated cassette exchange.
AB - OBJECTIVE: Develop an engineered cell line containing two flexible gene
expression systems enabling the continuous production of tailor-made recombinant
gammaretrovirus with predictable productivities through targeted integration.
RESULTS: Dual-FLEX cells (dFLEX) contain two independent recombinase-mediated
cassette exchange (RMCE) systems which confer flexibility to the expression of
different transgene and envelope combinations. The flexible envelope expression
in dFLEX cells was validated by pseudotyping retrovirus particles with three
different viral envelope proteins-GaLV, 4070A and VSV-G. Our results show that
dFLEX cells are able to provide high titers of infectious retroviral particles
with a single-copy integration of the envelope constructs after RMCE. The
integrated CRE/Lox tagging cassette was amenable to express envelope proteins
both using constitutive (i.e. CMV) and inducible (i.e. Tet-on) promoters.
CONCLUSIONS: dFLEX cell line provides predictable productivities of recombinant
retrovirus pseudotyped with different envelope proteins broadening the tropism of
particles that can be generated and thus accelerating the research and
development of retrovirus-based products.
PMID- 29353443
TI - Role of Fluorescent In Situ Hybridization, Cholangioscopic Biopsies, and EUS-FNA
in the Evaluation of Biliary Strictures.
AB - BACKGROUND AND AIMS: Our goal was to compare the diagnostic accuracy of FISH in
the detection of malignancy compared with other standard diagnostic modalities,
including brush cytology and biopsy specimens over a 10-year period of
prospective data collection. METHODS: We conducted a review of all consecutive
biliary strictures evaluated between 2006 and 2016. Patients with a final
pathologic diagnosis or conclusive follow-up were included. We evaluated the
performance of FISH polysomy (CEP 3, 7, and 17) and 9p21 deletion as well as
cholangioscopic biopsy (CBx) and EUS-FNA. Statistical analysis was performed with
the Mann-Whitney U and Fisher's exact tests. RESULTS: Of 382 patients with
indeterminate strictures, 281 met inclusion criteria. Forty-nine percent were
malignant. Cytology, FISH polysomy, and FISH polysomy/9p21 showed a specificity
of 99.3%. FISH polysomy/9p21 as a single modality was the most sensitive at 56%
(p < 0.001). The sensitivity of FISH polysomy/9p21 and cytology was significantly
higher than cytology alone at 63 versus 35% (p < 0.05). EUS-FNA for distal
strictures and CBx for proximal strictures increased sensitivity from 33 to 93%
(p < 0.001) and 48-76% (p = 0.05) in cytology-negative strictures. CONCLUSIONS:
The high specificity of FISH polysomy/9p21 suggests that a positive result is
sufficient for diagnosing malignancy in indeterminate strictures. The
significantly higher sensitivity of FISH polysomy/9p21 compared to cytology
supports the use of FISH in all non-diagnostic cases. Although both EUS-FNA and
CBx were complimentary, our results suggest that distal strictures should be
evaluated by EUS initially. Proximal strictures may be evaluated by FISH first
and then by CBx if inconclusive.
PMID- 29353444
TI - Mortality Is Rare Following Elective and Non-elective Surgery for Ulcerative
Colitis, but Mild Postoperative Complications Are Common.
AB - BACKGROUND DATA: Currently, data regarding the rates of morbidity and mortality
following non-elective colectomy for ulcerative colitis (UC) are variable. We
sought to determine the rates and predictors of 90-day mortality and
complications following colectomy for UC. METHODS: Patients undergoing an initial
surgery for UC at a tertiary care center between January 2002 and January 2014
were included. Patients were identified using ICD-9 code 556.x. Each record was
manually reviewed for demographic information, medical histories, UC history,
medications, and data regarding the admission and discharge. Charts were reviewed
for mortality and complications within 90 days of surgery. Complications were
classified using the Clavien-Dindo classification system. Univariate and
multivariate analyses were performed using IBM SPSS Statistics, version 23.0.
RESULTS: Two hundred and fifty-eight patients underwent surgery for UC. 69% were
elective, and 31% were urgent/emergent. There were no deaths reported within 30
days of surgery. At 90 days, there were 2 deaths in the elective group and 1
death in the urgent/emergent group. The death in the urgent/emergent group was
likely related to the initial surgery, while the elective group death was not
directly related to the initial surgery for UC. Complications occurred in 47% of
patients. There were no significant differences in rates of complications in
either surgical cohort. Majority (62%) of the complications were Clavien-Dindo
grade 1 or 2 with no difference in the elective or urgent/emergent group.
Unplanned readmissions occurred in 24% of cases. CONCLUSION: Surgery for UC is
not associated with any mortality at 30 days and very low mortality at 90 days.
However, surgery is associated with an increased rate of minor postoperative
complications and readmissions.
PMID- 29353445
TI - Hypermethylation of NF-kappaB-Activating Protein-Like (NKAPL) Promoter in
Hepatocellular Carcinoma Suppresses Its Expression and Predicts a Poor Prognosis.
AB - BACKGROUND AND AIM: Hepatocellular carcinoma (HCC) is a complicated disease with
low survival rate partially due to frequent recurrence and no efficient therapy.
Promoter hypermethylation of tumor suppressor genes has been demonstrated as one
of the molecular mechanisms contributing to tumorigenesis and progression in HCC.
This study aims to investigate regulation of NKAPL expression by promoter
methylation and its clinical relevance as a biomarker for HCC. METHODS: We
measured mRNA expression of NKAPL in 5 HCC cell lines and a cohort of 62 pairs of
primary HCC tumor and their adjacent non-cancer liver tissues. NKAPL protein
expression on HCC cell lines and clinical samples was assessed by Western blot
and immunohistochemistry, respectively. Association analyses between NKAPL
expression and clinicopathologic characteristics in the cohort were conducted.
Methylation statuses of NKAPL promoter in 18 pairs of tumor and adjacent non
tumor HCC samples were studied using methylation-specific PCR. Biological
functions of NKAPL in HCC were investigated by ectopic expression of NKAPL in HCC
cells, and cell viability and cell cycle analyses were performed. RESULTS: Our
present study showed suppressed expression and promoter hypermethylation are
common events in HCC. Demethylation experiment in HCC cells demonstrated that the
NKAPL expression was regulated by promoter methylation. In addition, high
methylation level of NKAPL and its low expression predict poor outcome.
Furthermore, ectopic expression of NKAPL in the HCC cells inhibited cell growth.
CONCLUSIONS: Our findings suggest that methylation of NKAPL is a frequent event
and is a potential prognosis biomarker in HCC.
PMID- 29353446
TI - Disentangling Somatosensory Evoked Potentials of the Fingers: Limitations and
Clinical Potential.
AB - In searching for clinical biomarkers of the somatosensory function, we studied
reproducibility of somatosensory potentials (SEP) evoked by finger stimulation in
healthy subjects. SEPs induced by electrical stimulation and especially after
median nerve stimulation is a method widely used in the literature. It is
unclear, however, if the EEG recordings after finger stimulation are reproducible
within the same subject. We tested in five healthy subjects the consistency and
reproducibility of responses through bootstrapping as well as test-retest
recordings. We further evaluated the possibility to discriminate activity of
different fingers both at electrode and at source level. The lack of consistency
and reproducibility suggest responses to finger stimulation to be unreliable,
even with reasonably high signal-to-noise ratio and adequate number of trials. At
sources level, somatotopic arrangement of the fingers representation was only
found in one of the subjects. Although finding distinct locations of the
different fingers activation was possible, our protocol did not allow for non
overlapping dipole representations of the fingers. We conclude that despite its
theoretical advantages, we cannot recommend the use of somatosensory potentials
evoked by finger stimulation to extract clinical biomarkers.
PMID- 29353448
TI - 1H, 13C and 15N NMR assignments of cyclophilin LRT2 (OsCYP2) from rice.
AB - Cyclophilins are enzymes that catalyze the isomerization of a prolyl-peptide bond
and are found in both prokaryotes and eukaryotes. LRT2 (also known as OsCYP2) is
a cyclophilin in rice (Oryza sativa), that has importance in lateral root
development and stress tolerance. LRT2 is 172 amino acids long and has a
molecular weight of 18.3 kDa. Here, we report the backbone and sidechain
resonance assignments of 1H, 13C, 15N in the LRT2 protein using several 2D and 3D
heteronuclear NMR experiments at pH 6.7 and 298 K. Our chemical shift data
analysis predicts a secondary structure like the cytosolic wheat cyclophilin
TaCypA-1 with 87.7% sequence identity. These assignments will be useful for
further analysis in the NMR studies for function and structure of this enzyme.
PMID- 29353447
TI - The combined pN stage and breast cancer subtypes in breast cancer: a better
discriminator of outcome can be used to refine the 8th AJCC staging manual.
AB - BACKGROUND: pN stage and breast cancer subtypes (BCS) are both well-recognized
prognostic indicators. Our previous work has highlighted that patients even with
the same pN stage exhibited a significant survival difference in different BCS.
Given this achievement, we hypothesized that a statistical interaction might
exist between pN stage and BCS. The aim of this retrospective cohort study was to
compare the prognostic value of the combined pN stage and BCS (pNnew stage) with
either pN stage or BCS alone, and to determine if this combined new stage could
serve as an alternative discriminator of outcome. METHODS: We combined pN stage
and BCS to create a new variable named pNnew stage and then divided it into four
groups: pN0new, pN1new, pN2new, and pN3new. Survival analysis was performed with
the use of the Kaplan-Meier method and the log-rank test was used for univariate
analysis. For multivariate analysis, cox proportional hazard models were applied,
allowing for the estimation of disease-free survival (DFS). To assess
discriminatory accuracy of the models, we compared the area under the receiver
operating characteristic curve (AUROC), the Akaike information criterion (AIC),
and the Bayesian information criterion (BIC) values. Then, we used this pNnew
stage to generate a TNnewM staging system according to the 7th AJCC staging
system. RESULTS: A statistical interaction between pN stage and BCS was found. In
multivariate survival analysis, the pNnew stage has been confirmed as an
independent prognostic variable of 5-year DFS. The pNnew stage, with a smaller
AIC or BIC value and larger AUROC, was a more powerful predictor of DFS than
either pN stage or BCS alone. Results were validated in a separate cohort of
patients. The TNnewM stage proposed in our present study was found comparable to
the new 8th AJCC edition which includes anatomic T, N, and M plus tumor grade and
the status of the biomarkers Her-2, ER, and PR with respect to prognostic value
for breast cancer patients. CONCLUSIONS: The pNnew stage (combined pN stage and
BCS) appears to be a more powerful predictor and discriminator for the outcome of
breast cancer, as compared to pN stage or BCS alone, and the TNnewM stage may
serve as a simple, easy-to-use alternative to the 8th AJCC edition staging
manual.
PMID- 29353449
TI - Blastulation timing is associated with differential mitochondrial content in
euploid embryos.
AB - PURPOSE: Preimplantation genetic screening (PGS) and assessment of mitochondrial
content (MC) are current methods for selection of the best embryos for transfer.
Studies suggest that time-lapse morphokinetics (TLM) may also be helpful for
selecting embryos more likely to implant. In our study, we sought to examine the
relationship between TLM parameters and MC to determine if they could be used
adjunctively in embryo selection. We also examined the relationship between MC
with ploidy and blastulation. METHODS: Cryopreserved human embryos at the zygote
stage were thawed and cultured in a time-lapse system. Blastomere and
trophectoderm biopsies were performed on days 3 and 6. Biopsied cells and all
whole embryos from day 6 were analyzed for MC (ratio of mitochondrial to nuclear
DNA) and ploidy using next-generation sequencing. RESULTS: In embryos, MC per
cell declined between day 3 and day 6. While early cleavage parameters did not
predict MC, embryos with longer blastulation timing had higher MC on day 6. Day 6
MC was lower in euploid vs. aneuploid embryos and lower in blastocysts vs.
arrested embryos. CONCLUSIONS: A lower MC at the blastocyst stage was associated
with euploid status and blastocyst formation, indicating better embryo quality
compared to those with a higher MC. Higher MC in aneuploid and arrested embryos
may be explained by slower cell division or degradation of genomic DNA over time.
Blastulation timing may be helpful for selection of higher quality embryos.
Combining blastulation timing and MC along with morphologic grading and euploid
status may offer a new direction in embryo selection.
PMID- 29353451
TI - Analysis of Circulating Mediators of Bone Remodeling in Prader-Willi Syndrome.
AB - We tested the hypothesis that the levels of bone remodeling mediators may be
altered in Prader-Willi syndrome (PWS). We assessed RANKL, OPG, sclerostin, DKK-1
serum levels, and bone metabolism markers in 12 PWS children (7.8 +/- 4.3 years),
14 PWS adults (29.5 +/- 7.2 years), and 31 healthy controls matched for sex and
age. Instrumental parameters of bone mineral density (BMD) were also evaluated.
Lumbar spine BMD Z-scores were reduced in PWS children (P < 0.01), reaching
osteopenic levels in PWS adults. PWS patients showed lower 25(OH)-vitamin D serum
levels than controls (P < 0.001). Osteocalcin was increased in PWS children but
reduced in adults respect to controls (P < 0.005 and P < 0.01, respectively).
RANKL levels were higher in both pediatric and PWS adults than controls (P <
0.004), while OPG levels were significantly reduced (P < 0.004 and P < 0.006,
respectively). Sclerostin levels were increased in children (P < 0.04) but
reduced in adults compared to controls (P < 0.01). DKK-1 levels did not show
significant difference between patients and controls. In PWS patients, RANKL,
OPG, and sclerostin significantly correlated with metabolic and bone instrumental
parameters. Consistently, with adjustment for age, multiple linear regression
analysis showed that BMD and osteocalcin were the most important predictors for
RANKL, OPG, and sclerostin in children, and GH and sex steroid replacement
treatment in PWS adults. We demonstrated the involvement of RANKL, OPG, and
sclerostin in the altered bone turnover of PWS subjects suggesting these
molecules as markers of bone disease and new potential pharmacological targets to
improve bone health in PWS.
PMID- 29353452
TI - Random regression analysis for body weights and main morphological traits in
genetically improved farmed tilapia (Oreochromis niloticus).
AB - To genetically analyse growth traits in genetically improved farmed tilapia
(GIFT), the body weight (BWE) and main morphological traits, including body
length (BL), body depth (BD), body width (BWI), head length (HL) and length of
the caudal peduncle (CPL), were measured six times in growth duration on 1451
fish from 45 mixed families of full and half sibs. A random regression model
(RRM) was used to model genetic changes of the growth traits with days of age and
estimate the heritability for any growth point and genetic correlations between
pairwise growth points. Using the covariance function based on optimal RRMs, the
heritabilities were estimated to be from 0.102 to 0.662 for BWE, 0.157 to 0.591
for BL, 0.047 to 0.621 for BD, 0.018 to 0.577 for BWI, 0.075 to 0.597 for HL and
0.032 to 0.610 for CPL between 60 and 140 days of age. All genetic correlations
exceeded 0.5 between pairwise growth points. Moreover, the traits at initial days
of age showed less correlation with those at later days of age. With phenotypes
observed repeatedly, the model choice showed that the optimal RRMs could more
precisely predict breeding values at a specific growth time than repeatability
models or multiple trait animal models, which enhanced the efficiency of
selection for the BWE and main morphological traits.
PMID- 29353450
TI - Cancer Treatment and Bone Health.
AB - Considerable advances in oncology over recent decades have led to improved
survival, while raising concerns about long-term consequences of anticancer
treatments. In patients with breast or prostate malignancies, bone health is a
major issue due to the high risk of bone metastases and the frequent prolonged
use of hormone therapies that alter physiological bone turnover, leading to
increased fracture risk. Thus, the onset of cancer treatment-induced bone loss
(CTIBL) should be considered by clinicians and recent guidelines should be
routinely applied to these patients. In particular, baseline and periodic follow
up evaluations of bone health parameters enable the identification of patients at
high risk of osteoporosis and fractures, which can be prevented by the use of
bone-targeting agents (BTAs), calcium and vitamin D supplementation and
modifications of lifestyle. This review will focus upon the pathophysiology of
breast and prostate cancer treatment-induced bone loss and the most recent
evidence about effective preventive and therapeutic strategies.
PMID- 29353453
TI - Optimization of biodiesel synthesis by esterification using a fermented solid
produced by Rhizopus microsporus on sugarcane bagasse.
AB - A fermented solid containing lipases was produced by solid-state fermentation of
Rhizopus microsporus on sugarcane bagasse enriched with urea, soybean oil, and a
mineral solution. The dry fermented solid produced using R. microsporus (RMFS)
was used to catalyze the synthesis of alkyl-esters by esterification in a solvent
free system containing ethanol and oleic acid (as a model system) or a mixture of
fatty acids obtained from the physical hydrolysis of soybean soapstock acid oil
(FA-SSAO) in subcritical water. The conversions were 93.5 and 84.1%, for oleic
acid and FA-SSAO, respectively, at 48 h and 40 degrees C, at a molar ratio (MR)
of ethanol to fatty acid of 5:1. A further increase in the MR to 10:1 improved
the production of ethylic-esters, giving conversions at 48 h of 98 and 86% for
oleic acid and FA-SSAO, respectively. The results obtained in this work foster
further studies on scaling-up of an environmentally friendly process to produce
biofuels.
PMID- 29353454
TI - Acute-Phase Plasma Osteopontin as an Independent Predictor for Poor Outcome After
Aneurysmal Subarachnoid Hemorrhage.
AB - Experimental studies reported that osteopontin (OPN), a matricellular protein, is
induced in brain after subarachnoid hemorrhage (SAH). The aim of this study was
to investigate the relationships between plasma OPN levels and outcome after
aneurysmal SAH in a clinical setting. This is a prospective study consisting of
109 aneurysmal SAH patients who underwent aneurysmal obliteration within 48 h of
SAH. Plasma OPN concentrations were serially determined at days 1-3, 4-6, 7-9,
and 10-12 after onset. Various clinical factors as well as OPN values were
compared between patients with 90-day good and poor outcomes. Plasma OPN levels
were significantly higher in SAH patients compared with control patients and
peaked at days 4-6. Poor-outcome patients had significantly higher plasma OPN
levels through all sampling points. Receiver-operating characteristic curves
demonstrated that OPN levels at days 10-12 were the most useful predictor of poor
outcome at cutoff values of 915.9 pmol/L (sensitivity, 0.694; specificity,
0.845). Multivariate analyses using the significant variables identified by day 3
showed that plasma OPN >= 955.1 pmol/L at days 1-3 (odds ratio, 10.336; 95%
confidence interval, 2.563-56.077; p < 0.001) was an independent predictor of
poor outcome, in addition to increasing age, preoperative World Federation of
Neurological Surgeons grades IV-V, and modified Fisher grade 4. Post hoc analyses
revealed no correlation between OPN levels and serum levels of C-reactive
protein, a non-specific inflammatory parameter, at days 1-3. Acute-phase plasma
OPN could be used as a useful prognostic biomarker in SAH.
PMID- 29353455
TI - The Interplay Between Apolipoprotein E4 and the Autophagic-Endocytic-Lysosomal
Axis.
AB - Since its discovery as a genetic risk factor for Alzheimer's disease, the APOE4
allele has been linked to the majority of the pathological findings associated
with the disease progression. These include abnormalities of the endocytic,
autophagic, and lysosomal machineries, which begin at the most early stages of
Alzheimer's disease development. Considering that these three vesicular systems
share common features and, in fact, comprise an interconnected cargo-trafficking
and degradation network, some of the effects of APOE4 are interrelated, while
others are system-specific. In turn, APOE4-driven impairments of endocytosis,
autophagy, and lysosomal activity influence various aspects of Alzheimer's
disease pathology, ranging from Abeta generation and clearance to neuronal loss
and cognitive deficits. This review discusses the detrimental effects of APOE4 on
the endocytic-autophagic-lysosomal axis in the context of Alzheimer's disease, as
well as the various mechanisms underlying them.
PMID- 29353456
TI - In Vivo Transient and Partial Cell Reprogramming to Pluripotency as a Therapeutic
Tool for Neurodegenerative Diseases.
AB - In theory, human diseases in which a specific cell type degenerates, such as
neurodegenerative diseases, can be therapeutically addressed by replacement of
the lost cells. The classical strategy for cell replacement is exogenous cell
transplantation, but now, cell replacement can also be achieved with in situ
reprogramming. Indeed, many of these disorders are age-dependent, and
"rejuvenating" strategies based on cell epigenetic modifications are a possible
approach to counteract disease progression. In this context, transient and/or
partial reprogramming of adult somatic cells towards pluripotency can be a
promising tool for neuroregeneration. Temporary and controlled in vivo
overexpression of Yamanaka reprogramming factors (Oct3/4, Sox2, Klf4, and c-Myc
(OSKM)) has been proven feasible in different experimental settings and could be
employed to facilitate in situ tissue regeneration; this regeneration can be
accomplished either by producing novel stem/precursor cells, without the
challenges posed by exogenous cell transplantation, or by changing the epigenetic
adult cell signature to the signature of a younger cell. The risk of this
procedure resides in the possible lack of perfect control of the process,
carrying a potential oncogenic or unexpected cell phenotype hazard. Recent
studies have suggested that these limits can be overcome by a tightly controlled
cyclic regimen of short-term OSKM expression in vivo that prevents full
reprogramming to the pluripotent state and avoids both tumorigenesis and the
presence of unwanted undifferentiated cells. On the other hand, this strategy can
enhance tissue regeneration for therapeutic purposes in aging-related
neurological diseases as well. These data could open the path to further research
on the therapeutic potential of in vivo reprogramming in regenerative medicine.
PMID- 29353458
TI - Outcome of unexplained acute respiratory distress syndrome with diffuse alveolar
damage after lung transplantation.
PMID- 29353457
TI - Fasting and Fast Food Diet Play an Opposite Role in Mice Brain Aging.
AB - Fasting may be exploited as a possible strategy for prevention and treatment of
several diseases such as diabetes, obesity, and aging. On the other hand, high
fat diet (HFD) represents a risk factor for several diseases and increased
mortality. The aim of the present study was to evaluate the impact of fasting on
mouse brain aging transcriptome and how HFD regulates such pathways. We used the
NCBI Gene Expression Omnibus (GEO) database, in order to identify suitable
microarray datasets comparing mouse brain transcriptome under fasting or HFD vs
aged mouse brain transcriptome. Three microarray datasets were selected for this
study, GSE24504, GSE6285, and GSE8150, and the principal molecular mechanisms
involved in this process were evaluated. This analysis showed that, regardless of
fasting duration, mouse brain significantly expressed 21 and 30 upregulated and
downregulated genes, respectively. The involved biological processes were related
to cell cycle arrest, cell death inhibition, and regulation of cellular
metabolism. Comparing mouse brain transcriptome under fasting and aged
conditions, we found out that the number of genes in common increased with the
duration of fasting (222 genes), peaking at 72 h. In addition, mouse brain
transcriptome under HFD resembles for the 30% the one of the aged mice.
Furthermore, several molecular processes were found to be shared between HFD and
aging. In conclusion, we suggest that fasting and HFD play an opposite role in
brain transcriptome of aged mice. Therefore, an intermittent diet could represent
a possible clinical strategy to counteract aging, loss of memory, and
neuroinflammation. Furthermore, low-fat diet leads to the inactivation of brain
degenerative processes triggered by aging.
PMID- 29353459
TI - Adjuvant therapies in critical care: music therapy.
PMID- 29353460
TI - Psychological burnout and critical care medicine: big threat, big opportunity.
PMID- 29353461
TI - Eye blinks are related to auditory information processing: evidence from a
complex speech perception task.
AB - There is increasing evidence that spontaneous eye blinks are related to mental
states and can predict performance in certain tasks because of their relation to
dopaminergic activity. Moreover, it has been shown that eye blinks while
performing visual tasks are preferably executed not before all available
information and even the manual response has been processed and given. Thus,
blinks provide a natural endpoint of visual information processing. In the
present study, we investigate to what degree such functional assignment of eye
blinks also applies when only auditory stimuli are processed. For that, we
present blink analyses on data of an auditory stock price monitoring task to
examine the timing and frequency of blinks relative to the temporal dynamics of
the task and different kinds of available cues. Our results show that blinks are
meaningfully rather than randomly paced, although no visual information has to be
processed. Blinks are significantly accelerated if a no-go trial is indicated
which made all the subsequent information irrelevant. Although the stimuli were
exclusively auditory, blinks were mostly inhibited during stimulus presentation.
Taken together, blinks depend on the information being presented and mark a
distinct point in time at which this information is conclusively processed. These
findings deliver further support for the usefulness of eyeblink analyses,
independently of the modality of the information being processed.
PMID- 29353462
TI - Lactobacillus kosoi sp. nov., a fructophilic species isolated from koso, a
Japanese sugar-vegetable fermented beverage.
AB - A novel Gram-positive, fructophilic, catalase negative, and rod-shaped strain,
designated strain 10HT was isolated from koso, a Japanese sugar-vegetable
fermented beverage obtained from a food processing factory in Saku City, Nagano
Prefecture, Japan. Phylogenetic analysis based on 16S rRNA gene sequences
revealed strain 10HT to belong to the genus Lactobacillus, with closely related
type strains being Lactobacillus kunkeei YH-15T (95.5% sequence similarity),
Lactobacillus ozensis Mizu2-1T (95.4% sequence similarity), and Lactobacillus
apinorum Fhon13NT (95.3% sequence similarity). The isolate was found to grow at
18-39 degrees C (optimum 27 degrees C), pH 4.0-7.0 (optimum pH 6.5) and in the
presence of 0-2% NaCl (optimum 0% NaCl). The G + C content of its genomic DNA was
determined to be 30.5 mol%. The major fatty acid (>= 10%) components identified
included C16:0, C19:0 cyclo omega7c, C19:0 cyclo omega9c, and C18:1 omega9c. The
polar lipids were identified as lysophosphatidylethanolamine,
phosphatidylethanolamine and glycolipids. The predominant isoprenoid quinones (>
10%) were identified as MK-7, MK-8, MK-9 and MK-10. The amino acid composition of
the cell wall was detected as comprising Asp, Glu, Ala, and Lys but the strain
lacks meso-diaminopimelic acid. As with other fructophilic lactic acid bacteria,
such as L. kunkeei and L. apinorum, strain 10HT was found to prefer D-fructose to
D-glucose as a growth substrate. On the basis of these genetic and phenotypic
results, the isolate is concluded to represent a novel species, for which the
name Lactobacillus kosoi is proposed. The type strain is 10HT (= NBRC 113063T =
BCRC 81100T).
PMID- 29353463
TI - Protective effect of a newly developed fucose-deficient recombinant antithrombin
against histone-induced endothelial damage.
AB - Antithrombin is expected to modulate both prothrombotic and proinflammatory
reactions in sepsis; vascular endothelium is the primary target. In the present
study, we sought to evaluate the protective effects of a newly developed fucose
deficient recombinant antithrombin. Endothelial cells were treated in vitro with
histone H4 to induce cellular damage. Low to high doses of either plasma-derived
antithrombin or recombinant thrombomodulin were used as treatment interventions.
Morphological change, apoptotic rate, cell viability, cell injury, and syndecan-4
level in the medium were evaluated. Immunofluorescent staining with anti-syndecan
4 was also performed. Both types of antithrombin reduced cellular damage and
apoptotic cell death. Both plasma-derived and recombinant antithrombin improved
cell viability and reduced cellular injury when administered at a physiological
concentration or higher. Syndecan-4 staining became evident after treatment with
histone H4, and both antithrombins suppressed the staining intensity at similar
levels. The syndecan-4 level in the medium was significantly decreased by both
antithrombins. None of the indicators showed a significant difference between
plasma-derived and recombinant antithrombin. In conclusion, both recombinant and
plasma-derived antithrombin can protect vascular endothelial cells. Recombinant
antithrombin may represent a useful new therapeutic agent for sepsis-associated
vascular damage.
PMID- 29353464
TI - Laparoscopic -2port Varicocelectomy with Scarless Periumblical Mini-Incision:
Initial Experience in Approach and Outcomes.
AB - PURPOSE: As with other areas, there have been many efforts for minimally invasive
surgery in varicocelectomy. We present our initial experience with laparoscopic
varicocelectomy with a two-port scarless periumbilical mini-incision. MATERIALS
AND METHODS: The study enrolled 18 patients who underwent laparoscopic
varicocelectomy with a twoportscarless periumbilical mini-incision from February
2012 to April 2013. The laparoscopic varicocelectomy was performed using two 5-mm
ports at periumbilical sites in skin creases. Here, the surgical procedure is
introduced and the outcomes of the case series are summarized. We reviewed other
laparoscopic techniques and compared them with our technique. RESULTS: The mean
patient age was 34.8 years. Of the 18 patients, 15 had grade 3 varicoceles. The
mean operatingtime was 62.5 minutes. Postoperatively, the scrotal pain level
decreased immediately from a mean VAS score of 6.3 to 4.4 and then to 1.7 by 24
hours postoperatively. The mean hospital stay was 2.8 days. Complications
included one hydrocele and two recurrent varicoceles. The operating time
decreased as the surgeon's experience increased. CONCLUSION: Laparoscopic
varicocelectomy with a two-port scarless periumbilical mini-incision is a
feasible technique that can be mastered relatively easily. Prospective and
comparative studies are required to validate this new technique.
PMID- 29353465
TI - Assessment of Cross-correlations Between Selected Macromolecules in Urine of
Children with Idiopathic Hypercalciuria.
AB - PURPOSE: The aim of the study was assessment of four selected macromolecules
level: osteopontin, calgranulin, uromodulin and bikunin in fresh morning urine
sample in children with nephrolithiasis in the course of idiopathic
hypercalciuria. MATERIALS AND METHODS: The study included 90 subjects aged from
12 months to 18 years. The study group comprised 57 subjects- children with
urinary tract lithiasis in the course of idiopathic hypercalciuria and the
control group - 33 healthy children with no history of urolithiasis.
Determinations of osteopontin, calgranulin, uromodulin and bikunin levels in the
first morning urine were performed. RESULTS: The study group had a significantly
decreased osteopontin excretion and significantly increased bikuninexcretion, and
increased, however statistically nonsignificant, calgranulin excretion in
comparison with the control group. Uromodulin excretion did not differ between
groups. In both groups a statistically significant positive correlation was
observed between uromodulin and bikunin levels. CONCLUSION: Children with urinary
tract lithiasis in the course of idiopathic hypercalciuria reveal a different
distribution of the study proteins than a healthy population.
PMID- 29353466
TI - Inter-observer Agreement between Urologists and Radiologists in Interpreting the
Computed Tomography Images of Emergency Patients with Renal Colic.
AB - PURPOSE: Low-dose non-enhanced computed tomography (LDCT) has been shown to
provide low radiation exposure with proper diagnostic accuracy compared to
standard dose non-enhanced computed tomography (SDCT) in patients with renal
colic. The goal of our study is to estimate the accuracy of LDCT and SDCT
interpretation by emergency medicine residents who primarily treated patients
with renal colic. MATERIALS AND METHODS: Thirty sample images of both LDCT and
SDCT from renal colic patients were extracted from January 2013 to December 2015
in a tertiary teaching hospital. Five emergency medicine residents interpreted60
image samples over a time span of 3 weeks. The presence of a ureteric stone, the
stone's size and location, and signs of obstruction were recorded in the reports.
A total of 300 reports were compared with formal readingsby a radiologist. The
inter-observer agreement and kappa value were calculated for comparative
analysis. RESULTS: Identification of ureteric stones showed almost perfect inter
observer agreement on SDCT (kappa value: 0.93), and the percentage of agreement
was 96.7%. However, on LDCT, the inter-observer agreement was substantial (kappa
value: 0.73), and the percentage of agreement was 88.0%. CONCLUSION: Using SDCT,
emergency medicine residents had almost perfect inter-observer agreement in
interpreting the CT images of patients with renal colic compared to a
radiologist. However, when using LDCT, they had a lower inter-observer agreement.
PMID- 29353467
TI - High Resolution Melting Analysis for Rapid Detection of PIK3CA Gene Mutations in
Bladder Cancer: A Mutated Target for Cancer Therapy.
AB - PURPOSE: PIK3CA gene mutations have clinical importance and their presence is
associated with therapy response. They are also considered as a molecule for
targeted therapy. As regards to their importance, genetic variation within a
population as well as among different populations, this study was conducted to
detect common mutations of exons 9 and 20 and other probable mutations in PIK3CA
gene as well as their frequencies in Iranian bladder cancer patients. MATERIALS
AND METHODS: Paired tumor and adjacent normal tissues samples were obtained from
50 bladder cancer patients. Mutations of PIK3CA gene were detected using High
Resolution Melting (HRM) analysis which is ahighly sensitive, repeatable, rapid,
and cost-effective technique. To determine the precision of the HRM analysis,
Sanger sequencing analysis was used. RESULTS: The result showed that mutations
were present in 10% (5/50) of the subjects. The majority of these cases (4/5) had
the mutation(s) in exon 9, spanning over five different mutations, among which
three of them were actually novel mutations. Further analysis showed that 2 cases
had simultaneous mutations for exon 9. In addition to novel mutations, the PIK3CA
mutation rate observed in Iranian bladder patients was not as frequent as
previous reports and COSMIC. CONCLUSION: HRM can be used as a rapid and sensitive
method for mutation screening. Dysregulation of PIK3CA gene in bladder cancer
reveals its potentials as a mechanistic link for cancer development, which in
turn suggests its special use in interventional studies for targeted therapy.
PMID- 29353468
TI - Corrigendum: Fat harvest using a closed-suction drain.
AB - [This corrects the article on p. 288 in vol. 43.].
PMID- 29353469
TI - Management of chronic hepatitis B patients in immunetolerant phase: what latest
guidelines recommend.
AB - The natural history of chronic hepatitis B (CHB) is complex and may run through
different immune phases that may overlap. In particulars, the immune-tolerant
phase is the most interesting and not as well understood as we thought. The
concept of true immune tolerance have been under challenged from immunology
points of view. The major international guidelines have not yet reached a
consensus on the definition of the immune-tolerant phase. While positive
hepatitis B e antigen (HBeAg), high serum hepatitis B virus (HBV) DNA and normal
serum alanine aminotransferase (ALT) levels are the three key features of this
phase, some guidelines also put age into consideration. A new nomenclature, Phase
1 or HBeAg-positive chronic HBV infection, is given by the latest European
Association for the Study of the Liver (EASL) published in April 2017. While
current guidelines advise against starting antiviral treatment for immune
tolerant CHB patients, some new data suggest treating such patients may reduce
the risk of liver fibrosis progression and hepatocellular carcinoma.
PMID- 29353470
TI - Screening of Pesticide and Biocide Patterns As Risk Drivers in Sediments of Major
European River Mouths: Ubiquitous or River Basin-Specific Contamination?
AB - Pesticides and biocides (PaB) are ubiquitously present in aquatic ecosystems due
to their widespread application and have been detected in rivers at
concentrations that may cause distress to aquatic life. Many of these compounds
accumulate in sediments acting as long-term source for aquatic ecosystems.
However, data on sediment contamination with current-use PaB in Europe are
scarce. Thus, in this study, we elucidated PaB patterns and associated risks in
sediments of seven major European rivers focusing on their last stretch as an
integrative sink of particles transported by these rivers. Sediments were
extracted with pressurized liquid extraction (PLE) using a broad-spectrum method
recovering many compound classes with a wide range of physicochemical properties.
Altogether 126 compounds were analyzed and 81 of them were detected with LC-HRMS
and GC-NCI-MS/MS at least in one of the sediments. The highest number of
compounds was detected (59) in River Elbe sediments close to Cuxhaven with
outstanding concentrations ranging from 0.8 to 1691 mg/g organic carbon.
Multivariate analysis identified a cluster with 3 ubiquitous compounds
(cyhalothrin, carbendazim, fenpropimorph) and three clusters of chemicals with
higher variability within and between rivers. Risk assessment indicates an acute
toxic risk to benthic crustaceans at all investigated sites with the pyrethroids
tefluthrin and cyfluthrin together with the fungicide carbendazim as the main
drivers. Risks to algae were driven at most sites almost exclusively by
photosynthesis inhibitors with estuary-specific herbicide mixtures, while in the
rivers Po and Gironde cell division inhibitors played an important role at some
sites. Mixtures of specific concern have been defined and suggested for
integration in future monitoring programs.
PMID- 29353471
TI - Strategy for an Association Study of the Intestinal Microbiome and Brain
Metabolome Across the Lifespan of Rats.
AB - There is increased appreciation for the diverse roles of the microbiome-gut-brain
axis on mammalian growth and health throughout the lifespan. Numerous studies
have demonstrated that the gut microbiome and their metabolites are extensively
involved in the communication between brain and gut. Association study of brain
metabolome and gut microbiome is an active field offering large amounts of
information on the interaction of microbiome, brain and gut but data size and
complicated hierarchical relationships were found to be major obstacles to the
formation of significant, reproducible conclusions. This study addressed a two
level strategy of brain metabolome and gut microbiome association analysis of
male Wistar rats in the process of growth, employing several analytical platforms
and various bioinformatics methods. Trajectory analysis showed that the age
related brain metabolome and gut microbiome had similarity in overall alteration
patterns. Four high taxonomical level correlated pairs of "metabolite type
bacterial phylum", including "lipids-Spirochaetes", "free fatty acids (FFAs)
Firmicutes", "bile acids (BAs)-Firmicutes", and "Neurotransmitters
Bacteroidetes", were screened out based on unit- and multivariant correlation
analysis and function analysis. Four groups of specific "metabolite-bacterium"
association pairs from within the above high level key pairs were further
identified. The key correlation pairs were validated by an independent animal
study. This two-level strategy is effective in identifying principal correlations
in big data sets obtained from the systematic multiomics study, furthering our
understanding on the lifelong connection between brain and gut.
PMID- 29353472
TI - Precise Measurement of the Hydrogen Isotope Composition of Phyllosilicates by
Continuous Flow Off-Axis Integrated Cavity Output Spectroscopy.
AB - New methodology is presented for analyzing hydrogen isotope ratios (D/H) in
phyllosilicate minerals by laser absorption spectroscopy. D/H measurements were
carried out using an off-axis integrated cavity output spectroscopy (OA-ICOS)
instrument operated in a continuous flow configuration. Water was extracted from
minerals in a high temperature quartz column and advanced to the analyzer in a
dry air carrier gas stream. We report the first D/H measurements by a laser
system for serpentine, muscovite, sericite, talc, and biotite. We also measure
kaolinite, gypsum, and small volumes of water. Materials, excluding biotite, were
calibrated to within 1.50/00 of IRMS-measured deltaDVSMOW values, with an average
precision of 1.10/00. Biotite deltaD measurements were up to 100/00 more positive
than established IRMS values, due to partial reduction of evolved waters by Fe in
the high temperature column. We provide recommendations for overcoming redox
interference for measurements of biotite, and other ferrous materials, by OA
ICOS. Rapid, precise, and accurate analyses were carried out on water volumes as
low as 0.25 MUL extracted from minerals. With the exception of talc, the time
required for thermal dewatering and measurement is 140 s, which translates into a
throughput of up to 6 mineral samples per hour, including replicates. By
demonstrating high precision, rapid throughput, low cost, and ease of operation,
we provide a tool that should enable researchers at institutions with limited
funding to routinely measure D/H in hydrous minerals. The protocols presented
herein should also be useful to commercial users seeking to produce high density
isotope data sets relevant to exploration of hydrothermal ore deposits and
geothermal fields.
PMID- 29353474
TI - Four Hapten Spacer Sites Modulating Class Specificity: Nondirectional
Multianalyte Immunoassay for 31 beta-Agonists and Analogues.
AB - Immunoassay methods are important for monitoring beta-agonists illegally used for
reducing animal fat deposition in livestock. However, there is no simultaneous
screening surveillance immunoassay for detecting various beta-agonist chemicals
that are possibly present in food. In this study, through the use of an R-(-)
salbutamol derivative as the immunizing hapten, an antibody recognizing 31 beta
agonists and analogues was generated for the first time. Three-dimensional
quantitative structure-activity relationship (3D QSAR) revealed that strong
steric and hydrophobic fields around the hapten spacer near C-2, as well as a
chirality at C-1', dominantly modulated the class specificity of the raised
antibody. However, a hapten spacer linked at C-2' or C-1 would lead to a narrow
specificity, and the spacer charge at C-6 could affect the raised antibody
specificity spectrum. A class specificity competitive indirect enzyme-linked
immunosorbent assay (ciELISA) was established with an ideal recovery ranging from
81.8 to 118.3% based on the obtained antibody. With a good agreement to the
HPLC/MS method, the proposed ciELISA was confirmed to be reliable for the rapid
surveillance screening assay of beta-agonists in urine. This investigation will
contribute to the rational design and control of the immunoassay specificity.
PMID- 29353473
TI - Electrochemiluminescence for Electric-Driven Antibacterial Therapeutics.
AB - The employment of physical light sources in clinical photodynamic therapy (PDT)
system endows it with a crucial defect in the treatment of deeper tissue lesions
due to the limited penetration depth of light in biological tissues. In this
work, we constructed for the first time an electric driven luminous system based
on electrochemiluminescence (ECL) for killing pathogenic bacteria, where ECL is
used for the excitation of photosensitizer instead of a physical light source to
produce reactive oxygen species (ROS). We named this new strategy as ECL
therapeutics. The mechanism for the ECL-therapeutics is dependent on the perfect
spectral overlap and energy transfer from the ECL generated by luminol to
photosensitizer, cationic oligo(p-phenylenevinylene) (OPV), to sensitize the
surrounding oxygen molecule into ROS. Furthermore, taking into account the
practical application of our ECL-therapeutics, we used flexible hydrogel to
replace the liquid system to develop hydrogel antibacterial device. Because the
chemical reaction is a slow process in the hydrogel, the luminescence could last
for more than 10 min after only electrifying for five seconds. This unique
persistent luminescence characteristic with long afterglow life makes them
suitable for persistent antibacterial applications. Thus, stretchable and
persistent hydrogel devices are designed by integrating stretchable hydrogel,
persistent ECL and antibacterial function into hydrogel matrices. This novel
strategy avoids the employment of external light source, making it simple,
convenient and controllable, which exploits a new field for ECL beyond sensors
and also opens up a new model for PDT.
PMID- 29353475
TI - A New Lysosome-Targetable Turn-On Fluorogenic Probe for Carbon Monoxide Imaging
in Living Cells.
AB - A lysosome-targetable fluorogenic probe, LysoFP-NO2, was designed and synthesized
based on a naphthalimide fluorophore that can detect selectively carbon monoxide
(CO) in HEPES buffer (pH 7.4, 37 degrees C) through the transformation of the
nitro group into an amino-functionalized system in the presence of CO. LysoFP-NO2
triggered a "turn-on" fluorescence response to CO with a simultaneous increase of
fluorescence intensity by more than 75 times. The response is selective over a
variety of relevant reactive nitrogen, oxygen, and sulfur species. Also, the
probe is an efficient candidate for monitoring changes in intracellular CO in
living cells (MCF7), and the fluorescence signals specifically localize in the
lysosome compartment.
PMID- 29353476
TI - Hordenine: A Novel Quorum Sensing Inhibitor and Antibiofilm Agent against
Pseudomonas aeruginosa.
AB - The quorum sensing (QS) inhibitory activity of hordenine from sprouting barley
against foodborne pathogen Pseudomonas aeruginosa was evaluated for the first
time here. At concentrations ranging from 0.5 to 1.0 mg mL-1, hordenine inhibited
the levels of acyl-homoserine lactones. The enhanced susceptibility of hordenine
with netilmicin on P. aeruginosa PAO1 biofilm formation as well as their
efficiency in disrupting preformed biofilms was also evaluated using scanning
electron microscopy and confocal laser scanning microscopy (CLSM). Hordenine
treatment inhibited the production of QS-related extracellular virulence factors
of P. aeruginosa PAO1. Additionally, quantitative real-time polymerase chain
reaction analysis demonstrated that the expressions of QS-related genes, lasI,
lasR, rhlI, and rhlR, were significantly suppressed. Our results indicated that
hordenine can serve as a competitive inhibitor for signaling molecules and act as
a novel QS-based agent to defend against foodborne pathogens.
PMID- 29353478
TI - Regioselective Synthesis of Indolopyrazines through a Sequential Rhodium
Catalyzed Formal [3+3] Cycloaddition and Aromatization Reaction of
Diazoindolinimines with Azirines.
AB - A regioselective synthetic method for the preparation of indolopyrazines was
demonstrated through a sequential Rh-catalyzed formal [3+3] cycloaddition and
aromatization reaction of a wide range of diazoindolinimines with azirines.
Because the previously reported synthetic methods afforded mixtures of
indolopyrazines, the present method using unsymmetrical azirines has a strong
advantage from a regioselectivity viewpoint.
PMID- 29353477
TI - Mechanistic Insight Facilitates Discovery of a Mild and Efficient Copper
Catalyzed Dehydration of Primary Amides to Nitriles Using Hydrosilanes.
AB - Metal-catalyzed silylative dehydration of primary amides is an economical
approach to the synthesis of nitriles. We report a copper-hydride(CuH)-catalyzed
process that avoids a typically challenging 1,2-siloxane elimination step,
thereby dramatically increasing the rate of the overall transformation relative
to alternative metal-catalyzed systems. This new reaction proceeds at ambient
temperature, tolerates a variety of metal-, acid-, or base-sensitive functional
groups, and can be performed using a simple ligand, inexpensive siloxanes, and
low catalyst loading.
PMID- 29353479
TI - Strong Plasmonic Enhancement of a Single Peridinin-Chlorophyll a-Protein Complex
on DNA Origami-Based Optical Antennas.
AB - In this contribution, we fabricate hybrid constructs based on a natural light
harvesting complex, peridinin-chlorophyll a-protein, coupled to dimer optical
antennas self-assembled with the help of the DNA origami technique. This approach
enables controlled positioning of individual complexes at the hotspot of the
optical antennas based on large, colloidal gold and silver nanoparticles. Our
approach allows us to selectively excite the different pigments present in the
harvesting complex, reaching a fluorescence enhancement of 500-fold. This work
expands the range of self-assembled functional hybrid constructs for harvesting
sunlight and can be further developed for other pigment-proteins and proteins.
PMID- 29353480
TI - Six-Component Molecular Solids: ABC[D1-(x+y)ExFy]2.
AB - A strategy has been developed to achieve six-component molecular solids. The
first part of the protocol involves the design and development of a family of
stoichiometric quaternary cocrystals. It relies on the idea that when a molecule
is in two distinct crystallographic environments in a lower-order cocrystal it
becomes susceptible to substitution by a new molecule at the site where it is
more weakly bound, if it is enthalpically advantageous to do so. Accordingly, a
binary cocrystal acts as a stepping stone to a ternary, and so on. However, the
subject system ran into a synthetic dead end at the level of quaternary
cocrystals, in that no further crystallographic inequivalences could be found.
This necessitated the development of the second part of the protocol, which
exploits the shape-size similarities of 2-chloro-, 2-bromo-, and 2
methylresorcinols (CRES, BRES, and MRES respectively) and circumvents this
synthetic dead end to achieve several five-and six-component solids, wherein the
fifth and sixth components are incorporated in a solid solution fashion at the
site of the fourth component.
PMID- 29353481
TI - Toward More Drug Like Inhibitors of Trypanosome Alternative Oxidase.
AB - New tools are required to ensure the adequate control of the neglected tropical
disease human African trypanosomiasis. Annual reports of infection have recently
fallen to fewer than 5000 cases per year; however, current therapies are hard to
administer and have safety concerns and, hence, are far from ideal. Trypanosome
alternative oxidase is an exciting target for controlling the infection; it is
unique to the parasite, and inhibition of this enzyme with the natural product
ascofuranone has shown to clear in vivo infections. We report the synthesis and
associated structure activity relationships of inhibitors based upon this natural
product with correlation to T. b. brucei growth inhibition in an attempt to
generate molecules that possess improved physicochemical properties and potential
for use as new treatments for human African trypanosomiasis.
PMID- 29353482
TI - Monitoring the Orientational Changes of Alamethicin during Incorporation into
Bilayer Lipid Membranes.
AB - Antimicrobial peptides (AMPs) are the first line of defense after contact of an
infectious invader, for example, bacterium or virus, with a host and an integral
part of the innate immune system of humans. Their broad spectrum of biological
functions ranges from cell membrane disruption over facilitation of chemotaxis to
interaction with membrane-bound or intracellular receptors, thus providing novel
strategies to overcome bacterial resistances. Especially, the clarification of
the mechanisms and dynamics of AMP incorporation into bacterial membranes is of
high interest, and different mechanistic models are still under discussion. In
this work, we studied the incorporation of the peptaibol alamethicin (ALM) into
tethered bilayer lipid membranes on electrodes in combination with surface
enhanced infrared absorption (SEIRA) spectroscopy. This approach allows
monitoring the spontaneous and potential-induced ion channel formation of ALM in
situ. The complex incorporation kinetics revealed a multistep mechanism that
points to peptide-peptide interactions prior to penetrating the membrane and
adopting the transmembrane configuration. On the basis of the anisotropy of the
backbone amide I and II infrared absorptions determined by density functional
theory calculations, we employed a mathematical model to evaluate ALM
reorientations monitored by SEIRA spectroscopy. Accordingly, ALM was found to
adopt inclination angles of ca. 69 degrees -78 degrees and 21 degrees in its
interfacially adsorbed and transmembrane incorporated states, respectively. These
orientations can be stabilized efficiently by the dipolar interaction with lipid
head groups or by the application of a potential gradient. The presented
potential-controlled mechanistic study suggests an N-terminal integration of ALM
into membranes as monomers or parallel oligomers to form ion channels composed of
parallel-oriented helices, whereas antiparallel oligomers are barred from
intrusion.
PMID- 29353483
TI - Molecular Insights into Glyphosate Adsorption to Goethite Gained from ATR-FTIR,
Two-Dimensional Correlation Spectroscopy, and DFT Study.
AB - Glyphosate (PMG) complexation on iron (hydr)oxides impacts its fate and transport
in the environment. To decipher the molecular-level interfacial configuration and
reaction mechanism of PMG on iron (hydr)oxides, the PMG protonation process,
which influences the chemical and physical properties of PMG, was first
determined using ATR-FTIR spectroscopy. The FTIR results reveal that the
deprotonation occurs at carboxylate oxygen when pKa1< pH < pKa2, at phosphonate
oxygen when pKa2< pH < pKa3, and at amino nitrogen when pH > pKa3. PMG
complexation on goethite was investigated using in situ flow-cell ATR-FTIR, two
dimensional correlation spectroscopy (2D-COS), and density functional theory
(DFT) calculations. The results indicate that the phosphonate group on PMG
interacts with goethite to form inner-sphere complexes with multiple
configurations depending on pH: binuclear bidentate (BB) and mononuclear
bidentate (MB) without proton under acidic conditions (pH 5), mononuclear
monodentate (MM) with proton and BB without proton at pH 6-8, and MM without
proton under alkaline conditions (pH 9). Phosphate competition significantly
impacted the PMG adsorption capacity and its interfacial configurations. As a
result, the stability of the adsorbed PMG was impaired, as evidenced by its
elevated leachability. These results improve our understanding of PMG-mineral
interactions at the molecular level and have significant implications for risk
assessment for PMG and structural analog pollutants.
PMID- 29353484
TI - Giant Electrostriction of Soft Nanocomposites Based on Liquid Crystalline
Graphene.
AB - High electromechanical coupling is critical to perform effective conversion
between mechanical and electrical energy for various applications of
electrostrictive polymers. Herein, a giant electrostriction effect is reported in
liquid crystalline graphene-doped dielectric elastomers. The materials are
formulated by a phase-transfer method which allows the solubilization of
graphenic monolayers in nonpolar solvents. Dielectric spectroscopy is combined
with tensile test devices to measure the true electrostriction coefficients with
differentiating the Maxwell stress effect. Because of their liquid crystal
structure, the resultant composites show an ultralarge electrostriction
coefficient (~10-14 m2/V2 at 0.1 Hz) coupled with good reproducibility during
cycles at high deformation rates. This work offers a promising pathway to design
high-performance electrostrictive polymer composites as well as to provide
insights into mechanisms of true electrostriction in electrically heterogeneous
systems. The use of obtained materials as a supersensitive capacitive sensor is
demonstrated.
PMID- 29353485
TI - Identification and Quantification of 4-Nitrocatechol Formed from OH and NO3
Radical-Initiated Reactions of Catechol in Air in the Presence of NOx:
Implications for Secondary Organic Aerosol Formation from Biomass Burning.
AB - Catechol (1,2-benzenediol) is emitted from biomass burning and produced from a
reaction of phenol with OH radicals. It has been suggested as an important
secondary organic aerosol (SOA) precursor, but the mechanisms of gas-phase
oxidation and SOA formation have not been investigated in detail. In this study,
catechol was reacted with OH and NO3 radicals in the presence of NOx in an
environmental chamber to simulate daytime and nighttime chemistry. These
reactions produced SOA with exceptionally high mass yields of 1.34 +/- 0.20 and
1.50 +/- 0.20, respectively, reflecting the low volatility and high density of
reaction products. The dominant SOA product, 4-nitrocatechol, for which an
authentic standard is available, was identified through thermal desorption
particle beam mass spectrometry and Fourier transform infrared spectroscopy and
was quantified in filter samples by liquid chromatography using UV detection.
Molar yields of 4-nitrocatechol were 0.30 +/- 0.03 and 0.91 +/- 0.06 for
reactions with OH and NO3 radicals, and thermal desorption measurements of
volatility indicate that it is semivolatile at typical atmospheric aerosol
loadings, consistent with field studies that have observed it in aerosol
particles. Formation of 4-nitrocatechol is initiated by abstraction of a phenolic
H atom by an OH or NO3 radical to form a beta-hydroxyphenoxy/o-semiquinone
radical, which then reacts with NO2 to form the final product.
PMID- 29353486
TI - Assessing Mand Topography Preference When Developing a Functional Communication
Training Intervention.
AB - Functional communication training (FCT) is a common function-based behavioral
intervention used to decrease problem behavior by teaching an alternative
communication response. Therapists often arbitrarily select the topography of the
alternative response, which may influence long-term effectiveness of the
intervention. Assessing individual mand topography preference may increase
treatment effectiveness and promote self-determination in the development of
interventions. This study sought to reduce arbitrary selection of FCT mand
topography by determining preference during response training and acquisition for
two adults with autism who had no functional communication skills. Both
participants demonstrated a clear preference for one mand topography during
choice probes, and the preferred topography was then reinforced during FCT to
reduce problem behavior and increase independent communication. The implications
of the results for future research on mand selection during FCT are discussed.
PMID- 29353487
TI - Absence of HIV-1 Drug Resistance Mutations Supports the Use of Dolutegravir in
Uganda.
AB - To screen for drug resistance and possible treatment with Dolutegravir (DTG) in
treatment-naive patients and those experiencing virologic failure during first-,
second-, and third-line combined antiretroviral therapy (cART) in Uganda. Samples
from 417 patients in Uganda were analyzed for predicted drug resistance upon
failing a first- (N = 158), second- (N = 121), or third-line [all 51 involving
Raltegravir (RAL)] treatment regimen. HIV-1 pol gene was amplified and sequenced
from plasma samples. Drug susceptibility was interpreted using the Stanford HIV
database algorithm and SCUEAL was used for HIV-1 subtyping. Frequency of
resistance to nucleoside reverse transcriptase inhibitors (NRTIs) (95%) and non
NRTI (NNRTI, 96%) was high in first-line treatment failures. Despite lack of
NNRTI-based treatment for years, NNRTI resistance remained stable in 55% of
patients failing second-line or third-line treatment, and was also at 10% in
treatment-naive Ugandans. DTG resistance (n = 366) was not observed in treatment
naive individuals or individuals failing first- and second-line cART, and only
found in two patients failing third-line cART, while 47% of the latter had RAL-
and Elvitegravir-resistant HIV-1. Secondary mutations associated with DTG
resistance were found in 2%-10% of patients failing third-line cART. Of 14 drugs
currently available for cART in Uganda, resistance was readily observed to all
antiretroviral drugs (except for DTG) in Ugandan patients failing first-, second
, or even third-line treatment regimens. The high NNRTI resistance in first-line
treatment in Uganda even among treatment-naive patients calls for the use of DTG
to reach the UNAIDS 90:90:90 goals.
PMID- 29353488
TI - A comparative study of the psychological problems of HIV-infected and HIV
uninfected children in a South African sample.
AB - According to research children living with HIV experience elevated levels of
depression, anxiety, ADHD and disruptive behavioural disorders. Although South
Africa's paediatric population that is infected with the human immunodeficiency
virus (HIV) is the largest worldwide, little research has been conducted on their
mental health challenges. However, attributing high levels of mental health
problems solely to their HIV status can be problematic as there may be other
contributory factors. This research explored the mental health problems of HIV
infected children and compared these to the mental health problems of their HIV
unaffected peers from similar backgrounds. Data was gathered from two samples of
child and caregiver pairs. HIV-infected children (aged 6-12 years) and their
caregivers/mothers (n = 54) were recruited from the Kalafong paediatric clinic
where they received medical treatment and routine ART. A comparison group of 113
HIV-uninfected children and their uninfected mothers were recruited from primary
care clinics in the same community. Caregivers completed the Child Behaviour
Checklist (CBCL) to assess children's mental health. Children completed the Self
Description Questionnaire (SDQ-I) and the Revised Children's Manifest Anxiety
Scale (RCMAS). The scores of the psychometric sub-scales of the two groups were
compared using parametric and non-parametric statistics. HIV-infected children
experienced more somatic and affective problems, physiological anxiety, less ADHD
and lower self-esteem than HIV-uninfected children in the comparison group, while
controlling for age differences. The high levels of mental health problems of
both groups of children may be attributed to similar difficult socio-economic
circumstances. The fact that most infected children were not aware of their HIV
status could have influenced the results. Mental health services should not be
limited to HIV-infected children but should form part of all health care
services.
PMID- 29353490
TI - The relationship between demands for lung cancer screening and the constructs of
health belief model: a cross-sectional survey in Hefei, China.
AB - The aim of investigation is to explore the relationship between demands for lung
cancer screening (LCS) and the constructs derived from the health belief model
(HBM) in Hefei. The study collected data about socio-demographics, health beliefs
in and demands for LCS during early June to later July 2015. By constructing a
LCS demands HBM constructs, it calculated indices of demands for LCS (DSI) and
HBM constructs, which include perceived risk (PR) and seriousness (PS) of the
cancers; and perceived effectiveness (PE), benefits (PB) and difficulties (PD) of
the screening. It also performed descriptive and multivariate regression analysis
of the demands and the HBM constructs. The amount of 823 respondents participated
and completed the survey. 6.4% of them had ever undertaken LCS, whereas 60.1% of
them expressed willingness to accept the service of LCS if it is free. In
multiple regression analysis which used weights in calculating the HBM construct
indices, education displayed significant positive associations with DSI (p =
.044), and most of HBM constructs indices (PSI, PRI, PBI, and PDI) were
statistically significant with DSI (p < .05). HBM-based constructs regarding LCS
have important effects on demands for the service, and may provide effective
paths to cancer screening promotion.
PMID- 29353489
TI - Racial and socioeconomic disparities in the symptom reporting of persons living
with HIV.
AB - Persons living with HIV (PLWH) today can survive decades with the disease, making
the symptom experience much more relevant to their lifestyle and health outcomes.
The goal of the research reported here was to assess the symptom reporting of
PLWH in the Unites States (US) in the combined antiretroviral therapy (cART) age
of the epidemic. We conducted an anonymous online survey of symptomatic PLWH in
the US and asked participants to report the frequency and intensity of 28
frequently occurring symptoms in the past 30 days. The relationship between
symptom reporting and demographic factors was investigated using the adaptive
least absolute shrinkage and selection operator (LASSO) method. Fatigue was the
most frequently reported symptom in our study population. Those with the lowest
income were more likely to report more burdensome symptoms. In comparison to
other racial and ethnic groups, Black non-Hispanic participants were
significantly more likely to report a lower symptom burden score for fatigue,
depression, muscle aches, anxiety, difficulties with memory and concentration.
There were no racial/ ethnic differences in the burden of the symptoms related to
sleep or neuropathy. Findings from this study present new evidence on the symptom
reporting of PLWH in the US. Neuropathy continues to be a pervasive neurological
symptom with no difference noted between racial/ ethnic groups.
PMID- 29353491
TI - High-Dose Fluconazole Consolidation Therapy for Cryptococcal Meningitis in Sub
Saharan Africa: Much to Gain, Little to Lose.
AB - Cryptococcal meningitis accounts for an estimated 25% of AIDS-associated
mortality in sub-Saharan Africa. Accumulating animal and human evidence suggest
that a higher, more fungicidal, dose of fluconazole during consolidation therapy
could be more effective in controlling residual infection and may help
significantly reduce posthospitalization mortality. Although the potential for
toxicity is low, the use of fluconazole at a dose of 800 mg/day during
consolidation therapy requires examination in a randomized clinical trial. In the
interim, within countries where postdischarge mortality from cryptococcal
meningitis is high and amphotericin-flucytosine combination therapy remains
unavailable, the use of high-dose fluconazole consolidation therapy deserves
serious consideration as a strategy with limited risk and the potential for
considerable public health benefit.
PMID- 29353492
TI - Psychological distress in patients with chronic atrophic gastritis: the risk
factors, protection factors, and cumulative effect.
AB - This study aimed to examine the psychological status among Chronic Atrophic
Gastritis (CAG) patients and to find the cumulative effects of risk and
protective factors. A sample of 101 CAG patients completed the investigation.
Hierarchical linear regression was used to find risk and protective factors, and
examine the cumulative effects in risk factor index (RFI) and protective factor
index (PFI). Results showed that nine symptoms from SCL-90-R were severer among
CAG patients than those in adult norm. Risk factors including positive family
history of cancer and higher negative life events could predict higher GSI (beta
= 0.206, p = 0.023; beta = 0.398, p < 0.001; R2 = 0.203); more household
resistant, positive coping and stronger resilience were protective factors and
could predict GSI negatively (beta = -0.188, p = 0.020; beta = -0.350, p = 0.012;
beta = -0.066, p = 0.621; R2 = 0.190). The GSI was positively correlated with RFI
(beta = 0.338, p < 0.001; R2 = 0.113) and negatively related to PFI (beta =
0.378, p < 0.001; R2 = 0.133). In conclusion, CAG patients suffered from various
psychological distress, and the protective factors should be enhanced
cumulatively to protect against psychological distress.
PMID- 29353493
TI - Exploring the Great Schism in the Social Sciences: Confirmation Bias and the
Interpretation of Results Relating to Biological Influences on Human Behavior and
Psychology.
AB - The nature-nurture debate is one that biologists often dismiss as a false
dichotomy, as all phenotypic traits are the results of complex processes of gene
and environment interactions. However, such dismissiveness belies the ongoing
debate that is unmistakable throughout the biological and social sciences
concerning the role of biological influences in the development of psychological
and behavioral traits in humans. Many have proposed that this debate is due to
ideologically driven biases in the interpretation of results. Those favoring
biological approaches have been accused of a greater willingness to accept
biological explanations so as to rationalize or justify the status quo of
inequality. Those rejecting biological approaches have been accused of an
unwillingness to accept biological explanations so as to attribute inequalities
solely to social and institutional factors, ultimately allowing for the
possibility of social equality. While it is important to continue to investigate
this topic through further research and debate, another approach is to examine
the degree to which the allegations of bias are indeed valid. To accomplish this,
a convenience sample of individuals with relevant postgraduate degrees was
recruited from Mechanical Turk and social media. Participants were asked to rate
the inferential power of different research designs and of mock results that
varied in the degree to which they supported different ideologies. Results were
suggestive that researchers harbor sincere differences of opinion concerning the
inferential value of relevant research. There was no suggestion that ideological
confirmation biases drive these differences. However, challenges associated with
recruiting a large enough sample of experts as well as identifying believable
mock scenarios limit the study's inferential scope.
PMID- 29353495
TI - Neurocognitive functioning of HIV positive children attending the comprehensive
care clinic at Kenyatta national hospital: exploring neurocognitive deficits and
psychosocial risk factors.
AB - Children and adolescents are affected in different ways by HIV/AIDS.
Neurocognitive deficits are one of the most significant long term effects on HIV
infected children and adolescents. Several factors are thought to influence
cognitive outcomes and this include immune status, Highly Active Antiretroviral
Therapy (HAART), education and social support. The aim of the study was to assess
the neurocognitive function of HIV infected children and adolescents and
correlate it with psychosocial factors. A cross sectional study was carried out
involving a sample of 90 children living with HIV between 8 and 15 years (M =
11.38, SD = 2.06) attending Comprehensive Care Clinic (CCC) at Kenyatta National
Hospital (KNH). Samples were selected by using purposive sample technique.
Kaufman Assessment Battery for Children-Second Edition was used to assess
cognitive function and psychosocial issues were assessed using HEADS-ED. Data was
analyzed using SPSS v23 and independent T-tests, Pearson's correlation and linear
regression were used. The prevalence of neurocognitive deficits among HIV
positive children attending CCC at KNH was 60% with neurocognitive performance of
54 children being at least 2SD below the mean based on the KABC-II scores. There
was no significant correlation between mental processing index and CD4 count
(Pearson's rho = -0.01, p = 0.39). There was no significant association between
Mental Processing Index and viral load (p = 0.056) and early ARV initiation
(0.27). Using the HEADS-ED, risks factors related to education (beta = -5.67, p
= 0.02) and activities and peer support (beta = -9.1, p = 0.002) were
significantly associated with poor neurocognitive performance. Neurocognitive
deficits are prevalent among HIV positive children attending CCC-KNH. This extent
of the deficits was not associated with low CD4 count, high viral load or early
initiation in HIV care. However, poor school performance and problem with peers
was associated with poor neurocognitive performance.
PMID- 29353494
TI - Primary Liver Cancers, Part 2: Progression Pathways and Carcinogenesis.
AB - Hepatocellular carcinoma (HCC) and primary intrahepatic cholangiocarcinoma (ICC)
have been increasing in incidence worldwide and are leading causes of cancer
death. Studies of the molecular alterations leading to these carcinomas provide
insights into the key mechanisms involved. A literature review was conducted to
identify articles with information relevant to current understanding of the
etiologies and molecular pathogenesis of HCC and ICC. Chronic inflammatory
diseases are the key etiological risk factors for both HCC and ICC, although
other diseases play a role, and for many ICCs, an underlying risk factor is not
identified. Mutations in catenin beta 1 ( CTNBB1) and tumor protein 53 (P53) are
the main genetic alterations in HCC. Isocitrate dehydrogenases 1 and 2 (IDH1/2),
KRAS protooncogene GTPase (KRAS), a RAS Viral Oncogene Homolog in neoroblastoma
(NRAS) and P53 are primary genetic alterations in ICC. In both diseases, the
mutational landscape is dependent on the underlying etiology. The most
significant etiologies and genetic processes involved in the carcinogenesis of
HCC and ICC are reviewed.
PMID- 29353497
TI - [The significance of N-terminal pro-B natriuretic peptide in the management of
adult patients with congenital heart disease - Results from the CSONGRAD
Registry].
AB - INTRODUCTION: The prognostic role of B-type natriuretic peptide (BNP) level was
confirmed in chronic heart failure and congenital heart diseases irrespective of
the aetiology. AIM: The aim of this study was to compare NT-proBNP measured in
the clinical practice and important clinical and echocardiographic parameters in
patients with adult congenital heart diseases under our care. METHOD: Data of a
total of 70 patients were analysed; 34 patients had corrected tetralogy of Fallot
and 19 patients had corrected transposition of the great arteries. In 17 further
cases, patients with other congenital vitiums have been involved in the study. In
all cases, out-patient examination was performed with electrocardiography,
echocardiography and NT-proBNP measurement. The New York Heart Association (NYHA)
stages of the patients were determined and the patients filled in a questionnaire
regarding their quality of life. RESULTS: Elevated NT-proBNP level resulted in a
worse quality of life (visual analogue scale, VAS) and an increased right
ventricular end diastolic diameter; the incidence of arrhythmia increased as
well. Negative correlation was found between the NT-proBNP level and the VAS
value (r = -0.45, p = 0.0001) and the left ventricular ejection fraction (LV-EF)
(r = -0.67, p = <0.0001). ROC analysis showed that NT-proBNP>=668.1 pg/ml was the
cut-off value that most accurately predicted NYHA class III-IV (sensitivity 93%,
specificity 63%, area under the curve 80%, p = 0.001). Similarly, NT
proBNP>=184.7 pg/ml was found to be the cut-off value most accurately predicting
LV-EF below 55% (sensitivity 66%, specificity 67%, area under the curve 77%, p =
0.02). CONCLUSIONS: NT-proBNP has a role in the evaluation of adult patients with
congenital heart diseases and in determining the prognosis of these patients, and
in addition to other examinations used in the follow-up of these patients, NT
proBNP may help in determining the time of reoperation or potential heart
transplantation. Orv Hetil. 2018; 159(4): 141-148.
PMID- 29353498
TI - [Family tree of George von Bekesy, the Nobel prized Hungarian researcher].
PMID- 29353499
TI - [Who should decide at the end of life? International practice of advance care
planning and possibilities for adaptation in Hungary].
AB - At the advanced stage of serious illness, end-of-life decisions need to be made.
Advance care planning offers patients the right to decide on their own future
care when independent decision-making is no longer possible. The most complex and
effective advance care plans include patients' preferred or refused medical
treatments, care-related wishes, and individual values as well. Advance care
planning can improve end-of-life care and contribute to higher satisfaction. It
can also reduce distress in relatives and the costs of care. Patients'
preferences provide a guidance for professional care. A number of studies have
identified the benefits of advance care planning, and it has been included in
guidelines. Potential barriers to advance care planning could be as follows:
taboo of talking about dying, negative attitudes of patients and relatives, poor
knowledge of professional caregivers, lack of necessary circumstances to have the
conversation. Advance care planning is almost unknown in Hungary, although it is
possible to refuse certain types of treatments. Cooperation of professionals,
development of gradual and postgraduate trainings, and improvement of social
awareness are also needed so that advance care planning can be adapted in
Hungary. Orv Hetil. 2018; 159(4): 131-140.
PMID- 29353500
TI - [Doege-Potter syndrome with giant malignant solitary fibrous tumour of the
pleura].
AB - Infrequent solitary fibrous tumours of the pleura are associated with
hypoglycaemia only in a few percent of the cases; this condition is called Doege
Potter syndrome, named after its first descriptors. Our 63 years old male patient
has previously undergone clinical treatment for intrathoracic fluid accumulation
on the left side caused by a giant tumour-like mass in the lower left lobe
detected by CT scan. In the course of further investigations performed due to
increasing load-induced dyspnoea, lung core biopsy verified low grade sarcoma in
the tumour. Tumour board suggested surgery. The patient was transferred from the
intensive care unit into the operation theater due to increasing dyspnoea and
repeated hypoglycaemic periods in rapidly worsening general condition.
Pneumonectomy and removal of the tumour was performed on the left side. Histology
showed solitary fibrous tumour of the pleura corresponding to Doege-Potter
syndrome. The patient was discharged without complications and underwent adjuvant
chemotherapy due to pleural dissemination of the tumour observed
intraoperatively. One year after surgery the patient underwent surgical removal
of a locally recurrent tumour. In spite of repeated chemotherapy local and
multiplex contralateral pulmonary progression was observed. Three-year survival
was noted from the time of the first surgery. Orv Hetil. 2018; 159(41): 149-153.
PMID- 29353501
TI - [Professor Bela Gomor has turned 80].
PMID- 29353503
TI - Microwave-assisted liver resection vs. clamp crushing liver resection in
cirrhosis patients with hepatocellular carcinoma.
AB - PURPOSE: This study aimed to evaluate the safety and effectiveness of microwave
ablation-assisted liver resection (MW-LR) and clamp crushing liver resection (CC
LR) in cirrhotic patients with hepatocellular carcinoma (HCC). MATERIALS AND
METHODS: From July 2005 to January 2015, cirrhotic HCC patients who underwent CC
LR (n = 191) or MW-LR (n = 112) were retrospectively analysed. We compared
morbidity, mortality, disease-free survival (DFS) time and overall survival time
between the CC-LR and MW-LR groups. RESULTS: The blood loss volume was
significantly higher in the CC-LR group (mean of 752 ml) than that in the MW-LR
group (mean of 253 ml, p < 0.001). The abdominal abscess rate was higher in the
MW-LR group (8.9%) than that in the CC-LR group (3.1%, p = 0.029). The 30-day
mortality rate (1.5% vs. 0.8%) and postoperative complication rate (32.9% vs.
25.0%) were both similar between the CC-LR and MW-LR groups. MW-LR provided a
survival benefit over CC-LR at 1, 3 and 5 years in the entire population (93.5%
vs. 87.0%, 77.0% vs. 62.5% and 50.0% vs. 36.5%, respectively; p = 0.003). In a
subgroup analysis, MW-LR provided a survival benefit over CC-LR for Barcelona
Clinic Liver Cancer stage A (BCLC-A) HCC (p = 0.026) and stage B (BCLC-B) HCC (p
= 0.035) patients and provided DFS benefits for BCLC-A HCC patients (p = 0.036).
CONCLUSIONS: MW-LR is a safe and feasible procedure for HCC patients with a
cirrhotic liver history.
PMID- 29353502
TI - [The labyrinth of residual risk: reduction of the remaining lipid and
inflammation risk in the prevention of atherosclerosis].
AB - Since cardiovascular diseases are the main cause of mortality worldwide, the
reduction of their risk is a crucial point of present-day medicine. It has been
proven unequivocally that the administration of various treatments has a
favorable effect on the frequency of cardiovascular events and on the
atherosclerosis leading to them. Although systematic and guideline-driven
administration of these drugs has led to a decrease in the incidence and
mortality of vascular events, the leading position of this group of diseases in
mortality and morbidity has not changed. That is why medicine, besides keeping up
actual guidelines optimally, is always searching for new modalities to further
decrease residual risk. This residual risk can be diverse. The present paper
summarizes the possibilities of reducing residual lipid and residual inflammatory
risk after treatment according to the guidelines. It has been proven that
lowering LDL-cholesterol below 1.8 mmol/l has a further advantage on the
occurrence of vascular events. Treating the elevated lipoprotein(a), triglyceride
and low HDL-cholesterol levels should decrease the residual lipid risk. Statins
and statin-ezetimibe combination, besides lipid modulation, have an anti
inflammatory effect proved by C-reactive protein level reduction. Canakinumab has
solely inflammation reducing effect through the inhibition of interleukin-1beta.
It was administered subcutaneously once in 3 months in a large-scale clinical
study and it has shown a 15% reduction in non-fatal myocardial infarction, non
fatal stroke and cardiovascular death, which opens new horizons in the anti
inflammatory treatment of atherosclerosis. Orv Hetil. 2018; 159(4): 124-130.
PMID- 29353504
TI - Clostridium difficile control measures: current and future methods for
prevention.
AB - INTRODUCTION: Clostridium difficile is the most common cause of healthcare
associated infection, and C. difficile infection (CDI) is associated with
significant costs, morbidity, and mortality. One obstacle to preventing CDI is
lack of high quality data on interventions to prevent CDI. This has led some to
focus on areas, such as method of hand hygiene, unlikely to impact CDI incidence
as much as others, such as contact precautions. In addition, existing strategies,
although effective, do have limitations. Another challenge is the ability to
rapidly, and accurately, diagnose CDI. Given these obstacles, new strategies to
effectively prevent CDI are imperative to improve patient outcomes. Areas
covered: Evidence of the interventions recommended by international scientific
societies will be reviewed, as well as ongoing research on new strategies, such
as screening for asymptomatic C. difficile carriage, microbiota sparing agents,
bacteriocins and vaccines. Expert commentary: Current measures to prevent CDI are
effective, but have significant limitations. Contact precautions and
antimicrobial stewardship are likely the most effective of current prevention
recommendations. Diagnostic assay utilization plays a role as well. New
strategies to prevent CDI are needed, and, fortunately, several are being
studied. Most likely a combination of approaches will be necessary to optimize
CDI prevention.
PMID- 29353505
TI - Expanded access to investigational drugs: balancing patient safety with potential
therapeutic benefits.
AB - INTRODUCTION: Expanded access is the use of an investigational product by
patients with serious medical conditions without participation in a clinical
trial. It is a complicated process involving the collaboration of many parties
and pharmaceutical companies. Ongoing efforts focus on accelerating expanded
access procedures in the best interest of patients with cancer. Areas covered: We
review the regulatory and ethical challenges encountered in efforts to optimize
expanded access. Expert opinion: In the era of personalized medicine, patients
may benefit from novel therapeutic agents that demonstrate encouraging results in
early studies. However, drug approval is a lengthy and cumbersome procedure that
might exceed the time frame of a life-threatening disease. Expanded access
provides options to patients with unmet needs. It may provide informative safety
and efficacy data to the manufacturers and the scientific and regulatory
organizations. Ongoing efforts are being made by global governmental and
scientific committees, regulatory agencies, and patient organizations to address
the ethical and regulatory issues and to optimize the expanded access process.
Their goal is to expand access to promising novel drugs for individual patients
and to accelerate the necessary procedures while preserving patient safety.
PMID- 29353506
TI - Evaluation of the impact of sperm morphology on embryo aneuploidy rates in a
donor oocyte program.
AB - : This study investigates the correlation between sperm morphology and the
incidence of embryo aneuploidy in an oocyte donation program. A total of 1,165
embryos from 103 patients have been analyzed by fluorescent in situ hybridization
(FISH) for numerical abnormalities in chromosome numbers 13, 18, 21, X, and Y.
Data has been evaluated in five groups according to sperm morphology, which has
been assessed according to the Kruger's strict criteria. The results did not show
any difference in paternal (p = 0.878), maternal (p = 0.873), and donor ages (p =
0.871), sperm counts (p = 0.782) and motility (p = 0.124), and fertilization rate
(p = 0.080) among the groups. However, total aneuploidy rate (p < 0.001) and its
derivatives (trisomy p = 0,042, monosomy p = 0,004) differed significantly and
they were reversibly correlated with sperm morphology (rho correlation test;
total aneuploidy p < 0.001, trisomy p < 0.001, monosomy p = 0.004). Therefore,
these results suggested that diminished sperm quality is correlated to the
aneuploidy rate in preimplantation embryos. ABBREVIATIONS: FISH: fluorescence in
situ hybridization; ICSI: intracytoplasmic sperm injection; HCG: human chorionic
gonadotropin.
PMID- 29353507
TI - Increased prevalence of abnormal vertebral patterning in fetuses and neonates
with trisomy 21.
AB - PURPOSE: To assess the prevalence of an abnormal number of ribs in a cohort of
fetuses and neonates with trisomy 21 and compare this with a subgroup of fetuses
without anomalies. MATERIALS AND METHODS: Radiographs of 67 deceased fetuses,
neonates, and infants that were diagnosed with trisomy 21 were reviewed.
Terminations of pregnancy were included. The control group was composed of 107
deceased fetuses, neonates, and infants without known chromosomal abnormalities,
structural malformations, infections or placental pathology. Cases in which the
number of thoracic ribs or presence of cervical ribs could not be reliably
assessed were excluded. The literature concerning vertebral patterning in trisomy
21 cases and healthy subjects was reviewed. RESULTS: Absent or rudimentary 12th
thoracic ribs were found in 26/54 (48.1%) cases with trisomy 21 and cervical ribs
were present in 27/47 (57.4%) cases. This prevalence was significantly higher
compared to controls (28/100, 28.0%, Chi2(1) = 6.252, p = .012 and 28/97, 28.9%,
Chi2(1) = 10.955, p < .001, respectively). CONCLUSIONS: Rudimentary or absent
12th thoracic ribs and cervical ribs are significantly more prevalent in deceased
fetuses and infants with trisomy 21.
PMID- 29353508
TI - Vocabulary comprehension and strategies in name construction among children using
aided communication.
AB - Vocabulary learning reflects the language experiences of the child, both in
typical and atypical development, although the vocabulary development of children
who use aided communication may differ from children who use natural speech. This
study compared the performance of children using aided communication with that of
peers using natural speech on two measures of vocabulary knowledge: comprehension
of graphic symbols and labeling of common objects. There were 92 participants not
considered intellectually disabled in the aided group. The reference group
consisted of 60 participants without known disorders. The comprehension task
consisted of 63 items presented individually in each participant's graphic
system, together with four colored line drawings. Participants were required to
indicate which drawing corresponded to the symbol. In the expressive labelling
task, 20 common objects presented in drawings had to be named. Both groups
indicated the correct drawing for most of the items in the comprehension tasks,
with a small advantage for the reference group. The reference group named most
objects quickly and accurately, demonstrating that the objects were common and
easily named. The aided language group named the majority correctly and in
addition used a variety of naming strategies; they required more time than the
reference group. The results give insights into lexical processing in aided
communication and may have implications for aided language intervention.
PMID- 29353509
TI - Epidemiology of tattoo skin disease in captive common bottlenose dolphins
(Tursiops truncatus): Are males more vulnerable than females?
AB - Clinical and epidemiological features of tattoo skin disease (TSD) are reported
for 257 common bottlenose dolphins held in 31 facilities in the Northern
Hemisphere. Photographs and biological data of 146 females and 111 males were
analyzed. Dolphins were classified into three age classes: 0-3 years, 4-8 years,
and older than 9 years. From 2012 to 2014, 20.6% of the 257 dolphins showed
clinical TSD. The youngest dolphins with tattoo lesions were 14 and 15 months
old. TSD persisted from 4 to 65 months in 30 dolphins. Prevalence varied between
facilities from 5.6% to 60%, possibly reflecting variation in environmental
factors. Unlike in free-ranging Delphinidae, TSD prevalence was significantly
higher in males (31.5%) than in females (12.3%). Infection was age-dependent only
in females. Prevalence of very large tattoos was also higher in males (28.6%)
than in females (11.1%). These data suggest that male T. truncatus are more
vulnerable to TSD than females, possibly because of differences in immune
response and susceptibility to captivity-related stress.
PMID- 29353510
TI - Effects of passive smoking on lung function tests in preschool children born late
preterm: a preventable health priority.
AB - OBJECTIVE: Late-preterm delivery is known to be associated with potential adverse
effects on lung development. Passive smoking may result in alterations of
pulmonary function in infants born late-preterm. Impulse oscillometry (IOS) is a
noninvasive, rapid, and practicable technique that can assess lung function. This
study aimed to evaluate the effect of passive smoking on lung function tests in
preschool children born late-preterm using IOS. METHODS: The study population
consisted of a total of 139 children between 3 and 7 years of age born late
preterm who were being followed-up at our outpatient unit at the time of study
period. Late-preterms were subcategorized according to presence or absence of
exposure to passive smoking (PS). Those with and without exposure to passive
smoking were referred to as PS group (56.1%, n = 78) and non-PS group (43.9%, n =
61), respectively. Resistance (R5-R20), reactance (X5-X20), and resonant
frequency were measured by impulse oscillometry (IOS) at 5-20 Hz. RESULTS: Median
R5-R20 and Z5 were significantly higher and median X10 was significantly lower in
PS group compared to non-PS group (p < .05). CONCLUSIONS: This study demonstrated
that passive smoking significantly increases peripheral airway resistance and
seems to adversely affect lung function in children born late-preterm.
PMID- 29353511
TI - Identified mental disorders in older adults in primary care: A cross-sectional
database study.
AB - INTRODUCTION: Identifying and managing mental disorders among older adults is an
important challenge for primary care in Europe. Electronic medical records (EMRs)
offer considerable potential in this regard, although there is a paucity of data
on their use for this purpose. OBJECTIVES: To examine the prevalence/treatment of
identified mental disorders among older adults (over 55 years) by using data
derived from EMRs in general practice. METHODS: We utilized data from a cross
sectional study of mental disorders in primary care, which identified patients
with mental disorders based on diagnostic coding and prescribed medicines. We
collected anonymized data from 35 practices nationally from June 2014 to March
2015, and secondary analysis of this dataset examined the prevalence of mental
disorders in adults aged over 55 years. RESULTS: 74,261 patients aged over 55
years were identified, of whom 14,143 had a mental health disorder (prevalence
rate of 19.1%). There was considerable variation between practices (range: 3.7
38.9%), with a median prevalence of 23.1%. Prevalence increased with age, from
14.8% at 55-59 years to 28.9% at 80-84 years. Most common disorders were
depression (17.1%), panic/anxiety (11.3%), cognitive (5.6%), alcohol (3.8%) and
substance use (3.8%). CONCLUSIONS: Examining mental disorders among older adults
using data derived from EMRs is feasible. Mental disorders are common among older
adults attending primary care and this study demonstrates the utility of
electronic medical records in epidemiological studies of large populations in
primary care.
PMID- 29353512
TI - Social Disadvantage, Severe Child Abuse, and Biological Profiles in Adulthood.
AB - Guided by the stress process model and the life course perspective, we
hypothesize: (1) that childhood abuse is concentrated, in terms of type and
intensity, among socially disadvantaged individuals, and (2) that experiencing
serious abuse contributes to poor biological profiles in multiple body systems in
adulthood. Data came from the Biomarker subsample of Midlife in the United States
(2004-2006). We used latent class analysis to identify distinct profiles of
childhood abuse, each reflecting a combination of type and severity. Results
indicate that disadvantaged groups, women, and those from disadvantaged families
are at greater risk of experiencing more severe and multiple types of abuse.
Those with more severe and multifaceted childhood abuse show greater
physiological dysregulation. Childhood abuse experiences partially accounted for
the social status differences in physiological profiles. Our findings underscore
that differential exposure to serious childhood stressors plays a significant
role in gender and class inequalities in adult health.
PMID- 29353514
TI - Correction to: Vanukuru J, et al., A clinical and microbiological study of
puerperal sepsis in a tertiary care hospital in India.
PMID- 29353513
TI - Effects of different open cryo-carriers on embryo survival and clinical outcome
in frozen embryo transfer cycle patients.
AB - : The purpose of this study was to compare the efficacy of different open cryo
carriers: the CryoloopTM, CryotopTM, and CryoleafTM, in embryo survival and
clinical outcome in patients with frozen embryo transfer (FET) cycle. We analyzed
the embryo survival rate and clinical outcome in 325 patients of 348 FET cycles
vitrified with the CryoloopTM (160 cycles), CryotopTM (105 cycles), or CryoleafTM
(83 cycles). No significant differences were observed in embryo survival rate
(98.8% vs. 100% vs. 97.7%, p > 0.05), HCG positive rate (58.8% vs. 63.8% vs.
57.8%, p > 0.05), biochemical pregnancy rate (6.9% vs. 11.4% vs. 9.6%, p > 0.05),
or implantation rate (33.2% vs. 37.4% vs. 34.1%, p > 0.05) in the three groups
respectively. The early abortion rate of the CryoloopTM group was significantly
higher than that of the CryotopTM and CryoleafTM group (27.1% vs. 3.6% and 7.5%,
p < 0.05). At the same time, the average female age of the CryoloopTM group was
significantly older by 1 year than that of the CryotopTM and CryoleafTM group
(33.29 +/- 4.71 years vs. 31.96 +/- 4.27 years and 31.1 +/- 4.28 years, p <
0.05). There was no significant difference in take home baby rate (38.1% vs.
46.7% vs. 43.4, p > 0.05) or birth weight among the groups (2893.5 +/- 780.8 g
vs. 2778.4 +/- 710.0 g vs. 2724.5 +/- 838.8 g, p > 0.05). No case of neonatal
malformation was observed in the present study. Overall, CryotopTM and CryoleafTM
were effective for embryo vitrification at both the cleavage and blastocyst stage
according to the results of clinical outcome and infant characteristics. However,
CryoloopTM led to a decreased positive HCG rate and increased early abortion
rate, heightened at the cleavage stage. ABBREVIATIONS: LN2: liquid nitrogen; CPA:
cryoprotectant; ART: assisted reproductive technology; IVF: in vitro
fertilization; ICSI: intracytoplasmic sperm injection; BMI: body mass index; FSH:
follicular stimulation hormone; COH: controlled ovarian hyperstimulation; FET:
frozen embryo transfer; mm: millimeter; HCG: human chorionic gonadotropin; RCT:
randomized clinical trial; NC: natural cycle; AC: artificial cycle; EM:
equilibration medium; DMSO: dimethyl sulphoxide; EG: ethylene glycol; VM:
vitrification medium; WM: warming medium.
PMID- 29353515
TI - Use of a Non-Crosslinked Porcine Dermal Matrix in Repair of the Achilles and
Other Tendons of the Foot.
AB - BACKGROUND: Ankle tendon augmentation with biological matrixes has been shown to
be beneficial, especially for Achilles tendon rupture as it adds to the integrity
of the repair. Biologic matrix augmentation has been used increasingly in chronic
and complex injuries where reinforcement is required. The objective of this study
was to present outcomes of a series of patients who underwent tendon repair
augmented with a new biologic matrix. METHODS: Sixteen patients underwent ankle
tendon repair, augmented with Proformix biologic tissue matrix. Patients were
evaluated pre- and postoperatively for function and pain, and were followed for
up to 3 years for complications. RESULTS: This study included 10 females and 6
males, with a mean age of 44.6 years (range, 23-71 years). Patients were
evaluated at 2 months postoperatively, and then further followed for a mean 19.0
months (range 7-38 months) to assess complications and reinjuries. Foot and Ankle
Disability Index scores significantly increased from preoperatively (38.3) to 2
months postoperatively (85.2) ( P < .001). Furthermore, there were no reports of
reruptures or significant complications. CONCLUSIONS: Weakness or rupture in
tendons of the foot, especially the Achilles tendon, can be treated surgically
and the repair augmented with Proformix, a new, biological matrix. Our study
presented a series of 16 patients whose surgical repairs had been augmented with
the new biological matrix, all of whom have achieved excellent results. LEVELS OF
EVIDENCE: Level IV: Case series.
PMID- 29353517
TI - Visual-spatial cognition in children using aided communication.
AB - Children with severe motor impairments are restricted in their manipulation and
exploration of objects, but little is known about how such limitations influence
cognitive development. This study investigated visual-constructional abilities in
75 children and adolescents, aged 5;0-15;11 (years;months), with severe speech
impairments and no intellectual disabilities (aided group) and in 56 children and
adolescents with typical development (reference group). Verbal comprehension, non
verbal reasoning, and visual-spatial perception were assessed with standardized
tests. The task of the participants was to verbally instruct communication
partners to make physical constructions identical to models that the partner
could not see. In the aided group, 55.7% of the constructions were identical to
the models participants described, compared to 91.3% in the reference group. In
the aided group, test results explained 51.4% of the variance in construction
errors. The results indicate that the participants' language skills were decisive
for construction success. Visual-perceptual challenges were common among the
aided communicators, and their instructions included little information about
size and spatial relations. This may reflect less experience with object
manipulation and construction than children with typical development, and using
aided communication to instruct others to make three-dimensional constructions.
The results imply a need for interventions that compensate for the lack of
relevant experience.
PMID- 29353518
TI - Accuracy and repeatability of the Dopplex Ability.
AB - BACKGROUND: Ankle-brachial index (ABI) and pulse volume recordings (PVR) are non
invasive tests used in diagnosis of peripheral arterial disease (PAD). The
Dopplex Ability is an automated ABI/PVR device utilising air plethysmography,
offering easy and rapid PAD diagnosis. The accuracy and repeatability of the
Dopplex were assessed in comparison to the Doppler/air plethysmography-based
Parks Flo-Lab system. METHODS: Sixty-six patients (n = 129 lower limbs) were
assessed with both Dopplex and Parks systems. For Dopplex ABI and PVR to be
deemed accurate, it had to be within +/-10% of the Parks ABI, and the PVR grade
(1-4) had to be equal. The coefficient of variation (CV) was calculated from
three repeat ABI/PVR readings to assess repeatability. RESULTS: The Dopplex and
Parks devices correlated poorly for ABI (R2 = 0.17) with only 43% of ABIs and 69%
of PVRs meeting the accuracy criteria compared to the Parks values. The
specificity and sensitivity were 56% and 82%, respectively for ABI, and 91% and
89%, respectively for PVRs. The Dopplex showed a significantly higher CV for both
ABIs and PVRs compared to the Parks. CONCLUSION: We found the Dopplex device to
demonstrate suboptimal accuracy and repeatability in assessing ABI/PVR, and it
was deemed unsuitable for use in our community.
PMID- 29353516
TI - Magnetic hyperthermia therapy for the treatment of glioblastoma: a review of the
therapy's history, efficacy and application in humans.
AB - Hyperthermia therapy (HT) is the exposure of a region of the body to elevated
temperatures to achieve a therapeutic effect. HT anticancer properties and its
potential as a cancer treatment have been studied for decades. Techniques used to
achieve a localised hyperthermic effect include radiofrequency, ultrasound,
microwave, laser and magnetic nanoparticles (MNPs). The use of MNPs for
therapeutic hyperthermia generation is known as magnetic hyperthermia therapy
(MHT) and was first attempted as a cancer therapy in 1957. However, despite more
recent advancements, MHT has still not become part of the standard of care for
cancer treatment. Certain challenges, such as accurate thermometry within the
tumour mass and precise tumour heating, preclude its widespread application as a
treatment modality for cancer. MHT is especially attractive for the treatment of
glioblastoma (GBM), the most common and aggressive primary brain cancer in
adults, which has no cure. In this review, the application of MHT as a
therapeutic modality for GBM will be discussed. Its therapeutic efficacy,
technical details, and major experimental and clinical findings will be reviewed
and analysed. Finally, current limitations, areas of improvement, and future
directions will be discussed in depth.
PMID- 29353519
TI - Extracellular vesicles from parasitic helminths and their potential utility as
vaccines.
AB - INTRODUCTION: Helminths are multicellular parasites affecting nearly three
billion people worldwide. To orchestrate a parasitic existence, helminths secrete
different molecules, either in soluble form or contained within extracellular
vesicles (EVs). EVs are secreted by most cell types and organisms, and have
varied roles in intercellular communication, including immune modulation and
pathogenesis. Areas covered: In this review, we describe the nucleic acid and
proteomic composition of EVs from helminths, with a focus on the protein vaccine
candidates present on the EV surface membrane, and discuss the potential utility
of helminth EVs and their constituent proteins in the fight against helminth
infections. Expert commentary: A significant number of proteins present in
helminth-secreted EVs are known vaccine candidates. The characterization of
helminth EV proteomes will shed light on host-pathogen interactions, facilitate
the discovery of new diagnostic biomarkers, and provide a novel approach for the
development of new control measures against helminth infections.
PMID- 29353520
TI - Indications, complications, and outcomes following surgical management of locally
advanced and metastatic renal cell carcinoma.
AB - INTRODUCTION: Surgery may set the basis for a potential cure or would provide the
best achievable quality of life in locally advanced or metastatic renal cell
carcinoma (mRCC). However, survival extension with this approach would be scarce
and not exempt from adverse events, thus preventing its recommendation in an
already frail patient. An evidence based analysis on the role of surgery in each
of the possible clinical scenarios involved under this heading may provide a
clear picture on this issue and would be of value in the decision making process.
Areas covered: Current literature was queried in PubMed/Medline in a systematic
fashion. Manuscripts included were selected according to the quality of the data
provided. A narrative review strategy was adopted to summarize the evidence
acquired. Expert commentary: A surgery-based multimodal treatment approach should
be strongly considered after adequate counseling in locally advanced and mRCC,
since it may provide for additional benefits in terms of survival. However, a
critical reevaluation of its adequacy, optimal timing, and selection of ideal
candidates is currently ongoing.
PMID- 29353521
TI - Antiangiogenic evaluation of ZnWO4 nanoparticles synthesised through microwave
assisted hydrothermal method.
AB - Angiogenesis, the complex process of formation of new blood vessels from pre
existing blood vessels, which involves the participation of several pro- and anti
angiogenic factors, is implicated in many physiological and pathological
conditions. Nanoparticle-based anti-angiogenic activity at the tumour tissue,
harnessed by the Enhanced Permeability and Retention Effect (EPR effect), could
potentially become a breakthrough therapy to halt tumour progression. Herein, we
evaluate the anti-angiogenic effect of ZnWO4 nanoparticles (NPs). The
nanoparticles were obtained by microwave-assisted hydrothermal synthesis (MAHS)
at 120 degrees C for 60 min and were structurally characterised by X-ray
diffraction (XRD) and micro-Raman (MR) spectroscopy. The mean size and
polydispersity index were estimated by Zeta potential analysis. The XRD analysis
revealed structural organisation at a long-range order, with an average
crystallite size of around 3.67 nm, while MR revealed short-range order for
ZnWO4. The anti-angiogenic potential of zinc tungstate nanoparticles was
investigated through the chorioallantoic membrane assay (CAM) using fertilised
chicken eggs. We demonstrate, in an unprecedented way, that nanocrystalline ZnWO4
NPs obtained by MAHS, at low reaction temperatures, showed excellent anti
angiogenic properties even at low concentrations. The ZnWO4 NPs were further
evaluated for its cytotoxicity in vitro.
PMID- 29353522
TI - Expanding the malaria molecular diagnostic options: opportunities and challenges
for loop-mediated isothermal amplification tests for malaria control and
elimination.
AB - INTRODUCTION: The loop-mediated isothermal amplification (LAMP) technique holds
substantial promise as an alternative easy-to-use molecular test for malaria
parasite detection. Several modifications to the initial malaria LAMP assay have
been made in an effort to make the LAMP platform more field-friendly. Areas
covered: A PubMed literature search was performed using the following search
terms: 'malaria,' 'loop mediated isothermal amplification', 'LAMP', 'molecular
tests' and 'diagnostics'. The authors review the currently reported malaria LAMP
assays and discuss what requirements would be needed to make malaria LAMP assays
field-usable, especially in the context of malaria elimination. Expert
commentary: Expanding the malaria LAMP tests as options for use in malaria
control programs will require addressing some important challenges such as the
need for simplified sample preparation steps; ready to use kits that require no
cold chain; the use of a non-subjective results readout and preferably cost
effectiveness. Two malaria LAMP kits are now CE-marked and commercially
available: the Loopamp MALARIA kit and the Illumigene malaria LAMP. Malaria LAMP
tests, like other molecular tests, will likely be utilized in very specific
studies such as: to evaluate 'detect and treat' strategies; in controlled malaria
infection trials or drug efficacy trials and as confirmatory test in reference
laboratories.
PMID- 29353523
TI - Acromioclavicular joint disruptions: A comparison of two surgical approaches
'hook' and 'rope'.
AB - INTRODUCTION: Acromioclavicular joint injuries are common shoulder girdle
injuries most commonly resulting from a direct blow to the acromion with the arm
adducted. Type-I or type-II acromioclavicular joint injuries can be managed with
sling immobilization, early shoulder motion, and physiotherapy. The management of
type-III injuries remains controversial and is individualized. Type IV and V
injuries should be treated surgically. A myriad of surgical techniques for the
management of acromioclavicular joint injuries have been reported. METHODS: We
present a comparative study of 76 patients treated with two most common
modalities of treatment for AC joint disruption and that is Hook plate
stabilisation (n=52) or arthroscopically assisted tight rope stabilisation
(n=24). The primary objective was to establish whether hook plate stabilization
was superior compared to arthroscopic tight rope fixation in reducing pain and
increasing function in the short-term and long-term for patients with AC joint
disruptions III-IV. We also wanted to assess how quickly patients returned to
their work/job. It was a prospective study, we included all the patients operated
between 2008 and 2015 for AC joint disruption by the two shoulder surgeons of our
department. All patients followed a strict physiotherapy protocol and were
assessed at 6 weeks, 3 months and 12 months. We used the Harm and cost criteria
of assessment and the patient specific functional outcome scores. RESULTS: Both
modalities of treatment have high patient satisfaction rate, return to work is
faster in tight rope group but after a year both group of patients returned to
their premorbid state. Removal of hook plate is not mandatory and lysis of
acromion is rare (1% in our series). CONCLUSION: Despite the fact that both
methods yielded similar results and have statistically similar number of
complications, the type of postoperative complications was different between
groups. The plate group had more postoperative pain and worse function but both
aspects improved after plate removal. The rope group had more complex
complications including deep infection and recurrence of deformity and fracture.
These differences should be taken into consideration when consenting the patient
regarding possible treatment.
PMID- 29353525
TI - Reflections on a year of transition.
PMID- 29353524
TI - Violence breeds violence: burnout as a mediator between patient violence and
nurse violence.
AB - The present study examines whether patient-perpetrated violence triggers anger,
hatred and other negative emotions that, under certain circumstances, might
motivate nurses to behave violently with patients. In doing so, this study
considers burnout as a mediator in the patient violence-nurse violence
relationship. To test the causal paths, data were collected from 182 nurses
working in two government-sector teaching hospitals of Pakistan's Punjab
province. Results confirm that patient violence toward nurses leads to nurse
violence toward patients through the mediating effect of burnout. The study
advises hospitals to provide wellness and stress management programs to nurses
who regularly experience events involving patient violence. Hospitals may
consider allowing nurses to take short breaks after an encounter with violently
behaving patients. In addition, hospitals should conduct empathy-promoting
training, emotional intelligence training and 'lens of the patient' training
programs to sensitize their nursing staff.
PMID- 29353526
TI - Using 3-Dimensional Modeling to Customize Titanium Plates for Repair of Chest
Wall Trauma.
AB - BACKGROUND: Open reduction and internal fixation of rib fractures is recommended
to decrease mortality, shorten the duration of mechanical ventilation, and lower
hospital length of stay. Prosthetic titanium plates are frequently used to repair
chest wall trauma, and are typically contoured to the patient's anatomy at the
time of implant in the operating room. We describe the use of 3-dimensional (3D)
digitally corrected rapid prototyping to generate a model of a patient's skeletal
anatomy for the purposes of preoperative customization of standard titanium
plates for fixation of rib fractures. METHODS: A computed tomography imaging
Digital Imaging and Communication in Medicine data set was segmented. Rib
fractures were virtually realigned using the mirrored normal anatomy as a guide.
The model was printed and used to customize titanium rib fixation plates prior to
the procedure. RESULTS: Preoperative shaping of 5 titanium plates using the final
3D model required a total of 5.65 minutes. Surgical fixation of 4 of the
patient's 5 fractures was accomplished using the titanium plates that were
preoperatively shaped using our 3D model. DISCUSSION: We demonstrate successful
use of a digitally rendered model to preoperatively customize standard titanium
rib fixation plates. Compared with intraoperative contouring of rib fixation
plates, we believe that this approach facilitates repair of complex rib
fractures, saving time in the operating room. We believe this technique can
improve the accuracy of reductions, increase the ease and efficiency of these
procedures, and afford benefits in reducing surgical stress on patients who have
already suffered significant trauma.
PMID- 29353528
TI - The Theory of Dyadic Illness Management.
AB - Despite the importance of both members of the adult patient-care partner dyad, a
majority of research on illness management is focused on the patient or the care
partner. The basic principle of the Theory of Dyadic Illness Management is that
illness management is a dyadic phenomenon; the theory focuses extensively on the
dyad as an interdependent team. The way dyads appraise illness as a unit
influences the ways in which they engage in behaviors to manage illness together
in a recursive fashion that influences dyadic health. Optimizing the health of
both members of the dyad is a goal of the theory. In turn, the health of the dyad
can feedback to influence how they appraise and manage illness together. Finally,
dyadic illness management is an inherently variable process that is influenced by
several contextual factors. Supportive evidence and implications for practice and
future research are presented.
PMID- 29353527
TI - Irrigation Versus Suction Alone in Laparoscopic Appendectomy: Is Dilution the
Solution to Pollution? A Systematic Review and Meta-Analysis.
AB - OBJECTIVES: To investigate outcomes of peritoneal irrigation versus suction
without irrigation in patients undergoing emergency laparoscopic appendectomy.
METHODS: We performed a systematic review and conducted a search of electronic
information sources to identify all randomized controlled trials (RCTs) and
observational studies investigating outcomes of irrigation versus suction alone
in patients undergoing emergency laparoscopic appendectomy. We used the Cochrane
risk of bias tool and the Newcastle-Ottawa scale to assess the risk of bias of
RCTs and observational studies, respectively. Random-effects models were applied
to calculate pooled outcome data. RESULTS: We identified 3 RCTs and 2
retrospective observational studies, enrolling 2511 patients. Our results
suggested that there was no difference between peritoneal irrigation and suction
alone in terms of intraabdominal abscess rate (odds ratio = 2.39, 95% confidence
interval [CI] = 0.49-11.74, P = .28), wound infection (risk difference = 0.00,
95% CI = -0.04 to 0.05, P = .85), and length of stay (mean difference = -1.02,
95% CI = -3.10 to 1.07, P = .34); however, peritoneal irrigation was associated
with longer operative time (mean difference = 7.12, 95% CI = 4.33 to 9.92, P <
.00001). Our results remained consistent when randomized trials, adult patients,
and pediatric patients were analyzed separately. CONCLUSIONS: The best available
evidence suggests that the peritoneal irrigation with normal saline during
laparoscopic appendectomy does not provide additional benefits compared with
suction alone in terms of intraabdominal abscess, wound infection, and length of
stay but it may prolong the operative time. The quality of the best available
evidence is moderate; therefore, high-quality RCTs, which are adequately powered,
are required to provide more robust basis for definite conclusions.
PMID- 29353529
TI - Ultrasound Shear Wave Elastography of the Normal Prostate: Interobserver
Reproducibility and Comparison with Functional Magnetic Resonance Tissue
Characteristics.
AB - The purpose of this study was to establish interobserver reproducibility of
Young's modulus (YM) derived from ultrasound shear wave elastography (US-SWE) in
the normal prostate and correlate it with multiparametric magnetic resonance
imaging (mpMRI) tissue characteristics. Twenty men being screened for prostate
cancer underwent same-day US-SWE (10 done by two blinded, newly-trained
observers) and mpMRI followed by 12-core biopsy. Bland-Altman plots established
limits of agreement for YM. Quantitative data from the peripheral zone (PZ) and
the transitional zone (TZ) for YM, apparent diffusion coefficient (ADC, mm2/s
from diffusion-weighted MRI), and Ktrans (volume transfer coefficient, min-1), Ve
(extravascular-extracellular space, %), Kep (rate constant, /min), and initial
area under the gadolinium concentration curve (IAUGC60, mmol/L/s) from dynamic
contrast-enhanced MRI were obtained for slice-matched prostate sextants.
Interobserver intraclass correlation coefficients were fair to good for
individual regions (PZ = 0.57, TZ = 0.65) and for whole gland 0.67, (increasing
to 0.81 when corrected for systematic observer bias). In the PZ, there were weak
negative correlations between YM and ADC ( p = 0.008), and Ve ( p = 0.01) and a
weak positive correlation with Kep ( p = 0.003). No significant intermodality
correlations were seen in the TZ. Transrectal prostate US-SWE done without
controlling manually applied probe pressure has fair/good interobserver
reproducibility in inexperienced observers with potential to improve this to
excellent by standardization of probe contact pressure. Within the PZ, increase
in tissue stiffness is associated with reduced extracellular water (decreased
ADC) and space (reduced Ve).
PMID- 29353530
TI - Understanding how Afghan women utilise a gender transformative and economic
empowerment intervention: A qualitative study.
AB - The processes through which women's economic empowerment interventions are
envisaged to improve women's health are strongly embedded in notions of building
women's agency and autonomy. Yet despite the ubiquity of such interventions,
there remains incredibly little qualitative work exploring how women actually
utilise interventions to reshape their lives and wellbeing. Drawing on 9 focus
groups discussions among 52 women who participated in the Women for Women
International intervention in Afghanistan, an economic strengthening and social
empowerment intervention, we explore processes of change. Data showed women
learnt new skills around numeracy and animal husbandry; they perceived themselves
to have become more respected within the household setting; they invested cash
they received for intervention attendance in businesses, primarily their
husband's or family's, and saved cash. Women did not, however, report their
relationships to have been radically restructured. Rather women described
incremental changes in their relationships within their household and used what
they gained from the intervention to secure and sustain this. This
conceptualisation of agency and empowerment reflects approaches to understanding
agency, which move away from 'action-oriented' understandings, to ones that
recognise 'distributed agency' as pathways to change through interventions.
PMID- 29353531
TI - Oxytocin receptor gene variation, behavioural inhibition, and adult separation
anxiety: Role in complicated grief.
AB - OBJECTIVES: Complicated grief (CG) following bereavement significantly increases
the risk for mood and anxiety disorders. The severity of grief reactions may be
interactively influenced by temperamental and psychological factors such as
behavioural inhibition (BI) and separation anxiety (SA) as well as biological
factors. Given its central role in attachment and stress processing, a genetic
variant in the oxytocin receptor (OXTR) gene was thus investigated in order to
elucidate the direction of association as well as its interaction with BI and SA
in the moderation of CG severity. METHODS: Ninety-three patients with mood and
anxiety disorders were evaluated for CG by means of the Inventory of Complicated
Grief (ICG), for BI using the Retrospective Self-Report of Inhibition (RSRI), and
for symptoms of SA during adulthood using the Adult Separation Anxiety Scale (ASA
27). All patients were genotyped for OXTR rs2254298. RESULTS: OXTR genotype
interacted with BI and, on a trend-level, with adult SA, to increase CG.
Specifically, higher levels on the RSRI and ASA-27 scales, respectively, were
related to higher ICG scores in GG genotype carriers. CONCLUSIONS: The present
study for the first time suggests a gene-environment interaction effect of an
OXTR gene variant with BI and possibly also symptoms of adult SA in the
moderation of vulnerability for CG.
PMID- 29353533
TI - Recognition of emotional facial expressions in benzodiazepine dependence and
detoxification.
AB - INTRODUCTION: The study investigates how benzodiazepine (BZD) use and
detoxification affects empathy and the recognition and intensity rating of
emotional facial expressions. The sample comprised 43 participants in three
groups: (1) during detoxification (N = 13), (2) after detoxification (N = 15),
(3) a matched control group (N = 15). Clinical subjects were recruited from in
patients of an addiction treatment unit. METHODS: Empathy levels were tested with
the Empathy Quotient (EQ-Short). Recognition accuracy and emotion intensity
rating were based on a computerised task displaying static and dynamic facial
expressions of joy, anger, sadness, and fear. RESULTS: The controls proved more
accurate than both experimental groups in identifying facial expressions of
negative emotions. Joy recognition proved most accurate overall. Among the
clinical subjects, women in particular exhibited an impaired ability to correctly
identify negative emotions from facial expressions. Dynamic stimuli were better
recognised than static ones albeit only in the experimental groups. No
significant differences were found for emotion intensity ratings and EQ scores.
CONCLUSION: Our findings suggest that the impaired facial emotion recognition
accuracy is not caused by deficits in empathy. No improvement was recorded post
detoxification which may indicate impaired interpersonal functioning among BZD
users. Further research is warranted in light of this study's limitations.
PMID- 29353532
TI - Live Quantitative Monitoring of Mineral Deposition in Stem Cells Using
Tetracycline Hydrochloride.
AB - The final stage of in vitro osteogenic differentiation is characterized by the
production of mineral deposits containing calcium cations and inorganic
phosphates, which populate the extracellular matrix (ECM) surrounding the cell
monolayer. Conventional histological techniques for the assessment of
mineralization, such as Von Kossa and Alizarin Red S staining, are end point
techniques requiring cell fixation. Moreover, in both cases staining quantitation
requires dye extraction, which irreversibly alters the ECM conformation and
structure, therefore preventing the use of the sample for further analysis. In
this study, the use of tetracycline hydrochloride (TC) is proposed for the
nondestructive staining, quantitation, and imaging of mineralizing bone-like
nodules in live cultures of human bone marrow mesenchymal stem cells cultured
under osteogenic conditions. Overnight administration of TC to living cells was
shown not to alter the metabolic activity or the progression of cell
differentiation. When applied to differentiating cultures, cell exposure to
serial doses of TC was found to produce quantifiable fluorescence emission
specifically in osteogenic cultures. Incubation with TC enabled fluorescence
imaging of mineralized areas in live cultures and the combination with other
fluorophores using appropriate filters. These results demonstrate that serial TC
administration over the differentiation time course provides a qualitative and
quantitative tool for the monitoring and evaluation of the differentiation
process in live cells.
PMID- 29353534
TI - No detectable effects of acute tryptophan depletion on short-term immune system
cytokine levels in healthy adults.
AB - OBJECTIVES: Recent research suggested an influence of diminished central nervous
serotonin (5-HT) synthesis on the leptin axis via immunological mechanisms in
healthy adult females. However, studies assessing immunological parameters in
combination with dietary challenge techniques that impact brain 5-HT synthesis in
humans are lacking. Methods: In the present trial, a pilot analysis was
conducted on data obtained in healthy adult humans receiving either different
dietary acute tryptophan depletion (ATD) challenge or tryptophan (TRP)-balanced
control conditions (BAL) to study the effects of reduced central nervous 5-HT
synthesis on serum tumor necrosis factor alpha (TNF-alpha), interleukin-1beta (IL
1beta) and IL-6 concentrations. The data of N = 35 healthy adults were analysed
who were randomly subjected to one of the following two dietary conditions in a
double-blind between-subject approach: (1) The Moja-De ATD challenge (ATD), or
(2) TRP-balanced control condition for ATD Moja-De (BAL). Serum concentrations
for the assessment of relevant parameters (TNF-alpha, IL-1beta and IL-6) and
relevant TRP-related characteristics after the respective challenge procedures
were assessed at baseline (T0) and in hourly intervals after administration over
a period of 6 h (T1-T6). Results: The ATD condition did not result in
significant changes to cytokine concentrations for the entire study sample, or in
male and female subgroups. Depletion of CNS 5-HT via dietary TRP depletion
appears to have no statistically significant short-term impact on cytokine
concentrations in healthy adults. Conclusions: Future research on immunological
stressors in combination with challenge techniques will be of value in order to
further disentangle the complex interplay between brain 5-HT synthesis and
immunological pathways.
PMID- 29353535
TI - Eating behavior traits of successful weight losers during 12 months of alternate
day fasting: An exploratory analysis of a randomized controlled trial.
AB - BACKGROUND: Alternate-day fasting (ADF) has gained popularity in recent years.
The diet consists of a "fast day" where an individual consumes 0-25% of their
energy needs, alternated with a "feast day" where a person is permitted to eat ad
libitum. AIM: This study examined eating behavior traits of successful weight
losers during alternate day fasting. METHODS: Obese participants ( n = 34) took
part in 12 months of ADF and were grouped into a high (>=5%) or low-weight-loss
(<5%) group post-treatment. RESULTS: The high-weight-loss group demonstrated
increased ( p = 0.04) fullness, decreased ( p = 0.03) hunger, increased dietary
protein intake (15% to 20% of kcal, p = 0.04), and better adherence to fast-day
calorie goals. CONCLUSIONS: Thus, individuals who achieve clinically significant
weight loss with ADF demonstrate improved satiety, increased protein intake, and
better adherence to fast-day calorie goals.
PMID- 29353536
TI - A progressive three-phase innovation to medical education in the United States.
AB - : The practice of medicine has changed greatly over the past 100 years, yet the
structure of undergraduate medical education has evolved very little. Many
schools have modified their curricula to incorporate problem-based learning and
organ systems-based curricula, but few schools have adequately addressed rising
tuition costs. Undergraduate medical education has become cost-prohibitive for
students interested in primary care. In the meanwhile, the concept of a separate
dedicated intern year is outdated and mired in waste despite remaining a
requirement for several hospital-based and surgical specialties. Described here
is an innovative approach to medical education which reduces tuition costs and
maximizes efficiency, based on principals already employed by several schools.
This integrated curriculum, first suggested by the author in 2010, keeps the
current USMLE system in place, exposes medical students to patient care earlier,
expands and incorporates the 'intern' year into a four-year medical training
program, provides more time for students to decide on a specialty, and allows
residency programs to acquire fully-licensed practitioners with greater clinical
experience than the status quo. ABBREVIATIONS: MCAT: Medical college admission
test; USMLE: US medical licensing examination.
PMID- 29353537
TI - Exposure to impulse noise at an explosives company: a case study.
AB - Impulse noise encountered in workplaces is a threat to hearing. The aim of this
study was to assess the occupational exposure to impulse noise produced by
detonation of dynamite on the premises of an explosives company. Test points were
located on the blast test area (inside and outside the bunker) and in work
buildings across the site. Noise propagation measurement was performed during 130
blast tests at nine measurement points. At every point, at least 10 separate
measurements of A-weighted equivalent sound pressure level (LAeq), maximum A
weighted sound pressure level (LAmax) and C-weighted peak sound pressure level
(LCpeak) were made. Noise recorded in the blast test area exceeded occupational
exposure limits (OELs). Noise levels measured in buildings did not exceed OELs.
Results of the survey showed that for 62% of respondents, impulse noise causes
difficulties in performing work. The most commonly reported symptoms include
headaches, nervousness and irritability.
PMID- 29353539
TI - Translation and validation of Simplified Chinese version of the Pain
Catastrophizing Scale in chronic pain patients: Education may matter.
AB - Objective Pain catastrophizing is linked to many aspects of pain perception and
defines a unique dimension in predicting pain intensity and physical disability.
Pain Catastrophizing Scale (PCS) is an effective, validated,self-report measure,
commonly used in clinical trials. Here, we present a Simplified Chinese PCS (SC
PCS) version developed in Chinese patients suffering from chronic pain. Methods
The SC-PCS was generated in five steps and tested on an initial patient cohort (N
= 30). A convenience sample (N = 200) of in-hospital patients with non-malignant
pain lasting for more than 12 weeks were recruited for the study, of which 81
completed 5 additional pain questionnaires. A subset (N = 24) of the patients
completed an additional SC-PCS, 10 days after the initial query to assess test
retest validation. Results Intra-class correlations coefficient indicated high
reproducibility and temporal consistency, (0.97), for the total score. Cronbach's
alpha determined high internal consistency across the SC-PCS total score and its
three subscales (0.87, 0.85, 0.62, and 0.65). The SC-PCS total score moderately
or weakly (R = -0.2 to 0.49), but significantly, correlated with other
measurements, such as pain Visual Analog Scale, Beck Depression Inventory, Pain
Anxiety Symptoms Scales, Positive and Negative Affect Schedule, and education. We
used exploratory factor analysis to examine the dimensionality of the SC-PCS,
which indicated instability of the current three-factor model. However, a
confirmatory factor analysis indicated that the three-factor model had the best
goodness-fitting. Conclusions We demonstrate the successful translational
adaptation from English to Simplified Chinese as well as the reliability and
validity of SC-PCS. An important discovery was education level significantly
correlated with SC-PCS, identifying a future consideration for other cross
cultural development of self-reported measures.
PMID- 29353538
TI - Lithium reverses mechanical allodynia through a mu opioid-dependent mechanism.
AB - Background Lithium is widely used to treat bipolar disorders and displays mood
stabilizing properties. In addition, lithium relieves painful cluster headaches
and has a strong analgesic effect in neuropathic pain rat models. Objectives To
investigate the analgesic effect of lithium on the cuff model of neuropathic
pain. Methods We used behavioral and pharmacological approaches to study the
analgesic effect of a single injection of lithium in wild-type and mu opioid
receptor (MOR) null cuffed neuropathic mice. Mass spectrometry and enzyme-linked
immunosorbent assay allowed to measure the levels of endogenous MOR agonist beta
endorphin as well as monoamines in brain and plasma samples 4 h after lithium
administration. Results A single injection of lithium chloride (100 mg/kg, ip)
alleviated mechanical allodynia for 24 h, and this effect was absent in MOR null
neuropathic mice. Biochemical analyses highlight a significant increase in beta
endorphin levels by 30% in the brain of lithium-treated mice compared to
controls. No variation of beta-endorphin was detected in the blood. Conclusions
Together, our results provide evidence that lithium induces a long-lasting
analgesia in neuropathic mice presumably through elevated brain levels of beta
endorphin and the activation of MORs.
PMID- 29353541
TI - Volumetric abnormalities of the brain in a rat model of recurrent headache.
AB - Voxel-based morphometry is used to detect structural brain changes in patients
with migraine. However, the relevance of migraine and structural changes is not
clear. This study investigated structural brain abnormalities based on voxel
based morphometry using a rat model of recurrent headache. The rat model was
established by infusing an inflammatory soup through supradural catheters in
conscious male rats. Rats were subgrouped according to the frequency and duration
of the inflammatory soup infusion. Tactile sensory testing was conducted prior to
infusion of the inflammatory soup or saline. The periorbital tactile thresholds
in the high-frequency inflammatory soup stimulation group declined persistently
from day 5. Increased white matter volume was observed in the rats three weeks
after inflammatory soup stimulation, brainstem in the in the low-frequency
inflammatory soup-infusion group and cortex in the high-frequency inflammatory
soup-infusion group. After six weeks' stimulation, rats showed gray matter volume
changes. The brain structural abnormalities recovered after the stimulation was
stopped in the low-frequency inflammatory soup-infused rats and persisted even
after the high-frequency inflammatory soup stimulus stopped. The changes of voxel
based morphometry in migraineurs may be the result of recurrent headache.
Cognition, memory, and learning may play an important role in the chronification
of migraines. Reducing migraine attacks has the promise of preventing chronicity
of migraine.
PMID- 29353540
TI - Involvement of neutrophils and interleukin-18 in nociception in a mouse model of
muscle pain.
AB - Muscle pain is a common condition that relates to various pathologies. Muscle
overuse induces muscle pain, and neutrophils are key players in pain production.
Neutrophils also play a central role in chronic pain by secreting interleukin
(IL)-18. The aim of this study was to investigate the involvement of neutrophils
and IL-18 in a mouse model of muscle pain. The right hind leg muscles of BALB/c
mice were stimulated electrically to induce excessive muscle contraction. The
left hind leg muscles were not stimulated. The pressure pain threshold, number of
neutrophils, and IL-18 levels were investigated. Furthermore, the effects of the
IL-18-binding protein and Brilliant Blue G on pain were investigated. In
stimulated muscles, pressure pain thresholds decreased, and neutrophil and IL-18
levels increased compared with that in non-stimulated muscles. The administration
of IL-18-binding protein and Brilliant Blue G attenuated hyperalgesia caused by
excessive muscle contraction. These results suggest that increased IL-18
secretion from larger numbers of neutrophils elicits mechanical hyperalgesia.
PMID- 29353542
TI - Dark clouds in co-creation, and their silver linings practical challenges we
faced in a participatory project in a resource-constrained community in India,
and how we overcame (some of) them.
AB - BACKGROUND: While any type of field-based research is challenging, building
action-oriented, participatory research in resource-constrained settings can be
even more so. OBJECTIVE: In this article, we aim to examine and provide insights
into some of the practical challenges that were faced during the course of a
participatory project based in two non-notified slums in Bangalore, India, aiming
to build solutions to indoor air pollution from cooking on traditional cook
stoves. METHODS: The article draws upon experiences of the authors as field
researchers engaged in a community-based project that adopted an exploratory,
iterative design to its planning and implementation, which involved community
visits, semi-structured interviews, prioritization workshops, community forums,
photo voice activities, chulha-building sessions and cooking trials. RESULTS: The
main obstacles to field work were linked to fostering open, continued dialogue
with the community, aimed at bridging the gap between the 'scientific' and the
'local' worlds. Language and cultural barriers led to a reliance on interpreters,
which affected both the quality of the interaction as well as the relationship
between the researchers and the community that was built out of that interaction.
The transience in housing and location of members of the community also led to
difficulties in following up on incomplete information. Furthermore, facilitating
meaningful participation from the people within the context of restricted
resources, differing priorities, and socio-cultural diversity was particularly
challenging. These were further compounded by the constraints of time and
finances brought on by the embeddedness of the project within institutional
frameworks and conventional research requirements of a fixed, pre-planned and
externally determined focus, timeline, activities and benchmarks for the project.
CONCLUSIONS: This article calls for revisiting of scientific conventions and
funding prerequisites, in order to create spaces that support flexible, emergent
and adaptive field-based research projects which can respond effectively to the
needs and priorities of the community.
PMID- 29353544
TI - A Comprehensive Profile of Those Who Have Health-Related Apps.
AB - This study investigated sociodemographic, health-related, technological, and
motivational factors associated with having health-related apps. Focusing on
motivational factors, this study chose five general healthy intentions (about
fruit, vegetable, and soda intake, weight control, and amount of exercise) and
examined whether those with intention to change their current state (change
group) differ from those who want to maintain (maintain group) or pay no
attention to their current state (no attention group). A secondary analysis of
data from the Health Information National Trends Survey 4 (Cycle 4), collected
from a representative sample of U.S. adults aged 18 years or older, was
conducted. Only responses from Internet users were analyzed ( N = 2,802).
Regarding sociodemographics, younger individuals and those with higher income
were more likely to have health apps. Hispanics and the less educated were less
likely to have health apps. Also, technological factors, such as smartphone and
Wi-Fi use, were associated with having apps. Regarding motivational factors,
Model 1 (no attention group as a reference group) showed differences in having
apps between those who wanted to change their weight and those who paid no
attention. Model 2 (maintain group as a reference group) revealed a difference
between those who tried to change the amount of exercise and those who maintained
it. The findings provided a comprehensive profile of those with health apps in
the United States: non-Hispanic young people with higher income, higher
education, a smartphone, and a Wi-Fi connection who want to change (but not
maintain) their weight and amount of exercise.
PMID- 29353543
TI - Tai Chi Improves Cognition and Plasma BDNF in Older Adults With Mild Cognitive
Impairment: A Randomized Controlled Trial.
AB - BACKGROUND: Effects of Tai Chi (TC) on specific cognitive function and mechanisms
by which TC may improve cognition in older adults with amnestic mild cognitive
impairment (a-MCI) remain unknown. OBJECTIVE: To examine the effects of TC on
cognitive functions and plasma biomarkers (brain-derived neurotrophic factor
[BDNF], tumor necrosis factor-alpha [TNF-alpha], and interleukin-10 [IL-10]) in a
MCI. METHODS: A total of 66 older adults with a-MCI (mean age = 67.9 years) were
randomized to either a TC (n = 33) or a control group (n = 33). Participants in
the TC group learned TC with a certified instructor and then practiced at home
for 50 min/session, 3 times/wk for 6 months. The control group received
educational material that covered information related to cognition. The primary
outcome was cognitive performance, including Logical Memory (LM) delayed recall,
Block Design, Digit Span, and Trail Making Test B minus A (TMT B-A). The
secondary outcomes were plasma biomarkers, including BDNF, TNF-alpha, and IL-10.
RESULTS: At the end of the trial, performance on the LM and TMT B-A was
significantly better in the TC group compared with the control group after
adjusting for age, gender, and education ( P < .05). Plasma BDNF level was
significantly increased for the TC group, whereas the other outcome measures were
similar between the 2 groups after adjusting for age and gender ( P < .05).
CONCLUSIONS: TC training significantly improved memory and the mental switching
component of executive function in older adults with a-MCI, possibly via an
upregulation of BDNF.
PMID- 29353545
TI - Prevalence of Bystander Intervention Opportunities and Behaviors Among U.S. Army
Soldiers.
AB - The bystander intervention model is one approach utilized to reduce risky
behaviors within the U.S. Army; however, it is unclear how frequently soldiers
experience opportunities to intervene and whether they already intervene in such
situations. The present analysis aims to ascertain frequencies for opportunities
to intervene and the rates at which soldiers intervene when presented with such
opportunities. Soldiers ( N = 286) were asked whether they had witnessed
particular risky behavior scenarios of interest to the Army (i.e., suicide
related behaviors, alcohol misuse, or sexual harassment/assault) during the
previous 2 months and whether they had intervened in those scenarios. Prevalence
rates within this sample were calculated to determine the frequency of such
situations and subsequent interventions. Logistic regression was used to
ascertain any differences in witnessing scenarios by demographic groups. Nearly
half (46.8%) of the soldiers reported witnessing at least one scenario involving
risky behaviors. Most soldiers who witnessed an event relating to suicide or
alcohol misuse also reported consistently intervening (87.9% and 74.4%,
respectively), whereas just half consistently intervened in response to scenarios
relating to sexual harassment/assault (49.2%). Lower ranking soldiers were twice
as likely as higher ranks to witness scenarios involving alcohol misuse (odds
ratio = 2.18, 95% confidence interval [1.11, 4.26]) and sexual harassment/assault
(odds ratio = 2.21, 95% confidence interval [1.05, 4.62]). These data indicate
that soldiers regularly encounter opportunities to intervene in risky behaviors,
and while a majority intervened in such scenarios, more training is warranted,
particularly around sexual assault and harassment. This supports the notion that
bystander intervention training is a worthwhile investment for the Army.
PMID- 29353546
TI - Who Starts the Conversation and Who Receives Preexposure Prophylaxis (PrEP)? A
Brief Online Survey of Medical Providers' PrEP Practices.
AB - Uptake of preexposure prophylaxis (PrEP) has been slow, but is increasing.
Although PrEP is indicated for many patients, it has been concentrated among men
who have sex with men (MSM). Awareness of PrEP is limited among non-MSM
individuals, and among some MSM. As such, individuals at risk for HIV who are
unaware of PrEP must rely on their medical providers to initiate conversations
about PrEP. Members of a national professional organization of HIV specialists
with prescribing privileges, including physicians, nurse practitioners, and
physician assistants, participated in an online survey ( n = 342) to characterize
their PrEP prescribing behaviors and the demographic membership of their PrEP
patients. Results indicated that when discussing PrEP with their patients,
providers who more frequently initiated these conversations had a higher
percentage of non-MSM patients in their PrEP caseload (e.g., women, people who
inject drugs, transgender patients). Encouraging providers to initiate
discussions about PrEP with their patients and helping them locate support to
offset the cost may help increase uptake, particularly among at-risk patients who
are underrepresented in PrEP adoption.
PMID- 29353548
TI - Clinical Toxicology Expert Reviewers 2017.
PMID- 29353547
TI - Understanding the Process of Prioritizing Fruit and Vegetable Purchases in
Families With Low Incomes: "A Peach May Not Fill You Up as Much as Hamburger".
AB - Fruits and vegetables (F&V) are an important component of a healthy diet, but few
children are meeting the recommended number of servings. Children from families
with limited resources may be least likely to meet the recommendation. This study
was designed to understand the strategies and priorities of families with low
income related to purchasing F&V. We conducted qualitative, in-depth telephone
interviews with low-income parents of elementary school-aged children as part of
a random sample of parents participating in a telephone survey who agreed to be
contacted for an in-depth interview. Interview transcripts were coded based on
predetermined codes that were informed by the research questions. F&V were not
considered staples by parents and cost was one of the main concerns. Parents
equated F&V with fresh F&V. Interventions encouraging F&V purchasing by families
with low income need to find new ways to address the issue of cost, including
advocating for F&V in all forms (fresh, frozen, canned, and dried).
PMID- 29353549
TI - Delineation of the frequency and boundary of chromosomal copy number variations
in paediatric neuroblastoma.
AB - Neuroblastoma, the most common solid tumour in early childhood, is characterized
by very frequent chromosomal copy number variations (CNVs). While chromosome 2p
amplification, 17q gain, 1p and 11q deletion in human neuroblastoma tissues are
well-known, the exact frequencies and boundaries of the chromosomal CNVs have not
been delineated. We analysed the publicly available single nucleotide
polymorphism (SNP) array data which were originally generated by the
Therapeutically Applicable Research to Generate Effective Treatments (TARGET)
initiative, defined the frequencies and boundaries of chromosomes 2p11.2 - 2p25.3
amplification, 17q11.1-17q25.3 gain, 1p13.3-1p36.33 deletion and 11q13.3-11q25
deletion in neuroblastoma tissues, and identified chromosome 7q14.1
(Chr7:38254795-38346971) and chromosome 14q11.2 (Chr14:21637401-22024617)
deletion in blood and bone marrow samples from neuroblastoma patients, but not in
tumour tissues. Kaplan Meier analysis showed that double deletion of Chr7q14.1
and Chr14q11.2 correlated with poor prognosis in MYCN gene amplified
neuroblastoma patients. In conclusion, the oncogenes amplified or gained and
tumour suppressor genes deleted within the boundaries of chromosomal CNVs in
tumour tissues should be studied for their roles in tumourigenesis and as
therapeutic targets. Focal deletions of Chr7q14.1 and Chr14q11.2 together in
blood and bone marrow samples from neuroblastoma patients can be used as a marker
for poorer prognosis and more aggressive therapies.
PMID- 29353550
TI - ECTRIMS/EAN Guideline on the pharmacological treatment of people with multiple
sclerosis.
AB - BACKGROUND: Multiple sclerosis (MS) is a complex disease with new drugs becoming
available in the past years. There is a need for a reference tool compiling
current data to aid professionals in treatment decisions. OBJECTIVES: To develop
an evidence-based clinical practice guideline for the pharmacological treatment
of people with MS. METHODS: This guideline has been developed using the Grading
of Recommendations Assessment, Development and Evaluation (GRADE) methodology and
following the updated EAN recommendations. Clinical questions were formulated in
Patients-Intervention-Comparator-Outcome (PICO) format and outcomes were
prioritized. The quality of evidence was rated into four categories according to
the risk of bias. The recommendations with assigned strength (strong and weak)
were formulated based on the quality of evidence and the risk-benefit balance.
Consensus between the panelists was reached by use of the modified nominal group
technique. RESULTS: A total of 10 questions were agreed, encompassing treatment
efficacy, response criteria, strategies to address suboptimal response and safety
concerns and treatment strategies in MS and pregnancy. The guideline takes into
account all disease-modifying drugs approved by the European Medicine Agency
(EMA) at the time of publication. A total of 21 recommendations were agreed by
the guideline working group after three rounds of consensus. CONCLUSION: The
present guideline will enable homogeneity of treatment decisions across Europe.
PMID- 29353551
TI - Public understanding of One Health messages: The role of temporal framing.
AB - Building on research in motivated reasoning and framing in science communication,
we examine how messages that vary attribution of responsibility (human vs animal)
and temporal orientation (now vs in the next 10 years) for wildlife disease risk
influence individuals' conservation intentions. We conducted a randomized
experiment with a nationally representative sample of US adults ( N = 355), which
revealed that for people low in biospheric concern, messages that highlighted
both human responsibility for and the imminent nature of the risk failed to
enhance conservation intentions compared with messages highlighting animal
responsibility. However, when messages highlighting human responsibility placed
the risk in a temporally distal frame, conservation intentions increased among
people low in biospheric concern. We assess the underlying mechanism of this
effect and discuss the value of temporal framing in overcoming motivated
skepticism to improve science communication.
PMID- 29353552
TI - Micronutrient powders to combat anaemia in young children: do they work?
AB - In 2016, the World Health Organization (WHO) recommended point-of-use
fortification of complementary foods with iron-containing micronutrient powders
to improve iron status and reduce anaemia in children at risk of anaemia. This
recommendation continues to be debated. In a recent trial among Kenyan children
aged 12-36 months, we found no evidence that daily point-of-use fortification was
efficacious in improving haemoglobin concentration or plasma iron markers. An
updated meta-analysis indicated that, on average, in an arbitrarily selected
setting and with adherence as obtained under trial conditions, one may expect a
small increase in haemoglobin concentration in preschool children, with the upper
limit of the 95% CI virtually excluding an effect beyond 5.5 g/L. In the present
paper, we elaborate on the interpretation of these findings and the meta-analyses
that formed the basis for the WHO guidelines. In particular, we draw attention to
the phenomenon that small group differences in the distribution of continuous
outcomes (haemoglobin concentration, ferritin concentrations) can give a false
impression of relatively large effects on the prevalence of the dichotomised
outcomes (anaemia, iron deficiency).Please see related articles:
https://bmcmedicine.biomedcentral.com/articles/10.1186/s12916-017-0839-z ,
https://bmcmedicine.biomedcentral.com/articles/10.1186/s12916-017-0867-8.
PMID- 29353554
TI - Knowledge, attitudes and practices related to cystic echinococcosis endemicity in
Pakistan.
AB - BACKGROUND: Cystic echinococcosis (CE) is a human and animal health problem in
many endemic areas worldwide. It is considered a neglected zoonotic disease
caused by the larval form (hydatid cyst) of Echinococcus spp. tapeworm. There are
limited studies on echinococcosis in Pakistan. METHODS: A cross-sectional survey
was conducted to find out recent knowledge, attitudes and practices on the
occurrence of cystic echinococcosis in butchers and dog owners in both urban and
rural areas of Rawalpindi/Islamabad regions, Pakistan. The quantitative data was
collected in the form of questionnaires to investigate the knowledge and
awareness of CE among community members and their routine practices that were
behind the factors involved in hydatid cyst infection. The practices and
infrastructure of abattoirs/butcher shops and their role in transmission of
cystic echinococcosis were also evaluated in the present study. RESULTS: The
participants involved in the study were dog owners and people who kept animals. A
total of 400 people were interviewed and 289 questionnaires were received. The
results showed that only 4.1% of people have heard about the disease, and 58.1%
were closely associated with dogs. Sixty-three percent of dogs in study area were
consuming uncooked organs (e.g. liver, lung, etc.) of slaughtered animals, while
100% of dogs at butcher shops were consuming uncooked organs. Home slaughtering
was common in 20.06%. Among butchers, 32.3% had heard about zoonoses and 7.61%
knew about CE. The statistical analysis showed that there was highly significant
difference (P < 0.05) among most of the practices that were associated with the
prevalence of CE. CONCLUSIONS: It was concluded from the present study that, the
knowledge and awareness of CE among people of Rawalpindi/Islamabad were low.
Because of dogs and poor knowledge of CE among community members and butchers,
the transmission of echinococcosis is facilitated. Therefore, there is urgent
need to strengthen awareness and health education among people, as well as proper
practices related to the CE not only in the study area, but also in other areas
of Pakistan.
PMID- 29353553
TI - Change in Neutrophil-to-lymphocyte ratio (NLR) in response to immune checkpoint
blockade for metastatic renal cell carcinoma.
AB - BACKGROUND: An elevated Neutrophil-to-lymphocyte ratio (NLR) is associated with
worse outcomes in several malignancies. However, its role with contemporary
immune checkpoint blockade (ICB) is unknown. We investigated the utility of NLR
in metastatic renal cell carcinoma (mRCC) patients treated with PD-1/PD-L1 ICB.
METHODS: We examined NLR at baseline and 6 (+/-2) weeks later in 142 patients
treated between 2009 and 2017 at Dana-Farber Cancer Institute (Boston, USA).
Landmark analysis at 6 weeks was conducted to explore the prognostic value of
relative NLR change on overall survival (OS), progression-free survival (PFS),
and objective response rate (ORR). Cox and logistic regression models allowed for
adjustment of line of therapy, number of IMDC risk factors, histology and
baseline NLR. RESULTS: Median follow up was 16.6 months (range: 0.7-67.8). Median
duration on therapy was 5.1 months (<1-61.4). IMDC risk groups were: 18%
favorable, 60% intermediate, 23% poor-risk. Forty-four percent were on first-line
ICB and 56% on 2nd line or more. Median NLR was 3.9 (1.3-42.4) at baseline and
4.1 (1.1-96.4) at week 6. Patients with a higher baseline NLR showed a trend
toward lower ORR, shorter PFS, and shorter OS. Higher NLR at 6 weeks was a
significantly stronger predictor of all three outcomes than baseline NLR.
Relative NLR change by >=25% from baseline to 6 weeks after ICB therapy was
associated with reduced ORR and an independent prognostic factor for PFS (p <
0.001) and OS (p = 0.004), whereas a decrease in NLR by >=25% was associated with
improved outcomes. CONCLUSIONS: Early decline and NLR at 6 weeks are associated
with significantly improved outcomes in mRCC patients treated with ICB. The
prognostic value of the readily-available NLR warrants larger, prospective
validation.
PMID- 29353555
TI - Racial/ethnic differences in the association between alcohol use and mortality
among men living with HIV.
AB - BACKGROUND: Increasing alcohol use is associated with increased risk of mortality
among patients living with HIV (PLWH). This association varies by race/ethnicity
among general outpatients, but racial/ethnic variation has not been investigated
among PLWH, among whom racial/ethnic minorities are disproportionately
represented. METHODS: VA electronic health record data from the Veterans Aging
Cohort Study (2008-2012) were used to describe and compare mortality rates across
race/ethnicity and levels of alcohol use defined by the Alcohol Use Disorders
Identification Test-Consumption (AUDIT-C) questionnaire. Within each
racial/ethnic group, Cox proportional hazards models, adjusted for age, disease
severity, and comorbidities, compared mortality risk for moderate-risk (AUDIT-C =
4-7) and high-risk (AUDIT-C >= 8) relative to lower-risk (AUDIT-C = 1-3) alcohol
use. RESULTS: Mean follow-up time among black (n = 8518), Hispanic (n = 1353),
and white (n = 7368) male PLWH with documented AUDIT-C screening (n = 17,239) was
4.3 years. Black PLWH had the highest mortality rate among patients reporting
lower-risk alcohol use (2.9/100 person-years) relative to Hispanic and white PLWH
(1.8 and 2.3, respectively) (p value for overall comparison = 0.011). Mortality
risk was increased for patients reporting high-risk relative to lower-risk
alcohol use in all racial/ethnic groups [black adjusted hazard ratio (AHR) =
1.36, 95% confidence interval (CI) 1.12-1.66; Hispanic AHR = 2.18, 95% CI 1.30
3.64; and white AHR = 2.04, 95% CI 1.61-2.58]. For only white PLWH, mortality
risk was increased for patients reporting moderate-relative to lower-risk alcohol
use (black AHR = 1.09, 95% CI 0.93-1.27; Hispanic AHR = 1.36, 95% CI 0.89-2.09;
white AHR = 1.51, 95% CI 1.28-1.77). CONCLUSION: Among all PLWH, mortality risk
was increased among patients reporting high-risk alcohol use across all
racial/ethnic groups, but mortality risk was only increased among patients
reporting moderate-risk relative to lower-risk alcohol use among white PLWH, and
black patients appeared to have higher mortality risk relative to white patients
at lower-risk levels of alcohol use. Findings of the present study further
underscore the need to address unhealthy alcohol use among PLWH, and future
research is needed to understand mechanisms underlying observed differences.
PMID- 29353556
TI - Providing straw to allow exploratory behaviour in a pig experimental system does
not modify putative indicators of positive welfare: peripheral oxytocin and
serotonin.
AB - Numerous studies have shown that providing straw to pigs can reduce undesirable
behaviours such as aggression, tail biting and stereotypy. The measurement of
various neuromodulators can be helpful in assessing the development of positive
behaviours and overall animal welfare. The oxytocin release is frequently linked
to positive emotions and positive welfare. It has been suggested that oxytocin
modulates the serotoninergic system. This study aims to investigate the potential
effect of straw provision in pigs on peripheral levels of oxytocin and serotonin.
In total, 18 mini-pigs were involved in an exploratory study conducted in two
parallel groups, Enriched (n=10) and Control (n=8) groups. Pigs were divided by
group and housed in pens of two individuals. Straw was provided continuously only
in Enriched group and renewed each day for 2 weeks. Two blood samples were drawn
from each animal 5 to 10 min before providing the straw, and 15 min after
providing straw, during the 1st week, to analyse peripheral changes in oxytocin
and serotonin before and after straw provision, and determine the existence of a
putative short-term effect. The same procedure was carried out for Control group,
without straw provision. Long-term effects of straw provision were also examined
using blood samples drawn at the same hour from each animal in the 2nd and 3rd
weeks. During this time, animals had the permanent possibility to explore the
straw in Enriched group but not in Control group. At the end of each week, one
animal-keeper completed two visual analogue scales for each mini-pig regarding
the difficulty/ease to work with and handle it and its trust in humans. Results
showed peripheral oxytocin increases in both groups after 2 weeks (P=0.02).
Results did not demonstrate any effect of providing straw to allow exploratory
behaviour on peripheral serotonin. Other results were not significant. This
preliminary study explored the relationship between peripheral oxytocin and
serotonin and the presence of straw that allow pigs to perform exploratory
behaviour, suggesting that there was no relationship between them. Some future
studies may include crossing oxytocin and serotonin with other parameters, such
as behavioural measures, to obtain more information about the true state of the
animal and any possible relationship with pig welfare.
PMID- 29353558
TI - Mixed-up about how to diagnose and treat mixed features in major depressive
episodes - CORRIGENDUM.
PMID- 29353557
TI - Validation and Evaluation of Antimicrobial Orders Indication for Use.
PMID- 29353559
TI - What should health insurance cover? A comparison of Israeli and US approaches to
benefit design under national health reform.
AB - What health insurance should cover and pay for represents one of the most complex
questions in national health policy. Israel shares with the US reliance on a
regulated insurance market and we compare the approaches of the two countries
regarding determining health benefits. Based on review and analysis of
literature, laws and policy in the United States and Israel. The Israeli
experience consists of selection of a starting point for defining coverage;
calculating the expected cost of covered benefits; and creating a mechanism for
updating covered benefits within a defined budget. In implementing the Affordable
Care Act, the US rejected a comprehensive and detailed approach to essential
health benefits. Instead, federal regulators established broadly worded minimum
standards that can be supplemented through more stringent state laws and insurer
discretion. Notwithstanding differences between the two systems, the elements of
the Israeli approach to coverage, which has stood the test of time, may provide a
basis for the United States as it renews its health reform debate and considers
delegating decisions about coverage to the states. Israel can learn to emulate
the more forceful regulation of supplemental and private insurance that
characterizes health policy in the United States.
PMID- 29353560
TI - Does non-echo-planar diffusion-weighted magnetic resonance imaging have a role in
assisting the clinical diagnosis of cholesteatoma in selected cases?
AB - OBJECTIVE: To determine the diagnostic performance of diffusion-weighted magnetic
resonance imaging in the assessment of patients with suspected, but not
clinically evident, cholesteatoma. METHODS: A retrospective analysis of a
prospectively collected database of non-echo-planar diffusion-weighted magnetic
resonance imaging studies (using a half-Fourier single-shot turbo-spin echo
sequence) was conducted. Clinical records were retrospectively reviewed to
determine indications for imaging and operative findings. Seventy-eight
investigations in 74 patients with suspected cholesteatoma aged 5.7-79.2 years
(mean, 41.7 years) were identified. Operative confirmation was available in 44
ears. Diagnostic accuracy of the imaging technique was calculated using operative
findings as a 'gold standard'. Sensitivity of the investigation was examined via
comparison with clinically evident cholesteatoma. RESULTS: The accuracy of
diffusion-weighted magnetic resonance imaging in assessment of suspected
cholesteatoma was 63.6 per cent. The imaging technique was significantly less
accurate in assessment of suspected cholesteatoma than clinically evident disease
(p < 0.001). CONCLUSION: Computed tomography and diffusion-weighted magnetic
resonance imaging may be complementary in assessment of suspected cholesteatoma,
but should be used with caution, and clinical judgement is paramount.
PMID- 29353561
TI - Is birth weight associated with blood pressure among African children and
adolescents? A systematic review.
AB - : There is substantial evidence of an inverse association between birth weight
and later blood pressure (BP) in populations from high-income countries, but
whether this applies in low-income countries, where causes of low birth weight
are different, is not certain. OBJECTIVE: We conducted a review of the evidence
on the relationship between birth weight and BP among African children and
adolescents. Medline, EMBASE, Global Health and Web of Science databases were
searched for publications to October 2016. Papers reporting the relationship
between birth weight and BP among African children and adolescents were assessed.
Bibliographies were searched for further relevant publications. Selected papers
were summarized following the preferred reporting items for systematic review and
meta-analysis (PRISMA) guidelines. In total, 16 papers from 13 studies conducted
in nine African countries (Nigeria, Republic of Seychelles, Gambia, Democratic
Republic of Congo, Cameroon, South Africa, Algeria, Zimbabwe and Angola) were
reviewed. Eight studies were cohorts, while five were cross-sectional. The
relationship between birth weight and later BP varied with age of the
participants. Studies in neonates showed a consistently positive association,
while predominantly inverse associations were seen among children, and studies in
adolescents were inconsistent. Based on the limited number of studies identified,
the relationship between birth weight and later BP may vary with age in African
children and adolescents. Not all studies adequately controlled for confounding,
notably gender or age. Whether the inverse relationship between birth weight and
BP in later life observed in Western settings is also seen in Africa remains
unclear.
PMID- 29353563
TI - Retrieval is central to the distinctive function of episodic memory.
AB - Episodic retrieval is heavily and asymmetrically dependent on the temporal order
of the remembered events. This effect, or rather the underlying structure which
it reflects, is a distinctive feature missing from the account in the target
article. This structure explains significant successes and failures of episodic
retrieval, and it has clear consequences for the fitness of the organism
extending beyond communication.
PMID- 29353564
TI - An adaptive function of mental time travel: Motivating farsighted decisions.
AB - The episodic memory system allows us to experience the emotions of past,
counterfactual, and prospective events. We outline how this phenomenological
experience can convey motivational incentives for farsighted decisions. In this
way, we challenge important arguments for Mahr & Csibra's (M&C's) conclusion that
future-oriented mental time travel is unlikely to be a central function of
episodic memory.
PMID- 29353562
TI - Impaired cognitive plasticity and goal-directed control in adolescent obsessive
compulsive disorder.
AB - BACKGROUND: Youths with obsessive-compulsive disorder (OCD) experience severe
distress and impaired functioning at school and at home. Critical cognitive
domains for daily functioning and academic success are learning, memory,
cognitive flexibility and goal-directed behavioural control. Performance in these
important domains among teenagers with OCD was therefore investigated in this
study. METHODS: A total of 36 youths with OCD and 36 healthy comparison subjects
completed two memory tasks: Pattern Recognition Memory (PRM) and Paired
Associates Learning (PAL); as well as the Intra-Extra Dimensional Set Shift (IED)
task to quantitatively gauge learning as well as cognitive flexibility. A subset
of 30 participants of each group also completed a Differential-Outcome Effect
(DOE) task followed by a Slips-of-Action Task, designed to assess the balance of
goal-directed and habitual behavioural control. RESULTS: Adolescent OCD patients
showed a significant learning and memory impairment. Compared with healthy
comparison subjects, they made more errors on PRM and PAL and in the first stages
of IED involving discrimination and reversal learning. Patients were also slower
to learn about contingencies in the DOE task and were less sensitive to outcome
devaluation, suggesting an impairment in goal-directed control. CONCLUSIONS: This
study advances the characterization of juvenile OCD. Patients demonstrated
impairments in all learning and memory tasks. We also provide the first
experimental evidence of impaired goal-directed control and lack of cognitive
plasticity early in the development of OCD. The extent to which the impairments
in these cognitive domains impact academic performance and symptom development
warrants further investigation.
PMID- 29353565
TI - Episodic memory must be grounded in reality in order to be useful in
communication.
AB - The primary function of episodic memory is to provide reliable information about
reality that is essential for surviving and navigating in an environment. The
communicative function of episodic memory "sits on top of" this basic function
but does not, in itself, explain it in its totality (but may explain particular
aspects such as its sensitivity to source credibility).
PMID- 29353566
TI - Episodic memory isn't essentially autonoetic.
AB - I argue that the function attributed to episodic memory by Mahr & Csibra (M&C) -
that is, grounding one's claims to epistemic authority over past events - fails
to support the essentially autonoetic character of such memories. I suggest, in
contrast, that episodic event memories are sometimes purely first order,
sometimes autonoetic, depending on relevance in the context.
PMID- 29353567
TI - Why episodic memory may not be for communication.
AB - Three serious challenges to Mahr & Csibra's (M&C's) proposal are presented.
First, we argue that the epistemic attitude that they claim is unique to
remembering also applies to some forms of imaginative simulations that aren't
memories. Second, we argue that their account cannot accommodate critical
neuropsychological evidence. Finally, we argue that their proposal looks
unconvincing when compared to more parsimonious evolutionary accounts.
PMID- 29353568
TI - The dynamics of episodic memory functions.
AB - There is no doubt that episodic memory serves communicative functions, but Mahr &
Csibra (M&C) overlook that this is not the only function served by memories of
past events. Autobiographical memory research has identified several other
functions, including purely directive functions. The functionality of episodic
memory is not stable across situations; it varies dynamically with the demands of
the retrieval context.
PMID- 29353569
TI - Episodic memory is as much about communicating as it is about relating to others.
AB - Mahr & Csibra (M&C) provide extensive evidence for the communicative function of
episodic memory, suggesting that the malleability of human memory is in large
part due to its communicative dimension. I argue that emphasizing the relational
motivations involved in communication provides a more proximal explanation for
why our memories are as malleable.
PMID- 29353570
TI - The communicative function of destination memory.
AB - Mahr & Csibra's (M&C's) proposal that episodic memory has a role in communicative
interaction is innovative. However, the model would be strengthened by the
inclusion of the construct of destination memory. Destination memory refers to
the ability to remember to whom one has sent information. Research has
demonstrated that this ability is essential for communicative efficacy and daily
interactions with others.
PMID- 29353571
TI - More to episodic memory than epistemic assertion: The role of social bonds and
interpersonal connection.
AB - Remembering is dynamically entangled in conversations. The communicative function
of episodic memory can be epistemic, as suggested by Mahr & Csibra (M&C).
However, remembering can have genuinely social functions, specifically, the
creation or consolidation of interpersonal relationships. Autonoesis, a distinct
feature of episodic memory, is more likely to have evolved in the service of
social binding than of epistemic assertiveness.
PMID- 29353572
TI - Remembered events are unexpected.
AB - We remember a small proportion of our experiences as events. Are these events
selected because they are useful and can be proven true, or rather because they
are unexpected?
PMID- 29353573
TI - Sleep to be social: The critical role of sleep and memory for social interaction.
AB - Humans are highly social animals who critically need to remember information from
social episodes in order to successfully navigate future social interactions. We
propose that such episodic memories about social encounters are processed during
sleep, following the learning experience, with sleep abstracting and
consolidating social gist knowledge (e.g., beliefs, first impressions, or
stereotypes) about others that supports relationships and interpersonal
communication.
PMID- 29353574
TI - Episodic memory and consciousness in antisocial personality disorder and conduct
disorder.
AB - Episodic memory is one of the most significant sources of information of humans.
It entails cooperative and linguistic skills and, as Mahr & Csibra (M&C) note,
the capacity to ground veridical beliefs about the past. In some psychiatric
disorders (antisocial personality disorder and conduct disorder), it was found
that the habit of lying is associated with episodic memory and consciousness
deficits.
PMID- 29353575
TI - The sociocultural functions of episodic memory.
AB - The functional use of episodic memories to claim epistemic truth must be placed
within sociocultural contexts in which certain truths are privileged. Episodic
memories are shared, evaluated, and understood within sociocultural interactions,
creating both individual and group identities. These negotiated identities
provide the foundation from which epistemic claims to truth can be made.
PMID- 29353576
TI - "Truth be told" - Semantic memory as the scaffold for veridical communication.
AB - Theoretical accounts placing episodic memory as central to constructive and
communicative functions neglect the role of semantic memory. We argue that the
decontextualized nature of semantic schemas largely supersedes the computational
bottleneck and error-prone nature of episodic memory. Rather, neuroimaging and
neuropsychological evidence of episodic-semantic interactions suggest that an
integrative framework more accurately captures the mechanisms underpinning social
communication.
PMID- 29353577
TI - Episodic memory and the witness trump card.
AB - We accept Mahr & Csibra's (M&C's) causal claim that episodic memory provides
humans with the means for evaluating the veracity of reports about non-occurrent
events. We reject their evolutionary argument that this is the proper function of
episodic memory. We explore three intriguing implications of the causal claim,
for cognitive neuropsychology, comparative psychology, and philosophy.
PMID- 29353578
TI - Encoding third-person epistemic states contributes to episodic reconstruction of
memories.
AB - We propose an extension to Mahr & Csibra's (M&C's) theory. For successful
episodic memory formation, potentially relevant aspects of a situation need to be
identified and encoded online and retained for prospective interactions. To be
maximally convincing, the communicator not only has to encode not just any
contextual detail, but also has to track information in relation to social
partners.
PMID- 29353579
TI - Episodic memory solves both social and nonsocial problems, and evolved to fulfill
many different functions.
AB - The episodic memory system is flexible and complex, and likely evolved in
response to a wide range of survival-relevant problems in our evolutionary past,
both social and nonsocial. Episodic memory allows us to recollect and infer
details that may have seemed trivial on encoding, but are now known to be
relevant. This memory aids humans in navigating their uncertain environment.
PMID- 29353580
TI - Using episodic memory to gauge implicit and/or indeterminate social commitments.
AB - In discussing Mahr & Csibra's (M&C's) observations about the role of episodic
memory in grounding social commitments, we propose that episodic memory is
especially useful for gauging cases of implicit commitment and cases in which the
content of a commitment is indeterminate. We conclude with some thoughts about
how commitment may relate to the evolution of episodic memory.
PMID- 29353581
TI - Epistemic authority, episodic memory, and the sense of self.
AB - The distinctive feature of episodic memory is autonoesis, the feeling that one's
awareness of particular past events is grounded in firsthand experience.
Autonoesis guides us in sharing our experiences of past events, not by telling us
when our credibility is at stake, but by telling us what others will find
informative; it also supports the sense of an enduring self.
PMID- 29353582
TI - Carving event and episodic memory at their joints.
AB - Mahr & Csibra (M&C) argue that event and episodic memories share the same
scenario construction process. I think this way of carving up the distinction
throws the baby out with the bathwater. If there is a substantive difference
between event and episodic memory, it is based on a difference in the
construction process and how they are organized, respectively.
PMID- 29353583
TI - Autonoesis and reconstruction in episodic memory: Is remembering systematically
misleading?
AB - Mahr & Csibra (M&C) view autonoesis as being essential to episodic memories and
construction as being essential to the process of episodic remembering. These
views imply that episodic memory is systematically misleading, not because it
often misinforms us about the past, but rather because it often misinforms us
about how it informs us about the past.
PMID- 29353584
TI - False memories, nonbelieved memories, and the unresolved primacy of
communication.
AB - Mahr & Csibra (M&C) make a compelling case for a communicative function of
episodic remembering, but a less compelling case that this is its primary
function. Questions arise on whether confirming their predictions would support
their account sufficiently, on the communicative function of preserving rich,
nonbelieved memories, and on the epistemic benefits of developing false memories
via the acceptance of misinformation.
PMID- 29353585
TI - Developmental roots of episodic memory.
AB - Two arguments imply that Mahr & Csibra's (M&C's) functional theory is
insufficient as an explanation of episodic memory: (1) The developmental course
supports a different social cultural division of episodic and semantic memory,
and (2) the existence of long-term autobiographical memory is not explained in
the functional theory but can be seen in a broader cultural framework.
PMID- 29353586
TI - Misconceptions about adaptive function.
AB - Mahr & Csibra (M&C) fail to make the important distinction between why a trait
originally evolved, why it was maintained over time, and what its current utility
is. Here we point out that episodic memory may have originally evolved as a by
product of a general metarepresentational capacity, and that it may have current
functions beyond the communicative domain.
PMID- 29353587
TI - Morgan's canon is not evidence.
AB - Mahr & Csibra's (M&C's) account of the communicative function of episodic memory
relies more heavily on the case against episodic memory in nonhumans than their
description suggests. Although the communicative function of episodic memory may
be accurate as it pertains to human behaviour, we question whether Morgan's canon
is a suitable foundation on which to build theories of supposedly human-specific
traits.
PMID- 29353588
TI - Enhanced action control as a prior function of episodic memory.
AB - Improved control of agency is likely to be a prior and more important function of
episodic memory than the epistemic-communicative role pinpointed by Mahr & Csibra
(M&C). Taking the memory trace upon which scenario construction is based to be a
stored internal model produced in past perceptual processing promises to provide
a better account of autonoetic character than metarepresentational embedding.
PMID- 29353589
TI - Confabulation and epistemic authority.
AB - Mahr & Csibra (M&C) claim that episodic remembering's autonoetic character serves
as an indicator of epistemic authority. This proposal is difficult to reconcile
with the existence of confabulation errors - where participants fabricate
memories of experiences that never happened to them. Making confabulation errors
damages one's epistemic authority, but these false memories have an autonoetic
character.
PMID- 29353590
TI - Constructive episodic simulation, flexible recombination, and memory errors.
AB - According to Mahr & Csibra (M&C), the view that the constructive nature of
episodic memory is related to its role in simulating future events has difficulty
explaining why memory is often accurate. We hold this view, but disagree with
their conclusion. Here we consider ideas and evidence regarding flexible
recombination processes in episodic retrieval that accommodate both accuracy and
distortion.
PMID- 29353591
TI - Beyond communication: Episodic memory is key to the self in time.
AB - Mahr & Csibra (M&C) propose that episodic memory evolved to support epistemic
authority in social communication. We argue for a more parsimonious
interpretation whereby episodic memory subserves a broader preparatory function
for both social and non-social behavior. We conclude by highlighting that
functional accounts of episodic memory may need to consider the complex
interrelations between self and subjective time.
PMID- 29353592
TI - Emotional memories and how your life may depend upon them.
AB - In this commentary, we discuss how one's internal body state and the appraisals
an individual utilizes at encoding alter later episodic memory irrespective of
social discourse. We suggest that the purpose of episodic memory is originally
the preservation of the self, which may have been co-opted to navigating the
social world.
PMID- 29353593
TI - What is it to remember?
AB - In response to the commentaries, we clarify and defend our characterization of
both the nature and function of episodic memory. Regarding the nature of episodic
memory, we extend the distinction between event and episodic memory and discuss
the relational role of episodic memory. We also address arguments against our
characterization of autonoesis and argue that, while self-referential, it needs
to be distinguished from an agentive notion of self. Regarding the function of
episodic memory, we review arguments about the relation between future mental
time travel and memory veridicality; clarify the relation between autonoesis,
veridicality, and confidence; and finally discuss the role of episodic memory in
diachronic commitments.
PMID- 29353594
TI - Doing without metarepresentation: Scenario construction explains the epistemic
generativity and privileged status of episodic memory.
AB - Episodic memories are distinct from semantic memories in that they are
epistemically generative and privileged. Whereas Mahr & Csibra (M&C) develop a
metarepresentational account of epistemic vigilance, we propose an explanation
that builds on our notion of scenario construction: The way an event of the past
is presented in episodic memory recall explains the epistemic generativity and
privilegedness of episodic memory.
PMID- 29353595
TI - Autonoesis and dissociative identity disorder.
AB - Dissociative identity disorder is characterised by the presence in one individual
of two or more alternative personality states (alters). For such individuals, the
memory representation of a particular event can have full episodic, autonoetic
status for one alter, while having the status of knowledge or even being
inaccessible to a second alter. This phenomenon appears to create difficulties
for a purely representational theory and is presented to Mahr & Csibra (M&C) for
their consideration.
PMID- 29353596
TI - What psychology and cognitive neuroscience know about the communicative function
of memory.
AB - Mahr & Csibra (M&C) include interesting ideas about the nature of memory from
outside of the field of cognitive psychology and cognitive neuroscience. However,
the target article's inaccurate claims about those fields limit its usefulness. I
briefly review the most serious omissions and distortions of the literature by
the target article, including its misrepresentation of event memory, and offer
suggestions for forwarding the goal of understanding the communicative function
of memory.
PMID- 29353597
TI - Medicarpin induces lipolysis via activation of Protein Kinase A in brown
adipocytes.
AB - Natural pterocarpan Medicarpin (Med) has been shown to have various beneficial
biological roles, including inhibition of osteoclastogenesis, stimulation of bone
regeneration and induction of apoptosis. However, the effect of the Med on
lipolysis in adipocytes has not been reported. Here, we show the effect of Med on
lipolysis in different mouse adipocytes and elucidate the underlying mechanism.
We observed that Med treatment promoted release of glycerol in the media.
Differentiated mouse brown adipose tissue cells were treated with Med. RNA-Seq
analysis was performed to elucidate the effect of med and subsequently was
confirmed by qRT-PCR and western blotting analyses. Med treatment increased both
protein and gene expression levels of hormone-sensitive lipase (Hsl) and adipose
triglyceride lipase (Atgl), which are two critical enzymes necessary for
lipolysis. Mechanistic study showed that Med activates Protein Kinase A (PKA) and
phosphorylates Hsl at PKA target position at Serine660. Silencing of PKA gene by
short interfering RNA attenuated the Med-induced increase in glycerol release and
Hsl phosphorylation. The results unveil that Med boosts lipolysis via a PKA
dependent pathway in adipocytes and may provide a possible avenue of further
research of Med mediated reduction of body fat. [BMB Reports 2018; 51(5): 249
254].
PMID- 29353598
TI - New role of LTR-retrotransposons for emergence and expansion of disease
resistance genes and high-copy gene families in plants.
AB - Long terminal repeat retrotransposons (LTR-Rs) are major elements creating new
genome structure for expansion of plant genomes. However, in addition to the
genome expansion, the role of LTR-Rs has been unexplored. In this study, we
constructed new reference genome sequences of two pepper species (Capsicum
baccatum and C. chinense), and updated the reference genome of C. annuum. We
focused on the study for speciation of Capsicum spp. and its driving forces. We
found that chromosomal translocation, unequal amplification of LTR-Rs, and recent
gene duplications in the pepper genomes as major evolutionary forces for
diversification of Capsicum spp. Specifically, our analyses revealed that the
nucleotide-binding and leucine-rich-repeat proteins (NLRs) were massively created
by LTR-R-driven retroduplication. These retoduplicated NLRs were abundant in
higher plants, and most of them were lineage-specific. The retroduplication was a
main process for creation of functional disease-resistance genes in Solanaceae
plants. In addition, 4-10% of whole genes including highly amplified families
such as MADS-box and cytochrome P450 emerged by the retroduplication in the
plants. Our study provides new insight into creation of disease-resistance genes
and high-copy number gene families by retroduplication in plants. [BMB Reports
2018; 51(2): 55-56].
PMID- 29353599
TI - MicroRNAs as critical regulators of the endothelial to mesenchymal transition in
vascular biology.
AB - The endothelial to mesenchymal transition (EndMT) is a newly recognized,
fundamental biological process involved in development and tissue regeneration,
as well as pathological processes such as the complications of diabetes, fibrosis
and pulmonary arterial hypertension. The EndMT process is tightly controlled by
diverse signaling networks, similar to the epithelial to mesenchymal transition.
Accumulating evidence suggests that microRNAs (miRNAs) are key regulators of this
network, with the capacity to target multiple messenger RNAs involved in the
EndMT process as well as in the regulation of disease progression. Thus, it is
highly important to understand the molecular basis of miRNA control of EndMT.
This review highlights the current fund of knowledge regarding the known links
between miRNAs and the EndMT process, with a focus on the mechanism that
regulates associated signaling pathways and discusses the potential for the EndMT
as a therapeutic target to treat many diseases. [BMB Reports 2018; 51(2): 65-72].
PMID- 29353600
TI - Regulation of Hippo signaling by actin remodeling.
AB - The Hippo signaling pathway controls nuclear accumulation and stability of the
transcriptional coregulator YAP and its paralog TAZ. The activity of Hippo-YAP
signaling is influenced not only by biochemical signals, but also by cell shape
and mechanical tension transmitted through cell-cell junctions and cell-matrix
adhesions. Data accumulated thus far indicates that the actin cytoskeleton is a
key mediator of the regulation of Hippo-YAP signaling by means of a variety of
biochemical and mechanical cues. In this review, we have outlined the role of
actin dynamics and actin-associated proteins in the regulation of Hippo-YAP
signaling. In addition, we discuss actinmediated regulation of YAP/TAZ activity
independent of the core Hippo kinases MST and LATS. Although our understanding of
the link between Hippo-YAP signaling and the actin cytoskeleton is progressing
rapidly, many open questions remain. [BMB Reports 2018; 51(3): 151-156].
PMID- 29353601
TI - Interval Cancers in Colorectal Cancer Screening Programs.
PMID- 29353603
TI - Oral fexinidazole for human African trypanosomiasis.
PMID- 29353602
TI - A Rare Cause of Chronic Anemia and Recurrent Bowel Obstruction.
PMID- 29353604
TI - Scaling up integration of health services.
PMID- 29353605
TI - Chronic liver disease: scavenger hunt for novel therapies.
PMID- 29353606
TI - Offline: Time to act on minimum unit pricing of alcohol.
PMID- 29353607
TI - Malaysia: a refugee conundrum.
PMID- 29353608
TI - Iranian protests and Rouhanicare.
PMID- 29353609
TI - Doctors disagree with proposed medical bill in India.
PMID- 29353610
TI - A literary pain scale.
PMID- 29353611
TI - Natalia Kanem: lifelong advocate for women's health and rights.
PMID- 29353612
TI - Changing minds about changing behaviour.
PMID- 29353613
TI - Treaty to prohibit nuclear weapons and Germany's global health responsibility.
PMID- 29353614
TI - Saving the Joint Comprehensive Plan of Action: full of hope or just hopeless?
PMID- 29353615
TI - Singapore should play a strong leadership role in global health.
PMID- 29353616
TI - Questions regarding the CONCERN trial - Authors' reply.
PMID- 29353617
TI - Questions regarding the CONCERN trial.
PMID- 29353618
TI - Translation of the link between cancer and obesity to patients.
PMID- 29353619
TI - GRECCAR2 trial: details worthy of more attention - Authors' reply.
PMID- 29353620
TI - GRECCAR2 trial: details worthy of more attention.
PMID- 29353621
TI - Pitfalls of the healthy vaccinee effect.
PMID- 29353622
TI - Pitfalls of the healthy vaccinee effect - Authors' reply.
PMID- 29353623
TI - Children and social media.
PMID- 29353624
TI - Sanctioning the most vulnerable-a failed foreign policy.
PMID- 29353625
TI - A new vaccine for typhoid control.
PMID- 29353627
TI - Reflexology in the management of chemotherapy induced peripheral neuropathy: A
pilot randomized controlled trial.
AB - PURPOSE: The current experimental study aimed to evaluate the effectiveness of
reflexology on the management of symptoms and functions of chemotherapy-induced
peripheral neuropathy (CIPN) in cancer patients. METHOD: This study was conducted
as a randomized controlled trial in 60 patients (30 experimental and 30 control
patients) who had chemotherapy-induced Grade II-IV peripheral neuropathy
complaints from July 2013 to November 2015. Data were collected using the patient
identification form, European Organization for the Research and Treatment of
Cancer Quality of Life Questionnaire Chemotherapy-Induced Peripheral Neuropathy
(EORTC-CIPN-20) form, and BPI (used for related chemotherapy-induced peripheral
neuropathy symptoms). RESULTS: The majority of the patients were being treated
for gastrointestinal or breast cancer and were primarily receiving Eloxatine- or
taxane-based treatment. It was found that reflexology applications did not lead
to differences in either group in terms of peripheral neuropathy severity and
incidence (p > 0.05) and only led to improvement in sensory functions in the
experimental group (p < 0.05). CONCLUSIONS: It was determined that reflexology is
not an effective method in the management of patients' activity levels, walking
ability etc. and motor, autonomic functions related CIPN, but reflexology is
effective method in the management of patients' sensory functions related CIPN.
Key Words: Peripheral neuropathy, reflexology, chemotherapy, EORTC QLQ-CIPN-20,
BPI.
PMID- 29353626
TI - Hearing loss and tinnitus in survivors with chemotherapy-induced neuropathy.
AB - PURPOSE: The purpose of this study was to evaluate for differences in
demographic, clinical, and pain characteristics, as well as measures of
sensation, balance, perceived stress, symptom burden, and quality of life (QOL)
among survivors who received neurotoxic chemotherapy (CTX) and who reported only
chemotherapy-induced neuropathy (CIN, n = 217), CIN and hearing loss (CIN/HL, n =
69), or CIN, hearing loss, and tinnitus (CIN/HL/TIN, n = 85). We hypothesized
that as the number of neurotoxicities increased, survivors would have worse
outcomes. METHODS: Survivors were recruited from throughout the San Francisco Bay
area. Survivors completed self-report questionnaires for pain and other symptoms,
stress and QOL. Objective measures were assessed at an in person visit. RESULTS:
Compared to survivors with only CIN, survivors with all three neurotoxicities
were less likely to be female and less likely to report child care
responsibilities. In addition, survivors with all three neurtoxicities had higher
worst pain scores, greater loss of protective sensation, and worse timed get up
and go scores. These survivors reported higher state anxiety and depression and
poorer QOL. For some outcomes (e.g., longer duration of CIN, self-reported
balance problems), significantly worse outcomes were found for the survivors with
CIN/HL and CIN/HL/TIN compared to those with only CIN. CONCLUSIONS: Our findings
suggest that compared to survivors with only CIN, survivors with CIN/HL/TIN are
at increased risk for the most severe symptom burden, significant problems
associated with sensory loss and changes in balance, as well as significant
decrements in all aspects of QOL.
PMID- 29353629
TI - Experiences of caregivers of patients with metastatic cancer: What can we learn
from them to better support them?
AB - PURPOSE: Family caregivers of cancer patients often face strains within their
role and report various unmet needs. The aim of this qualitative study was to
examine metastatic cancer caregivers' experiences within their role. METHODS: A
cross-sectional study using focus group interviews with caregivers of metastatic
cancer patients (n = 17) recruited from an oncology hospital in Cyprus was
conducted. Data were analysed following the content analysis approach with
inductive coding for the development of themes. RESULTS: Content analysis
identified four categories of themes, which were further divided into sub
categories: difficulties in their role as caregivers, impact of cancer diagnosis
on interpersonal relationships, support and strength resources and unmet needs.
Findings replicated existing evidence regarding caregiving difficulties and unmet
needs in caregivers with a different cultural background and provided further
evidence for similarities and variations within caregiving experiences relating
mostly to caregiver-patient relationships. Caregivers also highlighted coping and
support resources that they effectively use for better adjustment to their role.
CONCLUSION: The identified variations in experiences in relation to participants'
characteristics, in addition to the information about preferred by caregivers
coping and support resources provide a direction for future efforts to develop
interventions for this population.
PMID- 29353628
TI - Diet in women with breast cancer compared to healthy controls - What is the
difference?
AB - PURPOSE: After a cancer diagnosis, patients often change their lifestyle in order
to improve health. The aim of this study was to examine whether women with breast
cancer had changed their diet two years after the diagnosis, and to compare their
diet with that of healthy female blood donors. METHODS: Patients (n = 180),
median age 58 years (range 37-78), and 101 controls, median age 57 years (age 43
75) answered questions about consumption of alcohol, 36 different food items, and
information like age, body mass index (BMI), marital status, and years of
education. RESULTS: Forty patients (22%) had changed their diet. Comparing all
patients with controls, significantly more patients avoided alcohol, p = 0.0005,
and 3 of 36 food items; smoked food, p = 0.04, and milk and other dairy products,
p = 0.02 and p = <0.0001, respectively. Based on BMI, 50% of all the patients
reported overweight or obesity. Breast cancer treatment explained 5.7% of the
total variance in scores for changing diet, where chemotherapy was the sole
significant predictor, p = 0.04. CONCLUSION: Two years after a breast cancer
diagnosis, most women (78%) maintained their diet, which was largely similar to
the controls. Fifty percent of the patients reported overweight or obesity.
PMID- 29353630
TI - Illness cognitions and the associated socio-demographic and clinical factors in
Chinese women with breast cancer.
AB - PURPOSE: Illness cognitions are important mediators between disease and
psychological adjustment. Evidence related to illness cognitions among patients
with breast cancer remains limited. The purpose of this study was to examine
illness cognitions among Chinese women with breast cancer and associations with
socio-demographic and clinical factors. METHODS: A cross-sectional study was
carried out involving 313 women with breast cancer recruited from a general
hospital and a social cancer support club in Beijing from October 2016 to May
2017. Data were collected using the Illness Cognition Questionnaire. RESULTS:
Participants reported positive overall cognition regarding breast cancer
(helplessness, 13.70 +/- 4.24; acceptance, 16.86 +/- 4.30; perceived benefits,
17.93 +/- 3.86). A multiple regression model indicated that six factors were
associated with illness cognitions: treatment phase of disease, having no
children, not returning to work (positive associations with helplessness,
negative associations with acceptance; age (negative associations with
helplessness, positive associations with acceptance); treatment phase of disease,
having no medical insurance (negative associations with perceived benefit); and
disease duration (positive associations with acceptance). CONCLUSIONS: This study
reports on the presence of different illness cognitions in Chinese women with
breast cancer and the associated factors, and the results could help oncology
medical and nursing staff identify risk factors for poor emotional adjustment to
breast cancer and the patients who may benefit from interventions aimed at
improving the presence of positive illness cognitions.
PMID- 29353632
TI - Health related quality of life during cancer treatment: Perspectives of young
adult (23-39 years) cancer survivors and primary informal caregivers.
AB - PURPOSE: There is a paucity of information regarding health related quality of
life (HRQoL) of young adults (YAs) with cancer and caregivers. Therefore, we
characterize YA and caregiver perspectives on the impact of cancer and its
treatment on HRQoL. METHODS: We conducted descriptive qualitative in-depth, semi
structured interviews with YAs receiving cancer care at an academic health center
in Albuquerque, New Mexico (USA) and primary informal caregivers. The interviews,
conducted from September through December 2015, focused on perspectives on the
impact of the disease and its treatment in terms of physical and emotional
effects, coping, and strategies to enhance HRQoL. We used an iterative thematic
analysis approach to identify emergent themes and create a coding structure.
RESULTS: We reached thematic saturation after interviewing 8 YAs and 8
caregivers. YAs and caregivers discussed cancer triggered challenges such as
anxiety, depression, isolation, fear, and financial hardships. YAs and caregivers
coped by maintaining positive perspectives, relying on friends and family, and
prayer. Caregivers discussed how expectations for and experiences of a "good day"
changed depending on their loved ones' stage of cancer treatment. YAs navigated
challenges by focusing on activities and thoughts that provided meaning to their
lives. YAs and caregivers suggested strategies to enhance HRQoL through
patient/provider communication, support services, and decision making tools as
potential mechanisms for grounding patient-centered interventions to improve
cancer care. CONCLUSIONS: Implications include the development and evaluation of
informational and behavioral interventions tailored and targeted to address the
pragmatic needs of YAs undergoing cancer treatment and informal caregivers.
PMID- 29353631
TI - Efficacy of Plantago major, chlorhexidine 0.12% and sodium bicarbonate 5%
solution in the treatment of oral mucositis in cancer patients with solid tumour:
A feasibility randomised triple-blind phase III clinical trial.
AB - PURPOSE: Oral mucositis is one of the most common adverse effects of chemotherapy
and radiotherapy. The aim of this study was to compare the efficacy of Plantago
major extract versus chlorhexidine 0.12% versus sodium bicarbonate 5% in the
symptomatic treatment of chemotherapy-induced oral mucositis in solid tumour
cancer patients. METHOD: Multicentre randomised controlled trial estimated sample
of 45 solid tumour patients with grade II-III mucositis. The participants were
randomised to one of three treatments, consisting of sodium bicarbonate 5%
aqueous solution together with: an additional dose of sodium bicarbonate 5%
aqueous solution, Plantago major extract, or chlorhexidine 0.12%. The primary
outcomes were severity of mucositis, pain intensity, oral intake capacity and
quality of life. The independent variable was treatment group, and confounders
included sociodemographic data, neutrophil count, chemotherapy drug and dose
received. RESULTS: Of the 50 patients enrolled, 68% (n = 34) achieved grade 0
mucositis (none), with those using the double sodium bicarbonate rinse healing in
five days on average (95% CI 3.9, 6.5) versus seven days (95% CI 5.3, 9,0) for
the chlorhexidine group and seven days (95% CI 5.3, 8.5) for the Plantago major
group. The pain experienced by the participants lessened over the 14 days of
treatment, but differences in pain intensity between the three groups did not
show statistical significance (p = 0.762). CONCLUSIONS: Healing time was shorter
with the double sodium bicarbonate solution compared to the other two rinses, but
the differences were not significant. Our results suggest it may be time to
reconsider the use of Plantago major extract in the management of oral mucositis.
PMID- 29353633
TI - Decisional balance and self-efficacy mediate the association among provider
advice, health literacy and cervical cancer screening.
AB - PURPOSE: Health literacy has emerged as a potential determinant of cancer
screening, yet limited literature has investigated the pathways which health
literacy influences Pap tests among immigrant women who experience a higher
incidence of cervical cancer. This study aimed to test a health literacy-focused
sociocognitive model which proposes motivational (knowledge, decisional balance)
and volitional (self-efficacy) factors mediating the association between health
literacy and triennial Pap tests. METHODS: Using structural equation modeling, we
conducted a secondary analysis of baseline data obtained from a randomized
controlled trial to promote breast and cervical cancer screenings among 560
Korean American women 21-65 years of age. They were interviewed on demographics
such as education and English proficiency, provider advice, health literacy,
knowledge of cervical cancer, decisional balance for Pap tests, self-efficacy,
and Pap test use. RESULTS: Higher health literacy predicted high level of
knowledge and high decisional balance score, and greater self-efficacy and then
only decisional balance and self-efficacy affected Pap tests. High level of
knowledge predicted Pap tests through its impact on the decisional balance score.
Receiving provider advice both directly and indirectly predicted Pap tests
through high level of health literacy, high level of decisional balance and
greater self-efficacy. CONCLUSIONS: Findings from this study suggest possible
pathways through which provider advice and health literacy affect Pap tests.
Interventions targeting immigrant women with limited English proficiency should
consider skill-based approaches such as health literacy training, promoting
patient-provider communications and emphasizing decisional balance and self
efficacy as potentially sustainable ways of promoting Pap tests.
PMID- 29353636
TI - Computer-Assisted Surgery in Facial Bipartition Surgery.
AB - PURPOSE: Frontonasal dysplasia or median cleft syndrome is a rare condition often
associated with transverse maxillary deficiency for which facial bipartition is
the proper surgical treatment. This technical note describes the use and efficacy
of 3-dimensional virtual surgical planning and patient-specific cutting guides in
facial bipartition. MATERIALS AND METHODS: An 11-year-old boy presented with
frontonasal dysplasia and a midline facial cleft. RESULTS: Computed tomographic
(CT) scan visualized an intercanthal distance of 40 mm and a maxillary width at
the second molar level of 46.2 mm. The osteotomies, amount of bone removal, and
movement of the osteotomized bone were planned virtually based on CT findings:
the intended postoperative intercanthal distance and maxillary width were 25.5
and 49.6 mm, respectively. Customized cutting guides and titanium miniplates were
manufactured. Postoperative CT scan showed an intercanthal distance of 25.5 mm
and a maxillary width of 49.7 mm. CONCLUSION: The intercanthal distances and
maxillary widths were similar between the simulation and postoperative CT images,
confirming the accuracy and utility of computer-assisted surgery in facial
bipartition.
PMID- 29353634
TI - Differences in symptom clusters before and twelve months after breast cancer
surgery.
AB - PURPOSE: Given the inter-relatedness among symptoms, research efforts are focused
on an evaluation of symptom clusters. The purposes of this study were to evaluate
for differences in the number and types of menopausal-related symptom clusters
assessed prior to and at 12-months after surgery using ratings of occurrence and
severity and to evaluate for changes in these symptom clusters over time.
METHODS: Prior to and at 12 months after surgery, 392 women with breast cancer
completed the Menopausal Symptoms Scale. Exploratory factor analyses were used to
identify the symptom clusters. RESULTS: Of the 392 women evaluated, the mean
number of symptoms (out of 46) was 13.2 (+/-8.5) at enrollment and 10.9 (+/-8.2)
at 12 months after surgery. Using occurrence and severity, three symptom clusters
were identified prior to surgery. Five symptom clusters were identified at 12
months following surgery. Two symptom clusters (i.e., pain/discomfort and
hormonal) were relatively stable across both dimensions and time points. Two
symptom clusters were relatively stable across both dimensions either prior to
surgery (i.e., sleep/psychological/cognitive) or at 12 months after surgery
(i.e., sleep). The other four clusters (i.e., irritability,
psychological/cognitive, cognitive, psychological) were identified at one time
point using a single dimension. CONCLUSIONS: While some menopausal-related
symptom clusters were consistent across time and dimensions, the majority of
symptoms clustered together differently depending on whether they were evaluated
prior to or at 12 months after breast cancer surgery. An increased understanding
of how symptom clusters change over time may assist clinicians to focus their
symptom assessments and management strategies.
PMID- 29353635
TI - Supporting prostate cancer survivors in primary care: Findings from a pilot trial
of a nurse-led psycho-educational intervention (PROSPECTIV).
AB - PURPOSE: This study sought to test the acceptability and feasibility of a nurse
led psycho-educational intervention (NLPI) delivered in primary care to prostate
cancer survivors, and to provide preliminary estimates of the effectiveness of
the intervention. METHODS: Men who reported an ongoing problem with urinary,
bowel, sexual or hormone-related functioning/vitality on a self-completion
questionnaire were invited to participate. Participants were randomly assigned to
the NLPI plus usual care, or to usual care alone. Recruitment and retention rates
were assessed. Prostate-related quality of life, self-efficacy, unmet needs, and
psychological morbidity were measured at baseline and 9 months. Health-care
resource use data was also collected. An integrated qualitative study assessed
experiences of the intervention. RESULTS: 61% eligible men (83/136) participated
in the trial, with an 87% (72/83) completion rate. Interviews indicated that the
intervention filled an important gap in care following treatment completion,
helping men to self-manage, and improving their sense of well-being. However,
only a small reduction in unmet needs and small improvement in self-efficacy was
observed, and no difference in prostate-related quality of life or psychological
morbidity. Patients receiving the NLPI recorded more primary care visits, while
the usual care group recorded more secondary care visits. Most men (70%; (21/30))
felt the optimal time for the intervention was around the time of
diagnosis/before the end of treatment. CONCLUSIONS: Findings suggest a nurse-led
psycho-educational intervention in primary care is feasible, acceptable and
potentially useful to prostate cancer survivors.
PMID- 29353637
TI - In Reply.
PMID- 29353639
TI - Palliative care in liver disease: a matter of life and death.
PMID- 29353638
TI - Submucosal surgery: novel interventions in the third space.
AB - Traditional surgeries involve accessing body cavities, such as the abdomen and
thorax, via incisions that divide skin and muscle. These operations result in
postoperative pain and convalescence, and a risk of complications such as wound
infection and hernia. The development of flexible endoscopy allowed diseases as
varied as gastrointestinal bleeding and colon adenomas to be treated without
incisions, but this technique is restricted by its endoluminal nature. A novel
category of surgical endoscopic procedures has recently been developed that uses
flexible endoscopic techniques to enter and access the submucosa of the
gastrointestinal tract. Through this approach, the advantages of incisionless
endoscopy can be applied to areas of the body that previously could only be
reached with surgery. This Review introduces this new class of interventions by
describing two examples of such submucosal surgeries for the treatment of benign
gastrointestinal disease: per-oral endoscopic myotomy and per-oral pyloromyotomy.
The approach to pre-procedure patient evaluation, operative technique, and the
published outcomes are discussed, as well as potential future applications of
similar techniques and procedures in this so-called third space.
PMID- 29353643
TI - Cardiac Morbidity and Radiation Therapy for Breast Cancer.
PMID- 29353644
TI - Locally Advanced Uterine Cancer: A Multimodality Model or Muddle?
PMID- 29353645
TI - Radiation Is the Place to Start.
PMID- 29353646
TI - Chemo First, Then Radiation, and Perhaps Surgery.
PMID- 29353647
TI - Chemo-radiation, Then on to Surgery.
PMID- 29353648
TI - Rescuing Spanish Radiation Therapy: The Role of Leadership and Opportunity.
PMID- 29353649
TI - Bias of Professional Accomplishment: Another Important Concept for the Ethics of
Clinical Research.
PMID- 29353650
TI - Treatment Course Interruption/Delay Due to Weekend Breaks: Acknowledging and
Confronting Personal and Professional Biases.
PMID- 29353651
TI - Review of the First 12 Years of the American Society for Radiation Oncology
Political Action Committee.
PMID- 29353652
TI - Modeling of Normal Tissue Complications Using Imaging and Biomarkers After
Radiation Therapy for Hepatocellular Carcinoma.
AB - PURPOSE: To develop normal tissue complications (NTCP) models for hepatocellular
cancer (HCC) patients who undergo liver radiation therapy (RT) and to evaluate
the potential role of functional imaging and measurement of blood-based
circulating biological markers before and during RT to improve the performance of
these models. METHODS AND MATERIALS: The data from 192 HCC patients who had
undergone RT from 2005 to 2014 were evaluated. Of the 192 patients, 146 had
received stereotactic body RT (SBRT) and 46 had received conventional RT to a
median physical tumor dose of 49.8 Gy and 50.4 Gy, respectively. The physical
doses were converted into 2-Gy equivalents for analysis. Two approaches were
investigated for modeling NTCP: (1) a generalized Lyman-Kutcher-Burman model; and
(2) a generalization of the parallel architecture model. Three clinical endpoints
were considered: the change in albumin-bilirubin (ALBI), change in Child-Pugh (C
P) score, and grade >=3 liver enzymatic changes. Local dynamic contrast-enhanced
magnetic resonance imaging portal venous perfusion information was used as an
imaging biomarker for local liver function. Four candidate inflammatory cytokines
were considered as biological markers. The imaging findings and cytokine levels
were incorporated into NTCP modeling, and their role was evaluated using goodness
of-fit metrics. RESULTS: Using dosimetric information only, the Lyman-Kutcher
Burman model for the ALBI/C-P change had a steeper response curve compared with
grade >=3 enzymatic changes. Incorporating portal venous perfusion imaging
information into the parallel architecture model to represent functional reserve
resulted in relatively steeper dose-response curves compared with dose-only
models. A larger loss of perfusion function was needed for enzymatic changes
compared with ALBI/C-P changes. Increased transforming growth factor-beta1 and
eotaxin expression increased the trend of expected risk in both NTCP modeling
approaches but did not reach statistical significance. CONCLUSIONS: The
incorporation of imaging findings and biological markers into NTCP modeling of
liver toxicity improved the estimates of expected NTCP risk compared with using
dose-only models. In addition, such generalized NTCP models should contribute to
a better understanding of the normal tissue response in HCC SBRT patients and
facilitate personalized treatment.
PMID- 29353653
TI - Fast and Binary Assay for Predicting Radiosensitivity Based on the Theory of ATM
Nucleo-Shuttling: Development, Validation, and Performance.
AB - PURPOSE: To examine the possibility of predicting clinical radiosensitivity by
quantifying the nuclear forms of autophosphorylated ATM protein (pATM) via a
specific enzyme-linked immunosorbent assay (ELISA). METHODS AND MATERIALS: This
study was performed on 30 skin fibroblasts from 9 radioresistant patients and 21
patients with adverse tissue reaction events. Patients were divided into 2
groups: radioresistant (toxicity grade <2) and radiosensitive (toxicity grade
>=2). The quantity of nuclear pATM molecules was assessed by the ELISA method at
10 minutes and 1 hour after 2 Gy and compared with pATM immunofluorescence data.
RESULTS: The pATM ELISA data were in quantitative agreement with the
immunofluorescence data. A receiver operating characteristic analysis was applied
first to 2 data sets (a training set [n=14] and a validating [n=16] set) and
thereafter to all the data with a 2-fold cross-validation method. The assay
showed an area under the curve value higher than 0.8, a sensitivity of 0.8, and a
specificity ranging from 0.75 to 1, which strongly documents the predictive power
of the pATM ELISA. CONCLUSION: This study showed that the assessment of nuclear
pATM quantity after 2 Gy via an ELISA technique can be the basis of a predictive
assay with the highest statistical performance among the available predictive
approaches.
PMID- 29353655
TI - Cost-Effectiveness of Primary Radiation Therapy Versus Radical Prostatectomy for
Intermediate- to High-Risk Prostate Cancer.
AB - PURPOSE: To compare, using a cost-effectiveness analysis, the quality-adjusted
life expectancy (QALE) and cost between the 2 treatment options for intermediate-
to high-risk prostate cancer: (1) radiation (RT) with androgen deprivation
therapy (ADT) or (2) radical prostatectomy (RP) followed by adjuvant RT for
patients with risk factors. METHODS AND MATERIALS: Our Markov model allowed
patients to transition between health states with yearly probabilities of
developing cancer recurrence and/or toxicity. Probabilities were assigned
according to favorable intermediate, unfavorable intermediate, or high-risk
prostate cancer groups. The primary analysis examined outcomes for patients aged
65 years, whereas secondary analyses explored the effects of younger age,
elevated baseline cardiovascular risk, and the use of salvage therapy. One-way
and probabilistic sensitivity analyses were performed. RESULTS: Across all
primary and secondary analyses, and using a wide-range of assumptions, RT + ADT
was the preferred treatment strategy for men with intermediate- to high-risk
prostate cancer. The QALE was higher after RT + ADT by 0.5 to 1.14 quality
adjusted life years, compared with RP. Radiation plus ADT was cost-effective in
all situations, falling beneath a threshold of $100,000 per quality-adjusted life
year. Among all risk groups, a greater proportion of patients undergoing RP
experienced single or multiple treatment toxicities. CONCLUSIONS: Radiation plus
ADT may result in improved QALE compared with RP for intermediate- to high-risk
prostate cancer. Although biochemical failure is similar between treatment
groups, there is a higher rate of developing multiple toxicities among patients
treated with upfront RP.
PMID- 29353654
TI - Magnetic Resonance Imaging-Guided Adaptive Radiation Therapy: A "Game Changer"
for Prostate Treatment?
AB - Radiation therapy to the prostate involves increasingly sophisticated delivery
techniques and changing fractionation schedules. With a low estimated alpha/beta
ratio, a larger dose per fraction would be beneficial, with moderate
fractionation schedules rapidly becoming a standard of care. The integration of a
magnetic resonance imaging (MRI) scanner and linear accelerator allows for
accurate soft tissue tracking with the capacity to replan for the anatomy of the
day. Extreme hypofractionation schedules become a possibility using the
potentially automated steps of autosegmentation, MRI-only workflow, and real-time
adaptive planning. The present report reviews the steps involved in
hypofractionated adaptive MRI-guided prostate radiation therapy and addresses the
challenges for implementation.
PMID- 29353656
TI - Systematic Review of Normal Tissue Complication Models Relevant to Standard
Fractionation Radiation Therapy of the Head and Neck Region Published After the
QUANTEC Reports.
AB - There has recently been an increasing interest in model-based evaluation and
comparison of different treatment options in radiation oncology studies. This is
partly driven by the considerable technical advancements in radiation therapy of
the last decade, leaving radiation oncologists with a multitude of options to
consider. In lieu of randomized trials comparing all of these different treatment
options for varying indications, which is unfeasible, treatment evaluations based
on normal tissue complication probability (NTCP) models offer a practical
alternative. The Quantitative Analyses of Normal Tissue Effects in the Clinic
(QUANTEC) effort, culminating in a number of reports published in 2010, provided
a basis for many of the since-implemented dose-response models and dose-volume
constraints and was a key component for model-based treatment evaluations. Given
that 7 years have passed since the QUANTEC publications and that patient-reported
outcomes have emerged as an important consideration in recent years, an updated
summary of the published radiation dose-response literature, which includes a
focus on patient-reported quality of life outcomes, is warranted. Here we provide
a systematic review of quantitative dose-response models published after January
1, 2010 for endpoints relevant to radiation therapy for head and neck cancer,
because these patients are typically at risk for a variety of treatment-induced
normal tissue complications.
PMID- 29353657
TI - Randomized, Double-Blind, Placebo-Controlled Trial of Shuanghua Baihe Tablets to
Prevent Oral Mucositis in Patients With Nasopharyngeal Cancer Undergoing
Chemoradiation Therapy.
AB - PURPOSE: Oral mucositis is a common unpreventable complication associated with
chemoradiation therapy. Shuanghua Baihe tablets have been approved by the Chinese
Food and Drug Administration for treating recurrent oral mucosa ulceration. This
study assessed whether Shuanghua Baihe tablets could prevent oral mucositis
during chemoradiation therapy for locally advanced nasopharyngeal carcinoma.
METHODS AND MATERIALS: This multicenter, randomized, double-blind, placebo
controlled trial was conducted at 11 hospitals in China between January 22, 2014,
and September 21, 2015. Eligible patients (N=240, 18-70 years old) with
pathologically diagnosed locally advanced nasopharyngeal carcinoma were randomly
assigned (computer-block randomization; 1:1) to receive Shuanghua Baihe tablets
or a placebo (4 tablets, 3 times a day, for 7 weeks) at the initiation of
chemoradiation therapy. Administration of Shuanghua Baihe tablets could be ended
if grade 3 or higher oral mucositis developed and patients were unwilling to
continue taking the drug. The primary endpoints were oral mucositis incidence and
latency. RESULTS: The incidence of oral mucositis during this study was
significantly lower in the Shuanghua Baihe group (85.0%; 95% confidence interval
[CI], 78.6%-91.4%) than in the placebo group (96.6%; 95% CI, 93.4%-99.9%;
P=.0028). The median latency period was 28 days in the Shuanghua Baihe group and
14 days in the placebo group (hazard ratio, 0.17; 95% CI, 0.12-0.23; P<.0001).
Compared with placebo, Shuanghua Baihe tablets significantly reduced the oral
mucositis severity scores recorded by the investigators (Oral Mucositis Score,
24.0 [range, 0.0-67.8] vs 57.5 [range, 0.0-98.0]; P<.0001), full-time nurses
(Oral Assessment Guide score, 462.0 [range, 392.0-664.7] vs 520.4 [range, 392.0
714.0]; P<.0001), and patients (score for soreness of mouth and throat, 4.0
[range, 0-10] vs 6.0 [range, 0-10]; P<.0001). No serious adverse events were
observed, and the incidence of mild or moderate gastrointestinal adverse events
associated with Shuanghua Baihe tablets was 3.3%. The short-term response rate
was similar in patients receiving Shuanghua Baihe tablets and those receiving
placebo during chemoradiation therapy during this study. CONCLUSIONS: Shuanghua
Baihe tablets reduced the occurrence, latency, and severity of oral mucositis in
patients with nasopharyngeal cancer during chemoradiation therapy treatment.
PMID- 29353658
TI - Tumor Control and Toxicity for Common Stereotactic Body Radiation Therapy Dose
Fractionation Regimens in Stage I Non-Small Cell Lung Cancer.
AB - PURPOSE: To examine the impact of stereotactic body radiation therapy (SBRT) dose
on outcomes in early-stage non-small cell lung cancer in a large single
institution series. METHODS AND MATERIALS: We reviewed 600 patients treated from
2003 to 2012 for early-stage non-small cell lung cancer. The SBRT dose was at
physician discretion on the basis of tumor size and location. Peripheral tumors
were treated to 60 Gy in 3 fractions (homogeneous planning), 48-50 Gy in 4-5
fractions, or 30-34 Gy in 1 fraction. Central tumors were treated to 50 Gy in 5
fractions, 60 Gy in 8 fractions, or 50 Gy in 10 fractions. Patient, tumor, and
treatment factors were assessed for their impact on patterns of failure,
toxicity, and survival. RESULTS: An SBRT dose of 54-60 Gy in 3 fractions was
associated with a statistically significant lower rate of local failure (LF)
(4.3% at 2 years) compared with 30-34 Gy in 1 fraction (21%), 48-50 Gy in 4-5
fractions (15.5%), and 50-60 Gy in 8-10 fractions (13.3%). Lower pre-SBRT
hemoglobin and higher positron emission tomography standardized uptake value were
also associated with LF. Nodal failure, distant failure, and overall survival
were similar between fractionation groups. Pulmonary toxicity (crude rate, any
grade) was slightly higher for 3 fractions (5.0%) compared with 1 (3.2%) or 4-5
fractions (3.8%). Chest wall toxicity was also higher for 3 (23.7%) compared with
1 (8.6%) or 4-5 (7.7%) fraction regimens. CONCLUSIONS: Although higher
biologically equivalent dose SBRT (150-180 Gy10) may be associated with slightly
lower LF, it was also associated with mildly increased toxicity and no difference
in other patterns of failure or overall survival.
PMID- 29353659
TI - Trends in Cardiac Mortality in Patients With Locally Advanced Non-Small Cell Lung
Cancer.
AB - PURPOSE: To use the Surveillance, Epidemiology, and End Results (SEER) database
to compare cardiac-specific mortality (CSM) between left- and right-sided locally
advanced non-small cell lung cancer (LA-NSCLC) patients treated with definitive
radiation therapy; and to stratify these patients over fixed time intervals to
assess for differences in events by treatment era. METHODS AND MATERIALS: The
SEER database was queried for patients with stage III NSCLC who received
radiation therapy to compare CSM between left- and right-sided primary cases at 5
time intervals: 1988-1992, 1993-1997, 1998-2002, 2003-2007, and 2008-2012.
Cumulative incidence of CSM was compared between left- and right-sided patients
using Gray's test. The multivariate Fine and Gray competing risk model was used
to compare CSM while accounting for other-cause mortality. RESULTS: Of 884,610
lung cancer patients, 52,624 met inclusion criteria; of these, 31,549 (60%) were
right-sided and 21,075 (40%) were left-sided. When evaluating CSM in each of the
5 time periods, the overall incidence of CSM decreased over time. There was a
statistically significant (P<.05) difference based on laterality in all time
periods except 1988-1992 (P=.14). The subdistribution hazard ratio for CSM based
on disease laterality in all time periods was 1.30 (95% confidence interval 1.18
1.42) and did not discernibly differ by time interval. On multivariate analysis
using the Fine and Gray competing risk model, left-sided laterality independently
predicted for CSS from 1993 to 2007 (P<.05). CONCLUSIONS: Cardiac-specific
mortality has decreased over time, and left-sided disease independently predicted
for CSS during 1993-2007 but not 2008-2012. The time course of cardiac mortality
seems to be early, consistent with other studies. These findings underscore the
importance of minimizing cardiac irradiation during radiation treatment planning.
PMID- 29353661
TI - In Regard to Bibault et al.
PMID- 29353660
TI - Intraoperative Electron Radiation Therapy in Retroperitoneal Sarcoma.
AB - PURPOSE: To report our experience with surgery, intraoperative radiation therapy
(IORT), and external beam radiation therapy (EBRT) in retroperitoneal soft-tissue
sarcoma. METHODS AND MATERIALS: We conducted a retrospective evaluation of 156
patients (69 primary, 87 recurrent) treated with IORT since 1991. The dominant
histology was dedifferentiated liposarcoma (49%); 89% of lesions were high grade.
Median tumor size was 11 cm. Surgery resulted in gross complete resection in 92%,
and 65% had microscopically positive margins. Median IORT dose was 15 Gy. A total
of 114 patients (73%) received additional EBRT (preoperatively n=38,
postoperatively n=76, median dose 45 Gy). RESULTS: Median follow-up was 38 months
(49 months in survivors). The 3- and 5-year local control (LC) rates were 57% and
50%, respectively. On univariate analysis, LC was significantly associated with
primary versus recurrent status, histology, grade, Union for International Cancer
Control (UICC) stage, resection margin, and addition of EBRT. The 5-year LC was
71% in the primary situation and 79% after R0 resection. On multivariate analysis
only disease status, grade, resection margin, and addition of EBRT remained
statistically significant. The 3- and 5-year overall survival (OS) rates were 66%
and 56%. On univariate analysis, OS was significantly associated with primary
versus recurrent status, histology, grade, UICC stage, resection margin, and
timing of EBRT. The 5-year OS was 63% in the primary situation and 68% after R0
resection. On multivariate analysis only disease status, grade, and resection
margin remained independent prognostic factors. Perioperative mortality was 1%,
and major complications occurred in 34% (mainly wound complications).
CONCLUSIONS: Treatment with surgery, IORT, and EBRT is feasible and resulted in
good LC and OS, with acceptable morbidity in this unfavorable patient cohort.
Incomplete resection and recurrent status resulted in clearly inferior outcomes.
Reasonable efforts should be made during primary treatment to prevent the onset
of a local recurrence.
PMID- 29353662
TI - In Reply to Tallet et al.
PMID- 29353663
TI - In reply to Royce.
PMID- 29353664
TI - In Regard to Chowdhary et al.
PMID- 29353665
TI - Erratum to: Wang SY, Kelly G, Gross C, et al. Information needs of older women
with early-stage breast cancer when making radiation therapy decisions. Int J
Radiat Oncol Biol Phys 2017;98:733-740.
PMID- 29353666
TI - Erratum to: Hafeez S, McDonald F, Lalondrelle S, et al. Clinical outcomes of
image guided adaptive hypofractionated weekly radiation therapy for bladder
cancer in patients unsuitable for radical treatment. Int J Radiat Oncol Biol Phys
2017;98:115-122.
PMID- 29353667
TI - Test-retest reliability of Physical Activity Neighborhood Environment Scale among
urban men and women in Nanjing, China.
AB - OBJECTIVES: The identification of physical-activity-friendly built environment
(BE) constructs is highly useful for physical activity promotion and maintenance.
The Physical Activity Neighborhood Environment Scale (PANES) was developed for
assessing BE correlates. However, PANES reliability has not been investigated
among adults in China. STUDY DESIGN: A cross-sectional study. METHODS: With
multistage sampling approaches, 1568 urban adults (aged 35-74 years) were
recruited for the initial survey on all 17 items of PANES Chinese version (PANES
CHN), with the survey repeated 7 days later for each participant. Intraclass
correlation coefficient (ICC) was used to assess the test-retest reliability of
PANES-CHN for each item. RESULTS: Totally, 1551 participants completed both
surveys (follow-up rate = 98.9%). Among participants (mean age: 54.7 +/- 11.1
years), 47.8% were men, 22.1% were elders, and 22.7% had >=13 years of education.
Overall, the PANES-CHN demonstrated at least substantial reliability with ICCs
ranging from 0.66 to 0.95 (core items), from 0.75 to 0.95 (recommended items),
and from 0.78 to 0.87 (optional items). Similar outcomes were observed when data
were analyzed by gender or age groups. CONCLUSION: The PANES-CHN has excellent
test-retest reliability and thus has valuable utility for assessing urban BE
attributes among Chinese adults.
PMID- 29353668
TI - Impact of health literacy on diabetes outcomes: a cross-sectional study from
Lahore, Pakistan.
AB - OBJECTIVES: To evaluate the functional health literacy of patients with type 2
diabetes in Lahore and its impact on glycaemic control. STUDY DESIGN: A six-month
cross-sectional study. METHODS: Health literacy in 204 patients with diabetes was
evaluated using a validated questionnaire (Short Test of Functional Health
Literacy [s-TOFHLA]). RESULTS: The frequency distribution among various age
groups (P = 0.003), education levels (P = 0.0005), socio-economic status levels
(P = 0.0005) and glycated haemoglobin (HbA1C) levels (P = 0.0005) differed
significantly with health literacy level. The majority of patients with diabetes
(86.1%) with poor glycaemic control (HbA1C >9%) had inadequate health literacy
and were more likely to have retinopathy (odds ratio = 13.1, P = 0.003). Health
literacy levels were not significantly different when compared for antidiabetic
therapies (P = 0.234). Significant associations were observed between predictors
of glycaemic control (s-TOFHLA score [P = 0.0005], education status [P = 0.0005]
and disease risks [P = 0.005]) and HbA1C, level. However, after adjusting for
basic characteristics, only s-TOFHLA score had a significant association with
HbA1C level (P = 0.001). CONCLUSIONS: These data suggest that inadequate health
literacy is potentially associated with poor glycaemic control, and microvascular
and macrovascular complications, particularly retinopathy. As such, educational
and training programmes should be introduced to improve functional health
literacy of patients with diabetes for better glycaemic control.
PMID- 29353669
TI - Transmission of dengue virus from deceased donors to solid organ transplant
recipients: case report and literature review.
AB - Dengue fever is a vector-transmitted viral infection. Non-vectorial forms of
transmission can occur through organ transplantation. We reviewed medical records
of donors and recipients with suspected dengue in the first post-transplant week.
We used serologic and molecular analysis to confirm the infection. Herein, we
describe four cases of dengue virus transmission through solid organ
transplantation. The recipients had positive serology and RT-PCR. Infection in
donors was detected through serology. All cases presented with fever within the
first week after transplantation. There were no fatal cases. After these cases,
we implemented dengue screening with NS1 antigen detection in donors during
dengue outbreaks, and no new cases were detected. In the literature review,
additional cases had been published through August 2017. Transmission of Dengue
virus can occur through organ donation. In endemic regions, it is important to
suspect and screen for dengue in febrile and thrombocytopenic recipients in the
postoperative period.
PMID- 29353670
TI - Simultaneous detection of 5-fluorocytosine and 5-fluorouracil in human cells
carrying CD/5-FC suicide gene system by using capillary zone electrophoresis.
AB - A well-known suicide gene therapy approach, cytosine deaminase (CD) in
combination with prodrug 5-flurocytosine (5-FC), has become an effective strategy
of tumor treatment. However, there are short of simple and convenient detection
methods to evaluate the efficiency of 5-FC conversion to 5-fluorouracil (5-FU) in
human cells carrying various CD/5-FC systems. In this study, we developed an
effective capillary zone electrophoresis (CZE) method to simultaneously measure 5
FC and 5-FU in cells carrying CD/5-FC suicide gene system. Under the condition of
60 mM borate buffer (pH 9.5) and 25 kV separation voltage with 0.5 psi * 15 s
injection in 210 nm, the separation of 5-FC and 5-FU could be completely achieved
within 15 min. The linearity of the calibration curve of standard 5-FC and 5-FU
was in the range from 1 to 1000 MUM (r2 > 0.999) and their recoveries were 98.4%
and 96.0%, respectively. Due to the simple sample preparation and easy detection,
this method is suitable for the study of the conversion efficiency of CD/5-FC
suicide gene system. It aims to intuitively evaluate CD/5-FC systems and helps to
guide the improvement of more effective CD/5-FC suicide gene systems.
PMID- 29353671
TI - Quantitative determination of cyclic phosphatidic acid and its carba analog in
mouse organs and plasma using LC-MS/MS.
AB - Cyclic phosphatidic acid (cPA), an analog of lysophosphatidic acid, is involved
in the regulation of many cellular processes. A sensitive and specific method to
quantify the molecular species of cPA is important for studying the physiological
and pathophysiological roles of cPA. Here, we developed a liquid chromatography
tandem mass spectrometry (LC-MS/MS)-based quantification method for the
simultaneous detection of cPA species having various fatty acids (16:0, 18:0,
18:1, and 18:2) as well as 2-carba-cPA, a chemically synthesized analog of cPA.
Chromatography was performed using a reversed-phase C18 column. cPA species were
detected using a triple quadrupole mass spectrometer. cPA 17:0 was used as an
internal standard. Intra- and interday precision values (CV%) were within 10%.
The linear range of detection for each cPA species was 0.01 MUg/mL to 5 MUg/mL,
with correlation coefficients of 0.998 or higher. The developed method was
applied to the quantification of cPA species in mouse plasma and organs. The
concentrations of cPA 16:0, 18:0, and 18:1 were revealed to be significantly
reduced in the brains of cuprizone-treated mice, a model of multiple sclerosis,
compared with control mice. These findings could be important for understanding
the roles of cPA in the neurodegenerative processes associated with multiple
sclerosis.
PMID- 29353672
TI - Dermal uptake and percutaneous penetration of organophosphate esters in a human
skin ex vivo model.
AB - Organophosphate esters (OPEs) are used as flame retardants, plasticizers, and as
hydraulic fluids. They are present in indoor environments in high concentrations
compared with other flame retardants, and human exposure is ubiquitous. In this
study we provide data for estimating dermal uptake for eight OPEs and ranking in
OPEs risk assessment. Dermal uptake and percutaneous penetration of the OPEs were
studied in a Franz diffusion cell system using human skin dosed with a mixture of
OPEs in an ethanol:toluene (4:1) solution. Large variation in penetration
profiles was observed between the OPEs. The chlorinated OPEs tris(2
chloroisopropyl) phosphate (TCIPP), and in particular tris(2-chloroethyl)
phosphate (TCEP), penetrated the skin quite rapidly while tris(1,3-dichlor-2
propyl) phosphate (TDCIPP) and triphenyl phosphate (TPHP) tended to build up in
the skin tissue and only smaller amounts permeated through the skin. For
tris(isobutyl) phosphate (TIBP), tris(n-butyl) phosphate (TNBP), and
tris(methylphenyl) phosphate (TMPP) the mass balance was not stable over time
indicating possible degradation during the experimental period of 72 h. The rates
at which OPEs permeated through the skin decreased in the order TCEP > TCIPP >=
TBOEP > TIBP >= TNBP > TDCIPP > TPHP > TMPP. Generally, the permeation
coefficient, kp, decreased with increasing log Kow, whereas lag time and skin
deposition increased with log Kow. The present data indicate that dermal uptake
is a non-negligible human exposure pathway for the majority of the studied OPEs.
PMID- 29353673
TI - Soybean plant-based toxicity assessment and phytoremediation of soils
contaminated by vegetable and mineral oils used in power electrical transformers.
AB - In this work, deleterious effects in soils due to the presence of dielectric
fluids were investigated. For this purpose, vegetable (Envirotemp(r) FR3) and
mineral (Lubrax AV 66 IN) oils were used for simulating a set of soils
contaminated in different oil contents (0.5, 1.0, 2.0, 2.5, 5.0, 7.5 and 10%) in
which three 120-days soybean crop periods (SCP) were carried out using the
species Glycine max (L.) Merr. Both soil and soybean plant samples were analysed
on following the changes on chemical attributes, content of oils and greases
(COG) in soils and phytotechnical characteristics of soybean plant. No
significant changes on soil chemical attributes were found. For a 0.5% vegetable
oil fraction, COG removals of 35, 60 and 90% were observed after the 1st, 2nd,
and 3rd SCPs, respectively, whereas removals of 25, 40 and 70% were observed for
0.5% mineral oil fraction after the 1st, 2nd, and 3rd SCPs, respectively. There
was an effectively accumulated removal on all tested oil fractions as being
proportional to the integrated 120-days SCPs, suggesting a lesser number of crops
for a complete abatement of oil fraction in soil. A 100% recovery on the
seedlings emergence fractions was also evidenced, revealing that at least a
number of 7 and 9 SCPs should be applied continuously in soils contaminated by
vegetable and mineral oils, respectively, in order to no longer jeopardize
soybean plant growth. Finally, an empirical prediction of the number of SCPs
necessary for the complete removal of oil from the soil was proposed.
PMID- 29353674
TI - A novel 3D heteropoly blue type photo-Fenton-like catalyst and its ability to
remove dye pollution.
AB - A environment-friendly 3D inorganic heteropoly blue (HPB) Ba2Na2
[HPWV4WVI8O40].26H2O was directly synthesized by hydrothermal method and
characterized by means of ICP, IR, XPS, X-ray single crystal and X-ray powder
diffraction. It was an efficient heterogeneous photo-Fenton-like catalyst to
degrade anionic dye methyl orange under visible light irradiation. It removed
cationic dyes methylene blue in neutral environment and rhodamine B in acidic
condition via flocculation. The removal efficiency of methylene blue and
rhodamine B by flocculation was more than 95%. Moreover, it could degrade methyl
orange and flocculate rhodamine B at the same time. For MO and MO-RhB solutions,
the degradation rates of MO in 60 min were 85.5% and 49.1%, respectively.
Furthermore, the possible pathways for the production of active species in the MO
degradation reaction were discussed. This is the first HPB constructed with 4e
reduced phosphotungstate, Ba and Na ions, having the properties of photo-Fenton
like catalyst and flocculant.
PMID- 29353675
TI - Gas/particle partitioning, particle-size distribution of atmospheric
polybrominated diphenyl ethers in southeast Shanghai rural area and size-resolved
predicting model.
AB - A size-segregated gas/particle partitioning coefficient KPi was proposed and
evaluated in the predicting models on the basis of atmospheric polybrominated
diphenyl ether (PBDE) field data comparing with the bulk coefficient KP. Results
revealed that the characteristics of atmospheric PBDEs in southeast Shanghai
rural area were generally consistent with previous investigations, suggesting
that this investigation was representative to the present pollution status of
atmospheric PBDEs. KPi was generally greater than bulk KP, indicating an
overestimate of TSP (the mass concentration of total suspended particles) in the
expression of bulk KP. In predicting models, KPi led to a significant shift in
regression lines as compared to KP, thus it should be more cautious to
investigate sorption mechanisms using the regression lines. The differences
between the performances of KPi and KP were helpful to explain some phenomenon in
predicting investigations, such as PL0 and KOA models overestimate the particle
fractions of PBDEs and the models work better at high temperature than at low
temperature. Our findings are important because they enabled an insight into the
influence of particle size on predicting models.
PMID- 29353676
TI - Mercury in a stream-lake network of Andean Patagonia (Southern Volcanic Zone):
Partitioning and interaction with dissolved organic matter.
AB - Lake Nahuel Huapi (NH) is a large, ultraoligotrophic deep system located in
Nahuel Huapi National Park (NHNP) and collecting a major headwater network of
Northwestern Patagonia (Argentina). Brazo Rincon (BR), the westernmost branch of
NH, is close to the active volcanic formation Puyehue-Cordon Caulle. In BR,
aquatic biota and sediments display high levels of total Hg (THg), ranging in
contamination levels although it is an unpolluted region. In this survey, Hg
species and fractionation were assessed in association with dissolved organic
matter (DOM) in several aquatic systems draining to BR. THg varied between 16.8
and 363 ng L-1, with inorganic Hg (Hg2+) contributing up to 99.8% and methyl
mercury (MeHg) up to 2.10%. DOC levels were low (0.31-1.02 mg L-1) resulting in
high THg:DOC and reflecting in high Hg2+ availability for binding particles
(partitioning coefficient log Kd up to 6.03). In streams, Hg fractionation and
speciation related directly with DOM terrestrial prints, indicating coupled Hg
DOM inputs from the catchment. In the lake, DOM quality and photochemical and
biological processing drive Hg fractionation, speciation and vertical levels.
Dissolved gaseous Hg (Hg0) reached higher values in BR (up to 3.8%), particularly
in upper lake layers where solar radiation enhances the photoreduction of Hg2+
and Hg-DOM complexes. The environmental conditions in BR catchment promote Hg2+
binding to abiotic particles and bioaccumulation and the production of Hg0,
features enhancing Hg mobilization among ecosystem compartments. Overall, the
aquatic network studied can be considered a "natural Hg hotspot" within NHNP.
PMID- 29353677
TI - Method development for the analysis of ionophore antimicrobials in dairy manure
to assess removal within a membrane-based treatment system.
AB - Ionophore antimicrobials are heavily used in the livestock industries, both for
preventing animal infection by coccidia protozoa and for increasing feed
efficiency. Ionophores are excreted mostly unmetabolized and are released into
the environment when manure is land-applied to fertilize croplands. Here, an
analytical method was optimized to study the occurrences of five ionophore
residues (monensin, lasalocid, maduramycin, salinomycin, and narasin) in dairy
manure after solid-liquid separation and further treatment of the liquid manure
by a membrane-based treatment system. Ionophore residues from the separated solid
manure (dewatered manure) and suspended solids of manure slurry samples were
extracted using ultrasonication with methanol, followed by sample clean-up using
solid phase extraction (SPE) and subsequent analysis via liquid chromatography
tandem mass spectrometry (LC-MS/MS). The use of an ethyl acetate and methanol
(1:1 v:v) mixture as an SPE eluent resulted in higher recoveries and lower method
quantitation limits (MQL), when compared to using methanol. Overall recoveries
from separated solid manure ranged from 73 to 134%. Liquid manure fractions were
diluted with NanopureTM water and cleaned up using SPE, where recoveries ranged
from 51 to 100%. The developed extraction and LC-MS/MS methods were applied to
analyze dairy manure samples subjected to an advanced manure treatment process
involving a membrane-based filtration step (reverse osmosis). Monensin and
lasalocid were detected at higher concentrations in the suspended solid fractions
(4.40-420 ng/g for lasalocid and 85-1950 ng/g for monensin) compared to the
liquid fractions (=1 point (hazard ratio 1.3; 95% CI, 0.39
4.5). Baseline P-selectin levels but not D-dimer levels were significantly
associated with a high risk of recurrence; the risk was four-fold higher in
patients with elevated P-selectin levels than in those with normal levels (hazard
ratio 4.0; 95% CI, 1.1-14). Changes in biomarker levels during treatment were not
associated with recurrent VTE. CONCLUSION: Baseline P-selectin but not D-dimer
levels predict recurrent VTE and may be a valuable addition to clinical
prediction rules to select patients for more intensive therapy or closer
observation.
PMID- 29353683
TI - Diagnosing upper extremity deep vein thrombosis with non-contrast-enhanced
Magnetic Resonance Direct Thrombus Imaging: A pilot study.
AB - Diagnosing upper extremity deep vein thrombosis (UEDVT) can be challenging.
Compression ultrasonography is often inconclusive because of overlying anatomic
structures that hamper compressing veins. Contrast venography is invasive and has
a risk of contrast allergy. Magnetic Resonance Direct Thrombus Imaging (MRDTI)
and Three Dimensional Turbo Spin-echo Spectral Attenuated Inversion Recovery (3D
TSE-SPAIR) are both non-contrast-enhanced Magnetic Resonance Imaging (MRI)
sequences that can visualize a thrombus directly by the visualization of
methemoglobin, which is formed in a fresh blood clot. MRDTI has been proven to be
accurate in diagnosing deep venous thrombosis (DVT) of the leg. The primary aim
of this pilot study was to test the feasibility of diagnosing UEDVT with these
MRI techniques. MRDTI and 3D TSE-SPAIR were performed in 3 pilot patients who
were already diagnosed with UEDVT by ultrasonography or contrast venography. In
all patients, UEDVT diagnosis could be confirmed by MRDTI and 3D TSE-SPAIR in all
vein segments. In conclusion, this study showed that non-contrast MRDTI and 3D
TSE-SPAIR sequences may be feasible tests to diagnose UEDVT. However diagnostic
accuracy and management studies have to be performed before these techniques can
be routinely used in clinical practice.
PMID- 29353684
TI - Fatal acute disseminated intravascular coagulation as presentation of advanced
ALK-positive non-small cell lung cancer: Does oncogene addiction matter?
PMID- 29353685
TI - Secondary and sucrose metabolism regulated by different light quality
combinations involved in melon tolerance to powdery mildew.
AB - We evaluated the effect of different light combinations on powdery mildew
resistance and growth of melon seedlings. Light-emitting diodes were used as the
light source and there were five light combinations: white light (420-680 nm);
blue light (460 nm); red light (635 nm); RB31 (ratio of red and blue light, 3:
1); and RB71 (ratio of red and blue light, 7: 1). Compared with other treatments,
blue light significantly decreased the incidence of powdery mildew in leaves of
melon seedlings. Under blue light, H2O2 showed higher accumulation, and the
content of phenolics, flavonoid and tannins, as well as expression of the genes
involved in synthesis of these substances, significantly increased compared with
other treatments before and after infection. Lignin content and expression of the
genes related to its synthesis were also induced by blue light before infection.
Melon irradiated with RB31 light showed the best growth parameters. Compared with
white light, red light and RB71, RB31 showed higher accumulation of lignin and
lower incidence of powdery mildew. We conclude that blue light increases melon
resistance to powdery mildew, which is dependent on the induction of secondary
metabolism that may be related to H2O2 accumulation before infection. Induction
of tolerance of melon seeds to powdery mildew by RB31 is due to higher levels of
sucrose metabolism and accumulation of lignin.
PMID- 29353686
TI - 5-aminolevulinic acid improves salt tolerance mediated by regulation of
tetrapyrrole and proline metabolism in Brassica napus L. seedlings under NaCl
stress.
AB - 5-aminolevulinic acid (ALA), a key biosynthetic precursor of tetrapyrroles, is
vital for plant growth and adaptation to stress environments. Although exogenous
ALA could enhance photosynthesis and biomass accumulation in plants under stress
conditions, the underlying physiological and molecular mechanisms governed by ALA
in promoting salt tolerance in Brassica napus L. are not yet clearly understood.
In the present study, exogenous ALA with the concentration of 30 mg L-1 was
applied to the leaves of B. napus seedlings subjected to 200 mM NaCl. The results
showed that NaCl stress decreased the photosynthesis, biomass accumulation, and
levels of chlorophyll and heme with the reduction of the concentrations of
intermediates including ALA, protoporphyrin IX (Proto IX), Mg-Proto IX, and
Pchlide in the tetrapyrrole (chlorophyll and heme) biosynthetic pathway. The
transcript levels of genes encoding ALA-associated enzymes and genes encoding Mg
chelatase in the chlorophyll biosynthetic branch were down-regulated, while the
expression levels of genes encoding Fe-chelatase in the heme branch were not
significantly altered by NaCl stress. Foliar application with ALA enhanced the
aboveground biomass, net photosynthetic rate, activities of antioxidant enzymes,
accumulation of chlorophyll and heme, and concentrations of intermediates related
to chlorophyll and heme biosynthesis in B. napus under 200 mM NaCl. The
expression of most genes mentioned above remained constant in ALA-treated plants
in comparison with non-ALA-treated plants under NaCl stress. Additionally,
exogenous ALA synchronously induced the proline concentration and up-regulated
the expression of genes P5CS and ProDH encoding proline metabolic enzymes in the
NaCl treatment. These findings suggested that ALA improved salt tolerance through
promoting the accumulation of chlorophyll and heme resulting from the increase of
intermediate levels in the tetrapyrrole biosynthetic pathway, along with
enhancing the proline accumulation in B. napus.
PMID- 29353687
TI - Th17 immune responses in Brazilian dyslipidemic patients with atherosclerosis.
PMID- 29353688
TI - Prognostic nomogram incorporating neutrophil-to-lymphocyte ratio for early
mortality in decompensated liver cirrhosis.
AB - BACKGROUND: Neutrophil-to-lymphocyte ratio (NLR) is a marker of systemic
inflammation. However, its predictive utility of 30-day mortality remains elusive
in decompensated cirrhotics. AIMS: We aimed to combine NLR and other variables
associated with early mortality of cirrhotics with acute insults in to a
predictive nomogram. METHODS: We retrospectively analyzed 352 decompensated
cirrhotics. The 30-day mortality was regarded as primary outcome. Multivariate
Cox analysis was performed, and a NLR-based nomogram was developed. The
performance of nomogram was determined in terms of its calibration,
discrimination and clinical usefulness. Serum cytokines were evaluated by
Milliplex cytokine assay. RESULTS: On multiple analysis, independent factors for
early mortality were albumin, MELD and NLR, which were all selected into the
nomogram. The nomogram showed good discrimination, with a concordance index of
0.88. Calibration of the nomogram predicted survival corresponding optimally with
the actual outcomes. Decision curve analysis indicated our nomogram was useful in
clinical practice. Among circulating cytokines we investigated, IL-6 and IL-8
were substantially elevated in cirrhotics compared to healthy subjects. High NLR
was positively correlated with the expression of IL-6 and IL-8. CONCLUSION: The
proposed nomogram incorporating NLR offered an individualized predictive tool for
30-day mortality in decompensated cirrhotics. The escalating value of NLR likely
implicated excessive inflammatory response.
PMID- 29353689
TI - Neonatal outcomes with water birth: A systematic review and meta-analysis.
PMID- 29353690
TI - A quantitative longitudinal study to explore factors which influence maternal
self-efficacy among Chinese primiparous women during the initial postpartum
period.
AB - BACKGROUND: parenting during infancy is highly problematic for Chinese
primiparous women. As an important determinant of good parenting, maternal self
efficacy (MSE) should be paid more attention by researchers. At present, the
limitations of previous research about MSE during infancy are that the factors
which influence MSE remained poorly explored, there were few studies with Chinese
women, and the studies did not consider the effect of different cultures.
OBJECTIVES: to explore factors which influence MSE in primiparous women in China
in the first three months postnatally. METHODS: a quantitative longitudinal study
using questionnaires was conducted. In total, 420 Chinese primiparous women were
recruited in obstetric wards at three hospitals in Xiamen City, Fujian Province
of China. Initial baseline questionnaires to measure socio-demographic and
clinical characteristics were distributed to participants face-to-face by the
researcher on the postnatal ward at three days postnatally. Follow-up
questionnaires at six and 12 weeks postnatally were sent via e-mail by the
researcher to participants, including the Self-efficacy in Infant Care Scale
(SICS), the Edinburgh Postnatal Depression Scale (EPDS) and the Postpartum Social
Support Scale (PSSS) to measure MSE, postnatal depression symptoms and social
support, respectively. These were returned by participants via e-mail.
Quantitative data were analysed using SPSS. RESULTS: the variables: social
support, women's satisfaction with 'Doing the month', postnatal depression,
maternal education, baby health, and maternal occupation had an influence on MSE
at six weeks postnatally (Adjusted R2 = 0.510, F = 46.084, P<0.01); and the
variables: postnatal depression, social support, baby health, women's
satisfaction with 'Doing the month', and baby fussiness were the factors
influencing MSE at 12 weeks postnatally (Adjusted R2 = 0.485, F = 41.082,
P<0.01). CONCLUSIONS: obstetric nurses and women's family members need to be
aware of the significant contribution of social support, women's satisfaction
with 'Doing the month' in positively influencing primiparous women's MSE, and the
significant effect of postnatal depression symptoms in negatively impacting on
first-time mothers' MSE; they should pay more attention to primiparous women with
less education, unemployed mothers, women with unskilled occupations, women with
an unhealthy baby, and women with a baby with a difficult temperament to improve
their comparatively lower MSE levels during the initial postnatal period.
PMID- 29353691
TI - Barriers to addressing perinatal mental health issues in midwifery settings.
AB - BACKGROUND: poor perinatal mental health is linked to various adverse pregnancy
and child outcomes. Despite having a holistic philosophy of care, similar to
other maternity care settings, perinatal mental health issues often remain under
diagnosed and untreated in midwifery settings. AIM: to determine midwives'
perceived barriers to the screening, referral, and management of perinatal mental
health issues. DESIGN: integrative review. METHODS: the following databases were
searched: MEDLINE, CINAHL, EMBASE, and PsycINFO. We included qualitative,
quantitative, and mixed methods studies published in a peer-reviewed journal in
English. Two reviewers independently extracted data and subsequently integrated
the extracted data into a single data matrix. The data matrix was compared
iteratively across primary data sources to identify themes and sub-themes. The
identified barriers to screening, management and referrals were subsequently
categorized into provider-level and system-level barriers. The relevance and
methodological quality of the included studies were evaluated using appropriate
checklists. FINDINGS: three hundreds and fifty six articles were retrieved.
Twenty studies met the inclusion criteria and were included. Insufficient/lack of
training, lack of clarity regarding the scope of practice and time constraints
were common provider level barriers across various stages of addressing mental
health issues from identification to management. The system-level barriers were
more complex and diverse and included unclear pathways and unlinked services,
lack of local guidelines or policies, continuity of care, structured office
procedures, clinical support and supervision and accessible educational
resources, scarcity of available referral resources, complex bureaucratic
processes and challenges related to expansion of the scope of practice.
CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: training, expansion of the scope of
practice and collaborative care are central for successful screening, management
and appropriate and timely referrals of perinatal mental health issues. An
integrative model of care may address fragmentation in perinatal mental health
services and enable a holistic midwifery care.
PMID- 29353692
TI - The use of drama within midwifery education to facilitate the understanding of
professional behaviour and values.
AB - OBJECTIVE: to develop student midwife understanding of professional values and
the application of these in clinical practice, prior to commencing their first
clinical placement. DESIGN: an action research project. SETTING: a Simulation
Suite environment within a University setting. PARTICIPANTS: 6 year 3 drama
students and a cohort of 36 midwifery students. FINDINGS: the students were
supportive of the idea of collaborative projects as this permitted the
facilitation of student understanding of key professional values and their
application in the clinical setting. KEY CONCLUSIONS: the students felt that the
move away from a didactic approach to learning and teaching towards a more
experiential model of teaching, enabled a more in depth reflection on the
importance of professional standards and behaviour when providing care to
pregnant women.
PMID- 29353693
TI - Multiscale molecular dynamics simulations of lipid interactions with P
glycoprotein in a complex membrane.
AB - P-glycoprotein (P-gp) can transport a wide range of very different hydrophobic
organic molecules across the membrane. Its ability to extrude molecules from the
cell creates delivery problems for drugs that target proteins in the central
nervous system (CNS) and also causes drug-resistance in many forms of cancer.
Whether a drug will be susceptible to export by P-gp is difficult to predict and
currently this is usually assessed with empirical and/or animal models. Thus,
there is a need to better understand how P-gp works at the molecular level in
order to fulfil the 3Rs: Refinement, reduction and replacement of animals in
research. As structural information increasingly becomes available, our
understanding at the molecular level improves. Proteins like P-gp are however
very dynamic entities and thus one of the most appropriate ways to study them is
with molecular dynamics simulations, especially as this can capture the influence
of the surrounding environment. Recent parameterization developments have meant
that it is now possible to simulate lipid bilayers that more closely resemble in
vivo membranes in terms of their composition. In this report we construct a
complex lipid bilayer that mimics the composition of brain epithelial cells and
examine the interactions of it with P-gp. We find that the negatively charged
phosphatidylserine lipids in the inner leaflet of the membrane tend to form an
annulus around P-gp. We also observed the interaction of cholesterol with three
distinct areas of the P-gp. Potential of mean force (PMF) calculations suggest
that a crevice between transmembrane helices 10 and 12 has particularly
favourable interaction energy for cholesterol.
PMID- 29353694
TI - Targeting Candida spp. to develop antifungal agents.
AB - Invasive fungal infections are a complex challenge throughout the world because
of their high incidence, mainly in critically ill patients, and high mortality
rates. The antifungal agents currently available are limited; thus, there is a
need for the rapid development of new drugs. In silico methods are a modern
strategy to explore interactions between new compounds and specific fungal
targets, but they depend on precise genetic information. Here, we discuss the
main Candida spp. target genes, including information about null mutants,
virulence, cytolocalization, co-regulatory genes, and compounds that are related
to protein expression. These data will provide a basis for the future in silico
development of antifungal drugs.
PMID- 29353695
TI - Experimental rheological procedure adapted to pasty dewatered sludge up to 45 %
dry matter.
AB - Wastewater sludge are characterized by complex rheological properties, strongly
dependent on solids concentration and temperature. These properties are required
for process hydrodynamic modelling but their correct measurement is often
challenging at high solids concentrations. This is especially true to model the
hydrodynamic of dewatered sludge during drying process where solids content (TS)
increases with residence time. Indeed, until now, the literature mostly focused
on the rheological characterization of sludge at low and moderate TS (between 4
and 8%). Limited attention was paid to pasty and highly concentrated sludge
mainly because of the difficulties to carry out the measurements. Results
reproducibility appeared to be poor and thus may not be always fully
representative of the effective material properties. This work demonstrates that
reproducible results can be obtained by controlling cracks and fractures which
always take place in classical rotational rheometry. In that purpose, a well
controlled experimental procedure has been developed, allowing the exact
determination of the surface effectively sheared. This surface is calculated by
scattering a classical stress sweep with measurements at a reference strain
value. The implementation of this procedure allows the correct determination of
solid-like characteristics from 20 to 45% TS but also shows that pasty and highly
concentrated sludge highlight normal forces caused by dilatancy. Moreover the
surface correction appears to be independent of TS in the studied range.
PMID- 29353696
TI - Uptake of pharmaceuticals by sorbent-amended struvite fertilisers recovered from
human urine and their bioaccumulation in tomato fruit.
AB - Struvite precipitation is a well-documented method for recovering up to 98% of
phosphorus from urine, which is one of the main nutrients in fertilizers besides
nitrogen and potassium. Shortcomings of this process, however, are the low
nitrogen recovery ratio and the possible uptake of pharmaceuticals from urine. In
this work, the NH4+ adsorbent materials biochar and zeolite are coupled with
struvite precipitation to increase the N-recovery of struvite from 5.7% to 9.8%.
Since nitrogen is one of the main nutrients in fertilisers, this increase is of
significance for its potential commercial use. In addition, urine is spiked with
pharmaceuticals to measure the consequential uptake in struvite-based fertilisers
and crops afterwards. Five fertilisers are prepared by nutrient recovery from
spiked urine using: (1) struvite crystallisation, (2) struvite crystallisation
combined with N adsorption on zeolite, (3) struvite crystallisation combined with
N adsorption on biochar, (4) N adsorption on zeolite without struvite
crystallisation, and (5) N adsorption on biochar without struvite
crystallisation. The fertiliser with the highest purity product and the lowest
uptake of pharmaceuticals was struvite combined with zeolite. Next, the
contaminated struvite-sorbent fertilisers are tested in a crop trial in which the
bioaccumulation of pharmaceuticals in edible plant tissue (tomatoes) is measured.
This bioaccumulation in tomato fruit biomass from each of the spiked fertilisers
in the crop trial was found to be lower than 0.0003% in all cases, far below the
acceptable daily intake (ADI) levels (750 kg of dry tomatoes should be consumed
per day to reach the ADI limit). Consequently, the subsequent risk to human
health from tomato fruit grown using urine derived struvite-sorbent fertilisers
is found to be insignificant.
PMID- 29353697
TI - Strontium adsorption and desorption in wetlands: Role of organic matter
functional groups and environmental implications.
AB - Strontium (Sr) is a chemical element that is often used as a tracer in
hydrogeochemical studies, and is ubiquitously distributed as a radioactive
contaminant in nuclear sites in the form of strontium-90 (Sr-90). At the
interface between groundwater and surface water, wetlands possess unique
hydrogeochemical properties whose impact on Sr transport has not been
investigated thoroughly. In this study, the adsorption and desorption of Sr was
investigated on six natural wetland substrates and two mixes of exogenous media
and wetland sediment: winter and summer wetland sediments, decayed cattails,
wood, leaf litter, moss, bone charcoal, and clinoptilolite. The composition of
the organic matter was characterized using carbon-13, solid phase Nuclear
Magnetic Resonance analysis. The range of the substrates' adsorption coefficients
obtained could be explained by factors indicative of proteins in the organic
matter, which were shown to support strong and poorly reversible Sr adsorption.
In contrast, the proportion of carbohydrates and lignin were found to be
indicative of lower adsorption coefficients and higher desorption. The
implications of these results for Sr pollution remediation in wetlands are
discussed.
PMID- 29353698
TI - Seasonal pattern of anthropogenic salinization in temperate forested headwater
streams.
AB - Salinization of freshwaters by human activities is of growing concern globally.
Consequences of salt pollution include adverse effects to aquatic biodiversity,
ecosystem function, human health, and ecosystem services. In headwater streams of
the temperate forests of eastern USA, elevated specific conductance (SC), a
surrogate measurement for the major dissolved ions composing salinity, has been
linked to decreased diversity of aquatic insects. However, such linkages have
typically been based on limited numbers of SC measurements that do not quantify
intra-annual variation. Effective management of salinization requires tools to
accurately monitor and predict salinity while accounting for temporal
variability. Toward that end, high-frequency SC data were collected within the
central Appalachian coalfield over 4 years at 25 forested headwater streams
spanning a gradient of salinity. A sinusoidal periodic function was used to model
the annual cycle of SC, averaged across years and streams. The resultant model
revealed that, on average, salinity deviated approximately +/-20% from annual
mean levels across all years and streams, with minimum SC occurring in late
winter and peak SC occurring in late summer. The pattern was evident in headwater
streams influenced by surface coal mining, unmined headwater reference streams
with low salinity, and larger-order salinized rivers draining the study area. The
pattern was strongly responsive to varying seasonal dilution as driven by
catchment evapotranspiration, an effect that was amplified slightly in unmined
catchments with greater relative forest cover. Evaluation of alternative sampling
intervals indicated that discrete sampling can approximate the model performance
afforded by high-frequency data but model error increases rapidly as discrete
sampling intervals exceed 30 days. This study demonstrates that intra-annual
variation of salinity in temperate forested headwater streams of Appalachia USA
follows a natural seasonal pattern, driven by interactive influences on water
quantity and quality of climate, geology, and terrestrial vegetation. Because
climatic and vegetation dynamics vary annually in a seasonal, cyclic manner, a
periodic function can be used to fit a sinusoidal model to the salinity pattern.
The model framework used here is broadly applicable in systems with streamflow
dependent chronic salinity stress.
PMID- 29353699
TI - Near-infrared heat lamp therapeutic effect on paraoxonase 1 and myeloperoxidase
as potential biomarkers of redox state changes induced by gamma-irradiation in
albino rats.
AB - Infrared radiation has a potential therapeutic effect in some diseases. The aim
of this study was to estimate the therapeutic role of near infrared heat lamp
(NIRHL) on the variations of the activity of paraoxonase 1 (PON1) and
myeloperoxidase (MPO), in relation to lipid disorders, associated with oxidative
stress in rats gamma-irradiated. In addition, study the effect of the duration of
NIRHL treatment. Animals were divided into six groups. The results revealed that
irradiated rats treated with NIRHL 20 min/once/day showed positive modulation of
PON1 and MPO linked to significant improvement of lipid disorders evidenced by
lower triglycerides, low density lipoprotein cholesterol (LDL-C), oxidized low
density lipoprotein cholesterol (oxLDL-C) and higher density lipoprotein
cholesterol (HDL-C) as well as significant amelioration of redox state,
manifested by markedly increase of glutathione (GSH) content, total antioxidant
capacity (TAC) associated with a noticeable decrease of pro-inflammatory
cytokines. (TNF-alpha, IL-1 beta and IL-6), nitric oxide (NO), nitric oxide
synthase (NOs), malondialdehyde (MDA), compared to irradiated rats. The results
showed also that the NIRHL treatment for 20 min/twice/day had negative effects on
the previous parameters and on the behavior of rats such as itching,
irritability, dyspnea and death in normal as well as, irradiated rats. In
conclusion, the results in this study show that NIRHL therapy for a short time
can effectively prevent the lipid disorders induced by radiation through the
positive modulation mechanism of PON1 and MPO enzymes and improvement of
oxidative stress.
PMID- 29353700
TI - Developmental variation during seed germination and biochemical responses of
Brassica rapa exposed to various colored lights.
AB - Light acting as elicitor or stress inducer, it plays a pivotal role in all
developmental processes of plant providing necessary building blocks for growth
and primary and secondary metabolites production. The main objective of the
current study was to investigate the individual effect of colored lights on
developmental processes and production of polyphenolics contents in Brassica
rapa. In this study, the red and white lights (control) were found to be the most
effective sources for seed germination (91%) in Brassica rapa. Similarly, red
light enhanced radicle growth (102 mm), while green light suppressed radicle
growth (60 mm) as compared to control (67 mm). The red light also promoted the
plumule growth (50 mm) as compared to control (37 mm). The maximum biomass gain
(67 mg) was observed under red light as compared to control (55 mg). Currently,
the maximum total phenolics content (9.49 mg/g-DW) and phenolics production
(379.616 mg/L) was observed under the influence of blue lights as compared to
control (0.23 mg/g-DW and 8.91 mg/L). Similarly, the blue lights also enhanced
the biosynthesis of total flavonoids content (2.2611 mg/g-DW) and flavonoids
production (90.44 mg/L) as compared to control (0.0318 md/g-DW and 0.8268 mg/L).
The current results represents that red and blue lights are the most effective
sources for plantlets development and production of polyphenolics content in
Brassica rapa.
PMID- 29353701
TI - Photobiomodulation at 660nm stimulates proliferation and migration of diabetic
wounded cells via the expression of epidermal growth factor and the JAK/STAT
pathway.
AB - Photobiomodulation (PBM) modulates cellular processes to enhance diabetic wound
healing. The photon energy activates wounded cells to proliferate and migrate.
However, the signalling pathways responsible for these observations remain
unknown. This study aimed to determine if PBM stimulates cellular proliferation
and migration via the expression of epidermal growth factor (EGF) and activation
of the Janus kinase/Signal transducer and activators of transcription (JAK/STAT)
signalling pathway. Normal, wounded, diabetic and diabetic wounded cell models
were exposed to PBM at a wavelength of 660nm and fluence of 5J/cm2 and incubated
for 48h. Non-irradiated cells (0J/cm2) and cells exposed to exogenous EGF (rh
EGF) served as controls. Cellular migration was determined microscopically at 0,
24 and 48h. Flow cytometry (BrdU) was used to determine cell proliferation, while
the Trypan blue exclusion assay and adenosine triphosphate (ATP) luminescence was
used to determine cell viability. The enzyme linked immunosorbent assay (ELISA)
was used to analyse EGF expressed in the culture media, and phosphorylated (p-)
EGF receptor (p-EGFR), p-JAK2, p-STAT1 and p-STAT5 in cells. Irradiated diabetic
wounded cells showed a significant increase in EGF, and activation of its
receptor (p-EGFR) and JAK/STAT (p-JAK2, p-STAT1 and p-STAT5). PBM at 660nm and
5J/cm2 is able to modulate cellular autocrine signalling, particularly the
EGF/EGFR loop leading to activation of the JAK/STAT pathway which in turn
stimulates cell proliferation and migration.
PMID- 29353702
TI - Enzyme-mediated photoinactivation of Enterococcus faecalis using Rose Bengal
acetate.
AB - Rose Bengal-acetate (RB-Ac) is a pro-photosensitizer claimed to diffuse into
target cells, where the acetate groups are hydrolyzed and the photosensitizing
properties of Rose Bengal (RB) are restored. Despite promising results on tumor
cells, the interaction of RB-Ac with bacteria has never been investigated. This
study aimed to assess the interaction of RB-Ac with Enterococcus faecalis and to
evaluate its potential use in antimicrobial photodynamic therapy (aPDT).
Spectrofluorometry was used to assess the ability of E. faecalis to hydrolyze the
RB-Ac compound. Fluorescence microscopy was employed to observe the distribution
and to evaluate the cellular uptake of the RB produced. The antibacterial
efficiency of RB-Ac-mediated aPDT was assessed by flow cytometry in combination
with the LIVE/DEAD(r) staining. Results showed that RB-Ac was successfully
hydrolyzed in the presence of E. faecalis cells. The RB produced appeared to
incorporate the membrane of bacteria. Higher concentrations of RB-Ac resulted in
higher incorporation of RB. The blue-light irradiation of RB-Ac-treated samples
significantly reduced bacterial viability. Less than 0.01% of E. faecalis
survived after incubation with 200 MUM RB-Ac during 900 min and blue-light
activation. The current report indicates that E. faecalis cells can hydrolyze the
RB-Ac compound to produce active RB. The use of RB-Ac did not appear to allow
cytoplasmic internalization of the RB produced, which rather incorporated the
membrane bilayers of E. faecalis. The use of RB-Ac did not provide additional
advantages over RB in terms of PS localization. Nonetheless, sufficient RB was
produced and incorporated into the membranes of bacteria to elicit effective
aPDT.
PMID- 29353703
TI - Generation of an induced pluripotent stem cell line (CSC-44) from a Parkinson's
disease patient carrying a compound heterozygous mutation (c.823C>T and EX6 del)
in the PARK2 gene.
AB - Mutations in the PARK2 gene, which encodes PARKIN, are the most frequent cause of
autosomal recessive Parkinson's disease (PD). We report the generation of an
induced pluripotent stem cell (iPSC) line from a 78-year-old patient carrying a
compound heterozygous mutation (c.823C>T and EX6del) in the PARK2 gene. Skin
fibroblasts were reprogrammed using the non-integrating Sendai virus technology
to deliver OCT3/4, SOX2, c-MYC and KLF4 factors. The generated cell line CSC-44
exhibits expression of common pluripotency markers, in vitro differentiation into
the three germ layers and normal karyotype. This iPSC line can be used to explore
the association between PARK2 mutations and PD.
PMID- 29353704
TI - Prediction of pH and aw of pork meat by a thermodynamic model: New developments.
AB - To ensure continuous innovations, food industries need tools which enable to
predict physical-properties of food during a change of process or recipe. In this
work, a thermodynamic model is suggested to predict pH and water activity of pork
meat in presence of different additives such as salts or organic acids used in
food industry. The predictions of pH and aw are satisfactory in a wide prediction
domain, with a good accuracy. In add, a neural network mimetic of thermodynamic
model is developed in order to facilitate the use of thermodynamic model and
reduce calculation time.
PMID- 29353705
TI - Association between SCN1A gene polymorphisms and drug resistant epilepsy in
pediatric patients.
AB - PURPOSE: "Single Nucleotide Polymorphisms (SNPs)" could be an important
explanation of drug resistance in epilepsy. The aim of this study was to
investigate if genetic polymorphisms (SNPs) of the SCN1A gene could influence the
response to anti - epileptic drugs (AED) and if they could predispose to a drug
resistant epilepsy in pediatric patients. METHODS: We investigated SNPs in exon
and intronic regions of the SCN1A gene in a sample of 120 pediatric patients, in
both drug-resistant and drug-responsive patients. Association between
polymorphisms and refractory epilepsy were investigated by comparing SNPs in exon
and intronic regions between the two groups. The genotypes of each intronic
polymorphism in the drug-resistant group was analyzed. Odds ratios and confidence
intervals were calculated. RESULTS: None of the SNPs identified in exons of the
SCN1A gene were associated with drug-resistance. In the intronic regions, a
statistically significant difference was found in the prevalence of three
polymorphisms was found between the two patient groups (rs6730344A/C,
rs6732655A/T, rs10167228A/T). The analysis of the genotypes of each intronic
polymorphism in the drug-resistant group revealed that the AA and AT genotypes
for the rs1962842 polymorphism are associated with an increased risk of
developing drug resistance compared to TT genotype. CONCLUSION: The intronic
rs6730344, rs6732655 and rs10167228 polymorphisms of the SCN1A gene are a
potential risk factors for drug resistance. AA e AT genotype of the rs1962842
intronic polymorphism also emerged as a risk factor in the drug resistant group.
Therefore, polymorphisms of the SCN1A gene could play a role in the response to
AED in patients with drug-resistant epilepsy, with important implications for
clinical practice.
PMID- 29353706
TI - Children's early understanding of number predicts their later problem-solving
sophistication in addition.
AB - Previous studies suggest that the sophistication of the strategies children use
to solve arithmetic problems is related to a more basic understanding of number,
but they have not examined the relation between number knowledge in preschool and
strategy choices at school entry. Accordingly, the symbolic and nonsymbolic
quantitative knowledge of 134 children (65 boys) was assessed at the beginning of
preschool and in kindergarten, and the sophistication of the strategies they used
to solve addition problems was assessed at the beginning of first grade. Using a
combination of Bayes and standard regression models, we found that children's
understanding of the cardinal value of number words at the beginning of preschool
predicted the sophistication of their strategy choices 3 years later, controlling
for other factors. The relation between children's early understanding of
cardinality and their strategy choices was mediated by their symbolic and
nonsymbolic quantitative knowledge in kindergarten. The results suggest that
sophisticated strategy choices emerge from children's developing understanding of
the relations among numbers, in keeping with the overlapping waves model.
PMID- 29353707
TI - Attentional rather than sensory differences characterize auditory processing in
Williams syndrome.
AB - Individuals with Williams Syndrome (WS) exhibit an atypical auditory profile.
Across two experiments, we used event-related potentials (ERPs) in a three
stimulus auditory oddball task to examine early sensory (P1, N1, P2) and later
cognitive (P3a, P3b) stages of cortical auditory processing in adults with WS and
age-matched typical peers. In Study 1, piano chords served as standard, target,
and novel stimuli; whereas, in Study 2, a variety of non-piano sounds comprised
the novel stimuli. Across both experiments, there were no group differences in
the earliest stages of sensory encoding (P1, N1), along with evidence for
atypically large P2 responses in participants with WS. Persons with WS exhibited
larger than typical P3a responses when the novel stimuli were perceptually
distinct from the standard and the target stimuli (Study 2), but not when task
relevant and -irrelevant stimuli were perceptually similar (Study 1). Further,
the WS group demonstrated reduced goal-directed attention (attenuated P3b
response). These group differences in ERPs were not directly related to IQ. Our
results in the context of an active discrimination task point to a more complex
profile of auditory processing in persons with WS than previously reported, with
group differences emerging during the later stages of stimulus categorization and
evaluation, but not within early stimulus detection and feature encoding.
PMID- 29353708
TI - Adolescents' experiences of living with sickle cell disease: An integrative
narrative review of the literature.
AB - BACKGROUND: Sickle Cell Disease is the commonest monogenic haemoglobinopathy
worldwide. Living with a long-term condition such as sickle cell disease during
adolescence constitutes a significant challenge for the key stakeholders due to
the combined effects of chronic illness and adolescent development. For
adolescents with sickle cell disease to be cared for and supported appropriately
and effectively, it is crucial that health professionals have a comprehensive
knowledge and understanding of how adolescents experience living with the
condition. While there is developing literature about how adolescent's experience
sickle cell disease, this body of research has not been critically reviewed and
synthesised. OBJECTIVE: To identify, critically appraise and synthesise primary
research exploring adolescents' experiences of living with sickle cell disease to
make recommendations for practice and research. DESIGN: Integrative narrative
review. DATA SOURCES: A systematic search of 10 electronic databases and key
journals was conducted to identify studies from the inception of databases to
September 2016. REVIEW METHOD: Inclusion criteria: adolescents with sickle cell
disease aged 12-19 years, primary data on adolescents' own perspectives, and
published in English. Data were extracted on study contexts, methodology/design,
theoretical constructs, participants, and key findings. Findings from included
studies were synthesised using the integrative narrative approach. Additionally,
the methodological quality of studies was assessed using the Hawker et al. (2002)
appraisal checklist. RESULTS: 683 studies were identified, of which 40 fulfilled
the inclusion criteria. Nine broad themes emerged: knowledge and understanding of
the condition, symptom experiences, self-management, attitude to treatment,
healthcare experiences, social relationships, difference and striving for
normality, school experiences, and emotional well-being and coping. Majority of
the studies were of moderate quality methodologically. Quality assessment
demonstrated a high risk of bias in three studies. CONCLUSIONS: Sickle cell
disease impacts on multiple facets of an adolescent's life. While there are
similarities in the experience of living with sickle cell disease and living with
other chronic illnesses, there are essential differences in relationship dynamics
and healthcare experience. The adolescents expressed less confidence in generic
healthcare providers. The review highlights areas relating to symptom management
and health service provision that has been under-researched and need further
exploration to understand adolescents' experiences and their support needs fully.
Nursing care and research should focus more on adolescents' developmental
wellbeing, promote peer support network among adolescents with the condition and
with adolescents with other chronic illnesses and collaborate with adolescents to
ensure service development are developmentally and culturally appropriate.
PMID- 29353709
TI - The role of telenursing in the management of Diabetes Type 1: A randomized
controlled trial.
AB - BACKGROUND: Diabetes Mellitus type 1 (T1DM) is a chronic disease that requires
patients' self-monitoring and self-management to achieve glucose targets and
prevent complications. Telenursing implicates technology in the interaction of a
specialized nurse with patients with chronic diseases in order to provide
personalized care and support. OBJECTIVE: To evaluate the effect of telenursing
on T1DM patients' compliance with glucose self-monitoring and glycemic control.
DESIGN: Randomized controlled study. SETTINGS: Outpatient Department of Diabetes,
Endocrinology and Metabolism of a University Hospital in Northern Greece.
METHODS: Ninety-four T1DM patients were recruited and randomized in two groups by
a random number generator. The intervention group (N = 48) was provided with
telenursing services. A specialized nurse made a weekly contact via telephone
motivating patients to frequently measure blood glucose and adopt a healthy
lifestyle. The control group (N = 46) received standard diabetes advice and care
in the clinic. The primary outcome was the effect of the intervention in glucose
control and glucose variability. The secondary outcome was the effect on
frequency of self-monitoring. SPSS 20.0 was used for data analysis. RESULTS: The
two groups did not differ in age, sex, physical activity or initial HbA1c. In the
intervention group, blood glucose significantly decreased at the end of the study
in all predefined measurements, compared to control group: morning (93.18 +/-
13.30 mg/dl vs. 105.17 +/- 13.74 mg/dl, p < 0.005), pre-prandial (114.76 +/- 9.54
mg/dl vs. 120.84 +/- 4.05 mg/dl, p < 0.005), post-prandial (193.35 +/- 25.36
mg/dl vs. 207.84 +/- 18.80 mg/dl, p < 0.005), and HbA1c decreased significantly
over time in the intervention group (8.3 +/- 0.6% at the beginning of the study
vs. 7.8 +/- 1% at the end of the study, p = 0.03). In the intervention group
there were also fewer omitted glucose measurements than in the control group.
CONCLUSIONS: Patients in the intervention group achieved better glucose control
and more frequent self-monitoring than patients in routine care in the clinic.
The findings of our study indicate that telenursing can motivate T1DM patients to
better control their disease.
PMID- 29353710
TI - Prevalence and predictors of difficulty accessing the mouths of intubated
critically ill adults to deliver oral care: An observational study.
AB - BACKGROUND: Oral care of intubated patients is essential to the prevention of
infection and patient discomfort. However, barriers to oral access and delivery
of oral care have received little attention. OBJECTIVE: To determine prevalence
and predictors of oral access difficulty. DESIGN: A prospective, observational,
multi-center study. SETTINGS: Four intensive care units in Toronto, Canada.
PARTICIPANTS: Adult patients orally intubated for >=48 h. METHODS: We screened
consecutive admissions once a week to identify eligible participants. We observed
each patient and asked the patient's nurse about presence or absence of
difficulty accessing the mouth to deliver oral care across three categories: (1)
visualizing inside the mouth; (2) obtaining patient cooperation, or (3) inserting
instruments for delivery of oral care. We asked nurses to identify presence of
patient behaviors contributing to oral access difficulty and perceived level of
difficulty on a Likert response scale. We examined patient and treatment
characteristics associated with extreme difficulty (i.e., difficulty in all 3
categories) using a generalized estimating equation regression model. RESULTS: A
total of 428 patients were observed, 58% admitted with a medical diagnosis. More
than half (57%) had >=2 oral devices up to maximum of 4. Oral care difficulty was
identified in 83% of patients and rated as moderate to high for 217 (51%).
Difficulty concerned visibility (74%), patient cooperation (55%), and space to
insert instruments (53%). Patient behaviors contributing difficulty included
coughing/gagging (60%), mouth closing (49%), biting (45%) and localizing (27%)
during care. Variables associated with extreme difficulty included neurological
(OR 1.92, 95% CI 1.42-2.60) or trauma admission (OR 1.83, 95% CI 1.16-2.89), lack
of pain assessment or treatment in the 4 h prior to oral care (OR 1.43, 95% CI
1.14-1.80), more oral devices (OR 1.40, 95% CI 1.05-1.87), and duration of
intubation (OR 1.05, 95% CI 1.01-1.10). Absence of documented agitation in the 4
h prior to oral care was associated with less difficulty (OR 0.68, 95% CI 0.54
0.86). CONCLUSIONS: Oral care is complex and difficulties are experienced in a
vast majority of intubated patients. Some difficulties are amenable to correction
such as pain management.
PMID- 29353711
TI - Problems experienced by informal caregivers of individuals with heart failure: An
integrative review.
AB - OBJECTIVES: The purpose of this review was to examine and synthesize recent
literature regarding problems experienced by informal caregivers when providing
care for individuals with heart failure in the home. DESIGN: Integrative
literature review. DATA SOURCES: A review of current empirical literature was
conducted utilizing PubMed, CINAHL, Embase, Sociological Abstracts, Social
Sciences Full Text, PsycARTICLES, PsycINFO, Health Source: Nursing/Academic
Edition, and Cochrane computerized databases. 19 qualitative, 16 quantitative,
and 2 mixed methods studies met the inclusion criteria for review. REVIEW
METHODS: Computerized databases were searched for a combination of subject terms
(i.e., MeSH) and keywords related to informal caregivers, problems, and heart
failure. The title and abstract of identified articles and reference lists were
reviewed. Studies were included if they were published in English between January
2000 and December 2016 and examined problems experienced by informal caregivers
in providing care for individuals with heart failure in the home. Studies were
excluded if not written in English or if elements of caregiving in heart failure
were not present in the title, abstract, or text. Unpublished and duplicate
empirical literature as well as articles related to specific end-stage heart
failure populations also were excluded. Methodology described by Cooper and
others for integrative reviews of quantitative and qualitative research was used.
Quality appraisal of the included studies was evaluated using the Joanna Briggs
Institute critical appraisal tools for cross-sectional quantitative and
qualitative studies. RESULTS: Informal caregivers experienced four key problems
when providing care for individuals with heart failure in the home, including
performing multifaceted activities and roles that evolve around daily heart
failure demands; maintaining caregiver physical, emotional, social, spiritual,
and financial well-being; having insufficient caregiver support; and performing
caregiving with uncertainty and inadequate knowledge. CONCLUSIONS: Informal
caregivers of individuals with heart failure experience complex problems in the
home when providing care which impact all aspects of their lives. Incorporating
advice from informal caregivers of individuals with heart failure will assist in
the development of interventions to reduce negative caregiver outcomes. Given the
complex roles in caring for individuals with heart failure, multicomponent
interventions are potentially promising in assisting informal caregivers in
performing these roles.
PMID- 29353712
TI - Cost-utility of collaborative nurse-led self-management support for primary care
patients with anxiety, depressive or somatic symptoms: A cluster-randomized
controlled trial (the SMADS trial).
AB - BACKGROUND: Anxiety, depressive and somatoform disorders are highly prevalent and
cause a huge economic burden. A nurse-led collaborative care intervention has
been set up in order to improve self-management of patients with these mental
disorders in primary care in Hamburg, Germany. The aim of this study was to
determine the cost-utility of this nurse-led intervention from the health care
payer perspective. METHODS: This analysis was part of a 12-month cluster
randomized controlled trial aiming to increase perceived self-efficacy of primary
care patients with anxiety, depressive or somatic symptoms by collaborative nurse
led self-management support compared with routine care. A cost-effectiveness
analysis using quality-adjusted life years was performed. Net-monetary benefit
regressions adjusted for baseline differences for different willingness-to-pay
thresholds were conducted and cost-effectiveness acceptability curves were
constructed. RESULTS: In total, n = 325 patients (intervention group: n = 134;
control group: n = 191) with a mean age of 40 from 20 primary care practices were
included in the analysis. The adjusted differences in quality-adjusted life years
and mean total costs between intervention group and control group were +0.02 and
+?1145, respectively. Neither of the two differences was statistically
significant. The probability for cost-effectiveness of the complex nurse-led
intervention was 49% for a willingness-to-pay of ?50,000 per additional quality
adjusted life year. The probability for cost-effectiveness did not exceed 65%,
independent of the willingness-to-pay. CONCLUSION: The complex nurse-led
intervention promoting self-management for primary care patients with anxiety,
depressive or somatic symptoms did not prove to be cost-effective relative to
routine care from a health care payer perspective.
PMID- 29353713
TI - Social media use profile, social skills, and nurse-patient interaction among
Registered Nurses in tertiary hospitals: A structural equation model analysis.
AB - BACKGROUND: Social media has become increasingly important over the past decades
and has been integrated in various environments, including the healthcare
setting. Yet, the influence of social media use on the social skills and nurse
patient interaction of nurses is an area in nursing that requires further
studies. This study determined the interrelationships among social media use
profile, social skills, and nurse-patient interaction of Registered Nurses in
tertiary hospitals. METHODS: Employing structural equation modeling, a
descriptive-correlational study was conducted among 212 consecutively-selected
nurses from two tertiary hospitals. Consenting respondents completed a two-part
survey composed of the respondent profile sheet and the Social Skills Inventory.
The respondent profile sheet assessed demographic profile and social media use
profile in terms of the mode, frequency, and duration of utilization. Three
trained team members observed each nurse-patient dyad and completed the Nurse
Patient Bonding Instrument. RESULTS: A good fit model illustrated the negative
effects of frequent social media use to patient openness (beta = -0.18, p < 0.05)
and engagement (beta = -0.11, p <= 0.05). Longer use of social media on a daily
basis, however, positively affected both dimensions of social skills. Accessing
social media platforms using non-handheld devices showed the most influential
positive effects to social skills and nurse-patient interaction. Additionally,
although verbal social skills positively affected most dimensions of nurse
patient interaction, non-verbal social skills negatively influenced patient
engagement (beta = -0.19, p = 0.019) and nurse openness (beta = -0.38, p <=
0.05). CONCLUSION: The structural model illustrates the effects of using social
media on the social skills and nurse-patient interaction of nurses and emphasizes
the need for implementing institutional policies on the judicious use and
application of social media in the workplace. Further, social skills development
programs geared toward having a balanced social skill must be implemented.
PMID- 29353714
TI - MRI findings of postherpetic abdominal wall pseudohernia: A case report.
AB - Herpes zoster is caused by the reactivation of latent varicella-zoster virus from
dorsal root ganglia. Although infrequent, simultaneous damage to the anterior
horn cells or anterior nerve roots at the same level may result in motor
neuropathy. When motor involvement is localized in the abdominal wall, a
pseudohernia may be the clinical presentation. We report a case of abdominal wall
post-herpetic pseudohernia, with clinical, ultrasound and MRI correlation. MRI
demonstrated increased T2/STIR signal intensity in the abdominal wall muscles,
suggesting acute denervation. To our knowledge, this is the first case report of
postherpetic pseudohernia with acute denervation demonstrated on MRI.
PMID- 29353715
TI - Peering beneath the surface: juxtacortical tumors of bone (part II).
AB - Juxtacortical or surface tumors of bone are neoplasms arising from or just
outside the cortex, and are composed of different histologic types. Although the
imaging appearances of these lesions have similarities to their intramedullary
counterparts, their location alters their radiographic and MR characteristics,
creating difficulties in diagnosis. Meanwhile, several non-neoplastic lesions,
such as stress reaction/stress fracture and indolent infectious processes,
compound the differential diagnosis. Neoplastic juxtacortical lesions of bone
have been classified into five categories: cartilaginous, fibrous, lipomatous,
osseous, and metastatic tumors. Our goal in part two of this review is to
illustrate the characteristic radiographic, CT and MR imaging features of various
juxtacortical neoplasms, including pathognomonic imaging findings that can aid in
diagnosis, and to develop an appropriate differential diagnosis for surface
lesions based on imaging characteristics, lesion location and patient age.
PMID- 29353717
TI - Medical neglect at a tertiary paediatric hospital.
AB - Medical neglect is under-researched and the extent of the problem in Australia is
unknown. We conducted a review of the referrals for medical neglect to the Child
Protection Unit (CPU) at a tertiary children's hospital in Sydney over a 5 years
period, from 2011 to 2016, to determine what medical conditions are being
referred, the reason for the medical neglect concern and whether cases are
managed in line with American Academy of Pediatrics (AAP) guideline on medical
neglect. 61 cases of medical neglect were identified, constituting 4.1% of all
referrals to the Child Protection Unit for physical abuse and neglect. There was
a wide variety of medical conditions. Most were chronic medical conditions (87%).
The top two medical conditions were chronic and complex multi-system disorders
(37.7%) and endocrine disorders (18%). The majority of medical neglect were
related to concerns that the caregivers were unwilling to follow medical advice
(45.9%) or unable to provide necessary medical care (26.2%). In line with the AAP
guideline on medical neglect, all cases were managed by addressing communication
difficulties (100%) and resource issues were addressed in 80% of cases. A report
to statutory child protection agencies was made in 50% of cases. Directly
observed therapy and medical contracts were used in 30% and 26% of cases. We
conclude that children with chronic medical conditions may be at risk of medical
neglect. Communication difficulties were a factor in all cases. Statutory agency
intervention is often required.
PMID- 29353716
TI - Hypovascular hypointense nodules in hepatobiliary phase without T2
hyperintensity: long-term outcomes and added value of DWI in predicting
hypervascular transformation.
AB - PURPOSE: To evaluate outcomes and risk factors associated with
hypervascularization in hypovascular, hepatobiliary phase (HBP) hypointense
nodules (HHNs) without T2 hyperintensity on gadoxetic acid-enhanced magnetic
resonance (MR) images in chronic liver disease patients. MATERIALS AND METHODS:
222 HHNs were analyzed. Multivariate analysis with a Cox proportional hazard
regression model was used. RESULTS: 41 nodules became hypervascular
hepatocellular carcinoma (HCC). History of HCC, hyperintensity on T1WI or DWI,
and higher growth rate were risk factors for hypervascularization (P < .05).
CONCLUSION: History of HCC, hyperintensity on T1WI or DWI, and higher growth rate
were associated with nodular progression to hypervascular HCC.
PMID- 29353718
TI - Longitudinal associations between physically abusive parents' emotional
expressiveness and children's self-regulation.
AB - The present study took a developmental psychopathology approach to examine the
longitudinal association between parents' emotional expressiveness and children's
self-regulation. Data collection spanned from 2004 to 2008. Ninety-two physically
abusive parents completed yearly assessments of their emotional expressiveness,
as well as their children's self-regulation abilities. Observational and
behavioral measures were also obtained yearly to capture both parents' emotional
expressiveness and children's self-regulation. Specifically, parents participated
in a parent-child interaction task, which provided insight into their levels of
flat affect. A puzzle box task was completed by each child to assess self
regulation. Results indicated, first, that greater parental expression of
negative emotions predicted poorer self-regulation in children, both concurrently
and across time. Second, parental expressions of positive emotions and parents'
flat affect were unrelated to children's self-regulation. Findings inform our
understanding of parental socialization of self-regulation and provide insight
into the roles of distinct components of emotional expressiveness. Moreover,
findings have crucial implications for understanding emotional expressiveness in
high-risk samples and increase our understanding of within-group functioning
among maltreating families that may serve as a means to direct intervention
efforts.
PMID- 29353719
TI - Depressive symptoms and self-harm among youngsters referred to child welfare: The
role of trust in caregiver support and communication.
AB - Depressive symptoms and self-harm, i.e. non-suicidal self-injury and suicidal
behaviors, are highly prevalent in youngsters involved in Child Welfare System
(CWS) services. Little research investigates, however, why these CWS youngsters
are at risk. We explored whether trust in caregiver support and communication
about experiences with primary caregivers, are associated with CWS youngsters'
depressive symptoms and/or self-harm. An anonymous online survey of 271 CWS
youngsters (10-21 years of age, 57.2% female) was used to assess trust and
communication, and depressive symptoms/self-harm. Results showed significant
negative associations between self-reported trust in maternal and paternal
support, and depressive symptoms/self-harm. Communication about experiences with
one's biological mother mediated the relationship between trust in maternal
support and depressive symptoms/self-harm. Furthermore, the presence of an
additional trustworthy caregiver buffered the impact of low trust in maternal or
paternal support on depressive symptoms/self-harm. Implications for interventions
targeting CWS youngsters' depressive symptoms and self-harm are discussed.
PMID- 29353720
TI - ((S)-3-Mercapto-2-methylpropanamido)acetic acid derivatives as metallo-beta
lactamase inhibitors: Synthesis, kinetic and crystallographic studies.
AB - The emergence and global spread of metallo-beta-lactamase (MBL) mediated
resistance to almost all beta-lactam antibacterials poses a serious threat to
public health. Since no clinically useful MBL inhibitors have been reported,
there is an urgent need to develop new potent broad-spectrum MBL inhibitors
effective against antibacterial resistance. Herein, we synthesized a set of 2
substituted ((S)-3-mercapto-2-methylpropanamido) acetic acid derivatives, some of
which displayed potent inhibition with high ligand efficiency to the clinically
relevant MBL subtypes, Verona Integron-encoded MBL (VIM)-2 and New Delhi MBL
(NDM)-1. Kinetic studies revealed that the inhibitors are not strong zinc
chelators in solution, and they bind reversibly to VIM-2 but dissociate very
slowly. Crystallographic analyses revealed that they inhibit VIM-2 via chelating
the active site zinc ions and interacting with catalytically important residues.
Further cell- and zebrafish-based assays revealed that the inhibitors slightly
increase susceptibility of E. coli cells expressing VIM-2 to meropenem, and they
have no apparent toxicity to the viability of HEK293T cells and the zebrafish
embryogenesis.
PMID- 29353721
TI - Design, synthesis, and biological evaluation of novel catecholopyrimidine based
PDE4 inhibitor for the treatment of atopic dermatitis.
AB - Selective inhibition of phosphodiesterase (PDE) 4B favorably suppresses the
synthesis of inflammatory cytokines and subsequently arrest the development of
atopic dermatitis via modulating the intracellular cAMP levels. Considering the
side effects of corticosteroids, selective PDE4 inhibition could constitute an
effective alternative therapy for the treatment of atopic dermatitis (AD). In
this study, a series of novel catechol based compounds bearing pyrimidine as the
core have been synthesized and screened for the PDE4 inhibitory properties. The
PDE4 selectivity of the active compounds over other PDEs has been investigated.
Compound 23 bearing pyrimidine core functionalized with catechol, pyridine and
trifluoromethyl groups can effectively inhibit the PDE4B with IC50 value in
nanomolar range (IC50 = 15 +/- 0.4 nM). Compound 23 exhibited seven fold higher
selectivity towards PDE4B over PDE4D. Molecular Docking study confirmed its
stronger affinity towards catalytic domain of PDE4B. In-vivo analysis confirmed
that compound 23 effectively alleviated the symptoms of atopic dermatitis in DNCB
treated Balb/c mice by suppressing the synthesis of inflammatory mediators such
as TNF-alpha, and Ig-E. Taken together, this study suggested that compound 23
could be an effective PDE4 inhibitor for the potential treatment of AD.
PMID- 29353722
TI - Hepatoprotective natural triterpenoids.
AB - Liver diseases are one of the leading causes of death in the world. In spite of
tremendous advances in modern drug research, effective and safe hepatoprotective
agents are still in urgent demand. Natural products are undoubtedly valuable
sources for drug leads. A number of natural triterpenoids were reported to
possess pronounced hepatoprotective effects, and triterpenoids have become one of
the most important classes of natural products for hepatoprotective agents.
However, the significance of natural triterpenoids has been underestimated in the
hepatoprotective drug discovery, with only very limited triterpenoids being
covered in the reviews of hepatoprotective natural products. In this paper, ca
350 natural triterpenoids with reported hepatoprotective effects in ca 120
references between 1975 and 2016 will be reviewed, and the structure-activity
relationships of certain types of natural triterpenoids, if available, will be
discussed. Patents are not included.
PMID- 29353723
TI - Bysspectin A, an unusual octaketide dimer and the precursor derivatives from the
endophytic fungus Byssochlamys spectabilis IMM0002 and their biological
activities.
AB - Bysspectin A (1), a polyketide-derived octaketide dimer with a novel carbon
skeleton, and two new precursor derivatives, bysspectins B and C (2 and 3), were
obtained from an organic extract of the endophytic fungus Byssochlamys
spectabilis that had been isolated from a leaf tissue of the traditional Chinese
medicinal plant Edgeworthia chrysantha, together with a known octaketide,
paecilocin A (4). Their structures were determined by HRMS, 1D and 2D NMR
spectroscopic analysis. A plausible route for their biosynthetic pathway is
proposed. Compounds 1-3 were tested for their antimicrobial activities. Only
compound 3 was weakly active against Escherichia coli and Staphyloccocus aureus
with MIC values of 32 and 64 MUg/mL, respectively. Further, the inhibitory
effects on human carboxylesterases (hCE1, hCE2) of compounds 1 and 4 were
evaluated. The results demonstrated that bysspectin A (1) was a novel and highly
selective inhibitor against hCE2 with the IC50 value of 2.01 MUM. Docking
simulation also demonstrated that active compound 1 created interaction with the
Ser-288 (the catalytic amino-acid in the catalytic cavity) of hCE2 via hydrogen
bonding, revealing its highly selective inhibition toward hCE2.
PMID- 29353724
TI - Discovery of biphenyl-substituted diarylpyrimidines as non-nucleoside reverse
transcriptase inhibitors with high potency against wild-type and mutant HIV-1.
AB - A novel series of diarylpyrimidine (DAPY) derivatives bearing the biphenyl motif
with multiple substituted groups was synthesized as human immunodeficiency virus
(HIV)-1 non-nucleoside reverse transcriptase inhibitors. All of the target
compounds were evaluated for their in vitro activity against HIV in MT-4 cells.
Most of the compounds exhibited excellent activity with low nanomolar EC50 values
against wild-type, single and double mutant HIV-1 strains. Compound 4b displayed
an EC50 value of 1 nM against HIV-1 IIIB, 1.3 nM against L100I, 0.84 nM against
K103 N, 1.5 nM against Y181C, 11 nM against Y188L, 2 nM against E138K, 10 nM
against K103 N + Y181C, and almost 110 nM against F227L + V106. The improvement
in the selectivity and potency of the target molecules against the wild-type and
mutant HIV-1 strains validated our hypothesis. The biphenyl ring in the DAPY
derivatives could strengthen the pi-pi stacking effect between the target
molecule and the non-nucleoside inhibitor-binding pocket in the reverse
transcriptase by extending the conjugating systems. This research represented a
significant step toward the discovery of novel therapeutic DAPYs for treating
acquired immunodeficiency syndrome in patients infected with HIV-1.
PMID- 29353725
TI - Evaluation of 4-oxo-quinoline-based CB2 PET radioligands in R6/2 chorea
huntington mouse model and human ALS spinal cord tissue.
AB - The cannabinoid receptor 2 (CB2) has been implicated in a series of
neurodegenerative disorders and has emerged as an interesting biological target
for therapeutic as well as diagnostic purposes. In the present work, we describe
an improved radiosynthetic approach to obtain the previously reported CB2
specific PET radioligand [18F]RS-126 in higher radiochemical yields and molar
activities. Additionally, the study revealed that prolongation of the [18F]RS-126
fluoroalkyl side chain ultimately leads to an improved stability towards mouse
liver enzymes but is accompanied by a reduction in selectivity over the
cannabinoid receptor 1 (CB1). Huntington-related phenotypic changes as well as
striatal D2R downregulation were confirmed for the transgenic R6/2 mouse model.
CB2 upregulation in R6/2 Chorea Huntington mice was observed in hippocampus,
cortex, striatum and cerebellum by qPCR, however, these results could not be
confirmed at the protein level by PET imaging. Furthermore, we evaluated the
utility of the newly developed [11C]RS-028, a potent [18F]RS-126 derivative with
increased polarity and high selectivity over CB1 in post-mortem human ALS spinal
cord and control tissue. Applying in vitro autoradiography, the translational
relevance of CB2 imaging was demonstrated by the specific binding of [11C]RS-028
to post-mortem human ALS spinal cord tissue.
PMID- 29353727
TI - Synthesis of novel 5-amino-1,3,4-thiadiazole-2-sulfonamide containing acridine
sulfonamide/carboxamide compounds and investigation of their inhibition effects
on human carbonic anhydrase I, II, IV and VII.
AB - Herein, we report that acridine intermediates 5 were obtained from the reduction
of nitro acridine derivatives 4, which were synthesized via condensation of
dimedone, p-nitrobenzaldehyde with 4-amino-N-(5-sulfamoyl-1,3,4-thiadiazol-2
yl)benzamide, respectively. Then acridine sulfonamide/carboxamide (7a-i)
compounds were synthesized by reaction of amino acridine 5 with sulfonyl
chlorides and carbamoyl chlorides. The new compounds were characterized by
melting points, FT-IR, 1H NMR, 13C NMR and HRMS analyzes. The evaluation of in
vitro test of the synthesized compounds against hCA I, II, IV and VII showed that
some of them are potent inhibitors. Among them, compound 7e showed the most
potent activity against hCA II with a KI of 7.9 nM.
PMID- 29353726
TI - Tetrahydroacridine derivatives with dichloronicotinic acid moiety as attractive,
multipotent agents for Alzheimer's disease treatment.
AB - A novel series of 9-amino-1,2,3,4-tetrahydroacridine and 5,6-dichloronicotinic
acid moiety were conjugated with different linkers. Afterwards new derivatives
were evaluated as potential multifunctional acetylcholinesterase inhibitors
(AChEIs), anti-Alzheimer's disease (AD) drug candidates. All the compounds were
synthesized and tested for capacity for the inhibition of acetylcholinesterase
(AChE) and butyrylcholinesterase (BuChE) enzymes. Specifically, the most
promising derivative 3b (IC50 = 1.02 nM) had higher inhibitory potency compared
to the reference drug, tacrine. Consequently, kinetic studies of 3b compound
showed a mixed-type inhibition of both AChE and BuChE. Afterwards the best potent
AChE inhibitor has been examined on amyloid beta (Abeta) self-induced
aggregation. Furthermore, 3b compound was tested in various concentrations and
had moderate activity against Abeta aggregation. Inhibition of Abeta aggregation
was 46.63% and 19.41% at 50 MUM and 5 MUM concentrations, respectively.
Moreover, no cytotoxicity was observed for the mentioned concentrations.
Therefore, 3b compound is a promising multipotent agent for the treatment of AD.
PMID- 29353728
TI - Synthesis of pyrazole acrylic acid based oxadiazole and amide derivatives as
antimalarial and anticancer agents.
AB - Depravity of malaria in terms of morbidity and mortality in human beings makes it
a major health issue in tropical and subtropical areas of the globe. Drug
counterfeiting and non-adherence to the treatment regimen have significantly
contributed to development and spread of multidrug resistance that has
highlighted the need for development of novel and more efficient antimalarial
drugs. Complexity associated with cancer disease and prevalence of diversified
cell populations vindicates highly specific treatment options for treatment of
cancer. Resistance to these anticancer agents has posed a great hindrance in
successful treatment of cancer. Pondering this ongoing situation, it was
speculated to develop novel compounds targeting malaria and cancer. Moving on the
same aisle, we synthesized pyrazole acrylic acid based oxadiazole and amide
derivatives using multi-step reaction pathways (6a-x; 6a'-h'). Schizont
maturation inhibition assay was employed to determine antimalarial potential.
Compound 6v emerged as the most potent antimalarial agent targeting falcipain-2
enzyme. Anticancer activity was done using sulforhodamine B assay. Compounds 6b'
and 6g' demonstrated promising results against all the tested cell lines.
Further, Microscopic view clearly indicated formation of apoptotic bodies,
chromatin condensation, shrinkage of cells and bleb formation. Validation of the
results was achieved using molecular docking studies. From the obtained results,
it was observed that cyclization (oxadiazole) favored antimalarial activity while
non-cyclized compounds (amides) emerged as better anticancer agents.
PMID- 29353729
TI - Design, synthesis and biological evaluation of bitopic arylpiperazine-hexahydro
pyrazinoquinolines as preferential dopamine D3 receptor ligands.
AB - Three series of bitobic arylpiperazine-phenyl-hexahydropyrazinoquino- lines
analogues were designed, synthesizedand evaluated as a novel class of selective
ligands for the dopamine D3 receptor. Compounds 15a (Ki of 11.7 +/- 1.8 and 373
nM at D3 and D2, respectively), 15c (Ki of 5.49 and 264 nM at D3 and D2,
respectively), 15e (Ki of 14.9 and 325 nM at D3 and D2, respectively), 15i (Ki of
13.8 and 401 nM at D3 and D2, respectively) and 15l (Ki of 13.6 and 870 nM at D3
and D2, respectively) were found to demonstrate good binding affinity and
selectivity, and especially compound 15c showeda similar binding affinity and
selectivity compared with the contrast drug BP897.
PMID- 29353730
TI - Benzopyrone represents a privilege scaffold to identify novel adenosine A1/A2A
receptor antagonists.
AB - Adenosine receptor antagonists are under investigation as potential drug
candidates for the treatment of certain cancers, neurological disorders,
depression and potentially improve tumour immunotherapy. The benzo-gamma-pyrone
scaffold is well-known in medicinal chemistry with diverse pharmacological
activities attributed to them, however, their therapeutic potential as adenosine
receptor antagonists have not been investigated in detail. To expand on the
structure-activity relationships, the present study explored the adenosine A1 and
A2A receptor binding affinities of a selected series of benzo-gamma-pyrone
analogues. In vitro evaluation led to the identification of 5-hydroxy-2-(3
hydroxyphenyl)-4H-1-benzopyran-4-one with the best adenosine A2A receptor
affinity among the test compounds and was found to be non-selective (A1Ki = 0.956
uM; A2AKi = 1.44 uM). Hydroxy substitution on ring A and/or B play a key role in
modulating the binding affinity at adenosine A1 and A2A receptors. Adenosine A1
receptor affinity was increased to the nanomolar range with hydroxy substitution
on C6 (ring A), while meta-hydroxy substitution on ring B governed adenosine A2A
receptor affinity. The double bond between C2 and C3 of ring C as well as C2
phenyl substitution was shown to be imperative for both adenosine A1 and A2A
receptor affinity. Selected benzo-gamma-pyrone derivatives behaved as adenosine
A1 receptor antagonists in the performed GTP shift assays. It may be concluded
that benzo-gamma-pyrone based derivatives are suitable leads for designing and
identifying adenosine receptor antagonists as treatment of various disorders.
PMID- 29353731
TI - Evaluating hydrophobic galactonoamidines as transition state analogs for
enzymatic beta-galactoside hydrolysis.
AB - A spectroscopic examination of six galactonoamidines with inhibition constants
and efficacy in the low nanomolar concentration range (Ki = 6-11 nM, IC50 = 12-36
nM) suggested only two of them as putative transition state analogs for the
hydrolysis of beta-galactosides by beta-galactosidase (A. oryzae). A rationale
for the experimental results was elaborated using docking and molecular dynamics
studies. An analysis of the combined observations reveals several common factors
of the compounds suggested as transition state analogs (TSAs): the putative TSAs
have a similar orientation in the active site; show conserved positioning of the
glycon; display a large number of H-bond interactions toward the catalytically
active amino acid residues via their glycon; and exhibit hydrophobic interactions
at the outer rim of the active site with small changes of the position and
orientation of their respective aglycons.
PMID- 29353732
TI - Cunninghamella blakesleeana-mediated biotransformation of a contraceptive drug,
desogestrel, and anti-MDR-Staphylococcus aureus activity of its metabolites.
AB - Staphylococcus aureus is one of the most infectious agents among staphylococcal
bacteria. Currently many strains of S. aureus have developed resistance against
available antibiotics. Therefore, the treatment of infections caused by them is a
major challenge. During current study, desogestrel (1), a contraceptive drug, was
found to be a potent growth inhibitor of drug resistant strains of S. aureus.
Therefore, in search of new and effective agents against multi-drug resistant S.
aureus strains, whole-cell bio-catalytic conversion of desogestrel (1) by
Cunninghamella blakesleeana ATCC 8688A at pH 7.0 and 25 degrees C was carried
out, yielding three new metabolites, 13-ethyl-11-methylene-18,19-dinor-17alpha
pregn-4-en-20-yn-6beta,15beta,17beta-triol (2), 13-ethyl-11-methylene-18,19-dinor
17alpha-pregn-4-en-20-yn-3beta,6beta,17beta-triol (3), and 13-ethyl-11-methylene
18,19-dinor-17alpha-pregn-20-yn-3alpha,5alpha,6beta,17beta-tetraol (4), along
with a known metabolite, 13-ethyl-11-methylene-18,19-dinor-17alpha-pregn-4-en-20
yn-6beta,17beta-dihydroxy-3-one (5). Among them, compounds 1-2 showed a potent
activity against S. aureus EMRSA-17, S. aureus NCTC 13277 (MRSA-252), and S.
aureus NCTC 13143, and clinically isolated Pakistani strain of S. aureus in an in
vitro Microplate Alamar Blue Assay (MABA). Vancomycin was used as the standard
drug in this assay. In addition, compound 1 also showed a significant activity
against vancomycin-resistant S. aureus (VRSA) ATCC 700699. Compounds 1-5 were
also evaluated against 3T3 normal cell line (mouse fibroblast) where they all
were identified as non-cytotoxic. The present study thus provides new leads for
the development of anti-bacterial drugs against MDR S. aureus.
PMID- 29353733
TI - In-vitro evaluation of antioxidant, anti-elastase, anti-collagenase, anti
hyaluronidase activities of safranal and determination of its sun protection
factor in skin photoaging.
AB - Safranal, a monoterpene aldehyde, is present as one of the main volatile
constituents of Crocus sativus Linn. (saffron flowers). This volatile constituent
not only contributes to the aroma of saffron but has been reported to possess
antidiabetic, antiulcer, antiasthamatic, anticonvulsant, antidepressant,
cardioprotective, anticancer and UV protective properties. Most of these
therapeutic actions are contributed by its potential to quench reactive oxygen
species (ROS). Antioxidant properties of phytoconstituents are now being explored
for developing photoprotective skin formulations. These bioactives have the
potential to protect the epidermal and dermal layers of the skin which mainly
comprises of elastin and collagen. When UV rays penetrate the dermal layers,
there is an increased production of elastase, collagenase and hyaluronidase
leading to degradation of collagen, elastin and hyaluronic acid respectively.
These dermal components are responsible to provide strength, elasticity and
moisture to the skin. Due to frequent exposure to sunlight, these conditions tend
to augment leading to wrinkle formation and sagging of skin. Although antioxidant
properties of safranal have been established on various cell lines but till date
no studies have been reported regarding the dermal enzyme inhibition activities.
In the current research work, a comprehensive in vitro evaluation of antioxidant,
anti-elastase, anti-collagenase, anti-hyaluronidase activities of safranal along
with determination of sun protection factor (SPF) was carried out. The in vitro
antioxidant activity was carried out by diphenylpicrylhydrazyl (DPPH) method and
its IC50 value was found to be 22.7 MUg/ml. The enzyme inhibition IC50 values of
safranal for anti elastase activity were found to be 43.6 MUg/ml, 70 MUg/ml for
antihyaluronidase activity and 9.4 MUg/ml for anticollagenase activity.
Photoprotective activity of safranal was determined by UV absorbance method and
SPF calculated by Mansur equation which was found to be 6.6. The significant
inhibitory activity of safranal on matrix metalloproteinases (MMPs) responsible
for aging and a higher SPF established that this bioorganic molecule is a strong
photoprotective agent. Its established free radical scavenging capability along
with above characteristics make it a valuable component to be incorporated into
herbal antiaging formulations.
PMID- 29353734
TI - Parental ethnicity associated with risk for multiple sclerosis: A population
based incident case-control study in Iran.
AB - BACKGROUND: The epidemiology of multiple sclerosis (MS) includes a consideration
of geography and population ethnicity. To determine whether there is any
association between ethnicity and risk of MS in a multiethnic, population-based
case-control study. METHODS: We conducted a population-based case-control of 547
incident MS cases and 1057 healthy controls between August 2013 and February
2015, Tehran, a multi-ethnic city. The patients were identified and enrolled
through the Iranian MS Society. Case status was confirmed by a panel of MS
specialists beside of 2010 McDonald criteria. Controls were selected through
random digit dialing. A logistic regression model was applied to estimate the
odds ratios (95%CI) adjusted for age, sex, tobacco smoking and socioeconomic
status. RESULTS: The risk of MS for Kurd, Turk and Fars ethnicities was
apparently smaller compared to one specific ethnic background (Lor); OR 0.36
(0.15-0.86) for Kurd, OR 0.42 (0.24-0.74) for Turk and OR 0.53 (0.31-0.89) for
Fars. Heterogeneity in parental ethnicity of common ethnic groups was
significantly associated with increased risk of MS OR 1.61 (1.13-2.29). All
associations remained after adjustment for relevant confounders. CONCLUSIONS: MS
was unequally distributed in the ethnic groups. Moreover, heterogeneity in
parental ethnicity seems to be a risk factor for MS.
PMID- 29353735
TI - Treatment for paraneoplastic neuromyelitis optica spectrum disorder (NMOsd):
Probable effects of tocilizumab for both cancer and NMOsd.
PMID- 29353736
TI - Mitochondrial leukoencephalopathies: A border zone between acquired and inherited
white matter disorders in children?
AB - BACKGROUND: There is emerging evidence implicating mitochondrial dysfunction in
the pathogenesis of acquired demyelinating disorders such as multiple sclerosis.
On the other hand, some of the primary mitochondrial disorders such as
mitochondrial leukoencephalopathies exhibit evidence of neuroinflammation on MRI.
The inter-relationship between mitochondrial disorders and episodic CNS
inflammation needs exploration because of the therapeutic implications.
OBJECTIVE: We sought to analyze the clinical course and MRI characteristics in a
cohort of patients with mitochondrial leukoencephalopathy to determine features,
if any, that mimic primary demyelinating disorders. Therapeutic implications of
these findings are discussed. PATIENTS AND METHODS: Detailed analysis of the
clinical course, magnetic resonance imaging findings and therapeutic response was
performed in 14 patients with mitochondrial leukoencephalopathy. The diagnosis
was ascertained by clinical features, histopathology, respiratory chain enzyme
assays and exome sequencing. RESULTS: Fourteen patients [Age at evaluation: 2-7
yrs, M: F-1:1] were included in the study. The genetic findings included
variations in NDUFA1 (1); NDUFV1 (4); NDUFS2 (2); LYRM (2);MPV17(1); BOLA3(2);
IBA57(2). Clinical Features which mimicked acquired demyelinating disorder
included acute onset focal deficits associated with encephalopathy [10/14, 71%],
febrile illness preceding the onset [7/14, 50%] unequivocal partial or complete
steroid responsiveness [11/11], episodic/ relapsing remitting neurological
dysfunction [10/14, 71%] and a subsequent stable rather than a progressive course
[12/14, 85%]. MRI characteristics included confluent white matter lesions [14/14,
100%], diffusion restriction [11/14,78.5%], contrast enhancement [13/13,100%],
spinal cord involvement [8/13,61.5%], lactate peak on MRS [13/13] and white
matter cysts [13/14, 92.8%]. CONCLUSION: Clinical presentations of mitochondrial
leukoencephalopathy often mimic an acquired demyelinating disorder. The
therapeutic implications of these observations require further exploration.
PMID- 29353737
TI - Biomarkers identification for PML monitoring, during Natalizumab (Tysabri(r))
treatment in Relapsing-Remitting Multiple Sclerosis.
AB - Natalizumab (NTZ, Tysabri(r); Biogen-Idec, Cambridge, MA, USA) is a humanized
anti-alpha4 integrin monoclonal antibody, largely used in the treatment of
Relapsing-Remitting Multiple Sclerosis (RRMS). Although the drug has shown great
efficacy in clinical trials (AFFIRM and SENTINEL) and in post-marketing
observational studies (TYGRIS), by reducing clinical signs as disability status
progression, brain lesions and annual relapse rate, there are numerous papers
concerning the associated risk of progressive multifocal leukoencephalopathy
(PML). PML is a brain infection caused by John Cunningham virus (JCV) and its
incidence is related to intrinsic and extrinsic risk factors, such as long-term
natalizumab therapy (more than 24 infusion doses), previous pharmacological
immunosuppressive treatment and JVC antibody-positive status. The identification
of risk factors provides an instrument to improve treatment decisions and to
obtain an accurate benefit-risk evaluation. In order to evaluate the most
appropriate natalizumab-MS therapy and to obtain minor incidence of PML, an
accurate description of risk factors and a biological markers identification are
needed. This article review aims to list some biomarkers that have been proposed
to evaluate the safety of natalizumab therapy.
PMID- 29353738
TI - Neuroticism, schizotypy, and scale anchors influence eye movement behaviour in
the visual exploration of abstract art: An exploratory study.
AB - The same piece of artwork can attract both admiration and rejection from
different people. One potential explanation for this effect is individual
differences in perceptual biases, which influence the way in which we see
different aspects of the same image. We explored the relationship between
individual differences (i.e., personality) and eye movements for examinations of
abstract art. Images were presented for 5000ms, after which participants judged
aesthetic appeal and perceived value using visual analogue scales. Scale anchor
labels (Looks Good/Looks Bad; $0/$5000) were counterbalanced between participants
such that positive labels were on the left half of the time and on the right half
of the time. Overall, more fixations occurred to the right and upper visual
fields. Neuroticism significantly predicted the proportion of fixations to the
left, whereas cognitive disorganisation negatively predicted the proportion of
fixations to upper space. Participants found images more aesthetically pleasing
and more valuable when positive anchors were on the left. Findings demonstrate
that personality traits influence fixation patterns. Further, the positioning of
positive anchor labels on the left leads to higher ratings of visual stimuli.
PMID- 29353739
TI - Generation of matched patient-derived xenograft in vitro-in vivo models using 3D
macroporous hydrogels for the study of liver cancer.
AB - Hepatocellular carcinoma (HCC) is the third leading cause of cancer death
worldwide, often manifesting at the advanced stage when cure is no longer
possible. The discrepancy between preclinical findings and clinical outcome in
HCC is well-recognized. So far, sorafenib is the only targeted therapy approved
as first-line therapy for patients with advanced HCC. There is an urgent need for
improved preclinical models for the development of HCC-targeted therapies.
Patient-derived xenograft (PDX) tumor models have been shown to closely
recapitulate human tumor biology and predict patient drug response. However, the
use of PDX models is currently limited by high costs and low throughput. In this
study, we engineered in vitro conditions conducive for the culture of HCC-PDX
organoids derived from a panel of 14 different HCC-PDX lines through the use of a
three-dimensional macroporous cellulosic sponge system. To validate the in vitro
HCC-PDX models, both in vivo and in vitro HCC-PDX models were subjected to whole
exome sequencing and RNA-sequencing. Correlative studies indicate strong
concordance in genomic and transcriptomic profiles as well as intra-tumoral
heterogeneity between each matched in vitro-in vivo HCC-PDX pairs. Furthermore,
we demonstrate the feasibility of using these in vitro HCC-PDX models for drug
testing, paving the way for more efficient preclinical studies in HCC drug
development.
PMID- 29353741
TI - Simulating the effect of muscle weakness and contracture on neuromuscular control
of normal gait in children.
AB - Altered neural control of movement and musculoskeletal deficiencies are common in
children with spastic cerebral palsy (SCP), with muscle weakness and contracture
commonly experienced. Both neural and musculoskeletal deficiencies are likely to
contribute to abnormal gait, such as equinus gait (toe-walking), in children with
SCP. However, it is not known whether the musculoskeletal deficiencies prevent
normal gait or if neural control could be altered to achieve normal gait. This
study examined the effect of simulated muscle weakness and contracture of the
major plantarflexor/dorsiflexor muscles on the neuromuscular requirements for
achieving normal walking gait in children. Initial muscle-driven simulations of
walking with normal musculoskeletal properties by typically developing children
were undertaken. Additional simulations with altered musculoskeletal properties
were then undertaken; with muscle weakness and contracture simulated by reducing
the maximum isometric force and tendon slack length, respectively, of selected
muscles. Muscle activations and forces required across all simulations were then
compared via waveform analysis. Maintenance of normal gait appeared robust to
muscle weakness in isolation, with increased activation of weakened muscles the
major compensatory strategy. With muscle contracture, reduced activation of the
plantarflexors was required across the mid-portion of stance suggesting a greater
contribution from passive forces. Increased activation and force during swing was
also required from the tibialis anterior to counteract the increased passive
forces from the simulated dorsiflexor muscle contracture. Improvements in
plantarflexor and dorsiflexor motor function and muscle strength, concomitant
with reductions in plantarflexor muscle stiffness may target the deficits
associated with SCP that limit normal gait.
PMID- 29353740
TI - Gait in patients with adolescent idiopathic scoliosis. Effect of surgery at 10
years of follow-up.
AB - PURPOSE: To assess radiological and gait biomechanical changes before, at one and
10 years after surgery in AIS patients. METHODS: This clinical prospective study
included fifteen adult women (mean[SD] age: 26 [1] years) diagnosed with thoraco
lumbar/lumbar AIS and operated 10 years ago. Clinical, radiological and gait
variables, including kinematics, electromyography (EMG), mechanics and energetics
were compared between presurgery (S0), 1 year (S1) and 10 years (S2) postsurgery
period using a one way repeated measure ANOVA. RESULTS: The Cobb angle of the
scoliosis curve was reduced by 55% at 1 year postsugery but only by 37% at 10
years postsurgery suggesting a loss of 32% over time. Frontal plumb line C7-S1
distance was significantly improved by surgery (-44%) and remained stable at 10
years postsurgery. Lower limb kinematics was not affected by the surgery at long
term. Excessive bilateral activation of lombo-pelvic muscles, observed before
surgery, decreased significantly at S1 and S2 period. Mechanical energy increased
significantly between S0, S1 and S2 session, without any change for the energetic
variables. CONCLUSIONS: Between 1 and 10 years post-surgery, thoraco
lumbar/lumbar AIS women showed a few decompensation of the curve without any
change of the improved frontal body balance. Lower limbs and pelvic motion,
during gait, was not affected by the surgery. But presurgical excessive EMG
activity of the lumbo-pelvic muscle and reduced mechanical energy produced to
walk get similar to normal patterns. Only the oxygen consumption remained
excessive probably due to physical deconditioning or postural instability.
PMID- 29353742
TI - Trunk, pelvis and hip biomechanics in individuals with femoroacetabular
impingement syndrome: Strategies for step ascent.
AB - BACKGROUND: Femoroacetabular impingment (FAI) syndrome is common among young
active adults and a proposed risk factor for the future development of hip
osteoarthritis. Pain is dominant and drives clinical decision-making. Evidence
for altered hip joint function in this patient population is inconsistent, making
the identification of treatment targets challenging. A broader assessment,
considering adjacent body segments (i.e. pelvis, trunk) and individual movement
strategies, may better inform treatment programs. This exploratory study aimed to
compare trunk, pelvis, and hip biomechanics during step ascent between
individuals with and without FAI syndrome. METHODS: Fifteen participants
diagnosed with symptomatic cam-type or combined (cam plus pincer) FAI who were
scheduled for arthroscopic surgery, and 11 age-, and sex-comparable pain- and
disease-free individuals, underwent three-dimensional motion analysis during a
step ascent task. Trunk, pelvis and hip biomechanics were compared between
groups. RESULTS: Participants with FAI syndrome exhibited altered ipsilateral
trunk lean and pelvic rise towards the symptomatic side during single-leg support
compared to controls. Alterations were not uniformly adopted across all
individuals with FAI syndrome; those who exhibited more pronounced alterations to
frontal plane pelvis control tended to report pain during the task. There were
minimal between-group differences for hip biomechanics. CONCLUSION: Exploratory
data suggest biomechanics at the trunk and pelvis during step ascent differ
between individuals with and without FAI syndrome. Those with FAI syndrome
implement a range of proximal strategies for task completion, some of which may
have relevance for rehabilitation. Longitudinal investigations of larger cohorts
are required to evaluate hypothesized clinical and structural consequences.
PMID- 29353743
TI - Long-term development of overcorrection after femoral derotation osteotomy in
children with cerebral palsy.
AB - BACKGROUND: Recent studies showed rates of recurrence of internal rotation gait
(IRG) after femoral derotation osteotomy (FDO) up to 40%. Some surgeons even
advice overcorrection during FDO to avoid a later recurrence. RESEARCH QUESTION:
Evaluation of the long-term development of limbs with initial overcorrection
after FDO. METHODS: 29 limbs of 20 children (9.9 +/- 3.2 years at surgery) with
IRG, cerebral palsy (CP) and more than 5 degrees external hip rotation
postoperatively were included retrospectively. A gait analysis and clinical
examination were performed preoperatively (less than one year, E0),
postoperatively (9-23 months, E1) and at the long-term follow-up (at least five
years postoperatively, E2). Differences between those children that remained
overcorrected at E2 and those with a hip rotation within normal range at E2 were
evaluated. RESULTS: At E2 41% of these limbs remained overcorrected, 52% showed a
hip rotation within normal range and 7% showed recurrence of IRG. A comparison of
those limbs that remained overcorrected and those ending within normal range
revealed neither a difference in age at surgery nor in static and dynamic
torsional parameters at E0 and E1 except for pelvic rotation. A significantly
larger pelvic internal rotation at E1 for those with remaining overcorrection
could be identified. SIGNIFICANCE: A general overcorrection during FDO in
children with CP to avoid recurrence of IRG cannot be recommended, as 41% remain
overcorrected. Preoperative predictors for long-term development couldn't be
identified. If pelvic mal-rotation is corrected, hip rotation may change into
normal range over the time in combination with the development of a flexed knee
gait.
PMID- 29353744
TI - Methods to assess patellofemoral joint stress: A systematic review.
AB - Changes in patellofemoral joint (PFJ) stress are related to the development and
course of PFJ dysfunctions. Different methods for PFJ stress calculation have
been used, making the comparison of PFJ stress values across different studies
difficult. The purpose of this study was to systematically review the methods for
PFJ stress calculation and highlight the differences among the methods. A
systematic literature search was conducted in Medline, Embase, CINAHL,
SPORTDiscus and Web of Science databases. Included studies examined PFJ stress in
subjects with or without musculoskeletal conditions. Of 12,670 identified
studies, 53 were included, with a total of 1134 subjects evaluated. The main
differences among the methods to calculate PFJ stress were: i) method to
calculate PFJ contact area; ii) method to calculate a constant (coefficient k)
that defines the relation between quadriceps force and PFJ reaction force; iii)
the inclusion of adjustments for sagittal plane forces. Considerable variability
in PFJ stress results was observed. The greatest PFJ stress value was 55.03 MPa
during a dance jump and the lowest value was 1.9 MPa during walking at the speed
of 1.4 m/s. Most studies applied methods which use data from previous studies.
However, methods which use data from their own participants for most parts of the
calculation might be preferred to minimize potential errors. When direct measures
are not possible, a standard method could be applied to facilitate comparisons
among studies.
PMID- 29353745
TI - Comparison of soft tissue artifact and its effects on knee kinematics between non
obese and obese subjects performing a squatting activity recorded using an
exoskeleton.
AB - BACKGROUND: Rigid attachment systems are one of the methods used to compensate
for soft tissue artifact (STA) inherent in joint motion analyses. RESEARCH
QUESTION: The goal of this study was to quantify STA of an exoskeleton design to
reduce STA at the knee, and to assess the accuracy of 3D knee kinematics recorded
with the exoskeleton in non-obese and obese subjects during quasi-static weight
bearing squatting activity using biplane radiography. METHODS: Nine non-obese and
eight obese subjects were recruited. The exoskeleton was calibrated on each
subject before they performed a quasistatic squatting activity in the EOS(r)
imaging system. 3D models of exoskeleton markers and knee bones were
reconstructed from EOS(r) radiographs; they served to quantify STA and to
evaluate differences between the markers and bones knee kinematics during the
squatting activity. RESULTS: The results showed that STA observed at the femur
was larger in non-obese subjects than in obese subjects in frontal rotation (p =
0.004), axial rotation (p = 0.000), medio-lateral displacement (p = 0.000) and
antero-posterior displacement (p = 0.019), while STA observed at the tibia was
lower in non-obese subjects than in obese subjects for the three rotations (p <
0.05) and medio-lateral displacement (p = 0.015). Differences between the markers
and bones knee kinematics increased with knee flexion and were similar in both
groups, except for abduction-adduction: 4.9 degrees for non-obese subjects
against 2.3 degrees for obese subjects (p = 0.011). SIGNIFICANCE: This study
demonstrated that STA at the femur and its impact on knee abduction-adduction
using a specific exoskeleton were greater among non-obese subjects than obese
subjects, which is encouraging for future biomechanical studies on pathologies
such as osteoarthritis.
PMID- 29353747
TI - Modelling for reactor-style aerobic composting based on coupling theory of mass
heat-momentum transport and Contois equation.
AB - This study establishes an optimal mathematical modelling to rationally describe
the dynamic changes and spatial distribution of temperature and oxygen
concentration in the aerobic composting process using coupling mass-heat-momentum
transfer based on the microbial mechanism. Two different conditional composting
experiments, namely continuous aeration and intermittent aeration, were performed
to verify the proposed model. The results show that the model accurately
predicted the dynamic changes in temperature (case I: R2 = 0.93, RMSE = 1.95 K;
case II: R2 = 0.86, RMSE = 4.69 K) and oxygen concentration (case I: R2 = 0.90,
RMSE = 1.26%; case II: R2 = 0.75, RMSE = 2.93%) in the central point of compost
substrates. It also systematically simulated fluctuations in oxygen concentration
caused by boundary conditions and the spatial distribution of the actual
temperature and oxygen concentration. The proposed model exhibits good
applicability in simulating the actual working conditions of aerobic composting
process.
PMID- 29353746
TI - Computational fluid dynamics modeling of carbon dioxide capture from air using
biocatalyst in an airlift reactor.
AB - In this work, a novel three-phase and three dimensional CFD model based on the
Euler-Euler approach developed for modeling the hydrodynamic, mass transfer and
CO2 fixation using microalgae in an internal loop airlift reactor with internal
sparger. The main objective of this work was to development of CFD codes in order
to simulate the CO2 biofixation process under different input gas velocity during
11 days of culture time and simulate the unsteady state mass transfer based on
Surface-Renewal-Stretch (SRS) model. The CFD results were compared with the our
previous experimental work and they showed good agreement with a margin of less
than 10%. This paper illustrated the ability of the CFD in complex process
simulation such as CO2 biofixation in the airlift reactor and provided a useful
basis for further study.
PMID- 29353748
TI - Heteropoly acid catalytic treatment for reactivity enhancement and viscosity
control of dissolving pulp.
AB - The reactivity enhancement and viscosity control are of practical importance
during the manufacture of high-quality cellulose (also known as dissolving pulp).
In the study, the concept of using phosphotungstic acid (HPW) for this purpose
was demonstrated. The Fock reactivity of resultant pulp increased from 49.1% to
74.1% after the HPW catalytic treatment at a dosage of 86.4 mg HPW/g odp. The
improved results can be attributed to the increased fiber accessibility, thanks
to the favorable fiber morphologic changes, such as increased pore volume/size,
water retention value and specific surface area. HPW can be readily
recycled/reused by evaporating method, where maintaining 87.1% catalytic activity
after six recycle times. The HPW catalytic treatment concept may provide a green
alternative for the manufacture of high-quality dissolving pulp.
PMID- 29353749
TI - Using Chlorella vulgaris to treat toxic excess sludge extract, and identification
of its response mechanism by proteomics approach.
AB - Chlorella vulgaris was cultivated in varying proportions of toxic sludge extracts
obtained from a sequencing batch reactor for treating synthetic wastewater
containing chlorophenols. C. vulgaris could reduce the ecotoxicity from sludge
extracts, and a positive correlation was noted between ecotoxicity removal and
total organic carbon removal. In terms of cell density, the optimal proportion of
sludge extracts required for the cultivation of C. vulgaris was lower than 50%.
The correlation between protein content in per 106 algae and inhibition extent of
ecotoxicity of the 5 groups on the day of inoculation (0.9182, p < .05) indicated
a positive relationship between algal protein secretion and ecotoxicity.
According to the protein expression and differential protein expression analysis,
we concluded that C. vulgaris produced proteins that involved in the stress
response/redox system and energy metabolism/biosynthesis to respond to the toxic
environment and some other proteins related to mixotrophic metabolism.
PMID- 29353750
TI - Corn silage fungal-based solid-state pretreatment for enhanced biogas production
in anaerobic co-digestion with cow manure.
AB - The objective of this research was to use white-rot fungus Trametes versicolor
for corn silage pretreatment and to investigate the effect of pretreatment on
biogas productivity. Semi-continuous pilot-scale experiment, comprised of two
experimental phases, was carried out. In the first phase, operational conditions
of the full-scale biogas plant were reproduced at pilot-scale. In that phase, the
reactor was daily fed with the mixture of cow manure, digestate from industrial
postfermentor, corn grits and ensiled corn silage, and the average methane
generation rate was 0.167 m3CH4 kgVS-1. In the second phase, corn grits and
ensiled corn silage were replaced with corn silage pretreated with T. versicolor,
and the average methane generation rate increased up to 0.236 m3CH4 kgVS-1. The
results of this study suggest that application of fungal-based solid-state
pretreated corn silage has positive effect on pH stability and increase the
biogas productivity.
PMID- 29353751
TI - Metagenomic analysis of antibiotic resistance genes in coastal industrial
mariculture systems.
AB - The overuse of antibiotics has posed a propagation of antibiotic resistance genes
(ARGs) in aquaculture systems. This study firstly explored the ARGs profiles of
the typical mariculture farms including conventional and recirculating systems
using metagenomics approach. Fifty ARGs subtypes belonging to 21 ARGs types were
identified, showing the wide-spectrum profiles of ARGs in the coastal industrial
mariculture systems. ARGs with multiple antibiotics resistance have emerged in
the mariculure systems. The co-occurrence pattern between ARGs and microbial taxa
showed that Proteobacteria and Bacteroidetes were potential dominant hosts of
ARGs in the industrial mariculture systems. Typical nitrifying bacteria such as
Nitrospinae in mariculture systems also carried with some resistance genes.
Relative abundance of ARGs in fish ponds and wastewater treatment units was
relatively high. The investigation showed that industrial mariculture systems
were important ARGs reservoirs in coastal area, indicating the critical role of
recirculating systems in the terms of ARGs pollution control.
PMID- 29353752
TI - Steam explosion enhances digestibility and fermentation of corn stover by
facilitating ruminal microbial colonization.
AB - The purpose of this study was to evaluate steam explosion as a pretreatment to
enhance degradation of corn stover by ruminal microbiome. The steam explosion
conditions were first optimized, and then the efficacy of steam explosion was
evaluated both in vitro and in vivo. Steam explosion altered the physical and
chemical structure of corn stover as revealed by scanning electron microscopy
(SEM) and Fourier-transform infrared (FTIR) spectroscopy, respectively, and
increased its cellulose content while decreasing hemicellulose content. Steam
exploded corn stover also increased release of reducing sugars, rate of
fermentation, and production of volatile fatty acids (VFAs) in vitro. The steam
explosion treatment increased microbial colonization and in situ degradation of
cellulose and hemicellulose of corn stover in the rumen of dairy cows. Steam
explosion may be a useful pretreatment of corn stover to improve its nutritional
value as forage for cattle, or as feedstock for biofuel production.
PMID- 29353753
TI - Effect of dilute acid pretreatment of wild rice grass (Zizania latifolia) from
Loktak Lake for enzymatic hydrolysis.
AB - Zizania latifolia commonly known as wild rice grass which is available in huge
quantities in Loktak Lake is a major concern as it occupies a large area of the
Lake and causing a several environmental problems. The investigation of present
study was to evaluate possibilities of using Zizania latifolia as feed stock for
bioethanol production. The method involved the pretreatment with dilute acid or
alkali followed by enzymatic hydrolysis with commercial cellulase. Acid
pretreatment was performed with 10% biomass loading with different concentration
of acids (0.4-2% w/v) and alkali (0.25-1.5% w/v). Maximum sugar release of 457
mg/g was obtained from 10% biomass loading and 2% w/v of acids. Alkali
pretreatment is not effective for this grass. Physicochemical characterization of
untreated and treated biomass was carried out by XRD, FTIR, SEM and corresponding
alterations in the chemical composition were also monitored. Results showed the
feasibility of this grass as biofuel (bioethanol) feed stock and can be potential
approach to address the sustainable utilization phumdis grasses of Loktak Lake
for the production of value added product.
PMID- 29353754
TI - Synergistic impact of sonic-tenside on biomass disintegration potential:
Acidogenic and methane potential studies, kinetics and cost analytics.
AB - An exploration into the symbiotic impact of sonic-tenside (SDBS - sodium dodecyl
benzene sulfonate) on biomass disintegration potential and to reduce the energy
consumption was studied. At optimized condition (specific energy input 9600 kJ/kg
TS; SDBS dosage 0.07 g/g SS), higher percentage of biomass lysis and solids
reduction (23.9% and 19.8%) was obtained in blended sonic-tenside disintegration
(STD), than sonic disintegration (SD) (17.6% and 9.8%). The bioacidogenic
potential (BAP) assay in terms of volatile fatty acids (VFA) production (722
mg/L) was found to be higher for STD, in comparison to SD (350 mg/L). The impact
of STD on anaerobic digestion was evident from its methane yield (0.239 g/g COD),
higher than SD (0.182 g/g COD). A monetary evaluation of the present study
provides a net gain of 2 USD/ton for STD, indicating the profitability of the
technique.
PMID- 29353755
TI - Enhanced reducing sugar production by saccharification of lignocellulosic
biomass, Pennisetum species through cellulase from a newly isolated Aspergillus
fumigatus.
AB - A cellulose degrading fungus Aspergillus fumigatus (CWSF-7) isolated from
decomposed lignocellulosic waste containing soil was found to produce high titer
of cellulases. The optimum activity of CMCase and FPase were 1.9 U/mL and 0.9
U/mL respectively while the highest protein concentration was found to be 1.2
mg/mL. Saccharification of two Pennisetum grass varieties [dennanath (DG) and
hybrid napier grass (HNG)] were optimized using partially purified CMCase and
FPase in equal concentration, i.e. a ratios of 1:1 and further with addition of
commercial xylanase using response surface methodology (RSM). The production of
total reducing sugar (TRS) using isolated cellulase were 396.6 and 355.8 (mg/g),
whereas further addition of xylanase had higher TRS titers of 478.7 and 483.3
(mg/g) for DG and HNG respectively as evident from HPLC analysis. Further,
characterization of the enzyme saccharified DG and HNG by SEM and ATR-FTIR
revealed efficient hydrolysis of cellulose and partially hydrolysis of
hemicellulose.
PMID- 29353756
TI - Dry anaerobic co-digestion of food waste and cattle manure: Impact of total
solids, substrate ratio and thermal pre treatment on methane yield and quality of
biomanure.
AB - The objective of the present study is to assess the impact of TS concentration,
substrate mixing ratio (co digestion) and thermal pretreatment on biogas
production, methane yield, VS reduction (%) and quality of biomanure through dry
anaerobic digestion (DAD) of food waste (FW) and cattle manure (CM). Results
divulged that the optimum methane yield and biomanure of 0.18 and 0.21 m3 CH4/(kg
VS reduced) and 3.15 and 2.8 kg/kg waste was obtained from FW at TS of 25% and
30% at an HRT of 41 and 31 days respectively whereas it was 0.32 and 0.43 m3
CH4/(kg VS reduced) and 2.2 and 1.15 kg/kg waste from pretreated FW at an HRT of
16 and 20 days correspondingly. Improvement of methane from 62 to 81% was
obtained due to thermal pretreatment. The highest nutrient recovery in terms of
N, P, K was found to be 5.14, 2.6 and 3.2 respectively.
PMID- 29353757
TI - Cultivation of aerobic granular sludge in continuous flow under various selective
pressure.
AB - Formation of aerobic granular sludge was examined in a novel continuous flow
configuration, at 20 +/- 1 degrees C. Synthetic proteinaceous wastewater with
municipal primary effluent characteristics was used (i.e., COD = 370 +/- 30 mg/L;
TN = 43 +/- 7 mg/L; and TP = 10 +/- 2 mg/L). Various levels of selective pressure
were applied after inoculation with flocculent sludge (i.e., estimated velocity
gradients during settling between 1 and 9 1/s). Impeller rpm of 15 and below
generated floccular-granular biomass, while 20 rpm and above generated large
granules with a filamentous population. Effluent soluble COD, total inorganic
nitrogen, and phosphate of 25 +/- 7 mg/L, 11 +/- 1 mg/L, and 0.1 +/- 0.1 mg/L,
respectively, were obtained. Observed yields were as low as 0.08-0.19 g-VSS/g-COD
and whole sludge solids retention time was 18 +/- 1 d. Famine conditions
developed for 90% of the total aerobic volume and >45 +/- 3% anaerobic substrate
utilization was recorded. Aerobic granulation was demonstrated feasible under
continuous flow providing adequate treatment with low biomass yields.
PMID- 29353758
TI - Development of mixed bacterial cultures DAK11 capable for degrading mixture of
polycyclic aromatic hydrocarbons (PAHs).
AB - Polycyclic aromatic hydrocarbons (PAHs) are ubiquitous and persistent pollutants
having mutagenic and carcinogenic properties. Microbial metabolism is an
alternative approach for removal of PAHs from polluted environment. Mixed
bacterial cultures DAK11 capable for degrading mixture of PAHs was developed from
long term polluted marine sediments. DAK11 was able to degrade 500 mg/L of
mixture of four PAHs and their degradation efficiency was enhanced by
supplementing commercially available NPK fertilizer (0.1%, w/v). Anionic
surfactant SDS has enhanced the degradation of PAHs, but DAK11 growth was
inhibited in presence of cationic surfactant CTAB. Heavy metals have decreased
the rate of degradation, while it was completely inhibited in the presence of
Zn2+ and CrO42- (1mM). DAK11 was able to degrade PAHs in the presence of mono
aromatic hydrocarbons, lubricant oil and diesel. Lower molecular weight aromatic
and aliphatic compounds were identified using GC-MS during metabolism of mixture
of PHAs.
PMID- 29353759
TI - Optically stimulated Al2O3:C luminescence dosimeters for teletherapy: Hp(10)
performance evaluation.
AB - The performance of optically stimulated luminescence dosimeters (OSLDs, Al2O3:C)
was evaluated in terms of the operational quantity of HP(10) in Co-60 external
beam teletherapy unit. The reproducibility, signal depletion, and dose linearity
of each dosimeter was investigated. For ten repeated readouts, each dosimeter
exposed to 50mSv was found to be reproducible below 1.9 +/- 3% from the mean
value, indicating good reader stability. Meanwhile, an average signal reduction
of 0.5% per readout was found. The dose response revealed a good linearity within
the dose range of 5-50mSv having nearly perfect regression line with R2 equals
0.9992. The accuracy of the measured doses were evaluated in terms of operational
quantity HP(10), wherein the trumpet curve method was used respecting the 1990
International Commission on Radiological Protection (ICRP) standard. The accuracy
of the overall measurements from all dosimeters was discerned to be within the
trumpet curve and devoid of outlier. It is established that the achieved OSL
Al2O3:C dosimeters are greatly reliable for equivalent dose assessment.
PMID- 29353760
TI - Targeting the IL-17/IL-6 axis can alter growth of Chronic Lymphocytic Leukemia in
vivo/in vitro.
AB - The tumor microenvironment (TME) is critical to the longevity of tumor B cells in
chronic lymphocytic leukemia (CLL). Bone marrow mesenchymal stem cells (BMMSCs)
and the cytokines they produce including IL-6 are important components of the TME
in CLL. We found BMMSCs supported the survival of CLL cells in vitro through an
IL-6 dependent mechanism. IL-17 which induces IL-6 generation in a variety of
cells increased production of IL-6 both in CLL cells and BMMSCs in vitro. In a
xenograft CLL mouse model, BMMSCs and the culture supernatant of BMMSCs increased
engraftment of CLL cells through an IL-6 mediated mechanism with human
recombinant IL-6 showing similar effects in vivo. Human recombinant IL-17
treatment also increased CLL engraftment in mice through an IL-6 mediated
mechanism. Plasma of CLL patients showed elevated levels of both IL-6 and IL-17
by ELISA compared with healthy controls, with levels of IL-6 linearly correlated
with IL-17 levels. CLL patients requiring fludarabine based chemotherapy
expressed higher levels of IL-6 and IL-17, while CLL patients with the lowest
levels of IgA/IgM had higher levels of IL-6, but not IL-17. These data imply an
important role for the IL-17/IL-6 axis in CLL which could be therapeutic targets.
PMID- 29353761
TI - Theory of mind performances in first-episode schizophrenia patients: An 18-month
follow-up study.
AB - This study examined the change of Theory of Mind (ToM) performances in patients
with first-episode schizophrenia over an 18-month period since illness onset. A
computerised behavioural task was utilised to assess the affective and cognitive
facets of visual-based ToM. Patients' ToM performances were standardised using
the norms of gender-stratified, age- and IQ-matched controls. The results showed
that schizophrenia patients exhibited poorer second-order affective and cognitive
ToM at baseline, but their ToM ability improved after 18 months of follow-up. Our
findings do not support a longitudinal dissociation of affective from cognitive
ToM in schizophrenia.
PMID- 29353762
TI - Alcohol use disorder and cognitive impairment in old age patients: A 6 months
follow-up study in an outpatient unit in Barcelona.
AB - There has been little research about deleterious effects, including cognitive
impairment, related to hazardous long-term alcohol use in old adults. This study
aims to assess cognitive decline in old patients with alcohol use disorder and
changes in cognitive state at 6 months follow-up, achieving or not abstinence. A
six-month follow-up study was conducted in an outpatient center in Barcelona on a
sample of old adults (>=65 years old) who had hazardous alcohol use. The sample
was compared with healthy volunteers adjusted for age, sex and years of
education. A neuropsychological protocol was performed at baseline and after 6
months follow-up covering four cognitive domains: attention, visuospatial
abilities, memory and executive functions. Several domains were significant
impaired at baseline: visual immediate and delayed recall, working memory,
immediate verbal learning, total words learned, set switching and sustained
attention. At 6 months reassessment, alcohol abstinence was achieved in 93.5% of
patients and it was detected a trend towards improvement in direct mean scores of
all cognitive areas, although it was not significant. The current study points
out a cognitive impairment in many areas secondary to alcohol long-term hazardous
use in old adults. A trend towards cognitive improvement after recovery was
detected in most patients.
PMID- 29353764
TI - The influence of time attitudes profile membership on mental well-being and
psychosomatic symptomatology: A United Kingdom-based prospective study.
AB - Mental well-being is of great importance for emotional, psychological and social
functioning, particularly in adolescence, a period characterized by significant
physical, social, and emotional changes. The extant literature examining the
relationship between temporal attitudes and mental and psychosomatic health
outcomes is increasing rapidly. Using Latent Transition Analysis (LTA) of
Adolescent and Adult Time Inventory-Time Attitude Scale scores across three waves
of data (N = 1667; 13-15 years; 42.0% female), we sought to examine the
predictive power of time attitudes profile membership on mental well-being and
psychosomatic symptomatology at distal wave four. Results indicated that staying
in the Positive or Ambivalent profile was associated with more favorable distal
outcomes at + 9 months; whereas staying in Negative or Moderately-Negative
profile was strongly related to more somatic and psychological symptomatology,
and lower mental well-being. Given the potential to modify time attitudes, these
findings have several implications for interventions targeting adolescent mental
and physical health.
PMID- 29353763
TI - The Metacognitions about Gambling Questionnaire: Development and psychometric
properties.
AB - Recent research has suggested that metacognitions may play a role across the
spectrum of addictive behaviours. The goal of our studies was to develop the
first self-report scale of metacognitions about gambling. We conducted three
studies with one community (n = 165) and two clinical (n = 110; n = 87) samples
to test the structure and psychometric properties of the Metacognitions about
Gambling Questionnaire and examined its capacity to prospectively predict
severity of gambling. Findings supported a two factor solution consisting of
positive and negative metacognitions about gambling. Internal consistency,
predictive and divergent validity were acceptable. All the factors of the
Metacognitions about Gambling Questionnaire correlated positively with gambling
severity. Regression analyses showed that negative metacognitions about gambling
were significantly associated to gambling severity over and above negative affect
and gambling-specific cognitive distortions. Finally only gambling severity and
negative metacognitions about gambling were significant prospective predictors of
gambling severity as measured three months later. The Metacognitions about
Gambling Questionnaire was shown to possess good psychometric properties, as well
as predictive and divergent validity within the populations that were tested.
PMID- 29353765
TI - Correlates of sedentary behaviour among adults with hazardous drinking habits in
six low- and middle-income countries.
AB - Sedentary behaviour is associated with poor mental health, diabetes and
cardiovascular disease, all of which are a concern among hazardous drinkers.
Little is known about sedentary behaviour and it's correlates in hazardous
drinkers, particularly in low- and middle-income countries. We investigated
correlates of sedentary behaviour among community-dwelling adults aged >=18 years
with hazardous drinking patterns in six low- and middle-income countries. Cross
sectional data were analyzed from the World Health Organization's Study on Global
Ageing and Adult Health. Hazardous drinking was defined as consuming >7 (females)
or >14 (males) standard drinks per week. Associations between time spent
sedentary and a range of correlates were examined using multivariable linear and
logistic regression. The mean time spent sedentary in 2142 individuals with
hazardous drinking patterns (mean age = 45.7 years; 13% female) was 216+/
135min/day. Nine percent (95%CI=6.1-13.2%) were sedentary for >=8h per day.
Living in an urban setting and unemployment were strong sociodemographic
correlates of being sedentary for >=8h per day. From a health-related
perspective, weak grip strength, stroke and disability were associated with
increasing time spent sedentary. The current data provides important guidance for
future interventions across low- and middle-income countries to assist hazardous
drinkers to reduce sedentary behaviour.
PMID- 29353766
TI - Development and validation of Image Stimuli for Emotion Elicitation (ISEE): A
novel affective pictorial system with test-retest repeatability.
AB - The aim of this study was to develop a novel set of pictorial stimuli for emotion
elicitation. The Image Stimuli for Emotion Elicitation (ISEE), are the first set
of stimuli for which there was an unbiased initial selection method and with
images specifically selected for high retest correlation coefficients and high
agreement across time. In order to protect against a researcher's subjective bias
in screening initial pictures, we crawled 10,696 images from the biggest image
hosting website (Flickr.com) based on a computational selection method. In the
initial screening study, participants rated stimuli twice for emotion elicitation
across a 1-week interval and 1620 images were selected based on the number of
ratings of participants and retest reliability of each picture. Using this set of
stimuli, a second phase of the study was conducted, again having participants
rate images twice with a 1-week interval, in which we found a total of 158 unique
images that elicited various levels of emotionality with both good reliability
and good agreement over time. The newly developed pictorial stimuli set is
expected to facilitate cumulative science on human emotions.
PMID- 29353767
TI - Acculturative stress and mental health among economically disadvantaged Spanish
speaking Latinos in primary care: The role of anxiety sensitivity.
AB - The present study examined the role of anxiety sensitivity in the relation
between acculturative stress and mood and anxiety symptoms and disorders among
Latinos seeking health services at a primary healthcare facility. Participants
included 142 adult Latinos (86.6% female, Mage = 39.05 years, SD = 12.46%, and
96.3% reported Spanish as their first language). Results indicated that
acculturative stress was indirectly related to the number of mood and anxiety
disorders, anxious arousal, social anxiety, and depressive symptoms through
anxiety sensitivity. The observed effects were evident above and beyond the
variance accounted for by gender, age, marital status, educational status,
employment status, years living in the United States, and negative affectivity.
Overall, the present findings suggest that there is merit in focusing further
scientific attention on the interplay between acculturative stress and anxiety
sensitivity to better understand and inform interventions to reduce
anxiety/depressive vulnerability among Latinos in primary care.
PMID- 29353768
TI - Leukocytes in peripheral blood in patients with bipolar disorder - Trait and
state alterations and association with levels of cytokines and C-reactive
protein.
AB - Low-grade inflammation has been found in patients with bipolar disorder (BD), but
rarely assessed using leukocyte counts and findings are limited by lack of
control for confounding factors. As a result, it is unclear whether BD per se is
associated with peripheral inflammation. We pooled populations from two studies
using similar longitudinal designs, including 300 blood samples from a total of
97 patients with BD and 133 blood samples from a total of 72 healthy control
individuals (HC). Total leukocyte and neutrophil counts were measured together
with interleukin (IL) - 6, IL-8, IL-18, tumor necrosis factor (TNF) - alpha and
high sensitivity C-reactive protein (hsCRP). Adjusted for confounders, leukocyte
counts were 23% higher and neutrophil counts were 30% higher in patients with BD
compared with HC. There were no state-related differences in leukocyte or
neutrophil counts. Lithium use, cigarette smoking as well as levels of IL-6, TNF
alpha and hsCRP were positively associated with leukocyte and neutrophil counts.
Due to confounding issues it cannot be concluded that differences were related to
bipolar disorder per se. Future studies are recommended to include leukocytes as
markers of low-grade inflammation and to include relevant confounders in
statistical analyses.
PMID- 29353769
TI - The impact of self-stigmatization on medication attitude in schizophrenia
patients.
AB - Negative attitudes towards medication in schizophrenia patients are one major
factor contributing to non-adherence behavior. Besides, self-stigmatization
represents another frequent and important obstacle in patients suffering from
psychotic disorders. Here, we investigated possible associations between
medication adherence attitude and the extent of self-stigmatization, while also
exploring factors related to self-stigmatization. Sociodemographic
characteristics, clinical variables, medication attitude and self-stigmatization
were assessed among 81 subjects with schizophrenia or schizoaffective disorder.
The cross-sectional data was then analyzed by multivariate analyses. A more
positive attitude towards medication was predicted by better insight into
illness, lower degree of self-stigmatization and good subjective knowledge about
medication (adjusted R2 = 0.23). Furthermore, a higher level of self
stigmatization was associated with lower subjective wellbeing, more severe
depressive symptoms and male gender (adjusted R2 = 0.58). Other clinical
variables had no additional predictive value for medication adherence attitude or
the extent of self-stigmatization. Our findings support the notion that self
stigmatization is an influential factor on medication attitude that should
therefore be appreciated in clinical practice. Besides this, special emphasis
should be taken on depressive symptoms and reduced wellbeing, especially in male
patients, to lower the extent of self-stigmatization.
PMID- 29353770
TI - Altered autonomic reactivity in Korean military soldiers with adjustment
disorder.
AB - Only few studies addressing the biological background of adjustment disorder (AD)
exist. We examined the psychophysiological correlates indicative of autonomic
regulation in AD. Heart rate variability (HRV), skin conductance, skin
temperature, electromyography, and respiration were measured during serial stress
tasks in 33 soldiers with AD and 60 healthy controls (HC). Patients with AD
displayed lower relative power of high frequency (rHF) HRV and higher relative
power of very low frequency (rVLF) HRV compared with HC at baseline. Inversely,
the rHF of patients with AD remained higher and their rVLF remained lower
compared with HC parameters after the single stress task, which suggests a
reversed sympathovagal balance in AD. Mean heart rate and skin conductance
increased during stress tasks in patients, although to a lesser extent than in
HC. Skin temperature remained unchanged in all tasks in patients with AD. The
tension of the frontalis muscle was higher in patients compared with HC from the
second stress task onward. Thoracic breathing was more prevalent in patients with
AD. Our study suggests altered autonomic reactivity in AD, which leads to a lack
of sympathetic response to stress. We conclude that the distinctive biological
mechanisms underlying AD are different from normal stress reactions.
PMID- 29353771
TI - PTSD and depression in adult survivors of flood fury in Kashmir: The payoffs of
social support.
AB - Exposure to disasters such as floods predisposes individuals for psychological
distress such as posttraumatic stress disorder (PTSD), depression, and anxiety.
Researchers exploring the association between flood-exposure and psychopathology
attempt to understand the conditions and mechanisms through which potential
benefits may occur. One such potential factor that may contribute to resilience
in the face of disaster is social support system. However, there is scarcity of
researches concerning the protective effects of social support following floods,
in adult samples. Thus, the present study examined the moderating and indirect
effects of perceived social support from family and friends in the association
between flood-exposure and symptoms of PTSD and depression. The study was a cross
sectional in nature. Survey data were collected from 87 adult survivors of flood
fury in Kashmir valley, aged 30-40. High level of family and friends' support
reduced the association between flood-exposure and symptoms of PTSD and
depression whereas a low level of such support enhanced this association. Results
also suggested that family support carried a significant proportion of variance
in predicting depression. Given the potential for negative mental health outcomes
following natural disasters, efforts to enhance adults' social support may be
especially important.
PMID- 29353772
TI - The impact of development of atherosclerosis on delamination resistance of the
thoracic aortic wall.
AB - The aim of this work is to determine the impact of development of atherosclerosis
on dissection of the human thoracic aorta on the basis of an analysis of the
mechanical properties of the interfaces between its layers. The research material
consisted of 17 pathologically unchanged aortae and 74 blood vessels with
atherosclerotic lesions, which were classified according to the histological
classification by Stary. The subject of the analysis were the interfaces between
the adventitia and the media-intima complex (A-MIC) and between the intima and
the media-adventitia complex (I-MAC). The mechanical properties of the above
interfaces were determined by the peeling test in the longitudinal and
circumferential directions. The results indicate that development of
atherosclerosis reduces vessel wall resistance to delamination. The greatest risk
of dissection occurs at stage IV of the disease. In this case, energy values are
lower by about 28% for the I-MAC interface and by 39% for the A-MIC interface
compared with normal tissues. Lower values of mean force and energy were obtained
for the I-MAC interface, indicating that this interface is more susceptible to
delamination. The mechanical properties of the A-MIC interfaces are directional.
PMID- 29353773
TI - Energy absorption characteristics of bio-inspired honeycomb column thin-walled
structure under impact loading.
AB - Beetles have developed the elytra that are interesting and impressive strategy
for thriving in their native environments. The elytra, although formed from
simple biopolymer constituents, take on many effective designs. In present work,
internal structure of elytra is discussed and three bionic structures named as
the bio-inspired honeycomb column thin-walled structure (BHTS) are proposed. Then
the crushing behavior and energy absorption characteristics of the BHTS under
axial impact loading are investigated by numerical simulation. This study reveals
not only the relationship between the adding mode and energy absorption
characteristics, but also the influence of column diameter on the BHTS. The
findings show that the BHTS represents a significant improvement over honeycomb
structures and show potential applications in the field of protective equipment.
PMID- 29353774
TI - Temporal and spatial variations of pressure within intervertebral disc nuclei.
AB - Experimental and computational studies often presume that nuclei pulposi of non
degenerated human lumbar discs function as fluid-filled cavities with single
hydrostatic pressures throughout that vary neither with time nor location and
orientation. Recent simultaneous measurements of the pressure at multiple
locations within disc nuclei have however shown time-dependent and nonhomogeneous
pressure distributions. This combined in vitro and in silico study aims to re
examine the temporal and spatial variations of the pressure within disc nuclei
with special focus on the effect of tissue hydration. After 20h of free swelling,
effects of two preload magnitudes (0.06 and 0.28MPa) on nucleus pressure were
investigated under 8h of constant preloads followed by 10 cycles of high-low
loads each lasting 15min using 6 disc-bone bovine specimens. Changes in pressure
at 3 different nucleus locations were recorded as surrogate measures of fluid
flow within the discs. To identify the likely mechanisms observed in vitro, a
finite element model of a human disc (L4-L5) was employed while simulating
foregoing plus additional loading protocols. In vitro and computed results show a
clear and substantial pressure gradient within the nucleus, especially early
after the load application under higher loads and in more hydrated discs. The
pressure reaches its maximum in the nucleus center reducing axially toward
endplates and radially toward the nucleus-annulus interface. These cause pressure
gradients that substantially diminish with time and at lower hydration levels.
With time and as the pore pressure drops, the contribution of the nucleus bulk
increases till it reaches equilibrium. The relative share of the annulus bulk in
supporting the applied loads markedly increases not only with time but at higher
loads and lower hydrations. The hydration state of the disc is hence crucial in
the disc pressure distribution and internal response under various static-dynamic
loads in vitro and in the replication of in vivo conditions.
PMID- 29353775
TI - Mechanical wear and oxidative degradation analysis of retrieved ultra high
molecular weight polyethylene acetabular cups.
AB - The number of revision joint replacements has been increasing substantially over
the last few years. Understanding their failure mechanism is extremely important
for improving the design and material selection of current implants. This study
includes ten retrieved and four new mildly cross-linked ultra-high molecular
weight polyethylene (UHMWPE) acetabular liners. Among them, most of the
prostheses (n = 5) were reported to be revised and replaced due to aseptic
loosening, followed by painful joint (n = 2), dislocation (n = 1), intra
articular ossification (n = 1), combination of wear (liner) and osteolysis (stem)
(n=1). Surface deviations (wear, material inflation and roughness), oxidative
degradation and change of material properties were measured using micro-computed
tomography (micro-CT) scan, 3D laser scanning microscopy, raman spectroscopy and
nanoindentation, respectively. Prostheses having eccentric worn areas had much
higher linear wear rates (228.01 +/- 35.51um/year) compared to that of
centrically worn prostheses (96.71 +/- 10.83um/year). Oxidation index (OI) showed
similar trends to the surface penetration depth. Among them, sample 10 exhibited
the highest OI across the contact area and the rim of the cup liner. It also had
the lowest hardness/elasticity ratio. Overall, wear and creep, oxidative
degradation and reduced hardness/elasticity ratio all contributed to the
premature failure of the UHMWPE acetabular cup liners.
PMID- 29353776
TI - Changes in Microcystis aeruginosa cell integrity and variation in microcystin-LR
and proteins during Tanfloc flocculation and floc storage.
AB - The objective of this study was to determine the influence of Tanfloc on
Microcystis aeruginosa cell integrity, microcystin-LR (MC-LR), and proteins
during flocculation and floc storage. The effects of Tanfloc addition, stirring,
and floc storage time were considered to minimize cell damage and the release of
MC-LR and proteins. Optimal flocculation conditions (Tanfloc dosage 10.42 mg L-1,
rapid agitation for 0.36 min at 568.88 rpm and slow agitation for 14.14 min at
12.1 rpm) were obtained using the response surface methodology. Up to 98.9% of
the M. aeruginosa cells were removed intact at low Tanfloc dosage. During floc
storage, Tanfloc initially protected the cells. After 8 d, large-scale cell lysis
occurred because Tanfloc had substantially decomposed. Nevertheless, Tanfloc also
extended the extracellular MC-LR and protein release time to 8 d. This delay
ensured adequate time to decontaminate sludge containing the algae, thereby
reducing the risk of secondary pollution. In addition, the low cost of Tanfloc
facilitates its widespread application in the management of harmful algal blooms.
PMID- 29353777
TI - Spatiotemporal variation of domestic biomass burning emissions in rural China
based on a new estimation of fuel consumption.
AB - Domestic biomass burning (DBB) influences both indoor and outdoor air quality due
to the multiple pollutants released during incomplete and inefficient combustion.
The emissions are not well quantified because of insufficient information, which
were the key parameters related to fuel consumption estimation, such as province-
and year-specific percentage of domestic straw burning (Pstraw) and firewood
consumption (Fc). In this study, we established the quantitative relationship
between rural-related socioeconomic parameters (e.g., rural per-capita income and
rural Engel's coefficient) and Pstraw/Fc. DBB emissions, including 12 crop straw
types and firewood for 12 kinds of pollutants in China during the period 1995
2014, were estimated based on fuel-specific emission factors and detailed fuel
consumption data. The results revealed that the national emissions generally
increased initially and then decreased with the turning point around 2007-2008.
Firewood burning was the major source of the NH3 and BC emissions; straw burning
contributed more to SO2, NMVOC, CO, OC, and CH4 emissions; while the major
contributor changed from firewood to domestic straw burning for NOx, PM10, PM2.5,
CO2, and Hg emissions. The emission trends varied among the 31 provinces. The
major agricultural regions of north-eastern, central, and south-western China
were always characterized by high emissions. The spatial variation mainly
occurred in the northeast and north China (increase), and central-south and
coastal regions of China (decrease).
PMID- 29353778
TI - Estimating the burden of lung cancer and the efficiency of home radon mitigation
systems in some Canadian provinces.
AB - : Lung cancer (LC) is the leading cause of death of cancer in Canada in both men
and women, and indoor radon is the second leading cause of LC after tobacco
smoking. The Population Attributable Risk (PAR) is used to assess radon exposure
risk. In this work we estimate the burden of LC in some Canadian provinces. We
use the PAR to identify the radon levels responsible for most LC cases. Finally,
we use the PAR function of the two variables, radon action and target levels, to
search for a possible optimal mitigation program. METHODS: The LC burden for
Ontario, Alberta, Manitoba, Quebec and British Columbia was estimated using
provincial radon and mortality data. Then the PAR and LC cases for these
provinces were estimated over the period 2006-2009 at different given indoor
radon exposure levels. Finally, the PAR function when radon action levels and
radon target levels are variables was analyzed. RESULTS: The highest burden of LC
in 2006-2009 was in Ontario and Quebec. During the period 2006-2009, 6% of houses
in Ontario, 9% of houses in Alberta, 19% of houses in Manitoba, 7% of houses in
Quebec, and 5% of houses in British Columbia had radon levels higher than 200
Bq/m3 and were responsible about 913, 211, 260, 972, and 258 lives, respectively.
Radon mitigation programs could have prevented these LC cases. The BEIR VI
assumption for the United States (US) population, 95% of LC deaths in men and 90%
of LC deaths in women are Ever-Smokers (ES), can be applied to the Canadian
population. The PAR is a linear function in the target radon value with an
estimated slope of 0.0001 for Ontario, Alberta, Quebec and British Columbia, and
0.0004 for Manitoba. The PAR is almost a square root function in the radon action
level. The PAR is sensitive to changes in the radon mitigation program and as
such, any improvement is a worthwhile investment.
PMID- 29353779
TI - Trace metals and macroelements in mussels from Chinese coastal waters: National
spatial patterns and normalization.
AB - Metal contamination is one of the most ubiquitous and complex problems in the
Chinese coastal environment. To explore the large-scale spatial patterns of
bioavailable metals, we sampled three major mussels, including 784 blue mussels
(Mytilus edulis Linnaeus, 1758) of 14 sites, 224 hard-shelled mussels (Mytilus
unguiculatus Valenciennes, 1858) of 4 sites, and 392 green mussels (Perna viridis
(Linnaeus, 1758)) of 7 sites, ranging from temperate to tropical coastlines of
China, during August and September 2015. The concentrations of macroelements (Na,
K, Ca, Mg, and P) and toxic trace metals (Ag, Cd, Cr, Cu, Ni, Pb, Ti, and Zn) in
the mussel's whole soft tissues were determined. Among the four Chinese coastal
basins, Cd, Ti and Cr in the mussel tissues were the highest at Bohai Sea (BS)
and Yellow Sea (YS), and Cu, Ni, Pb and Ag in the mussel tissues were the highest
at East China Sea (ECS) and South China Sea (SCS). Zinc concentrations in mussels
from YS were significantly higher than those from the other regions. Given the
variability of environmental conditions such as salinity and nutrients, we
further normalized the measured tissue metal concentrations with tissue Na and P
levels. After Na normalization as the salinity proxy, the variability of Cd, Cu,
Zn, Ag, and Ni was reduced. Trace elements accumulation in the mussel tissues was
significantly related to both macroelements (Na or P) and body dry weight. The
present study demonstrated that nonlinear optimization of different elements was
necessary in assessing metal bioaccumulation patterns in marine mussels at a
large spatial scale.
PMID- 29353780
TI - Development of a QuEChERS method for simultaneous analysis of antibiotics in
carcasses for supplementary feeding of endangered vultures.
AB - Antibiotics have been beneficial for human and animal health. However, an
excessive use in livestock and a deficient management of the carcasses can lead
to adverse effects in the scavengers that ingest them, especially in
"supplementary feeding sites" (SFS). The aim of this study was to assess the
potential risk of exposure to antibiotics for an endangered population of
Cinereous vultures (Aegypius monachus) from southeastern Portugal. Hence, a multi
residue method based on QuEChERs was adapted and validated to analyse, in small
volumes of tissues, the most frequent antibiotics used in livestock. The method
was applied to 87 samples of liver, muscle and kidney from 7 goats and 25 sheep
disposed in SFS. According to questionnaires to farmers, the animals had not been
treated with antibiotics, but analyses showed residues in 29% of the samples.
Antibiotics were more frequent in goats (42.9%) than in sheep (24.2%), and
oxytetracycline and trimethoprim were the most common (both 13.8%).
Oxytetracycline, the most common antibiotic for livestock in Portugal, showed the
highest concentration (1452.68 ng g-1). To our knowledge, this is the first study
of presence of antibiotics in carrion from SFS. The concentrations of antibiotics
in carrion do not seem to pose a risk of acute intoxication for adult Cinereous
vultures. However, subtle and likely chronic exposure with unknown health
consequences may occur, which requires more research. Moreover, the results of
this first study can be used in future studies to assess the risk for avian
scavengers.
PMID- 29353781
TI - Age-dependent changes in essential elements and oxidative stress biomarkers in
blood of red deer and vulnerability to nutritional deficiencies.
AB - Changes in the concentration of circulating essential elements in animals over
life may be indicative of periods of vulnerability to deficiencies and associated
diseases. Here we studied age-related variations in essential elements (Se, Cu,
Zn and Mn) and some selected oxidative stress biomarkers (GPx, SOD, vitamin A and
vitamin E) in blood of an Iberian red deer (Cervus elaphus hispanicus) population
living in semicaptive conditions. Animals during their first year of life showed
to be especially vulnerable to suffer Se- and Cu-related diseases and disorders.
Older female deer had lower blood levels of Zn and Mn, which was accompanied by a
lower blood SOD activity. On the contrary, GPx blood activity was elevated in
older deer, which may help to compensate the reduction of other antioxidants with
during aging. Age-related changes in GPx and SOD and their positive relationships
with the essential elements suggest that the observed nutritional deficiencies at
certain age stages may have a detrimental effect on the antioxidant system,
increasing the risk of oxidative stress. Thus, the biomarkers used in the present
study may be important tools for the subclinical diagnosis of nutritional
disorders and diseases related to the generation of oxidative stress in both
domestic and wild ungulates.
PMID- 29353782
TI - Hydrochemical characteristics of ground ice in permafrost regions of the Qinghai
Tibet Plateau.
AB - Ground ice is a distinctive feature of permafrost terrain. The vertical
distribution and factors controlling the hydrochemistry of ground ice are
important for studying soil moisture and salt migration during the freeze-thaw
process in soil. These factors are also important components of hydrological
cycles in cold regions. The hydrochemical characteristics of ground ice on the
Qinghai-Tibetan Plateau (QTP) are not well known. We examined the characteristics
of ground ice hydrochemistry using data from 9 soil profiles in permafrost
regions of the central QTP. The isotopes and anion concentrations of subsurface
water on the QTP were higher than those in Arctic polygonal ground regions. The
spatial distribution of anions was complex. Well-developed hydrochemical depth
gradients occurred within the soil profile. Isotopes decreased and anions
increased with depth, suggesting general vertical patterns of soil hydrochemistry
across different permafrost regions. Cl- and SO42- concentrations in soil water
increased with depth, while NO3- concentration did not change with depth. Freeze
out fractionation, self-purification, and desalination greatly impact soil
hydrochemistry. The major factors controlling variation of soil water chemistry
were soil moisture, air temperature, and active layer thickness. The results
could provide a framework for understanding ground ice origins and the moisture
and salt migration pathways in the context of permafrost changes. This
information could be useful in developing process-based permafrost hydrologic
models.
PMID- 29353783
TI - The prevalence of multidrug-resistant Aeromonas spp. in the municipal wastewater
system and their dissemination in the environment.
AB - The objective of this study was to identify the determinants of antibiotic
resistance and virulence of Aeromonas spp. isolated from treated wastewater (WW)
and from samples of river water collected upstream (URW) and downstream (DRW)
from the effluent discharge point. The resistance of Aeromonas spp. to
antibiotics that are widely used in human and veterinary medicine, including beta
lactams, tetracyclines, glycylcyclines, fluoroquinolones, aminoglycosides and
sulfamethoxazole-trimethoprim, was analyzed by disk diffusion method. The
prevalence of hemolysins, aerolysins (virulence factors) and integrase genes was
determined. A total of 83 Aeromonas spp. strains were selected from the isolates
obtained from river water and wastewater samples. The highest percentage (81.8%)
of multidrug-resistant isolates was noted in DRW samples. The analyzed isolates
were most frequently resistant to beta-lactams, tetracyclines and
aminoglycosides, whereas resistance to glycylcyclines was least common. Isolates
resistant to beta-lactams most frequently harbored blaTEM and blaOXA genes. The
group of genes encoding resistance to tetracyclines was most frequently
represented by tet(E) and tet(O). Genes encoding virulence ahh1 (heat-labile
hemolysin) or integrase intI1 occurred more frequently in the strains isolated
from DRW than URW. An analysis of genetic relatedness revealed two main clusters
one with closely related WW and DRW isolates and one with less related isolates
from all analyzed samples. The results of this study indicate that regardless of
the applied treatment, municipal sewage may be a reservoir of antibiotic
resistant bacteria, antibiotic resistance and virulence genes and that treated
water can contaminate other environmental domains.
PMID- 29353784
TI - On the ecological relevance of landscape mapping and its application in the
spatial planning of very large marine protected areas.
AB - In recent years very large marine protected areas (VLMPAs) have become the
dominant form of spatial protection in the marine environment. Whilst seen as a
holistic and geopolitically achievable approach to conservation, there is
currently a mismatch between the size of VLMPAs, and the data available to
underpin their establishment and inform on their management. Habitat mapping has
increasingly been adopted as a means of addressing paucity in biological data,
through use of environmental proxies to estimate species and community
distribution. Small-scale studies have demonstrated environmental-biological
links in marine systems. Such links, however, are rarely demonstrated across
larger spatial scales in the benthic environment. As such, the utility of habitat
mapping as an effective approach to the ecosystem-based management of VLMPAs
remains, thus far, largely undetermined. The aim of this study was to assess the
ecological relevance of broadscale landscape mapping. Specifically we test the
relationship between broad-scale marine landscapes and the structure of their
benthic faunal communities. We focussed our work at the sub-Antarctic island of
South Georgia, site of one of the largest MPAs in the world. We demonstrate a
statistically significant relationship between environmentally derived landscape
mapping clusters, and the composition of presence-only species data from the
region. To demonstrate this relationship required specific re-sampling of
historical species occurrence data to balance biological rarity, biological
cosmopolitism, range-restricted sampling and fine-scale heterogeneity between
sampling stations. The relationship reveals a distinct biological signature in
the faunal composition of individual landscapes, attributing ecological relevance
to South Georgia's environmentally derived marine landscape map. We argue
therefore, that landscape mapping represents an effective framework for ensuring
representative protection of habitats in management plans. Such scientific
underpinning of marine spatial planning is critical in balancing the needs of
multiple stakeholders whilst maximising conservation payoff.
PMID- 29353785
TI - Experimental study of biomass gasification with oxygen-enriched air in fluidized
bed gasifier.
AB - Considering the universality, renewability and cleanness of biomass, an
experimental research is carried out using rice straw in a two-stage fluidized
bed. The experimental analysis identified the relevant parameters in the
operation of the two-stage fluidized bed to investigate the properties of biomass
enriched air gasification. Results show that higher gasification temperature is
conducive to enhance the gasification performance. An increasing ER is shown to
go against adding gas heat value. When oxygen concentration increases from 21% to
45%, the gas heating value increases from 4.00MJ/kg to 5.24MJ/kg and the
gasification efficiency increases from 29.60% to 33.59%, which shows higher
oxygen concentration is conducive to higher quality gas and higher gasification
efficiency. A secondary oxygen injection leads to reduction of tar concentration
from 15.78g/Nm3 to 10.24g/Nm3. The optimal secondary oxygen ratio is about
33.00%. When the secondary oxygen ratio increased to 46.86%, monocyclic aromatics
reduced from 28.17% to 19.65% and PAHs increased from 34.97% to 44.05%, leading
to the increase aromatization of tar.
PMID- 29353786
TI - A macrophysiology approach to watershed science and management.
AB - Freshwaters are among the most imperiled ecosystems on the planet such that much
effort is expended on environmental monitoring to support the management of these
systems. Many traditional monitoring efforts focus on abiotic characterization of
water quantity or quality and/or indices of biotic integrity that focus on higher
scale population or community level metrics such as abundance or diversity.
However, these indicators may take time to manifest in degraded systems and delay
the identification and restoration of these systems. Physiological indicators
manifest rapidly and portend oncoming changes in populations that can hasten
restoration and facilitate preventative medicine for degraded habitats.
Therefore, assessing freshwater ecosystem integrity using physiological
indicators of health is a promising tool to improve freshwater monitoring and
restoration. Here, we discuss the value of using comparative, longitudinal
physiological data collected at a broad spatial (i.e. watershed) scale (i.e.
macrophysiology) as a tool for monitoring aquatic ecosystem health within and
among local watersheds to develop timely and effective management plans. There
are emerging tools and techniques available for rapid, cost-effective, and non
lethal physiological sampling and we discuss how these can be integrated into
management using fish as sentinel indicators in freshwater. Although many
examples of this approach are relatively recent, we foresee increasing use of
macrophysiology in monitoring, and advocate for the development of more standard
tools for consistent and reliable assessment.
PMID- 29353788
TI - Fabrication of spherical biochar by a two-step thermal process from waste potato
peel.
AB - The aim of this study was to develop a new approach for the preparation of
spherical biochar (SBC) by employing a two-step thermal technology to potato peel
waste (PPW). Potato starch (PS), as a carbon-rich material with microscale
spherical shape, was separated from PPW as a precursor to synthesizing SBC. The
synthesis process comprised (1) pre-oxidization (preheating under air) of PS at
220 degrees C and (2) subsequent pyrolysis of the pretreated sample at 700
degrees C. Results showed that the produced SBC successfully retained the
original PS morphology and that pre-oxidization was the key for its shape
maintenance, as it reduced surface tension and enhanced structural stability. The
SBC possessed excellent chemical inertness (high aromaticity) and uniform
particle size (10-30 MUm). Zero-cost waste material with a facile and easy-to
control process allows the method to be readily scalable for industrialization,
while offering a new perspective on the full use of PPW.
PMID- 29353787
TI - Maternal urinary triclosan level, gestational diabetes mellitus and birth weight
in Chinese women.
AB - Triclosan (TCS) is an antibacterial chemical widely used in personal-care
products and an endocrine disruptor. While TCS exposure is associated with
insulin resistance and metabolic disorders in animals, few studies have assessed
its effect on the risk of gestational diabetes mellitus (GDM) in humans. This
study aimed to explore whether maternal urinary TCS level is associated with the
risk of GDM and infant birthweight. We examined 620 pregnant women from Shanghai,
China in 2012-2013. Urinary TCS level was measured with high-performance liquid
chromatography-tandem mass spectrometry (HPLC-MS/MS), and categorized into high,
medium and low in tertiles. GDM was defined based on recommendation of
International Association of Diabetes and Pregnancy Study Groups (IADPSG). The
GDM rate was 12.7%. TCS was detectable (>=0.1 ng/mL) in 97.9% women (median 2.7
ng/mL). There was a positive, but statistically non-significant association
between urinary TCS levels and GDM (adjusted odds ratio 1.17; 95%CI: 0.99, 1.39,
with each unit increase of log (TCS) ng/mL) with adjustment for urinary
creatinine, maternal age, education, passive smoking, parity and prepregnancy BMI
categories. 48.1% of infants were females. Birthweight was 122.8 g higher (95%
CI: 13.9, 231.6 g) for female infants of women in high TCS (median 13.3 ng/mL)
versus low TCS (median 0.77 ng/mL), with adjustment for urinary creatinine,
prepregnancy BMI, GDM and other confounders. No association was found between
maternal TCS and birthweight in male infants. These results suggested the
potential for TCS to be associated with increased risk of GDM and a gender
specific association with higher birthweight among female infants in a population
with widespread but moderate exposure to TCS.
PMID- 29353789
TI - Value of irrigation water usage in South Florida agriculture.
AB - This study estimates economic loss from South Florida croplands when usage of
agricultural irrigation water is altered. In South Florida, 78% of the total
value of farm products sold is comprised of cropland products. The majority of
Florida citrus and sugarcane are produced in the area, and agricultural
irrigation was the largest sector of water use in 2010, followed by public water
supply. The Florida Department of Environmental Protection announced in December
2012 that traditional sources of fresh groundwater will have difficulty meeting
all of the additional demands by 2030. A shortage of water will impose
significant damage to the rural and agriculture economy in Florida, which may
lead to higher prices and costs for consumers to purchase citrus or other Florida
agriculture products. This paper presents a methodology for estimating economic
loss when usage of irrigation water is altered, and examines economic values of
irrigation water use for South Florida cropland. The efficient allocation of
irrigation water across South Florida cropland is also investigated in order to
reduce economic cost to the South Florida agricultural sector.
PMID- 29353790
TI - Remediation of chlorinated ethenes in fractured sandstone by natural and enhanced
biotic and abiotic processes: A crushed rock microcosm study.
AB - Biostimulation was identified as a potential technology to treat a fractured
sandstone aquifer contaminated with trichloroethene (TCE) and cis-1,2
dichloroethene (cis-DCE). Most of the mass of TCE and cis-DCE resides within the
rock matrix and strategies to restore groundwater to pre-existing conditions are
severely limited by back diffusion. A microcosm study using crushed rock and
groundwater from the site was performed to assess biostimulation and natural
attenuation. Lactate, hydrogen release compound(r) (HRC), and emulsified
vegetable oil (EVO) significantly increased the rate of TCE reduction to cis-DCE.
Lactate also stimulated dechlorination of cis-DCE to vinyl chloride (VC) and
ethene, suggesting the presence of indigenous Dehalococcoides. Illumina
sequencing and qPCR analyses suggest that reductive dechlorination of TCE to cis
DCE is mediated by Geobacter spp. while Dehalococcoides spp. perform reduction of
cis-DCE to VC and ethene. The rate of VC reduction to ethene was much slower than
the reduction of TCE to cis-DCE and cis-DCE to VC, indicating the indigenous
Dehalococcoides perform the final step co-metabolically. This was confirmed in
enrichment cultures fed with only VC. Consequently, biostimulation may create an
elevated risk due to transient accumulation of VC. Abiotic transformation of TCE
and cis-DCE was observed based on accumulation of 14C-labeled products from 14C
TCE and 14C-cis-DCE, as well as enrichment in delta13C-cis-DCE in the absence of
reductive dechlorination. Based on accumulation rates for 14C-products in
unamended microcosms, pseudo-first-order rates for abiotic transformation were
0.038 yr-1 for TCE and 0.044 yr-1 for cis-DCE. These rates within the rock matrix
may be sufficient to support natural attenuation in this diffusion controlled
system.
PMID- 29353791
TI - Characteristics and sources of aerosol pollution at a polluted rural site
southwest in Beijing, China.
AB - Annual average PM2.5 concentration in south Beijing was 30% and 40% higher than
the whole Beijing city in 2015 and 2016, respectively. Few studies have been
conducted to investigate what leads to the characteristics and sources of heavy
pollution in the south rural area of Beijing. This study conducted an observation
with Aerosol Chemical Speciation Monitor (ACSM) at a southwest rural site
(Liulihe) in Beijing during 2014-2016, to investigate the seasonal aerosol
characteristics and their sources. Positive matrix factorization (PMF) algorithm
was used to distinguish different components of organic aerosol measured by ACSM.
Biomass burning is an important pollution source, mainly due to the open burning
after harvest season in autumn, regional transport in spring, and local
residential biofuel use in winter. Coal consumption is the largest primary
organic aerosol source in winter. Heavy duty diesel trucks contributed
significantly to organic aerosol at night-time in the rural area. Results of this
study show residential solid fuel burning is the most important source of aerosol
pollution in the rural area of Beijing and the results focusing on urban Beijing
might have underestimate the contribution from residential emissions in the
Beijing-Tianjin-Hebei region.
PMID- 29353792
TI - Bioavailability of potentially toxic elements in soil-grapevine (leaf, skin, pulp
and seed) system and environmental and health risk assessment.
AB - Monitoring of potentially toxic elements in agricultural soil represents the
first measure of caution regarding food safety, while research into element
bioavailability should be a step forward in understanding the element
transportation chain. This study was conducted in the grapevine growing area
("Oplenac Wine Route") for investigating element bioavailability in the soil
grapevine system accompanied by an assessment of the ecological implications and
human health risk. Single extraction procedures (CH3COOH, Na2EDTA, CaCl2, NH4NO3
and deionised H2O) and digestion were performed to estimate the bioavailability
of 22 elements (Al, As, B, Ba, Be, Ca, Cd, Co, Cr, Cu, Fe, K, Li, Mg, Mn, Na, Ni,
Pb, Sb, Sr, V and Zn) from the topsoil (0-30 cm) and subsoil (30-60 cm) to the
grapevine parts (leaf, skin, pulp and seed) and wine. The extractants were
effective comparing to the pseudo-total concentrations in following order Na2EDTA
? CH3COOH ? NH4NO3 ? CaCl2, H2O 2 h and 16 h. The most suitable extractants for
assessing the bioavailability of the elements from the soil to the grapevine
parts were CaCl2, NH4NO3 and Na2EDTA, but deionised H2O could be suitable, as
well. The results showed that Ba was the most bioavailable element in the soil
grapevine system. Contamination factor implied a moderate contamination (1 < CF <
3) of the soil. The concentrations of Cr, Ni and Cd in the soil were above the
maximum allowed concentrations. According to the biological accumulation
coefficient (BAC), the grape seeds and grapevine leaves mostly accumulated Cu and
Zn from the soil, respectively. Based on ratio factor (RF > 1), the influence of
atmospheric deposition on the aerial grapevine parts (leaves and grape skin) was
observed. Nevertheless, low adverse health risk effects (HI < 1 and R <= 1 * 10
6) were estimated for farmers and grape and wine consumers.
PMID- 29353793
TI - Health and economic benefits of building ventilation interventions for reducing
indoor PM2.5 exposure from both indoor and outdoor origins in urban Beijing,
China.
AB - China is confronted with serious PM2.5 pollution, especially in the capital city
of Beijing. Exposure to PM2.5 could lead to various negative health impacts
including premature mortality. As people spend most of their time indoors, the
indoor exposure to PM2.5 from both indoor and outdoor origins constitutes the
majority of personal exposure to PM2.5 pollution. Different building
interventions have been introduced to mitigate indoor PM2.5 exposure, but always
at the cost of energy expenditure. In this study, the health and economic
benefits of different ventilation intervention strategies for reducing indoor
PM2.5 exposure are modeled using a representative urban residence in Beijing,
with consideration of different indoor PM2.5 emission strengths and outdoor
pollution. Our modeling results show that the increase of envelope air-tightness
can achieve significant economic benefits when indoor PM2.5 emissions are absent;
however, if an indoor PM2.5 source is present, the benefits only increase
slightly in mechanically ventilated buildings, but may show negative benefit
without mechanical ventilation. Installing mechanical ventilation in Beijing can
achieve annual economic benefits ranging from 200yuan/capita to 800yuan/capita if
indoor PM2.5 sources exist. If there is no indoor emission, the annual benefits
above 200yuan/capita can be achieved only when the PM2.5 filtration efficiency is
no <90% and the envelope air-tightness is above Chinese National Standard Level
7. Introducing mechanical ventilation with low PM2.5 filtration efficiency to
current residences in urban Beijing will increase the indoor PM2.5 exposure and
result in excess costs to the residents.
PMID- 29353794
TI - The application of a high-density street-level air temperature observation
network (HiSAN): Dynamic variation characteristics of urban heat island in
Tainan, Taiwan.
AB - The effects of urban heat island (UHI) have recently become a crucial issue. This
study utilized a high-density street-level air temperature observation network
(HiSAN) to understand the UHI characteristics in Tainan City. A total of 100
measurement points were established throughout the city. The average distance
between two neighboring measuring points was 1.9 km in rural areas and 0.8 km in
metropolitan areas. The UHI caused a temperature differences of at least 3
degrees C in each month over the study period, and the UHI's centric point moved
from west to east during the day and from east to west at night, mainly because
of the physical effects of the different urban environment including location and
the impermeable surface area (ISA), total floor area, and sky view factor in
urban areas. The results also indicated that factors such as ISA and distance to
the coast had the strongest influence on thermal conditions at various times,
especially in the areas far from the coast during the hot season. This was mainly
because of differences in how heat was retained over the study area. The HiSAN
method can be used by urban planners, architects, and policymakers to mitigate
the thermal stresses caused by complex urban environments.
PMID- 29353795
TI - Identifying outdoor thermal risk areas and evaluation of future thermal comfort
concerning shading orientation in a traditional settlement.
AB - The outdoor thermal environment is expected to be deteriorated under climate
change. An approach of risk identification including assessment from aspects of
thermal stress effect, people's exposure, and local's vulnerability were adopted
to study a hot-and-humid traditional rural community located at Tainan, Taiwan.
Layers of each aspect were either constructed by in-situ measurements or
simulations. To evaluate the future thermal comfort changes by simulations, the
prerequisite hourly climate data of three future time slices were produced.
Prognostic simulation model, ENVI-met, in combination with diagnostic model,
RayMan, were respectively used for identifying current spatial distribution of
thermal stress and for assessing the future thermal comfort changes. High thermal
risk area was identified by superimposing layers of hazard, exposure and
vulnerability. It revealed that because of the tourists' vulnerability to adapt
local climate and the inflexibleness of choosing visiting time, it exhibited a
high thermal stress at the Main Courtyard where its thermal comfort conditions
will be deteriorated due to climate change. Furthermore, the thermal comfort
conditions in various shading orientation were analyzed based on the changing
climate in three future time slices, i.e. 2011-2040, 2041-2070, and 2071-2100.
The results show the area with shading in the East and West side is more comfort
than in the North side. In hot season, shading in the West side contributes less
PET increasing, especially in the afternoon period. The severest overheat problem
(the physiological equivalent temperature, PET>40 degrees C) at the Main
Courtyard will increase from current 10% to 28% in 2071-2100 in terms of
overheating occurrence frequency. The results of this study can be used as the
guidelines for environment analysis before planning or redesign community.
PMID- 29353796
TI - Associations between arsenic in drinking water and occurrence of end-stage renal
disease with modifications by comorbidities: A nationwide population-based study
in Taiwan.
AB - Arsenic may affect the function of proximal convoluted tubules and glomeruli, but
epidemiological data on the association between arsenic ingestion and end-stage
renal disease (ESRD) are limited. Therefore, we conducted a nationwide population
based study in Taiwan, where the incidence of ESRD is the highest in the world,
to study the potential association. Using the National Health Insurance Database
in Taiwan, we constructed a cohort of 362,505 members with age>=40years in 1998.
We identified patients of ESRD newly diagnosed between January 1, 1998 and
December 31, 2010 and performed Cox proportional hazard regressions to identify
risk factors for ESRD and evaluate their effects. Arsenic levels in drinking
water were assessed on the basis of a nationwide census survey conducted by the
government, of which measurement reports were available for 311 townships. We
identified 5442 new patient of ESRD during the study period and found that
residents of areas with arsenic levels>=50MUg/L in the drinking water had a
hazard ratio (HR) of 1.14 (95% confidence interval [CI]: 1.08-1.21) for ESRD.
After adjusting for sex, age, income, and comorbidities, we found an adjusted HR
of 1.12 (95% CI: 1.06-1.19), which was still statistically significant.
Furthermore, the effect was modified by comorbidities, with more prominent
effects on patients with less than three comorbidities (adjusted HR=1.51; 95% CI:
1.22-1.86 for low comorbidity score). In conclusion, a high arsenic level in
drinking water was a risk factor for ESRD, independent of other documented risk
factors. Reducing high-risk comorbidities in patients with early-stage renal
dysfunction is important for slowing the progression of the disease to ESRD, even
in the endemic area of arsenic exposure.
PMID- 29353798
TI - Role of autophagy in environmental neurotoxicity.
AB - Human exposure to neurotoxic pollutants (e.g. metals, pesticides and other
chemicals) is recognized as a key risk factor in the pathogenesis of
neurodegenerative disorders. Emerging evidence indicates that an alteration in
autophagic pathways may be correlated with the onset of the neurotoxicity
resulting from chronic exposure to these pollutants. In fact, autophagy is a
natural process that permits to preserving cell homeostasis, through the seizure
and degradation of the cytosolic damaged elements. However, when an excessive
level of intracellular damage is reached, the autophagic process may also induce
cell death. A correct modulation of specific stages of autophagy is important to
maintain the correct balance in the organism. In this review, we highlight the
critical role that autophagy plays in neurotoxicity induced by the most common
classes of environmental contaminants. The understanding of this mechanism may be
helpful to discover a potential therapeutic strategy to reduce side effects
induced by these compounds.
PMID- 29353797
TI - Source tagging modeling study of regional contributions to acid rain in summer
over Liaoning Province, Northeastern China.
AB - Strong acid rain was recently observed over Northeastern China, particularly in
summer in Liaoning Province where alkaline dust largely neutralized acids in the
past. This seems to be related to the regional transboundary pollution and poses
new challenges in acid rain control scheme in China. In order to delve into the
regional transport impact, and quantify its potential contributions to such an
"eruption" of acid rain over Liaoning, this paper employs an online source
tagging model in coupling with the Nested Air Quality Prediction Modeling System
(NAQPMS). Validation of predictions shows the model capability in reproducing key
meteorological and chemical features. Acid concentration over Liaoning is more
pronounced in August (average of 0.087 mg/m3) with strong pollutant import from
regional sources against significant depletion of basic species. Seasonal mean
contributions from regional sources are assessed at both lower and upper boundary
layers to elucidate the main pathways of the impact of regional sources on acid
concentration over Liaoning. At the upper layer (1.2 km), regional sources
contribute to acid concentration over Liaoning by 67%, mainly from Shandong
(16%), Hebei (13%), Tianjin (11%) and Korean Peninsula (9%). Identified main city
receptors in Liaoning are Dandong, Dalian, Chaohu, Yingkou, Liaoyang, Jinfu,
Shengyang, Panjin, Tieling, Benxi, Anshan and Fushun. At lower layer (120 m)
where Liaoning local contribution is dominant (58%), regional sources account for
39% in acid concentration. However, inter-municipal acid exchanges are prominent
at this layer and many cities in Liaoning are revealed as important sources of
local acid production. Seasonal acid contribution average within 1.2 km-120 m
attains 55%, suggesting dominance of vertical pollutant transport from regional
sources towards lower boundary layer in Liaoning. As direct environmental
implication, this study provides policy makers with a perspective of regulating
the regional transboundary environmental impact assessment in China with
application to acid rain control.
PMID- 29353799
TI - Seasonal variation of semen parameters correlates with environmental temperature
and air pollution: A big data analysis over 6 years.
AB - BACKGROUND: Male fertility is progressively declining in many developed
countries, but the relationship between male infertility and environmental
factors is still unclear. OBJECTIVES: To assess the influence of environmental
temperature and air pollution on semen parameters, using a big-data approach.
METHODS: A big data analysis of parameters related to 5131 men, living in a
province of Northern Italy and undergoing semen analyses between January 2010 and
March 2016 was performed. Ambient temperature was recorded on the day of analysis
and the 90 days prior to the analysis and the average value of particulate matter
(PM) and NO2 in the year of the test. All data were acquired by geocoding
patients residential address. A data warehouse containing 990,904,591 data was
generated and analysed by multiple regressions. RESULTS: 5573 semen analyses were
collected. Both maximum and minimum temperatures registered on the day of
collection were inversely related to total sperm number (p < .001), non
progressive motility (NPrM) (p < .005) and normal forms (p < .001). Results were
confirmed considering temperature in the 30 and 60 days before collection, but
not in the 90 days before collection. Total sperm number was lower in
summer/autumn (p < .001) and was inversely related with daylight duration (p <
.001). PM10 and PM2.5 were inversely related to PrM (p < .001 and p < .005) and
abnormal forms (p < .001). CONCLUSIONS: This is the first evaluation of the
relationship between male fertility-related parameters and environment using a
big-data approach. A seasonal change in semen parameters was found, with a
fluctuation related to both temperature and daylight duration. A negative
correlation between air pollution and semen quality is suggested. Such seasonal
and environmental associations should be considered when assessing changes of
male fertility-related parameters over time.
PMID- 29353800
TI - Public perception and attitude towards chemical industry park in Dalian, Bohai
Rim.
AB - Recent decade has witnessed accelerating expansion of chemical industry and
increasing conflicts between the local citizens, governmental authorities and
project developers, especially in some coastal and port cities in China.
Development and transformation of chemical industrial parks has been adopted as a
national initiative recently. However, there is a paucity of research examining
public perspectives on chemical industrial parks and their risks. Aiming to
understand public perception, attitude, and response and the factors underlying
the support/acceptance of chemical industry park, this paper investigated 418
residents neighboring to two chemical industrial parks, Dalian in Bohai Rim
through face-to-face questionnaire survey. The results showed the knowledge of
the respondents on the chemical industrial parks development was very limited.
The respondents had complex perceptions on the environmental impacts, risks
control, social-economic benefits, and problem awareness. The current levels of
information disclosure and public participation were very low. The central
governmental official (44.3%) was the most trustworthy group by the respondents.
Only 5.5% and 23.2% of the respondents supported the construction of a new CIP
nearby and far away their homes, whilst 13% thought new CIP project as
acceptable. The spearman correlation analysis results showed a strong NIMBY
effect (Not In My Backyard). Factor analysis results demonstrated five latent
factors: knowledge, benefit, information, trust, and participation. Multiple
linear regression analysis indicated how socio-demographic differences and five
latent factors might impact on the support/acceptance of the chemical industrial
parks. Education level, trust, information, and participation were significant
predictors of public support/acceptance level. This study contributes to our
limited knowledge and understanding of public sentiments to the chemical industry
parks in China.
PMID- 29353801
TI - TERT regulates telomere-related senescence and apoptosis through DNA damage
response in male germ cells exposed to BPDE in vitro and to B[a]P in vivo.
AB - Increasing evidence shows that impaired telomere function is associated with male
infertility, and various environmental factors are believed to play a pivotal
role in telomerase deficiency and telomere shortening. Benzo[a]pyrene (B[a]P), a
ubiquitous pollutant of polycyclic aromatic hydrocarbons (PAHs), can act as a
reproductive toxicant; however, the adverse effect of B[a]P on telomeres in male
reproductive cells has never been studied, and the related mechanisms remain
unclear. In this study, we explored the effects of benzo[a]pyrene-7,8-dihydrodiol
9,10-epoxide (BPDE), the active metabolite of B[a]P, on telomere dysfunction in
mouse spermatocyte-derived cells (GC-2) and also the potential role of telomerase
in BPDE-induced spermatogenic cell damage. The results showed that BPDE induced
cell viability inhibition, senescence, and apoptosis in GC-2 cells in a dose
dependent manner. Shortened telomeres, telomere-associated DNA damage, reduced
telomerase activity, and TERT expression were also observed in BPDE-treated
cells, accompanied with the activation of DNA damage response pathway
(ATM/Chk1/p53/p21). Moreover, by establishing the TERT knockdown and re
expression cell models, we found that TERT regulated telomere length and the
expression of DNA damage response-related proteins to influence senescence and
apoptosis in GC-2 cells. These in vitro findings were further confirmed in vivo
in the testicular cells of rats orally administrated with B[a]P for 7 days. B[a]P
treatment resulted in histological lesions, apoptosis, and senescence in the
testes of rats, which were accompanied by shortened telomeres, reduced levels of
TERT protein, and increased expression of DNA damage response-related proteins.
In conclusion, it can be concluded that TERT-mediated telomere dysfunction
contributes to B[a]P- and BPDE-induced senescence and apoptosis through DNA
damage response in male reproductive cells.
PMID- 29353802
TI - Effects of carbamazepine and cetirizine under an ocean acidification scenario on
the biochemical and transcriptome responses of the clam Ruditapes philippinarum.
AB - Several works evaluated the toxicity of pharmaceutical drugs and climate related
changes in invertebrates but few explored the combined effects of both stressors,
namely considering their mode of action (MoA). Carbamazepine (CBZ) and cetirizine
(CTZ) are pharmaceutical drugs detected in the environment and the toxicity
derived from the combined effects of these drugs with ocean acidification (OA) is
poorly explored. Thus, the present study investigated the biochemical parameters
related to an oxidative stress response and the transcription of genes related to
the MoA of CBZ (1.0 MUg/L) and CTZ (0.6 MUg/L) in the clam Ruditapes
philippinarum chronically exposed (28 days) to control (7.8) and low (7.5) pH
conditions. The results obtained showed that despite the clams accumulated both
drugs, at low pH the clams exposed to CTZ decreased drug concentration and BCF
values (CTZ uptake: 2.0 +/- 0.5 ng/g fresh weight; BCF: 3.8 +/- 0.9) in
comparison with clams exposed to control pH (CTZ uptake: 2.9 +/- 0.3 ng/g fresh
weight; BCF: 5.5 +/- 0.6). No oxidative stress was induced by the exposure to CBZ
or CTZ at each pH level, but the transcription of several genes related with the
MoA (neurotransmission, immunity and biomineralization) was altered by low pH,
drug exposure and the combination of both stressors. At both pH conditions, CBZ
increased the transcription of GABA receptor gene (neurotransmission) and CTZ led
to a decrease of Perlucin gene (biomineralization) transcription. The
transcription of MyD88 gene (immunity) decreased at low pH (7.5) combined with
drug exposure (CBZ or CTZ). Thus, it was highlighted that the interaction of drug
exposure and low pH conditions can change bivalves' sensitivity to drugs or alter
drugs toxicity.
PMID- 29353803
TI - Metagenome enrichment approach used for selection of oil-degrading bacteria
consortia for drill cutting residue bioremediation.
AB - Drill cuttings leave behind thousands of tons of residues without adequate
treatment, generating a large environmental liability. Therefore knowledge about
the microbial community of drilling residue may be useful for developing
bioremediation strategies. In this work, samples of drilling residue were
enriched in different culture media in the presence of petroleum, aiming to
select potentially oil-degrading bacteria and biosurfactant producers. Total DNA
was extracted directly from the drill cutting samples and from two enriched
consortia and sequenced using the Ion Torrent platform. Taxonomic analysis
revealed the predominance of Proteobacteria in the metagenome from the drill
cuttings, while Firmicutes was enriched in consortia samples. Functional analysis
using the Biosurfactants and Biodegradation Database (BioSurfDB) revealed a
similar pattern among the three samples regarding hydrocarbon degradation and
biosurfactants production pathways. However, some statistical differences were
observed between samples. Namely, the pathways related to the degradation of
fatty acids, chloroalkanes, and chloroalkanes were enriched in consortia samples.
The degradation colorimetric assay using dichlorophenolindophenol as an indicator
was positive for several hydrocarbon substrates. The consortia were also able to
produce biosurfactants, with biosynthesis of iturin, lichnysin, and surfactin
among the more abundant pathways. A microcosms assay followed by gas
chromatography analysis showed the efficacy of the consortia in degrading
alkanes, as we observed a reduction of around 66% and 30% for each consortium in
total alkanes. These data suggest the potential use of these consortia in the
bioremediation of drilling residue based on autochthonous bioaugmentation.
PMID- 29353804
TI - Sensitive analysis of steroid estrogens and bisphenol a in small volumes of water
using isotope-dilution ultra-performance liquid chromatography-tandem mass
spectrometry.
AB - An isotope-dilution ultra-performance liquid chromatography-electrospray tandem
mass spectrometry method combined with dansylation was established to sensitively
quantify four steroid estrogens (estrone, 17alpha-estradiol, 17beta-estradiol and
17alpha-ethynylestradiol) and bisphenol A in sewage influent and effluent. A
simple hexane extraction was performed from a small volume (10 mL), followed by
dansyl chloride derivatization and purification with a silica cartridge. The
method effectively reduced the matrix effects in sample extract and permitted the
selective and sensitive determination of target compounds from complicated
matrices. The detection limits of the method for steroid estrogens were 0.20-0.90
ng L-1 in influent and 0.10-0.20 ng L-1 in effluent samples. For bisphenol A, the
limits detection of the method were 20 and 0.80 for influent and effluent
samples, respectively. Recoveries of 85%-96% were observed in all matrices. The
method was applied to analyze residual estrogens and bisphenol A in sewage
influent and effluent samples from Beijing, China. The concentrations of
bisphenol A (636-1200 ng L-1) were up to 250 times higher than those of steroid
estrogens. Estrone was the dominant estrogen in influent and effluent samples,
while similar concentrations of 17alpha-estradiol and 17beta-estradiol were
detected in all samples.
PMID- 29353805
TI - Sources and distribution of microplastics in China's largest inland lake -
Qinghai Lake.
AB - Microplastic pollution was studied in China's largest inland lake - Qinghai Lake
in this work. Microplastics were detected with abundance varies from 0.05 * 105
to 7.58 * 105 items km-2 in the lake surface water, 0.03 * 105 to 0.31 * 105
items km-2 in the inflowing rivers, 50 to 1292 items m-2 in the lakeshore
sediment, and 2 to 15 items per individual in the fish samples, respectively.
Small microplastics (0.1-0.5 mm) dominated in the lake surface water while large
microplastics (1-5 mm) are more abundant in the river samples. Microplastics were
predominantly in sheet and fiber shapes in the lake and river water samples but
were more diverse in the lakeshore sediment samples. Polymer types of
microplastics were mainly polyethylene (PE) and polypropylene (PP) as identified
using Raman Spectroscopy. Spatially, microplastic abundance was the highest in
the central part of the lake, likely due to the transport of lake current. Based
on the higher abundance of microplastics near the tourist access points, plastic
wastes from tourism are considered as an important source of microplastics in
Qinghai Lake. As an important area for wildlife conservation, better waste
management practice should be implemented, and waste disposal and recycling
infrastructures should be improved for the protection of Qinghai Lake.
PMID- 29353806
TI - Historical atmospheric pollution trends in Southeast Asia inferred from lake
sediment records.
AB - Fossil fuel combustion leads to increased levels of air pollution, which
negatively affects human health as well as the environment. Documented data for
Southeast Asia (SEA) show a strong increase in fossil fuel consumption since
1980, but information on coal and oil combustion before 1980 is not widely
available. Spheroidal carbonaceous particles (SCPs) and heavy metals, such as
mercury (Hg), are emitted as by-products of fossil fuel combustion and may
accumulate in sediments following atmospheric fallout. Here we use sediment SCP
and Hg records from several freshwater lentic ecosystems in SEA (Malaysia,
Philippines, Singapore) to reconstruct long-term, region-wide variations in
levels of these two key atmospheric pollution indicators. The age-depth models of
Philippine sediment cores do not reach back far enough to date first SCP
presence, but single SCP occurrences are first observed between 1925 and 1950 for
a Malaysian site. Increasing SCP flux is observed at our sites from 1960 onward,
although individual sites show minor differences in trends. SCP fluxes show a
general decline after 2000 at each of our study sites. While the records show
broadly similar temporal trends across SEA, absolute SCP fluxes differ between
sites, with a record from Malaysia showing SCP fluxes that are two orders of
magnitude lower than records from the Philippines. Similar trends in records from
China and Japan represent the emergence of atmospheric pollution as a broadly
based inter-region environmental problem during the 20th century. Hg fluxes were
relatively stable from the second half of the 20th century onward. As catchment
soils are also contaminated with atmospheric Hg, future soil erosion can be
expected to lead to enhanced Hg flux into surface waters.
PMID- 29353807
TI - Multivariate calibration of energy-dispersive X-ray diffraction data for
predicting the composition of pharmaceutical tablets in packaging.
AB - A system using energy-dispersive X-ray diffraction (EDXRD) has been developed and
tested using multivariate calibration for the quantitative analysis of tablet
form mixtures of common pharmaceutical ingredients. A principal advantage of
EDXRD over the more traditional and common angular dispersive X-ray diffraction
technique (ADXRD) is the potential of EDXRD to analyse tablets within their
packaging, due to the higher energy X-rays used. In the experiment, a series of
caffeine, paracetamol and microcrystalline cellulose mixtures were prepared and
pressed into tablets. EDXRD profiles were recorded on each sample and a principal
component analysis (PCA) was carried out in both unpackaged and packaged
scenarios. In both cases the first two principal components explained >98% of the
between-sample variance. The PCA projected the sample profiles into two
dimensional principal component space in close accordance to their ternary
mixture design, demonstrating the discriminating potential of the EDXRD system. A
partial least squares regression (PLSR) model was built with the samples and was
validated using leave-one-out cross-validation. Low prediction errors of between
2% and 4% for both unpackaged and packaged tablets were obtained for all three
chemical compounds. The prediction capability through packaging demonstrates a
truly non-destructive method for quantifying tablet composition and demonstrates
good potential for EDXRD to be applied in the field of counterfeit medicine
screening and pharmaceutical quality control.
PMID- 29353808
TI - Determination of polymeric impurities in asunaprevir drug substance and product
using size exclusion effect of reversed-phase columns.
AB - This paper describes the development of a simple reversed-phase HPLC method that
can quantitate trace amounts of a polymeric degradants (BMT-041910) in
asunaprevir drug substance and formulated drug product with quantitation limits
of ~0.05% w/w. The method has overcome several challenges of polymer quantitation
such as band broadening, peak coeluting and low sensitivity. The hydrophobic
function group (BOC) of BMT-041910 is removed to increase its aqueous solubility
by a simple sample treatment procedure (des-BOC). The des-BOC polymer (BMT
052076) is excluded from stationary phase pores and eluted as a single peak
before solvent front, and then its peak area response can be used to determine
BMT-041910 amount. The HPLC conditions were optimized using a 250 * 4.6 mm Waters
XSelect CSH column maintained at 30 degrees C with a mobile phase of water
acetonitrile-trifluoroacetic acid (20:80:0.1 v/v/v). The feasibility of other
HPLC approaches including size exclusion chromatography and normal phase
chromatography were also investigated and found to be less suitable for this
particular application. Validation data for this method in terms of precision,
linearity, accuracy and sensitivity are also presented.
PMID- 29353809
TI - Determining particle size and water content by near-infrared spectroscopy in the
granulation of naproxen sodium.
AB - Near-infrared spectroscopy is frequently used by the pharmaceutical industry to
monitor and optimize several production processes. In combination with
chemometrics, a mathematical-statistical technique, the following advantages of
near-infrared spectroscopy can be applied: It is a fast, non-destructive, non
invasive, and economical analytical method. One of the most advanced and popular
chemometric technique is the partial least square algorithm with its best
applicability in routine and its results. The required reference analytic enables
the analysis of various parameters of interest, for example, moisture content,
particle size, and many others. Parameters like the correlation coefficient, root
mean square error of prediction, root mean square error of calibration, and root
mean square error of validation have been used for evaluating the applicability
and robustness of these analytical methods developed. This study deals with
investigating a Naproxen Sodium granulation process using near-infrared
spectroscopy and the development of water content and particle-size methods. For
the water content method, one should consider a maximum water content of about
21% in the granulation process, which must be confirmed by the loss on drying.
Further influences to be considered are the constantly changing product
temperature, rising to about 54 degrees C, the creation of hydrated states of
Naproxen Sodium when using a maximum of about 21% water content, and the large
quantity of about 87% Naproxen Sodium in the formulation. It was considered to
use a combination of these influences in developing the near-infrared
spectroscopy method for the water content of Naproxen Sodium granules. The "Root
Mean Square Error" was 0.25% for calibration dataset and 0.30% for the validation
dataset, which was obtained after different stages of optimization by
multiplicative scatter correction and the first derivative. Using laser
diffraction, the granules have been analyzed for particle sizes and obtaining the
summary sieve sizes of >63 MUm and >100 MUm. The following influences should be
considered for application in routine production: constant changes in water
content up to 21% and a product temperature up to 54 degrees C. The different
stages of optimization result in a "Root Mean Square Error" of 2.54% for the
calibration data set and 3.53% for the validation set by using the Kubelka-Munk
conversion and first derivative for the near-infrared spectroscopy method for a
particle size >63 MUm. For the near-infrared spectroscopy method using a particle
size >100 MUm, the "Root Mean Square Error" was 3.47% for the calibration data
set and 4.51% for the validation set, while using the same pre-treatments. - The
robustness and suitability of this methodology has already been demonstrated by
its recent successful implementation in a routine granulate production process.
PMID- 29353810
TI - Development and validation of a solid phase extraction sample cleanup procedure
for the recovery of trace levels of nitro-organic explosives in soil.
AB - An improved cleanup method has been developed for the recovery of trace levels of
12 nitro-organic explosives in soil, which is important not only for the forensic
community, but also has environmental implications. A wide variety of explosives
or explosive-related compounds were evaluated, including nitramines, nitrate
esters, nitroaromatics, and a nitroalkane. Fortified soil samples were extracted
with acetone, processed via solid phase extraction (SPE), and then analyzed by
gas chromatography with electron capture detection. The following three SPE
sorbents in cartridge format were compared: EmporeTM SDB-XC, Oasis(r) HLB, and
Bond Elut NEXUS cartridges. The NEXUS cartridges provided the best overall
recoveries for the 12 explosives in potting soil (average 48%) and the fastest
processing times (<30min). It also rejected matrix components from spent motor
oil on potting soil. The SPE method was validated by assessing limit of detection
(LOD), processed sample stability, and interferences. All 12 compounds were
detectable at 0.02MUg explosive/gram of soil or lower in the three matrices
tested (potting soil, sand, and loam) over three days. Seven explosives were
stable up to seven days at 2MUg/g and three were stable at 0.2MUg/g, both in
processed loam, which was the most challenging matrix. In the interference study,
five interferences above the determined LOD for soil were detected in matrices
collected across the United States and in purchased all-purpose sand, potting
soil, and loam. This represented a 3.2% false positive rate for the 13 matrices
processed by the screening method for interferences. The reported SPE cleanup
method provides a fast and simple extraction process for separating organic
explosives from matrix components, facilitating sample throughput and reducing
instrument maintenance. In addition, a comparison study of the validated SPE
method versus conventional syringe filtration was completed and highlighted the
benefits of sample cleanup for removing matrix interferences, while also
providing lower supply cost, order of magnitude lower LODs for most explosives,
higher percent recoveries for complex matrices, and fewer instrument maintenance
issues.
PMID- 29353811
TI - Statin wars: have we been misled about the evidence? A narrative review.
AB - Statins are the most widely prescribed, cholesterol-lowering drugs in the world.
Despite the expiration of their patents, revenue for statins is expected to rise,
with total sales on track to reach an estimated US$1 trillion by 2020. A bitter
dispute has erupted among doctors over suggestions that statins should be
prescribed to millions of healthy people at low risk of heart disease. There are
concerns that the benefits have been exaggerated and the risks have been
underplayed. Also, the raw data on the efficacy and safety of statins are being
kept secret and have not been subjected to scrutiny by other scientists. This
lack of transparency has led to an erosion of public confidence. Doctors and
patients are being misled about the true benefits and harms of statins, and it is
now a matter of urgency that the raw data from the clinical trials are released.
PMID- 29353812
TI - A tale of two metals: contrasting criticalities in the pnictides and hole-doped
cuprates.
AB - The iron-based high temperature superconductors share a number of similarities
with their copper-based counterparts, such as reduced dimensionality, proximity
to states of competing order, and a critical role for 3d electron orbitals. Their
respective temperature-doping phase diagrams also contain certain commonalities
that have led to claims that the metallic and superconducting (SC) properties of
both families are governed by their proximity to a quantum critical point (QCP)
located inside the SC dome. In this review, we critically examine these claims
and highlight significant differences in the bulk physical properties of both
systems. While there is now a large body of evidence supporting the presence of a
(magnetic) QCP in the iron pnictides, the situation in the cuprates is much less
apparent, at least for the end point of the pseudogap phase. We argue that the
opening of the normal state pseudogap in cuprates, so often tied to a putative
QCP, arises from a momentum-dependent breakdown of quasiparticle coherence that
sets in at much higher doping levels but which is driven by the proximity to the
Mott insulating state at half filling. Finally, we present a new scenario for the
cuprates in which this loss of quasiparticle integrity and its evolution with
momentum, temperature and doping plays a key role in shaping the resultant phase
diagram.
PMID- 29353813
TI - Pharmacological approach to increase the retention of radiation induced gamma
H2AX foci using phosphatase inhibitors: significance in radiation biodosimetry.
AB - In a scenario of accidental mass radiation exposure, transportation and analyzing
samples may take its time resulting in loss of biomarker information over this
period. The present study aims to use phosphatases inhibitors for longer
retention of foci signals to adopt gamma-H2AX as a biodosimetric biomarker for
the management of early triage. Peripheral blood lymphocytes isolated from
healthy individuals irradiated in vitro with X-rays, and gamma-H2AX analysed
using fluorescent microscopy and flow cytometric methods. Further, the effect of
protein phosphatase 2A inhibitors like Calyculin A, Fostriecin and Okadiac acid
on the retention of foci were studied. The fluorescent microscopy to be more
sensitive method when compared to flow cytometry. Calyculin A showed significant
retention of foci signals at 6h with 1.5 fold increased retention of foci
signals, this may prove beneficial in early triage management, because of a
better dose approximation.
PMID- 29353814
TI - A review of the quantum Hall effects in MgZnO/ZnO heterostructures.
AB - This review visits recent experimental efforts on high mobility two-dimensional
electron systems (2DES) hosted at the Mg x Zn[Formula: see text]O/ZnO
heterointerface. We begin with the growth of these samples, and highlight the key
characteristics of ozone-assisted molecular beam epitaxy required for their
production. The transport characteristics of these structures are found to rival
that of traditional semiconductor material systems, as signified by the high
electron mobility ([Formula: see text] cm2 Vs-1) and rich quantum Hall features.
Owing to a large effective mass and small dielectric constant, interaction
effects are an order of magnitude stronger in comparison with the well studied
GaAs-based 2DES. The strong correlation physics results in robust Fermi-liquid
renormalization of the effective mass and spin susceptibility of carriers, which
in turn dictates the parameter space for the quantum Hall effect. Finally, we
explore the quantum Hall effect with a particular emphasis on the spin degree of
freedom of carriers, and how their large spin splitting allows control of the
ground states encountered at ultra-low temperatures within the fractional quantum
Hall regime. We discuss in detail the physics of even-denominator fractional
quantum Hall states, whose observation and underlying character remain elusive
and exotic.
PMID- 29353815
TI - The challenge of spin-orbit-tuned ground states in iridates: a key issues review.
AB - Effects of spin-orbit interactions in condensed matter are an important and
rapidly evolving topic. Strong competition between spin-orbit, on-site Coulomb
and crystalline electric field interactions in iridates drives exotic quantum
states that are unique to this group of materials. In particular, the 'J eff =
1/2' Mott state served as an early signal that the combined effect of strong spin
orbit and Coulomb interactions in iridates has unique, intriguing consequences.
In this Key Issues Review, we survey some current experimental studies of
iridates. In essence, these materials tend to defy conventional wisdom: absence
of conventional correlations between magnetic and insulating states, avoidance of
metallization at high pressures, 'S-shaped' I-V characteristic, emergence of an
odd-parity hidden order, etc. It is particularly intriguing that there exist
conspicuous discrepancies between current experimental results and theoretical
proposals that address superconducting, topological and quantum spin liquid
phases. This class of materials, in which the lattice degrees of freedom play a
critical role seldom seen in other materials, evidently presents some profound
intellectual challenges that call for more investigations both experimentally and
theoretically. Physical properties unique to these materials may help unlock a
world of possibilities for functional materials and devices. We emphasize that,
given the rapidly developing nature of this field, this Key Issues Review is by
no means an exhaustive report of the current state of experimental studies of
iridates.
PMID- 29353816
TI - Human parvovirus B19-associated hematopathy in HIV disease: need for
clinicopathological revisit.
PMID- 29353818
TI - Safety of axitinib and sorafenib monotherapy for patients with renal cell
carcinoma: a meta-analysis.
AB - We sought to investigate safety of axitinib or sorafenib in renal cell carcinoma
(RCC) patients and compare toxicity of these two vascular endothelial growth
factor receptor inhibitors. Databases of PubMed and Embase were searched. We
included phase II and III prospective trials, as well as retrospective studies,
in which patients diagnosed with RCC were treated with axitinib or sorafenib
monotherapy at a starting dose of 5 mg and 400 mg twice daily, respectively. The
overall incidence of high grade hypertension, fatigue, gastrointestinal toxicity
and hand-foot syndrome, along with their 95% confidence intervals (CI), were
calculated using fixed- or random- effects model according to heterogeneity test
results. A total of 26 trials, including 4790 patients, were included in our meta
analysis. Among them, 6 arms were related to axitinib and 22 were associated with
sorafenib. The incidences of hypertension (24.9% vs. 7.9%), fatigue (8.2% vs.
6.6%), and gastrointestinal toxicity (17.6% vs. 11.3%) were higher in patients
receiving axitinib versus those receiving sorafenib, while the incidence of hand
foot syndrome was lower in patients receiving axitinib versus those receiving
sorafenib (9.5% vs. 13.3%). In conclusion, axitinib showed noticeably higher
risks of toxicity versus sorafenib. Close monitoring and effective measures for
adverse events are recommended during therapy.
PMID- 29353817
TI - Transforming growth factor-beta signaling in systemic sclerosis.
AB - Systemic sclerosis (SSc) is a complex, multiorgan autoimmune disease of unknown
etiology. Manifestation of the disease results from an interaction of three key
pathologic features including irregularities of the antigen-specific immune
system and the non-specific immune system, resulting in autoantibody production,
vascular endothelial activation of small blood vessels, and tissue fibrosis as a
result of fibroblast dysfunction. Given the heterogeneity of clinical
presentation of the disease, a lack of universal models has impeded adequate
testing of potential therapies for SSc. Regardless, recent research has
elucidated the roles of various ubiquitous molecular mechanisms that contribute
to the clinical manifestation of the disease. Transforming growth factor beta
(TGF-beta) has been identified as a regulator of pathological fibrogenesis in
SSc. Various processes, including cell growth, apoptosis, cell differentiation,
and extracellular matrix synthesis are regulated by TGF-beta, a type of cytokine
secreted by macrophages and many other cell types. Understanding the essential
role TGF-beta pathways play in the pathology of systemic sclerosis could provide
a potential outlet for treatment and a better understanding of this severe
disease.
PMID- 29353819
TI - Interference with the C-terminal structure of MARF1 causes defective oocyte
meiotic division and female infertility in mice.
AB - Meiosis-arrest female 1 (MARF1) is a recently identified key oogenic regulator
essential for the maintenance of female fertility and genome integrity in mice.
However, the detailed functions and the underlying mechanisms of MARF1 remain
elusive. Here, in an attempt to create a mouse model expressing fluorescent
protein-tagged MARF1 to facilitate further exploration of the roles of MARF1 in
oocytes, we produced a Marf1-eGFP knockin (KI) mouse line in which the C-terminal
structure and function of MARF1 were interfered by its fusing eGFP peptide. Using
these Marf1-eGFP-KI mice, we revealed, unexpectedly, the functions of MARF1 in
the control of oocyte meiotic division. We found that the Marf1-eGFP-KI females
ovulated mature oocytes with severe meiotic and developmental defects, and thus
were infertile. Moreover, meiotic reinitiation was delayed while meiotic
completion was accelerated in the KI-oocytes, which was coincident with the
increased incidence of oocyte aneuploidy. Therefore, MARF1 is indispensable for
maintaining the fidelity of homolog segregation during oocyte maturation, and
this function relies on its C-terminal domains.
PMID- 29353820
TI - Cervical spine fractures in osteopetrosis: a case report and review of the
literature.
AB - While management of appendicular fractures has been well described in the setting
of osteopetrosis, there is limited information on managing fractures of the axial
spine. Here we present an osteopetrotic patient with multiple traumatic multiple,
comminuted, unstable cervical spinal fractures managed with non-operative
stabilization, and provide a review of the pathophysiology, genetic
characteristics, and special considerations that must be explored when
determining operative versus non-operative management of spinal injury in
osteopetrosis. A PubMed query was performed for English articles in the
literature published up to June 2016, and used the following search terms alone
and in combination: "osteopetrosis", "spine", "fractures", "osteoclasts", and
"operative management". Within four months after initial injury, treatment with
halo vest allowed for adequate healing. The patient was asymptomatic with
cervical spine dynamic radiographs confirming stability at four months. On four
year follow up examination, the patient remained without neck pain, and CT scan
demonstrated partially sclerotic fracture lines with appropriate anatomical
alignment. In conclusion, external halo stabilization may be an effective option
for treatment of multiple unstable acute traumatic cervical spine fractures in
patients with osteopetrosis. Given the challenge of surgical stabilization in
osteopetrosis, further research is necessary to elucidate the optimal form of
treatment in this select patient population.
PMID- 29353821
TI - Efficacy and safety of metyrosine in pheochromocytoma/paraganglioma: a multi
center trial in Japan.
AB - To assess the efficacy, safety, and pharmacokinetics of metyrosine (an inhibitor
of catecholamine synthesis) in patients with pheochromocytoma/paraganglioma
(PPGL), we conducted a prospective, multi-center, open-label study at 11 sites in
Japan. We recruited PPGL patients aged >=12 years requiring preoperative or
chronic treatment, receiving alpha-blocker treatment, having baseline urinary
metanephrine (uMN) or normetanephrine (uNMN) levels >=3 times the upper limit of
normal values, and having symptoms associated with excess catecholamine.
Metyrosine treatment was started at 500 mg/day and modified according to dose
adjustment criteria up to 4,000 mg/day. The main outcome measure was the
proportion of patients who achieved at least 50% reduction in uMN or uNMN levels
from baseline. Sixteen patients (11 males/5 females) aged 12-86 years
participated. After 12 weeks of treatment and at the last evaluation of efficacy,
the primary endpoint was achieved in 31.3% of all patients, including 66.7% of
those under preoperative treatment and 23.1% of those under chronic treatment.
Sedation, anemia, and death were reported in 1 patient each as serious adverse
drug reactions during the 24-week treatment. Metyrosine was shown to be tolerated
and to relieve symptoms by reducing excess catecholamine in PPGL patients under
both preoperative and chronic treatment.
PMID- 29353822
TI - Quantitative angiographic anatomy of the renal arteries and adjacent aorta in the
swine for preclinical studies of intravascular catheterization devices.
AB - Swine are the most common animal model in preclinical studies of cardiovascular
devices. Because of the recent trend for development of new devices for
percutaneous catheterization, especially for the renal arteries (RAs), we
examined the quantitative anatomical dimensions of the RAs and adjacent aorta in
swine. Angiographic images were analyzed in 66 female Yorkshire/Landrace
crossbred swine. The diameter of both the right and left main RA was 5.4 +/- 0.6
mm. The length of the right main RA was significantly longer than that of the
left (29.8 +/- 7.5 mm vs. 20.6 +/- 5.4 mm, respectively; P<0.001). The diameter
of both the right and left branch RA with diameters >=3 mm (the target vessel
diameter of recently developed devices) was 3.8 +/- 0.5 mm. The right branch RA
was significantly longer than that of the left (18.9 +/- 7.8 mm vs. 16.4 +/- 7.4
mm, respectively; P<0.05). The branching angle of the right RA from the aorta was
significantly smaller than that of the left (91 +/- 12 degrees vs. 103 +/- 15
degrees , respectively; P<0.001). The diameters of the suprarenal and infrarenal
aorta were 10.6 +/- 1.1 mm and 9.7 +/- 0.9 mm, respectively. In conclusion,
because of their similar dimensions to human, swine are an appropriate animal
model for assessing the safety of, and determining optimal design of, catheter
devices for RAs in simulated clinical use. However, there were species
differences in the branching angle and adjacent aorta diameter, suggesting that
swine models alone are inadequate to assess the delivery performance of catheter
devices for RAs.
PMID- 29353823
TI - Increased Expression of Y-Box-Binding Protein-1 in Hind-Limb Muscles During
Regeneration from Ischemic Injury in Mice.
AB - Critical limb ischemia (CLI) is the most severe complication of peripheral
arterial disease (PAD). Understanding the molecular mechanisms underlying tissue
repair after CLI is necessary for preventing PAD progression. Y-box binding
protein-1 (YB-1) regulates the expression of many genes in response to
environmental stresses. We aimed to determine whether YB-1 is involved in
ischemic muscle regeneration. A mouse ischemic hind-limb model was generated;
namely, the femoral, saphenous, and popliteal arteries in the left hind limb were
ligated. The right hind limb, with skin incisions alone, served as control. Hind
limbs (n = 3-5 for each time point) were examined on day 0 (before the operation)
and on postoperative days 1, 2, 7, 10, and 14, and the biceps femoris, adductor,
rectus femoris, and gracilis muscles were subjected to histopathological and
immunohistochemical analyses. In ischemic limbs, myogenesis, triggered by an
increase in myotubes, began on day 7; thereafter, regenerated muscles gradually
increased in volume. RT-PCR analysis showed that YB-1 mRNA levels were increased
in the limbs after ischemic injury, peaked on day 2, and subsequently decreased.
On day 7, expression levels of MyoD and alpha-smooth muscle actin (alphaSMA)
mRNAs were significantly higher in ischemic muscles than in control muscles.
Immunohistochemical analysis revealed increased YB-1 immunoreactivity in
myoblasts and myotubes on day 7, which was decreased by day 14. The
immunoreactive alphaSMA and smooth muscle myosin heavy chain were transiently
increased in myotubes. This is the first report showing the increased expression
of YB-1 during muscle regeneration after ischemic injury.
PMID- 29353824
TI - Alcohol Consumption and Breast Cancer Risk According to Hormone Receptor Status
in Japanese Women: A Case-Control Study.
AB - Alcohol consumption is a risk factor for breast cancer in Western countries, but
few studies have evaluated the risk for Japanese women, who have a relatively low
alcohol intake. This case-control study investigated the association of alcohol
consumption with breast cancer risk according to estrogen-receptor and
progesterone-receptor (ER/PgR) status in Japanese women. From female patients
aged 30 years and over admitted to a single hospital in Japan between 1997 and
2011, 1,256 breast cancer cases (669 ER+/PgR+, 162 ER+/PgR-, 21 ER-/PgR+, 305 ER
/PgR-, and 99 missing) and 2,933 controls were selected. Alcohol-related measures
were assessed using a self-administered questionnaire. Unconditional logistic
regression analysis was performed. Alcohol-related measures were not associated
with breast cancer risk among the women overall. Moreover, no association was
observed between ever drinking and the risk of a concordant receptor subtype
(ER+/PgR+ or ER-/PgR-). Conversely, ever drinking was inversely associated with
the risk of discordant subtype (ER+/PgR-, odds ratio (OR) = 0.63, 95% confidence
interval (CI): 0.41-0.95; ER-/PgR+, OR = 0.44, 95% CI: 0.14-1.42). For ER+/PgR-,
an inverse association with the amount of alcohol consumed per day was observed
(P for trend = 0.04), and this inverse association was limited to premenopausal
women. Alcohol consumption may have differential effects on concordant and
discordant receptor subtypes of breast cancer. In view of the low frequency of
discordant subtype in Japanese women and their relatively low alcohol intake, our
findings may provide a clue for elucidating the etiology of breast cancer rather
than for preventing discordant subtype.
PMID- 29353825
TI - Treatment Patterns and Lipid Profile in Patients with Familial
Hypercholesterolemia in Japan.
AB - AIM: To evaluate the epidemiology and real-world treatment patterns associated
with lipid-modifying therapies (LMTs) among groups of Japanese patients with
familial hypercholesterolemia (FH). METHODS: A retrospective observational study
was conducted using an electronic hospital-based administrative claims database
and electronic medical records. Patients with existing diagnosis of FH (FH-D) and
patients with suspected FH (FH-S) defined by low-density lipoprotein cholesterol
(LDL-C) >=190 mg/dL were included, and medical records of hospitals across Japan
were analyzed to assess the diagnostic status, management of LDL-C levels, and
treatment patterns. RESULTS: Among the 3,495 patients who met the inclusion
criteria, 193 patients were FH-D and 3,339 patients were FH-S. Among them, 83.5%
had not achieved the LDL-C of <100 mg/dL recommended for patients with FH at the
index date. Mean LDL-C levels for all patients and for FH-D and FH-S patients
were 145.8 mg/dL, 119.2 mg/dL, and 147.6 mg/dL, respectively. 44.5% of the
patients were not currently treated with LMTs. High-intensity statins were used
only in 19.2% and 2.3% of the FH-D and FH-S patients, respectively. Furthermore,
among the FH-D and FH-S statin-treated patients, 61 (69.3%) and 1,059 (89.7%)
remained on monotherapy even when their LDL-C was >=100 mg/dL. CONCLUSIONS:
Treatment and management of LDL-C in Japanese FH patients remain suboptimal. The
results suggest that FH is underdiagnosed in real-world, routine clinical
practice in Japan. There is an urgent need to improve the diagnostic rate of FH
and to provide the appropriate therapy to achieve the recommended LDL-C levels of
<100 mg/dL or a more than 50% reduction for patients with FH in Japan.
PMID- 29353826
TI - The Association between Glomerular Filtration Rate Estimated on Admission and
Acute Stroke Outcome: The Shiga Stroke Registry.
AB - AIM: Although renal dysfunction has been identified as a novel risk factor
affecting stroke prognosis, few have analyzed the association within large-scale
population-based setting, using wide-range estimated glomerular filtration rate
(eGFR) category. We aimed to determine the association of admission eGFR with
acute stroke outcomes using data from a registry established in Shiga Prefecture,
Japan. METHODS: Following exclusion of patients younger than 18 years, with
missing serum creatinine data, and with onset more than 7 days prior to
admission, 2,813 acute stroke patients registered in the Shiga Stroke Registry
year 2011 were included in the final analysis. The Japanese Society of Nephrology
equation was used to estimate GFR. Multivariable logistic regression was
performed to analyze the association of eGFR with all-cause in-hospital death
(modified Rankin Scale [mRS] 6), and at-discharge death/disability (mRS 2-6).
Separate analyses were conducted within stroke subtypes. RESULTS: Compared to
eGFR 60-89 mL/min/1.73 m2, adjusted odds ratios (ORs) and 95% confidence interval
[95% CI] for in-hospital death (in the order of eGFR <45, 45-59, and >=90
mL/min/1.73 m2) were 1.54 [1.04-2.27], 1.07 [0.72-1.58], and 1.04 [0.67-1.59].
Likewise, adjusted ORs [95% CI] for at-discharge death/disability were 1.54 [1.02
2.32], 0.97 [0.73-1.31], and 1.48 [1.06-2.05]. Similar pattern was further
evident in the eGFR <45 mL/min/1.73 m2 group for both outcomes within acute
ischemic stroke patients. CONCLUSIONS: Our study has ascertained that in acute
stroke, particularly ischemic stroke, low eGFR was significantly associated with
in-hospital death and at-discharge death/disability. Additionally, high eGFR was
found to be associated with at-discharge death/disability.
PMID- 29353828
TI - [What Is Necessary for Radiation Technology Studies for Big Data and AI Era?]
PMID- 29353829
TI - [Dispatch Reports on the Chinese Society of Imaging Technology (CSIT)].
PMID- 29353830
TI - [Academic Exchange Agreement between JSRT and TWSRT].
PMID- 29353827
TI - Oral Fat Tolerance Test for Sitosterolemia and Familial Hypercholesterolemia: A
Study Protocol.
AB - AIM: Sitosterolemia is an extremely rare, autosomal recessive disease
characterized by high plasma cholesterols and plant sterols because of increased
absorption of dietary cholesterols and sterols from the intestine, and decreased
excretion from biliary tract. Previous study indicated that sitosterolemic
patients might be vulnerable to post-prandial hyperlipidemia, including high
remnant-like lipoprotein particles (RLP) level. Here we evaluate whether a
loading dietary fat increases a post-prandial RLP cholesterol level in
sitosterolemic patients compared to heterozygous familial hypercholesterolemic
patients (FH). METHODS: We recruit total of 20 patients: 5 patients with
homozygous sitosterolemia, 5 patients with heterozygous sitosterolemia, and 10
patients with heterozygous FH as controls from May 2015 to March 2018 at Kanazawa
University Hospital, Japan. All patients receive Oral Fat Tolerance Test (OFTT)
cream (50 g/body surface area square meter, orally only once, and the cream
includes 34% of fat, 74 mg of cholesterol, and rich in palmitic and oleic acids.
The primary endpoint is the change of a RLP cholesterol level after OFTT cream
loading between sitosterolemia and FH. We measure them at baseline, and 2, 4, and
6 hours after the oral fat loading. RESULTS: This is the first study to evaluate
whether sitosterolemia patients have a higher post-prandial RLP cholesterol level
compared to heterozygous FH patients. CONCLUSION: The result may become an
additional evidence to restrict dietary cholesterols for sitosterolemia. This
study is registered at University Hospital Medical Information Network (UMIN)
Clinical Trials Registry (UMIN ID: UMIN000020330).
PMID- 29353831
TI - [Recent Topics of Small and Medium Enterprise and Information Technology Industry
Promotion Committee (SME?IT Committee)].
PMID- 29353832
TI - [The Measurement Precision and Accuracy of T1 Mapping Using Polarity Corrected
(PC) TI Prep Tool].
AB - The aim of this study was to evaluate the measurement precision and accuracy of
T1 mapping using a polarity corrected (PC) TI prep tool, which was based on fast
field echo (FFE) and obtained one data point with one inversion recovery (IR)
pulse. A phantom was used consisting of eight materials with different Gd
concentrations. T1 mappings were measured by changing the trigger interval and
the inversion time (TI) interval. The T1 mapping measurement precision using the
PC TI prep tool increased as the trigger interval was made longer. The
measurement precision didn't depend on the interval of TI. On the other hand,
when the trigger intervals are more than 1000 ms, the measurement accuracy was
less than approximately 8%. By setting the optimal end of TI, the T1 mapping
using a PC TI prep tool could measure the T1 value precisely and accurately.
PMID- 29353833
TI - [Evaluation of Organ Dose Estimation from Indices of CT Dose Using Dose Index
Registry].
AB - Direct measurement of each patient organ dose from computed tomography (CT) is
not possible. Most methods to estimate patient organ dose is using Monte Carlo
simulation with dedicated software. However, dedicated software is too expensive
for small scale hospitals. Not every hospital can estimate organ dose with
dedicated software. The purpose of this study was to evaluate the simple method
of organ dose estimation using some common indices of CT dose. The Monte Carlo
simulation software Radimetrics (Bayer) was used for calculating organ dose and
analysis relationship between indices of CT dose and organ dose. Multidetector CT
scanners were compared with those from two manufactures (LightSpeed VCT, GE
Healthcare; SOMATOM Definition Flash, Siemens Healthcare). Using stored patient
data from Radimetrics, the relationships between indices of CT dose and organ
dose were indicated as each formula for estimating organ dose. The accuracy of
estimation method of organ dose was compared with the results of Monte Carlo
simulation using the Bland-Altman plots. In the results, SSDE was the feasible
index for estimation organ dose in almost organs because it reflected each
patient size. The differences of organ dose between estimation and simulation
were within 23%. In conclusion, our estimation method of organ dose using indices
of CT dose is convenient for clinical with accuracy.
PMID- 29353834
TI - [Evaluation of Efficiencies on the Gadoxetic Acid-enhanced MRI for Preoperative
Assessment of Liver Metastases from Colorectal Carcinoma].
AB - THE AIMS OF OUR STUDY WERE: 1) to evaluate efficiencies of gadoxetic acid
enhanced magnetic resonance imaging (Gd-EOB-MRI) for preoperative assessment of
liver metastases from colorectal carcinoma, and 2) to compare them with other
diagnostic imaging modalities. The subjects of the analysis were outpatients with
advanced colorectal cancer who are at risk of developing liver metastases
(initial setting: pre-test probability=20%). At initial setting, we performed a
decision analysis to calculate numbers of true positive (TP), false negative
(FN), false positive (FP) and true negative (TN) test results per 1000 patients
of Gd-EOB-MRI and other imaging modalities (conventional contrast agent-enhanced
MRI, contrast-enhanced CT and 18F-FDG PET/CT). From the result of decision
analysis, we calculated the cost of detection per one patient with liver
metastases (detection cost). Also, we calculated positive predictive value (PPV)
and negative predictive value (NPV). Moreover, these values were defined as
efficiencies in this study. In the initial setting, number of TP, FN, FP TN
results and detection cost of Gd-EOB-MRI were 197, 3, 40, 760, and 224,032.8
Japanese Yen, respectively. Also, PPV and NPV were 83.1% and 99.7%, respectively.
In comparison with other imaging modalities, efficiencies of Gd-EOB-MRI were
superior to them, except detection cost. We consider that the efficiencies of Gd
EOB-MRI, which we had assessed are easy to understand and useful when they are
used for explanation to patients.
PMID- 29353835
TI - [Improvement of CT Imaging with a Metal Artifact Reduction Technique for
Radiation Treatment Planning-Fundamental Study of Structure Delineation and Dose
Calculation].
AB - In radiotherapy planning, CT images are widely used to delineate the gross tumor
volume (GTV) and the organs at risks (OARs), which allows for the calculation of
the dose distribution to each structure. The delineated contours of the GTV and
OARs may become inaccurate, and subsequently result in the inaccurate derivation
of the dose distribution, if there are metal artifacts present in the CT image.
The metal artifact reduction technique, single energy metal artifact reduction
(SEMAR), installed on the CT system (Aquilion ONETM Vision Edition, Toshiba
Medical Systems Corporation) could potentially reduce metal artifacts. Therefore,
we investigated whether SEMAR can improve the accuracy of delineation, and
subsequently the dosimetric accuracy, in the treatment planning process. Using an
acrylonitrile-butadiene-styrene resin phantom (RT-3000-New, R-Tech. Inc, Tokyo,
Japan), titanium bars were inserted on both the left and right sides, and four
types of electron density inserts (rods) were separately inserted in the middle.
The electron densities of the rods were 0.90, 0.96, 1.07, and 1.09. After CT
images were acquired, SEMAR-ON (when applying the SEMAR correction) images were
generated. On both SEMAR-ON and SEMAR-OFF (when not applying the SEMAR
correction) images, the rod contours were delineated automatically, using a CT
value threshold. This threshold was selected so that the area of the
automatically delineated contour was 615.4 mm2. The difference in the contour
area of SEMAR-ON, SEMAR-OFF, and no metal artifact images were compared using the
dice coefficient. When SEMAR was used, the dice coefficient improved by 57.4%.
Therefore, SEMAR was considered to be useful in improving the accuracy of GTV and
OAR delineation.
PMID- 29353836
TI - [Optimal Conditions for 3D Non-contrast T1-weighted Magnetic Resonance Imaging
Segmented Turbo Fast Low-angle Shot for Tissue Characterization of Coronary
Plaques].
AB - In three-dimensional (3D) T1-weighted magnetic resonance imaging used for tissue
characterization of coronary plaques, the contrast for electrocardiographic
synchronization may vary according to the R-R interval (RR). The coronary artery
plaque image shows suppression of the fluid compartment signal for the coronary
artery luminal blood as well as the fat signal in the region of interest; in
addition, it is necessary to ensure that the value of the plaque-to-muscle signal
intensity ratio (PMR) does not change according to the difference in RR. In the
current study, the phantom review and clinical data suggested that the PMR
changes that occur due to the differences in RR can be minimized by adjusting the
inversion time (TI) in the range of the required black blood effect. Moreover,
the signal-to-noise ratio (SNR), which varies according to the difference between
the RR and the TI, was determined to identify the maximum value flip angle (FA)
value that would lead to improvement in the SNR. Thus, signal suppression of the
PMR, SNR, and the fluid compartment of the coronary artery luminal blood can be
controlled using different RRs with the relational expressions for calculating
optimal TI and FA.
PMID- 29353838
TI - [7. DRL for Interventional Radiology Examination].
PMID- 29353837
TI - [Effect of Tube Voltage on Contrast Enhancement and Contrast Medium Dose in
Abdominal Contrast-enhanced Computed Tomography].
AB - The purpose of this study was to investigate the effect of tube voltage on
relationship between a patient's body weight and contrast enhancement in
abdominal contrast-enhanced computed tomography (CT). Five phantoms with
diameters ranging from 19.2 to 30.6 cm, including syringes filled with iodine
solution diluted to different concentrations, were used to compare the effects at
tube voltages of 80, 100, and 120 kVp. Furthermore, for clinical study, 300
patients who underwent abdominal contrast-enhanced CT examinations were enrolled
and enhancements of aorta and hepatic parenchyma in arterial phase and
equilibrium phase were compared at 80, 100, and 120 kVp using a contrast medium
administration proportional to the body weight. The contrast enhancement was
decreased with increase in phantom size because of the beam-hardening effect, and
however, the decrease was less at low tube voltages of 80 and 100 kVp (lowest at
80 kVp), demonstrating the beam-hardening effect was reduced at low tube
voltages. The enhancements of aorta and hepatic parenchyma indicated tended to
increase in patients with a heavy body weight, and this trend was stronger at 80
and 100 kVp (80 kVp>100 kVp). Therefore, it was indicated that the problem of
excessive contrast enhancement in patients with a high body weight was prominent
at low tube voltages because the beam-hardening effect in patients with a heavy
body weight was weaken by low tube voltages.
PMID- 29353839
TI - [3. Researches of Drug Delivery System and Theranostics Using Pre-clinical MRI].
PMID- 29353840
TI - [7. Radiation Therapy for Prostate Cancer].
PMID- 29353842
TI - [1. Flow of Outpatient Care Using Image Diagnosis Including Sonography for
Gynecologic Tumor].
PMID- 29353843
TI - [About the Radiological Technology].
PMID- 29353844
TI - [Progress in research of NASH].
PMID- 29353845
TI - [Recent progress in the treatment of chronic hepatitis B].
PMID- 29353846
TI - [A case of adult gastric volvulus treated using upper gastrointestinal series].
AB - Here, we report a case of adult gastric volvulus that was treated using an upper
gastrointestinal series and provide a review of relevant reports. A 55-year-old
woman presented with upper abdominal pain. Abdominal computed tomography revealed
mesenteroaxial gastric volvulus. We performed an upper gastrointestinal series
after the placement of a nasogastric tube. We successfully performed detorsion by
repositioning. There was no recurrence of gastric volvulus after the detorsion.
However, considering the risk of recurrence, we also performed laparoscopic
gastropexy. The postoperative course was uneventful, and she was discharged from
the hospital on postoperative day 3. No recurrence of symptoms has been observed
to date.
PMID- 29353847
TI - [Diagnosis and treatment of six patients with Crohn's disease complicated by
recto-perineal-urethral fistula].
AB - Recto-perineal-urethral fistula caused by Crohn's disease is a rare, for which
fundamental treatment has not been established yet. We analyzed the clinical
characteristics, diagnosis, treatment, and prognosis of six male patients with
anorectal lesions caused by Crohn's disease. Three patients were treated with
abdominoperineal resection and the other three with rectal excision without
anastomosis. Excluding one case, which presented worsening immediately after
surgery, symptoms of urethral fistula improved without urethral stenosis,
dysuria, or sexual dysfunction. Recto-perineal-urethral fistula is currently
treated with various treatment modalities, including colostomy and drug therapy.
Although the evaluation of these modalities is required, abdominoperineal
resection or rectal excision without anastomosis may be a valuable treatment
option because these approaches improve the urethral fistula while sparing the
urethra without any significant complications.
PMID- 29353849
TI - [Identify cases that require hepatitis B treatment -Understanding the hepatitis B
virus marker helps diagnosis and treatment of hepatitis B patients].
PMID- 29353850
TI - [Current issues and management of long-term nucleos(t)ide analogues treatment for
chronic hepatitis B].
PMID- 29353848
TI - [A case of intracholecystic papillary neoplasm of the gallbladder (ICPN) examined
using contrast-enhanced endoscopic ultrasonography].
AB - A 78-year-old woman was found to have gallbladder wall thickening on
ultrasonography during a routine health check-up and was referred to our clinic.
On contrast-enhanced endoscopic ultrasonography, a papillary lesion measuring
14mm was detected in the fundus, which showed a heterogeneous enhancement at the
early phase. She underwent cholecystectomy and gallbladder bed resection.
Histological examination revealed that the tumor consisted of mucinous atypical
cells, regularly arranged in a high-papillary architecture with delicate
fibrovascular cores, which led to the diagnosis of intracholecystic papillary
neoplasm of the gallbladder.
PMID- 29353851
TI - [Recent status of HBV reactivation in Japan and the strategy to prevent severe
hepatitis].
PMID- 29353852
TI - [Latest information about drug development of hepatitis B and future prospect].
PMID- 29353853
TI - ?
PMID- 29353854
TI - [A case of duodenal neuroendocrine carcinoma composed of small- and large-cell
types].
AB - A 78-year-old man underwent a detailed examination by upper gastrointestinal
endoscopy for the complaint of epigastric pain. The examination revealed a
hemicircumferential type 2 tumor in the descending duodenum. A subsequent biopsy
led to a diagnosis of neuroendocrine carcinoma. Subtotal stomach-preserving
pancreaticoduodenectomy was performed. The lesion was composed of small- and
large-cell neuroendocrine carcinomas. The large-cell type component was positive
for both caudal homeobox protein 2 and the cluster of differentiation 138,
whereas the small-cell type component was negative for both. Our report may
provide valuable information regarding the pathogenesis of neuroendocrine
carcinoma.
PMID- 29353855
TI - [Sigmoid colon cancer with intussusception prolapsing through the anus treated by
elective laparoscopic radical surgery].
AB - An 86-year-old man was brought in ambulance to our hospital because of sudden
hematochezia and abdominal pain during defecation. Intestinal prolapse
approximately 80mm from the anus and a type 1 tumor 50mm in size on the mucosal
surface were detected. The intestinal prolapse was manually repositioned, and the
reduction of the intussusception was confirmed by computed tomography (CT).
Following colonoscopy and abdominal-enhanced CT, a sigmoid colon cancer without
distant metastases was detected. Elective laparoscopic radical surgery was
performed. The present study described a rare case of sigmoid colon cancer with
an intussusception prolapsing through the anus and highlighted the treatment
strategy by reviewing 48 previous cases. The treatment strategy employed was as
follows:first, manual repositioning of the intestinal prolapse was attempted;and
second, the presence of intussusception was confirmed by CT. In cases when
repositioning of the intussusception was not possible, even with the use of an
endoscope or contrast enema, emergency surgery was required.
PMID- 29353856
TI - [Pneumatosis cystoides intestinalis (PCI) with sigmoid volvulus:a case
potentially associated with lymphatic vessels].
AB - An 80-year-old woman was brought to our hospital in an ambulance because of signs
of peritoneal irritation. Computed tomography revealed volvulus, sigmoid colon
dilation, and free air and pneumatosis within the colonic and bowel wall. An
emergency laparotomy was performed after the diagnosis of colonic perforation
associated with volvulus or pneumatosis. Numerous gas-filled cysts, up to 10mm in
diameter, were located in the resected bowel wall. The cells at the inner lining
of the cysts tested positive for D2-40 immunoreactivity, seemingly originating
from the lymphatic vessels. In many cysts, KP-1 positive small mononuclear cells
and/or foreign-body-type giant cells adhered to the D2-40 positive cells were
observed. Fibrosis and lymphoplasmacytic infiltration was observed around the
cysts. Contrary to the influential mechanical cause theory, in the present case,
pneumatosis may have preceded volvulus, occurring as an idiopathic disease.
Therefore, the lymphatic vessels were hypothesized to be associated with the
pathogenesis of PCI.
PMID- 29353857
TI - New hematological key for bovine leukemia virus-infected Japanese Black cattle.
AB - The European Community's (EC) Key, which is also called Bendixen's Key, is a well
established bovine leukemia virus (BLV) diagnostic method that classifies cattle
according to the absolute lymphocyte count and age. The EC Key was originally
designed for dairy cattle and is not necessarily suitable for Japanese Black (JB)
beef cattle. This study revealed the lymphocyte counts in the BLV-free and
infected JB cattle were significantly lower than those in the Holstein cattle.
Therefore, applying the EC Key to JB cattle could result in a large number of
undetected BLV-infected cattle. Our proposed hematological key, which was
designed for JB cattle, improves the detection of BLV-infected cattle by
approximately 20%. We believe that this study could help promote BLV control.
PMID- 29353858
TI - Seasonal changes in circulating gonadal steroid levels and physiological evidence
for the presence of intrinsic circannual reproductive cycles in captive finless
porpoises Neophocaena asiaeorientalis from the western Inland Sea, Japan.
AB - We monitored annual fluctuations of gonadal steroid levels in three sexually
mature captive finless porpoises (Neophocaena asiaeorientalis; two males and one
female) from two different facilities over 56-91 months. Two animals (one male
and one female) were held in an indoor tank with a sunroof (facility A) and the
other male was held in an indoor tank without a sunroof (facility B). Water
temperatures in both facilities reflected seasonal changes during the study
period with a minor difference in the fluctuation pattern. Testosterone levels of
the male in facility A were higher from spring to summer every year and exhibited
a 12-month cycle. The female showed estrus cycles in 1-month intervals from
summer to winter, excluding 2 anestrus years. In contrast, the period of higher
testosterone levels of the male in facility B gradually initiated earlier over
the years under a constant photoperiod (11.5L:12.5D) and exhibited a 9-month
cycle during the first 52 months. After changing the light conditions to a
natural photoperiod, its testosterone levels were high from early spring to
summer for 3 consecutive years and exhibited a 12-month cycle. Our results showed
that under a constant artificial photoperiod, the male in facility B failed to
recognize the seasonal changes of a natural external environment, resulting in a
9-month, free-running hormone cycle.
PMID- 29353859
TI - Towards a wearable sensor system for continuous occupational cold stress
assessment.
AB - This study investigated the usefulness of continuous sensor data for improving
occupational cold stress assessment. Eleven volunteer male subjects completed a
90-120-min protocol in cold environments, consisting of rest, moderate and hard
work. Biomedical data were measured using a smart jacket with integrated
temperature, humidity and activity sensors, in addition to a custom-made sensor
belt worn around the chest. Other relevant sensor data were measured using
commercially available sensors. The study aimed to improve decision support for
workers in cold climates, by taking advantage of the information provided by data
from the rapidly growing market of wearable sensors. Important findings were that
the subjective thermal sensation did not correspond to the measured absolute skin
temperature and that large differences were observed in both metabolic energy
production and skin temperatures under identical exposure conditions.
Temperature, humidity, activity and heart rate were found to be relevant
parameters for cold stress assessment, and the locations of the sensors in the
prototype jacket were adequate. The study reveals the need for cold stress
assessment and indicates that a generalised approached is not sufficient to
assess the stress on an individual level.
PMID- 29353860
TI - Association of Hyperthyroidism and Thyroid Autoantibodies with Moyamoya Disease
and Its Stroke Event: A Population-based Case-control Study and Meta-analysis.
AB - The aim of this study was to investigate the specific thyroid condition and
thyroid autoantibodies in adult moyamoya disease (MMD) according to clinical
presentation (ischemia vs. hemorrhage stroke). In addition, a meta-analysis was
performed to reveal the association between adult MMD and elevated thyroid
function, or autoantibodies. Prospectively collected data on 169 consecutive
patients with MMD at a single institution were analyzed. Community-based controls
matched for age and sex were selected for comparison. Penalized multinomial
logistic regression analysis was used for factors affecting stroke. For meta
analysis, heterogeneity was evaluated by using the I2 test. If I2 < 50%, a fixed
effect model was used. Fifty-four cases (32.0%) presented with ischemic stroke
and 37 cases (21.9%) with hemorrhage stroke. Hyperthyroidism had a marginally
increased risk of MMD with ischemic stroke with reference value of MMD without
stroke [odds ratio (OR), 2.53; P = 0.055]. Anti-thyroperoxidase antibody (TPOAb)
increased the risk of MMD presenting with ischemic stroke significantly (OR,
2.99; P = 0.020). A meta-analysis revealed that adult MMD was significantly
associated with elevated autoantibodies (OR, 7.663; P = 0.002) and
hyperthyroidism (OR, 10.936; P < 0.001). Elevated TPOAb and hyperthyroidism may
play important roles in adult MMD with ischemic stroke. Studies focusing on
targeted hyperthyroidism and thyroid autoantibodies are necessary in treating
adult MMD patients in the future.
PMID- 29353861
TI - Neuroprotection Mediated by Upregulation of Endothelial Nitric Oxide Synthase in
Rho-Associated, Coiled-Coil-Containing Kinase 2 Deficient Mice.
AB - BACKGROUND: Rho-associated kinases (ROCK1 and ROCK2) are important regulators of
the actin cytoskeleton and endothelial nitric oxide synthase (eNOS). Because the
phosphorylation of eukaryotic elongation factor-1A1 (eEF1A1) by ROCK2 is critical
for eNOS expression, we hypothesized that this molecular pathway may play a
critical role in neuroprotection following focal cerebral ischemia.Methods and
Results:Adult male wild-type (WT) and mutant ROCK2 and eNOS-/-mice were subjected
to middle cerebral artery occlusion (MCAO), and cerebral infarct size,
neurological deficit and absolute cerebral blood flow were measured. In addition,
aortic endothelium-dependent response to acetylcholine, NG-nitro-L-arginine
methyl ester (L-NAME) and sodium nitroprusside were assessed ex vivo. Endothelial
cells from mouse brain or heart were used to measure eNOS and eEF1A activity, as
well as NO production and eNOS mRNA half-life. In global hemizygous ROCK2+/-and
endothelial-specific EC-ROCK2-/-mice, eNOS mRNA stability and eNOS expression
were increased, which correlated with enhanced endothelium-dependent relaxation
and neuroprotection following focal cerebral ischemia. Indeed, when ROCK2+/-mice
were place on an eNOS-/-background, the neuroprotective effects observed in
ROCK2+/-mice were abolished. CONCLUSIONS: These findings indicate that the
phosphorylation of eEF1A1 by ROCK2 is physiologically important for eNOS
expression and NO-mediated neuroprotection, and suggest that targeting
endothelial ROCK2 and eEF1A may have therapeutic benefits in ischemic stroke and
cardiovascular disease.
PMID- 29353862
TI - Clinical Predictors of Right Ventricular Myocardial Fibrosis in Patients With
Repaired Tetralogy of Fallot.
AB - BACKGROUND: This study aimed to identify the clinical predictors of the degree of
right ventricular (RV) myocardial fibrosis in patients with repaired tetralogy of
Fallot (TOF) with special focus on the RV pressure load.Methods and Results:From
April 2004 to March 2017, 30 patients with repaired TOF underwent pulmonary valve
replacement and concomitant RV myocardial biopsy. The stroke volume ratio (RV
stroke volume/left ventricular stroke volume), RV end-diastolic volume index, and
right-to-left ventricular systolic pressure ratio were evaluated with respect to
their prognostic value for the degree of RV myocardial fibrosis. Significant
positive linear correlations were detected between the stroke volume ratio and
the degree of RV myocardial fibrosis (P=0.003, r=0.52). Patients with a right-to
left ventricular systolic pressure ratio >0.45 showed a significantly greater
degree of RV myocardial fibrosis under an equivalent stroke volume ratio.
CONCLUSIONS: Under conditions of RV volume overload, a right-to-left ventricular
systolic pressure ratio >0.45 was a predisposing factor for progression of RV
myocardial fibrosis in patients with repaired TOF.
PMID- 29353863
TI - Epizootic of sarcoptic mange in raccoon dogs (Nyctereutes procyonoides) in
relation to population density.
AB - To examine outbreaks of mange in raccoon dogs (Nyctereutes procyonoides) with
respect to population density, we analyzed camera trap videos, and isolated mites
from raccoon dog carcasses. In a camera trapping survey, we categorized the skin
condition of raccoon dogs, and used a number of independent videos to calculate
the relative abundance index (RAI). The RAI of raccoon dogs with alopecia
increased following an increase in the RAI of those without alopecia. Among 27
raccoon dog carcasses, 12 showed mange-compatible skin lesions. Sarcoptes scabiei
was isolated from 11 of these raccoon dogs, indicating that sarcoptic mange was
endemic in our study area. Therefore, a high relative population density may be a
factor underlying epizootics of sarcoptic mange in raccoon dogs.
PMID- 29353864
TI - Development of Probiotic Formulation for the Treatment of Iron Deficiency Anemia.
AB - Probiotics are increasingly more present both as functional foods, and in
pharmaceutical preparations with multiple levels of action that contribute to
human health. Probiotics realize their positive effects with a proper dose, and
by maintaining a declared number of probiotics cells by the expiration date.
Important precondition for developing a probiotic product is the right choice of
clinically proven probiotic strain, the choice of other active components, as
well as, the optimization of the quantity of active component of probiotic per
product dose. This scientific paper describes the optimization of the number of
probiotics cells in the formulation of dietary supplement that contains probiotic
culture Lactobacillus plantarum 299v, iron and vitamin C. Variations of the
quantity of active component were analyzed in development batches of the
encapsulated probiotic product categorized as dietary supplement with the
following ingredients: probiotic culture, sucrosomal form of iron and vitamin C.
Optimal quantity of active component L. plantarum of 50 mg, was selected. The
purpose of this scientific paper is to select the optimal formulation of
probiotic culture in a dietary supplement that contains iron and vitamin C, and
to also determine its expiration date by the analysis of the number of viable
probiotic cells.
PMID- 29353865
TI - An 18-Year Follow-up Survey of Dioxin Levels in Human Milk in Japan.
AB - BACKGROUND: Globally, few published studies have tracked the temporal trend of
dioxin levels in the human body since 2000. This study describes the annual trend
of dioxin levels in human breast milk in Japanese mothers from 1998 through 2015.
METHODS: An observational study was conducted from 1998 through 2015.
Participants were 1,194 healthy mothers following their first delivery who were
recruited annually in Japan. Breast milk samples obtained from participants were
analyzed using gas chromatography and mass spectrometry for dioxins, including
polychlorinated dibenzo-p-dioxins (PCDDs), polychlorinated dibenzofurans (PCDFs),
and coplanar polychlorinated biphenyls (PCBs). RESULTS: Mean age was 29.5 years,
and 53% of participants were 20-25 years old. A declining trend in total dioxin
levels was found, from a peak of 20.8 pg toxic equivalence (TEQ)/g fat in 1998 to
7.2 pg TEQ/g fat in 2014. Data from the last 5 years of the study indicated a
plateau at minimal levels. In contrast, an increasing trend was found in the mean
age of participants during the last 5 years. Although significantly higher dioxin
levels were observed in samples from older participants, an upward trend in
dioxin levels was not observed, indicating that dietary and environmental
exposure to dioxins had greatly diminished in recent years. CONCLUSIONS: Dioxin
levels in human breast milk may be approaching a minimum in recent years in
Japan. The findings may contribute to global reference levels for environmental
pollution of dioxins, which remains a problem for many developing countries.
PMID- 29353866
TI - Weight Status, Physical Activity, and Depression in Korean Older Adults.
AB - BACKGROUND: This study aimed to explore the associations between weight status,
physical activity, and depression in Korean older adults. METHODS: We used the
baseline data drawn from the 2008 baseline survey utilized in the Living Profiles
of Older People Survey, comprised of 15,146 community-dwelling older people
(42.6% men and 57.4% women) aged 60 years and older residing in the Republic of
Korea. After excluding respondents with missing data on height, weight, and
physical activity (PA), data on 10,197 samples (43.3% men and 56.7% women) were
analyzed in this study. RESULTS: Underweight and completely inactive individuals
had poorer sociodemographic and health behavioral characteristics and increased
risks of late-life depression compared with normal weight and sufficiently active
individuals, respectively. In terms of the aerobic PA guidelines, completely
inactive individuals had a significantly higher risk of late-life depression
(odds ratio 1.730; 95% confidence interval, 1.412-2.120) compared with
sufficiently active individuals, even after adjustments for age, education,
household income, night sleeping, living status, marital status, smoking, number
of comorbidities, nutritional status, self-reported health status, and cognitive
performance as covariates. In addition, those who did not meet the PA guidelines
and were underweight or overweight/obese were more likely to have late-life
depression compared to those who were active and normal weight. CONCLUSIONS: The
current findings of the study suggest that modifiable, lifestyle risk factors,
such as physical inactivity, underweight, and overweight/obesity, are positively
associated with late-life depression in Korean older adults.
PMID- 29353868
TI - Telomeres and subtelomeres: new insights into the chromatin structures and
functions of chromosome ends.
PMID- 29353869
TI - Lipofection of siRNA into bovine 8-16-cell stage embryos using zona removal and
the well-of-the-well culture system.
AB - Bovine preimplantation embryos exhibit dramatic biological changes between before
and after the 8-16-cell stage. Here we report a simple lipofection method to
transfect siRNA into bovine 8-16-cell stage embryos using zona removal and the
well-of-the-well (WOW) culture system. Bovine one-cell embryos produced in vitro
were freed from the zona pellucida and cultured up to the 8-16-cell stage in WOW
dishes. The 8-16-cell embryos were lipofected with siRNA and the transfection
efficiency was assessed at 48 h of transfection. Lipofection with a red
fluorescent non-targeting siRNA revealed the importance of zona removal for
transfection of siRNA into embryos. Using this method, we knocked down the
methionine adenosyltransferase 2A (MAT2A) gene, achieving a significant reduction
in MAT2A expression (P < 0.05) concomitant with the marked inhibition of
blastocyst development. Our proposed method, tentatively named 'Octo
lipofection', may be useful to analyze gene functions in bovine preimplantation
embryos without expensive equipment and skill-intensive techniques.
PMID- 29353867
TI - Factors controlling sperm migration through the oviduct revealed by gene-modified
mouse models.
AB - Mammalian fertilization is comprised of many steps including sperm survival in
the uterus, sperm migration in the female reproductive tract, physiological and
morphological changes to the spermatozoa, and sperm-egg interaction in the
oviduct. In vitro studies have revealed essential factors for these fertilization
steps for over half a century. However, the molecular mechanism of fertilization
has recently been revised by the emergence of genetically modified animals. Here,
we focus on essential factors for sperm fertilizing ability and describe recent
advances in our knowledge of the mechanisms of mammalian fertilization,
especially of sperm migration from the uterus into the oviduct.
PMID- 29353870
TI - Efficiency of stem cell based therapy in the treatment of diabetic foot ulcer: a
meta-analysis.
AB - Diabetic foot ulcer is a chronic, refractory, frequent complication in diabetic
patient. Its treatment often requires multidisciplinary joint efforts, diverse
strategies have been adopted to address this annoying issue, including stem cell
based therapy/acellular dermal matrix/negative pressure wound therapy etc.
However, consensus has not been reached. To assess the current evidence regarding
the efficiency and potential advantages of stem cell-based therapy compared with
conventional standard treatment and/or placebo in the treatment of diabetic foot
ulcer. A comprehensive search in PubMed, EmBase, Cochrane Central and Web of
Science databases was conducted during December 2016 and a systematic review and
meta-analysis of all relevant studies were performed. A total of 7 studies that
involved 224 diabetic foot patients, classified as Wagner grades 1-5, were
analyzed. The pooled results confirmed the benefits of using the stem cell
treatment. Partial and/or complete healing were significantly higher in the stem
cell group compared with the control group (77.4% vs. 31.9%; RR: 2.22; 95% CI,
1.65-2.98). Subgroup analysis on ABI and TCP02 also confirmed the results. The
present meta-analysis indicates that stem cell-based therapy can enhance the
healing of diabetic foot ulcers and is associated with lesser pain, lower
amputation rate and improved prognosis compared with normal treatment. Well
designed randomized controlled trials are required in the future in order to
confirm and update these findings.
PMID- 29353871
TI - Minimally Invasive Cardiac Surgery in China: Multi-Center Experience.
AB - BACKGROUND To the best of our knowledge, there is no nationwide data available on
the development of minimally invasive cardiac surgery (MICS) in China. The
purpose of this study was to report the results of MICS in 6 experienced centers
in China. MATERIAL AND METHODS From September 2014 to July 2016, 1241 patients
with cardiac conditions who underwent MICS procedures were randomly enrolled in 6
centers in China, and those patients were randomly selected for inclusion in this
study. The MICS procedures were defined as any cardiac surgery performed through
a less invasive incision, rather than a complete median sternotomy, mainly
including mini-incision surgery (400, 32.2%), video-assisted approach (265,
21.3%), completely thoracoscopic approach without robotic assistance (504,
40.6%), and robotic procedure (55, 4.4%). RESULTS The 5 most common in-hospital
complications were respiratory failure (28, 2.3%), reoperation for all reasons
(19, 1.5%), renal failure (11, 0.9%), heart failure (9, 0.7%), and stroke (6,
0.5%). The multivariate logistic regression analysis results showed that
cardiopulmonary bypass (CPB) time (P=0.033), aortic cross-clamp time (P=0.003),
cannulation approach (P=0.010), and left ventricular ejection fraction (LVEF)
(P=0.003) at baseline were all significant risk factors of any in-hospital
complication of MICS procedures. CONCLUSIONS From our experience, minimally
invasive cardiac approaches are safe and reproducible, with acceptable CPB and
aortic cross-clamp time duration and low mortality.
PMID- 29353872
TI - Atypical Presentation of a Rare Disease: Eosinophilic Cholangitis Posing as a
Cancer.
AB - BACKGROUND A variety of benign etiologies of biliary stricture may initially be
mistaken for hilar cholangiocarcinoma. Consequently, many patients undergo
surgery for a benign disease that could have been treated medically. Eosinophilic
cholangitis (EC) is an uncommon, benign, self-limiting disease that should be
considered when approaching a case of obstructive jaundice since it causes
biliary stricture formation. Transmural eosinophilic infiltration of the biliary
tree is characteristic of EC. It may initially be indistinguishable from hilar
cholangiocarcinoma. CASE REPORT We present a rare case of an 84-year-old male who
was referred to our hospital for abdominal mass investigation with the
provisional diagnosis of cholangiocarcinoma. During the workup, the index of
suspicion for malignancy remained high as the typical laboratory and radiological
findings for benign causes of biliary stricture were not present. Hence, the
patient underwent left hepatectomy with caudate lobe resection and received a
retrograde diagnosis of EC. CONCLUSIONS This case demonstrates that EC could
present in the elderly with cardinal signs of cancer and absence of the typical
findings of EC which was not previously reported. Since only 70% of patients
present with peripheral eosinophilia, we stress on the importance of implementing
diagnostic criteria for EC in the setting where peripheral eosinophilia is
absent. Furthermore, this disorder has been reported to respond well to steroid
therapy, hence, diagnostic criteria for EC would provide another treatment option
for elderly and/or those who are not fit for surgery.
PMID- 29353873
TI - Functional genomics: A drop in an ocean of gene variants.
PMID- 29353874
TI - Technique: SNP-CLINGing onto your post in the genome.
PMID- 29353875
TI - Cost-effectiveness analyses of genetic and genomic diagnostic tests.
AB - Developments in next-generation sequencing technologies have driven the clinical
application of diagnostic tests that interrogate the whole genome, which offer
the chance to diagnose rare inherited diseases or inform the targeting of
therapies. New genomic diagnostic tests compete with traditional approaches to
diagnosis, including the genetic testing of single genes and other clinical
strategies, for finite health-care budgets. In this context, decision analytic
model-based cost-effectiveness analysis is a useful method to help evaluate the
costs versus consequences of introducing new health-care interventions. This
Perspective presents key methodological, technical, practical and organizational
challenges that must be considered by decision-makers responsible for the
allocation of health-care resources to obtain robust and timely information about
the relative cost-effectiveness of the increasing numbers of emerging genomic
tests.
PMID- 29353876
TI - Dandelions, tulips and orchids: evidence for the existence of low-sensitive,
medium-sensitive and high-sensitive individuals.
AB - According to empirical studies and recent theories, people differ substantially
in their reactivity or sensitivity to environmental influences with some being
generally more affected than others. More sensitive individuals have been
described as orchids and less-sensitive ones as dandelions. Applying a data
driven approach, we explored the existence of sensitivity groups in a sample of
906 adults who completed the highly sensitive person (HSP) scale. According to
factor analyses, the HSP scale reflects a bifactor model with a general
sensitivity factor. In contrast to prevailing theories, latent class analyses
consistently suggested the existence of three rather than two groups. While we
were able to identify a highly sensitive (orchids, 31%) and a low-sensitive group
(dandelions, 29%), we also detected a third group (40%) characterised by medium
sensitivity, which we refer to as tulips in keeping with the flower metaphor.
Preliminary cut-off scores for all three groups are provided. In order to
characterise the different sensitivity groups, we investigated group differences
regarding the Big Five personality traits, as well as experimentally assessed
emotional reactivity in an additional independent sample. According to these
follow-up analyses, the three groups differed in neuroticism, extraversion and
emotional reactivity to positive mood induction with orchids scoring
significantly higher in neuroticism and emotional reactivity and lower in
extraversion than the other two groups (dandelions also differed significantly
from tulips). Findings suggest that environmental sensitivity is a continuous and
normally distributed trait but that people fall into three distinct sensitive
groups along a sensitivity continuum.
PMID- 29353877
TI - Gene variants and educational attainment in cannabis use: mediating role of DNA
methylation.
AB - Genetic and sociodemographic risk factors potentially associated with cannabis
use (CU) were investigated in 40 cannabis users and 96 control subjects. DNA
methylation analyses were also performed to explore the possibility of epigenetic
changes related to CU. We conducted a candidate gene association study that
included variants involved in the dopaminergic (ANKK1, NCAM1 genes) and
endocannabinoid (CNR1, CNR2 gene) pathways. Sociodemographic data included
gender, marital status, level of education, and body mass index. We used MeDIP
qPCR to test whether variations in DNA methylation might be associated with CU.
We found a significant association between SNP rs1049353 of CNR1 gene (p = 0.01)
and CU. Differences were also observed related to rs2501431 of CNR2 gene (p =
0.058). A higher education level appears to decrease the risk of CU.
Interestingly, females were less likely to use cannabis than males. There was a
significantly higher level of DNA methylation in cannabis users compared to
controls in two of the genes tested: hypermethylation at exon 8 of DRD2 gene (p =
0.034) and at the CpG-rich region in the NCAM1 gene (p = 0.0004). Both genetic
variants and educational attainment were also related to CU. The higher rate of
DNA methylation, evidenced among cannabis users, may be either a marker of CU or
a consequence of long-term exposure to cannabis. The identified genetic variants
and the differentially methylated regions may represent biomarkers and/or
potential targets for designs of pharmacological therapeutic agents. Our
observations also suggest that educational programs may be useful strategies for
CU prevention.
PMID- 29353878
TI - Psychosocial characteristics as potential predictors of suicide in adults: an
overview of the evidence with new results from prospective cohort studies.
AB - In this narrative overview of the evidence linking psychosocial factors with
future suicide risk, we collected results from published reports of prospective
studies with verified suicide events (mortality or, less commonly,
hospitalisation) alongside analyses of new data. There is abundant evidence
indicating that low socioeconomic position, irrespective of the economic status
of the country in question, is associated with an increased risk of suicide,
including the suggestion that the recent global economic recession has been
responsible for an increase in suicide deaths and, by proxy, attempts. Social
isolation, low scores on tests of intelligence, serious mental illness (both
particularly strongly), chronic psychological distress, and lower physical
stature (a marker of childhood exposures) were also consistently related to
elevated suicide rates. Although there is some circumstantial evidence for
psychosocial stress, personality disposition, and early-life characteristics such
as bullying being risk indices for suicide, the general paucity of studies means
it is not currently possible to draw clear conclusions about their role. Most
suicide intervention strategies have traditionally not explored the modification
of psychosocial factors, partly because evidence linking psychosocial factors
with suicide risk is, as shown herein, largely in its infancy, or, where is does
exist, for instance for intelligence and personality disposition, the
characteristics in question do not appear to be easily malleable.
PMID- 29353881
TI - Hypertension with diabetes mellitus complications.
AB - Chronic diabetic complications are classified as microvascular or macrovascular
and contribute to mortality and loss of quality of life. Hyperglycemia plays a
critical role in the pathogenesis of microvascular complications, such as
diabetic retinopathy, incipient nephropathy, and neuropathy, while
atherosclerosis contributes to the pathogenesis of macrovascular complications.
Diabetes mellitus and hypertension are frequently present together. Among many
microvascular diabetic complications, hypertension plays a predominant role in
the progression of diabetic nephropathy by glomerular hyperfiltration.
Hypertension also induces atherosclerosis in diabetes. Thus, hypertension is a
high-risk factor for both microvascular and macrovascular chronic diabetic
complications. In this review, we summarize the current knowledge on the
pathophysiological mechanisms of microvascular and macrovascular chronic diabetic
complications with particular emphasis on the contribution of hypertension. We
also briefly discuss various options available for the treatment of each diabetic
complication.
PMID- 29353879
TI - Shifting priorities: highly conserved behavioral and brain network adaptations to
chronic stress across species.
AB - Parallel clinical and preclinical research have begun to illuminate the
biological basis of stress-related disorders, including major depression, but
translational bridges informing discrete mechanistic targets for intervention are
missing. To address this critical need, we used structural MRI in a mouse model
and in a large human sample to examine stress effects on brain structure that may
be conserved across species. Specifically, we focused on a previously unexplored
approach, whole-brain structural covariance, as it reflects synchronized changes
in neuroanatomy, potentially due to mutual trophic influences or shared
plasticity across regions. Using the unpredictable chronic mild stress (UCMS)
paradigm in mouse we first demonstrate that UCMS-induced elevated behavioral
emotionality correlates with increased size of the amygdala and other
corticolimbic regions. We further identify focal increases in the amygdala's
'hubness' (degree and strength) set against the background of a global stress
related loss of network clustering and modularity. These macroscopic changes are
supported on the molecular level by increased postsynaptic density-95 protein in
the amygdala, consistent with stress-induced plastic changes and synaptic
strengthening. Finally, we provide clinical evidence that strikingly similar
structural network reorganization patterns exist in young adults reporting high
childhood trauma and increased mood symptoms. Collectively, we provide initial
translational evidence for a conserved stress-related increase in amygdala
centered structural synchrony, as measured by enhanced structural covariance,
which is paralleled by a decrease in global structural synchrony. This putative
trade-off reflected in increased amygdala-centered plastic changes at the expense
of global structural dedifferentiation may represent a mechanistic pathway for
depression and related psychopathology.
PMID- 29353880
TI - Differential effect of disease-associated ST8SIA2 haplotype on cerebral white
matter diffusion properties in schizophrenia and healthy controls.
AB - Brain white matter abnormalities are evident in individuals with schizophrenia,
and also their first-degree relatives, suggesting that some alterations may
relate to underlying genetic risk. The ST8 alpha-N-acetyl-neuraminide alpha-2,8
sialyltransferase 2 (ST8SIA2) gene, which encodes the alpha-2,8-sialyltransferase
8B enzyme that aids neuronal migration and synaptic plasticity, was previously
implicated as a schizophrenia susceptibility gene. This study examined the extent
to which specific haplotypes in ST8SIA2 influence white matter microstructure
using diffusion-weighted imaging of individuals with schizophrenia (n = 281) and
healthy controls (n = 172), recruited across five Australian sites. Interactions
between diagnostic status and the number of haplotype copies (0 or >=1) were
tested across all white matter voxels with cluster-based statistics. Fractional
anisotropy (FA) in the right parietal lobe was found to show a significant
interaction between diagnosis and ST8SIA2 protective haplotype (p < 0.05, family
wise error rate (FWER) cluster-corrected). The protective haplotype was
associated with increased FA in controls, but this effect was reversed in people
with schizophrenia. White matter fiber tracking revealed that the region-of
interest was traversed by portions of the superior longitudinal fasciculus,
corona radiata, and posterior limb of internal capsule. Post hoc analysis
revealed that reduced FA in this regional juncture correlated with reduced IQ in
people with schizophrenia. The ST8SIA2 risk haplotype copy number did not show
any differential effects on white matter. This study provides a link between a
common disease-associated haplotype and specific changes in white matter
microstructure, which may relate to resilience or risk for mental illness,
providing further compelling evidence for involvement of ST8SIA2 in the
pathophysiology of schizophrenia.
PMID- 29353882
TI - IGF1R signaling drives antiestrogen resistance through PAK2/PIX activation in
luminal breast cancer.
AB - Antiestrogen resistance in estrogen receptor positive (ER+) breast cancer is
associated with increased expression and activity of insulin-like growth factor 1
receptor (IGF1R). Here, a kinome siRNA screen has identified 10 regulators of
IGF1R-mediated antiestrogen with clinical significance. These include the
tamoxifen resistance suppressors BMPR1B, CDK10, CDK5, EIF2AK1, and MAP2K5, and
the tamoxifen resistance inducers CHEK1, PAK2, RPS6KC1, TTK, and TXK. The p21
activated kinase 2, PAK2, is the strongest resistance inducer. Silencing of the
tamoxifen resistance inducing genes, particularly PAK2, attenuates IGF1R-mediated
resistance to tamoxifen and fulvestrant. High expression of PAK2 in ER+
metastatic breast cancer patients is correlated with unfavorable outcome after
first-line tamoxifen monotherapy. Phospho-proteomics has defined PAK2 and the PAK
interacting exchange factors PIXalpha/beta as downstream targets of IGF1R
signaling, which are independent from PI3K/ATK and MAPK/ERK pathways. PAK2 and
PIXalpha/beta modulate IGF1R signaling-driven cell scattering. Targeting
PIXalpha/beta entirely mimics the effect of PAK2 silencing on antiestrogen re
sensitization. These data indicate PAK2/PIX as an effector pathway in IGF1R
mediated antiestrogen resistance.
PMID- 29353883
TI - Growth arrest and apoptosis induction in androgen receptor-positive human breast
cancer cells by inhibition of USP14-mediated androgen receptor deubiquitination.
AB - It has been well known that androgen receptor (AR) is critical to prostate cancer
development and progression. It has also been documented that AR is expressed in
more than 60% of breast tumors, which promotes the growth of estrogen receptor
negative (ER-)/AR-positive (AR+) breast cancer cells. Thus, AR might be a
potential therapeutic target for AR-positive/ER-negative breast cancer patients.
Previously we reported that in prostate cancer cells proteasome-associated
deubiquitinase ubiquitin-specific protease 14 (USP14) stabilized AR protein level
by removing its ubiquitin chain. In the current study, we studied the USP14-AR
protein interaction and cell proliferation status after USP14 reduction or
inhibition in breast cancer cells, and our results support the conclusion that
targeting USP14 is a novel strategy for treating AR-responsive breast cancer. We
found that inhibition of USP14 accelerated the K48-ubiquitination and proteasome
mediated degradation of AR protein. Additionally, both genetic and
pharmacological inhibition of USP14 significantly suppressed cell proliferation
in AR-responsive breast cancer cells by blocking G0/G1 to S phase transition and
inducing apoptosis. Moreover, AR overexpression inhibited USP14 inhibition
induced events, suggesting that AR deubiquitination by USP14 is critical for
breast cancer growth and USP14 inhibition is a possible strategy to treat AR
positive breast cancer.
PMID- 29353884
TI - MicroRNA-146b promotes PI3K/AKT pathway hyperactivation and thyroid cancer
progression by targeting PTEN.
AB - Recent studies have shown that miR-146b is the most upregulated microRNA in
thyroid cancer and has a central role in cancer progression through mechanisms
that remain largely unidentified. As phosphoinositide 3-kinase/protein kinase-B
(PI3K/AKT) signaling is a fundamental oncogenic driver in many thyroid cancers,
we explored a potential role for miR-146b and its target genes in PI3K/AKT
activation. Among the predicted target genes of miR-146b, we found the tumor
suppressor phosphatase and tensin homolog (PTEN). Constitutive overexpression of
miR-146b in thyroid epithelial cell lines significantly decreased PTEN mRNA and
protein levels by direct binding to its 3'-UTR. This was accompanied by PI3K/AKT
hyperactivation, leading to the exclusion of FOXO1 and p27 from the nucleus and a
corresponding increase in cellular proliferation. Moreover, miR-146b
overexpression led to protection from apoptosis and an increased migration and
invasion potential, regulating genes involved in epithelial-mesenchymal
transition. Notably, with the single exception of E-cadherin expression, all of
these outcomes could be reversed by PTEN coexpression. Further analysis showed
that miR-146b directly inhibits E-cadherin expression through binding to its 3'
UTR. Interestingly, miR-146b inhibition in human thyroid tumor xenografts, using
a synthetic and clinically amenable molecule, blocked tumor growth when delivered
intratumorally. Importantly, this inhibition increased PTEN protein levels. In
conclusion, our data define a novel mechanism of PI3K/AKT hyperactivation and
outline a regulatory role for miR-146b in suppressing PTEN expression, a frequent
observation in thyroid cancer. Both events are related to a more aggressive
tumoral phenotype. Targeting miR-146b therefore represents a promising
therapeutic strategy for the treatment of this disease.
PMID- 29353885
TI - CRTC1-MAML2 fusion-induced lncRNA LINC00473 expression maintains the growth and
survival of human mucoepidermoid carcinoma cells.
AB - Mucoepidermoid carcinoma (MEC) arises in many glandular tissues and contributes
to the most common malignant salivary gland cancers. MEC is specifically
associated with a unique t(11;19) translocation and the resulting CRTC1-MAML2
fusion is a major oncogenic driver for MEC initiation and maintenance. However,
the molecular basis underlying the CRTC1-MAML2 oncogenic functions remains
elusive. Through gene expression profiling analysis, we observed that LINC00473,
a long non-coding RNA (lncRNA), was the top down-regulated target in CRTC1-MAML2
depleted human MEC cells. LncRNAs belong to a new class of non-coding RNAs with
emerging roles in tumorigenesis and progression, but remain poorly characterized.
In this study, we investigated the role of LINC00473 in mediating CRTC1-MAML2
oncogenic activity in human MEC. We found that LINC00473 transcription was
significantly induced in human CRTC1-MAML2-positive MEC cell lines and primary
MEC tumors, and was tightly correlated with the CRTC1-MAML2 RNA level. LINC00473
induction was dependent on the ability of CRTC1-MAML2 to activate CREB-mediated
transcription. Depletion of LINC00473 significantly reduced the proliferation and
survival of human MEC cells in vitro and blocked the in vivo tumor growth in a
human MEC xenograft model. RNA in situ hybridization analysis demonstrated a
predominantly nuclear localization pattern for LINC00473 in human MEC cells.
Furthermore, gene expression profiling revealed that LINC00473 depletion resulted
in differential expression of genes important in cancer cell growth and survival.
LINC00473 likely regulates gene expression in part through its ability to bind to
a cAMP signaling pathway component NONO, enhancing the ability of CRTC1-MAML2 to
activate CREB-mediated transcription. Our overall results demonstrate that
LINC00473 is a downstream target and an important mediator of the CRTC1-MAML2
oncoprotein. Therefore, LINC00473 acts as a promising biomarker and therapeutic
target for human CRTC1-MAML2-positive MECs.
PMID- 29353886
TI - The BET bromodomain inhibitor CPI203 overcomes resistance to ABT-199 (venetoclax)
by downregulation of BFL-1/A1 in in vitro and in vivo models of MYC+/BCL2+ double
hit lymphoma.
AB - High-grade B-cell lymphoma with MYC and BCL2 and/or BCL6 rearrangements, mostly
known as double-hit lymphoma (DHL), is a rare entity characterized by morphologic
and molecular features between Burkitt lymphoma and the clinically manageable
diffuse large B-cell lymphoma (DLBCL). DHL patients usually undergo a rapidly
progressing clinical course associated with resistance to standard chemo
immunotherapy. As a consequence, the prognosis of this entity is particularly
poor with a median overall survival inferior to 1 year. ABT-199 (venetoclax) is a
potent and selective small-molecule antagonist of BCL-2 recently approved for the
treatment of a specific subtype of lymphoid neoplasm. In this study, we
demonstrate that single-agent ABT-199 efficiently displaces BAX from BCL-2
complexes but fails to maintain a significant antitumor activity over time in
most MYC+/BCL2+DHL cell lines and primary cultures, as well as in a xenograft
mouse model of the disease. We further identify the accumulation of the BCL2-like
protein BFL-1 to be a major mechanism involved in acquired resistance to ABT-199.
Noteworthy, this phenomenon can be counteracted by the BET bromodomain inhibitor
CPI203, since gene expression profiling identifies BCL2A1, the BFL-1 coding gene,
as one of the top apoptosis-related gene modulated by this compound. Upon CPI203
treatment, simultaneous downregulation of MYC and BFL-1 further overcomes
resistance to ABT-199 both in vitro and in vivo, engaging synergistic caspase
mediated apoptosis in DHL cultures and tumor xenografts. Together, these findings
highlight the relevance of BFL-1 in DH lymphoma-associated drug resistance and
support the combined use of a BCL-2 antagonist and a BET inhibitor as a promising
therapeutic strategy for patients with aggressive DHL.
PMID- 29353888
TI - Palliative Care in Resource-poor Settings: Inequalities, Challenges, and
Opportunities.
AB - N/A.
PMID- 29353889
TI - Public Health Interventions for Control of Malaria in the Population Living in
the Terai Region of Nepal.
AB - Infectious diseases are a major problem for developing countries. Controlling and
eradicating these diseases have remained a challenge because of the multitudes of
social and environmental factors involved. However, a multi-sectoral and
collective effort in dealing with these diseases has been shown to result in
positive outcomes. This paper looks at the current situation of Malaria in Nepal
and a population that is at high risk. It explains the impact that social and
environmental determinants have on this target population. This review then
critically examines the various possible public health interventions that could
potentially help in the control of malaria in this high risk population, drawing
from evidences and experiences reported from other countries with suggestions for
adapting these interventions to the Nepali environment.
PMID- 29353887
TI - P11 promoter methylation predicts the antidepressant effect of electroconvulsive
therapy.
AB - Although electroconvulsive therapy (ECT) is among the most effective treatment
options for pharmacoresistant major depressive disorder (MDD), some patients
still remain refractory to standard ECT practise. Thus, there is a need for
markers reliably predicting ECT non/response. In our study, we have taken a novel
translational approach for discovering potential biomarkers for the prediction of
ECT response. Our hypothesis was that the promoter methylation of p11, a
multifunctional protein involved in both depressive-like states and
antidepressant treatment responses, is differently regulated in ECT responders
vs. nonresponders and thus be a putative biomarker of ECT response. The chronic
mild stress model of MDD was adapted with the aim to obtain rats that are
resistant to conventional antidepressant drugs (citalopram). Subsequently,
electroconvulsive stimulation (ECS) was used to select responders and
nonresponders, and compare p11 expression and promoter methylation. In the rat
experiments we found that the gene promoter methylation and expression of p11
significantly correlate with the antidepressant effect of ECS. Next, we
investigated the predictive properties of p11 promoter methylation in two
clinical cohorts of patients with pharmacoresistant MDD. In a proof-of-concept
clinical trial in 11 patients with refractory MDD, higher p11 promoter
methylation was found in responders to ECT. This finding was replicated in an
independent sample of 65 patients with pharmacoresistant MDD. This translational
study successfully validated the first biomarker reliably predicting the
responsiveness to ECT. Prescreening of this biomarker could help to identify
patients eligible for first-line ECT treatment and also help to develop novel
antidepressant treatment procedures for depressed patients resistant to all
currently approved antidepressant treatments.
PMID- 29353890
TI - Safety and Efficacy of Intravenous Ferric Carboxy Maltose in Iron Deficiency
Anaemia During Post-partum Period.
AB - BACKGROUND: Iron deficiency is the commonest treatable cause of postpartum
anaemia. Parenteral iron therapy results in faster and higher replenishment of
iron stores and correction of haemoglobin levels with better compliance. Ferric
Carboxy Maltose is an effective and a safe option which can be administered
intravenously in single total correction dose without any serious adverse
effects.The study was done to evaluate the efficacy and safety of Ferric Carboxy
Maltose in the treatment of iron deficiency anaemia in post-natal patients.
METHODS: It was an open, single arm study including 615 women with diagnosis of
Iron deficiency anaemia and haemoglobin (Hb) levels between 4gm% and 11gm% from
January 2013 to December 2016. Intravenous Ferric Carboxy Maltose(500-1500mg) was
administered and the improvement in haemoglobin levels and iron stores were
assessed after three weeks of total dose infusion. RESULTS: Out of the 615 women,
595 women were included in the analysis. Most of the women were in the age group
of 27-30 years. Most of the women had mild anaemia as per World Health
Organisation guidelines. Mean hemoglobin levels significantly increased over a
period of three weeks after Ferric Carboxy Maltose administration. Other
parameters like total iron binding capacity, Ferritin and Iron also had a
significant improvement after Ferric Carboxy Maltose administration. No serious
adverse events were observed after Ferric Carboxy Maltose. CONCLUSIONS:
Intravenous Ferric Carboxy Maltose was an effective and a safe treatment option
for iron deficiency anaemia and has an advantage of single administration of high
doses without serious adverse effects.
PMID- 29353891
TI - Knowledge, Attitude, and Practice on Menstrual Hygiene Management among School
Adolescents.
AB - BACKGROUND: Menstrual hygiene management remains a taboo in many communities in
Nepal. Cultural beliefs about menstruation such as food taboos and untouchability
have negative impact on dignity, health and education of adolescent girls. The
objective of the study was to assess the current knowledge, attitude and practice
of school adolescents on menstrual hygiene management in Doti District in Far
Western Nepal. METHODS: This cross-sectional study was carried out from October
to December 2016 at seven village development committees in Doti district, Nepal.
This study was done among 276 students from grade seven and eight of 11 schools.
Self-administered structured questionnaire was used to obtain information from
school students. Descriptive analysis was done to analyse the knowledge, attitude
and practice of school adolescents on menstrual hygiene management. RESULTS:
67.4% respondents had fair knowledge and 26.4% respondents had good knowledge on
menstrual hygiene management. However, out of 141 female adolescent respondents,
only 56 (40%) were engaged in good menstrual hygiene practices. Around half of
the respondents had positive attitude towards menstrual hygiene management
related issues. CONCLUSIONS: Although knowledge on menstrual hygiene management
among school adolescents is fair, still attitude and practice need to improve.
Findings indicate the need of behavior change communication campaigns along with
frequent reinforcement of school health education programs.
PMID- 29353892
TI - Magnetic Resonance Imaging Findings in Spondylodiscitis.
AB - BACKGROUND: Magnetic Resonance Imaging is the imaging modality of choice for
imaging spinal infection due to its high sensitivity and specificity. This study
aims to study the magnetic resonance imaging changes in patients with
spondylodiscitis. METHODS: The study was a retrospective study carried in a
multimodality imaging centre in Kathmandu. Magnetic resonance imaging records and
clinical record of 3 years duration were reviewed and patients with clinical and
radiological diagnosis of spondylodiscitis were included in the study. Three
radiologists interpreted Magnetic Resonance Imaging with mutual consensus in
disputed issues. Data analysis was done with Statistical Package for Social
Sciences21.0. RESULTS: A total of 52 patients were included in the study. The
mean age of the patients was 43.9 +/- 17.6 years. Spondylodiscitis involved
lumbar spine in 26(50%) case, cervical and thoracic spine in 13(25%) cases each.
Multiple IV discs were involved in 24(46.2%) cases, which was most common in
cervical spine and least common in thoracic spine. Only one vertebral end plate
was involved in 16(30.8%) cases. Epidural collection was seen in 23(44.2%) cases
and paravertebral collection was noted in 63(33.5%) cases. Statistical
significant difference in region of spine involved (p=0.02) and epidural
collection (p=0.04) was noted between genders. CONCLUSIONS: Lumbar spine was the
most common level involved with spondylodiscitis, perivertebral enhancing soft
tissue was present in all cases, and involvement of disc and the endplates were
the most common pattern.
PMID- 29353893
TI - Organ Dysfunctions among Deaths in Critically Ill Patients.
AB - BACKGROUND: Multiple organ failure is recognized as the final pathway preceding
majority of deaths in intensive care unit. This study aims to find out the
prevalence of organ dysfunctions/ failures in patients at the time of their
demise irrespective of the underlying diseases in a tertiary care hospital.
METHODS: This study was done in medical intensive care unit of Blue Cross
Hospital. Medical records of all deceased patients in two years period were
thoroughly reviewed retrospectively. Status of six organ systems was categorized
into five sub-groups: No organ dysfunction, Mild organ dysfunction, Acute organ
failure, Acute-on-chronic organ failure, and Chronic organ failure. The
proportion of organ dysfunction involved was analyzed along with other variables.
RESULTS: Among 204 deaths, majority 165 (80.9%) had Multiple Organ Dysfunction
Syndrome. Circulatory system was most affected system with "acute organ failure"
134 (65.5%) followed by acute respiratory failure 128 (62.7%), and acute
neurologic failure 114 (55.8%). Neurologic system was most affected with "mild
organ dysfunction" 47 (23%) followed by Respiratory system and Renal system. Of
the patients who had chronic organ failures at the time of admission, 72 (81.8%)
developed "acute-on-chronic failures". CONCLUSIONS: Multiple Organ Dysfunction
Syndrome was present in the majority of deaths in critically ill patients. Most
common acutely failed organ was the circulatory system.
PMID- 29353894
TI - Factors Associated with the Utilization of Institutional Delivery Service among
Mothers.
AB - BACKGROUND: Assessment of utilization of institutional delivery services is
crucial to reduce risk of maternal mortality and morbidity in countries like
Nepal. This study was conducted to find out the proportion of utilization of
institutional delivery service and associated factors among mothers. METHODS:
Data was collected from the total of 129 mothers of Kathar VDC, Chitwan district
who delivered baby within last one year proceeding the period of data collection
using census method. Descriptive statistics and binary logistic regression
analysis were applied. RESULTS: Out of 129 mothers, 78.3% had their delivery in
the health facilities. Binary logistic analysis showed number of factors
associated with utilization of institutional delivery service such as ethnicity,
respondents educational level, number of pregnancy, number of ANC visit and birth
preparedness status. But in the multivariable logistic regression analysis, no.
of ANC visit (AOR = 10.03, 95 % CI = 1.02-98.29) was only independent factors
affecting institutional delivery service utilization. CONCLUSIONS: A number of
factors have been shown to affect the utilization of institutional delivery.
Therefore, concerned authority should plan and implement awareness programme
aiming at increasing antenatal clinic visits and utilization of institutional
delivery service.
PMID- 29353895
TI - Workplace Violence and its Associated Factors among Nurses.
AB - BACKGROUND: Workplace violence among nurses is prevalent worldwide. If nurses
become aware of the workplace violence and its risk factors then only they can
protect themselves. This study assessed the prevalence of workplace violence and
its associated factors among nurses in Pokhara, Nepal. METHODS: A hospital-based
descriptive cross-sectional study was conducted in Pokhara. The required sample
size of the study was 200 nurses. We adopted self-administered questionnaire
developed by International Labor Office, International Council of Nurses, World
Health Organization (WHO), and Public Services International. Out of 21 hospitals
of Pokhara, we selected five hospitals using simple random sampling method. The
number of nurses in each hospital was fixed proportionately considering the total
number of employed nurses. Individual nurses were selected on the first meet
first basis to gain the required number. RESULTS: Two-thirds (64.5%) nurses
experienced some type of violence in the last six months at their workplace. The
proportion of verbal violence was higher (61.5%) compared to the physical (15.5%)
and sexual violence (9%). Most perpetrators of the violence were the relatives of
patients and hospital employees. Age of nurses and working stations had
statistically significant association with workplace violence (p-value < 0.05).
CONCLUSIONS: Workplace violence among nurses is a noteworthy problem in Pokhara
whereas nearly two-thirds of nurses faced some type of violence in last six
months. It is an urge to widen awareness level of nurses on the violence thus,
they can take precaution themselves and ask hospital administration and other
stakeholders to address the workplace violence.
PMID- 29353896
TI - Prevalence and Awareness of Hypertension among Adults and its Related Risk
Factors.
AB - BACKGROUND: Hypertension is a modifiable risk factor for cardiovascular diseases.
Various studies conducted in the world showed high prevalence of hypertension,
which is in increasing trend in Nepal also. The aim of this study was to assess
hypertension status and risk behaviours among adult population in Kirtipur
Municipality. METHODS: A cross sectional descriptive study was conducted among
580 adults of age 20 - 59 from December 2015 to April 2016. Data was collected by
observation method to determine hypertension prevalence and Body Mass Index.
Questionnaire was used to assess exposure to the risk factors. RESULTS: Among all
hypertension prevalence was 37.0% (215 out of 580). The prevalence was 41.6% (110
out of 264) among male and 32.2% (105 out of 316) among female (P <0.03 at 95%
CI). Among 215 hypertensives, 37.2% were not aware about themselves having
hypertension. Within last 12 months, 453 (78.1%) participants had checked their
blood pressure. Proportion of hypertension was increasing with higher BMI and
advancing age. Among all, 136 (23.7%) were current smokers, and 58.1% among them
had hypertension. CONCLUSIONS: This study indicated that hypertension prevalence
was high among adults in Kirtipur municipality. Prevalence of smoking was also
high, and among them hypertension prevalence was very high. About one-third
participants with hypertension were not aware that they had hypertension. Habit
of doing regular physical exercise was very low.
PMID- 29353897
TI - Oral Cancer Knowledge and Awareness in Patients Visiting Kantipur Dental College.
AB - BACKGROUND: Lack of knowledge and awareness about oral cancer, its risk factors
and negligence of the early warning signs play crucial role in raising the
incidence of the disease. The present study was carried out to evaluate the
awareness of oral cancer among patients visiting Kantipur Dental College,
Kathmandu, Nepal. METHODS: The cross-sectional study was done in 471 patients
from 15-85 years. Self administered questionnaire was prepared which comprised of
knowledge of oral cancer, source of information, its early signs and symptoms
along with the awareness of its risk factors. RESULTS: Most of the participants
(41.80%) had not heard of oral cancer. 31.60% recognized tobacco smoking and
tobacco chewing as the chief risk factor with 15.50% and 10.80% of participants
who identified white patch and red patch as early sign of oral cancer
respectively. Pearson's chi square test was used which showed statistically
significant association of total mean knowledge score and awareness score with
age, education level and occupation (p<0.05). CONCLUSIONS: This study done in
dental patients showed lack of knowledge and awareness in general public about
oral cancer. There seem to be a need for more planned awareness programs through
newspapers, radio, television and health campaigns regarding the association of
habits in the development of oral cancer and benefits of detecting oral cancer at
early stage for better prognosis.
PMID- 29353898
TI - Motivational Profiles of Medical Students of Nepalese Army Institute of Health
Sciences.
AB - BACKGROUND: Students enter the medical study with different types of motives.
Given the importance of academic motivation for good academic achievement of the
students, the present study was designed to reveal the possible relationship
between academic motivation and achievement in medical students. METHODS: In this
cross-sectional study medical students (N=364) of Nepalese Army institute of
Health Sciences were participated and classified to different subgroups using
intrinsic and controlled motivation scores. Cluster membership was used as an
independent variable to assess differences in study strategies and academic
performance. RESULTS: Four clusters were obtained: High Intrinsic High
Controlled, Low Intrinsic High Controlled, High Intrinsic Low Controlled, and Low
Intrinsic Low Controlled. High Intrinsic High Controlled and High Intrinsic Low
Controlled profile students constituted 36.1%, 22.6% of the population,
respectively. No significant differences were observed as regards to deep
strategy and surface strategy between high interest status motivated and high
interest-motivated students. However, both of the clusters had significantly
deeper, surface strategy and better academic performance than status-motivated
and low-motivation clusters (p < 0.001). CONCLUSIONS: The interest status
motivated and interest-motivated medical students were associated with good deep
and surface study strategy and good academic performance. Low-motivation and
status-motivated students were associated with the least academic performance
with less interest learning behaviors. This reflected that motivation is
important required component for good learning outcomes for medical students
Keywords: Academic performance; controlled motivation; clusters; intrinsic
motivation; motivation.
PMID- 29353899
TI - Assessing Caregiver Burden in Caregivers of Patients with Schizophrenia and
Bipolar Affective Disorder in Kathmandu Medical College.
AB - BACKGROUND: Schizophrenia and bipolar affective disorder are chronic psychiatric
illness that requires long term care. This study tends to measure psychological
burden and factors associated with it among caregivers of these two illnesses.
METHODS: This is a cross- sectional study that included participants by purposive
sampling method. Self designed performa was used to collect the socio-demographic
details of the caregivers. Modified caregiver strain index was used to assess the
overall stress. Beck's depression inventory and Beck's anxiety inventory was used
to assess depression and anxiety respectively. RESULTS: Hundred caregivers, 50
each of schizophrenia and bipolar affective disorder were enrolled. Seventy-two
percent of caregivers were found to have higher level of stress. Twenty-five
percent had depression and 29% anxiety related problems. Stress was found to be
significantly associated with being in debt, longer duration of illness,
education level, marital status, subjective feeling of psychological stress and
self- acknowledgement of need of professional help. Caregivers of both group
experienced similar level of stress. CONCLUSIONS: Psychological burden is seen to
be high in caregivers of patients of Schizophrenia and Bipolar Affective
Disorder.
PMID- 29353900
TI - Prevalence of Tuberculosis in Patients with Liver Cirrhosis.
AB - BACKGROUND: Studies have shown that patients with liver cirrhosis are more
susceptible to tuberculosis because of immune dysfunction; however there are only
limited data. We carried out this study to know the prevalence and clinical
characteristics of tuberculosis in patients with liver cirrhosis. METHODS: In
this retrospective study, medical files of 200 consecutive patients admitted with
diagnosis of liver cirrhosis in the medical ward of liver unit, Bir Hospital from
January 2011 to December 2014 were evaluated for the presence of TB and clinical
characteristics of these patients were analyzed. RESULTS: Eighteen patients were
diagnosed to have tuberculosis with a prevalence of 9%. The median age of
patients with tuberculosis and liver cirrhosis was 53 (35-71) years and M: F
ratio was 2:1. 67%, P=0.01). Median CTP score of patients were 8.5 (5-12) (CTP A:
B: C: 3:7:8). Extra pulmonary tuberculosis was more common (67%) than pulmonary
(33%). In extra pulmonary tuberculosis, distribution was as pleural effusion
(n=4), abdominal (n=4), intestinal (n=2), peritoneal (n=9), and pott's spine
(n=2). CONCLUSIONS: Tuberculosis is prevalent in patients with liver cirrhosis.
Extra pulmonary tuberculosis was more compared to pulmonary tuberculosis.
Tuberculosis was common in decompensated liver cirrhosis than compensated liver
cirrhosis .
PMID- 29353901
TI - Tuberculosis in Staff and Students of Patan Hospital.
AB - BACKGROUND: There is a high risk of occupational exposure to tuberculosis among
healthcare workers in endemic countries. Regular screening for tuberculosis among
healthcare workers is not carried out in Nepal. Infection control measures are
also not routinely implemented. The aim of this study was to determine the
prevalence of active tuberculosis among staff/students at Patan Hospital.
METHODS: Participants were given a self-administered questionnaire and invited to
undergo chest radiography. Cases were scored and reviewed based on predetermined
criteria, and presumptive tuberculosis cases were invited to undergo sputum smear
and culture. Participants were categorized according to the extent of patient
contact and asked about history of tuberculosis medication. RESULTS: Among 560
participants, 76.8% had direct contact with patients. Fifty-eight (10.4%) gave
history of cough >2 weeks. Based on symptom history and chest radiography, 20.0%
(n=112) cases were reviewed, and 12.5% (n=14) of those reviewed had sputum tested
for acid-fast bacilli. One participant had culture-positive tuberculosis. Fifty
participants (8.9%) reported tuberculosis in the past, among which 42.0% (n=21)
occurred after employment at Patan Hospital and 42.0% before joining Patan
Hospital. Security staff, radiology technicians and ward cleaning staff had the
highest proportion of cases with a history of tuberculosis.History of
tuberculosis medication had no relation with age, sex, education, body mass index
and smoking.The incidence rate of tuberculosis at Patan Hospital was 3.6 per 1000
person-years. CONCLUSIONS: Overall incidence of tuberculosis among healthcare
workers is noteworthy. However, this study suggests when symptomatic tuberculosis
occurs in healthcare worker at Patan Hospital, it is diagnosed and there is not a
large pool of undiagnosed tuberculosis.
PMID- 29353902
TI - Knowledge, Attitude and Practice towards Kangaroo Mother Care.
AB - BACKGROUND: Kangaroo mother care is an effective and low cost technique which
prevents neonate from hypothermia, a leading cause of preventable neonatal
mortality. Knowledge and practice of Kangaroo mother care is of utmost importance
in developing countries such as Nepal. Purpose of this study was to find out
knowledge, attitude and practice of kangaroo mother care among health workers in
tertiary health centres in Nepal. METHODS: This cross sectional study was carried
out in three teaching hospitals in Nepal during the period from January 2016 to
April 2016. Doctors and nurses working in Paediatrics/Neonatal and
Obstetrics/Gynaecology wards were surveyed using pretested questionnaire.
Responses from the doctors and the nurses were compared. RESULTS: Response rate
of the survey was 65%. All of the doctors and 95.3% of the nurses who
participated in the survey had knowledge about kangaroo mother care.37.7%of the
doctors and 48.8% of the nurses thought that this method is only used for
neonates with low birth weight (<2500grams) (p= 0.013).Three fourth of the
doctors and half of the nurses agreed that KMC is practiced regularly in their
ward (p = 0.016). 22.2% participants informed that main reasons for not
practicing kangaroo care regularly could be lack of skill and knowledge.
CONCLUSIONS: We found that general knowledge and attitude of majority of doctors
and nurses towards kangaroo mother care was good, however, its practise was not
uniform.
PMID- 29353903
TI - Evaluation of Endotracheal Tube Cuff Pressure in Laparoscopic Cholecystectomy and
Postoperative Sore Throat.
AB - BACKGROUND: The use of nitrous oxide and carboperitoneum in laparoscopic
cholecystectomy lead to increase in endotracheal tube cuff pressure. It may
impair tracheal mucosal perfusion with subsequent tracheal damage. The purpose of
this study was to evaluate cuff pressure and incidence of post-operative sore
throat in patients undergoing laparoscopic cholecystectomy. METHODS: In this
prospective observational study, 128 patients aged 18-65 years of American
Society of Anesthesiologist physical status I and II undergoing laparoscopic
cholecystectomy were enrolled and allocated alternately into two groups, Study
Group (Maintenance of anesthesia with sevoflurane 1-2%, oxygen/nitrous oxide
mixture; 40/60), Control Group (Maintenance of anesthesia with sevoflurane 1-2%,
oxygen/air mixture; 40/60) were analysed and comapared. Each group contained 64
patients. Aneroid manometer was used to monitor cuff pressure. Volume of air used
to inflate the cuff, baseline cuff pressure, comparison of intraoperative cuff
pressure and incidence of post-operative sore throat were measured. RESULTS: The
study results demonstrated higher cuff pressure in study group at all times after
the creation of carboperitoneum (p=0.00) with increased incidence of sore
throat(p=0.004). CONCLUSIONS: Increase in endotracheal tube cuff pressure was
noted with the use of nitrous oxide in laparoscopic cholecystectomy with
subsequent post-operative airway complication. Monitoring of cuff pressure is
simple, noninvasive and efficient way of achieving therapeutic cuff pressure of
20-30 cm of H2O and thus recommends its use.
PMID- 29353904
TI - Differences in Return of Spontaneous Circulation in Early vs Late Endotracheal
Intubation among Patients in Hospital Cardiac Arrest.
AB - BACKGROUND: Common airway management strategies during cardiopulmonary
resuscitation are bag- mask-valve ventilation followed by endotracheal
intubation. Timing of endotracheal intubation is controversial. This study was
designed to compare the effect of early vs late endotracheal intubation in terms
of return of spontaneous circulation. METHODS: This is an observational
retrospective study done at tertiary center for the period of two years. The
study population was inpatient, adult and pediatric with witnessed cardiac arrest
in whom airway management was initially done with bag-valve-mask ventilation
followed by endotracheal intubation. Timing of intubations were grouped into
early and late with cut off time of five minutes and the groups were compared in
terms of return of spontaneous circulation. RESULTS: There were total of 193
patients included in the study. Early intubation was done in 114 patients
(59.06%) and late intubation was done in 79 patients (40.94%). Mean time for
early intubation was 3.11 minutes. Mean time for late intubation was 7.89
minutes. Seventy three patients (37.8%) attained sustained ROSC. Thirty five
patients (30.7%) achieved ROSC in early intubation group while 38 patients
(48.1%) attained sustained ROSC in late intubation group(p = 0.016). CONCLUSIONS:
Early intubation during cardiopulmonary resuscitation was associated with lower
rate of return of spontaneous circulation.
PMID- 29353905
TI - Critical Appraisal of Published Literature in Specialty General Surgical
Examinations in the United Kingdom.
AB - The specialty general surgical examination in the United Kingdom, which needs to
be qualified by the higher surgical trainees towards the end of their training
prior to assuming consultant responsibility, includes "academic foundation viva"
as a mandatory component. This section of examination is intended to assess
candidate's ability to critically appraise published literature with regards to
its validity and clinical relevance, which is essential requirement for a surgeon
to be able to deliver care to his patients based on robust evidence collected
from properly conducted studies. The paper aims to outline the objectives of the
assessment process, the details of appraisal methodology and techniques to
acquire the skill.
PMID- 29353906
TI - Caesarean Scar Endometriosis.
AB - Endometriosis is a common benign gynecologic disorder defined as the presence of
endometrial glands and stroma outside of the normal location. The overall
prevalence is 8-15% in women of reproductive age group. Scar endometriosis is a
rare disease, and is difficult to diagnose. It is commonly seen following
obstetrical and gynecological surgeries. The symptoms are non-specific, typically
involving abdominal wall pain at the incision site at the time of menstruation
and palpable tender mass in the incision site. The diagnosis is frequently made
only after excision of the diseased tissue and its histological analysis. We
present here a case of abdominal wall scar endometriosis in a woman who had
undergone a caesarean section four years ago. Surgical excision led to the
diagnosis of scar endometriosis. The pathogenesis, diagnosis and treatment of
this rare condition are discussed.
PMID- 29353907
TI - Tungiasis: A Rare Parasitic Infestation in Genitals of a Native Male from
Kathmandu.
AB - Tungiasis is a common parasitic infection inmany parts of the world, including
South and Central America and parts of Africa. It is rare in other places, such
as in India and Pakistan and imported cases have been reported in Taiwan, Italy,
the United States of America, etc. Our diagnosis was made based on
histopathologiocal features of the parasite, which corresponds too ther reports
and description of tungiasis.1-3 It was treated by surgical excision alone. The
fact that the disease has never been reported in Nepal makes it difficult to
diagnose clinically, but should be considered in the future. Histopathology can
aid in diagnosingthis rare condition.
PMID- 29353908
TI - Partial Invasive Mole with Bilateral Torsion of Theca Lutein Cysts.
AB - Gestational trophoblastic neoplasia is extremely rare entity accounting 1% of all
gynecological malignancies. Invasive mole is a form of Gestational trophoblastic
neoplasia which is locally invasive with propensity of metastasis. Association of
partial mole with invasive mole and/or theca lutein cysts is a rare occurrence.
Large cysts may present with complications. A case with these rare combinations
presented at 15+3 weeks period of gestation as acute abdomen due to torsion of
bilateral theca lutein cysts is reported. Right salpingo-ophorectomy with
untwisting of left cyst was done. Six cycles of chemotherapy was given with
regular betahCG monitoring. First trimester dating scan would have helped in
early diagnosis, treatment and prevention of complications.
PMID- 29353909
TI - Medical Errors in Autopsied Cases.
AB - N/A.
PMID- 29353910
TI - Parasite dispersal risk tolerance is mediated by its reproductive value.
AB - Parasite dispersal theory draws heavily upon epidemiological SIR models in which
host status (susceptible (S), infected (I), or recovered (R)) is used to study
parasite dispersal evolution. In contrast to these extrinsically host-centric
drivers, in this study we focus on an intrinsic driver, the parasite's
reproductive value (predicted future offspring) as a regulator of the extent to
which the individual will engage in risky dispersal behaviour. As a model system
we use the honeybee Apis mellifera and its ectoparasite, the mite Varroa
destructor. Mite reproduction happens exclusively inside cells of bee brood, and
newly emerged fecund mites may parasitize either a homocolonial brood cell (low
risk dispersal) or emigrate to a new bee colony via phoretic attachment to mature
forager bees (high risk dispersal). In an empirical bioassay, prepartum mites
(high reproductive value) and postpartum mites (low reproductive value) were
offered a choice of newly emerged homocolonial worker bees (low risk),
homocolonial pollen forager bees (high risk), or heterocolonial pollen foragers
(high risk). A preference for newly emerged bees was earlier and more strongly
sustained among prepartum mites. This suggests comparatively greater dispersal
risk tolerance among postpartum mites with lower reproductive value. A dangerous
bid for dispersal may be adaptive if the individual has already successfully
reproduced and the rewards for successful dispersal are sufficiently large.
PMID- 29353911
TI - Miscellanea Dependent generalized functional linear models.
AB - This paper considers testing for no effect of functional covariates on response
variables in multivariate regression. We use generalized estimating equations to
determine the underlying parameters and establish their joint asymptotic
normality. This is then used to test the significance of the effect of predictors
on the vector of response variables. Simulations demonstrate the importance of
considering existing correlation structures in the data. To explore the effect of
treating genetic data as a function, we perform a simulation study using gene
sequencing data and find that the performance of our test is comparable to that
of another popular method used in sequencing studies. We present simulations to
explore the behaviour of our test under varying sample size, cluster size and
dimension of the parameter to be estimated, and an application where we are able
to confirm known associations between nicotine dependence and neuronal nicotinic
acetylcholine receptor subunit genes.
PMID- 29353912
TI - Simultaneously Quantifying Ferrihydrite and Goethite in Natural Sediments Using
the Method of Standard Additions with X-ray Absorption Spectroscopy.
AB - The presence of ferrihydrite in sediments/soils is critical to the cycling of
iron (Fe) and many other elements but difficult to quantify. Extended X-ray
absorption fine structure (EXAFS) spectroscopy has been used to speciate Fe in
the solid phase, but this method is thought to have difficulties in
distinguishing ferrihydrite from goethite and other minerals. In this study, both
conventional EXAFS linear combination fitting (LCF) and the method of standard
additions are applied to the same samples in attempt to quantify ferrihydrite and
goethite more rigorously. Natural aquifer sediments from Bangladesh and the
United States were spiked with known quantities of ferrihydrite, goethite and
magnetite, and analyzed by EXAFS. Known mineral mixtures were also analyzed.
Evaluations of EXAFS spectra of mineral references and EXAFS-LCF fits on various
samples indicate that ferrihydrite and microcrystalline goethite can be
distinguished and quantified by EXAFS-LCF but that the choice of mineral
references is critical to yield consistent results. Conventional EXAFS-LCF and
the method of standard-additions both identified appreciable amount of
ferrihydrite in Bangladesh sediments that were obtained from a low-arsenic
Pleistocene aquifer. Ferrihydrite was also independently detected by sequential
extraction and 57Fe Mossbauer spectroscopy. These observations confirm the
accuracy of conventional EXAFS-LCF and demonstrate that combining EXAFS with
additions of reference materials provides a more robust means of quantifying
short-range-ordered minerals in complex samples.
PMID- 29353913
TI - Mathematics Content Coverage and Student Learning in Kindergarten.
AB - Analyzing data from two nationally representative kindergarten cohorts, we
examine the mathematics content teachers cover in kindergarten. We expand upon
prior research, finding that kindergarten teachers report emphasizing basic
mathematics content. Although teachers reported increased coverage of advanced
content between the 1998-99 and 2010-11 school years, they continued to place
more emphasis on basic content. We find that time on advanced content is
positively associated with student learning, whereas time on basic content has a
negative association with learning. We argue that increased exposure to more
advanced mathematics content could benefit the vast majority of kindergartners.
PMID- 29353914
TI - MASS TRANSFER IN THE ENTRANCE REGION OF A CIRCULAR TUBE.
AB - A solution in the form of an asymptotic expansion is obtained for the problem of
mass transfer in the entrance region of a circular tube or flat channel for
arbitrary hydrodynamically-developed velocity profile and arbitrary dependence of
the diffusion coefficient on the coordinate perpendicular to the flow. Boundary
conditions of the first, second and third kind are considered. The results of the
analysis are compared with known approximate and numerical solutions of similar
problems.
PMID- 29353915
TI - Reference correlations for the thermal conductivity of liquid copper, gallium,
indium, iron, lead, nickel and tin.
AB - The available experimental data for the thermal conductivity of liquid copper,
gallium, indium, iron, lead, nickel, and tin has been critically examined with
the intention of establishing thermal conductivity reference correlations. All
experimental data have been categorized into primary and secondary data according
to the quality of measurement specified by a series of criteria. The proposed
standard reference correlations for the thermal conductivity of liquid copper,
gallium, indium, iron, lead, nickel, and tin are respectively characterized by
uncertainties of 9.8, 15.9, 9.7, 13.7, 16.9, 7.7, and 12.6% at the 95% confidence
level.
PMID- 29353916
TI - Synthesis and characterization of novel ferrocenyl chalcone ammonium and
pyridinium salt derivatives.
AB - A novel series of ferrocenyl chalcone ammonium and pyridinium salt derivatives
were synthesized in order to improve their solubility in aqueous media.
Substituted ferrocenyl chalcones with amines and pyridines were synthesized using
the base-catalyzed Claisen-Schmidt reaction, and their corresponding salts were
prepared by a nucleophilic quaternization reaction at the nitrogen atom. Most of
the synthesized ferrocenyl chalcone salts were soluble in water at room
temperature. They were fully characterized by IR, NMR spectroscopy and HRMS
spectrometry, and their electrochemistry was studied. The salt derivatives
presented chemical reversibility, electrochemical quasi reversibility, and the
slope of a plot of Log Ipc (or Ipa) versus Log v were almost 0.5 suggesting that
their redox process was controlled by diffusion.
PMID- 29353918
TI - A new regime of Pauli-spin blockade.
AB - Pauli-spin blockade (PSB) is a transport phenomenon in double quantum dots that
allows for a type of spin to charge conversion often used to probe fundamental
physics such as spin relaxation and singlet-triplet coupling. In this paper, we
theoretically explore Pauli-spin blockade as a function of magnetic field B
applied parallel to the substrate. In the well-studied low magnetic field regime,
where PSB occurs in the forward (1, 1) -> (0, 2) tunneling direction, we
highlight some aspects of PSB that are not discussed in detail in existing
literature, including the change in size of both bias triangles measured in the
forward and reverse biasing directions as a function of B. At higher fields, we
predict a crossover to "reverse PSB" in which current is blockaded in the reverse
direction due to the occupation of a spin singlet as opposed to the traditional
triplet blockade that occurs at low fields. The onset of reverse PSB coincides
with the development of a tail like feature in the measured bias triangles and
occurs when the Zeeman energy of the polarized triplet equals the exchange energy
in the (0, 2) charge configuration. In Si quantum dots, these fields are
experimentally accessible; thus, this work suggests a way to observe a crossover
in magnetic field to qualitatively different behavior.
PMID- 29353917
TI - Sculpting Metal-binding Environments in De Novo Designed Three-helix Bundles.
AB - De novo protein design is a biologically relevant approach used to study the
active centers of native metalloproteins. In this review, we will first discuss
the design process in achieving alpha3D, a de novo designed three-helix bundle
peptide with a well-defined fold. We will then cover our recent work in
functionalizing the alpha3D framework by incorporating a tris(cysteine) and
tris(histidine) motif. Our first design contains the thiol-rich sites found in
metalloregulatory proteins that control the levels of toxic metal ions (Hg, Cd,
and Pb). The latter design recapitulates the catalytic site and activity of a
natural metalloenzyme carbonic anhydrase. The review will conclude with future
design goals aimed at introducing an asymmetric metal-binding site in the alpha3D
framework.
PMID- 29353919
TI - Measurements of Enthalpy of Sublimation of Ne, N2, O2, Ar, CO2, Kr, Xe, and H2O
using a Double Paddle Oscillator.
AB - We report precise experimental values of the enthalpy of sublimation (DeltaHs )
of quenched condensed films of neon (Ne), nitrogen (N2), oxygen (O2), argon (Ar),
carbon dioxide (CO2), krypton (Kr), xenon (Xe), and water (H2O) vapor using a
single consistent measurement platform. The experiments are performed well below
the triple point temperature of each gas and fall in the temperature range where
existing experimental data is very limited. A 6 cm2 and 400 um thick double
paddle oscillator (DPO) with high quality factor (Q ~ 4 * 105 at 298K) and high
frequency stability (33 parts per billion) is utilized for the measurements. The
enthalpies of sublimation are derived by measuring the rate of mass loss during
temperature programmed desorption. The mass change is detected due to change in
the resonance frequency of the self-tracking oscillator. Our measurements
typically remain within 10% of the available literature, theory, and National
Institute of Standards and Technology (NIST) Web Thermo Tables (WTT) values, but
are performed using an internally consistent method across different gases.
PMID- 29353920
TI - Ethnic Differences in Returning Home: Explanations From a Life Course
Perspective.
AB - Ethnic differences in leaving and returning home may reflect varying cultural
norms regarding intergenerational coresidence, but also differences in
transitions in linked domains, for example, employment and partnership
transitions. This study uses Dutch population register data to compare returning
home among second-generation Turks, Moroccans, Surinamese, and Antilleans with
native Dutch who had left the parental home between age 16 and 28 in the period
1999 to 2011 (N = 194,020). All second-generation groups were found to be more
likely to return home than native Dutch. A large part of these differences was
related to the timing and occurrence of other key events in the life course, such
as age at leaving home and partnership dissolution. Although the impact of
partnership dissolution on returning home was found to be strong among all origin
groups, it was less pronounced among second-generation youth, particularly Turks
and Moroccans, than native Dutch youth. Possible explanations and implications
are discussed.
PMID- 29353921
TI - Chemical Signals of Vector Beetle Facilitate the Prevalence of a Native Fungus
and the Invasive Pinewood Nematode.
AB - In China, the invasive Bursaphelenchus xylophilus, the vector Monochamus
alternatus beetle, and associated fungi exhibit a symbiotic relationship causing
serious losses to pine forests. Although this complex system has been intensively
investigated, the role of vector beetles on the development of associated fungi
and their indirect contribution to the prevalence of pinewood nematode (PWN) is
yet unknown. Here, three of the highly prevalent fungal species, viz., Sporothrix
sp. 1, Ophiostoma ips, and Sporothrix sp. 2 were isolated from beetle chambers in
diseased trees in Guangdong province, southeast China. Pairwise cultivation of
isolated fungi demonstrated the dominance of Sporothrix sp. 1 over O. ips and
Sporothrix sp. 2. On the other hand, two fatty acid ethyl esters (FAEE), ethyl
palmitate (EP) and ethyl linoleate (EL), isolated from the body surface of the
vector beetle enhanced the growth of Sporothrix sp. 1. When PWN were cultured on
Sporothrix sp. 1, the fecundity and the body length were increased significantly
as compared with when cultured on O. ips and Sporothrix sp. 1. Our results
suggest that the vector beetles promote Sporothrix sp. 1 to occupy more niches by
rapid growth and spread, which in turn better support PWN population, hence
facilitate PWN pathogenicity in the invasive regions.
PMID- 29353922
TI - Modeling Host-Microbiome Interactions in Caenorhabditis elegans.
AB - The microbiome influences host processes including nutritional availability,
development, immunity, and behavioral responses. Caenorhabditis elegans is a
powerful model to study molecular mechanisms of host-microbial interactions.
Recent efforts have been made to profile the natural microbiome of C. elegans,
laying a foundation for mechanistic studies of host-microbiome interactions in
this genetically tractable model system. Studies using single-species microbes,
multi-microbial systems, and humanized worm-microbiome interaction studies reveal
metabolic and microbial-microbial interactions relevant in higher organisms. This
article discusses recent developments in modeling the effects of host-microbiome
interactions in C. elegans.
PMID- 29353925
TI - First Report of Estonian Cyst Nematode Cactodera estonica from India.
PMID- 29353924
TI - Secondary Metabolites Produced by Heterorhabditis Symbionts and Their Application
in Agriculture: What We Know and What to Do Next.
AB - Gram-negative Photorhabdus bacteria have a dual lifestyle: they are mutualists of
Heterorhabditis nematodes and are pathogens of insects. Together, this nematode
bacterium partnership has been used to successfully control a wide range of
agricultural insect pests. Photorhabdus produce a diverse array of small
molecules that play key biological roles in regulating their dual roles. In
particular, several secondary metabolites (SM) produced by this bacterium are
known to play a critical role in the maintenance of a monoxenic infection in the
insect host and are also known to prevent contamination of the cadaver from soil
microbes and/or predation by arthropods. A few of the SM this bacteria produce
have been isolated and identified, and their biological activities have also been
tested in laboratory assays. Over the past two decades, analyses of the genomes
of several Photorhabdus spp. have revealed the presence of SM numerous gene
clusters that comprise more than 6% of these bacteria genomes. Furthermore,
genome mining and characterization of biosynthetic pathways, have uncovered the
richness of these compounds, which are predicted to vary across different
Photorhabdus spp. and strains. Although progress has been made in the
identification and function of SM genes and gene clusters, the targeted testing
for the bioactivity of molecules has been scarce or mostly focused on medical
applications. In this review, we summarize the current knowledge of Photorhabdus
SM, emphasizing on their activity against plant pathogens and parasites. We
further discuss their potential in the management of agricultural pests and the
steps that need to be taken for the implementation of Photorhabdus SM in pest
management.
PMID- 29353926
TI - Oat, Wheat, and Sorghum Genotype Reactions to Meloidogyne incognita and
Meloidogyne javanica.
AB - Meloidogyne spp. are the most economically important species of plant-pathogenic
nematodes. Plant resistance and crop rotation are the main nematode management
methods. Thus, the objective was to evaluate the resistance of seven wheat
genotypes, five oat genotypes, ten sorghum hybrids, and three sorghum-sudangrass
genotypes to Meloidogyne incognita and Meloidogyne javanica. The crops were sowed
in pots with an autoclaved substrate. A single plant/pot was left after thinning.
The soil was infested with 5,000 eggs of the studied nematodes. Tomato (cv.
Rutgers) plants were used as the standard for nematode susceptibility. The
evaluations were conducted 60 d after inoculation. Gall and egg-mass indexes were
obtained according to a 0-5 scale. Plants with a reproduction factor higher than
1.0 were classified as susceptible (S) and lower than 1.0 as resistant (R). Wheat
and oat genotypes did not allow M. incognita and M. javanica reproduction,
proving resistance to these organisms. Sorghum genotypes had different reactions
to M. incognita and M. javanica. The tomato (cv. Rutgers) plants demonstrated the
viability of the nematode inoculum for the three crops. The wheat and oat
genotypes and the sorghum hybrids 'BRS-610', 'BRS-800', and '307.343' can be used
in crop rotation systems for M. incognita and M. javanica management.
PMID- 29353927
TI - First Report of Matricidal Hatching in Bursaphelenchus xylophilus.
AB - The reproductive strategy of the pinewood nematode (PWN), Bursaphelenchus
xylophilus, is sexual amphimictic and oviparous. The incidence of intrauterine
egg development and hatching in plant-parasitic nematodes is not a very common
phenomenon. During the process of maintaining and breeding a B. xylophilus
population isolated in Spain under laboratory conditions, evidence of matricidal
hatching was observed. This is the first described case of this phenomenon in
this species.
PMID- 29353928
TI - First Reports, Morphological, and Molecular Characterization of Longidorus
caespiticola and Longidorus poessneckensis (Nematoda: Longidoridae) from Ukraine.
AB - Seven needle nematode species of the genus Longidorus have been reported in
Ukraine. Nematological surveys for needle nematodes were carried out in Ukraine
between 2016 and 2017 and two nematode species of Longidorus (L. caespiticola and
L. poessneckensis) were collected from natural and anthropogenically altered
habitats on the territory of Opillia and Zakarpattia in Ukraine. Nematodes were
extracted from 500 cm3 of soil by modified sieving and decanting method.
Extracted specimens were processed to glycerol and mounted on permanent slides
and subsequently identified morphologically and molecularly. Nematode DNA was
extracted from single individuals and PCR assays were conducted as previously
described for D2-D3 expansion segments of 28S rRNA. Sequence alignments for D2-D3
from L. caespiticola showed 97%-99% similarity to other sequences of L.
caespiticola deposited in GenBank from Belgium, Bulgaria, Czech Republic, Russia,
Slovenia, and Scotland. Similarly, D2-D3 sequence alignments from L.
poessneckensis, showed 99% to other sequences of L. poessneckensis deposited in
GenBank from Slovakia and Czech Republic. Morphology, morphometry, and molecular
data obtained from these samples were consistent with L. caespiticola and L.
poessneckensis identification. To our knowledge, these are the first reports of
L. caespiticola and L. poessneckensis in Ukraine, extending the geographical
distribution of these species.
PMID- 29353923
TI - A Model for Evolutionary Ecology of Disease: The Case for Caenorhabditis
Nematodes and Their Natural Parasites.
AB - Many of the outstanding questions in disease ecology and evolution call for
combining observation of natural host-parasite populations with experimental
dissection of interactions in the field and the laboratory. The "rewilding" of
model systems holds great promise for this endeavor. Here, we highlight the
potential for development of the nematode Caenorhabditis elegans and its close
relatives as a model for the study of disease ecology and evolution. This
powerful laboratory model was disassociated from its natural habitat in the
1960s. Today, studies are uncovering that lost natural history, with several
natural parasites described since 2008. Studies of these natural Caenorhabditis
parasite interactions can reap the benefits of the vast array of experimental and
genetic tools developed for this laboratory model. In this review, we introduce
the natural parasites of C. elegans characterized thus far and discuss resources
available to study them, including experimental (co)evolution, cryopreservation,
behavioral assays, and genomic tools. Throughout, we present avenues of research
that are interesting and feasible to address with caenorhabditid nematodes and
their natural parasites, ranging from the maintenance of outcrossing to the
community dynamics of host-associated microbes. In combining natural relevance
with the experimental power of a laboratory supermodel, these fledgling host
parasite systems can take on fundamental questions in evolutionary ecology of
disease.
PMID- 29353929
TI - First Report of Cactodera estonica in Canada.
AB - A population of cyst nematode with terminal protuberance was found in soil
samples associated with and on the roots of Polygonum aviculare L. at N45 degrees
23.480', W75 degrees 40.463' in South Ottawa, Ontario. Both J2 and cysts were
found. It was subsequently confirmed with morphological and molecular methods as
Cactodera estonica Krall and Krall, 1978 (Krall and Krall, 1978). The cysts are
dark brown, elongated with length/breadth ratio more than 2, and vulval fenestra
circumfenestrate 33 +/- 4.1 (20-48) um in diameter. Vulval slit is short 16 +/-
2.7 (13-20) um. Not all cysts have bullae or underbridge, when present a few
bullae scattered on the ventral side of the cyst wall and the underbridge is
thin; vulval denticles were found in one specimen. Male not found. J2
measurements are body length at 505 +/- 45 (420-630) um, stylet 25 +/- 4.4 (22
29) um long, and tail 36 +/- 3.1 (34-38) um with a short hyaline portion 14 +/-
3.0 (12-19) um. Lateral fields with four incisures. Tail end is round. All of
these are consistent with those of C. estonica, for which the elongated cyst and
short hyaline in J2 are characteristic for the species. Ribosomal DNA of the ITS,
18S, and D2/D3 of 28S regions were PCR amplified from cysts and J2s using primers
18S (5'-TTGATTACGTCCCTGCCCTTT-3') and 26S (5'-TTTCACTCGCCGTTACTAAGG-3') (Vrain et
al., 1992), D2A (5'-ACAAGTACCGTGAGGGAAAGT-3') (Nunn, 1992) and D3B (5'
GACCCGTCTTGAAACACGGA-3') (De Ley et al., 1999), and sequenced. The sequences of
the ITS and D2/D3 regions of 1,480 and 1,363 bps, respectively, were deposited in
Genbank with accession numbers MF774482 and MF774483. When blasted in to the NCBI
database (August 2017), the ITS sequence was 99% similar to sequences of
AF274417, a population of C. estonica from Belgium and of KX579922 from Turkey,
and the D2/D3 sequence was 99% similar to sequences of HM560797 and HM560796,
populations of C. estonica from China. This is the first reported case of this
nematode species in Canada and the second for the western hemisphere (Norgren and
Golden, 1986).
PMID- 29353930
TI - Xiphinema japonicum n. sp. (Nematoda: Longidorinae) from the Rhizosphere of
Japanese Podocarpus macrophyllus (Thunb.), a Cryptic Species Related to Xiphinema
bakeri Williams, 1961.
AB - Xiphinema japonicum n. sp., isolated in Ningbo, China, from the rhizosphere of
Podocarpus macrophyllus (Thunb.) imported from Japan is described. The new
species belongs to Xiphinema non-americanum group 7 and is characterized by
medium body length (3.0-3.7 mm), total stylet length 190-201 MUm, vulva located
anteriorly (V = 30.5%-35.3%), two equally developed female genital branches
without uterine differentiation (no Z or pseudo-Z organ and/or spines in the
uteri), short tail, convex-conoid with subdigitate peg in terminus, and absence
of males. The species has four juvenile developmental stages (J1 was not found).
The polytomous identification codes of the new species are (codes in parentheses
are exceptions) A4-B4-C4-D5(4)-E2(3)-F3(4)-G2(3)-H2-I3-J4-K?-L1. Morphologically,
the new species is mainly characterized by combination of the codes C4 and E2(3),
making the species unique and different from other species in the genus. It is
most similar to the North American species Xiphinema bakeri, herein considered as
its cryptic species by the nature of high morphological similarity, but with
significant differences in DNA sequences in nearly full length 18S, ITS1, 28S
D2/D3, and cytochrome c oxidase subunit 1 sequences. The second morphologically
close species is Xiphinema setariae from which the new species could be separated
based on morphological and molecular characters. Morphological comparisons with
phylogenetically related species are also discussed.
PMID- 29353931
TI - Occurrence of Sheraphelenchus sucus (Nematoda: Aphelenchoidinae) and Panagrellus
sp. (Rhabditida: Panagrolaimidae) Associated with Decaying Pomegranate Fruit in
Italy.
AB - Two different nematode species were recovered from pomegranate decaying fruit in
two localities in Southern Italy: the mycetophagus nematode Sheraphelenchus sucus
and a bacterial feeder nematode belonging to the Panagrolaimidae (Rhabditida)
family. Morphometrics of the Italian population of S. sucus closely resemble that
of the type population, whereas some differences were found when compared with
another population from Iran. Molecular characterization of the Italian S. sucus
using the 18S rRNA gene, D2-D3 expansion domains of the 28S rDNA, the ITS region,
and the partial mitochondrial COI were carried out. Sequences of the 18S rRNA
gene, the D2-D3 domains, and the ITS were analyzed using several methods for
inferring phylogeny to reconstruct the relationships among Sheraphelenchus and
Bursaphelenchus species. The bacterial feeder Panagrellus sp. was characterized
at the molecular level only. The D2-D3 expansion domains and ITS sequences of
this Italian panagrolaimid were determined. The D2-D3 sequences of the Italian
panagrolaimid showed 99% similarity with the corresponding sequence of
Panagrellus sp. associated with Rhynchophorus ferrugineus. This is the first
report on the tritrophic association of S. sucus and Rhabditida that uses both
insects and pomegranate fruit as hosts.
PMID- 29353932
TI - Pathogenic Potential of Fresh, Frozen, and Thermally Treated Anisakis spp. Type
II (L3) (Nematoda: Anisakidae) after Oral Inoculation into Wistar Rats: A
Histopathological Study.
AB - The third-stage (L3) larvae of Anisakis are the etiological agents of human
anisakiasis caused by consumption of raw or undercooked seafood infected with
anisakid nematodes. Infection with these worms is associated with abdominal pain,
nausea, and diarrhea and can lead to massive infiltration of eosinophils and the
formation of granulomas in the gastrointestinal tract if the larvae are not
removed. Food allergy affects populations worldwide, and despite several reports
on the presence of the potentially zoonotic nematodes among edible fishes in
Egypt, there are few immunological and molecular studies investigating the
epidemiology of these parasites. Anisakidosis, a human infection with nematodes
of the family Anisakidae, is caused most commonly by Anisakis spp. In the present
study, seventy specimens of the European seabass Dicentrarchus labrax
commercialized in Alexandria city along the Mediterranean Sea were acquired
during the period from July to December, 2015. Fish were necropsied and dissected
to investigate the presence of nematode larvae. Thirty fish (42.9%) of the total
were parasitized by nematode larvae which were morphologically identified as
Anisakis spp. Type II (L3) according to light and scanning electron microscopy.
The pathogenic potential of oral inoculation of fresh, frozen, and thermally
treated larvae into Wistar rats was elucidated by histological examination of
their thymus and spleen. Results obtained indicated that neither cooling nor
freezing of the parasite could destroy their allergenic capacity. So, it is
important to create a wider awareness of this potential risk to human health. It
is becoming increasingly likely that the impact of Anisakis spp. on human health
has been underestimated, and it is perhaps time to consider more sweeping
measures than those currently enforced to protect the public health.
PMID- 29353933
TI - Control of Globodera spp. Using Brassica juncea Seed Meal and Seed Meal Extract.
AB - The eradication program for the potato cyst nematode (PCN), Globodera pallida, in
the Northwest of the United States revolves around the use of soil fumigation.
Alternative, integrated strategies are needed to continue to battle this invasive
nematode. Laboratory, greenhouse, and field experiments were conducted with G.
pallida and another cyst nematode found in the United States, Globodera
ellingtonae, to evaluate the efficacy of a new formulated Brassica juncea seed
meal extract, as well as a traditional B. juncea seed meal, as alternate
eradication strategies. This is the first report on the efficacy of B. juncea
seed meal extract against plant-parasitic nematodes. Rates of B. juncea seed meal
greater than 2.2 t/ha and 4.5 t/ha for G. pallida and G. ellingtonae,
respectively, were required for egg hatch suppression, as determined by a potato
root diffusate (PRD) bioassay. Reproduction of G. pallida on potato after
exposure to B. juncea seed meal at a rate of 2.2 t/ha was also significantly
reduced. In the field, 8.9 t/ha B. juncea seed meal almost eliminated egg hatch
of G. ellingtonae. Rates needed for Globodera spp. suppression were greatly
reduced when using the B. juncea seed meal extract. When compared side-by-side,
half as much B. juncea seed meal extract, 1.1 t/ha, was required to suppress G.
ellingtonae egg hatch to the same extent as B. juncea seed meal. Exposure of G.
pallida to B. juncea seed meal extract at 4.5 t/ha reduced egg hatch by 90%
compared with a nonamended control. The ability to reduce the amount of material
being applied to soil by using an extract has the potential for integration into
a G. pallida eradication program.
PMID- 29353934
TI - Annual and Perennial Alleyway Cover Crops Vary in Their Effects on Pratylenchus
penetrans in Pacific Northwest Red Raspberry (Rubus idaeus).
AB - Cover crops can provide many benefits to agroecosystems, such as lessening soil
erosion and increasing water infiltration. However, cover crop use is not common
in established red raspberry (Rubus idaeus) fields in the Pacific Northwest.
Raspberry growers are concerned about resource competition between the cover crop
and raspberry crop, as well as increasing population densities of the plant
parasitic nematode Pratylenchus penetrans, which has a wide host range and has
been shown to reduce raspberry plant vigor and yield. A 2-yr study was conducted
in an established 'Meeker' raspberry field in northwest Washington to evaluate
the effects of nine alleyway cover crops, mowed weed cover, and the industry
standard of bare cultivated soil on P. penetrans population dynamics, raspberry
yield, and fruit quality. The host status for P. penetrans of cover crops
included in the field experiment, as well as Brassica juncea 'Pacific Gold' and
Sinapis alba 'Ida Gold', was also evaluated in greenhouse experiments. In the
field experiment, P. penetrans population densities did not increase in alleyway
cover crop roots over time or in alleyway soil surrounding cover crop roots
(means range from 0 to 116 P. penetrans/100 g of soil) compared with the bare
cultivated control (means range from 2 to 55 P. penetrans/100 g of soil).
Pratylenchus penetrans populations did not increase over time in raspberry grown
adjacent to alleyways with cover crops (means range from 1,081 to 6,120 P.
penetrans/g of root) compared with those grown adjacent to bare cultivated soil
alleyways (means range from 2,391 to 5,536 P. penetrans/g of root). Raspberry
grown adjacent to bare cultivated soil did not have significantly higher yield or
fruit quality than raspberry grown adjacent to cover crops in either year of the
experiment. In the greenhouse assays, 'Norwest 553' wheat and a perennial
ryegrass mix were poor hosts for P. penetrans, whereas 'Nora' and 'TAM 606' oat
and 'Pacific Gold' and 'Ida Gold' mustard were good hosts. These results support
the idea that the potential benefits of alleyway cover crops outweigh the
potential risk of increasing P. penetrans population densities and do not
compromise raspberry yield or fruit quality.
PMID- 29353935
TI - Susceptibility of Seven Caladium (Caladium * hortulanum) Cultivars to Meloidogyne
arenaria, M. enterolobii, M. floridensis, M. incognita, and M. javanica.
AB - There is no known root-knot nematode (Meloidogyne spp.) resistance in caladium
(Caladium * hortulanum), an ornamental foliage crop grown from tubers, but
cultivars have been reported to differ in their level of susceptibility. Research
was conducted to assess the relative susceptibility of seven widely grown
caladium cultivars to the species of Meloidogyne which occur in the southeastern
United States, where caladium cultivars are commonly planted in commercial and
residential landscapes. Root-knot nematode species tested were Meloidogyne
arenaria, Meloidogyne enterolobii (=M. mayaguensis), Meloidogyne floridensis,
Meloidogyne incognita, and Meloidogyne javanica. All of the caladium cultivars
tested were susceptible to galling by all species of Meloidogyne tested; however
M. javanica caused the least severe galling. Meloidogyne enterolobii produced
high numbers of eggs per gram of fresh root on all cultivars tested, with cv.
Freida Hemple having the highest number (14,799 eggs/g fresh root). Meloidogyne
javanica also reproduced at a high level on most cultivars tested. Overall, the
number of eggs of M. arenaria, M. floridensis, and M. incognita was low on all
caladium cultivars tested. Meloidogyne javanica was isolated from caladium roots
in high numbers regardless of the cultivar. Meloidogyne incognita had low numbers
of second stage root-knot nematode juveniles (J2) isolated from soil of all
cultivars. The high level of reproduction of M. enterolobii and the high rate of
isolation of M. javanica from roots, as well as the low rate of isolation of M.
incognita from soil, are not reflected in gall ratings where M. javanica ratings
were low but high numbers of eggs and J2 were present in roots. An increased
understanding of cultivar susceptibility levels and the reproductive capacity of
common root-knot nematode on caladium under various environmental conditions is
needed to better manage nematode-infested planting sites and improve caladium
growth.
PMID- 29353936
TI - Chemosensory Responses of Plant Parasitic Nematodes to Selected Phytochemicals
Reveal Long-Term Habituation Traits.
AB - Plant parasitic nematodes (PPN) are important crop pests within the global agri
sector. Critical to their success is a complex and highly sensitive chemosensory
system used to locate plants by detecting host cues. In addition to this, the
nematode neuronal system has evolved mechanisms to allow adaptation to a changing
environment. Clearly, there is a need to better understand the host-parasite
relationship and the mechanisms by which PPN successfully locate and infect host
plants. Here, we demonstrate the chemotactic response of two economically
important PPN species, Meloidogyne incognita and Globodera pallida to selected
phytochemicals. We further reveal an adapted chemotactic response in M. incognita
second-stage juveniles preexposed to ethephon (Eth), potato root diffusate (PRD),
and salicylic acid (SA), and present pharmacological evidence supporting the
existence of long-term habituation traits acting via serotonergic-dependent
neurotransmission.
PMID- 29353937
TI - An Investigation of the Potential Antifungal Properties of CNC-2 in
Caenorhabditis elegans.
AB - Caenorhabditis elegans responds to infections by upregulating specific
antimicrobial peptides. The caenacin-2 (cnc-2) gene is consistently upregulated
in C. elegans by infection with the filamentous fungus Drechmeria coniospora, but
there have been no direct studies of the CNC-2 peptide's in vivo or in vitro role
in defending the nematode against this pathogen. We compared infection of wild
type and cnc-2 knockout nematode strains with four potential pathogens: D.
coniospora, Candida albicans, Staphylococcus aureus, and Bacillus subtilis. There
was no significant difference in survival between strains for any of the
pathogens or on the maintenance strain of Escherichia coli. While we were unable
to demonstrate definitively that CNC-2 is integral to fungal defenses in C.
elegans, we identified possible explanations for these results as well as future
work that is needed to investigate CNC-2's potential as a new antifungal
treatment.
PMID- 29353940
TI - A Mathematical Model of Countercurrent Exchange of Oxygen Between Paired
Arterioles and Venules.
AB - A mathematical model is formulated for diffusive countercurrent exchange of
oxygen between paired arterioles and venules. A closed form solution of the
problem is obtained by linearizing the nonlinear oxyhemoglobin dissociation curve
at the inlet PO2 in the vessel. The closed form solution is compared with the
corresponding numerical solution of the nonlinear problem. Under normal
conditions, longitudinal gradients of venular PO2 are found to be small. Examples
are presented where the model predicts significant gradients of venular PO2 when
the blood flow rate in the venule is several times smaller than that in the
arteriole.
PMID- 29353939
TI - Multi-Stimuli-Responsive Amphiphilic Assemblies through Simple Postpolymerization
Modifications.
AB - A strategy to construct different stimuli responsive polymers from post
polymerization modifications of a single polymer scaffold via thiol-disulfide
exchange has been developed. Here, we report on a random copolymer that enables
the design and syntheses of a series of dual or multi-stimuli responsive
nanoassemblies using a simple post-polymerization modification step. The reactive
functional group involves a side chain monopyridyl disulfide unit, which rapidly
and quantitatively reacts with various thiols under mild conditions. Independent
and concurrent incorporation of physical, chemical or biologically responsive
properties have been demonstrated. We envision that this strategy may open up
opportunities to simplify the synthesis of multi-functional polymers with broad
implications in a variety of biological applications.
PMID- 29353941
TI - A Bayesian model selection approach for identifying differentially expressed
transcripts from RNA sequencing data.
AB - Recent advances in molecular biology allow the quantification of the
transcriptome and scoring transcripts as differentially or equally expressed
between two biological conditions. Although these two tasks are closely linked,
the available inference methods treat them separately: a primary model is used to
estimate expression and its output is post processed by using a differential
expression model. In the paper, both issues are simultaneously addressed by
proposing the joint estimation of expression levels and differential expression:
the unknown relative abundance of each transcript can either be equal or not
between two conditions. A hierarchical Bayesian model builds on the BitSeq
framework and the posterior distribution of transcript expression and
differential expression is inferred by using Markov chain Monte Carlo sampling.
It is shown that the model proposed enjoys conjugacy for fixed dimension
variables; thus the full conditional distributions are analytically derived. Two
samplers are constructed, a reversible jump Markov chain Monte Carlo sampler and
a collapsed Gibbs sampler, and the latter is found to perform better. A cluster
representation of the aligned reads to the transcriptome is introduced, allowing
parallel estimation of the marginal posterior distribution of subsets of
transcripts under reasonable computing time. Under a fixed prior probability of
differential expression the clusterwise sampler has the same marginal posterior
distributions as the raw sampler, but a more general prior structure is also
employed. The algorithm proposed is benchmarked against alternative methods by
using synthetic data sets and applied to real RNA sequencing data. Source code is
available on line from https://github.com/mqbssppe/cjBitSeq.
PMID- 29353942
TI - Analytic posteriors for Pearson's correlation coefficient.
AB - Pearson's correlation is one of the most common measures of linear dependence.
Recently, Bernardo (11th International Workshop on Objective Bayes Methodology,
2015) introduced a flexible class of priors to study this measure in a Bayesian
setting. For this large class of priors, we show that the (marginal) posterior
for Pearson's correlation coefficient and all of the posterior moments are
analytic. Our results are available in the open-source software package JASP.
PMID- 29353938
TI - Watching Proteins Function with Time-resolved X-ray Crystallography.
AB - Macromolecular crystallography was immensely successful in the last two decades.
To a large degree this success resulted from use of powerful third generation
synchrotron X-ray sources. An expansive database of more than 100,000 protein
structures, of which many were determined at resolution better than 2 A, is
available today. With this achievement, the spotlight in structural biology is
shifting from determination of static structures to elucidating dynamic aspects
of protein function. A powerful tool for addressing these aspects is time
resolved crystallography, where a genuine biological function is triggered in the
crystal with a goal of capturing molecules in action and determining protein
kinetics and structures of intermediates (Schmidt et al., 2005a; Schmidt 2008;
Neutze and Moffat, 2012; Srajer 2014). In this approach, short and intense X-ray
pulses are used to probe intermediates in real time and at room temperature, in
an ongoing reaction that is initiated synchronously and rapidly in the crystal.
Time-resolved macromolecular crystallography with 100 ps time resolution at
synchrotron X-ray sources is in its mature phase today, particularly for studies
of reversible, light-initiated reactions. The advent of the new free electron
lasers for hard X-rays (XFELs; 5-20 keV), which provide exceptionally intense,
femtosecond X-ray pulses, marks a new frontier for time-resolved crystallography.
The exploration of ultra-fast events becomes possible in high-resolution
structural detail, on sub-picosecond time scales (Tenboer et al., 2014; Barends
et al., 2015; Pande et al., 2016). We review here state-of-the-art time-resolved
crystallographic experiments both at synchrotrons and XFELs. We also outline
challenges and further developments necessary to broaden the application of these
methods to many important proteins and enzymes of biomedical relevance.
PMID- 29353943
TI - NONPARAMETRIC GOODNESS-OF-FIT TESTS FOR UNIFORM STOCHASTIC ORDERING.
AB - We propose Lp distance-based goodness-of-fit (GOF) tests for uniform stochastic
ordering with two continuous distributions F and G, both of which are unknown.
Our tests are motivated by the fact that when F and G are uniformly
stochastically ordered, the ordinal dominance curve R = FG-1 is star-shaped. We
derive asymptotic distributions and prove that our testing procedure has a unique
least favorable configuration of F and G for p ? [1,infinity]. We use simulation
to assess finite-sample performance and demonstrate that a modified, one-sample
version of our procedure (e.g., with G known) is more powerful than the one
sample GOF test suggested by Arcones and Samaniego (2000, Annals of Statistics).
We also discuss sample size determination. We illustrate our methods using data
from a pharmacology study evaluating the effects of administering caffeine to
prematurely born infants.
PMID- 29353944
TI - Enhancement of phytoplankton chlorophyll by submesoscale frontal dynamics in the
North Pacific Subtropical Gyre.
AB - Subtropical gyres contribute significantly to global ocean productivity. As the
climate warms, the strength of these gyres as a biological carbon pump is
predicted to diminish due to increased stratification and depleted surface
nutrients. We present results suggesting that the impact of submesoscale physics
on phytoplankton in the oligotrophic ocean is substantial and may either
compensate or exacerbate future changes in carbon cycling. A new statistical tool
was developed to quantify surface patchiness from sea surface temperatures.
Chlorophyll concentrations in the North Pacific Subtropical Gyre were shown to be
enhanced by submesoscale frontal dynamics with an average increase of 38%
(maximum of 83%) during late winter. The magnitude of this enhancement is
comparable to the observed decline in chlorophyll due to a warming of ~1.1
degrees C. These results highlight the need for an improved understanding of fine
scale physical variability in order to predict the response of marine ecosystems
to projected climate changes.
PMID- 29353946
TI - Out-migration of Young Adults and Gender Division of Intergenerational Support in
Rural China.
AB - Using data from the baseline and follow-up surveys of "Well-being of Elderly in
Anhui Province, China" conducted in 2001, in 2003 and in 2006, respectively, by
the Institute for Population and Development Studies of Xi'an Jiaotong
University, this paper employs random effects models to explore the gender
division of intergenerational support in the elderly rural families. Analyses by
parents' and children's gender suggest that there are gender differences in
intergenerational support because of gender roles and division in family. Our
analysis shows that older mothers receive more returns, which reciprocates their
support, while older fathers benefit more from the out-migration of adult
children. While sons take more responsibility for family support, daughters
reciprocate support from their elderly parents more. Enhancement of the role and
function of daughters in families has accompanied out-migration of young adults
and suggests that gender differences in intergenerational supports between sons
and daughters have decreased.
PMID- 29353947
TI - Heterogeneity, Inequity Aversion, and Group Performance.
AB - We investigate the effects of inequality in wealth on the incentives to
contribute to a group output when agents are inequity averse and may differ in
ability. We show that equality may lead to a reduction of contributions below
levels generated by purely selfish agents. But introducing inequality motivates
more productive agents to exert higher efforts and help the group to coordinate
on equilibria with less free-riding. As a result, less able agents may benefit
from initially disadvantageous inequality. Moreover, the more inequity averse the
agents, the more inequality should be imposed even by an egalitarian social
planner.
PMID- 29353945
TI - On the analysis of complex biological supply chains: From Process Systems
Engineering to Quantitative Systems Pharmacology.
AB - The use of models in biology has become particularly relevant as it enables
investigators to develop a mechanistic framework for understanding the operating
principles of living systems as well as in quantitatively predicting their
response to both pathological perturbations and pharmacological interventions.
This application has resulted in a synergistic convergence of systems biology and
pharmacokinetic-pharmacodynamic modeling techniques that has led to the emergence
of quantitative systems pharmacology (QSP). In this review, we discuss how the
foundational principles of chemical process systems engineering inform the
progressive development of more physiologically-based systems biology models.
PMID- 29353948
TI - A process-oriented perspective examining the relationships among daily coping,
stress, and affect.
AB - We assess the daily relationships between age, three functional coping
strategies, and positive and negative affect while accounting for the
individual's cognitive appraisal of the severity and controllability of their
encountered stressor. We collected 56 days of daily data from participants in the
Notre Dame Study of Healthy & Well-Being assessing affect, the most bothersome
event experienced each day, and coping strategies used to deal with that event (N
= 371; Age 44-87; M = 67.41; SD = 8.87). Multi-level modeling allowed us to
explore and compare the between- and within-person effects. The main effects
revealed that coping strategies relate to affect differently. The interaction
terms revealed that the effectiveness of each coping strategy depended on
characteristics of the encountered stressor and/or characteristics of the
individual. Average values of stress severity, Altering the Meaning, and
Dispelling the Effects more strongly related to PA or NA than daily fluctuations
on these constructs. Findings illustrate that certain coping strategies target
affect differently. Approaching research questions regarding stress and coping
with a process-oriented perspective, through the use of daily data, allows for a
more thorough understanding of the real-time, lived relationships among the
individual, stress, and coping.
PMID- 29353949
TI - Comparative Performance and Model Agreement of Three Common Photovoltaic Array
Configurations.
AB - Three grid-connected monocrystalline silicon arrays on the National Institute of
Standards and Technology (NIST) campus in Gaithersburg, MD have been instrumented
and monitored for 1 yr, with only minimal gaps in the data sets. These arrays
range from 73 kW to 271 kW, and all use the same module, but have different
tilts, orientations, and configurations. One array is installed facing east and
west over a parking lot, one in an open field, and one on a flat roof. Various
measured relationships and calculated standard metrics have been used to compare
the relative performance of these arrays in their different configurations.
Comprehensive performance models have also been created in the modeling software
pvsyst for each array, and its predictions using measured on-site weather data
are compared to the arrays' measured outputs. The comparisons show that all three
arrays typically have monthly performance ratios (PRs) above 0.75, but differ
significantly in their relative output, strongly correlating to their operating
temperature and to a lesser extent their orientation. The model predictions are
within 5% of the monthly delivered energy values except during the winter months,
when there was intermittent snow on the arrays, and during maintenance and other
outages.
PMID- 29353950
TI - A HYBRID THREE-SCALE MODEL OF TUMOR GROWTH.
AB - Cancer results from a complex interplay of different biological, chemical, and
physical phenomena that span a wide range of time and length scales.
Computational modeling may help to unfold the role of multiple evolving factors
that exist and interact in the tumor microenvironment. Understanding these
complex multiscale interactions is a crucial step towards predicting cancer
growth and in developing effective therapies. We integrate different modeling
approaches in a multiscale, avascular, hybrid tumor growth model encompassing
tissue, cell, and sub-cell scales. At the tissue level, we consider the
dispersion of nutrients and growth factors in the tumor microenvironment, which
are modeled through reaction-diffusion equations. At the cell level, we use an
agent based model (ABM) to describe normal and tumor cell dynamics, with normal
cells kept in homeostasis and cancer cells differentiated apoptotic, hypoxic, and
necrotic states. Cell movement is driven by the balance of a variety of forces
according to Newton's second law, including those related to growth-induced
stresses. Phenotypic transitions are defined by specific rule of behaviors that
depend on microenvironment stimuli. We integrate in each cell/agent a branch of
the epidermal growth factor receptor (EGFR) pathway. This pathway is modeled by a
system of coupled nonlinear differential equations involving the mass laws of 20
molecules. The rates of change in the concentration of some key molecules trigger
proliferation or migration advantage response. The bridge between cell and tissue
scales is built through the reaction and source terms of the partial differential
equations. Our hybrid model is built in a modular way, enabling the investigation
of the role of different mechanisms at multiple scales on tumor progression. This
strategy allows representating both the collective behavior due to cell assembly
as well as microscopic intracellular phenomena described by signal transduction
pathways. Here, we investigate the impact of some mechanisms associated with
sustained proliferation on cancer progression. Specifically, we focus on the
intracellular proliferation/migration-advantage-response driven by the EGFR
pathway and on proliferation inhibition due to accumulation of growth-induced
stresses. Simulations demonstrate that the model can adequately describe some
complex mechanisms of tumor dynamics, including growth arrest in avascular
tumors. Both the sub-cell model and growth-induced stresses give rise to
heterogeneity in the tumor expansion and a rich variety of tumor behaviors.
PMID- 29353951
TI - Application of Chimera grid to modelling cell motion and aggregation in a narrow
tube.
AB - A computational scheme using the Chimera grid method is presented for simulation
of three-dimensional motion and aggregation of two red blood cells (RBCs) in a
narrow tube. The cells are modelled as rigid ellipsoidal particles; the
computational scheme is applicable to deformable fluid-filled particles.
Attractive energy between two RBCs is modelled by a depletion interaction theory
and used for simulating aggregation of two cells. Through the simulation, we show
that the Chimera grid method is applicable to the simulation of three-dimensional
motion and aggregation of multiple RBCs in a microvessel and microvascular
network.
PMID- 29353953
TI - A Segmentation Editing Framework Based on Shape Change Statistics.
AB - Segmentation is a key task in medical image analysis because its accuracy
significantly affects successive steps. Automatic segmentation methods often
produce inadequate segmentations, which require the user to manually edit the
produced segmentation slice by slice. Because editing is time-consuming, an
editing tool that enables the user to produce accurate segmentations by only
drawing a sparse set of contours would be needed. This paper describes such a
framework as applied to a single object. Constrained by the additional
information enabled by the manually segmented contours, the proposed framework
utilizes object shape statistics to transform the failed automatic segmentation
to a more accurate version. Instead of modeling the object shape, the proposed
framework utilizes shape change statistics that were generated to capture the
object deformation from the failed automatic segmentation to its corresponding
correct segmentation. An optimization procedure was used to minimize an energy
function that consists of two terms, an external contour match term and an
internal shape change regularity term. The high accuracy of the proposed
segmentation editing approach was confirmed by testing it on a simulated data set
based on 10 in-vivo infant magnetic resonance brain data sets using four
similarity metrics. Segmentation results indicated that our method can provide
efficient and adequately accurate segmentations (Dice segmentation accuracy
increase of 10%), with very sparse contours (only 10%), which is promising in
greatly decreasing the work expected from the user.
PMID- 29353952
TI - Ruthenium PNN(O) Complexes: Cooperative Reactivity and Application as Catalysts
for Acceptorless Dehydrogenative Coupling Reactions.
AB - The novel tridentate PNNOH pincer ligand LH features a reactive 2-hydroxypyridine
functionality as well as a bipyridyl-methylphosphine skeleton for meridional
coordination. This proton-responsive ligand coordinates in a straightforward
manner to RuCl(CO)(H)(PPh3)3 to generate complex 1. The methoxy-protected
analogue LMe was also coordinated to Ru(II) for comparison. Both species have
been crystallographically characterized. Site-selective deprotonation of the 2
hydroxypyridine functionality to give 1' was achieved using both mild (DBU) and
strong bases (KOtBu and KHMDS), with no sign of involvement of the
phosphinomethyl side arm that was previously established as the reactive
fragment. Complex 1' is catalytically active in the dehydrogenation of formic
acid to generate CO-free hydrogen in three consecutive runs as well as for the
dehydrogenative coupling of alcohols, giving high conversions to different esters
and outperforming structurally related PNN ligands lacking the NOH fragment. DFT
calculations suggest more favorable release of H2 through reversible reactivity
of the hydroxypyridine functionality relative to the phosphinomethyl side arm.
PMID- 29353954
TI - Assessing personality in San Joaquin kit fox in situ: efficacy of field-based
experimental methods and implications for conservation management.
AB - Utilisation of animal personality has potential benefit for conservation
management. Due to logistics of robust behavioural evaluation in situ, the
majority of studies on wild animals involve taking animals into captivity for
testing, potentially compromising results. Three in situ tests for evaluation of
boldness in San Joaquin kit fox (Vulpes macrotis mutica) were developed (ENOT:
extended novel object test; RNOT: rapid novel object test; TH: trap/handling
test). Each test successfully identified variation in boldness within its target
age class(es). The TH test was suitable for use across all age classes. Tests
were assessed for in situ suitability and for quantity/quality of data yielded.
ENOT was rated as requiring high levels of time, cost and labour with greater
likelihood of failure. However, it was rated highly for data quantity/quality.
The TH test was rated as requiring little time, labour and cost, but yielding
lower quality data. RNOT was rated in the middle. Each test had merit and could
be adapted to suit project or species constraints. We recommend field-based
evaluation of personality, reducing removal of animals from the wild and
facilitating routine incorporation of personality assessment into conservation
projects.
PMID- 29353955
TI - Early arriving males wait longer for a mate than later arrivals: the case of a
migratory monogamous passerine bird species.
AB - Individuals that arrive earlier on the breeding grounds may obtain many
advantages but they also have to spend time waiting for a mate. I studied the
waiting times of male red-breasted flycatchers Ficedula parva, a small,
migratory, sexually dichromatic passerine bird species under natural conditions
(Bialowieza National Park, Poland) in relation to year, arrival time, age of male
and morphological parameters. The length of waiting time was dependent on males'
arrival time. The males which arrived later waited a shorter time for females
than earlier arrivals. In some years older males spent more time waiting for
mates than younger males, but in other years they waited for shorter times. A
significant interaction between age of male and year was also observed. Despite
the significantly earlier arrival of older males, the waiting time was not
related to male age. The waiting time was also not related to body biometric
parameters of the male. Despite waiting longer, early male red-breasted
flycatchers have an advantage over later arrivals given this greater chance of
mating.
PMID- 29353956
TI - Diffusion in a tube of alternating diameter.
AB - The paper deals with diffusion of a particle in a tube that consists of
alternating wide and narrow sections. At sufficiently long times the particle
motion can be coarse-grained and described as effective free-diffusion along the
tube axis. In the coarse-grained description all the details of the tube geometry
are packed into the effective diffusion coefficient of the particle. We derive a
formula for the effective diffusion coefficient, which shows how it depends on
the geometric parameters of the tube. To test the accuracy of this formula we
compare its predictions with the values of the effective diffusion coefficient
found in Brownian dynamics simulations. The comparison shows that the formula is
applicable at arbitrary values of the length and radius of the narrow sections on
condition that the radius of the wide sections does not exceed their length.
PMID- 29353958
TI - Un-reduction in field theory.
AB - The un-reduction procedure introduced previously in the context of classical
mechanics is extended to covariant field theory. The new covariant un-reduction
procedure is applied to the problem of shape matching of images which depend on
more than one independent variable (for instance, time and an additional
labelling parameter). Other possibilities are also explored: nonlinear [Formula:
see text]-models and the hyperbolic flows of curves.
PMID- 29353957
TI - Magnetic separation of algae genetically modified for increased intracellular
iron uptake.
AB - Algae were investigated in the past as a potential source of biofuel and other
useful chemical derivatives. Magnetic separation of algae by iron oxide
nanoparticle binding to cells has been proposed by others for dewatering of
cellular mass prior to lipid extraction. We have investigated feasibility of
magnetic separation based on the presence of natural iron stores in the cell,
such as the ferritin in Auxenochlorella protothecoides (A. p.) strains. The A. p.
cell constructs were tested for inserted genes and for increased intracellular
iron concentration by inductively coupled plasma atomic absorption (ICP-AA). They
were grown in Sueoka's modified high salt media with added vitamin B1 and
increasing concentration of soluble iron compound (FeCl3 EDTA, from 1* to 8*
compared to baseline). The cell magnetic separation conditions were tested using
a thin rectangular flow channel pressed against interpolar gaps of a permanent
magnet forming a separation system of a well-defined fluid flow and magnetic
fringing field geometry (up to 2.2 T and 1,000 T/m) dubbed "magnetic deposition
microscopy", or MDM. The presence of magnetic cells in suspension was detected by
formation of characteristic deposition bands at the edges of the magnet
interpolar gaps, amenable to optical scanning and microscopic examination. The
results demonstrated increasing cellular Fe uptake with increasing Fe
concentration in the culture media in wild type strain and in selected
genetically-modified constructs, leading to magnetic separation without magnetic
particle binding. The throughput in this study is not sufficient for an
economical scale harvest.
PMID- 29353959
TI - PTSD in Long Term Care.
PMID- 29353960
TI - Education and transition to work: evidence from Vietnam, Cambodia and Nepal.
AB - Against the background of education expansion and economic transformations,
little is known about how education shapes the transition to work in developing
countries. Drawing on the cases of Vietnam, Cambodia and Nepal, this study
examines the association between education and the timing and quality of
transition to work. In Vietnam and Cambodia, school enrolment delays the
transition to first paid employment, but upon leaving school, higher education
attainment is associated with faster rate of transition. The education gradient
is even stronger in probabilities and rates of transition to first stable, long
term employment. In Nepal, school enrolment does not preclude transition to paid
employment, and the relation between education attainment and transition to work
varies significantly by gender.
PMID- 29353961
TI - Adaptive Management of Urban Ecosystem Restoration: Learning from Restoration
Managers in Rhode Island, U.S.A.
AB - Urban aquatic restoration can be difficult to accomplish because of complications
like pollutants, population density, infrastructure, and expense; however,
restoration in urban settings has the potential to provide benefits to many
people. The success of urban restoration projects-even those focused primarily on
ecological targets-depends on community involvement and managers' understanding
and consideration of community needs. However research on the social barriers to
urban restoration and strategies managers use to overcome them is relatively
rare. This work attempts to fill that gap. Building from interviews with
restoration managers involved in urban aquatic restoration projects in Rhode
Island, we contribute through an adaptive management approach: identifying and
synthesizing the barriers for aquatic restoration projects in urban settings and
strategies to overcome them. Ultimately, we suggest potential for double- and
triple-loop learning by disentangling and critiquing the frames and policy/power
structures that influence decision making in urban aquatic restoration.
PMID- 29353962
TI - Children's Sensitivity to Cost and Reward in Decision Making Across Distinct
Domains of Probability, Effort, and Delay.
AB - Many behavioral paradigms used to study individuals' decision making tendencies
do not capture the decision components that contribute to behavioral outcomes,
such as differentiating decisions driven toward a reward from decisions driven
away from a cost. This study tested a novel decision making task in a sample of
403 children (age 9 years) enrolled in an ongoing longitudinal study. The task
consisted of 3 blocks representing distinct cost domains (delay, probability,
effort) wherein children were presented with a deck of cards, each of which
consisted of a reward and a cost. Children elected whether to accept or skip the
card at each trial. Reward-cost pairs were selected using an adaptive algorithm
to strategically sample the decision space in the fewest number of trials. Using
person-specific regression models, decision preferences were quantified for each
cost domain with respect to general tolerance (intercept), as well as parameters
estimating the effect of incremental increases in reward or cost on the
probability of accepting a card. Results support the relative independence of
decision making tendencies across cost domains, with moderate correlations
observed between tolerance for delay and effort. Specific decision parameters
showed unique associations with cognitive and behavioral measures including
executive function, academic motivation, anxiety, and hyperactivity. Evidence
indicates that sensitivity to reward is an important factor in incentivizing
decisions to work harder or wait longer. Dissociating the relative contributions
of reward and cost sensitivity in multiple domains may facilitate the
identification of heterogeneity in sub-optimal decision making.
PMID- 29353963
TI - Evidence for Opportunity Cost Neglect in the Poor.
AB - People often neglect opportunity costs: They do not fully take into account
forgone alternatives outside of a particular choice set. Several scholars have
suggested that poor people should be more likely to spontaneously consider
opportunity costs, because budget constraints should lead to an increased focus
on trade-offs. We did not find support for this hypothesis in five high-powered
experiments (total N = 2325). The experiments used different products (both
material and experiential) with both high and low prices (from $8.50 to $249.99)
and different methods of reminding participants of opportunity costs. High-income
and low-income participants showed an equally strong decrease in willingness to
buy when reminded of opportunity costs, implying that both the rich and the poor
neglect opportunity costs.
PMID- 29353964
TI - Efficient transformation in characteristics of cations supported-reduced graphene
oxide nanocomposites for the destruction of trichloroethane.
AB - Experiments were conducted to investigate the use of graphene-oxide supported
metallic nanocomposites for improving the degradation of trichloroethane (TCA) by
sodium percarbonate (SPC). Two methods of production, chemical reduction (CR) and
solvo-thermal (ST), were tested for preparation of single (Fe) and binary (Fe-Cu)
nanocomposites supported by reduced graphene oxide (rGO). A variety of analytical
techniques including N2 adsorption Brunauer-Emmett-Teller (BET), x-ray
diffraction (XRD), fourier-transfrom infrared spectroscopy (FTIR), and
transmisison electron microscopy (TEM) were applied to characterize the
physicochemical and microstructural properties of the synthesized nanocomposites.
The characterization indicated that the CR method produced nanocomposites that
comprised only mesoporous structure. Conversely, both micro and mesoporous
structures were present for samples produced with the ST method. The synthesized
single and bimetallic composites produced from the ST method showed higher
surface areas, i.e. 93.6 m2/g and 119.2 m2/g as compared to the ones synthesized
via the CR method, i.e. 13.8 m2/g and 38.0 m2/g respectively. The results of FTIR
and XRD analyses confirmed that the ST method produced highly crystalline
nanocomposites. SEM and TEM analysis validated that metallic particles with
definite morphology well distributed on the surface of rGO. X-ray photoelectron
spectroscopy (XPS) analysis confirmed the homogeneity nanocomposites and
occurrence of variation in copper oxidation states during degradation process.
EDS mapping validate the homogeneous distribution of Cu and Fe at reduced
graphene oxide surface. The Fe-Cu/rGO (ST) activated SPC system effectively
degraded TCA (92%) in 2.5 h at low nanocomposite dose compared to the Fe-Cu/rGO
(CR) and only Fe, for which the maximum degradation efficiencies achieved were
81% and 34%. In conclusion, excellent catalytic characteristics were observed for
the ST-synthesized single and bimetallic (Fe/rGO, Fe-Cu/rGO) catalysts. These
catalysts were successful in improving the degradation of TCA via activated SPC.
PMID- 29353966
TI - Susceptibility of Faba Bean (Vicia faba L.) to Heat Stress During Floral
Development and Anthesis.
AB - Experiments were conducted over 2 years to quantify the response of faba bean
(Vicia faba L.) to heat stress. Potted winter faba bean plants (cv. Wizard) were
exposed to temperature treatments (18/10; 22/14; 26/18; 30/22; 34/26 degrees C
day/night) for 5 days during floral development and anthesis. Developmental
stages of all flowers were scored prior to stress, plants were grown in exclusion
from insect pollinators to prevent pollen movement between flowers, and yield was
harvested at an individual pod scale, enabling effects of heat stress to be
investigated at a high resolution. Susceptibility to stress differed between
floral stages; flowers were most affected during initial green-bud stages. Yield
and pollen germination of flowers present before stress showed threshold
relationships to stress, with lethal temperatures (t50) ~28 degrees C and ~32
degrees C, while whole plant yield showed a linear negative relationship to
stress with high plasticity in yield allocation, such that yield lost at lower
nodes was partially compensated at higher nodal positions. Faba bean has many
beneficial attributes for sustainable modern cropping systems but these results
suggest that yield will be limited by projected climate change, necessitating the
development of heat tolerant cultivars, or improved resilience by other
mechanisms such as earlier flowering times.
PMID- 29353965
TI - Synthesis of iron-based metal-organic framework MIL-53 as an efficient catalyst
to activate persulfate for the degradation of Orange G in aqueous solution.
AB - A series of MIL-53(Fe) materials were synthesized using a solvothermal method
under different temperature and time conditions and were used as catalysts to
activate persulfate and degrade Orange G (OG). Influences of the above conditions
on the crystal structure and catalytic behavior were investigated. Degradation of
OG under different conditions was evaluated, and the possible activation
mechanism was speculated. The results indicate that high synthesis temperature
(larger than 170 degrees C) leads to poor crystallinity and low catalytic
activity, while MIL-53(Fe) cannot fully develop at low temperature (100 or 120
degrees C). The extension of synthesis time from 5 h to 3 d can increase the
crystallinity of the samples, but weakened the catalytic activity, which was
caused by the reduction of BET surface area and the amount of Fe (II)
coordinative unsaturated sites. Among all the samples, MIL-53(Fe)-A possesses the
best crystal structure and catalytic activity. In optimal conditions, OG can be
totally decolorized after degradation for 90 min, and a removal rate of 74% for
COD was attained after 120 min. The initial solution pH had great influence on OG
degradation, with the greatest removal in acidic pH environment. ESR spectra
showed that sulfate radical (SO4- .), hydroxyl radical (OH.), persulfate radical
(S2O8- .), and superoxide radical (O2.) exist in this system under acidic
conditions. Furthermore, with the increase of pH, the relative amount of O2.
increases while that of OH. and SO4- . decreases, resulting in a reduced
oxidizing capacity of the system.
PMID- 29353967
TI - Methods for estimating complier average causal effects for cost-effectiveness
analysis.
AB - In randomized controlled trials with treatment non-compliance, instrumental
variable approaches are used to estimate complier average causal effects. We
extend these approaches to cost-effectiveness analyses, where methods need to
recognize the correlation between cost and health outcomes. We propose a Bayesian
full likelihood approach, which jointly models the effects of random assignment
on treatment received and the outcomes, and a three-stage least squares method,
which acknowledges the correlation between the end points and the endogeneity of
the treatment received. This investigation is motivated by the REFLUX study,
which exemplifies the setting where compliance differs between the randomized
controlled trial and routine practice. A simulation is used to compare the
methods' performance. We find that failure to model the correlation between the
outcomes and treatment received correctly can result in poor confidence interval
coverage and biased estimates. By contrast, Bayesian full likelihood and three
stage least squares methods provide unbiased estimates with good coverage.
PMID- 29353968
TI - USING DIVERSE EXPERTISE TO ADVANCE CLIMATE CHANGE FISHERIES SCIENCE.
AB - As climate change continues to impact New England's coastal ecosystems and their
related fisheries, the need for measuring, projecting, interpreting, and applying
those impacts for adaptive management is expanding. In New England, different
types of formal and informal research efforts that involve collaboration between
the fishing community and traditional university and government researchers
continue to develop to address some of this need. To better understand the
opportunities and challenges that these collaborative research efforts face, we
conducted semi-structured interviews with 18 members of the fishing and research
communities who are engaged in advancing New England climate change and fisheries
science. Participants showed clear concern for the impacts of climate change on
New England fisheries and about the insufficient availability of the necessary
science to manage for those impacts. They also noted a number of challenges in
collaborative research, including poor communication and a lack of trust among
fishers, researchers, and decision makers, as well as a lack of perceived
credibility for research coming out of the fishing community. We identify a
number of opportunities for improving collaboration and communication among these
groups, which could build upon the identified value of existing collaborations.
PMID- 29353969
TI - Incidence and mortality of cervical cancer in China, 2013.
AB - Objective: Estimating the incidence and mortality rate of cervical cancer became
necessary to establish prevention measures and healthy policies. The aim of this
study was to estimate the updated incidence and mortality rate of cervical cancer
in 2013 in China. Methods: According to the evaluation criteria developed by the
National Central Cancer Registry of China, the data submitted from 255 cancer
registries met the required standards in 2013. Cervical cancer cases were
retrieved from the national database and combined with the 2013 national
population data. The age-standardized incidence and mortality rates were based on
the demographic structure of the national census 2000 and Segi's world
population. Results: In 2013, the estimated number of new cases and deaths from
cervical cancer were 100,700 and 26,400, respectively. The crude incidence of
cervical cancer was 15.17/100,000. The age-standardized incidence rates based on
the Chinese standard population (ASIRC) and the world standard population (ASIRW)
were 11.30/100,000 and 10.30/100,000, respectively. The incidence of cervical
cancer in urban areas was 15.62/100,000 and the ASIRC was 11.12/100,000. The
incidence of cervical cancer in rural areas was 14.65/100,000 and the ASIRC was
11.47/100,000. The mortality rate of cervical cancer was 3.98/100,000. The age
standardized mortality rates based on the Chinese (ASMRC) and world standard
populations (ASMRW) were 2.76/100,000 and 2.62/100,000, respectively. The
mortality rate of cervical cancer in urban areas was 3.85/100,000 and in rural
areas was 4.14/100,000. Cervical cancer incidence and mortality increased with
age. Urban areas had a higher incidence of cervical cancer and lower mortality
rates when compared with rural areas. Conclusions: Dynamic monitoring of cervical
cancer incidence and mortality is the fundamental work of cervical cancer
prevention and control. Cervical cancer is a serious issue in women's health, and
prevention strategies need to be enhanced, such as human papilloma virus (HPV)
vaccination and screening programs.
PMID- 29353970
TI - Trends of incidence rate and age at diagnosis for cervical cancer in China, from
2000 to 2014.
AB - Objective: To analyze the trends of incidence rate and age at diagnosis for
cervical cancer incidence in China using population-based cancer registration
data from 2000 to 2014. Methods: Data were from National Central Cancer Registry
of China. Crude incidence rates (CIRs), age-specific incidence rate, age
standardized incidence rates (ASIRs), age percentage distribution, standardized
age percentage distribution, mean age at diagnosis and standardized mean age at
diagnosis for cervical cancer in all areas of China, urban China and rural China
were calculated separately. The world Segi's population was applied to remove the
age structure influence. Joinpoint regression was performed to obtain average
annual percent change (AAPC) and age-period-cohort analysis was used to examine
the incidence trends. Results: CIRs and ASIRs for cervical cancer increased in
China from 2000 to 2014. The AAPC of ASIRs in China was at 9.2% [95% confidence
interval (95% CI): 7.0%-11.5%, P<0.05], and the AAPC in rural areas was
relatively high. The age-specific incidence rate in groups aged 0-69 years have
significantly increased over time. Groups aged 40-69 years showed the highest
incidence risk, and the annual percent changes (APCs) of incidence rate in groups
aged 40-59 years in urban China and groups aged 0-49 years in rural China were
more than 10%. For each age group, the urban-to-rural incidence rate ratios
(IRRs) got close to 1 over time. There were clear birth cohort effects in
successive generations born from 1940 to 1970 in China. In rural China, the
standardized mean age at diagnosis had significantly declined by 5.18 years. In
China, the main peak and secondary peak of standardized age percentages appeared
in the groups aged 45-49 and 40-44 years, respectively. In rural China, the main
peak of standardized age percentage moved from the group aged 55-59 years to the
group aged 45-49 years, and the standardized age percentages of groups aged 25-34
years also increased. In China, the standardized age percentages has
significantly increased in groups aged 35-64 and 30-64 years over time, and
accounted for about 80% and 85% in 2014, respectively. Conclusions: The cervical
cancer incidence increased in China and the gap of incidence between urban and
rural China was narrowed. The trends of increasing cervical cancer incidence
among younger women existed in China, especially in rural China. A more
appropriate screening, vaccination and health education strategies should be
established.
PMID- 29353971
TI - Trends in geographical disparities for cervical cancer mortality in China from
1973 to 2013: a subnational spatio-temporal study.
AB - Objective: This study was designed to explore the time trends in geographical
variations of cervical cancer mortality in mainland China over the period 1973 to
2013, to provide subnational spatio-temporal patterns for targeted promotion of
human papillomavirus vaccine in China. Methods: Data were extracted from three
national retrospective death surveys and cancer registry. The rate ratio (RR) was
estimated for the aggregated data for seven geographical regions using
generalized linear models to evaluate time trends in geographical disparities of
cervical cancer mortality. Results: There was a significant decrease in cervical
cancer mortality in China from 1973-1975 to 2004-2005, but leveled off thereafter
to 2011-2013. Compared to the period 1973-1975 the RR for the three last time
periods were 0.33 [95% confidence interval (95% CI): 0.30-0.37] for 1990-1992,
0.21 (95% CI: 0.19-0.24) for 2004-2005 and 0.24 (95% CI: 0.22-0.26) for 2011
2013. Females living in the Northwest China and Central China have a high risk of
mortality from cervical cancer compared to the nationwide, with the RR being 2.09
(95% CI: 1.83-2.38) and 1.26 (95% CI: 1.11-1.44) respectively, while the RRs for
South China, Northeast China and Southwest China were below 1.00, indicating the
lower death risk. Despite the mortality rate had increased slightly from 2004 to
2013, there was an encouraging sign that the geographical disparities in cervical
cancer mortality had gradually narrowed over time across China. Conclusions:
Although cervical cancer mortality in China has reduced to very low levels, the
high risk of cervical cancer in Northwest China and Central China is still
noteworthy. Public health policies including the promotion of vaccine should be
targeted to further reduction of geographical disparities in cervical cancer
mortality.
PMID- 29353972
TI - Accuracy of triage strategies for human papillomavirus DNA-positive women in low
resource settings: A cross-sectional study in China.
AB - Objective: CareHPV is a human papillomavirus (HPV) DNA test for low-resource
settings (LRS). This study assesses optimum triage strategies for careHPV
positive women in LRS. Methods: A total of 2,530 Chinese women were concurrently
screened for cervical cancer with visual inspection with acetic acid (VIA),
liquid-based cytology and HPV testing by physician- and self-collected careHPV,
and physician-collected Hybrid Capture 2 (HC2). Screen-positive women were
referred to colposcopy with biopsy and endocervical curettage as necessary. HPV
positivity was defined as >=1.0 relative light units/cutoff (RLU/CO) for both
careHPV and HC2. Primary physician-HC2, physician-careHPV and self-careHPV and in
sequential screening with cytology, VIA, or increased HPV test-positivity
performance, stratified by age, were assessed for cervical intraepithelial
neoplasia (CIN) grade 2/3 or worse (CIN2/3+) detection. Results: The
sensitivities and specificities of primary HPV testing for CIN2+ were: 83.8%,
88.1% for physician-careHPV; 72.1%, 88.2% for self-careHPV; and 97.1%, 86.0% for
HC2. Physician-careHPV test-positive women with VIA triage had a sensitivity of
30.9% for CIN2+ versus 80.9% with cytology triage. Self-careHPV test-positive
women with VIA triage was 26.5% versus 66.2% with cytology triage. The
sensitivity of HC2 test-positive women with VIA triage was 38.2% versus 92.6%
with cytology triage. The sensitivity of physician-careHPV testing for CIN2+
decreased from 83.8% at >=1.0 RLU/CO to 72.1% at >=10.00 RLU/CO, while the
sensitivity of self-careHPV testing decreased from 72.1% at >=1.0 RLU/CO to 32.4%
at >=10.00 RLU/CO; similar trends were seen with age-stratification. Conclusions:
VIA and cytology triage improved specificity for CIN2/3 than no triage.
Sensitivity with VIA triage was unsuitable for a mass-screening program. VIA
provider training might improve this strategy. Cytology triage could be feasible
where a high-quality cytology program exists. Triage of HPV test-positive women
by increased test positivity cutoff adds another LRS triage option.
PMID- 29353973
TI - Prognostic evaluation of postoperative adjuvant therapy for operable cervical
cancer: 10 years' experience of National Cancer Center in China.
AB - Objective: The aim of this study was to investigate the prognostic factors and to
evaluate the impact of adjuvant therapy on clinical outcome for early-stage
cervical cancer. Methods: The clinical-pathological data of all 1,335 patients
with the International Federation of Gynecology and Obstetrics (FIGO) Ib-IIa
cervical cancer treated with primary radical surgery at the Chinese National
Cancer Center between May 2007 and Dec 2013 were retrospectively reviewed. The
median follow-up was 70 months. Results: Of all the patients, 61.6% of the cases
received adjuvant therapy, with 5-year disease-free survival (DFS) of 92.1% and 5
year overall survival (OS) of 95.0%. In multivariate analysis, differentiation of
G3 (P<0.05), lymph node metastasis (LNM, P<0.05) and lymphovascular space
invasion (LVSI, P<0.05) were independent predictors for OS, while LNM (P<0.05),
deep stroma invasion (DSI, P<0.05) and LVSI (P<0.05) were independent factors for
DFS. The samples were stratified by histologic type, and cervical squamous cell
carcinoma (SCC) was found to share the same independent factors except for
differentiation of OS. As to patients with cervical adenocarcinoma/adenosquamous
carcinoma (AC/ASC), differentiation was the independent predictor of OS (P<0.05);
and LVSI of DFS (P<0.05). Of 236 patients with high-risk factors, there was no
significant difference in survival between concurrent chemoradiotherapy (CCRT,
n=195), radiotherapy (RT, n=24), and chemotherapy (CT, n=17). Among the 190
patients with LNM who underwent CCRT, 124 cases showed improved DFS after
sequential CT (P=0.118), with a recurrence rate decrease of 14%, though the
difference was not statistically significant. Patients with single intermediate
risk factors like DSI or LVSI were found to partially benefit from adjuvant
therapy, but the difference was not statistically significant. Conclusions: LNM,
LVSI, DSI and differentiation were found to be independent prognostic factors for
operable cervical cancer. Aggressive postoperative adjuvant therapy based on
single risk factors in Chinese National Cancer Center could benefit survival.
CCRT+CT outperformed CCRT in high-risk patients. For patients with single non
high-risk factor, the role of adjuvant therapy needs to be further discussed.
PMID- 29353975
TI - Debulking surgery and hyperthermic intrathoracic chemotherapy (HITHOC) for lung
cancer.
PMID- 29353974
TI - Outcome and prognostic factors in 110 consecutive patients with primary uterine
leiomyosarcoma: A Rare Cancer Network study.
AB - Objective: Primary uterine leiomyosarcomas (ULMS) are rare, and the optimal
treatment is controversial. We aimed to assess the outcome and prognostic factors
in a multicenter population of women treated for primary ULMS. Methods: We
retrospectively collected data of 110 women treated in 19 institutions of the
Rare Cancer Network (RCN). Inclusion criteria consisted of a pathology report
confirming the diagnosis of ULMS, aged 18-80 years, complete International
Federation of Gynecology and Obstetrics (FIGO) stage information, complete
information on treatment, and a minimum follow-up of 6 months. Local control (LC)
and locoregional control (LRC), overall survival (OS) and disease-free survival
(DFS) rates were computed using the Kaplan-Meier method. Univariate analysis was
implemented using the log rank test, and multivariate analysis using the Cox
model. Results: All patients underwent surgery. Seventy-five patients (68%)
received adjuvant radiotherapy (RT), including brachytherapy in 18 (16%).
Seventeen patients (15%) received adjuvant chemotherapy. Median follow-up was 58
(range, 6-240) months. Five-year OS and DFS rates were 50% and 34%, and LC and
LRC rates were 88% and 72%, respectively. On multivariate analysis, independent
favorable prognostic factors were younger age, FIGO stage I, small tumor size,
previous uterine disease, and no vascular invasion for OS and DFS. FIGO stage was
the only favorable factor influencing LRC. Adjuvant local or systemic treatments
did not improve the outcomes. Eight patients treated with RT presented a grade 3
acute toxicity, and only one patient with grade 3 late toxicity. Conclusions: In
this large population of primary ULMS patients, we found good results in terms of
LC and LRC. Nevertheless, OS remains poor, mainly due to the occurrence of
distant metastases. An early diagnosis seemed to improve the prognosis of the
patients. Adjuvant local or systemic treatments, or more aggressive surgical
procedures such as the Wertheim procedure, did not seem to impact the outcome.
PMID- 29353976
TI - Targeted therapy for leptomeningeal metastases in non-small cell lung cancer -
Changing treatment paradigms.
AB - Leptomeningeal metastasis is an uncommon but serious complication in patients
with advanced cancers. Leptomeningeal metastasis is diagnosed in approximately 5%
of the patients, most commonly among patients with cancers of breast and lung,
melanoma, and gastrointestinal malignancies. Treatment goal is to improve
survival and quality of the patients. Use of targeted therapies and immunotherapy
has led to improved survival of patients with non-small cell lung cancer (NSCLC).
In this article, we review emerging data on use of mutation-specific agents and
immunotherapy in the treatment of leptomeningeal metastasis among patients with
NSCLC.
PMID- 29353977
TI - Uncommon EGFR mutations in a cohort of Chinese NSCLC patients and outcomes of
first-line EGFR-TKIs and platinum-based chemotherapy.
AB - Objective: Data on the clinical activity of epidermal growth factor receptor
(EGFR) tyrosine kinase inhibitors (TKIs) in patients with non-small-cell lung
cancer (NSCLC) and uncommon EGFR mutations remain insufficient. This study aimed
to investigate the effect of first-line EGFR-TKIs or platinum-based chemotherapy
in NSCLC patients with uncommon EGFR mutations. Methods: We retrospectively
enrolled 504 patients with EGFR-mutant NSCLC. The clinical characteristics and
treatment outcomes were collected and compared between patients with common and
uncommon EGFR-mutant NSCLC. Results: Seventy patients (13.9%) harboring uncommon
EGFR mutations were included. Thirty of these patients received EGFR-TKIs and 40
received platinum-based chemotherapy as first-line therapy. The objective
response rate (ORR) and median progression-free survival (mPFS) of patients
treated with TKIs in the uncommon mutation group was significantly inferior to
that in the common mutation group (ORR: 23.3% vs. 51.8%, P=0.003; mPFS: 7.1 vs.
10.9 months, P<0.001). In the uncommon group, mPFS was similar between first-line
EGFR-TKIs treatment and platinum-based chemotherapy (7.1vs. 6.1 months, P=0.893).
In patients with EGFR G719X or L861Q mutations, the mPFS was longer in the first
line EGFR-TKIs treatment group than in the chemotherapy group, but the difference
was not statistically significant (G719X: 8.2 vs. 5.8 months, P=0.061; L861Q: 7.6
vs. 4.1 months, P=0.872). Multivariate analyses identified adenocarcinoma
(P=0.003) as the independent predictive factor for PFS in patients with uncommon
EGFR mutations who were treated with first-line EGFR-TKIs. Conclusions: The
current study demonstrated that the effect of first-line EGFR-TKIs was similar to
that of platinum-based chemotherapy in patients with uncommon EGFR-mutant NSCLC.
Adenocarcinoma was the independent predictive factor for PFS in uncommon EGFR
mutant NSCLC patients treated with first-line EGFR-TKIs.
PMID- 29353978
TI - Survival difference between EGFR Del19 and L858R mutant advanced non-small cell
lung cancer patients receiving gefitinib: a propensity score matching analysis.
AB - Objective: Although superior clinical benefits of epidermal growth factor
receptor (EGFR) tyrosine kinase inhibitors (TKIs) in the treatment of advanced
non-small-cell lung cancer (NSCLC) had been reported, the survival difference
between exon 19 deletion (Del19) and exon 21 Leu858Arg substitution (L858R)
remains controversial. The purpose of this study is to investigate the
differences in progression-free survival (PFS) and overall survival (OS) between
different EGFR mutant subtypes among advanced NSCLC patients receiving gefitinib.
Methods: There were 204 advanced NSCLC patients with EGFR mutations treated with
gefitinib were enrolled in this retrospective cohort study. Patients were divided
into the EGFR Del19 group and the L858R mutated group according to their mutant
subtype. Propensity score matching (PSM) was conducted by using a nearest
neighbor algorithm (1:1) to adjust for demographical and clinical covariates.
Survival curves were constructed with the Kaplan-Meier method and compared by
using the log-rank test. Results: The PFS in Del19 group was similar to that in
the L858R group [before PSM 8.6 vs. 7.2 months, P=0.072; after PSM 7.3 vs. 7.2
months, P=0.155]. No differences were detected in OS between the L858R and the
Del19 group (before PSM 17.8 vs. 13.1 months, P=0.253; after PSM 16.9 vs. 13.1
months, P=0.339). The Del19 group was significantly younger compared with the
L858R mutation group in age (P=0.015). Conclusions: No significant difference was
found in the PFS or OS between the Del19 and L858R mutant NSCLC patients
receiving gefitinib. The age gap might contribute to the survival differences
between Del19 and L858R groups. PSM is of important value to the elimination of
potential bias.
PMID- 29353979
TI - Prognostic value of pre- and post-transplantation 18F-fluorodeoxyglucose positron
emission tomography results in non-Hodgkin lymphoma patients receiving autologous
stem cell transplantation.
AB - Objective: High-dose chemotherapy (HDC) followed by autologous stem cell
transplantation (ASCT) is the standard of care in the upfront or
relapsed/refractory setting in some patients with non-Hodgkin lymphoma (NHL).
However, a proportion of patients do not respond to ASCT. 18F-fluorodeoxyglucose
(FDG) positron emission tomography (PET)/computed tomography (CT) has been widely
used for staging, response evaluation, and prognosis prediction. Here, we
investigated the prognostic role of PET/CT in NHL patients before and after ASCT.
Methods: A retrospective study was conducted at Peking University Cancer
Hospital. All NHL patients who underwent ASCT between March 2010 and July 2016
were identified. Patients who had PET/CT scan before and after ASCT were
included. Deauville criteria (5-point scale) were used to interpret PET scans.
Univariate and multivariate survival analyses were performed using Cox
regression. The predictive value of PET scanning was estimated by comparing the
area under the receiver operating characteristic (ROC) curve. Results: In total,
79 patients were enrolled in this study. In univariate analysis, pre- and post
ASCT PET result was identified as prognostic factors for 3-year progression-free
survival (PFS) and overall survival (OS). Patients with negative pre-ASCT PET
result demonstrated significantly better PFS (84.2% vs. 54.2%) and OS (89.2% vs.
63.6%) than patients with positive pre-ASCT PET result. PFS (91.6% vs. 25.3%) and
OS (96.5% vs. 36.8%) were also significantly different between patients with
negative and positive post-ASCT PET result. Multivariate analysis also showed a
significant association between survival and post-ASCT PET result. ROC analysis
revealed that the predictive value of post-ASCT PET result was superior to that
of pre-ASCT PET result alone. Combined pre- and post-ASCT PET result is better
for predicting outcomes in patients with NHL receiving transplantation. Deauville
criteria score >3 was identified as the best cutoff value for post-ASCT PET.
Conclusions: Post-ASCT PET result was more important than pre-ASCT PET result in
predicting outcomes for NHL patients who underwent ASCT. The prognostic
significance can be improved when combining pre-ASCT PET result with post-ASCT
PET result. Deauville criteria can be used for interpreting PET scans in this
scenario.
PMID- 29353980
TI - HOXC10 up-regulation promotes gastric cancer cell proliferation and metastasis
through MAPK pathway.
AB - Objective: As an important regulator of embryonic morphogenesis, homeodomain
containing gene 10 (HOXC10) has been found to promote progression of human
cancers and its expression indicates poor survival outcome. However, very few
studies are available on the role of HOXC10 in gastric carcinoma. Therefore, the
aim of this study was to determine the role of HOXC10 in gastric cancer and the
potential mechanism underlying its function for cancer biology. Methods: A
primary gastric cancer mouse model was obtained via intra-gastric wall injection
of gastric cancer cells and was used to evaluate the function of HOXC10 during
gastric cancer progression in vivo. Immunohistochemistry was performed to
visualize and measure HOXC10 protein expression in gastric cancer tissue. Cells
were transfected with plasmids to increase the expression of HOXC10, and siRNA
transfection was performed to suppress HOXC10 expression. Reverse transcription
polymerase chain reaction (RT-PCR) and western blotting were utilized to measure
mRNA and protein expression, respectively. Proliferation, migration, and invasion
were investigated using 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide (MTT) assay, wound healing assay, and matrigel invasion assay in vitro,
respectively. Results: HOXC10 expression was significantly increased in gastric
cancer tissues compared to matched normal tissues. HOXC10 up-regulation
significantly increased tumor volumes in nude mice. Plasmid transfection
significantly increased HOXC10 protein and mRNA expressions and effectively
promoted cell proliferation. Moreover, HOXC10 up-regulation significantly
promoted migration and invasion of gastric cancer cells. Mechanistic
investigation showed that HOXC10 up-regulation significantly increased mRNA and
protein expression of mitogen-activated protein kinase (MAPK) signaling related
genes, including c-myc, c-jun and p53, while also modulating the phosphorylation
of c-Jun N-terminal kinase (JNK), extracellular signal-regulated kinase (ERK) and
P38 but not their total protein levels. Conclusions: This study demonstrated the
tight link between HOXC10 and gastric cancer cell proliferation and metastasis
via involvement of the MAPK pathway.
PMID- 29353982
TI - Remarkably different results between two studies from North America on genomic
mutations and sensitivity to DNA demethylating agents for myelodysplastic
syndromes.
PMID- 29353981
TI - Retrospective analysis of interventional treatment of hepatic metastasis from
gastroenteropancreatic neuroendocrine tumors.
AB - Objective: To analyze the angiography appearance of liver metastases from
gastroenteropancreatic neuroendocrine tumors (GEP-NETs), and evaluate the
clinical efficacy and prognostic factors of interventional treatment for hepatic
metastases. Methods: Fifty GEP-NETs patients with hepatic metastases were treated
from January 2012 to December 2016, and received transarterial embolization (TAE)
in the hepatic tumor or hepatic arterial infusion chemotherapy (HAIC). All
patients received 179 times of the intervention therapy in total. Results: Blood
supplies were identified in the 50 cases with angiography, which showed that 35
cases had abundant vessels, while 15 cases had poor blood supply. Twenty-two
cases were found either collateral blood supply, or portal vein invasion or
arterial-portal vein fistula. The best curative efficacy was complete remission
(CR) in 4 cases, partial remission (PR) in 28 cases and stable disease (SD) in 18
cases during the process of treatment. The angiography (P=0.047) and the
frequency of intervention (P=0.037) showed significantly statistical difference
with Kaplan-Meier analysis. The Cox analysis showed that more than 3 times of
interventional therapy was an independent prognostic factor. Conclusions:
Interventional treatment is safe and effective for GEP-NETs, and is beneficial to
patients with main hepatic metastases after endocrine therapy.
PMID- 29353983
TI - Neuronal nitric oxide synthase in the lower reproductive tract of female
Drosophila.
PMID- 29353984
TI - Femtosecond Laser Eyewear Protection: Measurements and Precautions.
AB - Ultrafast laser systems are becoming more widespread throughout the research and
industrial communities yet eye protection for these high power, bright pulsed
sources still require scrupulous characterization and testing before use.
Femtosecond lasers, with pulses naturally possessing broad-bandwidth and high
average power with variable repetition rate, can exhibit spectral side-bands and
subtly changing center wavelengths, which may unknowingly affect eyewear safety
protection. Pulse spectral characterization and power diagnostics are presented
for a 80 MHz, Ti+3:Sapphire, ~ 800 nm, ~40 femtosecond oscillator system. Power
and spectral transmission for 22 test samples are measured to determine whether
they fall within manufacturer specifications.
PMID- 29353986
TI - The Influence of Client Risks and Treatment Engagement on Recidivism.
AB - The current study modeled 12 month post-release re-arrest (recidivism) in terms
of pretreatment risk factors (i.e., criminal history, criminal thinking,) and
during-treatment engagement in a sample of 653 subjects admitted to four prison
based substance treatment programs. Structural Equation Modeling was used to test
during-treatment engagement as a mediator variable in explaining the relationship
between the pretreatment risk factors and recidivism. Results indicated that (1)
a long history of criminal conduct correlated with criminal thinking, which in
turn had a significantly negative relationship with engagement in treatment; (2)
the level of criminal involvement had a significant relationship with re-arrest,
whereas the level of criminal thinking did not influence being re-arrested
directly; (3) the relationship between criminal history and re-arrest was
partially mediated by criminal thinking and treatment engagement, whereas the
relationship between criminal thinking and re-arrest was fully mediated by
treatment engagement. The findings suggest that it is important to design
interventions targeting criminal thinking and monitor treatment engagement as an
indicator of treatment performance. Clinical implications also include the
importance of facilitating treatment engagement and the utility of conducting
prognostic assessment to inform treatment.
PMID- 29353985
TI - Dietary Effects on Cognition and Pilots' Flight Performance.
AB - The purpose of this study was to investigate the effects of diet on cognition and
flight performance of 45 pilots. Based on a theory of self-care, this clinical
study used a repeated-measure, counterbalanced crossover design. Pilots were
randomly rotated through 4-day high-carbohydrate, high-protein, high-fat, and
control diets. Cognitive flight performance was evaluated using a GAT-2 full
motion flight simulator. The Sternberg short-term memory test and Vandenberg's
mental rotation test were used to validate cognitive flight test results. Pilots
consuming a high-protein diet had significantly poorer (p < .05) overall flight
performance scores than pilots consuming high-fat and high-carbohydrate diets.
PMID- 29353988
TI - An individualized super-Gaussian single microphone Speech Enhancement for hearing
aid users with smartphone as an assistive device.
AB - In this letter, we derive a new super Gaussian Joint Maximum a Posteriori
(SGJMAP) based single microphone speech enhancement gain function. The developed
Speech Enhancement method is implemented on a smartphone, and this arrangement
functions as an assistive device to hearing aids. We introduce a "tradeoff"
parameter in the derived gain function that allows the smartphone user to
customize their listening preference, by controlling the amount of noise
suppression and speech distortion in real-time based on their level of hearing
comfort perceived in noisy real world acoustic environment. Objective quality and
intelligibility measures show the effectiveness of the proposed method in
comparison to benchmark techniques considered in this paper. Subjective results
reflect the usefulness of the developed Speech Enhancement application in real
world noisy conditions at signal to noise ratio levels of -5 dB, 0 dB and 5 dB.
PMID- 29353987
TI - Acoustical Method of Whole-Body Hydration Status Monitoring.
AB - An acoustical handheld hydration monitor (HM) for assessing the water balance of
the human body was developed. Dehydration is a critical public health problem.
Many elderly over age of 65 are particularly vulnerable as are infants and young
children. Given that dehydration is both preventable and reversible, the need for
an easy-to-perform method for the detection of water imbalance is of the utmost
clinical importance. The HM is based on an experimental fact that ultrasound
velocity in muscle is a linear function of water content and can be referenced to
the hydration status of the body. Studies on the validity of HM for the
assessment of whole-body hydration status were conducted in the Appalachian State
University, USA, on healthy young adults and on elderly subjects residing at an
assisted living facility. The HM was able to track changes in total body water
during periods of acute dehydration and rehydration in athletes and day-to-day
and diurnal variability of hydration in elderly. Results of human studies
indicate that HM has a potential to become an efficient tool for detecting
abnormal changes in the body hydration status.
PMID- 29353989
TI - Process Safety Assessment of the Iron-Catalyzed Direct Olefin Diazidation for the
Expedient Synthesis of Vicinal Primary Diamines.
AB - We report herein a process safety assessment of the iron-catalyzed direct olefin
diazidation for the preparation of a broad range of synthetically valuable
vicinal primary diamines. Differential scanning calorimetry analysis of the
corresponding reagents, intermediates, and a list of representative
diazide/diaminium salt products revealed that all of them are thermal stable at
the reaction temperature. The drop weight test of the diazides suggested that
they are moderately impact-sensitive. Guided by this assessment, an optimized
olefin diazidation/diamination procedure has been developed which allows for the
gram-scale diaminium salt synthesis without purification of the diazide
intermediate.
PMID- 29353990
TI - Fabrication of An Inexpensive but Effective Colonoscopic Simulator.
AB - Because of increasing requirements for simulator training before actual clinical
endoscopies, the demand for realistic, inexpensive endoscopic simulators is
increasing. We describe the steps involved in the design and fabrication of an
effective and realistic mechanical colonoscopic simulator.
PMID- 29353991
TI - Trends in Austrian Resource Efficiency: An Exergy and Useful Work Analysis in
Comparison to Material Use, CO2 Emissions, and Land Use.
AB - In the past few years, resource use and resource efficiency have been implemented
in the European Union (EU) environmental policy programs as well as international
sustainable development programs. In their programs, the EU focuses on four
resource types that should be addressed: materials, energy (or carbon dioxide
[CO2] emissions), water, and land. In this article, we first discuss different
perspectives on energy use and present the results of a long-term exergy and
useful work analysis of the Austrian economy for the period 1900-2012, using the
methodology developed by Ayres and Warr. Second, we discuss Austrian resource
efficiency by comparing the presented exergy and useful work data with material
use, CO2 emissions, and land-use data taken from statistical sources. This
comparison provides, for the first time, a long-term analysis of Austrian
resource efficiency based on a broad understanding thereof and evaluates Austrian
development in relation to EU and Austrian policy targets.
PMID- 29353993
TI - Announcement of New Deputy Editor.
PMID- 29353994
TI - Imaging Features of Inflammatory Breast Disorders: A Pictorial Essay.
AB - Inflammatory breast disorders include a wide array of underlying causes, ranging
from common benign infection, non-infectious inflammation and inflammation
resulting from underlying breast malignancy. Because it is at times difficult to
distinguish mastitis and breast cancer based on clinical features, awareness of
detailed imaging features may be helpful for better management of inflammatory
breast disorders. Therefore, this pictorial essay intends to demonstrate
radiologic findings of a variety of inflammatory breast disorders, using selected
cases with mammography, ultrasound and magnetic resonance images.
PMID- 29353995
TI - Carotid Plaque Stiffness Measured with Supersonic Shear Imaging and Its
Correlation with Serum Homocysteine Level in Ischemic Stroke Patients.
AB - Objective: To ascertain the feasibility of using shear wave velocity (SWV) in
assessing the stiffness of carotid plaque by supersonic shear imaging (SSI) and
explore preliminary clinical value for such evaluation. Materials and Methods:
Supersonic shear imaging was performed in 142 patients with ischemic stroke,
including 76 males and 66 females with mean age of 66 years (range, 45-80 years).
The maximum, minimum, and mean values of SWV were measured for 129 carotid
plaques. SWVs were compared between echolucent and echogenic plaques.
Correlations between SWVs and serum homocysteine levels were investigated. Based
on neurological symptom, the surrogate marker of vulnerable plaque (VP), binary
logistic regression was performed and area under curve (AUC) of homocysteine only
and homocysteine combing SWVmean was calculated respectively. Results: Echogenic
plaques (n = 51) had higher SWVs than echolucent ones (n = 78) (SWVmin 3.91 [3.24
4.17] m/s vs. 1.51 [1.04-1.94] m/s; SWVmean, 4.29 [3.98-4.57] m/s vs. 2.09 [1.69
2.41] m/s; SWVmax, 4.67 [4.33-4.86] m/s vs. 2.62 [2.32-3.31] m/s all p values <
0.01). Pearson correlation analysis showed that stiffness of plaques was
negatively correlated with homocysteine level. R values for SWVmin, SWVmean, and
SWVmax were -0.205, -0.213, and -0.199, respectively. Binary logistic regression
analysis showed that sex (p = 0.008), low-density lipoprotein (p = 0.015),
triglycerides (p = 0.011), SWVmean (p = 0.004), and hyper-homocysteinemia (p =
0.010) were significantly associated with symptomatic ischemic stroke. Receiver
operating characteristic curves revealed that SWVmean combing serum homocysteine
level (AUC = 0.67) presented better diagnostic value than serum homocysteine only
(AUC = 0.60) for symptomatic ischemic stroke. Conclusion: Supersonic shear
imaging could be used to quantitatively evaluate stiffness of both echolucent and
echogenic carotid plaques. More importantly, SWVs of plaques were not only
correlated to serum homocysteine level, but also associated with symptomatic
ischemic stroke, suggesting that SSI might be useful for understanding more about
VP.
PMID- 29353996
TI - Comparison of Chest Pain Protocols for Electrocardiography-Gated Dual-Source
Cardiothoracic CT in Children and Adults: The Effect of Tube Current Saturation
on Radiation Dose Reduction.
AB - Objective: To compare radiation doses between conventional and chest pain
protocols using dual-source retrospectively electrocardiography (ECG)-gated
cardiothoracic computed tomography (CT) in children and adults and assess the
effect of tube current saturation on radiation dose reduction. Materials and
Methods: This study included 104 patients (16.6 +/- 7.7 years, range 5-48 years)
that were divided into two groups: those with and those without tube current
saturation. The estimated radiation doses of retrospectively ECG-gated spiral
cardiothoracic CT were compared between conventional, uniphasic, and biphasic
chest pain protocols acquired with the same imaging parameters in the same
patients by using paired t tests. Dose reduction percentages, patient ages,
volume CT dose index values, and tube current time products per rotation were
compared between the two groups by using unpaired t tests. A p value < 0.05 was
considered significant. Results: The volume CT dose index values of the biphasic
chest pain protocol (10.8 +/- 3.9 mGy) were significantly lower than those of the
conventional protocol (12.2 +/- 4.7 mGy, p < 0.001) and those of the uniphasic
chest pain protocol (12.9 +/- 4.9 mGy, p < 0.001). The dose-saving effect of
biphasic chest pain protocol was significantly less with a saturated tube current
(4.5 +/- 10.2%) than with unsaturated tube current method (14.8 +/- 11.5%, p <
0.001). In 76 patients using 100 kVp, patient age showed no significant
differences between the groups with and without tube current saturation in all
protocols (p > 0.05); the groups with tube current saturation showed
significantly higher volume CT dose index values (p < 0.01) and tube current time
product per rotation (p < 0.001) than the groups without tube current saturation
in all protocols. Conclusion: The radiation dose of dual-source retrospectively
ECG-gated spiral cardiothoracic CT can be reduced by approximately 15% by using
the biphasic chest pain protocol instead of the conventional protocol in children
and adults if radiation dose parameters are further optimized to avoid tube
current saturation.
PMID- 29353997
TI - Left Gastric Vein Visualization with Hepatopetal Flow Information in Healthy
Subjects Using Non-Contrast-Enhanced Magnetic Resonance Angiography with Balanced
Steady-State Free-Precession Sequence and Time-Spatial Labeling Inversion Pulse.
AB - Objective: To selectively visualize the left gastric vein (LGV) with hepatopetal
flow information by non-contrast-enhanced magnetic resonance angiography under a
hypothesis that change in the LGV flow direction can predict the development of
esophageal varices; and to optimize the acquisition protocol in healthy subjects.
Materials and Methods: Respiratory-gated three-dimensional balanced steady-state
free-precession scans were conducted on 31 healthy subjects using two methods (A
and B) for visualizing the LGV with hepatopetal flow. In method A, two time
spatial labeling inversion pulses (Time-SLIP) were placed on the whole abdomen
and the area from the gastric fornix to the upper body, excluding the LGV area.
In method B, nonselective inversion recovery pulse was used and one Time-SLIP was
placed on the esophagogastric junction. The detectability and consistency of LGV
were evaluated using the two methods and ultrasonography (US). Results: Left
gastric veins by method A, B, and US were detected in 30 (97%), 24 (77%), and 23
(74%) subjects, respectively. LGV flow by US was hepatopetal in 22 subjects and
stagnant in one subject. All hepatopetal LGVs by US coincided with the visualized
vessels in both methods. One subject with non-visualized LGV in method A showed
stagnant LGV by US. Conclusion: Hepatopetal LGV could be selectively visualized
by method A in healthy subjects.
PMID- 29353998
TI - Diffusion-Weighted MRI for the Initial Viability Evaluation of Parasites in
Hepatic Alveolar Echinococcosis: Comparison with Positron Emission Tomography.
AB - Objective: More than 70% of hepatic alveolar echinococcosis (HAE) are inoperable.
Thus, long-term, or even life-long, pharmacological treatment with benzimidazoles
is necessary. For effective treatment, it is of great importance to employ
imaging techniques to detect and monitor the non-resectable parasitic viability.
Therefore, this study aimed to evaluate diffusion-weighted imaging (DWI) in
assessing the viability of HAE in comparison to 18-fluoro-deoxyglucose (18F-FDG)
positron emission tomography, combined with computed tomography (PET/CT).
Materials and Methods: Positron emission tomography, computed tomography and DWI
(b-values: 0, 800 s/mm2) were retrospectively analysed in eight patients with
clinically-verified HAE to, generate the apparent diffusion coefficient (ADC)
map. The activity of HAE lesions in both techniques were determined independently
by two radiologists according to the following standard: (+), marked focally or
perilesionally increased FDG uptake/high signal intensity; (-), a hepatic defect
without FDG uptake/no high signal intensity. Every lesion's maximum standardized
uptake value (SUVmax) on the PET/CT images and mean ADC values on the parametric
ADC maps were measured respectively. Results of PET/CT and DWI were compared on a
per-lesion-basis. Pearson's correlation coefficient was assessed for statistical
analysis. Results: A total of 14 HAE lesions were detected. Eight lesions
(diameter 3-15 cm) showed perilesional hyper-signal intensity on DWI. This was
visualised on PET/CT as increased FDG uptake. They mainly existed in the lesion's
border with normal liver parenchyma. Five lesions (diameter < 2 cm) were detected
as nodular hyperintensity on DWI and a 'hot spot' on PET/CT in the same
distribution. One patient, who had received oral drug therapy for three years
showed significantly decreased perilesional hyperintensity on the DWI and a
hepatic defect without any FDG uptake on PET/CT. Pearson's correlation
coefficient indicated a significant inverse correlation of the ADC and the SUVmax
(r = -0.67, p < 0.001). Conclusion: Diffusion-weighted imaging is capable of
offering information on visually detecting the HAE lesions' viability and may be
useful for routine application in the initial diagnosis of HAE.
PMID- 29353999
TI - Impact of Pedal Arch Patency on Tissue Loss and Time to Healing in Diabetic
Patients with Foot Wounds Undergoing Infrainguinal Endovascular
Revascularization.
AB - Objective: To retrospectively evaluate the impact of pedal arch quality on tissue
loss and time to healing in diabetic patients with foot wounds undergoing
infrainguinal endovascular revascularization. Materials and Methods: Between
January 2014 and June 2015, 137 consecutive diabetic patients with foot wounds
underwent infrainguinal endovascular revascularization (femoro-popliteal or below
the-knee, arteries). Postprocedural angiography of the foot was used to divide
the patients into the following three groups according to the pedal arch status:
complete pedal arch (CPA), incomplete pedal arch (IPA), and absent pedal arch
(APA). Time to healing and estimated 1-year outcomes in terms of freedom from
minor amputation, limb salvage, and survival were evaluated and compared among
the three groups. Results: Postprocedural angiography showed the presence of a
CPA in 42 patients (30.7%), IPA in 60 patients (43.8%), and APA in 35 patients
(25.5%). Healing within 3 months from the procedure was achieved in 21 patients
with CPA (50%), 17 patients with IPA (28.3%), and in 7 patients with APA (20%) (p
= 0.01). There was a significant difference in terms of 1-year freedom from minor
amputation among the three groups (CPA 84.1% vs. IPA 82.4% vs. APA 48.9%, p =
0.001). Estimated 1-year limb salvage was significantly better in patients with
CPA (CPA 100% vs. IPA 93.8% vs. APA 70.1%, p < 0.001). Estimated 1-year survival
was significantly better in patients with CPA (CPA 90% vs. IPA 80.8% vs. APA
62.7%, p = 0.004). Conclusion: Pedal arch status has a positive impact on time to
healing, limb salvage, and survival in diabetic patients with foot wounds
undergoing infrainguinal endovascular revascularization.
PMID- 29354000
TI - Ultrasound-Guided Intraoperative Radiofrequency Ablation and Surgical Resection
for Liver Metastasis from Malignant Gastrointestinal Stromal Tumors.
AB - Objective: To evaluate the effectiveness, safety, and feasibility of
intraoperative radiofrequency ablation (IORFA) under ultrasound guidance for the
treatment of liver metastases from gastrointestinal stromal tumors (GISTs).
Materials and Methods: From August 2009 to February 2017, 24 patients with liver
metastases of GISTs underwent IORFA, 14 underwent concurrent IORFA and primary
GIST resection, and 10 underwent IORFA to treat hepatic recurrence after previous
primary GIST resection. Seventy-six hepatic metastases were treated, of which 47
were surgically resected and 29 underwent IORFA. All included patients received
imatinib therapy as standard treatment before and after IORFA or surgical
resection. A retrospective medical record review was conducted, and follow-up
data were collected. Technical success and effectiveness, overall and GIST
specific survival, and complications were assessed. Results: The mean follow-up
duration was 50.7 +/- 34.7 months. The technical success rate of IORFA was 100%.
New metastases developed in three of the 24 patients (12.5%) following a complete
response 16, 51, and 95 months after IORFA, respectively. The cumulative one-,
three-, and five-year overall survival rates were 100, 94.4, and 87.7%,
respectively. The one-, three-, and five-year GIST-related survival rates were
100, 94.4, and 94.4%, respectively. Two major complications (biliary stricture
and hepatic abscess) were observed. Conclusion: IORFA appears to be a feasible
and safe treatment option for liver metastasis in patients with primary GISTs. In
addition, IORFA and surgical resection may be complementary, helping to obtain
complete response in cases of otherwise inoperable liver metastases secondary to
GISTs.
PMID- 29354001
TI - The Association between the Magnetic Resonance Imaging Findings of Adhesive
Capsulitis and Shoulder Muscle Fat Quantification Using a Multi-Echo Dixon
Method.
AB - Objective: To investigate the association between the magnetic resonance imaging
(MRI) findings of adhesive capsulitis and shoulder muscle fat percentages using a
multi-echo Dixon method. Materials and Methods: Twenty-four patients with
clinical diagnoses of adhesive capsulitis and either intact rotator cuffs or
Ellman grade 1 partial tears as indicated by MRI scans were included. Two
radiologists independently evaluated MRI scans of adhesive capsulitis as follows:
presence or absence of axillary recess capsular and extracapsular
hyperintensities; thickness of the coracohumeral ligament; thickness of abnormal
rotator interval soft tissue; and thickness of glenoidal/humeral axillary recess
capsules. Fat quantifications of the supraspinatus, infraspinatus, teres minor,
subscapularis, teres major and posterior deltoid muscles were performed using
multi-echo Dixon imaging at three locations. Inter-rater agreement was assessed.
Differences in fat percentages were assessed and correlations between fat
percentages and quantitative measurements were evaluated. Results: The fat
percentage of the supraspinatus was significantly higher in patients with
extracapsular hyperintensity (present, 3.00 +/- 1.74%; absent, 1.81 +/- 0.80%; p
= 0.022). There were positive correlations between the fat percentage of the
teres minor and the thicknesses of the abnormal rotator interval soft tissue (r =
0.494, p = 0.014) and the glenoidal axillary recess capsule (r = 0.475, p =
0.019). After controlling for the effects of age, sex and clinical stage, the
relationship between the teres minor fat percentage and the thickness of the
abnormal rotator interval soft tissue was statistically significant (r = 0.384, p
= 0.048). Inter-rater agreement was almost perfect for fat quantification
(intraclass correlation coefficients [ICC] > 0.9) and qualitative analyses (k =
0.824), but were variable for quantitative measurements (ICC, 0.170-0.606).
Conclusion: Several MRI findings of adhesive capsulitis were significantly
related to higher fat percentages of shoulder muscles.
PMID- 29354002
TI - Cerebrospinal Fluid Dynamics in Patients with Multiple Sclerosis: The Role of
Phase-Contrast MRI in the Differential Diagnosis of Active and Chronic Disease.
AB - Objective: Multiple sclerosis (MS) is an inflammatory disease characterized by
demyelinating plaques in the white matter. Chronic cerebrospinal venous
insufficiency (CCSVI) has been proposed as a new hypothesis for the
etiopathogenesis of MS disease. MS-CCSVI includes a significant decrease of
cerebrospinal fluid (CSF) flow through the cerebral aqueduct secondary to an
impaired venous outflow from the central nervous system. This study aimed to
determine whether CSF flow dynamics are affected in MS patients and the
contributions to differential diagnosis in active and chronic disease using phase
contrast magnetic resonance imaging (PC-MRI). Materials and Methods: We studied
16 MS patients with chronic plaques (group 1), 16 MS patients with active plaques
enhanced on MRI (group 2), and 16 healthy controls (group 3). Quantitatively
evaluation of the CSF flow was performed from the level of the cerebral aqueduct
by PC-MRI. According to heart rates, 14-30 images were obtained in a cardiac
cycle. Cardiac triggering was performed prospectively using finger
plethysmography. Results: No statistically significant difference was found
between the groups regarding average velocity, net forward volume and the average
flow (p > 0.05). Compared with the controls, group 1 and group 2, showed a higher
peak velocity (5.5 +/- 1.4, 4.9 +/- 1.0, and 4.3 +/- 1.3 cm/sec, respectively; p
= 0.040), aqueductal area (5.0 +/- 1.3, 4.1 +/- 1.5, and 3.1 +/- 1.2 mm2,
respectively; p = 0.002), forward volume (0.039 +/- 0.016, 0.031 +/- 0.013, and
0.021 +/- 0.010 mL, respectively; p = 0.002) and reverse volume (0.027 +/- 0.016,
0.018 +/- 0.009, and 0.012 +/- 0.006 mL, respectively; p = 0.000). There were no
statistical significance between the MS patients with chronic plaques and active
plaques except for reverse volume. The MS patients with chronic plaques showed a
significantly higher reverse volume (p = 0.000). Conclusion: This study indicated
that CSF flow is affected in MS patients, contrary to the hypothesis that CCSVI
induced CSF flow decreases in MS patients. These findings may be explained by
atrophy-dependent ventricular dilatation, which may occur at every stage of MS.
PMID- 29354003
TI - Diffusion-Weighted MR Imaging of Unicystic Odontogenic Tumors for Differentiation
of Unicystic Ameloblastomas from Keratocystic Odontogenic Tumors.
AB - Objective: Differentiating unicystic ameloblastomas from keratocystic odontogenic
tumors (KCOT) is necessary for the planning of different treatment strategies;
however, it is difficult based on conventional CT and MR sequences alone. The
purpose of this study was to investigate the utility of diffusion-weighted
imaging (DWI) and apparent diffusion coefficients (ADCs) in the differentiation
of the two tumors. Materials and Methods: We prospectively studied 40 patients
with odontogenic cysts and tumors of the maxillomandibular region using
conventional MR imaging and DWI. ADCs were measured using 2 b factors (500 and
1000). Results: Unicystic ameloblastomas (n = 11) showed free diffusion on DWI
and a mean ADC value of 2.309 +/- 0.17 * 10-3 mm2/s. KCOT (n = 15) showed
restricted diffusion on DWI with a mean ADC value of 0.923 +/- 0.20 * 10-3 mm2/s.
The ADC values of unicystic ameloblastomas were significantly higher than those
of KCOT (p < 0.001, Mann-Whitney U-test). An ADC cut-off value of 2.0 * 10-3
mm2/s to differentiate KCOT and unicystic ameloblastomas resulted in a 100%
sensitivity and 100% specificity. Dentigerous cysts (n = 3) showed restricted
diffusion on DWI and similar ADC values (1.257 +/- 0.05 * 10-3 mm2/s) to those of
KCOT. Conclusion: Diffusion-weighted imaging and ADC determination can be used as
an adjuvant tool to differentiate between unicystic ameloblastomas and KCOT,
although the ADC values of dentigerous cysts overlap with those of KCOT.
PMID- 29354004
TI - Magnetic Resonance Image Texture Analysis of the Periaqueductal Gray Matter in
Episodic Migraine Patients without T2-Visible Lesions.
AB - Objective: The periaqueductal gray matter (PAG), a small midbrain structure,
presents dysfunction in migraine. However, the precise neurological mechanism is
still not well understood. Herein, the aim of this study was to investigate the
texture characteristics of altered PAG in episodic migraine (EM) patients based
on high resolution brain structural magnetic resonance (MR) images. Materials and
Methods: The brain structural MR images were obtained from 18 normal controls
(NC), 18 EM patients and 16 chronic migraine (CM) patients using a 3T MR system.
A PAG template was created using the International Consortium Brain Mapping 152
gray matter model, and the individual PAG segment was developed by applying the
deformation field from the structural image segment to the PAG template. A grey
level co-occurrence matrix was used to calculate the texture parameters including
the angular second moment (ASM), contrast, correlation, inverse difference moment
(IDM) and entropy. Results: There was a significant difference for ASM, IDM and
entropy in the EM group (998.629 +/- 0.162 * 10-3, 999.311 +/- 0.073 * 10-3,
916.354 +/- 0.947 * 10-5) compared to that found in the NC group (998.760 +/-
0.110 * 10-3, 999.358 +/- 0.037 * 10-3 and 841.198 +/- 0.575 * 10-5) (p < 0.05).
The entropy was significantly lower among the patients with CM (864.116 +/- 0.571
* 10-5) than that found among patients with EM (p < 0.05). The area under the
receiver operating characteristic curve was 0.776 and 0.750 for ASM and entropy
in the distinction of the EM from NC groups, respectively. ASM was negatively
related to disease duration (DD) and the Migraine Disability Assessment Scale
(MIDAS) scores in the EM group, and entropy was positively related to DD and
MIDAS in the EM group (p < 0.05). Conclusion: The present study identified
altered MR image texture characteristics of the PAG in EM. The identified texture
characteristics could be considered as imaging biomarkers for EM.
PMID- 29354005
TI - In Vivo Assessment of Neurodegeneration in Type C Niemann-Pick Disease by IDEAL
IQ.
AB - Objective: To noninvasively assess the neurodegenerative changes in the brain of
patients with Niemann-Pick type C (NPC) disease by measuring the lesion tissue
with the iterative decomposition of water and fat with echo asymmetry and least
square estimation-iron quantification (IDEAL-IQ). Materials and Methods: Routine
brain MRI, IDEAL-IQ and 1H-proton magnetic resonance spectroscopy (1H-MRS, served
as control) were performed on 12 patients with type C Niemann-Pick disease (4
males and 8 females; age range, 15-61 years; mean age, 36 years) and 20 healthy
subjects (10 males and 10 females; age range, 20-65 years; mean age, 38 years).
The regions with lesion and the normal appearing regions (NARs) of patients were
measured and analyzed based on the fat/water signal intensity on IDEAL-IQ and the
lipid peak on 1H-MRS. Results: Niemann-Pick type C patients showed a higher
fat/water signal intensity ratio with IDEAL-IQ on T2 hyperintensity lesions and
NARs (3.7-4.9%, p < 0.05 and 1.8-3.0%, p < 0.05, respectively), as compared to
healthy controls (HCs) (1.2-2.3%). After treatment, the fat/water signal
intensity ratio decreased (2.2-3.4%), but remained higher than in the HCs (p <
0.05). The results of the 1H-MRS measurements showed increased lipid peaks in the
same lesion regions, and the micro-lipid storage disorder of NARs in NPC patients
was detectable by IDEAL-IQ instead of 1H-MRS. Conclusion: The findings of this
study suggested that IDEAL-IQ may be useful as a noninvasive and objective method
in the evaluation of patients with NPC; additionally, IDEAL-IQ can be used to
quantitatively measure the brain parenchymal adipose content and monitor patient
follow-up after treatment of NPC.
PMID- 29354006
TI - Findings of Single-Photon Emission Computed Tomography and Its Relation with
Quantitative Coronary Angiography in Patients with Significant Stenosis of the
Left Main Coronary Artery.
AB - Objective: Unrecognized left main coronary artery disease (LMCD) is often fatal;
however, accuracy of non-invasive tests for diagnosing LMCD is still
unsatisfactory. This study was performed to elucidate single-photon emission
computed tomography (SPECT) detection of LMCD using quantitative coronary
angiography (QCA) data. Materials and Methods: Fifty-five patients (39 men; mean
age, 68.1 +/- 10.9 years) diagnosed with significant left main (LM) stenosis (>=
50%) by invasive coronary angiography (ICA) were retrospectively reviewed. All
study patients underwent SPECT with pharmacologic stress within 30 days of ICA.
All coronary lesions were quantified via QCA, and SPECT findings were compared
with QCA results. Results: Only four patients (7.3%) had isolated LMCD; all
others had combined significant stenosis (>= 70%) of one or more other epicardial
coronary arteries. Patients with more severe coronary artery disease tended to
have higher values for summed difference scores in a greater number of regions,
but the specific pattern was not clearly defined. Summed stress score of SPECT
did not differ according to LM stenosis severity. Only three patients (5.4%) had
a typical LM pattern of reversible perfusion defect on SPECT. A significant
negative linear correlation between stenosis severity and stress perfusion
percent was found in the left anterior descending artery region (r = -0.455, p <
0.001) but not in the left circumflex artery. Conclusion: Single-photon emission
computed tomography findings were heterogeneous, not specific and poorly
correlated to QCA data in patients with significant LMCD. This may be due to
highly prevalent significant stenosis of other epicardial coronary arteries.
PMID- 29354007
TI - Four-Dimensional CT of the Diaphragm in Children: Initial Experience.
AB - Objective: To evaluate the technical feasibility of four-dimensional (4D) CT for
the functional evaluation of the pediatric diaphragm. Materials and Methods: In
22 consecutive children (median age 3.5 months, age range 3 days-3 years), 4D CT
was performed to assess diaphragm motion. Diaphragm abnormalities were
qualitatively evaluated and diaphragm motion was quantitatively measured on 4D
CT. Lung density changes between peak inspiration and expiration were measured in
the basal lung parenchyma. The diaphragm motions and lung density changes
measured on 4D CT were compared between various diaphragm conditions. In 11 of
the 22 children, chest sonography was available for comparison. Results: Four
dimensional CT demonstrated normal diaphragm (n = 8), paralysis (n = 10),
eventration (n = 3), and diffusely decreased motion (n = 1). Chest sonography
demonstrated normal diaphragm (n = 2), paralysis (n = 6), eventration (n = 2),
and right pleural effusion (n = 1). The sonographic findings were concordant with
the 4D CT findings in 90.9% (10/11) of the patients. In diaphragm paralysis, the
affected diaphragm motion was significantly decreased compared with the
contralateral normal diaphragm motion (-1.1 +/- 2.2 mm vs. 7.6 +/- 3.8 mm, p =
0.005). The normal diaphragms showed significantly greater motion than the
paralyzed diaphragms (4.5 +/- 2.1 mm vs. -1.1 +/- 2.2 mm, p < 0.0001), while the
normal diaphragm motion was significantly smaller than the motion of the
contralateral normal diaphragm in paralysis (4.5 +/- 2.1 mm vs. 7.6 +/- 3.8 mm, p
= 0.01). Basal lung density change of the affected side was significantly smaller
than that of the contralateral side in diaphragm paralysis (89 +/- 73 Hounsfield
units [HU] vs. 180 +/- 71 HU, p = 0.03), while no significant differences were
found between the normal diaphragms and the paralyzed diaphragms (136 +/- 66 HU
vs. 89 +/- 73 HU, p = 0.1) or between the normal diaphragms and the contralateral
normal diaphragms in paralysis (136 +/- 66 HU vs. 180 +/- 71 HU, p = 0.1).
Conclusion: The functional evaluation of the pediatric diaphragm is feasible with
4D CT in select children.
PMID- 29354008
TI - Quantitative Image Quality and Histogram-Based Evaluations of an Iterative
Reconstruction Algorithm at Low-to-Ultralow Radiation Dose Levels: A Phantom
Study in Chest CT.
AB - Objective: To describe the quantitative image quality and histogram-based
evaluation of an iterative reconstruction (IR) algorithm in chest computed
tomography (CT) scans at low-to-ultralow CT radiation dose levels. Materials and
Methods: In an adult anthropomorphic phantom, chest CT scans were performed with
128-section dual-source CT at 70, 80, 100, 120, and 140 kVp, and the reference
(3.4 mGy in volume CT Dose Index [CTDIvol]), 30%-, 60%-, and 90%-reduced
radiation dose levels (2.4, 1.4, and 0.3 mGy). The CT images were reconstructed
by using filtered back projection (FBP) algorithms and IR algorithm with
strengths 1, 3, and 5. Image noise, signal-to-noise ratio (SNR), and contrast-to
noise ratio (CNR) were statistically compared between different dose levels, tube
voltages, and reconstruction algorithms. Moreover, histograms of subtraction
images before and after standardization in x- and y-axes were visually compared.
Results: Compared with FBP images, IR images with strengths 1, 3, and 5
demonstrated image noise reduction up to 49.1%, SNR increase up to 100.7%, and
CNR increase up to 67.3%. Noteworthy image quality degradations on IR images
including a 184.9% increase in image noise, 63.0% decrease in SNR, and 51.3%
decrease in CNR, and were shown between 60% and 90% reduced levels of radiation
dose (p < 0.0001). Subtraction histograms between FBP and IR images showed
progressively increased dispersion with increased IR strength and increased dose
reduction. After standardization, the histograms appeared deviated and ragged
between FBP images and IR images with strength 3 or 5, but almost normally
distributed between FBP images and IR images with strength 1. Conclusion: The IR
algorithm may be used to save radiation doses without substantial image quality
degradation in chest CT scanning of the adult anthropomorphic phantom, down to
approximately 1.4 mGy in CTDIvol (60% reduced dose).
PMID- 29354009
TI - Assessing the Blood Supply Status of the Focal Ground-Glass Opacity in Lungs
Using Spectral Computed Tomography.
AB - Objective: To exploit material decomposition analysis in dual-energy spectral
computed tomography (CT) to assess the blood supply status of the ground-glass
opacity (GGO) in lungs. Materials and Methods: This retrospective study included
48 patients with lung adenocarcinoma, who underwent a contrast-enhanced dual
energy spectral CT scan before treatment (53 GGOs in total). The iodine
concentration (IC) and water content (WC) of the GGO, the contralateral and
ipsilateral normal lung tissues were measured in the arterial phase (AP) and
their differences were analyzed. IC, normalized IC (NIC), and WC values were
compared between the pure ground-glass opacity (pGGO) and the mixed ground-glass
opacity (mGGO), and between the group of preinvasive lesions and the minimally
invasive adenocarcinoma (MIA) and invasive adenocarcinoma (IA) groups. Results:
The values of pGGO (IC = 20.9 +/- 6.2 mg/mL and WC = 345.1 +/- 87.1 mg/mL) and
mGGO (IC = 23.8 +/- 8.3 mg/mL and WC = 606.8 +/- 124.5 mg/mL) in the AP were
significantly higher than those of the contralateral normal lung tissues (IC =
15.0 +/- 4.9 mg/mL and WC = 156.4 +/- 36.8 mg/mL; IC = 16.2 +/- 5.7 mg/mL and WC
= 169.4 +/- 41.0 mg/mL) and ipsilateral normal lung tissues (IC = 15.1 +/- 6.2
mg/mL and WC = 156.3 +/- 38.8 mg/mL; IC = 15.9 +/- 6.0 mg/mL and WC = 174.7 +/-
39.2 mg/mL; all p < 0.001). After normalizing the data according to the values of
the artery, pGGO (NIC = 0.1 and WC = 345.1 +/- 87.1 mg/mL) and mGGO (NIC = 0.2
and WC = 606.8 +/- 124.5 mg/mL) were statistically different (p = 0.049 and p <
0.001, respectively), but not for the IC value (p = 0.161). The WC values of the
group with preinvasive lesions and MIA (345.4 +/- 96.1 mg/mL) and IA (550.1 +/-
158.2 mg/mL) were statistically different (p < 0.001). Conclusion: Using dual
energy spectral CT and material decomposition analysis, the IC in GGO can be
quantitatively measured which can be an indicator of the blood supply status in
the GGO.
PMID- 29354010
TI - Significance of Low-Attenuation Cluster Analysis on Quantitative CT in the
Evaluation of Chronic Obstructive Pulmonary Disease.
AB - Objective: To assess clinical feasibility of low-attenuation cluster analysis in
evaluation of chronic obstructive pulmonary disease (COPD). Materials and
Methods: Subjects were 199 current and former cigarette smokers that underwent CT
for quantification of COPD and had physiological measurements. Quantitative CT
(QCT) measurements included low-attenuation area percent (LAA%) (voxels <= -950
Hounsfield unit [HU]), and two-dimensional (2D) and three-dimensional D values of
cluster analysis at three different thresholds of CT value (-856, -910, and -950
HU). Correlation coefficients between QCT measurements and physiological indices
were calculated. Multivariable analyses for percentage of predicted forced
expiratory volume at one second (%FEV1) was performed including sex, age, body
mass index, LAA%, and D value had the highest correlation coefficient with %FEV1
as independent variables. These analyses were conducted in subjects including
those with mild COPD (global initiative of chronic obstructive lung disease stage
= 0-II). Results: LAA% had a higher correlation coefficient (-0.549, p < 0.001)
with %FEV1 than D values in subjects while 2D D-910HU (-0.350, p < 0.001)
revealed slightly higher correlation coefficient than LAA% (-0.343, p < 0.001) in
subjects with mild COPD. Multivariable analyses revealed that LAA% and 2D D value
910HU were significant independent predictors of %FEV1 in subjects and that only
2D D value-910HU revealed a marginal p value (0.05) among independent variables
in subjects with mild COPD. Conclusion: Low-attenuation cluster analysis provides
incremental information regarding physiologic severity of COPD, independent of
LAA%, especially with mild COPD.
PMID- 29354011
TI - Application of Deconvolution Algorithm of Point Spread Function in Improving
Image Quality: An Observer Preference Study on Chest Radiography.
AB - Objective: To evaluate the preference of observers for image quality of chest
radiography using the deconvolution algorithm of point spread function (PSF)
(TRUVIEW ART algorithm, DRTECH Corp.) compared with that of original chest
radiography for visualization of anatomic regions of the chest. Materials and
Methods: Prospectively enrolled 50 pairs of posteroanterior chest radiographs
collected with standard protocol and with additional TRUVIEW ART algorithm were
compared by four chest radiologists. This algorithm corrects scattered signals
generated by a scintillator. Readers independently evaluated the visibility of 10
anatomical regions and overall image quality with a 5-point scale of preference.
The significance of the differences in reader's preference was tested with a
Wilcoxon's signed rank test. Results: All four readers preferred the images
applied with the algorithm to those without algorithm for all 10 anatomical
regions (mean, 3.6; range, 3.2-4.0; p < 0.001) and for the overall image quality
(mean, 3.8; range, 3.3-4.0; p < 0.001). The most preferred anatomical regions
were the azygoesophageal recess, thoracic spine, and unobscured lung. Conclusion:
The visibility of chest anatomical structures applied with the deconvolution
algorithm of PSF was superior to the original chest radiography.
PMID- 29354012
TI - In-vivo Visualization of Iron Oxide Enhancement in Focal Pulmonary Inflammatory
Lesions Using a Three-Dimensional Radial Gradient-Echo-Based Ultrashort Echo Time
Sequence: A Preliminary Study.
AB - Objective: To preliminarily evaluate technical feasibility of a dual-echo
ultrashort echo time (UTE) subtraction MR imaging by using concurrent dephasing
and excitation (CODE) sequence for visualization of iron-oxide enhancement in
focal inflammatory pulmonary lesions. Materials and Methods: A UTE pulmonary MR
imaging before and after the injection of clinically usable superparamagnetic
iron-oxide nanoparticles, ferumoxytol, was conducted using CODE sequence with
dual echo times of 0.14 ms for the first echo and 4.15 ms for the second echo on
3T scanner in two rabbits concurrently having granulomatous lung disease and lung
cancer in separate lobes. A mean ratio of standardized signal intensity (SI) was
calculated for comparison of granulomatous lesion and cancer at first echo,
second echo, and subtracted images. Lesions were pathologically evaluated with
Prussian blue and immunohistochemistry staining. Results: Post-contrast
subtracted CODE images visualized exclusive enhancement of iron oxide in
granulomatous disease, but not in the cancer (mean ratio of SI, 2.15 +/- 0.68 for
granulomatous lesion versus 1.00 +/- 0.07 for cancer; p value = 0.002). Prussian
blue and corresponding anti-rabbit macrophage IgG-staining suggested an
intracellular uptake of iron-oxide nanoparticles in macrophages of granulomatous
lesions. Conclusion: Dual-echo UTE subtraction MR imaging using CODE sequence
depicts an exclusive positive enhancement of iron-oxide nanoparticle in rabbits
in focal granulomatous inflammatory lesions.
PMID- 29354013
TI - Ultrasonographic Interval Changes in Solid Thyroid Nodules after Ultrasonography
Guided Fine-Needle Aspiration.
AB - Objective: None of the previous studies have investigated the interval change in
ultrasonography (US) features of solid thyroid nodules (STNs) after US-guided
fine-needle aspiration (US-FNA). This study aimed to assess the prevalence and
characteristics of US interval changes in STNs after US-FNA. Materials and
Methods: This study included 257 STNs in 257 patients in whom thyroid US and
initial US-FNA had been performed by two radiologists from January 2015 to June
2015. One of the radiologists performed single needle puncture in all cases,
whereas the other radiologist used double or triple needle punctures. Follow-up
US examinations were performed after 12.0 +/- 6.0 months. We evaluated the
prevalence and characteristics of post-FNA US interval changes through a
retrospective analysis. In addition, multiple factors were correlated with post
FNA US interval changes. Results: The number of needle punctures was one (n =
91), two (n = 163), and three (n = 3). Of the 257 STNs (mean diameter, 11.9 mm)
in 257 patients, 35 (13.6%) showed an interval change in US features on follow-up
US. Among them, 17 STNs (6.6%) showed newly developed malignant US features,
including hypoechogenicity (n = 5), microcalcifications (n = 2), a spiculated
margin (n = 4), hypoechogenicity with a spiculated margin (n = 5), and
microcalcifications with non-parallel orientation (n = 1). Between patients who
showed presence and absence of US interval changes, there were no significant
differences in patient age, sex, nodule size, dichotomization, and location,
Korean Thyroid Imaging Reporting and Data System categorization after FNA,
practitioners involved, number of needle punctures, cytological findings, and
interval between FNA and US follow-up (p > 0.05). Conclusion: Awareness of US
interval changes after US-FNA of STNs may be helpful for the management of STNs.
PMID- 29354014
TI - Efficacy and Safety of Radiofrequency Ablation for Benign Thyroid Nodules: A
Prospective Multicenter Study.
AB - Objective: To assess the efficacy and safety of thyroid radiofrequency (RF)
ablation for benign thyroid nodules by trained radiologists according to a
unified protocol in a multi-center study. Materials and Methods: From 2010 to
2011, 345 nodules from 345 patients (M:F = 43:302; mean age +/- SD = 46.0 +/-
12.7 years, range = 15-79) who met eligibility criteria were enrolled from five
institutions. At pre-ablation, the mean volume was 14.2 +/- 13.2 mL (1.1-80.8
mL). For 12 months or longer after treatment, 276 lesions, consisting of 248
solid and 28 predominantly cystic nodules, were followed. All operators performed
RF ablation with a cool-tip RF system and two standard techniques (a transisthmic
approach and the moving-shot technique). Volume reduction at 12 months after RF
ablation (the primary outcome), therapeutic success, improvement of symptoms as
well as of cosmetic problems, and complications were evaluated. Multiple linear
regression analysis was applied to identify factors that were independently
predictive of volume reduction. Results: The mean volume reduction at 12 months
was 80.3% (n = 276) and at the 24-, 36-, 48-, and 60-month follow-ups 84.3% (n =
198), 89.2% (n = 128), 91.9% (n = 57), and 95.3% (n = 6), respectively. Our
therapeutic success was 97.8%. Both mean symptom and cosmetic scores showed
significant improvements (p < 0.001). The rate of major complications was 1.0%
(3/276). Solidity and applied energy were independent factors that predicted
volume reduction. Conclusion: Radiofrequency ablation performed by trained
radiologists from multiple institutions using a unified protocol and similar
devices was effective and safe for treating benign thyroid nodules.
PMID- 29354016
TI - RE: Novel Application of Quantitative Single-Photon Emission Computed
Tomography/Computed Tomography to Predict Early Response to Methimazole in
Graves' Disease.
PMID- 29354017
TI - Modelling vocabulary development among multilingual children prior to and
following the transition to school entry.
AB - Differences between monolingual and multilingual vocabulary development have been
observed but few studies provide a longitudinal perspective on vocabulary
development before and following school entry. This study compares vocabulary
growth profiles of 106 multilingual children to 211 monolingual peers before and
after school entry to examine whether: (1) school entry coincides with different
rates of vocabulary growth compared to prior to school entry, (2) compared to
monolingual peers, multilingual children show different vocabulary sizes or rates
of vocabulary growth, (3) the age of onset of second-language acquisition for
multilingual children is associated with vocabulary size or rate of vocabulary
growth, and (4) the sociolinguistic context of the languages spoken by
multilingual children is associated with vocabulary size or rate of vocabulary
growth. Results showed increases in vocabulary size across time for all children,
with a steeper increase prior to school entry. A significant difference between
monolingual and multilingual children who speak a minority language was observed
with regards to vocabulary size at school entry and vocabulary growth prior to
school entry, but growth rate differences were no longer present following school
entry. Taken together, results suggest that which languages children speak may
matter more than being multilingual per se.
PMID- 29354015
TI - Quality of Life in Patients Treated with Percutaneous Laser Ablation for Non
Functioning Benign Thyroid Nodules: A Prospective Single-Center Study.
AB - Objective: While many studies have reported that laser ablation (LA) for benign
non-fuctioning thyroid nodules is efficacious in reducing nodular volume and neck
symptoms, none have described changes in quality of life (QoL). The purpose of
this study was to report post-LA changes in QoL in our cohort of patients.
Materials and Methods: Fourteen patients with benign thyroid nodules were
involved in a prospective, single-center study and underwent a single session of
LA. We evaluated the following: changes in nodule volume, thyroid function, and
autoimmunity; adverse events during and after LA; changes in neck discomfort by
means of a visual analogic scale (VAS) at one week and 1, 3, 6, and 12 months;
and changes in QoL through the 13-scale Thyroid-specific Patient Reported Outcome
(ThyPRO) questionnaire at 1, 3, 6, and 12 months. ThyPRO is a validated
questionnaire for thyroid diseases, which consists of 13 scales with multiple
choice answers. They investigate several aspects of life that may be impaired by
goiter-related compression symptoms, by esthetic alterations and by hypo- or
hyperthyroidism. Results: Nodule volume decrease was -37 +/- 23%, -55 +/- 22%,
53 +/- 25%, -58 +/- 25% (p < 0.01 vs. baseline) at the first, third, sixth, and
twelfth month, respectively. No hypothyroidism or positivization of autoimmunity
was observed. There were no major complications during or after LA. After LA, VAS
scores improved significantly from 1 week onwards in 100% of patients, while a
significant improvement was seen in the goiter symptoms score after one month,
and in the general score and mean values of ThyPRO after six months. Scores on
the other ThyPRO scales did not change significantly. Conclusion: Laser ablation
is safe and effective in reducing nodule volume and neck symptoms; this is
confirmed by improvements in the goiter scale, general score, and mean values of
ThyPRO and in the VAS score.
PMID- 29354018
TI - Joint nonparametric correction estimator for excess relative risk regression in
survival analysis with exposure measurement error.
AB - Observational epidemiological studies often confront the problem of estimating
exposure-disease relationships when the exposure is not measured exactly. In the
paper, we investigate exposure measurement error in excess relative risk
regression, which is a widely used model in radiation exposure effect research.
In the study cohort, a surrogate variable is available for the true unobserved
exposure variable. The surrogate variable satisfies a generalized version of the
classical additive measurement error model, but it may or may not have repeated
measurements. In addition, an instrumental variable is available for individuals
in a subset of the whole cohort. We develop a nonparametric correction (NPC)
estimator using data from the subcohort, and further propose a joint
nonparametric correction (JNPC) estimator using all observed data to adjust for
exposure measurement error. An optimal linear combination estimator of JNPC and
NPC is further developed. The proposed estimators are nonparametric, which are
consistent without imposing a covariate or error distribution, and are robust to
heteroscedastic errors. Finite sample performance is examined via a simulation
study. We apply the developed methods to data from the Radiation Effects Research
Foundation, in which chromosome aberration is used to adjust for the effects of
radiation dose measurement error on the estimation of radiation dose responses.
PMID- 29354019
TI - Recent Progress in Decarboxylative Oxidative Cross-Coupling for Biaryl Synthesis.
AB - The beginning of the 21st century has seen tremendous growth in the field of
decarboxylative activation. Benzoic acid derivatives are now recognised as atom
economic alternatives to traditional cross-coupling partners, and they also
benefit from being inexpensive, readily available and shelf-stable reagents. In
this microreview we discuss recent developments in the coupling of benzoic acid
derivatives either with an arene or with a second benzoic acid derivative, a
process often termed decarboxylative oxidative cross-coupling. These procedures
offer great promise for the development of highly selective and atom-economic
cross-couplings.
PMID- 29354020
TI - Drinking patterns at the sub-national level: What do they tell us about drinking
cultures in European countries?
AB - Aim: A drinking pattern is not only a major drinking variable, but is also one
indicator of a country's drinking culture. In the present study, we examine
drinking patterns within and across the neighbouring countries of Denmark and
Germany. The aim of the research is to determine to what extent drinking patterns
differ or are shared at the sub-national level in the two countries. Method: Data
came from the German 2012 Epidemiological Survey of Substance Use (n 9084) 18-64
years (response rate 54%), and the Centre for Alcohol and Drug Research's 2011
Danish national survey (n = 5133) 15-79 years (response rate 64%), which was
reduced to a common age range, producing a final of 4016. The drinking pattern
variable included abstention, moderate drinking, heavy drinking, risky single
occasion drinking (RSOD), and was investigated with bivariate statistics and
gender-specific hierarchical cluster analysis. Results: For men three clusters
emerged: one highlighting abstention and RSOD, moderate/heavy drinking, RSOD and
RSOD heavy drinking. For women, two clusters appeared: one highlighting
abstention and moderate/ heavy drinking and the other highlighting RSOD and RSDO
heavy drinking. The clusters revealed different geographical patterning: for men,
a west vs. east divide; for women, a north-south gradient. Conclusions: The
analysis could identify for each gender clusters representing both separate and
shared drinking patterns as well as distinctive geographical placements. This new
knowledge can contribute to a new understanding of the dynamics of drinking
cultures and could indicate new approaches to prevention efforts and policy
initiatives.
PMID- 29354021
TI - 117th Annual Meeting Medical Library Association, Inc. Seattle, WA May 26-31,
2017.
PMID- 29354022
TI - Trait Stress Resistance and Dynamic Stress Dissipation on Health and Well-Being:
The Reservoir Model.
AB - Daily data from the NDHWB (n = 783; age range 37-90) were analyzed to produce
'dynamic characteristic' estimates of stress input and dissipation. These were
used in multi-level modeling (with age and trait stress resistance) to predict
depression and health trajectories. Main effects suggest that dissipation and
stress resistance predict lower depression and better health, but lower stress
input was only related to lower depression. Interactions revealed that subjects
with above average stress resistance had lower depression irrespective of their
ability to dissipate stress, but for individuals low in trait resistance those
with better stress dissipation show lower depression and better health.
PMID- 29354023
TI - Effect of Oxygen Sputter Pressure on the Structural, Morphological and Optical
Properties of ZnO Thin Films for Gas Sensing Application.
AB - ZnO thin films were prepared on glass substrates at low (5 * 10-4 mbar) and high
(3 * 10-3 mbar) sputter pressure using dc reactive magnetron sputtering. The
structural, morphological, compositional and optical properties of the thin films
were investigated. XRD patterns of both films confirmed the polycrystalline
nature of the films with hexagonal Wurtzite structure. SEM study indicates that
the surface of the film formed at high sputter pressure was more uniform, compact
and porous in nature. From the EDAX analysis, no other characteristic peaks of
other impurities were observed and the formation of single phase of ZnO was
confirmed. From the study of photoluminescence, three peaks were observed, one
strong near band-edge emission at 390 nm followed by weak and broad visible
emissions around 420-480 nm. Room temperature ammonia sensing characteristics of
ZnO nanothin films formed at higher sputter pressure were studied for different
ammonia vapour concentration levels. The response of the Ammonia sensor at room
temperature (30 degrees C) operation was observed to be of high sensitivity with
quick response and recovery times.
PMID- 29354024
TI - High-throughput extraction and quantification method for targeted metabolomics in
murine tissues.
AB - Introduction: Global metabolomics analyses using body fluids provide valuable
results for the understanding and prediction of diseases. However, the mechanism
of a disease is often tissue-based and it is advantageous to analyze metabolomic
changes directly in the tissue. Metabolomics from tissue samples faces many
challenges like tissue collection, homogenization, and metabolite extraction.
Objectives: We aimed to establish a metabolite extraction protocol optimized for
tissue metabolite quantification by the targeted metabolomics AbsoluteIDQTM p180
Kit (Biocrates). The extraction method should be non-selective, applicable to
different kinds and amounts of tissues, monophasic, reproducible, and amenable to
high throughput. Methods: We quantified metabolites in samples of eleven murine
tissues after extraction with three solvents (methanol, phosphate buffer,
ethanol/phosphate buffer mixture) in two tissue to solvent ratios and analyzed
the extraction yield, ionization efficiency, and reproducibility. Results: We
found methanol and ethanol/phosphate buffer to be superior to phosphate buffer in
regard to extraction yield, reproducibility, and ionization efficiency for all
metabolites measured. Phosphate buffer, however, outperformed both organic
solvents for amino acids and biogenic amines but yielded unsatisfactory results
for lipids. The observed matrix effects of tissue extracts were smaller or in a
similar range compared to those of human plasma. Conclusion: We provide for each
murine tissue type an optimized high-throughput metabolite extraction protocol,
which yields the best results for extraction, reproducibility, and quantification
of metabolites in the p180 kit. Although the performance of the extraction
protocol was monitored by the p180 kit, the protocol can be applicable to other
targeted metabolomics assays.
PMID- 29354025
TI - Parathyroid carcinoma: an unusual presentation of a rare neoplasm.
AB - Parathyroid carcinoma is an extremely rare malignant endocrine neoplasm that is
very challenging in its diagnosis as well as its treatment. Clinically the
disease is detected earlier in patients who present with hyperparathyroidism with
signs of profound hypercalcemia. Differentiation between benign and malignant
disease of the parathyroid is challenging both for the clinician and for the
pathologist. Complete surgical resection at the time of first operation offers
the best chance of cure. Even after radical excision which is the standard
management, local recurrence and metastases are frequent. The disease usually has
a slow indolent course and most patients suffer from complications of
hypercalcemia rather than tumor invasion or metastasis. We report a case of a 31
year-old woman who presented with renal colic. Various hematological, biochemical
and radiological investigations were performed and a slightly enlarged right
parathyroid was found. A clinical diagnosis of parathyroid adenoma was made and a
right parathyroidectomy was done. Intraoperatively the surgeon had no suspicion
of malignancy but microscopically the lesion was malignant and a final diagnosis
of parathyroid carcinoma was rendered based on the criteria of invasion. Since
there is no gold standard, a multidisciplinary approach, including the entire
clinical, biochemical, radiological and pathological profile of the disease aids
in an accurate diagnosis. Here we are reporting a case of a functional
parathyroid carcinoma presenting in a relatively young patient with all the
biochemical and radiological investigations and intraoperative findings pointing
towards a benign parathyroid disease.
PMID- 29354026
TI - Imaging of Neuronal Activity in Awake Mice by Measurements of Flavoprotein
Autofluorescence Corrected for Cerebral Blood Flow.
AB - Green fluorescence imaging (e.g., flavoprotein autofluorescence imaging, FAI) can
be used to measure neuronal activity and oxygen metabolism in living brains
without expressing fluorescence proteins. It is useful for understanding the
mechanism of various brain functions and their abnormalities in age-related brain
diseases. However, hemoglobin in cerebral blood vessels absorbs green
fluorescence, hampering accurate assessments of brain function in animal models
with cerebral blood vessel dysfunctions and subsequent cerebral blood flow (CBF)
alterations. In the present study, we developed a new method to correct FAI
signals for hemoglobin-dependent green fluorescence reductions by simultaneous
measurements of green fluorescence and intrinsic optical signals. Intrinsic
optical imaging enabled evaluations of light absorption and scatters by
hemoglobin, which could then be applied to corrections of green fluorescence
intensities. Using this method, enhanced flavoprotein autofluorescence by sensory
stimuli was successfully detected in the brains of awake mice, despite increases
of CBF, and hemoglobin interference. Moreover, flavoprotein autofluorescence
could be properly quantified in a resting state and during sensory stimulation by
a CO2 inhalation challenge, which modified vascular responses without overtly
affecting neuronal activities. The flavoprotein autofluorescence signal data
obtained here were in good agreement with the previous findings from a condition
with drug-induced blockade of cerebral vasodilation, justifying the current
assaying methodology. Application of this technology to studies on animal models
of brain diseases with possible changes of CBF, including age-related
neurological disorders, would provide better understanding of the mechanisms of
neurovascular coupling in pathological circumstances.
PMID- 29354028
TI - Drosophila melanogaster White Mutant w1118 Undergo Retinal Degeneration.
AB - Key scientific discoveries have resulted from genetic studies of Drosophila
melanogaster, using a multitude of transgenic fly strains, the majority of which
are constructed in a genetic background containing mutations in the white gene.
Here we report that white mutant flies from w1118 strain undergo retinal
degeneration. We observed also that w1118 mutants have progressive loss of
climbing ability, shortened life span, as well as impaired resistance to various
forms of stress. Retinal degeneration was abolished by transgenic expression of
mini-white+ in the white null background w1118 . We conclude that beyond the
classical eye-color phenotype, mutations in Drosophila white gene could impair
several biological functions affecting parameters like mobility, life span and
stress tolerance. Consequently, we suggest caution and attentiveness during the
interpretation of old experiments employing white mutant flies and when planning
new ones, especially within the research field of neurodegeneration and
neuroprotection. We also encourage that the use of w1118 strain as a wild-type
control should be avoided.
PMID- 29354027
TI - Metabolic Abnormalities of Erythrocytes as a Risk Factor for Alzheimer's Disease.
AB - Alzheimer's disease (AD) is a slowly progressive, neurodegenerative disorder of
uncertain etiology. According to the amyloid cascade hypothesis, accumulation of
non-soluble amyloid beta peptides (Abeta) in the Central Nervous System (CNS) is
the primary cause initiating a pathogenic cascade leading to the complex
multilayered pathology and clinical manifestation of the disease. It is,
therefore, not surprising that the search for mechanisms underlying cognitive
changes observed in AD has focused exclusively on the brain and Abeta-inducing
synaptic and dendritic loss, oxidative stress, and neuronal death. However, since
Abeta depositions were found in normal non-demented elderly people and in many
other pathological conditions, the amyloid cascade hypothesis was modified to
claim that intraneuronal accumulation of soluble Abeta oligomers, rather than
monomer or insoluble amyloid fibrils, is the first step of a fatal cascade in AD.
Since a characteristic reduction of cerebral perfusion and energy metabolism
occurs in patients with AD it is suggested that capillary distortions commonly
found in AD brain elicit hemodynamic changes that alter the delivery and
transport of essential nutrients, particularly glucose and oxygen to neuronal and
glial cells. Another important factor in tissue oxygenation is the ability of
erythrocytes (red blood cells, RBC) to transport and deliver oxygen to tissues,
which are first of all dependent on the RBC antioxidant and energy metabolism,
which finally regulates the oxygen affinity of hemoglobin. In the present review,
we consider the possibility that metabolic and antioxidant defense alterations in
the circulating erythrocyte population can influence oxygen delivery to the
brain, and that these changes might be a primary mechanism triggering the glucose
metabolism disturbance resulting in neurobiological changes observed in the AD
brain, possibly related to impaired cognitive function. We also discuss the
possibility of using erythrocyte biochemical aberrations as potential tools that
will help identify a risk factor for AD.
PMID- 29354030
TI - Sphingolipid Metabolism Is Dysregulated at Transcriptomic and Metabolic Levels in
the Spinal Cord of an Animal Model of Amyotrophic Lateral Sclerosis.
AB - Lipid metabolism is drastically dysregulated in amyotrophic lateral sclerosis and
impacts prognosis of patients. Animal models recapitulate alterations in the
energy metabolism, including hypermetabolism and severe loss of adipose tissue.
To gain insight into the molecular mechanisms underlying disease progression in
amyotrophic lateral sclerosis, we have performed RNA-sequencing and lipidomic
profiling in spinal cord of symptomatic SOD1G86R mice. Spinal transcriptome of
SOD1G86R mice was characterized by differential expression of genes related to
immune system, extracellular exosome, and lysosome. Hypothesis-driven
identification of metabolites showed that lipids, including
sphingomyelin(d18:0/26:1), ceramide(d18:1/22:0), and phosphatidylcholine(o
22:1/20:4) showed profound altered levels. A correlation between disease severity
and gene expression or metabolite levels was found for sphingosine,
ceramide(d18:1/26:0), Sgpp2, Sphk1, and Ugt8a. Joint-analysis revealed a
significant enrichment of glycosphingolipid metabolism in SOD1G86R mice, due to
the down-regulation of ceramide, glucosylceramide, and lactosylceramide and the
overexpression of genes involved in their recycling in the lysosome. A drug-gene
interaction database was interrogated to identify potential drugs able to
modulate the dysregulated genes from the signaling pathway. Our results suggest
that complex lipids are pivotally changed during the first phase of motor
symptoms in an animal model of amyotrophic lateral sclerosis.
PMID- 29354031
TI - Myelinating Schwann Cell Polarity and Mechanically-Driven Myelin Sheath
Elongation.
AB - Myelin sheath geometry, encompassing myelin sheath thickness relative to
internodal length, is critical to optimize nerve conduction velocity and these
parameters are carefully adjusted by the myelinating cells in mammals. In the
central nervous system these adjustments could regulate neuronal activities while
in the peripheral nervous system they lead to the optimization and the
reliability of the nerve conduction velocity. However, the physiological and
cellular mechanisms that underlie myelin sheath geometry regulation are not yet
fully elucidated. In peripheral nerves the myelinating Schwann cell uses several
molecular mechanisms to reach and maintain the correct myelin sheath geometry,
such that myelin sheath thickness and internodal length are regulated
independently. One of these mechanisms is the epithelial-like cell polarization
process that occurs during the early phases of the myelin biogenesis. Epithelial
cell polarization factors are known to control cell size and morphology in
invertebrates and mammals making these processes critical in the organogenesis.
Correlative data indicate that internodal length is regulated by postnatal body
growth that elongates peripheral nerves in mammals. In addition, the mechanical
stretching of peripheral nerves in adult animals shows that myelin sheath length
can be increased by mechanical cues. Recent results describe the important role
of YAP/TAZ co-transcription factors during Schwann cell myelination and their
functions have linked to the mechanotransduction through the HIPPO pathway and
the epithelial polarity factor Crb3. In this review the molecular mechanisms that
govern mechanically-driven myelin sheath elongation and how a Schwann cell can
modulate internodal myelin sheath length, independent of internodal thickness,
will be discussed regarding these recent data. In addition, the potential
relevance of these mechanosensitive mechanisms in peripheral pathologies will be
highlighted.
PMID- 29354033
TI - Enhanced Transmission at the Calyx of Held Synapse in a Mouse Model for Angelman
Syndrome.
AB - The neurodevelopmental disorder Angelman syndrome (AS) is characterized by
intellectual disability, motor dysfunction, distinct behavioral aspects, and
epilepsy. AS is caused by a loss of the maternally expressed UBE3A gene, and many
of the symptoms are recapitulated in a Ube3a mouse model of this syndrome. At the
cellular level, changes in the axon initial segment (AIS) have been reported, and
changes in vesicle cycling have indicated the presence of presynaptic deficits.
Here we studied the role of UBE3A in the auditory system by recording synaptic
transmission at the calyx of Held synapse in the medial nucleus of the trapezoid
body (MNTB) through in vivo whole cell and juxtacellular recordings. We show that
MNTB principal neurons in Ube3a mice exhibit a hyperpolarized resting membrane
potential, an increased action potential (AP) amplitude and a decreased AP half
width. Moreover, both the pre- and postsynaptic AP in the calyx of Held synapse
of Ube3a mice showed significantly faster recovery from spike depression. An
increase in AIS length was observed in the principal MNTB neurons of Ube3a mice,
providing a possible substrate for these gain-of-function changes. Apart from the
effect on APs, we also observed that EPSPs showed decreased short-term synaptic
depression (STD) during long sound stimulations in AS mice, and faster recovery
from STD following these tones, which is suggestive of a presynaptic gain-of
function. Our findings thus provide in vivo evidence that UBE3A plays a critical
role in controlling synaptic transmission and excitability at excitatory
synapses.
PMID- 29354032
TI - Proteomic Dissection of Nanotopography-Sensitive Mechanotransductive Signaling
Hubs that Foster Neuronal Differentiation in PC12 Cells.
AB - Neuronal cells are competent in precisely sensing nanotopographical features of
their microenvironment. The perceived microenvironmental information will be
"interpreted" by mechanotransductive processes and impacts on neuronal
functioning and differentiation. Attempts to influence neuronal differentiation
by engineering substrates that mimic appropriate extracellular matrix (ECM)
topographies are hampered by the fact that profound details of mechanosensing/
transduction complexity remain elusive. Introducing omics methods into these
biomaterial approaches has the potential to provide a deeper insight into the
molecular processes and signaling cascades underlying mechanosensing/
transduction but their exigence in cellular material is often opposed by
technical limitations of major substrate top-down fabrication methods. Supersonic
cluster beam deposition (SCBD) allows instead the bottom-up fabrication of
nanostructured substrates over large areas characterized by a quantitatively
controllable ECM-like nanoroughness that has been recently shown to foster neuron
differentiation and maturation. Exploiting this capacity of SCBD, we challenged
mechanosensing/-transduction and differentiative behavior of neuron-like PC12
cells with diverse nanotopographies and/or changes of their biomechanical status,
and analyzed their phosphoproteomic profiles in these settings. Versatile
proteins that can be associated to significant processes along the
mechanotransductive signal sequence, i.e., cell/cell interaction, glycocalyx and
ECM, membrane/f-actin linkage and integrin activation, cell/substrate
interaction, integrin adhesion complex, actomyosin organization/cellular
mechanics, nuclear organization, and transcriptional regulation, were affected.
The phosphoproteomic data suggested furthermore an involvement of ILK, mTOR, Wnt,
and calcium signaling in these nanotopography- and/or cell mechanics-related
processes. Altogether, potential nanotopography-sensitive mechanotransductive
signaling hubs participating in neuronal differentiation were dissected.
PMID- 29354029
TI - Microglia Gone Rogue: Impacts on Psychiatric Disorders across the Lifespan.
AB - Microglia are the predominant immune response cells and professional phagocytes
of the central nervous system (CNS) that have been shown to be important for
brain development and homeostasis. These cells present a broad spectrum of
phenotypes across stages of the lifespan and especially in CNS diseases. Their
prevalence in all neurological pathologies makes it pertinent to reexamine their
distinct roles during steady-state and disease conditions. A major question in
the field is determining whether the clustering and phenotypical transformation
of microglial cells are leading causes of pathogenesis, or potentially
neuroprotective responses to the onset of disease. The recent explosive growth in
our understanding of the origin and homeostasis of microglia, uncovering their
roles in shaping of the neural circuitry and synaptic plasticity, allows us to
discuss their emerging functions in the contexts of cognitive control and
psychiatric disorders. The distinct mesodermal origin and genetic signature of
microglia in contrast to other neuroglial cells also make them an interesting
target for the development of therapeutics. Here, we review the physiological
roles of microglia, their contribution to the effects of environmental risk
factors (e.g., maternal infection, early-life stress, dietary imbalance), and
their impact on psychiatric disorders initiated during development (e.g., Nasu
Hakola disease (NHD), hereditary diffuse leukoencephaly with spheroids, Rett
syndrome, autism spectrum disorders (ASDs), and obsessive-compulsive disorder
(OCD)) or adulthood (e.g., alcohol and drug abuse, major depressive disorder
(MDD), bipolar disorder (BD), schizophrenia, eating disorders and sleep
disorders). Furthermore, we discuss the changes in microglial functions in the
context of cognitive aging, and review their implication in neurodegenerative
diseases of the aged adult (e.g., Alzheimer's and Parkinson's). Taking into
account the recent identification of microglia-specific markers, and the
availability of compounds that target these cells selectively in vivo, we
consider the prospect of disease intervention via the microglial route.
PMID- 29354035
TI - Hippocampal Astrocytes in Migrating and Wintering Semipalmated Sandpiper Calidris
pusilla.
AB - Seasonal migratory birds return to the same breeding and wintering grounds year
after year, and migratory long-distance shorebirds are good examples of this.
These tasks require learning and long-term spatial memory abilities that are
integrated into a navigational system for repeatedly locating breeding,
wintering, and stopover sites. Previous investigations focused on the
neurobiological basis of hippocampal plasticity and numerical estimates of
hippocampal neurogenesis in birds but only a few studies investigated potential
contributions of glial cells to hippocampal-dependent tasks related to migration.
Here we hypothesized that the astrocytes of migrating and wintering birds may
exhibit significant morphological and numerical differences connected to the long
distance flight. We used as a model the semipalmated sandpiper Calidris pusilla,
that migrates from northern Canada and Alaska to South America. Before the
transatlantic non-stop long-distance component of their flight, the birds make a
stopover at the Bay of Fundy in Canada. To test our hypothesis, we estimated
total numbers and compared the three-dimensional (3-D) morphological features of
adult C. pusilla astrocytes captured in the Bay of Fundy (n = 249 cells) with
those from birds captured in the coastal region of Braganca, Brazil, during the
wintering period (n = 250 cells). Optical fractionator was used to estimate the
number of astrocytes and for 3-D reconstructions we used hierarchical cluster
analysis. Both morphological phenotypes showed reduced morphological complexity
after the long-distance non-stop flight, but the reduction in complexity was much
greater in Type I than in Type II astrocytes. Coherently, we also found a
significant reduction in the total number of astrocytes after the transatlantic
flight. Taken together these findings suggest that the long-distance non-stop
flight altered significantly the astrocytes population and that morphologically
distinct astrocytes may play different physiological roles during migration.
PMID- 29354034
TI - Opposing Cholinergic and Serotonergic Modulation of Layer 6 in Prefrontal Cortex.
AB - Prefrontal cortex is a hub for attention processing and receives abundant
innervation from cholinergic and serotonergic afferents. A growing body of
evidence suggests that acetylcholine (ACh) and serotonin (5-HT) have opposing
influences on tasks requiring attention, but the underlying neurophysiology of
their opposition is unclear. One candidate target population is medial prefrontal
layer 6 pyramidal neurons, which provide feedback modulation of the thalamus, as
well as feed-forward excitation of cortical interneurons. Here, we assess the
response of these neurons to ACh and 5-HT using whole cell recordings in acute
brain slices from mouse cortex. With application of exogenous agonists, we show
that individual layer 6 pyramidal neurons are bidirectionally-modulated, with ACh
and 5-HT exerting opposite effects on excitability across a number of
concentrations. Next, we tested the responses of layer 6 pyramidal neurons to
optogenetic release of endogenous ACh or 5-HT. These experiments were performed
in brain slices from transgenic mice expressing channelrhodopsin in either ChAT
expressing cholinergic neurons or Pet1-expressing serotonergic neurons. Light
evoked endogenous neuromodulation recapitulated the effects of exogenous
neurotransmitters, showing opposing modulation of layer 6 pyramidal neurons by
ACh and 5-HT. Lastly, the addition of 5-HT to either endogenous or exogenous ACh
significantly suppressed the excitation of pyramidal neurons in prefrontal layer
6. Taken together, this work suggests that the major corticothalamic layer of
prefrontal cortex is a substrate for opposing modulatory influences on neuronal
activity that could have implications for regulation of attention.
PMID- 29354036
TI - Facilitation of Function and Manipulation Knowledge of Tools Using Transcranial
Direct Current Stimulation (tDCS).
AB - Using a variety of tools is a common and essential component of modern human
life. Patients with brain damage or neurological disorders frequently have
cognitive deficits in their recognition and manipulation of tools. In this study,
we focused on improving tool-related cognition using transcranial direct current
stimulation (tDCS). Converging evidence from neuropsychology, neuroimaging and
non- invasive brain stimulation has identified the anterior temporal lobe (ATL)
and inferior parietal lobule (IPL) as brain regions supporting action semantics.
We observed enhanced performance in tool cognition with anodal tDCS over ATL and
IPL in two cognitive tasks that require rapid access to semantic knowledge about
the function or manipulation of common tools. ATL stimulation improved access to
both function and manipulation knowledge of tools. The effect of IPL stimulation
showed a trend toward better manipulation judgments. Our findings support
previous studies of tool semantics and provide a novel approach for manipulation
of underlying circuits.
PMID- 29354037
TI - Pulsed Stimuli Elicit More Robust Multisensory Enhancement than Expected.
AB - Neurons in the superior colliculus (SC) integrate cross-modal inputs to generate
responses that are more robust than to either input alone, and are frequently
greater than their sum (superadditive enhancement). Previously, the principles of
a real-time multisensory transform were identified and used to accurately predict
a neuron's responses to combinations of brief flashes and noise bursts. However,
environmental stimuli frequently have more complex temporal structures that
elicit very different response dynamics than previously examined. The present
study tested whether such stimuli (i.e., pulsed) would be treated similarly by
the multisensory transform. Pulsing visual and auditory stimuli elicited
responses composed of higher discharge rates that had multiple peaks temporally
aligned to the stimulus pulses. Combinations pulsed cues elicited multiple peaks
of superadditive enhancement within the response window. Measured over the entire
response, this resulted in larger enhancements than expected given enhancements
elicited by non-pulsed ("sustained") stimuli. However, as with sustained stimuli,
the dynamics of multisensory responses to pulsed stimuli were highly related to
the temporal dynamics of the unisensory inputs. This suggests that the specific
characteristics of the multisensory transform are not determined by the external
features of the cross-modal stimulus configuration; rather the temporal structure
and alignment of the unisensory inputs is the dominant driving factor in the
magnitudes of the multisensory product.
PMID- 29354039
TI - Automated Assessment of Endpoint and Kinematic Features of Skilled Reaching in
Rats.
AB - Background: Neural injury to the motor cortex may result in long-term
impairments. As a model for human impairments, rodents are often used to study
deficits related to reaching and grasping, using the single-pellet reach-to-grasp
task. Current assessments of this test capture mostly endpoint outcome. While
qualitative features have been proposed, they usually involve manual scoring.
Objective: To detect three phases of movement during the single-pellet reach-to
grasp test and assess completion of each phase. To automatically monitor rat
forelimb trajectory so as to extract kinematics and classify phase outcome.
Methods: A top-view camera is used to monitor three rats during training, healthy
and impaired testing, over 33 days. By monitoring the coordinates of the forelimb
tip along with the position of the pellet, the algorithm divides a trial into
reaching, grasping and retraction. Unfulfilling any of the phases results in one
of three possible errors: miss, slip or drop. If all phases are complete, the
outcome label is success. Along with endpoints, movement kinematics are assessed:
variability, convex hull, mean and maximum reaching speed, length of trajectory
and peak forelimb extension. Results: The set of behavior endpoints was extended
to include miss, slip, drop and success rate. The labeling algorithm was tested
on pre- and post-lesion datasets, with overall accuracy rates of 86% and 92%,
respectively. These endpoint features capture a drop in skill after motor
cortical lesion as the success rate of 59.6 +/- 11.8% pre-lesion decreases to
13.9 +/- 8.2% post-lesion, along with a significant increase in miss rate from
7.2 +/- 6.7% pre-lesion to 50.2 +/- 18.7% post-lesion. Kinematics reveals
individual-specific strategies of improvement during training, with a common
trend of trajectory variability decreasing with success. Correlations between
kinematics and endpoints reveal a more complex pattern of relationships during
rehabilitation (18 significant pairs of features) than during training (nine
correlated pairs). Conclusion: Extended endpoint outcomes and kinematics of
reaching and grasping are captured automatically with a robust computer program.
Both endpoints and kinematics capture intra-animal drop in skill after a motor
cortical lesion. Correlations between kinematics and endpoints change from
training to rehabilitation, suggesting different mechanisms that underlie motor
improvement.
PMID- 29354038
TI - Hippocampal Remapping and Its Entorhinal Origin.
AB - The activity of hippocampal cell ensembles is an accurate predictor of the
position of an animal in its surrounding space. One key property of hippocampal
cell ensembles is their ability to change in response to alterations in the
surrounding environment, a phenomenon called remapping. In this review article,
we present evidence for the distinct types of hippocampal remapping. The
progressive divergence over time of cell ensembles active in different
environments and the transition dynamics between pre-established maps are
discussed. Finally, we review recent work demonstrating that hippocampal
remapping can be triggered by neurons located in the entorhinal cortex.
PMID- 29354040
TI - Mirror Box Training in Hemiplegic Stroke Patients Affects Body Representation.
AB - The brain integrates multisensory inputs coming from the body (i.e.,
proprioception, tactile sensations) and the world that surrounds it (e.g., visual
information). In this way, it is possible to build supra-modal and coherent
mental representations of our own body, in order to process sensory events and to
plan movements and actions in space. Post-stroke acquired motor deficits affect
the ability to move body parts and to interact with objects. This may, in turn,
impair the brain representation of the affected body part, resulting in a further
increase of disability and motor impairment. To the aim of improving any putative
derangements of body representation induced by the motor deficit, here we used
the Mirror Box (MB). MB is a rehabilitative tool aimed at restoring several
pathological conditions where body representation is affected, including post
stroke motor impairments. In this setting, observing the reflection of the intact
limb in the mirror, while the affected one is hidden behind the mirror, can exert
a positive influence upon different clinical conditions from chronic pain to
motor deficits. Such results are thought to be mediated by a process of
embodiment of the mirror reflection, which would be integrated into the
representation of the affected limb. A group of 45 post-stroke patients was
tested before and after performing a MB motor training in two conditions, one
with the mirror between the hands and one without it, so that patients could see
their impaired limb directly. A forearm bisection task, specifically designed to
measure the metric representation of the body (i.e., size), was used as dependent
variable. Results showed that, at baseline, the forearm bisection is shifted
proximally, compatibly with a shrink of the metric representation of the affected
arm towards the shoulder. However, following the MB session bisection scores
shifted distally, compatibly with a partial correction of the metric
representation of that arm. The effects showed some variability with the
laterality of the lesion and the duration of the illness. The present results
call for a possible role of the MB as a tool for improving altered body
representation following post-stroke motor impairments.
PMID- 29354041
TI - Longitudinal Brain Development of Numerical Skills in Typically Developing
Children and Children with Developmental Dyscalculia.
AB - Developmental dyscalculia (DD) is a learning disability affecting the acquisition
of numerical-arithmetical skills. Studies report persistent deficits in number
processing and aberrant functional activation of the fronto-parietal numerical
network in DD. However, the neural development of numerical abilities has been
scarcely investigated. The present paper provides a first attempt to investigate
behavioral and neural trajectories of numerical abilities longitudinally in
typically developing (TD) and DD children. During a study period of 4 years, 28
children (8-11 years) were evaluated twice by means of neuropsychological tests
and a numerical order fMRI paradigm. Over time, TD children improved in numerical
abilities and showed a consistent and well-developed fronto-parietal network. In
contrast, DD children revealed persistent deficits in number processing and
arithmetic. Brain imaging results of the DD group showed an age-related
activation increase in parietal regions (intraparietal sulcus), pointing to a
delayed development of number processing areas. Besides, an activation increase
in frontal areas was observed over time, indicating the use of compensatory
mechanisms. In conclusion, results suggest a continuation in neural development
of number representation in DD, whereas the neural network for simple ordinal
number estimation seems to be stable or show only subtle changes in TD children
over time.
PMID- 29354042
TI - Neural Correlates of Racial Ingroup Bias in Observing Computer-Animated Social
Encounters.
AB - Despite evidence for the role of group membership in the neural correlates of
social cognition, the mechanisms associated with processing non-verbal behaviors
displayed by racially ingroup vs. outgroup members remain unclear. Here, 20
Caucasian participants underwent fMRI recording while observing social encounters
with ingroup and outgroup characters displaying dynamic and static non-verbal
behaviors. Dynamic behaviors included approach and avoidance behaviors, preceded
or not by a handshake; both dynamic and static behaviors were followed by
participants' ratings. Behaviorally, participants showed bias toward their
ingroup members, demonstrated by faster/slower reaction times for evaluating
ingroup static/approach behaviors, respectively. At the neural level, despite
overall similar responses in the action observation network to ingroup and
outgroup encounters, the medial prefrontal cortex showed dissociable activation,
possibly reflecting spontaneous processing of ingroup static behaviors and
positive evaluations of ingroup approach behaviors. The anterior cingulate and
superior frontal cortices also showed sensitivity to race, reflected in
coordinated and reduced activation for observing ingroup static behaviors.
Finally, the posterior superior temporal sulcus showed uniquely increased
activity to observing ingroup handshakes. These findings shed light on the
mechanisms of racial ingroup bias in observing social encounters, and have
implications for understanding factors related to successful interactions with
individuals from diverse backgrounds.
PMID- 29354043
TI - An fMRI-Neuronavigated Chronometric TMS Investigation of V5 and Intraparietal
Cortex in Motion Driven Attention.
AB - The timing of networked brain activity subserving motion driven attention in
humans is currently unclear. Functional MRI (fMRI)-neuronavigated chronometric
transcranial magnetic stimulation (TMS) was used to investigate critical times of
parietal cortex involvement in motion driven attention. In particular, we were
interested in the relative critical times for two intraparietal sulcus (IPS)
sites in comparison to that previously identified for motion processing in area
V5, and to explore potential earlier times of involvement. fMRI was used to
individually localize V5 and middle and posterior intraparietal sulcus (mIPS;
pIPS) areas active for a motion driven attention task, prior to TMS
neuronavigation. Paired-pulse TMS was applied during performance of the same task
at stimulus onset asynchronies (SOAs) ranging from 0 to 180 ms. There were no
statistically significant decreases in performance accuracy for trials where TMS
was applied to V5 at any SOA, though stimulation intensity was lower for this
site than for the parietal sites. For TMS applied to mIPS, there was a trend
toward a relative decrease in performance accuracy at the 150 ms SOA, as well as
a relative increase at 180 ms. There was no statistically significant effect
overall of TMS applied to pIPS, however, there appeared a potential trend toward
a decrease in performance at the 0 ms SOA. Overall, these results provide some
patterns of potential theoretical interest to follow up in future studies.
PMID- 29354044
TI - Effects of Acute Alcohol Intoxication on Empathic Neural Responses for Pain.
AB - The questions whether and how empathy for pain can be modulated by acute alcohol
intoxication in the non-dependent population remain unanswered. To address these
questions, a double-blind, placebo-controlled, within-subject study design was
adopted in this study, in which healthy social drinkers were asked to complete a
pain-judgment task using pictures depicting others' body parts in painful or non
painful situations during fMRI scanning, either under the influence of alcohol
intoxication or placebo conditions. Empathic neural activity for pain was reduced
by alcohol intoxication only in the dorsal anterior cingulate cortex (dACC). More
interestingly, we observed that empathic neural activity for pain in the right
anterior insula (rAI) was significantly correlated with trait empathy only after
alcohol intoxication, along with impaired functional connectivity between the rAI
and the fronto-parietal attention network. Our results reveal that alcohol
intoxication not only inhibits empathic neural responses for pain but also leads
to trait empathy inflation, possibly via impaired top-down attentional control.
These findings help to explain the neural mechanism underlying alcohol-related
social problems.
PMID- 29354046
TI - Re-run, Repeat, Reproduce, Reuse, Replicate: Transforming Code into Scientific
Contributions.
AB - Scientific code is different from production software. Scientific code, by
producing results that are then analyzed and interpreted, participates in the
elaboration of scientific conclusions. This imposes specific constraints on the
code that are often overlooked in practice. We articulate, with a small example,
five characteristics that a scientific code in computational science should
possess: re-runnable, repeatable, reproducible, reusable, and replicable. The
code should be executable (re-runnable) and produce the same result more than
once (repeatable); it should allow an investigator to reobtain the published
results (reproducible) while being easy to use, understand and modify (reusable),
and it should act as an available reference for any ambiguity in the algorithmic
descriptions of the article (replicable).
PMID- 29354045
TI - Dopaminergic Therapy Increases Go Timeouts in the Go/No-Go Task in Patients with
Parkinson's Disease.
AB - Parkinson's disease (PD) is characterized by resting tremor, rigidity and
bradykinesia. Dopaminergic medications such as L-dopa treat these motor symptoms,
but can have complex effects on cognition. Impulse control is an essential
cognitive function. Impulsivity is multifaceted in nature. Motor impulsivity
involves the inability to withhold pre-potent, automatic, erroneous responses. In
contrast, cognitive impulsivity refers to improper risk-reward assessment guiding
behavior. Informed by our previous research, we anticipated that dopaminergic
therapy would decrease motor impulsivity though it is well known to enhance
cognitive impulsivity. We employed the Go/No-go paradigm to assess motor
impulsivity in PD. Patients with PD were tested using a Go/No-go task on and off
their normal dopaminergic medication. Participants completed cognitive, mood, and
physiological measures. PD patients on medication had a significantly higher
proportion of Go trial Timeouts (i.e., trials in which Go responses were not
completed prior to a deadline of 750 ms) compared to off medication (p = 0.01).
No significant ON-OFF differences were found for Go trial or No-go trial response
times (RTs), or for number of No-go errors. We interpret that dopaminergic
therapy induces a more conservative response set, reflected in Go trial Timeouts
in PD patients. In this way, dopaminergic therapy decreased motor impulsivity in
PD patients. This is in contrast to the widely recognized effects of dopaminergic
therapy on cognitive impulsivity leading in some patients to impulse control
disorders. Understanding the nuanced effects of dopaminergic treatment in PD on
cognitive functions such as impulse control will clarify therapeutic decisions.
PMID- 29354047
TI - Commentary: Synaptic vesicle glycoprotein 2C (SV2C) modulates dopamine release
and is disrupted in Parkinson disease.
PMID- 29354049
TI - Influences of 12-Week Physical Activity Interventions on TMS Measures of Cortical
Network Inhibition and Upper Extremity Motor Performance in Older Adults-A
Feasibility Study.
AB - Objective: Data from previous cross-sectional studies have shown that an
increased level of physical fitness is associated with improved motor dexterity
across the lifespan. In addition, physical fitness is positively associated with
increased laterality of cortical function during unimanual tasks; indicating that
sedentary aging is associated with a loss of interhemispheric inhibition
affecting motor performance. The present study employed exercise interventions in
previously sedentary older adults to compare motor dexterity and measure of
interhemispheric inhibition using transcranial magnetic stimulation (TMS) after
the interventions. Methods: Twenty-one community-dwelling, reportedly sedentary
older adults were recruited, randomized and enrolled to a 12-week aerobic
exercise group or a 12-week non-aerobic exercise balance condition. The aerobic
condition was comprised of an interval-based cycling "spin" activity, while the
non-aerobic "balance" exercise condition involved balance and stretching
activities. Participants completed upper extremity dexterity batteries and
estimates of VO2max in addition to undergoing single (ipsilateral silent period
iSP) and paired-pulse interhemispheric inhibition (ppIHI) in separate assessment
sessions before and after study interventions. After each intervention during
which heart rate was continuously recorded to measure exertion level (load),
participants crossed over into the alternate arm of the study for an additional
12-week intervention period in an AB/BA design with no washout period. Results:
After the interventions, regardless of intervention order, participants in the
aerobic spin condition showed higher estimated VO2max levels after the 12-week
intervention as compared to estimated VO2max in the non-aerobic balance
intervention. After controlling for carryover effects due to the study design,
participants in the spin condition showed longer iSP duration than the balance
condition. Heart rate load was more strongly correlated with silent period
duration after the Spin condition than estimated VO2. Conclusions: Aging-related
changes in cortical inhibition may be influenced by 12-week physical activity
interventions when assessed with the iSP. Although inhibitory signaling is
mediates both ppIHI and iSP measures each TMS modality likely employs distinct
inhibitory networks, potentially differentially affected by aging. Changes in
inhibitory function after physical activity interventions may be associated with
improved dexterity and motor control at least as evidence from this feasibility
study show.
PMID- 29354048
TI - Aging and Network Properties: Stability Over Time and Links with Learning during
Working Memory Training.
AB - Growing evidence suggests that healthy aging affects the configuration of large
scale functional brain networks. This includes reducing network modularity and
local efficiency. However, the stability of these effects over time and their
potential role in learning remain poorly understood. The goal of the present
study was to further clarify previously reported age effects on "resting-state"
networks, to test their reliability over time, and to assess their relation to
subsequent learning during training. Resting-state fMRI data from 23 young (YA)
and 20 older adults (OA) were acquired in 2 sessions 2 weeks apart. Graph
theoretic analyses identified both consistencies in network structure and
differences in module composition between YA and OA, suggesting topological
changes and less stability of functional network configuration with aging. Brain
wide, OA showed lower modularity and local efficiency compared to YA, consistent
with the idea of age-related functional dedifferentiation, and these effects were
replicable over time. At the level of individual networks, OA consistently showed
greater participation and lower local efficiency and within-network connectivity
in the cingulo-opercular network, as well as lower intra-network connectivity in
the default-mode network and greater participation of the somato-sensorimotor
network, suggesting age-related differential effects at the level of specialized
brain modules. Finally, brain-wide network properties showed associations, albeit
limited, with learning rates, as assessed with 10 days of computerized working
memory training administered after the resting-state sessions, suggesting that
baseline network configuration may influence subsequent learning outcomes.
Identification of neural mechanisms associated with learning-induced plasticity
is important for further clarifying whether and how such changes predict the
magnitude and maintenance of training gains, as well as the extent and limits of
cognitive transfer in both younger and older adults.
PMID- 29354051
TI - Age-Related Differences in Hearing Function and Cochlear Morphology between Male
and Female Fischer 344 Rats.
AB - Fischer 344 (F344) rats represent a strain that is frequently used as a model for
fast aging. In this study, we systematically compare the hearing function during
aging in male and female F344 rats, by recording auditory brainstem responses
(ABRs) and distortion product otoacoustic emissions (DPOAEs). In addition to
this, the functional parameters are correlated with the cochlear histology. The
parameters of the hearing function were not different in the young (3-month-old)
male and female F344 rats; the gender differences occurred only in adult and aged
animals. In 8-24-month-old males, the ABR thresholds were higher and the ABR
amplitudes were smaller than those measured in females of the same age. There
were no gender differences in the neural adaptation tested by recording ABRs,
elicited by a series of clicks with varying inter-click interval (ICI).
Amplitudes of DPOAEs in both the males and females decreased with age, but in the
males, the decrease of DPOAE amplitudes was faster. In males older than 20
months, the DPOAEs were practically absent, whereas in 20-24-month-old females,
the DPOAEs were still measurable. There were no gender differences in the number
of surviving outer hair cells (OHC) and the number of inner hair cell ribbon
synapses in aged animals. The main difference was found in the stria vascularis
(SV). Whereas the SV was well preserved in females up to the age of 24 months, in
most of the age-matched males the SV was evidently deteriorated. The results
demonstrate more pronounced age-related changes in the cochlear morphology,
hearing thresholds, ABR amplitudes and DPOAE amplitudes in F344 males compared
with females.
PMID- 29354050
TI - Brain Network Modularity Predicts Exercise-Related Executive Function Gains in
Older Adults.
AB - Recent work suggests that the brain can be conceptualized as a network comprised
of groups of sub-networks or modules. The extent of segregation between modules
can be quantified with a modularity metric, where networks with high modularity
have dense connections within modules and sparser connections between modules.
Previous work has shown that higher modularity predicts greater improvements
after cognitive training in patients with traumatic brain injury and in healthy
older and young adults. It is not known, however, whether modularity can also
predict cognitive gains after a physical exercise intervention. Here, we
quantified modularity in older adults (N = 128, mean age = 64.74) who underwent
one of the following interventions for 6 months (NCT01472744 on
ClinicalTrials.gov): (1) aerobic exercise in the form of brisk walking (Walk),
(2) aerobic exercise in the form of brisk walking plus nutritional supplement
(Walk+), (3) stretching, strengthening and stability (SSS), or (4) dance
instruction. After the intervention, the Walk, Walk+ and SSS groups showed gains
in cardiorespiratory fitness (CRF), with larger effects in both walking groups
compared to the SSS and Dance groups. The Walk, Walk+ and SSS groups also
improved in executive function (EF) as measured by reasoning, working memory, and
task-switching tests. In the Walk, Walk+, and SSS groups that improved in EF,
higher baseline modularity was positively related to EF gains, even after
controlling for age, in-scanner motion and baseline EF. No relationship between
modularity and EF gains was observed in the Dance group, which did not show
training-related gains in CRF or EF control. These results are consistent with
previous studies demonstrating that individuals with a more modular brain network
organization are more responsive to cognitive training. These findings suggest
that the predictive power of modularity may be generalizable across interventions
aimed to enhance aspects of cognition and that, especially in low-performing
individuals, global network properties can capture individual differences in
neuroplasticity.
PMID- 29354052
TI - Caffeine Controls Glutamatergic Synaptic Transmission and Pyramidal Neuron
Excitability in Human Neocortex.
AB - Caffeine is the most widely used psychoactive drug, bolstering attention and
normalizing mood and cognition, all functions involving cerebral cortical
circuits. Whereas studies in rodents showed that caffeine acts through the
antagonism of inhibitory A1 adenosine receptors (A1R), neither the role of A1R
nor the impact of caffeine on human cortical neurons is known. We here provide
the first characterization of the impact of realistic concentrations of caffeine
experienced by moderate coffee drinkers (50 MUM) on excitability of pyramidal
neurons and excitatory synaptic transmission in the human temporal cortex.
Moderate concentrations of caffeine disinhibited several of the inhibitory A1R
mediated effects of adenosine, similar to previous observations in the rodent
brain. Thus, caffeine restored the adenosine-induced decrease of both intrinsic
membrane excitability and excitatory synaptic transmission in the human pyramidal
neurons through antagonism of post-synaptic A1R. Indeed, the A1R-mediated effects
of endogenous adenosine were more efficient to inhibit synaptic transmission than
neuronal excitability. This was associated with a distinct affinity of caffeine
for synaptic versus extra-synaptic human cortical A1R, probably resulting from a
different molecular organization of A1R in human cortical synapses. These
findings constitute the first neurophysiological description of the impact of
caffeine on pyramidal neuron excitability and excitatory synaptic transmission in
the human temporal cortex, providing adequate ground for the effects of caffeine
on cognition in humans.
PMID- 29354053
TI - Activation of Dopamine D1-D2 Receptor Complex Attenuates Cocaine Reward and
Reinstatement of Cocaine-Seeking through Inhibition of DARPP-32, ERK, and
DeltaFosB.
AB - A significant subpopulation of neurons in rat nucleus accumbens (NAc) coexpress
dopamine D1 and D2 receptors, which can form a D1-D2 receptor complex, but their
relevance in addiction is not known. The existence of the D1-D2 heteromer in the
striatum of rat and monkey was established using in situ PLA, in situ FRET and co
immunoprecipitation. In rat, D1-D2 receptor heteromer activation led to place
aversion and abolished cocaine CPP and locomotor sensitization, cocaine
intravenous self-administration and reinstatement of cocaine seeking, as well as
inhibited sucrose preference and abolished the motivation to seek palatable food.
Selective disruption of this heteromer by a specific interfering peptide induced
reward-like effects and enhanced the above cocaine-induced effects, including at
a subthreshold dose of cocaine. The D1-D2 heteromer activated Cdk5/Thr75-DARPP-32
and attenuated cocaine-induced pERK and DeltaFosB accumulation, together with
inhibition of cocaine-enhanced local field potentials in NAc, blocking thus the
signaling pathway activated by cocaine: D1R/cAMP/PKA/Thr34-DARPP-32/pERK with
DeltaFosB accumulation. In conclusion, our results show that the D1-D2 heteromer
exerted tonic inhibitory control of basal natural and cocaine reward, and
therefore initiates a fundamental physiologic function that limits the liability
to develop cocaine addiction.
PMID- 29354054
TI - The Opioid-Sparing Effect of Perioperative Dexmedetomidine Combined with
Oxycodone Infusion during Open Hepatectomy: A Randomized Controlled Trial.
AB - Background: A large right subcostal incision performed by open hepatectomy is
associated with significant post-operative pain and distress. However, post
operative analgesia solutions still need to be devised. We investigated the
effects of intra- and post-operative infusion of dexmedetomidine (Dex) combined
with oxycodone during open hepatectomy. Methods: In this prospective, randomized
and double-blind investigation, 52 patients undergoing selective open hepatectomy
were divided into Dex group (DEX infusion at an initial loading dose of 0.5
MUg?kg-1 over 10 min before intubation then adjusted to a maintenance dose of 0.3
MUg?kg-1?h-1 until incision suturing) or control (Con) group (0.9% sodium
chloride was administered). Patient-controlled analgesia was administered for 48
h after surgery (Dex group: 60 mg oxycodone and 360 MUg DEX diluted to 120 ml and
administered at a bolus dose of 2 ml, with 5 min lockout interval and a 1 h limit
of 20 ml. Con group: 60 mg oxycodone alone with the same regimen). The primary
outcome was post-operative oxycodone consumption. The secondary outcomes included
requirement of narcotic and vasoactive drugs, hemodynamics, incidence of adverse
effects, satisfaction, first exhaust time, pain intensity, and the Ramsay
Sedation Scale. Results: Post-operative oxycodone consumption was significantly
reduced in Dex group from 4 to 48 h after surgery (P < 0.05). Heart rate in Dex
group was statistically decreased from T1 (just before intubation) to T6 (20 min
after arriving at the post-anesthesia care unit), while mean arterial pressure
was significantly decreased from T1 to T3 (during surgical incision; P < 0.05).
The consumption of propofol and remifentanil were significantly decreased in Dex
group (P < 0.05). The VAS scores at rest at 1, 4, and 8 h and with cough at 24,
and 48 h after surgery were lower, the first exhaust time were shorter,
satisfaction with pain control was statistically higher and the incidence of
nausea and vomiting was less in Dex group than in Con group (all P < 0.05).
Conclusion: The combination of DEX and oxycodone could reduce oxycodone
consumption and the incidence of nausea and vomiting, enhance the analgesic
effect, improves patient satisfaction and shorten the first exhaust time.
PMID- 29354055
TI - The Anti-atherosclerotic Effect of Paeonol against Vascular Smooth Muscle Cell
Proliferation by Up-regulation of Autophagy via the AMPK/mTOR Signaling Pathway.
AB - Introduction: Paeonol (2'-hydroxy-4'-methoxyacetophenone), isolated from moutan
cortex, is an active component and has been shown to have anti-atherosclerotic
and anti-proliferation effects on vascular smooth muscle cells (VSMCs). However,
the possible role of Paeonol in protecting against VSMC proliferation as related
to autophagy has yet to be elucidated. Materials and Methods: The athero
protective effects of Paeonol were evaluated in apoE-/- mice. The effects of
Paeonol on VSMC proliferation and autophagy were examined by staining alpha-SMA
and LC3II spots in the media layer of apoE-/- mice, respectively. CCK8 and BrdU
assays were used to investigate the effects of Paeonol on cell proliferation in
vitro. The autophagic levels in VSMCs were evaluated by detecting LC3II
accumulation and p62 degradation by immunoblot analysis. To investigate if
Paeonol could prevent VSMCs proliferation through autophagy induction, we tested
the change in autophagy and cell proliferation by inhibition of autophagy. The
levels of the AMPK/mTOR pathway in autophagy regulation were detected by
immunoblot analysis. An AMPK inhibitor and si-AMPK transfection in VSMCs was used
to confirm whether AMPK activity plays a key role in autophagy regulation of
Paeonol. Results:In vivo experiments confirmed that Paeonol restricted
atherosclerosis development and decreased the amount of VSMCs in the media layer
of apoE-/- mice. Paeonol increased protein levels of LC3II and the presence of
autophagosomes in the media layer of arteries, which implies that Paeonol may
induce VSMCs autophagy in vivo. Paeonol showed potential in inhibiting ox-LDL
induced proliferation in vitro experiments. Paeonol dose-dependently enhanced the
formation of acidic vesicular organelles and autophagosmomes, up-regulated the
expression of LC3II and increased p62 degradation. The autophagy inhibitor CQ
obviously attenuated Paeonol-induced autophagy and the anti-proliferation effect
in VSMCs. In addition, Paeonol induced phosphorylation of AMPK and reduced
phosphorylation of mTOR. An AMPK inhibitor reversed the Paeonol-induced p
mTOR/mTOR decrease. Paeonol induced LC3II conversion, increased p62 degradation
and inhibited cell proliferation in VSMCs, the effects of which were abolished by
si-AMPK. Conclusion: These results imply that Paeonol inhibits proliferation of
VSMCs by up-regulating autophagy, and activating the AMPK/mTOR signaling pathway,
providing new insights into the anti-atherosclerosis activity of Paeonol.
PMID- 29354056
TI - Rimonabant Kills Colon Cancer Stem Cells without Inducing Toxicity in Normal
Colon Organoids.
AB - Colorectal cancer (CRC), like other tumor types, is a highly heterogeneous
disease. Within the tumor bulk, intra-tumoral heterogeneity is also ascribable to
Cancer Stem Cells (CSCs) subpopulation, characterized by high chemoresistance and
the unique ability to retain tumorigenic potential, thus associated to tumor
recurrence. High dynamic plasticity of CSCs, makes the development of winning
therapeutic strategies even more complex to completely eradicate tumor fuel.
Rimonabant, originally synthesized as antagonist/inverse agonist of Cannabinoid
Receptor 1, is able to inactivate Wnt signaling, both in vitro and in vivo, in
CRC models, through inhibition of p300-histone acetyltransferase activity. Since
Wnt/beta-Catenin pathway is the main player underlying CSCs dynamic, this finding
candidates Rimonabant as potential modulator of cancer stemness, in CRC. In this
work, using established 3D cultures of primary colon CSCs, taking into account
the tumor heterogeneity through monitoring of Wnt activity, we demonstrated that
Rimonabant was able to reduces both tumor differentiated cells and colon CSCs
proliferation and to control their survival in long term cultures. Interestingly,
in ex vivo model of wild type human organoids, retaining both architecture and
heterogeneity of original tissue, Rimonabant showed no toxicity against cells
from healthy colon epithelium, suggesting its potential selectivity toward cancer
cells. Overall, results from this work provided new insights on anti-tumor
efficacy of Rimonabant, strongly suggesting that it could be a novel lead
compound for CRC treatment.
PMID- 29354057
TI - miR449a/SIRT1/PGC-1alpha Is Necessary for Mitochondrial Biogenesis Induced by T-2
Toxin.
AB - T-2 toxin is one of the type A trichothecenes produced mainly by the Fusarium
genus. Due to its broad distribution and highly toxic nature, it is of great
concern as a threat to human health and animal breeding. In addition to its
ribotoxic effects, T-2 toxin exposure leads to mitochondrial dysfunction,
reactive oxygen species (ROS) accumulation and eventually cell apoptosis. We
observed that mitochondrial biogenesis is highly activated in animal cells
exposed to T-2 toxin, probably in response to the short-term toxic effects of T-2
toxin. However, the molecular mechanisms of T-2 toxin-induced mitochondrial
biogenesis remain unclear. In this study, we investigated the regulatory
mechanism of key factors in the ROS production and mitochondrial biogenesis that
were elicited by T-2 toxin in HepG2 and HEK293T cells. Low dosages of T-2 toxin
significantly increased the levels of both mitochondrial biogenesis and ROS. This
increase was linked to the upregulation of SIRT1, which is controlled by miR
449a, whose expression was strongly inhibited by T-2 toxin treatment. In
addition, we found that T-2 toxin-induced mitochondrial biogenesis resulted from
SIRT1-dependent PGC-1alpha deacetylation. The accumulation of PGC-1alpha
deacetylation, mediated by high SIRT1 levels in T-2 toxin-treated cells,
activated the expression of many genes involved in mitochondrial biogenesis.
Together, these data indicated that the miR449a/SIRT1/deacetylated PGC-1alpha
axis plays an essential role in the ability of moderate concentrations of T-2
toxin to stimulate mitochondrial biogenesis and ROS production.
PMID- 29354058
TI - SCD1 Confers Temozolomide Resistance to Human Glioma Cells via the
Akt/GSK3beta/beta-Catenin Signaling Axis.
AB - Resistance to temozolomide (TMZ), the standard chemotherapy agent for
glioblastoma (GBM), poses a major clinical challenge to GBM prognosis.
Understanding the mechanisms of TMZ resistance can help to identify novel drug
targets and more effective therapies. Recent studies suggest that bioenergetic
alterations of cancer cells play important roles in drug resistance. In our
study, the altered metabolism of cancer cells was observed using a metabolic PCR
array. We found that stearoyl-coenzyme A desaturase 1 (SCD1), a key rate-limiting
enzyme for synthesis of monounsaturated fatty acids, was significantly
upregulated in TMZ-resistant GBM cells compared to their parental counterparts.
Overexpression of SCD1 promoted resistance to TMZ in parental GBM cells, whereas
SCD1 downregulation by siRNA could re-sensitize TMZ-resistant cells in vitro.
Combinational treatment of TMZ and an SCD1-specific inhibitor showed a combined
inhibitory effect on TMZ-resistant glioma cells. We also observed that
overexpression of SCD1 promoted Akt/GSK3beta/beta-catenin signaling, while
silencing of SCD1 inhibited the signaling. The combination of an Akt activator
with exogenous SCD1 or the combined inhibition of Akt and enforced expression of
SCD1 resulted in the most significant changes of Akt signaling. Functionally,
significantly lower viability and mobility rates were observed in TMZ-resistant
cells when treated with Akt inhibitors and an SCD1 inhibitor simultaneously
compared to when treated individually. In conclusion, our study identified SCD1
along with its functional pathway as a novel target in the development of TMZ
resistance. SCD1 inhibition used alone or in combination with Akt inhibition
could effectively overcome TMZ resistance in gliomas.
PMID- 29354059
TI - International Clinical Trials in Latin American and Caribbean Countries: Research
and Development to Meet Local Health Needs.
AB - Introduction: Although international health research involves some benefits for
the host countries, such as access to innovative treatments, the research itself
may not be aligned with their communities' actual health needs. Objective: To map
the global landscape of clinical trials run in Latin American and Caribbean
countries and discuss the addressing of local health needs in the agenda of
international clinical trials. Methods: The present study is a cross-sectional
overview and used data referent to studies registered between 01/01/2014 and
12/31/2014 in the World Health Organization's (WHO) International Clinical Trials
Registry Platform (ICTRP). Results: Non-communicable diseases such as diabetes,
cancer, and asthma-studies which were financed mainly by industries-were the
conditions investigated most in the region of Latin America and the Caribbean.
The neglected diseases, on the other hand, such as Chagas disease, and dengue,
made up 1% of the total number of studies. Hospitals and nonprofit
nongovernmental organizations prioritize resources for investigating new drugs
for neglected diseases, such as Chagas disease and dengue. Conclusion: The
international multicenter clinical trials for investigating new drugs are aligned
with the health needs of the region of Latin America and the Caribbean, when one
considers the burden resulting from the non-communicable diseases in this region.
However, the transmissible diseases, such as tuberculosis and AIDS, and the
neglected diseases, such as Chagas disease and dengue, which have an important
impact on public health in this region, continue to arouse little interest among
the institutions which finance the clinical trials.
PMID- 29354061
TI - Toxicity Evaluation of Graphene Oxide and Titania Loaded Nafion Membranes in
Zebrafish.
AB - The use of nanomaterials in several application fields has received in the last
decades a great attention due to their peculiar properties, but also raised many
doubts about possible toxicity when these materials are used for some specific
applications, such as water purification. Indeed a careful investigation is
needed in order to exclude possible harmful side effects related to the use of
nanotechnology. Nanoparticles effects on the marine organisms may depend on their
chemical composition, size, surface structure, solubility, shape and how the
individual nanoparticles aggregate together. In order to make the most of their
potential, without polluting the environment, many researchers are trying to trap
them into some kind of matrix that keeps them active but avoids their dispersion
in the environment. In this study we have tested nanocomposite membranes prepared
using Nafion polymer combined with various fillers, such as anatase-type TiO2
nanoparticles and graphene oxide. The non-toxicity of these nanocomposites,
already shown to be effective for water purification applications in our previous
studies, was recognized by testing the effect of the different materials on
zebrafish embryos. Zebrafish was considered an excellent model for
ecotoxicological studies and for this motivation zebrafish embryos were exposed
to different concentrations of free nanoparticles and to the nanocomposite
membranes. As biomarkers of exposure, we evaluated the expression of heme
oxygenase 1 and inducible Nitric Oxide Synthases by immunohistochemistry and gene
expression. Embryo toxicity test showed that nor sublethal effects neither
mortality were caused by the different nanoparticles and nano-systems tested.
Only zebrafish larvae exposed to free nanoparticles have shown a different
response to antibodies anti-heme-oxygenase 1 and anti- inducible Nitric Oxide
Synthases. The immunolocalization analysis in fact has highlighted an increase in
the synthesis of these biomarkers.
PMID- 29354060
TI - Interpreting Signal Amplitudes in Surface Electromyography Studies in Sport and
Rehabilitation Sciences.
AB - Surface electromyography (sEMG) is a popular research tool in sport and
rehabilitation sciences. Common study designs include the comparison of sEMG
amplitudes collected from different muscles as participants perform various
exercises and techniques under different loads. Based on such comparisons,
researchers attempt to draw conclusions concerning the neuro- and
electrophysiological underpinning of force production and hypothesize about
possible longitudinal adaptations, such as strength and hypertrophy. However,
such conclusions are frequently unsubstantiated and unwarranted. Hence, the goal
of this review is to discuss what can and cannot be inferred from comparative
research designs as it pertains to both the acute and longitudinal outcomes.
General methodological recommendations are made, gaps in the literature are
identified, and lines for future research to help improve the applicability of
sEMG are suggested.
PMID- 29354062
TI - Support Vector Machine Based Monitoring of Cardio-Cerebrovascular Reserve during
Simulated Hemorrhage.
AB - Introduction: In the initial phase of hypovolemic shock, mean blood pressure (BP)
is maintained by sympathetically mediated vasoconstriction rendering BP
monitoring insensitive to detect blood loss early. Late detection can result in
reduced tissue oxygenation and eventually cellular death. We hypothesized that a
machine learning algorithm that interprets currently used and new hemodynamic
parameters could facilitate in the detection of impending hypovolemic shock.
Method: In 42 (27 female) young [mean (sd): 24 (4) years], healthy subjects
central blood volume (CBV) was progressively reduced by application of -50 mmHg
lower body negative pressure until the onset of pre-syncope. A support vector
machine was trained to classify samples into normovolemia (class 0), initial
phase of CBV reduction (class 1) or advanced CBV reduction (class 2). Nine models
making use of different features were computed to compare sensitivity and
specificity of different non-invasive hemodynamic derived signals. Model features
included: volumetric hemodynamic parameters (stroke volume and cardiac output),
BP curve dynamics, near-infrared spectroscopy determined cortical brain
oxygenation, end-tidal carbon dioxide pressure, thoracic bio-impedance, and
middle cerebral artery transcranial Doppler (TCD) blood flow velocity. Model
performance was tested by quantifying the predictions with three methods:
sensitivity and specificity, absolute error, and quantification of the log odds
ratio of class 2 vs. class 0 probability estimates. Results: The combination with
maximal sensitivity and specificity for classes 1 and 2 was found for the model
comprising volumetric features (class 1: 0.73-0.98 and class 2: 0.56-0.96).
Overall lowest model error was found for the models comprising TCD curve
hemodynamics. Using probability estimates the best combination of sensitivity for
class 1 (0.67) and specificity (0.87) was found for the model that contained the
TCD cerebral blood flow velocity derived pulse height. The highest combination
for class 2 was found for the model with the volumetric features (0.72 and 0.91).
Conclusion: The most sensitive models for the detection of advanced CBV reduction
comprised data that describe features from volumetric parameters and from
cerebral blood flow velocity hemodynamics. In a validated model of hemorrhage in
humans these parameters provide the best indication of the progression of central
hypovolemia.
PMID- 29354063
TI - Physiological Responses and Ovarian Development of Female Chinese Mitten Crab
Eriocheir sinensis Subjected to Different Salinity Conditions.
AB - Salinity plays a key role affecting ovarian development, osmoregulation and
metabolism of female Chinese mitten crab, Eriocheir sinensis during reproductive
migration. In this study, female E. sinensis after their puberty molt were
subjected to four salinities of 0, 6, 12, and 180/00 for 40 days to investigate
the salinity effects on their ovarian development as well as a range of important
physiological parameters. Elevated salinity accelerated the ovarian development
with ovigerous crabs found at salinity treatments of 12 and 180/00 despite no
copulation had occurred. Meanwhile the survival rate of female crabs showed a
decreasing trend with increasing salinity. Higher salinity also led to increased
hemolymph Na+, K+, Ca2+, Cl-, and Mg2+ concentrations. The 60/00 treatment had
the highest contents of hemolymph total and major free amino acids while the
Na+/K+ -ATPase activity in the posterior gills was the lowest among treatments.
Total n-3 polyunsaturated fatty acids (?n-3PUFA) and n-3/n-6 PUFA ratio in the
anterior gills showed a decreasing trend with salinity while 180/00 had the
highest ?PUFA and ?n-6PUFA. The ?n-3PUFA content and n-3/n-6 PUFA ratio of the
posterior gills showed a fluctuating pattern and the highest value was detected
at 00/00, while an increasing trend was found for the ?n-6PUFA with increasing
salinity. The hemolymph glucose showed a decreasing trend with increasing
salinity and the highest total cholesterol in hemolymph was detected at 120/00.
The 180/00 treatment had the highest levels of hemolymph gamma
glutamyltransferase, alkaline phosphatase and acid phosphatase, as well as
glucose, urea and acid phosphatase in hepatopancreas while the highest hemolymph
superoxide dismutase and malondialdehyde were detected at 00/00. Overall, the
results showed that salinity increase from freshwater to brackish conditions led
to lower metabolism, accelerated ovarian development, and the appearance of
ovigerous crabs without copulation in female E. sinensis post puberty molt.
PMID- 29354065
TI - The Effect of Tai Chi on Cardiorespiratory Fitness for Coronary Disease
Rehabilitation: A Systematic Review and Meta-Analysis.
AB - Background: Tai Chi that originated in China as a martial art is an aerobic
exercise with low-to-moderate intensity and may play a role in cardiac
rehabilitation. Aim: To systematically review the effect of Tai Chi on
cardiorespiratory fitness for coronary disease rehabilitation. Methods: We
performed a search for Chinese and English studies in the following databases:
PubMed, EMBASE, Cochrane Central Register of Controlled Trials, Chinese
Biomedical Literature Database, China Knowledge Resource Integrated Database,
Wanfang Data, and China Science and Technology Journal Database. The search
strategy included terms relating to or describing Tai Chi and coronary disease,
and there were no exclusion criteria for other types of diseases or disorders.
Further, bibliographies of the related published systematic reviews were also
reviewed. The searches, data extraction, and risk of bias (ROB) assessments were
conducted by two independent investigators. Differences were resolved by
consensus. RevMan 5.3.0 was used to analyze the study results. We used
quantitative synthesis if the included studies were sufficiently homogeneous and
performed subgroup analyses for studies with different control groups. To
minimize bias in our findings, we used GRADEpro to grade the available evidence.
Results: Five studies were enrolled-two randomized controlled trials (RCTs) and
three nonrandomized controlled trials (N-RCTs)-that included 291 patients. All
patients had coronary disease. ROB assessments showed a relatively high selection
and detection bias. Meta-analyses showed that compared to other types of low- or
moderate-intensity exercise, Tai Chi could significantly improve VO2max [MD =
4.71, 95% CI (3.58, 5.84), P < 0.00001], but it seemed less effective at
improving VO2max as compared to high-intensity exercise. This difference,
however, was not statistically significant [MD = -1.10, 95% CI (-2.46, 0.26), P =
0.11]. The GRADEpro showed a low level of the available evidence. Conclusion:
Compared to no exercise or other types of exercise with low-to-moderate
intensity, Tai Chi seems a good choice for coronary disease rehabilitation in
improving cardiorespiratory fitness. However, owing to the poor methodology
quality, more clinical trials with large sample size, strict randomization, and
clear description about detection and reporting processes are needed to further
verify the evidence.
PMID- 29354064
TI - JNK1 Mediates Lipopolysaccharide-Induced CD14 and SR-AI Expression and Macrophage
Foam Cell Formation.
AB - Foam cell formation is the key process in the development of atherosclerosis. The
uptake of oxidized low-density lipoprotein (oxLDL) converts macrophages into foam
cells. We recently reported that lipopolysaccharide (LPS)-induced foam cell
formation is regulated by CD14 and scavenger receptor AI (SR-AI). In this study,
we employed pharmaceutical and gene knockdown approaches to determine the
upstream molecular mediators, which control LPS-induced foam cell formation. Our
results demonstrated that the specific c-Jun N-terminal kinase (JNK) pathway
inhibitor, SP600125, but neither the specific inhibitor of extracellular
signaling-regulated kinase (ERK) kinase MEK1/2, U0126, nor the specific inhibitor
of p38 MAPK, SB203580, significantly blocks LPS-induced oxLDL uptake, suggesting
that the JNK pathway is the upstream mediator of LPS-induced oxLDL uptake/foam
cell formation. To address whether JNK pathway mediates LPS-induced oxLDL uptake
is due to JNK pathway-regulated CD14 and SR-AI expression, we assessed whether
the pharmaceutical inhibitor of JNK influences LPS-induced expression of CD14 and
SR-AI. Our results indicate that JNK pathway mediates LPS-induced CD14 and SR-AI
expression. To conclusively address the isoform role of JNK family, we depleted
JNK isoforms using the JNK isoform-specific siRNA. Our data showed that the
depletion of JNK1, but not JNK2 blocked LPS-induced CD14/SR-AI expression and
foam cell formation. Taken together, our results reveal for the first time that
JNK1 is the key mediator of LPS-induced CD14 and SR-AI expression in macrophages,
leading to LPS-induced oxLDL uptake/foam cell formation. We conclude that the
novel JNK1/CD14/SR-AI pathway controls macrophage oxLDL uptake/foam cell
formation.
PMID- 29354066
TI - Identification of Basic Fibroblast Growth Factor as the Dominant Protector of
Laminar Shear Medium from the Modified Shear Device in Tumor Necrosis Factor
alpha Induced Endothelial Dysfunction.
AB - Background and Aims: Endothelial dysfunction is a hallmark of cardiovascular
diseases. The straight region of an artery is protected from atherosclerosis via
its laminar blood flow and high shear stress. This study investigated the
cytoprotective effects of a new laminar shear medium (LSM) derived from a
modified cone-and-plate shear device and identified basic fibroblast growth
factor (bFGF) secreted by human aortic endothelial cells (HAECs) as the dominant
protective factor in the LSM. Methods: Based on a modified cone-and-plate shear
device system, HAECs were exposed to laminar shear (15 dynes/cm2) and static
control for 24 h to produce a new supernatant LSM and static medium (SM).
Evaluation of the protective effects of LSM and SM on endothelial dysfunction
induced by tumor necrosis factor (TNF)-alpha (10 ng/mL), which leads to
production of reactive oxygen species (ROS), inflammatory monocyte adhesion, and
tissue factor activity. ROS induction-, inflammation-, and thrombosis-related
genes and protein expression were evaluated by quantitative-PCR and western
blotting. To identify the cytokines that played a key role in the cytoprotective
action of the LSM, we used cytokine antibody arrays, selected an abundant marker
cytokine, bFGF, and validated the different cytoprotective effects of recombinant
bFGF (rbFGF) and neutralization by monoclonal antibody (rbFGF+Ab) co-treatment.
Aortic and lung tissues from different groups of C57BL/6J mice were examined by
immunohistochemistry. SB203580 (specific inhibitor of p38) and BIX02189 (specific
inhibitor of MEK5) were used to identify bFGF as the main cytoprotective factor
acting via p38/MAPK and MEK5-KLF2 pathways. Results: Compared with traditional
LSM, the new LSM not only significantly decreased TNF-alpha-induced intracellular
adhesion molecule 1 and plasminogen activator inhibitor type 1 gene expression,
but also significantly increased heme oxygenase 1 gene expression. The new LSM
and bFGF attenuated TNF-alpha-induced ROS induction, inflammation, and tissue
factor activity and inhibited the inflammatory- and thrombosis-related
gene/protein overexpression both in vitro and in vivo. Mechanistically, the
cytoprotective action of bFGF was mediated via the p38/MAPK and MEK5-KLF2
pathways. Conclusion: bFGF was identified as the critical factor mediating the
cytoprotective effects of LSM derived from the modified laminar shear system.
PMID- 29354067
TI - Composite Biomarkers Derived from Micro-Electrode Array Measurements and Computer
Simulations Improve the Classification of Drug-Induced Channel Block.
AB - The Micro-Electrode Array (MEA) device enables high-throughput electrophysiology
measurements that are less labor-intensive than patch-clamp based techniques.
Combined with human-induced pluripotent stem cells cardiomyocytes (hiPSC-CM), it
represents a new and promising paradigm for automated and accurate in vitro drug
safety evaluation. In this article, the following question is addressed: which
features of the MEA signals should be measured to better classify the effects of
drugs? A framework for the classification of drugs using MEA measurements is
proposed. The classification is based on the ion channels blockades induced by
the drugs. It relies on an in silico electrophysiology model of the MEA, a
feature selection algorithm and automatic classification tools. An in silico
model of the MEA is developed and is used to generate synthetic measurements. An
algorithm that extracts MEA measurements features designed to perform well in a
classification context is described. These features are called composite
biomarkers. A state-of-the-art machine learning program is used to carry out the
classification of drugs using experimental MEA measurements. The experiments are
carried out using five different drugs: mexiletine, flecainide, diltiazem,
moxifloxacin, and dofetilide. We show that the composite biomarkers outperform
the classical ones in different classification scenarios. We show that using both
synthetic and experimental MEA measurements improves the robustness of the
composite biomarkers and that the classification scores are increased.
PMID- 29354068
TI - Developing a Three-Dimensional (3D) Assessment Method for Clubfoot-A Study
Protocol.
AB - Background: Congenital talipes equinovarus (CTEV) or clubfoot is a common
pediatric congenital foot deformity that occurs 1 in 1,000 live births. Clubfoot
is characterized by four types of foot deformities: hindfoot equinus; midfoot
cavus; forefoot adductus; and hindfoot varus. A structured assessment method for
clubfoot is essential for quantifying the initial severity of clubfoot deformity
and recording the progress of clubfoot intervention. Aim: This study aims to
develop a three-dimensional (3D) assessment method to evaluate the initial
severity of the clubfoot and monitor the structural changes of the clubfoot after
each casting intervention. In addition, this study explores the relationship
between the thermophysiological changes in the clubfoot at each stage of the
casting intervention and in the normal foot. Methods: In this study, a total of
10 clubfoot children who are <2 years old will be recruited. Also, the data of
the unaffected feet of a total of 10 children with unilateral clubfoot will be
obtained as a reference for normal feet. A Kinect 3D scanner will be used to
collect the 3D images of the clubfoot and normal foot, and an Infrared
thermography camera (IRT camera) will be used to collect the thermal images of
the clubfoot. Three-dimensional scanning and IR imaging will be performed on the
foot once a week before casting. In total, 6-8 scanning sessions will be
performed for each child participant. The following parameters will be calculated
as outcome measures to predict, monitor, and quantify the severity of the
clubfoot: Angles cross section parameters, such as length, width, and the radial
distance; distance between selected anatomical landmarks, and skin temperature of
the clubfoot and normal foot. The skin temperature will be collected on selected
areas (forefoot, mid foot, and hindfoot) to find out the relationship between the
thermophysiological changes in the clubfoot at each stage of the casting
treatment and in the normal foot. Ethics: The study has been reviewed and
approved on 17 August 2016 by the Sydney Children's Hospitals Network Human
Research Ethics Committee (SCHN HREC), Sydney, Australia. The Human Research
Ethics Committee (HREC) registration number for this study is: HREC/16/SCHN/163.
PMID- 29354069
TI - Applications of Dynamic Clamp to Cardiac Arrhythmia Research: Role in Drug Target
Discovery and Safety Pharmacology Testing.
AB - Dynamic clamp, a hybrid-computational-experimental technique that has been used
to elucidate ionic mechanisms underlying cardiac electrophysiology, is emerging
as a promising tool in the discovery of potential anti-arrhythmic targets and in
pharmacological safety testing. Through the injection of computationally
simulated conductances into isolated cardiomyocytes in a real-time continuous
loop, dynamic clamp has greatly expanded the capabilities of patch clamp outside
traditional static voltage and current protocols. Recent applications include
fine manipulation of injected artificial conductances to identify promising drug
targets in the prevention of arrhythmia and the direct testing of model-based
hypotheses. Furthermore, dynamic clamp has been used to enhance existing
experimental models by addressing their intrinsic limitations, which increased
predictive power in identifying pro-arrhythmic pharmacological compounds. Here,
we review the recent advances of the dynamic clamp technique in cardiac
electrophysiology with a focus on its future role in the development of safety
testing and discovery of anti-arrhythmic drugs.
PMID- 29354070
TI - Deficiency of Carbonic Anhydrase II Results in a Urinary Concentrating Defect.
AB - Carbonic anhydrase II (CAII) is expressed along the nephron where it interacts
with a number of transport proteins augmenting their activity. Aquaporin-1 (AQP1)
interacts with CAII to increase water flux through the water channel. Both CAII
and aquaporin-1 are expressed in the thin descending limb (TDL); however, the
physiological role of a CAII-AQP1 interaction in this nephron segment is not
known. To determine if CAII was required for urinary concentration, we studied
water handling in CAII-deficient mice. CAII-deficient mice demonstrate polyuria
and polydipsia as well as an alkaline urine and bicarbonaturia, consistent with a
type III renal tubular acidosis. Natriuresis and hypercalciuria cause polyuria,
however, CAII-deficient mice did not have increased urinary sodium nor calcium
excretion. Further examination revealed dilute urine in the CAII-deficient mice.
Urinary concentration remained reduced in CAII-deficient mice relative to wild
type animals even after water deprivation. The renal expression and localization
by light microscopy of NKCC2 and aquaporin-2 was not altered. However, CAII
deficient mice had increased renal AQP1 expression. CAII associates with and
increases water flux through aquaporin-1. Water flux through aquaporin-1 in the
TDL of the loop of Henle is essential to the concentration of urine, as this is
required to generate a concentrated medullary interstitium. We therefore measured
cortical and medullary interstitial concentration in wild-type and CAII-deficient
mice. Mice lacking CAII had equivalent cortical interstitial osmolarity to wild
type mice: however, they had reduced medullary interstitial osmolarity. We
propose therefore that reduced water flux through aquaporin-1 in the TDL in the
absence of CAII prevents the generation of a maximally concentrated medullary
interstitium. This, in turn, limits urinary concentration in CAII deficient mice.
PMID- 29354071
TI - Action Potential Recording and Pro-arrhythmia Risk Analysis in Human Ventricular
Trabeculae.
AB - To assess drug-induced pro-arrhythmic risk, especially Torsades de Pointe (TdP),
new models have been proposed, such as in-silico modeling of ventricular action
potential (AP) and stem cell-derived cardiomyocytes (SC-CMs). Previously we
evaluated the electrophysiological profile of 15 reference drugs in hESC-CMs and
hiPSC-CMs for their effects on intracellular AP and extracellular field
potential, respectively. Our findings indicated that SC-CMs exhibited immature
phenotype and had the propensity to generate false positives in predicting TdP
risk. To expand our knowledge with mature human cardiac tissues for drug-induced
pro-arrhythmic risk assessment, human ventricular trabeculae (hVT) from ethically
consented organ donors were used to evaluate the effects of the same 15 drugs (8
torsadogenic, 5 non-torsadogenic, and 2 discovery molecules) on AP parameters at
1 and 2 Hz. Each drug was tested blindly with 4 concentrations in duplicate
trabeculae from 2 hearts. To identify the pro-arrhythmic risk of each drug, a pro
arrhythmic score was calculated as the weighted sum of percent drug-induced
changes compared to baseline in various AP parameters, including AP duration and
recognized pro-arrhythmia predictors such as triangulation, beat-to-beat
variability and incidence of early-afterdepolarizations, at each concentration.
In addition, to understand the translation of this preclinical hVT AP-based model
to clinical studies, a ratio that relates each testing concentration to the human
therapeutic unbound Cmax (Cmax) was calculated. At a ratio of 10, for the 8
torsadogenic drugs, 7 were correctly identified by the pro-arrhythmic score; 1
was mislabeled. For the 5 non-torsadogenic drugs, 4 were correctly identified as
safe; 1 was mislabeled. Calculation of sensitivity, specificity, positive
predictive value, and negative predictive value indicated excellent performance.
For example, at a ratio of 10, scores for sensitivity, specificity, positive
predictive value and negative predictive values were 0.88, 0.8, 0.88 and 0.8,
respectively. Thus, the hVT AP-based model combined with the integrated analysis
of pro-arrhythmic score can differentiate between torsadogenic and non
torsadogenic drugs, and has a greater predictive performance when compared to
human SC-CM models.
PMID- 29354072
TI - Obesity or Overweight, a Chronic Inflammatory Status in Male Reproductive System,
Leads to Mice and Human Subfertility.
AB - Obesity is frequently accompanied with chronic inflammation over the whole body
and is always associated with symptoms that include those arising from metabolic
and vascular alterations. On the other hand, the chronic inflammatory status in
the male genital tract may directly impair spermatogenesis and is even associated
with male subfertility. However, it is still unclear if the chronic inflammation
induced by obesity damages spermatogenesis in the male genital tract. To address
this question, we used a high fat diet (HFD) induced obese mouse model and
recruited obese patients from the clinic. We detected increased levels of tumor
necrosis factor (TNF-alpha), interleukin-6 (IL-6), and NOD-like receptor family
pyrin domain containing-3 (NLRP3) in genital tract tissues including testis,
epididymis, seminal vesicle, prostate, and serum from obese mice. Meanwhile, the
levels of immunoglobulin G (IgG) and corticosterone were significantly higher
than those in the control group in serum. Moreover, signal factors regulated by
TNF-alpha, i.e., p38, nuclear factor-kappaB (NF-kappaB), Jun N-terminal kinase
(JNK), extracellular signal-regulated kinase (ERK), and their phosphorylated
status, and inflammasome protein NLRP3 were expressed at higher levels in the
testis. For overweight and obese male patients, the increased levels of TNF-alpha
and IL-6 were also observed in their seminal plasma. Furthermore, there was a
positive correlation between the TNF-alpha and IL-6 levels and BMI whereas they
were inversely correlated with the sperm concentration and motility. In
conclusion, impairment of male fertility may stem from a chronic inflammatory
status in the male genital tract of obese individuals.
PMID- 29354074
TI - Voluntary Wheel Running Does Not Alter Mortality to or Immunogenicity of Vaccinia
Virus in Mice: A Pilot Study.
AB - Exercise has been shown to improve immune responses to viral infections and
vaccines in several mouse models. However, previous pathogen studies have
primarily used infections limited to the respiratory tract. Additionally,
previous studies have utilized forced treadmill exercise paradigms, and voluntary
wheel running (VWR) has been shown to have differential effects on the immune
system in non-infection models. We examined whether VWR could improve morbidity
and mortality to a 50% lethal dose of vaccinia virus (VACV), a systemic pathogen
commonly used to examine immune responses. Additionally, we examined whether VWR
could improve antibody response to a replication-deficient strain of VACV,
mimicking a vaccination. Male C57Bl/6J mice underwent 8 weeks of VWR or remained
sedentary, then were infected intranasally with 105 PFU VACV strain WR and
followed 14 days for weight loss. Mice in the vaccination study ran or were
sedentary for 8 weeks, then were given 106 PFU of replication-deficient VACV
strain MVA intraperitoneally. Blood was collected at 1, 2, and 4 weeks post
inoculation, and anti-VACV IgG titer was determined by ELISA. VWR did not improve
mortality due to VACV infection (p = 0.26), although fewer VWR mice (4/10) died
compared to sedentary (SED, 6/10). VWR did not prevent body weight loss due to
infection compared to SED (p = 0.20), although VWR mice loss slightly less weight
compared to SED through the first 6 days post-infection. Food intake was
significantly reduced in SED post-infection compared to VWR (p = 0.05). VWR mice
developed a greater IgG antibody response, although this was not significant (p =
0.22). In summary, VWR did not protect against mortality to VACV or prevent
infection-induced weight loss, and VWR did not enhance antibody responses.
However, there were non-significant trends toward VWR-related improvements in
these outcomes, and post-infection food intake was improved by VWR.
PMID- 29354073
TI - Influence of Maturation Status on Eccentric Exercise-Induced Muscle Damage and
the Repeated Bout Effect in Females.
AB - This study compared changes in indirect muscle damage markers, proprioception and
arterial stiffness after elbow flexor eccentric exercise between pre-pubescent (9
10 y), pubescent (14-15 y), and post-pubescent (20-24 y) healthy, untrained
females (n = 13/group). The maturation of the participants was confirmed by the
hand bone age. All participants performed two bouts of 30 sub-maximal eccentric
contractions (EC1, EC2) using a dumbbell set at 60% of pre-exercise maximal
voluntary isometric elbow flexion strength at 90 degrees . Changes in maximal
voluntary concentric contraction (MVC) torque, muscle soreness (SOR), plasma
creatine kinase activity, proprioception (position sense, joint reaction angle)
and arterial stiffness (carotid-femoral pulse-wave velocity: cfPWV) before to 5
days after EC1 and EC2 were compared among groups by a mixed-design two-way
ANOVA. Pre-exercise MVC torque and cfPWV were smaller (P < 0.05) for the pre
pubescent (MVC: 10.0 +/- 0.9 Nm, cfPWV: 903 +/- 60 cm/s) and the pubescent (14.3
+/- 1.1 Nm, 967 +/- 61 cm/s) than the post-pubescent (19.1 +/- 1.4 Nm, 1,103 +/-
73 cm/s). Changes in all variables after EC1 were smaller (P < 0.05) for the pre
pubescent (e.g., MVC at 1 d post-exercise: -10 +/- 6%, peak SOR: 5 +/- 2 mm) than
the pubescent (-15 +/- 9%, 12 +/- 6 mm) and the post-pubescent (-25 +/- 7%, 19 +/
13 mm). After EC2, changes in all variables were smaller (P < 0.05) than those
after EC1 for all groups (e.g., MVC at 1 d post-exercise, pre-pubescent: -4 +/-
6%, pubescent: -9 +/- 4%, post-pubescent: -14 +/- 5%; peak SOR: 3 +/- 2, 7 +/- 3,
11 +/- 6 mm), but the magnitude of the repeated bout effect was not different (P
> 0.05) among the groups. These results show that the extents of muscle damage,
and proprioception and arterial stiffness changes after eccentric exercise are
greater at later stages of maturation, but the repeated bout effect is not
affected by maturation.
PMID- 29354076
TI - Unable or Unwilling to Exercise Self-control? The Impact of Neuroscience on
Perceptions of Impulsive Offenders.
AB - In growing numbers of court cases, neuroscience is presented to document the
mental state of the offender at the level of the brain. While a small body of
research has documented the effects of describing the brain state of psychotic
offenders, this study tested the impact of neuroscience that could apply to far
more offenders; that is the neuroscience of impulse control. In this online
vignette experiment, 759 participants sentenced a normally controlled or normally
impulsive actor, who committed a violent offense on impulse, explained in either
cognitive or neurobiological terms. Although participants considered the
neurobiological actor less responsible for his impulsive disposition than the
cognitive actor, the neuroscientific testimony did not affect attributions of
choice, blame, dangerousness, or punishment for the criminal act. In fact, the
neuroscientific testimony exacerbated the perception that the offender offended
consciously and "really wanted" to offend. The described disposition of the actor
was also influential: participants attributed more capacity for reform, more free
choice and consequently, more blame to the normally controlled actor.
Participants also attributed this actor's offending more to his social life
experiences and less to his genes and brain. However, this shift in attributions
was unable to explain the greater blame directed at this offender. Together, such
findings suggest that even when neuroscience changes attributions for impulsive
character, attributions for impulsive offending may remain unchanged. Hence this
study casts doubt on the mitigating and aggravating potential of neuroscientific
testimony in court.
PMID- 29354077
TI - Constraints on Tone Sensitivity in Novel Word Learning by Monolingual and
Bilingual Infants: Tone Properties Are More Influential than Tone Familiarity.
AB - This study compared tone sensitivity in monolingual and bilingual infants in a
novel word learning task. Tone language learning infants (Experiment 1, Mandarin
monolingual; Experiment 2, Mandarin-English bilingual) were tested with Mandarin
(native) or Thai (non-native) lexical tone pairs which contrasted static vs.
dynamic (high vs. rising) tones or dynamic vs. dynamic (rising vs. falling)
tones. Non-tone language, English-learning infants (Experiment 3) were tested on
English intonational contrasts or the Mandarin or Thai tone contrasts.
Monolingual Mandarin language infants were able to bind tones to novel words for
the Mandarin High-Rising contrast, but not for the Mandarin Rising-Falling
contrast; and they were insensitive to both the High-Rising and the Rising
Falling tone contrasts in Thai. Bilingual English-Mandarin infants were similar
to the Mandarin monolinguals in that they were sensitive to the Mandarin High
Rising contrast and not to the Mandarin Rising-Falling contrast. However, unlike
the Mandarin monolinguals, they were also sensitive to the High Rising contrast
in Thai. Monolingual English learning infants were insensitive to all three types
of contrasts (Mandarin, Thai, English), although they did respond differentially
to tone-bearing vs. intonation-marked words. Findings suggest that infants'
sensitivity to tones in word learning contexts depends heavily on tone
properties, and that this influence is, in some cases, stronger than effects of
language familiarity. Moreover, bilingual infants demonstrated greater
phonological flexibility in tone interpretation.
PMID- 29354075
TI - Early Retirement: A Meta-Analysis of Its Antecedent and Subsequent Correlates.
AB - Early or voluntary retirement (ER) can be defined as the full exit from an
organizational job or career path of long duration, decided by individuals of a
certain age at the mid or late career before mandatory retirement age, with the
aim of reducing their attachment to work and closing a process of gradual
psychological disengagement from working life. Given the swinging movements that
characterize employment policies, the potential effects of ER-both for
individuals and society-are still controversial. This meta-analysis examined the
relationships between ER and its antecedent and subsequent correlates. Our review
of the literature was generated with 151 empirical studies, containing a total
number of 706,937 participants, with a wide range of sample sizes (from N = 27 to
N = 127,384 participants) and 380 independent effect sizes (ESs), which included
171 independent samples. A negligible ES value for antecedent correlates of early
retirement (family pull, job stress, job satisfaction, and income) was obtained
(which ranged from r = -0.13 to 0.19), while a fair ES was obtained for workplace
timing for retirement, organizational pressures, financial security, and poor
physical and mental health, (ranging from r = 0.28 to 0.25). Regarding ER
subsequent correlates, poor ESs were obtained, ranging from r = 0.08 to 0.18 for
the relationships with subsequent correlates, and fair ESs only for social
engagement (r = -0.25). Examination of the potential moderator variables has been
conducted. Only a reduced percentage of variability of primary studies has been
explained by moderators. Although potential moderator factors were examined,
there are several unknown or not measurable factors which contribute to ER and
about which there are very little data available. The discussion is aimed to
offer theoretical and empirical implications suggestion in order to improve
employee's well-being.
PMID- 29354079
TI - Integrated, Not Isolated: Defining Typological Proximity in an Integrated
Multilingual Architecture.
AB - On the surface, bi- and multilingualism would seem to be an ideal context for
exploring questions of typological proximity. The obvious intuition is that the
more closely related two languages are, the easier it should be to implement the
two languages in one mind. This is the starting point adopted here, but we
immediately run into the difficulty that the overwhelming majority of cognitive,
computational, and linguistic research on bi- and multilingualism exhibits a
monolingual bias (i.e., where monolingual grammars are used as the standard of
comparison for outputs from bilingual grammars). The primary questions so far
have focused on how bilinguals balance and switch between their two languages,
but our perspective on typology leads us to consider the nature of bi- and multi
lingual systems as a whole. Following an initial proposal from Hsin (2014), we
conjecture that bilingual grammars are neither isolated, nor (completely)
conjoined with one another in the bilingual mind, but rather exist as integrated
source grammars that are further mitigated by a common, combined grammar (Cook,
2016; Goldrick et al., 2016a,b; Putnam and Klosinski, 2017). Here we conceive
such a combined grammar in a parallel, distributed, and gradient architecture
implemented in a shared vector-space model that employs compression through
routinization and dimensionality reduction. We discuss the emergence of such
representations and their function in the minds of bilinguals. This architecture
aims to be consistent with empirical results on bilingual cognition and memory
representations in computational cognitive architectures.
PMID- 29354078
TI - Learning to Detect Deception from Evasive Answers and Inconsistencies across
Repeated Interviews: A Study with Lay Respondents and Police Officers.
AB - Previous research has shown that inconsistencies across repeated interviews do
not indicate deception because liars deliberately tend to repeat the same story.
However, when a strategic interview approach that makes it difficult for liars to
use the repeat strategy is used, both consistency and evasive answers differ
significantly between truth tellers and liars, and statistical software (binary
logistic regression analyses) can reach high classification rates (Masip et al.,
2016b). Yet, if the interview procedure is to be used in applied settings the
decision process will be made by humans, not statistical software. To address
this issue, in the current study, 475 college students (Experiment 1) and 142
police officers (Experiment 2) were instructed to code and use consistency,
evasive answers, or a combination or both before judging the veracity of Masip et
al.'s (2016b) interview transcripts. Accuracy rates were high (60% to over 90%).
Evasive answers yielded higher rates than consistency, and the combination of
both these cues produced the highest accuracy rates in identifying both truthful
and deceptive statements. Uninstructed participants performed fairly well (around
75% accuracy), apparently because they spontaneously used consistency and evasive
answers. The pattern of results was the same among students, all officers, and
veteran officers only, and shows that inconsistencies between interviews and
evasive answers reveal deception when a strategic interview approach that hinders
the repeat strategy is used.
PMID- 29354080
TI - Modeling Music Emotion Judgments Using Machine Learning Methods.
AB - Emotion judgments and five channels of physiological data were obtained from 60
participants listening to 60 music excerpts. Various machine learning (ML)
methods were used to model the emotion judgments inclusive of neural networks,
linear regression, and random forests. Input for models of perceived emotion
consisted of audio features extracted from the music recordings. Input for models
of felt emotion consisted of physiological features extracted from the
physiological recordings. Models were trained and interpreted with consideration
of the classic debate in music emotion between cognitivists and emotivists. Our
models supported a hybrid position wherein emotion judgments were influenced by a
combination of perceived and felt emotions. In comparing the different ML
approaches that were used for modeling, we conclude that neural networks were
optimal, yielding models that were flexible as well as interpretable. Inspection
of a committee machine, encompassing an ensemble of networks, revealed that
arousal judgments were predominantly influenced by felt emotion, whereas valence
judgments were predominantly influenced by perceived emotion.
PMID- 29354081
TI - Gambling-Related Distortions and Problem Gambling in Adolescents: A Model to
Explain Mechanisms and Develop Interventions.
AB - Although a number of gambling preventive initiatives have been realized with
adolescents, many of them have been developed in absence of a clear and
explicitly described theoretical model. The present work was aimed to analyze the
adequacy of a model to explain gambling behavior referring to gambling-related
cognitive distortions (Study 1), and to verify the effectiveness of a preventive
intervention developed on the basis of this model (Study 2). Following dual
process theories on cognitive functioning, in Study 1 we tested a model in which
mindware gap, i.e., susceptibility to the gambler's fallacy, and contaminated
mindware, i.e., superstitious thinking, were the antecedents of gambling-related
cognitive distortions that, in turn, affect gambling frequency and problem
gambling. Participants were 306 male adolescents (Mage = 17.2 years). A path
analysis indicated that cognitive distortions have a mediating role in the
relationship that links probabilistic reasoning fallacy and superstitious
thinking with problem gambling. Following these findings, in Study 2 we developed
a school-based intervention aimed to reduce gambling-related cognitive
distortions acting on the above cited mindware problems. A pre- and post-test
design - with a 6 months follow-up - was performed with 34 male adolescents (Mage
= 16.8), randomly assigned to two groups (Training and No Training), and their
baseline equivalence was verified. A Mixed 2 * 2 ANOVA attested a significant
Time X Group interaction, indicating a significant reduction of the cognitive
distortions from pre-test to post-test only in the Training group. The follow-up
attested to the stability of the training effects and the reduction of gambling
frequency over time. These findings suggest that prevention strategies should
address mindware problems, which can be considered as predictors of gambling
related cognitive distortions.
PMID- 29354083
TI - Do Lessons in Nature Boost Subsequent Classroom Engagement? Refueling Students in
Flight.
AB - Teachers wishing to offer lessons in nature may hold back for fear of leaving
students keyed up and unable to concentrate in subsequent, indoor lessons. This
study tested the hypothesis that lessons in nature have positive-not negative
aftereffects on subsequent classroom engagement. Using carefully matched pairs of
lessons (one in a relatively natural outdoor setting and one indoors), we
observed subsequent classroom engagement during an indoor instructional period,
replicating these comparisons over 10 different topics and weeks in the school
year, in each of two third grade classrooms. Pairs were roughly balanced in how
often the outdoor lesson preceded or followed the classroom lesson. Classroom
engagement was significantly better after lessons in nature than after their
matched counterparts for four of the five measures developed for this study:
teacher ratings; third-party tallies of "redirects" (the number of times the
teacher stopped instruction to direct student attention back onto the task at
hand); independent, photo-based ratings made blind to condition; and a composite
index each showed a nature advantage; student ratings did not. This nature
advantage held across different teachers and held equally over the initial and
final 5 weeks of lessons. And the magnitude of the advantage was large. In 48 out
of 100 paired comparisons, the nature lesson was a full standard deviation better
than its classroom counterpart; in 20 of the 48, the nature lesson was over two
standard deviations better. The rate of "redirects" was cut almost in half after
a lesson in nature, allowing teachers to teach for longer periods uninterrupted.
Because the pairs of lessons were matched on teacher, class (students and
classroom), topic, teaching style, week of the semester, and time of day, the
advantage of the nature-based lessons could not be attributed to any of these
factors. It appears that, far from leaving students too keyed up to concentrate
afterward, lessons in nature may actually leave students more able to engage in
the next lesson, even as students are also learning the material at hand. Such
"refueling in flight" argues for including more lessons in nature in formal
education.
PMID- 29354082
TI - The Comprehension of Familiar and Novel Metaphoric Meanings in Schizophrenia: A
Pilot Study.
AB - Miscomprehension of nonliteral ("figurative") language like metaphors, proverbs,
idioms, and ironic expressions by patients with schizophrenia is a phenomenon
mentioned already in historical psychiatric descriptions. However, it was only
recently that studies did differentiate between novel and conventional metaphors,
a factor that is known to influence the difficulty of comprehension in healthy
subjects. Further, familiarity with stimuli is an important factor for
comprehension, which was not recommended in utmost previous studies. In this
study, 23 patients with DSM IV schizophrenia and 19 healthy control subjects
performed a newly-developed German metaphor comprehension test with three types
of stimuli: novel metaphors, conventional German metaphors, and meaningless
statements. During the test procedure, participants indicated familiarity with
the stimulus and then matched the meaning with one out of four given
alternatives. Familiarity rankings did not significantly differ between patients
and control subjects. However, on descriptive level, there was a tendency for
healthy controls to be more familiar with conventional metaphors than
schizophrenic patients. Further, comprehension of conventional and novel
metaphors differed significantly between the groups, with higher performance in
healthy controls. Considering only those metaphors that had been ranked as
familiar, patients only revealed significant lower performance opposed to
controls regarding novel metaphors, while they did not differ in conventional
metaphors. Taken together, the results indicate that patients with schizophrenia
might show an altered way of comprehension in novel metaphors, leading to more
misunderstandings. However, their previously reported impairments in conventional
metaphors might rather be due to a lack of familiarity with the stimuli-making
conventional metaphors to novel metaphors in the individual case.
PMID- 29354084
TI - Detection of Ludic Patterns in Two Triadic Motor Games and Differences in
Decision Complexity.
AB - The triad is a particular structure in which an ambivalent social relationship
takes place. This work is focused on the search of behavioral regularities in the
practice of motor games in triad, which is a little known field. For the
detection of behavioral patterns not visible to the naked eye, we use Theme. A
chasing games model was followed, with rules, and in two different structures (A<
>B<->C<->A and A -> B -> C -> A) on four class groups (two for each structure),
for a total of 84, 12, and 13 year old secondary school students, 37 girls (44%)
and 47 boys (56%). The aim was to examine if the players' behavior, in relation
to the triad structure, matches with any ludic behavior patterns. An
observational methodology was applied, with a nomothetic, punctual and
multidimensional design. The intra and inter-evaluative correlation coefficients
and the generalizability theory ensured the quality of the data. A mixed
behavioral role system was used (four criteria and 15 categories), and the
pattern detection software Theme was applied to detect temporal regularities in
the order of event occurrences. The results show that time location of motor
responses in triad games was not random. In the "maze" game we detected more
complex ludic patterns than the "three fields" game, which might be explained by
means of structural determinants such as circulation. This research points out
the decisional complexity in motor games, and it confirms the differences among
triads from the point of view of motor communication.
PMID- 29354085
TI - Modulation of Spatial Attentional Allocation by Computer-Based Cognitive Training
during Lacrosse Shooting Performance.
AB - It has been reported that repetitive execution of a stimulus-response
compatibility (SRC) task attenuates the interference effect of a choice reaction
time task, known as a Simon task. We investigated whether attentional control,
enhanced by repetitive execution of an SRC task, would reduce the interference
effect of a Simon task and could be transferred to lacrosse shooting skills,
increasing the likelihood that players would shoot in the direction opposite to
the goalie's initial movement. Female lacrosse players who were matched in terms
of age, handedness score, competitive lacrosse playing experience, and playing
position, were allocated to the SRC task group (n = 15) or the 2-back training
group (n = 14). Participants underwent 10 sessions of 180 trials of a computer
based version of either a Type 2 SRC task or the 2-back task, within four
consecutive weeks. Eight practice trials were completed prior to the execution of
each task in every training session, during which feedback was provided to
confirm accurate mapping between the stimulus and response. Before and after the
training phase, both the magnitude of the Simon effect and the lacrosse shooting
performance were assessed. After participating in computer-based cognitive
training, players did indeed increase the number of shots toward the direction
opposite to that of the movement of the goalie. In conclusion, these findings
indicate that computer-based cognitive training is beneficial for improving the
shooting ability of lacrosse players.
PMID- 29354086
TI - Further Evidence That N2pc Reflects Target Enhancement Rather Than Distracter
Suppression.
AB - The N2-posterior-contralateral (N2pc) component is an index in the domain of
event-related potentials for exploring the underlying mechanism of visual-spatial
attention. It has been disputed whether the attentional selection reflected by
N2pc is primarily due to distracter suppression or target enhancement processes.
We addressed this controversy by combining the pop-out item and the target
feature, and instructed participants whether the pop-out item included the target
feature. Thus, in a visual search task, bilateral visual stimuli including a pop
out item and three distractors were displayed simultaneously. The pop-out
detection was analyzed under varying two factors: (a) pop-out item as a target or
non-target (b) the distractors containing a target feature or non-target feature.
Although all conditions had a salient effect on behavioral performance, the
reliable difference of N2pc existed only between the target condition and the non
target condition. These results provided strong support for the hypothesis of
target enhancement processes.
PMID- 29354087
TI - Matching Your Face or Appraising the Situation: Two Paths to Emotional Contagion.
AB - Emotions are believed to converge both through emotional mimicry and social
appraisal. The present study compared contagion of anger and happiness. In
Experiment 1, participants viewed dynamic angry and happy faces, with facial
electromyography recorded from the zygomaticus major and corrugator supercilii as
emotional mimicry. Self-reported emotional experiences were analyzed as emotional
contagion. Experiment 2 manipulated social appraisal as the gaze of expression
toward the target. The results showed that there was emotional contagion for
angry and happy expressions both in Experiment 1 and Experiment 2. Experiment 1
indicated an overt mimicry pattern for happy faces, but not for angry faces.
Experiment 2 found an influence of social appraisal on angry contagion but not on
happy diffusion. The two experiments suggest that the underlying processes of
emotional mimicry and social appraisal are differentially relevant for different
emotional contagion, with happiness processing following a mimicry-based path to
emotional contagion, and anger processing requiring social appraisal.
PMID- 29354088
TI - A Framework to Assess Where and How Children Connect to Nature.
AB - The design of the green infrastructure in urban areas largely ignores how
people's relation to nature, or human-nature connection (HNC), can be nurtured.
One practical reason for this is the lack of a framework to guide the assessment
of where people, and more importantly children, experience significant nature
situations and establish nature routines. This paper develops such a framework.
We employed a mixed-method approach to understand what qualities of nature
situations connect children to nature (RQ1), what constitutes children's HNC
(RQ2), and how significant nature situations and children's HNC relate to each
other over time (RQ3). We first interviewed professionals in the field of
connecting children to nature (N = 26), performed inductive thematic analysis of
these interviews, and then further examined the inductive findings by surveying
specialists (N = 275). We identified 16 qualities of significant nature
situations (e.g., "awe," "engagement of senses," "involvement of mentors") and 10
abilities that constitute children's HNC (e.g., "feeling comfortable in natural
spaces," "feeling attached to natural spaces," "taking care of nature"). We
elaborated three principles to answer our research questions: (1) significant
nature situations are various and with differing consequences for children's HNC;
(2) children's HNC is a complex embodied ability; (3) children's HNC progresses
over time through diverse nature routines. Together, these findings form the
Assessment framework for Children's Human Nature Situations (ACHUNAS). ACHUNAS is
a comprehensive framework that outlines what to quantify or qualify when
assessing "child-nature connecting" environments. It guides the assessment of
where and how children connect to nature, stimulating both the design of nature
connecting human habitats as well as pedagogical approaches to HNC.
PMID- 29354089
TI - Expectation-Maximization-Maximization: A Feasible MLE Algorithm for the Three
Parameter Logistic Model Based on a Mixture Modeling Reformulation.
AB - Stable maximum likelihood estimation (MLE) of item parameters in 3PLM with a
modest sample size remains a challenge. The current study presents a mixture
modeling approach to 3PLM based on which a feasible Expectation-Maximization
Maximization (EMM) MLE algorithm is proposed. The simulation study indicates that
EMM is comparable to the Bayesian EM in terms of bias and RMSE. EMM also produces
smaller standard errors (SEs) than MMLE/EM. In order to further demonstrate the
feasibility, the method has also been applied to two real-world data sets. The
point estimates in EMM are close to those from the commercial programs, BILOG-MG
and flexMIRT, but the SEs are smaller.
PMID- 29354090
TI - Fatigue and Sleep in Multiple Sclerosis Patients: A Comparison of Self-Report and
Performance-Based Measures.
AB - Background: Multiple sclerosis (MS) patients suffer very often from MS fatigue
and sleep problems. Despite the detrimental impact on the activities of daily
living, a short and objective quantification of fatigue and sleep problems is
currently lacking. Objective: The objective of the study was to systematically
investigate tonic, intrinsic, and phasic alertness and the relationship of these
performance-based measures with self-report measures of fatigue and quality of
sleep. Methods: Thirty-three MS patients without (MS-) and 26 with selected
comorbid disorders (MS+) and 43 healthy controls (HCs) performed the
pupillographic sleepiness test (measuring tonic alertness) and the alertness
subtest of the Test of Attentional Performance (measuring intrinsic and phasic
alertness). Results: Self-reported and performance-based measures revealed poorer
performance for both MS groups compared to HC. MS+ patients presented higher
rates of MS fatigue, sleep problems and depressive symptoms but similar alertness
scores compared to MS- patients. However, tonic alertness was only higher in MS-
patients compared to HC. Intrinsic and phasic alertness correlated moderately
with fatigue ratings. Conclusion: In the diagnostic process of MS fatigue and
quality of sleep comorbid disorders (depression, anemia, thyroid dysfunction) and
performance-based measures such as alertness should be considered in daily
clinical practice.
PMID- 29354091
TI - Electroencephalogram-Electromyography Coupling Analysis in Stroke Based on
Symbolic Transfer Entropy.
AB - The coupling strength between electroencephalogram (EEG) and electromyography
(EMG) signals during motion control reflects the interaction between the cerebral
motor cortex and muscles. Therefore, neuromuscular coupling characterization is
instructive in assessing motor function. In this study, to overcome the
limitation of losing the characteristics of signals in conventional time series
symbolization methods, a variable scale symbolic transfer entropy (VS-STE)
analysis approach was proposed for corticomuscular coupling evaluation. Post
stroke patients (n = 5) and healthy volunteers (n = 7) were recruited and
participated in various tasks (left and right hand gripping, elbow bending). The
proposed VS-STE was employed to evaluate the corticomuscular coupling strength
between the EEG signal measured from the motor cortex and EMG signal measured
from the upper limb in both the time-domain and frequency-domain. Results showed
a greater strength of the bi-directional (EEG-to-EMG and EMG-to-EEG) VS-STE in
post-stroke patients compared to healthy controls. In addition, the strongest EEG
EMG coupling strength was observed in the beta frequency band (15-35 Hz) during
the upper limb movement. The predefined coupling strength of EMG-to-EEG in the
affected side of the patient was larger than that of EEG-to-EMG. In conclusion,
the results suggested that the corticomuscular coupling is bi-directional, and
the proposed VS-STE can be used to quantitatively characterize the non-linear
synchronization characteristics and information interaction between the primary
motor cortex and muscles.
PMID- 29354092
TI - Action Observation Plus Sonification. A Novel Therapeutic Protocol for
Parkinson's Patient with Freezing of Gait.
AB - Freezing of gait (FoG) is a disabling symptom associated with falls, with little
or no responsiveness to pharmacological treatment. Current protocols used for
rehabilitation are based on the use of external sensory cues. However, cued
strategies might generate an important dependence on the environment. Teaching
motor strategies without cues [i.e., action observation (AO) plus Sonification]
could represent an alternative/innovative approach to rehabilitation that matters
most on appropriate allocation of attention and lightening cognitive load. We
aimed to test the effects of a novel experimental protocol to treat patients with
Parkinson's disease (PD) and FoG, using functional, and clinical scales. The
experimental protocol was based on AO plus Sonification. 12 patients were treated
with 8 motor gestures. They watched eight videos showing an actor performing the
same eight gestures, and then tried to repeat each gesture. Each video was
composed by images and sounds of the gestures. By means of the Sonification
technique, the sounds of gestures were obtained by transforming kinematic data
(velocity) recorded during gesture execution, into pitch variations. The same 8
motor gestures were also used in a second group of 10 patients; which were
treated with a standard protocol based on a common sensory stimulation method.
All patients were tested with functional and clinical scales before, after, at 1
month, and 3 months after the treatment. Data showed that the experimental
protocol have positive effects on functional and clinical tests. In comparison
with the baseline evaluations, significant performance improvements were seen in
the NFOG questionnaire, and the UPDRS (parts II and III). Importantly, all these
improvements were consistently observed at the end, 1 month, and 3 months after
treatment. No improvement effects were found in the group of patients treated
with the standard protocol. These data suggest that a multisensory approach based
on AO plus Sonification, with the two stimuli semantically related, could help PD
patients with FoG to relearn gait movements, to reduce freezing episodes, and
that these effects could be prolonged over time.
PMID- 29354093
TI - Kisspeptin/Kisspeptin Receptor System in the Ovary.
AB - Kisspeptins are a family of neuropeptides that are critical for initiating
puberty and regulating ovulation in sexually mature females via the central
control of the hypothalamic-pituitary-gonadal axis. Recent studies have shown
that kisspeptin and its receptor kisspeptin receptor (KISS1R) are expressed in
the mammalian ovary. Convincing evidence indicates that kisspeptins can activate
a wide variety of signals via its binding to KISS1R. Experimental data gathered
recently suggest a putative role of kisspeptin signaling in the direct control of
ovarian function, including follicular development, oocyte maturation,
steroidogenesis, and ovulation. Dysregulation or naturally occurring mutations of
the kisspeptin/KISS1R system may negatively affect the ovarian function, leading
to reproductive pathology or female infertility. A comprehensive understanding of
the expression, actions, and underlying molecular mechanisms of this system in
the human ovary is essential for novel approaches to therapeutic and diagnostic
interventions in reproductive diseases and infertility.
PMID- 29354095
TI - Watch Out for the "Living Dead": Cell-Free Enzymes and Their Fate.
AB - Microbes are the engines driving biogeochemical cycles. Microbial extracellular
enzymatic activities (EEAs) are the "gatekeepers" of the carbon cycle. The total
EEA is the sum of cell-bound (i.e., cell-attached), and dissolved (i.e., cell
free) enzyme activities. Cell-free enzymes make up a substantial proportion (up
to 100%) of the total marine EEA. Although we are learning more about how
microbial diversity and function (including total EEA) will be affected by
environmental changes, little is known about what factors control the importance
of the abundant cell-free enzymes. Since cell-attached EEAs are linked to the
cell, their fate will likely be linked to the factors controlling the cell's
fate. In contrast, cell-free enzymes belong to a kind of "living dead" realm
because they are not attached to a living cell but still are able to perform
their function away from the cell; and as such, the factors controlling their
activity and fate might differ from those affecting cell-attached enzymes. This
article aims to place cell-free EEA into the wider context of hydrolysis of
organic matter, deal with recent studies assessing what controls the production,
activity and lifetime of cell-free EEA, and what their fate might be in response
to environmental stressors. This perspective article advocates the need to go
"beyond the living things," studying the response of cells/organisms to different
stressors, but also to study cell-free enzymes, in order to fully constrain the
future and evolution of marine biogeochemical cycles.
PMID- 29354094
TI - Leptin Regulation of Gonadotrope Gonadotropin-Releasing Hormone Receptors As a
Metabolic Checkpoint and Gateway to Reproductive Competence.
AB - The adipokine leptin signals the body's nutritional status to the brain, and
particularly, the hypothalamus. However, leptin receptors (LEPRs) can be found
all throughout the body and brain, including the pituitary. It is known that
leptin is permissive for reproduction, and mice that cannot produce leptin
(Lep/Lep) are infertile. Many studies have pinpointed leptin's regulation of
reproduction to the hypothalamus. However, LEPRs exist at all levels of the
hypothalamic-pituitary-gonadal axis. We have previously shown that deleting the
signaling portion of the LEPR specifically in gonadotropes impairs fertility in
female mice. Our recent studies have targeted this regulation to the control of
gonadotropin releasing hormone receptor (GnRHR) expression. The hypotheses
presented here are twofold: (1) cyclic regulation of pituitary GnRHR levels sets
up a target metabolic checkpoint for control of the reproductive axis and (2)
multiple checkpoints are required for the metabolic signaling that regulates the
reproductive axis. Here, we emphasize and explore the relationship between the
hypothalamus and the pituitary with regard to the regulation of GnRHR. The
original data we present strengthen these hypotheses and build on our previous
studies. We show that we can cause infertility in 70% of female mice by deleting
all isoforms of LEPR specifically in gonadotropes. Our findings implicate activin
subunit (InhBa) mRNA as a potential leptin target in gonadotropes. We further
show gonadotrope-specific upregulation of GnRHR protein (but not mRNA levels)
following leptin stimulation. In order to try and understand this post
transcriptional regulation, we tested candidate miRNAs (identified with in silico
analysis) that may be binding the Gnrhr mRNA. We show significant upregulation of
one of these miRNAs in our gonadotrope-Lepr-null females. The evidence provided
here, combined with our previous work, lay the foundation for metabolically
regulated post-transcriptional control of the gonadotrope. We discuss possible
mechanisms, including miRNA regulation and the involvement of the RNA binding
protein, Musashi. We also demonstrate how this regulation may be vital for the
dynamic remodeling of gonadotropes in the cycling female. Finally, we propose
that the leptin receptivity of both the hypothalamus and the pituitary are vital
for the body's ability to delay or slow reproduction during periods of low
nutrition.
PMID- 29354097
TI - Marine Fungi: A Source of Potential Anticancer Compounds.
AB - Metabolites from marine fungi have hogged the limelight in drug discovery because
of their promise as therapeutic agents. A number of metabolites related to marine
fungi have been discovered from various sources which are known to possess a
range of activities as antibacterial, antiviral and anticancer agents. Although,
over a thousand marine fungi based metabolites have already been reported, none
of them have reached the market yet which could partly be related to non
comprehensive screening approaches and lack of sustained lead optimization. The
origin of these marine fungal metabolites is varied as their habitats have been
reported from various sources such as sponge, algae, mangrove derived fungi, and
fungi from bottom sediments. The importance of these natural compounds is based
on their cytotoxicity and related activities that emanate from the diversity in
their chemical structures and functional groups present on them. This review
covers the majority of anticancer compounds isolated from marine fungi during
2012-2016 against specific cancer cell lines.
PMID- 29354096
TI - Beta and Gamma Human Herpesviruses: Agonistic and Antagonistic Interactions with
the Host Immune System.
AB - Viruses are the most abundant and diverse biological entities in the planet.
Historically, our main interest in viruses has focused on their pathogenic role,
recognized by pandemics that have decimated the world population. However, viral
infections have also played a major role in the evolution of cellular organisms,
both through interchanging of genes with novel functions and shaping the immune
system. Examples abound of infections that seriously compromise the host
integrity, but evidence of plant and insect viruses mutualistic relationships
have recently surfaced in which infected hosts are better suited for survival,
arguing that virus-host interactions are initially parasitic but become
mutualistic over years of co-evolution. A similar mutual help scenario has
emerged with commensal gut bacteria. EBV is a herpesvirus that shares more than a
hundred million years of co-evolution with humans, today successfully infecting
close to 100% of the adult world population. Infection is usually acquired early
in childhood persisting for the host lifetime mostly without apparent clinical
symptoms. Disturbance of this homeostasis is rare and results in several
diseases, of which the best understood are infectious mononucleosis and several
EBV-associated cancers. Less understood are recently found inborn errors of the
immune system that result in primary immunodeficiencies with an increased
predisposition almost exclusive to EBV-associated diseases. Puzzling to these
scenarios of broken homeostasis is the co-existence of immunosuppression,
inflammation, autoimmunity and cancer. Homologous to EBV, HCMV, HHV-6 and HHV-7
are herpesviruses that also latently infect most individuals. Several lines of
evidence support a mutualistic equilibrium between HCMV/EBV and hosts, that when
altered trigger diseases in which the immune system plays a critical role.
Interestingly, these beta and gamma herpesviruses persistently infect all immune
lineages and early precursor cells. In this review, we will discuss the evidence
of the benefits that infection of immune cells with these herpesviruses brings to
the host. Also, the circumstances in which this positive relationship is broken,
predisposing the host to diseases characterized by an abnormal function of the
host immune system.
PMID- 29354098
TI - Metavirome Sequencing of the Termite Gut Reveals the Presence of an Unexplored
Bacteriophage Community.
AB - The Formosan subterranean termite; Coptotermes formosanus is nutritionally
dependent on the complex and diverse community of bacteria and protozoa in their
gut. Although, there have been many studies to decipher the taxonomic and
functional diversity of bacterial communities in the guts of termites, their
bacteriophages remain unstudied. We sequenced the metavirome of the guts of
Formosan subterranean termite workers to study the diversity of bacteriophages
and other associated viruses. Results showed that the termites harbor a virome in
their gut comprised of varied and previously unknown bacteriophages. Between 87
90% of the predicted dsDNA virus genes by Metavir showed similarity to the tailed
bacteriophages (Caudovirales). Many predicted genes from the virome matched to
bacterial prophage regions. These data are suggestive of a virome dominated by
temperate bacteriophages. We predicted the genomes of seven novel Caudovirales
bacteriophages from the termite gut. Three of these predicted bacteriophage
genomes were found in high proportions in all the three termite colonies tested.
Two bacteriophages are predicted to infect endosymbiotic bacteria of the gut
protozoa. The presence of these putative bacteriophages infecting endosymbionts
of the gut protozoa, suggests a quadripartite relationship between the termites
their symbiotic protozoa, endosymbiotic bacteria of the protozoa and their
bacteriophages. Other than Caudovirales, ss-DNA virus related genes were also
present in the termite gut. We predicted the genomes of 12 novel Microviridae
phages from the termite gut and seven of those possibly represent a new proposed
subfamily. Circovirus like genomes were also assembled from the termite gut at
lower relative abundance. We predicted 10 novel circovirus genomes in this study.
Whether these circoviruses infect the termites remains elusive at the moment. The
functional and taxonomical annotations suggest that the termites may harbor a
core virome comprised of the bacteriophages infecting endosymbionts of the gut
protozoa.
PMID- 29354099
TI - Rhizobium sp. IRBG74 Alters Arabidopsis Root Development by Affecting Auxin
Signaling.
AB - Rhizobium sp. IRBG74 not only nodulates Sesbania cannabina but also can enhance
rice growth; however, the underlying molecular mechanisms are not clear. Here, we
show that Rhizobium sp. IRBG74 colonizes the roots of Arabidopsis thaliana, which
leads to inhibition in the growth of main root but enhancement in the formation
of lateral roots. The promotion of lateral root formation by Rhizobium sp. IRBG74
in the fls2-1 mutant, which is insensitive to flagellin, is similar to the wild
type plant, while the auxin response deficient mutant tir1-1 is significantly
less sensitive to Rhizobium sp. IRBG74 than the wild type in terms of the
inhibition of main root elongation and the promotion of lateral root formation.
Further transcriptome analysis of Arabidopsis roots inoculated with Rhizobium sp.
IRBG74 revealed differential expression of 50 and 211 genes at 24 and 48 h,
respectively, and a majority of these genes are involved in auxin signaling.
Consistent with the transcriptome analysis results, Rhizobium sp. IRBG74
treatment induces expression of the auxin responsive reporter DR5:GUS in roots.
Our results suggest that in Arabidopsis Rhizobium sp. IRBG74 colonizes roots and
promotes the lateral root formation likely through modulating auxin signaling.
Our work provides insight into the molecular mechanisms of interactions between
legume-nodulating rhizobia and non-legume plants.
PMID- 29354100
TI - SesI May Be Associated with the Invasiveness of Staphylococcus epidermidis.
AB - Staphylococcus epidermidis is a commensal bacterium which widely colonizes in
human skin and mucous membrane and rarely causes clinically manifested
infections. S. epidermidis surface protein I (SesI) is considered to be the major
virulence factor of S. epidermidis infection, but its pathogenesis is not clear.
Here, we demonstrated that the prevalence of sesI among S. epidermidis invasive
isolates (20.8%, 26/125) was significantly higher than that among colonizing
isolates (3.8%, 4/106). The positive rates of biofilm-associated genes (aap,
icaA, IS256) and resistance-associated genes mupA among the sesI-positive
isolates were significantly higher than those among sesI-negative isolates (p <
0.05). And antimicrobial susceptibility testing showed that the resistance rates
of sesI-positive isolates to ciprofloxacin, gentamicin and
trimethoprim/sulfamethoxazole were significantly higher than those among sesI
negative isolates. Interestingly, 80.8% (21/26) of sesI-positive isolates belong
to ST2 determined by MLST, while ST2 was not found among any of the 99 sesI
negative invasive isolates, indicating that there is a strong association between
carriage of sesI and ST2 clone. In order to further study the role of sesI gene
in pathogenesis, the sesI gene mutant (S. epidermidis RP62ADeltasesI) and
complementary expression strain (S. epidermidis RP62ADeltasesI-C) were
successfully constructed. All experimental data indicated that sesI may promote
S. epidermidis to adhere and aggregate, but it had no obvious effect on the
mature stage of biofilm formation. Taken together, these results suggest that
sesI, along with antimicrobial and other biofilm-associated genes enables S.
epidermidis easier for colonization and adhesion and contributes to the spread of
S. epidermidis, especially ST2 clone.
PMID- 29354101
TI - Know Your Enemy: Successful Bioinformatic Approaches to Predict Functional RNA
Structures in Viral RNAs.
AB - Structured RNA elements may control virus replication, transcription and
translation, and their distinct features are being exploited by novel antiviral
strategies. Viral RNA elements continue to be discovered using combinations of
experimental and computational analyses. However, the wealth of sequence data,
notably from deep viral RNA sequencing, viromes, and metagenomes, necessitates
computational approaches being used as an essential discovery tool. In this
review, we describe practical approaches being used to discover functional RNA
elements in viral genomes. In addition to success stories in new and emerging
viruses, these approaches have revealed some surprising new features of well
studied viruses e.g., human immunodeficiency virus, hepatitis C virus, influenza,
and dengue viruses. Some notable discoveries were facilitated by new comparative
analyses of diverse viral genome alignments. Importantly, comparative approaches
for finding RNA elements embedded in coding and non-coding regions differ. With
the exponential growth of computer power we have progressed from stem-loop
prediction on single sequences to cutting edge 3D prediction, and from command
line to user friendly web interfaces. Despite these advances, many powerful, user
friendly prediction tools and resources are underutilized by the virology
community.
PMID- 29354104
TI - Serum Metabolic Profiling of Oocyst-Induced Toxoplasma gondii Acute and Chronic
Infections in Mice Using Mass-Spectrometry.
AB - Toxoplasma gondii is an obligate intracellular parasite causing severe diseases
in immunocompromised individuals and congenitally infected neonates, such as
encephalitis and chorioretinitis. This study aimed to determine whether serum
metabolic profiling can (i) identify metabolites associated with oocyst-induced
T. gondii infection and (ii) detect systemic metabolic differences between T.
gondii-infected mice and controls. We performed the first global metabolomics
analysis of mice serum challenged with 100 sporulated T. gondii Pru oocysts
(Genotype II). Sera from acutely infected mice (11 days post-infection, dpi),
chronically infected mice (33 dpi) and control mice were collected and analyzed
using LC-MS/MS platform. Following False Discovery Rate filtering, we identified
3871 and 2825 ions in ESI+ or ESI- mode, respectively. Principal Component
Analysis (PCA) and Partial Least Squares Discriminant Analysis (PLS-DA)
identified metabolomic profiles that clearly differentiated T. gondii-infected
and -uninfected serum samples. Acute infection significantly influenced the serum
metabolome. Our results identified common and uniquely perturbed metabolites and
pathways. Acutely infected mice showed perturbations in metabolites associated
with glycerophospholipid metabolism, biosynthesis of amino acid, and tyrosine
metabolism. These findings demonstrated that acute T. gondii infection induces a
global perturbation of mice serum metabolome, providing new insights into the
mechanisms underlying systemic metabolic changes during early stage of T. gondii
infection.
PMID- 29354102
TI - Ultrastructural Localization and Molecular Associations of HCV Capsid Protein in
Jurkat T Cells.
AB - Hepatitis C virus core protein is a highly basic viral protein that multimerizes
with itself to form the viral capsid. When expressed in CD4+ T lymphocytes, it
can induce modifications in several essential cellular and biological networks.
To shed light on the mechanisms underlying the alterations caused by the viral
protein, we have analyzed HCV-core subcellular localization and its associations
with host proteins in Jurkat T cells. In order to investigate the intracellular
localization of Hepatitis C virus core protein, we have used a lentiviral system
to transduce Jurkat T cells and subsequently localize the protein using
immunoelectron microscopy techniques. We found that in Jurkat T cells, Hepatitis
C virus core protein mostly localizes in the nucleus and specifically in the
nucleolus. In addition, we performed pull-down assays combined with Mass
Spectrometry Analysis, to identify proteins that associate with Hepatitis C virus
core in Jurkat T cells. We found proteins such as NOLC1, PP1gamma, ILF3, and
C1QBP implicated in localization and/or traffic to the nucleolus. HCV-core
associated proteins are implicated in RNA processing and RNA virus infection as
well as in functions previously shown to be altered in Hepatitis C virus core
expressing CD4+ T cells, such as cell cycle delay, decreased proliferation, and
induction of a regulatory phenotype. Thus, in the current work, we show the
ultrastructural localization of Hepatitis C virus core and the first profile of
HCV core associated proteins in T cells, and we discuss the functions and
interconnections of these proteins in molecular networks where relevant
biological modifications have been described upon the expression of Hepatitis C
virus core protein. Thereby, the current work constitutes a necessary step toward
understanding the mechanisms underlying HCV core mediated alterations that had
been described in relevant biological processes in CD4+ T cells.
PMID- 29354103
TI - Time Course-Dependent Methanogenic Crude Oil Biodegradation: Dynamics of Fumarate
Addition Metabolites, Biodegradative Genes, and Microbial Community Composition.
AB - Biodegradation of crude oil in subsurface petroleum reservoirs has adversely
impacted most of the world's oil, converting this resource to heavier forms that
are of lower quality and more challenging to recover. Oil degradation in deep
reservoir environments has been attributed to methanogenesis over geological
time, yet our understanding of the processes and organisms mediating oil
transformation in the absence of electron acceptors remains incomplete. Here, we
sought to identify hydrocarbon activation mechanisms and reservoir-associated
microorganisms that may have helped shape the formation of biodegraded oil by
incubating oilfield produced water in the presence of light ( degrees API = 32)
or heavy crude oil ( degrees API = 16). Over the course of 17 months, we
conducted routine analytical (GC, GC-MS) and molecular (PCR/qPCR of assA and bssA
genes, 16S rRNA gene sequencing) surveys to assess microbial community
composition and activity changes over time. Over the incubation period, we
detected the formation of transient hydrocarbon metabolites indicative of alkane
and alkylbenzene addition to fumarate, corresponding with increases in methane
production and fumarate addition gene abundance. Chemical and gene-based evidence
of hydrocarbon biodegradation under methanogenic conditions was supported by the
enrichment of hydrocarbon fermenters known to catalyze fumarate addition
reactions (e.g., Desulfotomaculum, Smithella), along with syntrophic bacteria
(Syntrophus), methanogenic archaea, and several candidate phyla (e.g.,
"Atribacteria", "Cloacimonetes"). Our results reveal that fumarate addition is a
possible mechanism for catalyzing the methanogenic biodegradation of susceptible
saturates and aromatic hydrocarbons in crude oil, and we propose the roles of
community members and candidate phyla in our cultures that may be involved in
hydrocarbon transformation to methane in crude oil systems.
PMID- 29354105
TI - Labilibaculum manganireducens gen. nov., sp. nov. and Labilibaculum filiforme sp.
nov., Novel Bacteroidetes Isolated from Subsurface Sediments of the Baltic Sea.
AB - Microbial communities in deep subsurface sediments are challenged by the decrease
in amount and quality of organic substrates with depth. In sediments of the
Baltic Sea, they might additionally have to cope with an increase in salinity
from ions that have diffused downward from the overlying water during the last
9000 years. Here, we report the isolation and characterization of four novel
bacteria of the Bacteroidetes from depths of 14-52 m below seafloor (mbsf) of
Baltic Sea sediments sampled during International Ocean Discovery Program (IODP)
Expedition 347. Based on physiological, chemotaxonomic and genotypic
characterization, we propose that the four strains represent two new species
within a new genus in the family Marinifilaceae, with the proposed names
Labilibaculum manganireducens gen. nov., sp. nov. (type strain 59.10-2MT) and
Labilibaculum filiforme sp. nov. (type strains 59.16BT) with additional strains
of this species (59.10-1M and 60.6M). The draft genomes of the two type strains
had sizes of 5.2 and 5.3 Mb and reflected the major physiological capabilities.
The strains showed gliding motility, were psychrotolerant, neutrophilic and
halotolerant. Growth by fermentation of mono- and disaccharides as well as
pyruvate, lactate and glycerol was observed. During glucose fermentation, small
amounts of electron equivalents were transferred to Fe(III) by all strains, while
one of the strains also reduced Mn(IV). Thereby, the four strains broaden the
phylogenetic range of prokaryotes known to reduce metals to the group of
Bacteroidetes. Halotolerance and metal reduction might both be beneficial for
survival in deep subsurface sediments of the Baltic Sea.
PMID- 29354106
TI - Temporal and Spatial Impact of Human Cadaver Decomposition on Soil Bacterial and
Arthropod Community Structure and Function.
AB - As vertebrate carrion decomposes, there is a release of nutrient-rich fluids into
the underlying soil, which can impact associated biological community structure
and function. How these changes alter soil biogeochemical cycles is relatively
unknown and may prove useful in the identification of carrion decomposition
islands that have long lasting, focal ecological effects. This study investigated
the spatial (0, 1, and 5 m) and temporal (3-732 days) dynamics of human cadaver
decomposition on soil bacterial and arthropod community structure and microbial
function. We observed strong evidence of a predictable response to cadaver
decomposition that varies over space for soil bacterial and arthropod community
structure, carbon (C) mineralization and microbial substrate utilization
patterns. In the presence of a cadaver (i.e., 0 m samples), the relative
abundance of Bacteroidetes and Firmicutes was greater, while the relative
abundance of Acidobacteria, Chloroflexi, Gemmatimonadetes, and Verrucomicrobia
was lower when compared to samples at 1 and 5 m. Micro-arthropods were more
abundant (15 to 17-fold) in soils collected at 0 m compared to either 1 or 5 m,
but overall, micro-arthropod community composition was unrelated to either
bacterial community composition or function. Bacterial community structure and
microbial function also exhibited temporal relationships, whereas arthropod
community structure did not. Cumulative precipitation was more effective in
predicting temporal variations in bacterial abundance and microbial activity than
accumulated degree days. In the presence of the cadaver (i.e., 0 m samples), the
relative abundance of Actinobacteria increased significantly with cumulative
precipitation. Furthermore, soil bacterial communities and C mineralization were
sensitive to the introduction of human cadavers as they diverged from baseline
levels and did not recover completely in approximately 2 years. These data are
valuable for understanding ecosystem function surrounding carrion decomposition
islands and can be applicable to environmental bio-monitoring and forensic
sciences.
PMID- 29354108
TI - Functional Characteristics of the Flying Squirrel's Cecal Microbiota under a Leaf
Based Diet, Based on Multiple Meta-Omic Profiling.
AB - Mammalian herbivores rely on microbial activities in an expanded gut chamber to
convert plant biomass into absorbable nutrients. Distinct from ruminants, small
herbivores typically have a simple stomach but an enlarged cecum to harbor
symbiotic microbes; however, knowledge of this specialized gut structure and
characteristics of its microbial contents is limited. Here, we used leaf-eating
flying squirrels as a model to explore functional characteristics of the cecal
microbiota adapted to a high-fiber, toxin-rich diet. Specifically, environmental
conditions across gut regions were evaluated by measuring mass, pH, feed particle
size, and metabolomes. Then, parallel metagenomes and metatranscriptomes were
used to detect microbial functions corresponding to the cecal environment. Based
on metabolomic profiles, >600 phytochemical compounds were detected, although
many were present only in the foregut and probably degraded or transformed by gut
microbes in the hindgut. Based on metagenomic (DNA) and metatranscriptomic (RNA)
profiles, taxonomic compositions of the cecal microbiota were dominated by
bacteria of the Firmicutes taxa; they contained major gene functions related to
degradation and fermentation of leaf-derived compounds. Based on functional
compositions, genes related to multidrug exporters were rich in microbial
genomes, whereas genes involved in nutrient importers were rich in microbial
transcriptomes. In addition, genes encoding chemotaxis-associated components and
glycoside hydrolases specific for plant beta-glycosidic linkages were abundant in
both DNA and RNA. This exploratory study provides findings which may help to form
molecular-based hypotheses regarding functional contributions of symbiotic gut
microbiota in small herbivores with folivorous dietary habits.
PMID- 29354107
TI - Metabolic Adaptation of a C-Terminal Protease A-Deficient Rhizobium leguminosarum
in Response to Loss of Nutrient Transport.
AB - Post-translational modification expands the functionality of the proteome beyond
genetic encoding, impacting many cellular processes. Cleavage of the carboxyl
terminus is one of the many different ways proteins can be modified for
functionality. Gel-electrophoresis and mass spectrometric-based techniques were
used to identify proteins impacted by deficiency of a C-terminal protease, CtpA,
in Rhizobium leguminosarum bv. viciae 3841. Predicted CtpA substrates from 2D
silver stained gels were predominantly outer membrane and transport proteins.
Proteins with altered abundance in the wild type and ctpA (RL4692) mutant,
separated by 2D difference gel electrophoresis, were selected for analysis by
mass spectrometry. Of those identified, 9 were the periplasmic solute-binding
components of ABC transporters, 5 were amino acid metabolic enzymes, 2 were
proteins involved in sulfur metabolism, and 1 each was related to carbon
metabolism, protein folding and signal transduction. Alterations to ABC-binding
cassette transporters, nutrient uptake efficiency and to amino acid metabolism
indicated an impact on amino acid metabolism and transport for the ctpA mutant,
which was validated by measured amino acid levels.
PMID- 29354111
TI - Editorial: Engineering Rumen Metabolic Pathways: Where We Are, and Where Are We
Heading.
PMID- 29354110
TI - Stochastic Individual-Based Modeling of Bacterial Growth and Division Using Flow
Cytometry.
AB - A realistic description of the variability in bacterial growth and division is
critical to produce reliable predictions of safety risks along the food chain.
Individual-based modeling of bacteria provides the theoretical framework to deal
with this variability, but it requires information about the individual behavior
of bacteria inside populations. In this work, we overcome this problem by
estimating the individual behavior of bacteria from population statistics
obtained with flow cytometry. For this objective, a stochastic individual-based
modeling framework is defined based on standard assumptions during division and
exponential growth. The unknown single-cell parameters required for running the
individual-based modeling simulations, such as cell size growth rate, are
estimated from the flow cytometry data. Instead of using directly the individual
based model, we make use of a modified Fokker-Plank equation. This only equation
simulates the population statistics in function of the unknown single-cell
parameters. We test the validity of the approach by modeling the growth and
division of Pediococcus acidilactici within the exponential phase. Estimations
reveal the statistics of cell growth and division using only data from flow
cytometry at a given time. From the relationship between the mother and daughter
volumes, we also predict that P. acidilactici divide into two successive parallel
planes.
PMID- 29354109
TI - Characterization of the Skin Microbiota of the Cane Toad Rhinella cf. marina in
Puerto Rico and Costa Rica.
AB - Rhinella marina is a toad native to South America that has been introduced in the
Antilles, likely carrying high loads of microorganisms, potentially impacting
local community diversity. The amphibian skin is involved in pathogen defense and
its microbiota has been relatively well studied, however, research focusing on
the cane toad microbiota is lacking. We hypothesize that the skin microbial
communities will differ between toads inhabiting different geographical regions
in Central America and the Caribbean. To test our hypothesis, we compared the
microbiota of three populations of R. cf. marina toads, two from Costa Rican
(native) and one Puerto Rican (exotic) locations. In Costa Rica, we collected 11
toads, 7 in Sarapiqui and 4 from Turrialba while in Puerto Rico, 10 animals were
collected in Santa Ana. Separate swab samples were collected from the dorsal and
ventral sites resulting in 42 samples. We found significant differences in the
structure of the microbial communities between Puerto Rico and Costa Rica. We
detected as much as 35 different phyla; however, communities were dominated by
Proteobacteria, Bacteroidetes, Firmicutes, and Actinobacteria. Alpha diversity
and richness were significantly higher in toads from Puerto Rico and
betadiversity revealed significant differences between the microbiota samples
from the two countries. At the genus level, we found in Santa Ana, Puerto Rico, a
high dominance of Kokuria, Niabella, and Rhodobacteraceae, while in Costa Rica we
found Halomonas and Pseudomonas in Sarapiqui, and Acinetobacter and Citrobacter
in Turrialba. This is the first report of Niabella associated with the amphibian
skin. The core microbiome represented 128 Operational Taxonomic Units (OTUs)
mainly from five genera shared among all samples, which may represent the
symbiotic Rhinella's skin. These results provide insights into the habitat
induced microbial changes facing this amphibian species. The differences in the
microbial diversity in Puerto Rican toads compared to those in Costa Rica provide
additional evidence of the geographically induced patterns in the amphibian skin
microbiome, and highlight the importance of discussing the microbial tradeoffs in
the colonization of new ecosystems.
PMID- 29354112
TI - Digital Image Analysis of Yeast Single Cells Growing in Two Different Oxygen
Concentrations to Analyze the Population Growth and to Assist Individual-Based
Modeling.
AB - Nowadays control of the growth of Saccharomyces to obtain biomass or cellular
wall components is crucial for specific industrial applications. The general aim
of this contribution is to deal with experimental data obtained from yeast cells
and from yeast cultures to attempt the integration of the two levels of
information, individual and population, to progress in the control of yeast
biotechnological processes by means of the overall analysis of this set of
experimental data, and to assist in the improvement of an individual-based model,
namely, INDISIM-Saccha. Populations of S. cerevisiae growing in liquid batch
culture, in aerobic and microaerophilic conditions, were studied. A set of
digital images was taken during the population growth, and a protocol for the
treatment and analyses of the images obtained was established. The piecewise
linear model of Buchanan was adjusted to the temporal evolutions of the yeast
populations to determine the kinetic parameters and changes of growth phases. In
parallel, for all the yeast cells analyzed, values of direct morphological
parameters, such as area, perimeter, major diameter, minor diameter, and derived
ones, such as circularity and elongation, were obtained. Graphical and numerical
methods from descriptive statistics were applied to these data to characterize
the growth phases and the budding state of the yeast cells in both experimental
conditions, and inferential statistical methods were used to compare the diverse
groups of data achieved. Oxidative metabolism of yeast in a medium with oxygen
available and low initial sugar concentration can be taken into account in order
to obtain a greater number of cells or larger cells. Morphological parameters
were analyzed statistically to identify which were the most useful for the
discrimination of the different states, according to budding and/or growth phase,
in aerobic and microaerophilic conditions. The use of the experimental data for
subsequent modeling work was then discussed and compared to simulation results
generated with INDISIM-Saccha, which allowed us to advance in the development of
this yeast model, and illustrated the utility of data at different levels of
observation and the needs and logic behind the development of a microbial
individual-based model.
PMID- 29354113
TI - Insights into Structural and Mechanistic Features of Viral IRES Elements.
AB - Internal ribosome entry site (IRES) elements are cis-acting RNA regions that
promote internal initiation of protein synthesis using cap-independent
mechanisms. However, distinct types of IRES elements present in the genome of
various RNA viruses perform the same function despite lacking conservation of
sequence and secondary RNA structure. Likewise, IRES elements differ in host
factor requirement to recruit the ribosomal subunits. In spite of this diversity,
evolutionarily conserved motifs in each family of RNA viruses preserve sequences
impacting on RNA structure and RNA-protein interactions important for IRES
activity. Indeed, IRES elements adopting remarkable different structural
organizations contain RNA structural motifs that play an essential role in
recruiting ribosomes, initiation factors and/or RNA-binding proteins using
different mechanisms. Therefore, given that a universal IRES motif remains
elusive, it is critical to understand how diverse structural motifs deliver
functions relevant for IRES activity. This will be useful for understanding the
molecular mechanisms beyond cap-independent translation, as well as the
evolutionary history of these regulatory elements. Moreover, it could improve the
accuracy to predict IRES-like motifs hidden in genome sequences. This review
summarizes recent advances on the diversity and biological relevance of RNA
structural motifs for viral IRES elements.
PMID- 29354114
TI - The Expressed MicroRNA-mRNA Interactions of Toxoplasma gondii.
AB - MicroRNAs (miRNAs) are involved in post-transcriptional modulation of gene
expression and thereby have a large influence on the resulting phenotype. We have
previously shown that miRNAs may be involved in the communication between
Toxoplasma gondii and its hosts and further confirmed a number of proposed
specific miRNAs. Yet, little is known about the internal regulation via miRNAs in
T. gondii. Therefore, we predicted pre-miRNAs directly from the type II ME49
genome and filtered them. For the confident hairpins, we predicted the location
of the mature miRNAs and established their target genes. To add further
confidence, we evaluated whether the hairpins and their targets were co
expressed. Such co-expressed miRNA and target pairs define a functional
interaction. We extracted all such functional interactions and analyzed their
differential expression among strains of all three clonal lineages (RH, PLK, and
CTG) and between the two stages present in the intermediate host (tachyzoites and
bradyzoites). Overall, we found ~65,000 expressed interactions of which ~5,500
are differentially expressed among strains but none are significantly
differentially expressed between developmental stages. Since miRNAs and target
decoys can be used as therapeutics we believe that the list of interactions we
provide will lead to novel approaches in the treatment of toxoplasmosis.
PMID- 29354116
TI - Natural Killer Cell-Based Immunotherapy in Gynecologic Malignancy: A Review.
AB - Harnessing the immune system has proven an effective therapy in treating
malignancies. Since the discovery of natural killer (NK) cells, strategies aimed
to manipulate and augment their effector function against cancer have been the
subject of intense research. Recent progress in the immunobiology of NK cells has
led to the development of promising therapeutic approaches. In this review, we
will focus on the recent advances in NK cell immunobiology and the clinical
application of NK cell immunotherapy in ovarian, cervical, and uterine cancer.
PMID- 29354119
TI - Peripheral White Blood Cell Subsets in Metastatic Colorectal Cancer Patients
Treated with Cetuximab: The Potential Clinical Relevance.
AB - It was demonstrated that cetuximab-induced tumor regression is based on the
effects exerted by immune cells included mainly in the innate immune response.
Therefore, the focus of this study was to explore the alterations in the
percentages of CD16+, and/or CD56+ lymphocytes, which are comprised of NK cells,
and minority of CD56+CD3+ cells, in patients with metastatic colorectal cancer
before or 2 months after the treatment with cetuximab-based regimens associated
with the response to therapy. The changes in the percentages of lymphocytes and
granulocytes in these patients were evaluated as well. We enrolled 50 patients
with wild-type KRAS metastatic colorectal cancer. Disease progression was
observed in 11/50 patients (non-responders), while other patients achieved
partial response or stable disease (responders). Control groups included up to 72
healthy individuals. A significant decrease in the percentages of CD56+ and
CD16+CD56+ lymphocytes together with a significant decrease in the percentage of
lymphocytes and an increase in the ratio of granulocyte to lymphocyte percentages
were observed in patients with metastatic colorectal cancer before therapy,
compared with those in the healthy individuals. In contrast to those in the
responders, the percentage of CD16+ lymphocytes in the overall white blood cell
pool was shown to be significantly decreased in the non-responders, together with
a significantly decreased percentage of lymphocytes, a significantly increased
percentage of granulocytes, and an increased ratio of granulocyte to lymphocyte
percentages before treatment compared with those in the healthy controls. Two
months after the initiation of the treatment, significantly decreased percentages
of CD16+, CD56+, and CD16+CD56+ lymphocytes were observed in patients, compared
with those determined in the healthy controls. The same changes in the amounts of
circulating immune cells were also observed in the responder subgroup, but the
percentages of CD16+, CD56+, and CD16+CD56+ lymphocytes 2 months after treatment
in the non-responder group did not differ significantly in comparison with
healthy individuals. Considerable alterations of immune cell percentages observed
in patients with metastatic colorectal cancer with disease progression indicate
that the assessment of peripheral white blood cell architecture before treatment
initiation may be clinically relevant.
PMID- 29354118
TI - Efficacy of a Virus-Like Nanoparticle As Treatment for a Chronic Viral Infection
Is Hindered by IRAK1 Regulation and Antibody Interference.
AB - Although vaccination has been an effective way of preventing infections ever
since the eighteenth century, the generation of therapeutic vaccines and
immunotherapies is still a work in progress. A number of challenges impede the
development of these therapeutic approaches such as safety issues related to the
administration of whole pathogens whether attenuated or inactivated. One safe
alternative to classical vaccination methods gaining recognition is the use of
nanoparticles, whether synthetic or naturally derived. We have recently
demonstrated that the papaya mosaic virus (PapMV)-like nanoparticle can be used
as a prophylactic vaccine against various viral and bacterial infections through
the induction of protective humoral and cellular immune responses. Moreover,
PapMV is also very efficient when used as an immune adjuvant in an
immunotherapeutic setting at slowing down the growth of aggressive mouse melanoma
tumors in a type I interferon (IFN-I)-dependent manner. In the present study, we
were interested in exploiting the capacity of PapMV of inducing robust IFN-I
production as treatment for the chronic viral infection model lymphocytic
choriomeningitis virus (LCMV) clone 13 (Cl13). Treatment of LCMV Cl13-infected
mice with two systemic administrations of PapMV was ineffective, as shown by the
lack of changes in viral titers and immune response to LCMV following treatment.
Moreover, IFN-alpha production following PapMV administration was almost
completely abolished in LCMV-infected mice. To better isolate the mechanisms at
play, we determined the influence of a pretreatment with PapMV on secondary PapMV
administration, therefore eliminating potential variables emanating from the
infection. Pretreatment with PapMV led to the same outcome as an LCMV infection
in that IFN-alpha production following secondary PapMV immunization was abrogated
for up to 50 days while immune activation was also dramatically impaired. We
showed that two distinct and overlapping mechanisms were responsible for this
outcome. While short-term inhibition was partially the result of interleukin-1
receptor-associated kinase 1 degradation, a crucial component of the toll-like
receptor 7 signaling pathway, long-term inhibition was mainly due to interference
by PapMV-specific antibodies. Thus, we identified a possible pitfall in the use
of virus-like particles for the systemic treatment of chronic viral infections
and discuss mitigating alternatives to circumvent these potential problems.
PMID- 29354117
TI - Inhibiting the Ins and Outs of HIV Replication: Cell-Intrinsic Antiretroviral
Restrictions at the Plasma Membrane.
AB - Like all viruses, human immunodeficiency viruses (HIVs) and their primate
lentivirus relatives must enter cells in order to replicate and, once produced,
new virions need to exit to spread to new targets. These processes require the
virus to cross the plasma membrane of the cell twice: once via fusion mediated by
the envelope glycoprotein to deliver the viral core into the cytosol; and
secondly by ESCRT-mediated scission of budding virions during release. This
physical barrier thus presents a perfect location for host antiviral restrictions
that target enveloped viruses in general. In this review we will examine the
current understanding of innate host antiviral defences that inhibit these
essential replicative steps of primate lentiviruses associated with the plasma
membrane, the mechanism by which these viruses have adapted to evade such
defences, and the role that this virus/host battleground plays in the
transmission and pathogenesis of HIV/AIDS.
PMID- 29354121
TI - Preclinical Characterization of a Novel Monoclonal Antibody NEO-201 for the
Treatment of Human Carcinomas.
AB - NEO-201 is a novel humanized IgG1 monoclonal antibody that was derived from an
immunogenic preparation of tumor-associated antigens from pooled allogeneic colon
tumor tissue extracts. It was found to react against a variety of cultured human
carcinoma cell lines and was highly reactive against the majority of tumor
tissues from many different carcinomas, including colon, pancreatic, stomach,
lung, and breast cancers. NEO-201 also exhibited tumor specificity, as the
majority of normal tissues were not recognized by this antibody. Functional
assays revealed that treatment with NEO-201 is capable of mediating both antibody
dependent cellular cytotoxicity (ADCC) and complement-dependent cytotoxicity
(CDC) against tumor cells. Furthermore, the growth of human pancreatic xenograft
tumors in vivo was largely attenuated by treatment with NEO-201 both alone and in
combination with human peripheral blood mononuclear cells as an effector cell
source for ADCC. In vivo biodistribution studies in human tumor xenograft-bearing
mice revealed that NEO-201 preferentially accumulates in the tumor but not organ
tissue. Finally, a single-dose toxicity study in non-human primates demonstrated
safety and tolerability of NEO-201, as a transient decrease in circulating
neutrophils was the only related adverse effect observed. These findings indicate
that NEO-201 warrants clinical testing as both a novel diagnostic and therapeutic
agent for the treatment of a broad variety of carcinomas.
PMID- 29354120
TI - Monocytic Myeloid-Derived Suppressor Cells in Chronic Infections.
AB - Heterogeneous populations of myeloid regulatory cells (MRC), including monocytes,
macrophages, dendritic cells, and neutrophils, are found in cancer and infectious
diseases. The inflammatory environment in solid tumors as well as infectious foci
with persistent pathogens promotes the development and recruitment of MRC. These
cells help to resolve inflammation and establish host immune homeostasis by
restricting T lymphocyte function, inducing regulatory T cells and releasing
immune suppressive cytokines and enzyme products. Monocytic MRC, also termed
monocytic myeloid-derived suppressor cells (M-MDSC), are bona fide phagocytes,
capable of pathogen internalization and persistence, while exerting localized
suppressive activity. Here, we summarize molecular pathways controlling M-MDSC
genesis and functions in microbial-induced non-resolved inflammation and
immunopathology. We focus on the roles of M-MDSC in infections, including
opportunistic extracellular bacteria and fungi as well as persistent
intracellular pathogens, such as mycobacteria and certain viruses. Better
understanding of M-MDSC biology in chronic infections and their role in
antimicrobial immunity, will advance development of novel, more effective and
broad-range anti-infective therapies.
PMID- 29354122
TI - Neurofibromin 1 Impairs Natural Killer T-Cell-Dependent Antitumor Immunity
against a T-Cell Lymphoma.
AB - Neurofibromin 1 (NF1) is a tumor suppressor gene encoding a Ras GTPase that
negatively regulates Ras signaling pathways. Mutations in NF1 are linked to
neurofibromatosis type 1, juvenile myelomonocytic leukemia and Watson syndrome.
In terms of antitumor immunity, CD1d-dependent natural killer T (NKT) cells play
an important role in the innate antitumor immune response. Generally, Type-I NKT
cells protect (and Type-II NKT cells impair) host antitumor immunity. We have
previously shown that CD1d-mediated antigen presentation to NKT cells is
regulated by cell signaling pathways. To study whether a haploinsufficiency in
NF1 would affect CD1d-dependent activation of NKT cells, we analyzed the NKT-cell
population as well as the functional expression of CD1d in Nf1+/- mice. Nf1+/-
mice were found to have similar levels of NKT cells as wildtype (WT) littermates.
Interestingly, however, reduced CD1d expression was observed in Nf1+/- mice
compared with their WT littermates. When inoculated with a T-cell lymphoma in
vivo, Nf1+/- mice survived longer than their WT littermates. Furthermore,
blocking CD1d in vivo significantly enhanced antitumor activity in WT, but not in
Nf1+/- mice. In contrast, a deficiency in Type-I NKT cells increased antitumor
activity in Nf1+/- mice, but not in WT littermates. Therefore, these data suggest
that normal NF1 expression impairs CD1d-mediated NKT-cell activation and
antitumor activity against a T-cell lymphoma.
PMID- 29354123
TI - Congenital Cytomegalovirus Infection: Maternal-Child HLA-C, HLA-E, and HLA-G
Affect Clinical Outcome.
AB - Congenital CMV infection (cCMV) is the most common congenital infection causing
permanent long-term impairments (LTI). cCMV immunopathogenesis is largely unknown
due to the complex interplay between viral, maternal, placental, and child
factors. In this study, a large retrospective nationwide cohort of children with
cCMV and their mothers was used. HLA-C, HLA-E, and HLA-G were assessed in 96
mother-child pairs in relation to symptoms at birth and LTI at 6 years of age.
The mothers were additionally typed for killer cell immunoglobulin-like
receptors. The maternal HLA-G 14 bp deletion/deletion polymorphism was associated
with a worse outcome, as the immunomodulation effect of higher protein levels may
induce less CMV control, with a direct impact on placenta and fetus. The absence
of maternal HLA-C belonging to the C2 group was associated with symptoms at
birth, as activating signals on decidual NK may override inhibitory signals,
contributing to a placental pro-inflammatory environment. Here, the increased HLA
E*0101 and HLA-C mismatches, which were associated with symptoms at birth, may
enhance maternal allo-reactivity to fetal Ags, and cause suboptimal viral
clearance. Finally, HLA-C non-inherited maternal antigens (NIMAs) were associated
with LTI. The tolerance induced in the fetus toward NIMAs may indirectly induce a
suboptimal CMV antiviral response throughout childhood. In light of our findings,
the potential role of maternal-child HLA in controlling CMV infection and cCMV
related disease, and the clinical value as predictor for long-term outcome
certainly deserve further evaluation.
PMID- 29354115
TI - Cytokine-Ion Channel Interactions in Pulmonary Inflammation.
AB - The lungs conceptually represent a sponge that is interposed in series in the
bodies' systemic circulation to take up oxygen and eliminate carbon dioxide. As
such, it matches the huge surface areas of the alveolar epithelium to the
pulmonary blood capillaries. The lung's constant exposure to the exterior
necessitates a competent immune system, as evidenced by the association of
clinical immunodeficiencies with pulmonary infections. From the in utero to the
postnatal and adult situation, there is an inherent vital need to manage alveolar
fluid reabsorption, be it postnatally, or in case of hydrostatic or permeability
edema. Whereas a wealth of literature exists on the physiological basis of fluid
and solute reabsorption by ion channels and water pores, only sparse knowledge is
available so far on pathological situations, such as in microbial infection,
acute lung injury or acute respiratory distress syndrome, and in the pulmonary
reimplantation response in transplanted lungs. The aim of this review is to
discuss alveolar liquid clearance in a selection of lung injury models, thereby
especially focusing on cytokines and mediators that modulate ion channels.
Inflammation is characterized by complex and probably time-dependent co
signaling, interactions between the involved cell types, as well as by cell
demise and barrier dysfunction, which may not uniquely determine a clinical
picture. This review, therefore, aims to give integrative thoughts and wants to
foster the unraveling of unmet needs in future research.
PMID- 29354126
TI - The Antioxidant Procyanidin Reduces Reactive Oxygen Species Signaling in
Macrophages and Ameliorates Experimental Colitis in Mice.
AB - Management of inflammatory bowel disease (IBD) is a real clinical challenge.
Despite intense investigation, the mechanisms of IBD remain substantially
unidentified. Some inflammatory conditions, such as matrix metalloproteinases
(MMPs) and the nuclear factor-kappaB (NF-kappaB) and NOD-like receptor protein 3
(NLRP3) inflammasome signaling pathways, are reported to contribute to the
development and maintenance of IBD. Regulation of their common upstream
signaling, that is, reactive oxygen species (ROS), may be important to control
the progression of IBD. In the present study, we found that procyanidin, a
powerful antioxidation flavonoid, has a significant effect on ROS clearance on
THP-1 macrophages after lipopolysaccharide (LPS) or LPS-combined adenosine
triphosphate stimulation, thus downregulating MMP9 expression, suppressing NF
kappaB signaling, and interrupting the formation of the NLRP3 inflammasome.
Moreover, our in vivo data showed that procyanidin attenuated Dextran sulfate
sodium-induced experimental colitis in a dose-dependent fashion by suppressing
the expression of MMP9, NF-kappaB, and NLRP3 inflammasome signaling in colonic
tissues in mice. Overall, our results suggested that targeting ROS could be a
potential therapeutic choice for colonic inflammation.
PMID- 29354127
TI - The Clinical Features of Patients with Chronic Hepatitis C Virus Infections Are
Associated with Killer Cell Immunoglobulin-Like Receptor Genes and Their
Expression on the Surface of Natural Killer Cells.
AB - Killer cell immunoglobulin-like receptor (KIR) genes are known to play a role in
the acute phase of hepatitis C virus (HCV) infection. The present study
investigated their roles in chronic HCV (CHCV) infection by analyzing the
phenotypes and function of natural killer (NK) and T cells that express KIRs. T
cells from CHCV patients showed a more differentiated phenotype, and NK cells
exhibited an activated profile. These observations are consistent with the
increased expression of the degranulation marker CD107a observed after PMA
stimulation. We explored the correlations between the expression of KIR genes and
lectin type-C receptors with clinical factors that predict progression to
fibrosis and cirrhosis. The expression levels of KIR2DS3 and the functional
alleles of KIR2DS4-FL were increased in patients with intermediate and high viral
loads. Homozygous KIR2DS4 was also associated with the presence of cirrhosis. In
the group of individuals with a shorter infection time who developed cirrhosis,
we detected decreased expression of KIR3DL1 in CD56dim NK cells in the presence
of its ligand. Similarly, in the group of patients with late CHCV infections
complicated with cirrhosis, we detected lower expression of the strong inhibitory
receptor NKG2A in CD56bright NK cells. We also detected an increase in NKG2C
expression in CD56dim NK cells in CHCV patients who displayed high
necroinflammatory activity. Decreased KIR3DL2 expression in CD56dim and
CD56bright NK cells was associated with a high body mass index, and KIR3DL2
expression may be one factor associated with the more rapid progression of CHCV
to fibrosis in patients.
PMID- 29354125
TI - Regulation of Innate Lymphoid Cells by Aryl Hydrocarbon Receptor.
AB - With striking similarity to their adaptive T helper cell counterparts, innate
lymphoid cells (ILCs) represent an emerging family of cell types that express
signature transcription factors, including T-bet+ Eomes+ natural killer cells, T
bet+ Eomes- group 1 ILCs, GATA3+ group 2 ILCs, RORgammat+ group 3 ILCs, and newly
identified Id3+ regulatory ILC. ILCs are abundantly present in barrier tissues of
the host (e.g., the lung, gut, and skin) at the interface of host-environment
interactions. Active research has been conducted to elucidate molecular
mechanisms underlying the development and function of ILCs. The aryl hydrocarbon
receptor (Ahr) is a ligand-dependent transcription factor, best known to mediate
the effects of xenobiotic environmental toxins and endogenous microbial and
dietary metabolites. Here, we review recent progresses regarding Ahr function in
ILCs. We focus on the Ahr-mediated cross talk between ILCs and other immune/non
immune cells in host tissues especially in the gut. We discuss the molecular
mechanisms of the action of Ahr expression and activity in regulation of ILCs in
immunity and inflammation, and the interaction between Ahr and other
pathways/transcription factors in ILC development and function with their
implication in disease.
PMID- 29354128
TI - Prolonged Deleterious Influences of Chemotherapeutic Agent CPT-11 on Resident
Peritoneal Macrophages and B1 Cells.
AB - CPT-11 is a first-line chemotherapeutic agent for the treatment of colorectal
cancer in clinic. Previous studies including ours have demonstrated that CPT-11
is, however, toxic to the intestinal epithelium and resident peritoneal
macrophages. By interacting with B1 cells, the resident peritoneal macrophages
play critical roles in the maintenance of gastrointestinal homeostasis. It
remains therefore elusive whether these peritoneal innate immune cells could be
rebuilt spontaneously or artificially after being impaired by CPT-11
administration. In this study, we found that mouse resident peritoneal
macrophages, namely the large peritoneal macrophages (LPMs) with a
CD11b+F4/80hiGATA6+ phenotype, and B1 (CD19+CD23-) cells were depleted by
intraperitoneal (i.p.) CPT-11 treatment within 1 week, but reappeared from day 14
after CPT-11 treatment. However, the recovery processes of these innate immune
cells were slow, as their counts could not be fully recovered even 2 months
later, when compared with that of vehicle-treated control group. Interestingly,
in the peritoneal cavity of the mice treated with CPT-11, the cell counts of LPMs
and B1 cells were significantly increased after adoptive transfer with syngeneic
peritoneal exudate cells (PECs) from healthy mice. Adoptive transfer with bone
marrow cells also slightly increased, although not significantly, the cell counts
of LPMs and B1 cells in CPT-11-treated mice. The survival rate of bacterial
infected mice was significantly reduced by i.p. CPT-11 treatment in comparison
with vehicle-treated or untreated control groups. Besides, oral administration of
CPT-11 also had a delayed toxicity on the resident peritoneal macrophages. Our
results suggest that CPT-11 has prolonged deleterious effects on peritoneal
innate immune cells but adoptive transfer with PECs may accelerate their recovery
processes, highlighting the potential of adoptive cell transfer as an avenue to
counteract the adverse effects of this chemotherapeutic agent.
PMID- 29354129
TI - Vitamin D Enhances Alveolar Development in Antenatal Lipopolysaccharide-Treated
Rats through the Suppression of Interferon-gamma Production.
AB - Bronchopulmonary dysplasia (BPD) is characterized by the premature arrest of
alveolar development. Antenatal exposure to inflammation inhibits lung
morphogenesis, thereby increasing the risk for the development of BPD. Here, we
investigated whether vitamin D (VitD) enhances alveolar development in antenatal
lipopolysaccharide (LPS)-treated rats, which is a model for BPD. We used an
established animal model of BPD, and random assignment to the control group, LPS
group, or LPS with VitD group. Levels of interferon (IFN)-gamma and interleukin-4
were detected by real-time polymerase chain reaction (PCR) and enzyme-linked
immunosorbent assay. IFN-gamma producing CD8+ T cells were assessed by flow
cytometry, and the methylation status of the VitD-response element (VDRE) was
analyzed by bisulfite sequencing PCR. 25-hydroxyvitamin D levels were measured by
liquid chromatography tandem mass spectrometry in maternal serum samples
collected from 86 pregnant women in a prospective birth cohort enrolled from 2012
to 2013. Our results showed that VitD effectively alleviated the simplification
of the lung alveolar structure in BPD rats and suppressed LPS-induced IFN-gamma
expression in the lung and spleen tissues. Further investigation revealed that
VitD suppressed IFN-gamma production in CD8+ T cells. Specifically, VitD
increased the methylation percentage of the VDRE in the IFN-gamma-promoter region
and suppressed LPS-induced expression of IFN-gamma. Additionally, we observed an
association between maternal VitD exposure during pregnancy and neonatal IFN
gamma levels in a prospective birth cohort, with a trend similar to that observed
in the animal model. Our data suggested that supplementation of VitD could
suppress IFN-gamma production, resulting in improved alveolar development in an
LPS-induced BPD rat model.
PMID- 29354124
TI - Eat Prey, Live: Dictyostelium discoideum As a Model for Cell-Autonomous Defenses.
AB - The soil-dwelling social amoeba Dictyostelium discoideum feeds on bacteria. Each
meal is a potential infection because some bacteria have evolved mechanisms to
resist predation. To survive such a hostile environment, D. discoideum has in
turn evolved efficient antimicrobial responses that are intertwined with
phagocytosis and autophagy, its nutrient acquisition pathways. The core machinery
and antimicrobial functions of these pathways are conserved in the mononuclear
phagocytes of mammals, which mediate the initial, innate-immune response to
infection. In this review, we discuss the advantages and relevance of D.
discoideum as a model phagocyte to study cell-autonomous defenses. We cover the
antimicrobial functions of phagocytosis and autophagy and describe the processes
that create a microbicidal phagosome: acidification and delivery of lytic
enzymes, generation of reactive oxygen species, and the regulation of Zn2+, Cu2+,
and Fe2+ availability. High concentrations of metals poison microbes while metal
sequestration inhibits their metabolic activity. We also describe microbial
interference with these defenses and highlight observations made first in D.
discoideum. Finally, we discuss galectins, TNF receptor-associated factors,
tripartite motif-containing proteins, and signal transducers and activators of
transcription, microbial restriction factors initially characterized in mammalian
phagocytes that have either homologs or functional analogs in D. discoideum.
PMID- 29354130
TI - Ets-2 Acts As a Transcriptional Repressor of the Human Immunodeficiency Virus
Type 1 through Binding to a Repressor-Activator Target Sequence of 5'-LTR.
AB - HIV-1 is transcriptionally active in activated T helper (Th)-cells and inactive
in naive or resting memory Th-cells. Ets-2 is a preinduction transcriptional
repressor of the IL-2 gene in naive Th-cells and a candidate transcriptional
repressor of HIV-1 in the same cells, because the -279 to -250 upstream region of
HIV-1-LTR [repressor-activator target sequence (RATS)], that participates in HIV
1-LTR transcriptional silencing, encompasses the AAGGAG Ets-2 binding site. In
this proof of concept study, we investigated whether Ets-2 represses the
expression of HIV-1. To assess whether Ets-2 can repress HIV-1 transcriptional
activation acting through RATS, we transfected Jurkat cells with an Ets-2
overexpression plasmid (pCDNA3-ets-2) or Ets-2 silencing plasmids (ets-2-shRNA)
and, as target genes, plasmids carrying the whole HIV-1-LTR sequence (HIV-1-LTR
CAT) or two copies of the RATS sequence (2* RATS-CAT) or a point mutation in the
Ets-2 binding site (2* mutantRATS-CAT) or CMV-CAT (control). Ets-2 overexpression
resulted in a significant reduction of HIV-1-LTR-CAT and 2* RATS-CAT activities
in stimulated cells, but not of the 2* mutantRATS-CAT or CMV-CAT. Ets-2 silencing
led to increased activities of HIV-1-LTR-CAT and 2* RATS-CAT in unstimulated
cells, but had no effect on the activities of 2* mutantRATS-CAT and CMV-CAT. To
assess Ets-2 binding to HIV-1-LTR-RATS in naive Th-cells, we isolated naive Th
cell nuclear proteins and passed them through an Ets-2 antibody column;
electrophoretic mobility shift assays were performed using an RATS probe mixed
with consecutive protein eluates. Ets-2 bound to the HIV-1-LTR-RATS in a dose
dependent manner. To assess Ets-2 binding to RATS in vivo, Jurkat cells were
transfected with 2* RATS-CAT and stained for the Ets-2 protein and the RATS
sequence by combining immunofluorescence and fluorescence in situ hybridization
techniques. In unstimulated cells, Ets-2 bound to RATS, whereas no binding was
observed in stimulated cells. To test for RATS specificity, the same experiments
were performed with 2* mutantRATS-CAT, and no binding of Ets-2 was observed. The
results were corroborated by chromatin immunoprecipitation assays performed with
the same cells. Our results show that Ets-2 is a transcriptional repressor of HIV
1. Repression of HIV-LTR-RATS mediated by Ets-2 may account for the low-level
transcription and replication of HIV-1 in naive Th-cells, and contribute to the
viral latency and maintenance of viral reservoirs in patients, despite long-term
therapy.
PMID- 29354131
TI - Dynamics of CD4 and CD8 T-Cell Subsets and Inflammatory Biomarkers during Early
and Chronic HIV Infection in Mozambican Adults.
AB - During primary HIV infection (PHI), there is a striking cascade response of
inflammatory cytokines and many cells of the immune system show altered
frequencies and signs of extensive activation. These changes have been shown to
have a relevant role in predicting disease progression; however, the challenges
of identifying PHI have resulted in a lack of critical information about the
dynamics of early pathogenic events. We studied soluble inflammatory biomarkers
and changes in T-cell subsets in individuals at PHI (n = 40), chronic HIV
infection (CHI, n = 56), and HIV-uninfected (n = 58) recruited at the Manhica
District Hospital in Mozambique. Plasma levels of 49 biomarkers were determined
by Luminex and ELISA. T-cell immunophenotyping was performed by multicolor flow
cytometry. Plasma HIV viremia, CD4, and CD8 T cell counts underwent rapid
stabilization after PHI. However, several immunological parameters, including Th1
Th17 CD4 T cells and activation or exhaustion of CD8 T cells continued decreasing
until more than 9 months postinfection. Importantly, no sign of immunosenescence
was observed over the first year of HIV infection. Levels of IP-10, MCP-1, BAFF,
sCD14, tumor necrosis factor receptor-2, and TRAIL were significantly
overexpressed at the first month of infection and underwent a prompt decrease in
the subsequent months while, MIG and CD27 levels began to increase 1 month after
infection and remained overexpressed for almost 1 year postinfection. Early
levels of soluble biomarkers were significantly associated with subsequently
exhausted CD4 T-cells or with CD8 T-cell activation. Despite rapid immune control
of virus replication, the stabilization of the T-cell subsets occurs months after
viremia and CD4 count plateau, suggesting persistent immune dysfunction and
highlighting the potential benefit of early treatment initiation that could limit
immunological damage.
PMID- 29354133
TI - Early Microglia Activation Precedes Photoreceptor Degeneration in a Mouse Model
of CNGB1-Linked Retinitis Pigmentosa.
AB - Retinitis pigmentosa (RP) denotes a family of inherited blinding eye diseases
characterized by progressive degeneration of rod and cone photoreceptors in the
retina. In most cases, a rod-specific genetic defect results in early functional
loss and degeneration of rods, which is followed by degeneration of cones and
loss of daylight vision at later stages. Microglial cells, the immune cells of
the central nervous system, are activated in retinas of RP patients and in
several RP mouse models. However, it is still a matter of debate whether
activated microglial cells may be responsible for the amplification of the
typical degenerative processes. Here, we used Cngb1-/- mice, which represent a
slow degenerative mouse model of RP, to investigate the extent of microglia
activation in retinal degeneration. With a combination of FACS analysis,
immunohistochemistry and gene expression analysis we established that microglia
in the Cngb1-/- retina were already activated in an early, predegenerative stage
of the disease. The evidence available so far suggests that early retinal
microglia activation represents a first step in RP, which might initiate or
accelerate photoreceptor degeneration.
PMID- 29354132
TI - Bacterial Signaling at the Intestinal Epithelial Interface in Inflammation and
Cancer.
AB - The gastrointestinal (GI) tract provides a compartmentalized interface with an
enormous repertoire of immune and metabolic activities, where the multicellular
structure of the mucosa has acquired mechanisms to sense luminal factors, such as
nutrients, microbes, and a variety of host-derived and microbial metabolites. The
GI tract is colonized by a complex ecosystem of microorganisms, which have
developed a highly coevolved relationship with the host's cellular and immune
system. Intestinal epithelial pattern recognition receptors (PRRs) substantially
contribute to tissue homeostasis and immune surveillance. The role of bacteria
derived signals in intestinal epithelial homeostasis and repair has been
addressed in mouse models deficient in PRRs and signaling adaptors. While
critical for host physiology and the fortification of barrier function, the
intestinal microbiota poses a considerable health challenge. Accumulating
evidence indicates that dysbiosis is associated with the pathogenesis of numerous
GI tract diseases, including inflammatory bowel diseases (IBD) and colorectal
cancer (CRC). Aberrant signal integration at the epithelial cell level
contributes to such diseases. An increased understanding of bacterial-specific
structure recognition and signaling mechanisms at the intestinal epithelial
interface is of great importance in the translation to future treatment
strategies. In this review, we summarize the growing understanding of the
regulation and function of the intestinal epithelial barrier, and discuss
microbial signaling in the dynamic host-microbe mutualism in both health and
disease.
PMID- 29354134
TI - Function of miR-146a-5p in Tumor Cells As a Regulatory Switch between Cell Death
and Angiogenesis: Macrophage Therapy Revisited.
AB - Tumors survive and progress by evading killing mechanisms of the immune system,
and by generating a tumor microenvironment (TME) that reprograms macrophages in
situ to produce factors that support tumor growth, angiogenesis, and metastasis.
We have previously shown that by blocking the translation of the enzyme inducible
nitric oxide synthase (iNOS), miR-146a-5p inhibits nitric oxide (NO) production
in a mouse renal carcinoma cell line (RENCA), thereby endowing RENCA cells with
resistance to macrophage-induced cell death. Here, we expand these findings to
the mouse colon carcinoma CT26 cell line and demonstrate that neutralizing miR
146a-5p's activity by transfecting both RENCA and CT26 cells with its antagomir
restored iNOS expression and NO production and enhanced susceptibility to
macrophage-induced cell death (by 48 and 25%, respectively, p < 0.001). Moreover,
miR-146a-5p suppression simultaneously inhibited the expression of the pro
angiogenic protein EMMPRIN (threefolds, p < 0.001), leading to reduced MMP-9 and
vascular endothelial growth factor secretion (twofolds and threefolds,
respectively, p < 0.05), and reduced angiogenesis, as estimated by in vitro tube
formation and scratch assays. When we injected tumors with pro-inflammatory
stimulated RAW 264.7 macrophages together with i.v. injection of the miR-146a-5p
antagomir, we found inhibited tumor growth (sixfolds, p < 0.001) and angiogenesis
(twofolds, p < 0.01), and increased apoptosis (twofolds, p < 0.01). This
combination therapy increased nitrites and reduced TGFbeta concentrations in
tumor lysates, alleviated immune suppression, and allowed enhanced infiltration
of cytotoxic CD8+ T cells. Thus, miR-146a-5p functions as a control switch
between angiogenesis and cell death, and its neutralization can manipulate the
crosstalk between tumor cells and macrophages and profoundly change the TME. This
strategy can be therapeutically utilized in combination with the macrophage
therapy approach to induce the immune system to successfully attack the tumor,
and should be further explored as a new therapy for the treatment of cancer.
PMID- 29354137
TI - On the Origin of the Non-brittle Rachis Trait of Domesticated Einkorn Wheat.
AB - Einkorn and emmer wheat together with barley were among the first cereals
domesticated by humans more than 10,000 years ago, long before durum or bread
wheat originated. Domesticated einkorn wheat differs from its wild progenitor in
basic morphological characters such as the grain dispersal system. This study
identified the Non-brittle rachis 1 (btr1) and Non-brittle rachis 2 (btr2) in
einkorn as homologous to barley. Re-sequencing of the Btr1 and Btr2 in a
collection of 53 lines showed that a single non-synonymous amino acid
substitution (alanine to threonine) at position 119 at btr1, is responsible for
the non-brittle rachis trait in domesticated einkorn. Tracing this haplotype
variation back to wild einkorn samples provides further evidence that the einkorn
progenitor came from the Northern Levant. We show that the geographical origin of
domesticated haplotype coincides with the non-brittle domesticated barley
haplotypes, which suggest the non-brittle rachis phenotypes of einkorn and barley
were fixed in same geographic area in today's South-east Turkey.
PMID- 29354136
TI - Regulation of RIG-I Activation by K63-Linked Polyubiquitination.
AB - RIG-I is a pattern recognition receptor and recognizes cytoplasmic viral double
stranded RNA (dsRNA). Influenza A virus, hepatitis C virus, and several other
pathogenic viruses are mainly recognized by RIG-I, resulting in the activation of
the innate immune responses. The protein comprises N-terminal two caspase
activation and recruitment domains (2CARDs), an RNA helicase domain, and the C
terminal domain (CTD). The CTD recognizes 5'-triphosphate viral dsRNA. After
recognition of viral dsRNA, the protein harbors K63-linked polyubiquitination
essential for RIG-I activation. First, it was reported that TRIM25 ubiquitin
ligase delivered K63-linked polyubiquitin moiety to the 2CARDs. The polyubiquitin
chain stabilizes a structure called the 2CARD tetramer, in which four 2CARDs
assemble and make a core that promotes the aggregation of the mitochondrial
antiviral-signaling (MAVS) protein on mitochondria. MAVS aggregation then
triggers the signal to induce the innate immune responses. However, subsequent
studies have reported that Riplet, MEX3C, and TRIM4 ubiquitin ligases are also
involved in K63-linked polyubiquitination and the activation of RIG-I. MEX3C and
TRIM4 mediate polyubiquitination of the 2CARDs. By contrast, Riplet ubiquitinates
the CTD. The physiological significance of each ubiquitin ligases has been shown
by knockout and knockdown studies, but there appears to be contradictory to
evidence reported in the literature. In this review, we summarize recent findings
related to K63-linked polyubiquitination and propose a model that could reconcile
current contradictory theories. We also discuss the physiological significance of
the ubiquitin ligases in the immune system against viral infection.
PMID- 29354135
TI - MicroRNA-155-at the Critical Interface of Innate and Adaptive Immunity in
Arthritis.
AB - MicroRNAs (miRNAs) are small non-coding RNAs that fine-tune the cell response to
a changing environment by modulating the cell transcriptome. miR-155 is a
multifunctional miRNA enriched in cells of the immune system and is indispensable
for the immune response. However, when deregulated, miR-155 contributes to the
development of chronic inflammation, autoimmunity, cancer, and fibrosis. Herein,
we review the evidence for the pathogenic role of miR-155 in driving aberrant
activation of the immune system in rheumatoid arthritis, and its potential as a
disease biomarker and therapeutic target.
PMID- 29354138
TI - Homozygote Depression in Gamete-Derived Dragon-Fruit (Hylocereus) Lines.
AB - Putative gamete-derived progenies from two Hylocereus species, the diploid H.
monacanthus and the tetraploid H. megalanthus, were studied with the dual aims to
confirm their gamete origin and to evaluate their potential use as genetic
resources. An additional goal was to determine the origin (allotetraploid vs.
autotetraploid) of H. megalanthus by exploring morphological variations in the di
haploid (2x) H. megalanthus progeny. Gamete origin was proved in all five H.
monacanthus lines obtained and in 49 of the 70 H. megalanthus lines by using flow
cytometry and simple sequence repeat (SSR) markers. The five double-haploid (2x)
H. monacanthus lines showed low vigor and abnormal flower development, with
malformed ovules and aborted pollen grains. Only one flower set fruit, giving
several viable seeds. For H. megalanthus, both abnormal ovules and defective
anthers were observed in the di-haploid (2x) and double di-haploid (4x) lines.
Among the 46 di-haploid lines, only 14 set fruit. Another 13 di-haploid lines
formed flower buds that abscised before anthesis or soon after pollination. The
severe sterility of the double-haploid H. monacanthus and the reduced fertility
of all the di-haploid and double di-haploid H. megalanthus lines can be linked to
their reduced heterozygosity, which drastically affected the development of
normal female and male organs. We thus concluded that chromosome doubling, as
occurred spontaneously in the double-haploid H. monacanthus and the double di
haploid H. megalanthus, is not sufficient to restore fertility in Hylocereus. We
also observed very low gametoclonal variation among the di-haploid (2x) H.
megalanthus lines, a finding that supported an autotetraploid, rather than an
allotetraploid, origin of this species. Nonetheless, despite the above-described
challenging limitations, these gamete-derived lines are currently being bred as
the seed parent, offering unique possibilities for genetic research and
additional breeding.
PMID- 29354139
TI - Combined Metabonomic and Quantitative RT-PCR Analyses Revealed Metabolic
Reprogramming Associated with Fusarium graminearum Resistance in Transgenic
Arabidopsis thaliana.
AB - Fusarium head blight disease resulting from Fusarium graminearum (FG) infection
causes huge losses in global production of cereals and development of FG
resistant plants is urgently needed. To understand biochemistry mechanisms for FG
resistance, here, we have systematically investigated the plant metabolomic
phenotypes associated with FG resistance for transgenic Arabidopsis thaliana
expressing a class-I chitinase (Chi), a Fusarium-specific recombinant antibody
gene (CWP2) and fused Chi-CWP2. Plant disease indices, mycotoxin levels,
metabonomic characteristics, and expression levels of several key genes were
measured together with their correlations. We found that A. thaliana expressing
Chi-CWP2 showed higher FG resistance with much lower disease indices and
mycotoxin levels than the wild-type and the plants expressing Chi or CWP2 alone.
The combined metabonomic and quantitative RT-PCR analyses revealed that such FG
resistance was closely associated with the promoted biosynthesis of secondary
metabolites (phenylpropanoids, alkanoids) and organic osmolytes (proline,
betaine, glucose, myo-inositol) together with enhanced TCA cycle and GABA shunt.
These suggest that the concurrently enhanced biosyntheses of the shikimate
mediated secondary metabolites and organic osmolytes be an important strategy for
A. thaliana to develop and improve FG resistance. These findings provide
essential biochemical information related to FG resistance which is important for
developing FG-resistant cereals.
PMID- 29354140
TI - Interactive Effects of CO2 Concentration and Water Regime on Stable Isotope
Signatures, Nitrogen Assimilation and Growth in Sweet Pepper.
AB - Sweet pepper is among the most widely cultivated horticultural crops in the
Mediterranean basin, being frequently grown hydroponically under cover in
combination with CO2 fertilization and water conditions ranging from optimal to
suboptimal. The aim of this study is to develop a simple model, based on the
analysis of plant stable isotopes in their natural abundance, gas exchange traits
and N concentration, to assess sweet pepper growth. Plants were grown in a growth
chamber for near 6 weeks. Two [CO2] (400 and 800 MUmol mol-1), three water
regimes (control and mild and moderate water stress) and four genotypes were
assayed. For each combination of genotype, [CO2] and water regime five plants
were evaluated. Water stress applied caused significant decreases in water
potential, net assimilation, stomatal conductance, intercellular to atmospheric
[CO2], and significant increases in water use efficiency, leaf chlorophyll
content and carbon isotope composition, while the relative water content, the
osmotic potential and the content of anthocyanins did change not under stress
compared to control conditions support this statement. Nevertheless, water regime
affects plant growth via nitrogen assimilation, which is associated with the
transpiration stream, particularly at high [CO2], while the lower N concentration
caused by rising [CO2] is not associated with stomatal closure. The stable
isotope composition of carbon, oxygen, and nitrogen (delta13C, delta18O, and
delta15N) in plant matter are affected not only by water regime but also by
rising [CO2]. Thus, delta18O increased probably as response to decreases in
transpiration, while the increase in delta15N may reflect not only a lower
stomatal conductance but a higher nitrogen demand in leaves or shifts in nitrogen
metabolism associated with decreases in photorespiration. The way that delta13C
explains differences in plant growth across water regimes within a given [CO2],
seems to be mediated through its direct relationship with N accumulation in
leaves. The changes in the profile and amount of amino acids caused by water
stress and high [CO2] support this conclusion. However, the results do not
support the use of delta18O as an indicator of the effect of water regime on
plant growth.
PMID- 29354141
TI - Plant snRNP Biogenesis: A Perspective from the Nucleolus and Cajal Bodies.
AB - Small nuclear ribonucleoproteins (snRNPs) are protein-RNA complexes composed of
specific snRNP-associated proteins along with small nuclear RNAs (snRNAs), which
are non-coding RNA molecules abundant in the nucleus. snRNPs mainly function as
core components of the spliceosome, the molecular machinery for pre-mRNA
splicing. Thus, snRNP biogenesis is a critical issue for plants, essential for
the determination of a cell's activity through the regulation of gene expression.
The complex process of snRNP biogenesis is initiated by transcription of the
snRNA in the nucleus, continues in the cytoplasm, and terminates back in the
nucleus. Critical steps of snRNP biogenesis, such as chemical modification of the
snRNA and snRNP maturation, occur in the nucleolus and its related sub-nuclear
structures, Cajal bodies. In this review, I discuss roles for the nucleolus and
Cajal bodies in snRNP biogenesis, and a possible linkage between the regulation
of snRNP biogenesis and plant development and environmental responses.
PMID- 29354142
TI - Morphometrics Reveals Complex and Heritable Apple Leaf Shapes.
AB - Apple (Malus spp.) is a widely grown and valuable fruit crop. Leaf shape is
important for flowering in apple and may also be an early indicator for other
agriculturally valuable traits. We examined 9,000 leaves from 869 unique apple
accessions using linear measurements and comprehensive morphometric techniques.
We identified allometric variation as the result of differing length-to-width
aspect ratios between accessions and species of apple. The allometric variation
was due to variation in the width of the leaf blade, not the length. Aspect ratio
was highly correlated with the first principal component (PC1) of morphometric
variation quantified using elliptical Fourier descriptors (EFDs) and persistent
homology (PH). While the primary source of variation was aspect ratio, subsequent
PCs corresponded to complex shape variation not captured by linear measurements.
After linking the morphometric information with over 122,000 genome-wide single
nucleotide polymorphisms (SNPs), we found high SNP heritability values even at
later PCs, indicating that comprehensive morphometrics can capture complex,
heritable phenotypes. Thus, techniques such as EFDs and PH are capturing
heritable biological variation that would be missed using linear measurements
alone.
PMID- 29354143
TI - Bt Jute Expressing Fused delta-Endotoxin Cry1Ab/Ac for Resistance to Lepidopteran
Pests.
AB - Jute (Corchorus sp.) is naturally occurring, biodegradable, lignocellulosic-long,
silky, golden shiny fiber producing plant that has great demands globally. Paper
and textile industries are interested in jute because of the easy availability,
non-toxicity and high yield of cellulosic biomass produced per acre in
cultivation. Jute is the major and most industrially used bast fiber-producing
crop in the world and it needs protection from insect pest infestation that
decreases its yield and quality. Single locus integration of the synthetically
fused cry1Ab/Ac gene of Bacillus thuringiensis (Bt) in Corchorus capsularis (JRC
321) by Agrobacterium tumefaciens-mediated shoot tip transformation provided 5
potent Bt jute lines BT1, BT2, BT4, BT7 and BT8. These lines consistently
expressed the Cry1Ab/Ac endotoxin ranging from 0.16 to 0.35 ng/mg of leaf, in the
following generations (analyzed upto T4). The effect of Cry1Ab/Ac endotoxin was
studied against 3 major Lepidopteran pests of jute- semilooper (Anomis sabulifera
Guenee), hairy caterpillar (Spilarctia obliqua Walker) and indigo caterpillar
(Spodoptera exigua Hubner) by detached leaf and whole plant insect bioassay on
greenhouse-grown transgenic plants. Results confirm that larvae feeding on
transgenic plants had lower food consumption, body size, body weight and dry
weight of excreta compared to non-transgenic controls. Insect mortality range
among transgenic feeders was 66-100% for semilooper and hairy caterpillar and
87.50% for indigo caterpillar. Apart from insect resistance, the transgenic
plants were at par with control plants in terms of agronomic parameters and fiber
quality. Hence, these Bt jutes in the field would survive Lepidopteran pest
infestation, minimize harmful pesticide usage and yield good quality fiber.
PMID- 29354145
TI - Identifying Effective Design Approaches to Allocate Genotypes in Two-Phase
Designs: A Case Study in Pelargonium zonale.
AB - Robust phenotypic data allow adequate statistical analysis and are crucial for
any breeding purpose. Such data is obtained from experiments laid out to best
control local variation. Additionally, experiments frequently involve two phases,
each contributing environmental sources of variation. For example, in a former
experiment we conducted to evaluate production related traits in Pelargonium
zonale, there were two consecutive phases, each performed in a different
greenhouse. Phase one involved the propagation of the breeding strains to obtain
the stem cutting count, and phase two involved the assessment of root formation.
The evaluation of the former study raised questions regarding options for
improving the experimental layout: (i) Is there a disadvantage to using exactly
the same design in both phases? (ii) Instead of generating a separate layout for
each phase, can the design be optimized across both phases, such that the mean
variance of a pair-wise treatment difference (MVD) can be decreased? To answer
these questions, alternative approaches were explored to generate two-phase
designs either in phase-wise order (Option 1) or across phases (Option 2). In
Option 1 we considered the scenarios (i) using in both phases the same
experimental design and (ii) randomizing each phase separately. In Option 2, we
considered the scenarios (iii) generating a single design with eight replicates
and splitting these among the two phases, (iv) separating the block structure
across phases by dummy coding, and (v) design generation with optimal alignment
of block units in the two phases. In both options, we considered the same or
different block structures in each phase. The designs were evaluated by the MVD
obtained by the intra-block analysis and the joint inter-block-intra-block
analysis. The smallest MVD was most frequently obtained for designs generated
across phases rather than for each phase separately, in particular when both
phases of the design were separated with a single pseudo-level. The joint
optimization ensured that treatment concurrences were equally balanced across
pairs, one of the prerequisites for an efficient design. The proposed alternative
approaches can be implemented with any model-based design packages with
facilities to formulate linear models for treatment and block structures.
PMID- 29354144
TI - A Community-Based Culture Collection for Targeting Novel Plant Growth-Promoting
Bacteria from the Sugarcane Microbiome.
AB - The soil-plant ecosystem harbors an immense microbial diversity that challenges
investigative approaches to study traits underlying plant-microbe association.
Studies solely based on culture-dependent techniques have overlooked most
microbial diversity. Here we describe the concomitant use of culture-dependent
and -independent techniques to target plant-beneficial microbial groups from the
sugarcane microbiome. The community-based culture collection (CBC) approach was
used to access microbes from roots and stalks. The CBC recovered 399 unique
bacteria representing 15.9% of the rhizosphere core microbiome and 61.6-65.3% of
the endophytic core microbiomes of stalks. By cross-referencing the CBC (culture
dependent) with the sugarcane microbiome profile (culture-independent), we
designed a synthetic community comprised of naturally occurring highly abundant
bacterial groups from roots and stalks, most of which has been poorly explored so
far. We then used maize as a model to probe the abundance-based synthetic
inoculant. We show that when inoculated in maize plants, members of the synthetic
community efficiently colonize plant organs, displace the natural microbiota and
dominate at 53.9% of the rhizosphere microbial abundance. As a result, inoculated
plants increased biomass by 3.4-fold as compared to uninoculated plants. The
results demonstrate that abundance-based synthetic inoculants can be successfully
applied to recover beneficial plant microbes from plant microbiota.
PMID- 29354146
TI - Comparative Genome-Wide-Association Mapping Identifies Common Loci Controlling
Root System Architecture and Resistance to Aphanomyces euteiches in Pea.
AB - Combining plant genetic resistance with architectural traits that are unfavorable
to disease development is a promising strategy for reducing epidemics. However,
few studies have identified root system architecture (RSA) traits with the
potential to limit root disease development. Pea is a major cultivated legume
worldwide and has a wide level of natural genetic variability for plant
architecture. The root pathogen Aphanomyces euteiches is a major limiting factor
of pea crop yield. This study aimed to increase the knowledge on the diversity of
loci and candidate genes controlling RSA traits in pea and identify RSA genetic
loci associated with resistance to A. euteiches which could be combined with
resistance QTL in breeding. A comparative genome wide association (GWA) study of
plant architecture and resistance to A. euteiches was conducted at the young
plant stage in a collection of 266 pea lines contrasted for both traits. The
collection was genotyped using 14,157 SNP markers from recent pea genomic
resources. It was phenotyped for ten root, shoot and overall plant architecture
traits, as well as three disease resistance traits in controlled conditions,
using image analysis. We identified a total of 75 short-size genomic intervals
significantly associated with plant architecture and overlapping with 46
previously detected QTL. The major consistent intervals included plant shoot
architecture or flowering genes (PsLE, PsTFL1) with putative pleiotropic effects
on root architecture. A total of 11 genomic intervals were significantly
associated with resistance to A. euteiches confirming several consistent
previously identified major QTL. One significant SNP, mapped to the major QTL Ae
Ps7.6, was associated with both resistance and RSA traits. At this marker, the
resistance-enhancing allele was associated with an increased total root projected
area, in accordance with the correlation observed between resistance and larger
root systems in the collection. Seven additional intervals associated with plant
architecture overlapped with GWA intervals previously identified for resistance
to A. euteiches. This study provides innovative results about genetic
interdependency of root disease resistance and RSA inheritance. It identifies pea
lines, QTL, closely-linked markers and candidate genes for marker-assisted
selection of RSA loci to reduce Aphanomyces root rot severity in future pea
varieties.
PMID- 29354147
TI - Selenium Accumulation Characteristics and Biofortification Potentiality in Turnip
(Brassica rapa var. rapa) Supplied with Selenite or Selenate.
AB - Selenium (Se) is an essential trace element for humans. About 70% of the regions
in China, including most of the Tibetan Plateau, are faced with Se deficiency
problems. Turnip is mainly distributed around the Tibetan Plateau and is one of
the few local crops. In the present study, we compared the absorption and
translocation differences of Se (IV) selenite and Se (VI) selenate in turnip. The
results showed that Se treatment, either by soil addition (0.2-2 mg Se kg-1 dry
soil) or by foliar spraying (50-200 mg L-1 Se), could significantly increase the
Se concentrations in turnips, and 0.5 mg Se (IV) or Se (VI) kg-1 dry matter in
soils could improve the biomasses of turnips. Moreover, turnip absorbed
significantly more Se (VI) than Se (IV) at the same concentration and also
transferred much more Se (VI) from roots to leaves. Based on the Se
concentrations, as well as the bioconcentration factors and translocation
coefficients, we considered that turnip might be a potential Se indicator plant.
Subsequently, we estimated the daily Se intake for adults based on the Se
concentrations in turnip roots. The results indicated that Se (IV) should be more
suitable as an artificial Se fertilizer for turnips, although the levels found in
most samples in this study could cause selenosis to humans. In addition, we also
estimated the optimum and maximum Se concentrations for treating turnips based on
the linear relations between Se concentrations in turnip roots and Se treatment
concentrations. The results provided preliminary and useful information about Se
biofortification in turnips.
PMID- 29354148
TI - Sequevar Diversity and Virulence of Ralstonia solanacearum Phylotype I on Mayotte
Island (Indian Ocean).
AB - The genetic and phenotypic diversity of the Ralstonia solanacearum species
complex, which causes bacterial wilt to Solanacae, was assessed in 140 strains
sampled from the main vegetable production areas of the Mayotte island. Only
phylotype I strains were identified in the five surveyed areas. The strains were
distributed into the following 4 sequevars: I-31 (85.7%), I-18 (5.0%), I-15
(5.7%), and I-46 (3.6%). The central area of Mayotte was the most diverse region,
harboring 4 sequevars representing 47.1% of the collected strains. Virulence
tests were performed under field and controlled conditions on a set of 10 tomato
breeding line accessions and two commercial hybrid tomato cultivars. The strains
belonging to sequevar I-31 showed the highest virulence on the tomatoes
(pathotypes T-2 and T-3), whereas sequevars I-18, I-15, and I-46 were grouped
into the weakly T-1 pathotype. When the tomato accessions were challenged in the
field and growth chambers, the highest level of resistance were observed from the
genetically related accessions Hawaii 7996, R3034, TML46, and CLN1463. These
accessions were considered moderately to highly resistant to representative
strains of the most virulent and prevalent sequevar (I-31). Interestingly, the
Platinum F1 cultivar, which was recently commercialized in Mayotte for bacterial
wilt resistance, was highly or moderately resistant to all strains. This study
represents the first step in the rationalization of resistance deployment
strategies against bacterial wilt-causing strains in Mayotte.
PMID- 29354149
TI - Haplotype Variation of Flowering Time Genes of Sugar Beet and Its Wild Relatives
and the Impact on Life Cycle Regimes.
AB - The species Beta vulgaris encompasses wild and cultivated members with a broad
range of phenological development. The annual life cycle is commonly found in sea
beets (ssp. maritima) from Mediterranean environments which germinate, bolt, and
flower within one season under long day conditions. Biennials such as the
cultivated sugar beet (B. vulgaris ssp. vulgaris) as well as sea beets from
northern latitudes require prolonged exposure to cold temperature over winter to
acquire floral competence. Sugar beet is mainly cultivated for sugar production
in Europe and is likely to have originated from sea beet. Flowering time strongly
affects seed yield and yield potential and is thus a trait of high agronomic
relevance. Besides environmental cues, there are complex genetic networks known
to impact life cycle switch in flowering plants. In sugar beet, BTC1, BvBBX19,
BvFT1, and BvFT2 are major flowering time regulators. In this study, we
phenotyped plants from a diversity Beta panel encompassing cultivated and wild
species from different geographical origin. Plants were grown under different day
length regimes with and without vernalization. Haplotype analysis of BTC1,
BvBBX19, BvFT1, and BvFT2 was performed to identify natural diversity of these
genes and their impact on flowering. We found that accessions from northern
latitudes flowered significantly later than those from southern latitudes. Some
plants did not flower at all, indicating a strong impact of latitude of origin on
life cycle. Haplotype analysis revealed a high conservation of the CCT-, REC-,
BBX-, and PEBP-domains with regard to SNP occurrence. We identified sequence
variation which may impact life cycle adaptation in beet. Our data endorse the
importance of BTC1 in the domestication process of cultivated beets and
contribute to the understanding of distribution and adaption of Beta species to
different life cycle regimes in response to different environments. Moreover, our
data provide a resource for haplotypes identified for the major floral regulators
in beet.
PMID- 29354150
TI - Genome-Wide Association Study of Seed Dormancy and the Genomic Consequences of
Improvement Footprints in Rice (Oryza sativa L.).
AB - Seed dormancy is an important agronomic trait affecting grain yield and quality
because of pre-harvest germination and is influenced by both environmental and
genetic factors. However, our knowledge of the factors controlling seed dormancy
remains limited. To better reveal the molecular mechanism underlying this trait,
a genome-wide association study was conducted in an indica-only population
consisting of 453 accessions genotyped using 5,291 SNPs. Nine known and new
significant SNPs were identified on eight chromosomes. These lead SNPs explained
34.9% of the phenotypic variation, and four of them were designed as dCAPS
markers in the hope of accelerating molecular breeding. Moreover, a total of 212
candidate genes was predicted and eight candidate genes showed plant tissue
specific expression in expression profile data from different public
bioinformatics databases. In particular, LOC_Os03g10110, which had a maize
homolog involved in embryo development, was identified as a candidate regulator
for further biological function investigations. Additionally, a polymorphism
information content ratio method was used to screen improvement footprints and 27
selective sweeps were identified, most of which harbored domestication-related
genes. Further studies suggested that three significant SNPs were adjacent to the
candidate selection signals, supporting the accuracy of our genome-wide
association study (GWAS) results. These findings show that genome-wide screening
for selective sweeps can be used to identify new improvement-related DNA regions,
although the phenotypes are unknown. This study enhances our knowledge of the
genetic variation in seed dormancy, and the new dormancy-associated SNPs will
provide real benefits in molecular breeding.
PMID- 29354151
TI - Comparative Transcriptome and Microscopy Analyses Provide Insights into Flat
Shape Formation in Peach (Prunus persica).
AB - Fruit shape is an important external characteristic that consumers use to select
preferred fruit cultivars. In peach, the flat fruit cultivars have become more
and more popular worldwide. Genetic markers closely linking to the flat fruit
trait have been identified and are useful for marker-assisted breeding. However,
the cellular and genetic mechanisms underpinning flat fruit formation are still
poorly understood. In this study, we have revealed the differences in fruit cell
number, cell size, and in gene expression pattern between the traditional round
fruit and modern flat fruit cultivars. Flat peach cultivars possessed
significantly lower number of cells in the vertical axis because cell division in
the vertical direction stopped early in the flat fruit cultivars at 15 DAFB (day
after full bloom) than in round fruit cultivars at 35 DAFB. This resulted in the
reduction in vertical development in the flat fruit. Significant linear
relationship was observed between fruit vertical diameter and cell number in
vertical axis for the four examined peach cultivars (R2 = 0.9964) at maturation
stage, and was also observed between fruit vertical diameter and fruit weight (R2
= 0.9605), which indicated that cell number in vertical direction contributed to
the flat shape formation. Furthermore, in RNA-seq analysis, 4165 differentially
expressed genes (DEGs) were detected by comparing RNA-seq data between flat and
round peach cultivars at different fruit development stages. In contrast to
previous studies, we discovered 28 candidate genes potentially responsible for
the flat shape formation, including 19 located in the mapping site and 9
downstream genes. Our study indicates that flat and round fruit shape in peach is
primarily determined by the regulation of cell production in the vertical
direction during early fruit development.
PMID- 29354152
TI - Variation in the Abundance of OsHAK1 Transcript Underlies the Differential
Salinity Tolerance of an indica and a japonica Rice Cultivar.
AB - Salinity imposes a major constraint over the productivity of rice. A set of
chromosome segment substitution lines (CSSLs), derived from a cross between the
japonica type cultivar (cv.) Nipponbare (salinity sensitive) and the indica type
cv. 9311 (moderately tolerant), was scored using a hydroponics system for their
salinity tolerance at the seedling stage. Two of the CSSLs, which share a ~1.2
Mbp stretch of chromosome 4 derived from cv. Nipponbare, were as sensitive to the
stress as cv. Nipponbare itself. Fine mapping based on an F2 population bred from
a backcross between one of these CSSLs and cv. 9311 narrowed this region to 95
Kbp, within which only one gene (OsHAK1) exhibited a differential (lower)
transcript abundance in cv. Nipponbare and the two CSSLs compared to in cv. 9311.
The gene was up-regulated by exposure to salinity stress both in the root and the
shoot, while a knockout mutant proved to be more salinity sensitive than its wild
type with respect to its growth at both the vegetative and reproductive stages.
Seedlings over-expressing OsHAK1 were more tolerant than wild type, displaying a
superior photosynthetic rate, a higher leaf chlorophyll content, an enhanced
accumulation of proline and a reduced level of lipid peroxidation. At the
transcriptome level, the over-expression of OsHAK1 stimulated a number of stress
responsive genes as well as four genes known to be involved in Na+ homeostasis
and the salinity response (OsHKT1;5, OsSOS1, OsLti6a and OsLti6b). When the
stress was applied at booting through to maturity, the OsHAK1 over-expressors out
yielded wild type by 25%, and no negative pleiotropic effects were expressed in
plants gown under non-saline conditions. The level of expression of OsHAK1 was
correlated with Na+/K+ homeostasis, which implies that the gene should be
explored a target for molecular approaches to the improvement of salinity
tolerance in rice.
PMID- 29354153
TI - miR156/SPL10 Modulates Lateral Root Development, Branching and Leaf Morphology in
Arabidopsis by Silencing AGAMOUS-LIKE 79.
AB - The developmental functions of miR156-SPL regulatory network have been
extensively studied in Arabidopsis, but the downstream genes regulated by each
SPL have not been well characterized. In this study, Next Generation Sequencing
based transcriptome analysis was performed on roots of wild type (WT) and miR156
overexpression (miR156OE) plants. One of the SPL genes, SPL10, which represses
lateral root growth in Arabidopsis, was significantly downregulated in miR156OE
plants. A transcription factor, AGAMOUS-like MADS box protein 79 (AGL79), was
also significantly downregulated in the miR156OE plants, but was upregulated in
the SPL10 overexpression (SPL10OE) Arabidopsis plants. In addition, SPL10 was
found to bind to the core consensus SPL binding sequences in AGL79 gene.
Moreover, analyses of complementation lines revealed a linear relationship
between SPL10 and AGL79 in regulating Arabidopsis plant development. In addition,
it was observed that plant phenotypes are AGL79 dose-dependent, with higher
expression causing narrow leaf shape, less number of leaves and early flowering
time, whereas relatively lower AGL79 overexpression produce plants with more
rosette leaves and more lateral branches. Our findings revealed direct binding of
SPL10 to AGL79 promoter, which further suggests a role for miR156/SPL10 module in
plant lateral root growth by directly regulating AGL79.
PMID- 29354154
TI - Evolutionary and Expression Analyses Show Co-option of khdrbs Genes for Origin of
Vertebrate Brain.
AB - Genes generated by whole genome duplications (WGD) can be co-opted by changing
their regulation process or altering their coding proteins, which has been shown
contributable to the emergence of vertebrate morphological novelties such as
vertebrate cartilage. Mouse khdrbs genes, differing from its invertebrate
orthologs, were mainly expressed in brain, hinting that khdrbs gene family as a
member of genetic toolkit may be linked to vertebrate brain development. However,
the evolutionary relationship between khdrbs gene family and vertebrate brain
development is unclear. First, we analyzed the evolutionary history of khdrbs
gene family in metazoans, and then investigated their expression patterns during
early development and in adulthood of zebrafish. We found that the duplication of
khdrbs gene family by WGD took place in zebrafish, and all zebrafish khdrbs genes
were predominantly expressed in the substructures of brain during early
development. Given the expression of invertebrate khdrbs gene in germ line, the
distinct expression domains of zebrafish khdrbs genes in brain suggested that the
duplicated khdrbs genes are co-opted for promoting the evolutionary origin of
vertebrate brain.
PMID- 29354155
TI - The effect of strontium chloride on human periodontal ligament stem cells.
AB - The complete repair of periodontal structures remains an exciting challenge that
prompts researchers to develop new treatments to restore the periodontium. Recent
research has suggested strontium ion to be an attractive candidate to improve
osteogenic activity. In this study, we have isolated a clonal finite cell line
derived from human periodontal ligament (PDL) in order to assess whether and in
which way different doses of SrCl2 (from 0.5 to 500 MUg/ml) can influence both
the proliferation and the mineralization process, for future application in oral
diseases. PDL cells were cloned by dilution plating technique and characterized
by FACS. Cell proliferation analysis and mineralization were performed by [3H]
thymidine incorporation and spectrofluorometric assay. Results have evidenced
that the higher SrCl2 concentrations tested, from 25 to 500 MUg/ml, have
increased the proliferation activity after only 24 h of treatment. Interestingly,
the same higher concentrations have decreased the mineralization, which was
conversely increased by the lower ones, from 0.5 to 10 MUg/ml. Our findings
suggest the possible use of SrCl2 in appropriate delivery systems that release,
at different time points, the specific dose, depending on the biological response
that we want to induce on periodontal ligament stem cells, providing a more
efficient periodontal regeneration.
PMID- 29354156
TI - Lower limbs heterometry correction in patients with osteoporosis and increased
risk of falls.
AB - Osteoporotic fractures are associated with a significant increase in morbidity,
mortality and medical costs. There is also a strong link between fractures and
increased mortality. Among effective measures for the prevention of falls,
instability treatment surely plays a crucial role. Several factors contribute to
instability, many of which are ageing-related: visual spatial deficit, strength
reduction, weight imbalance with COP lateralization sometimes favoured by LLD
(leg length discrepancy). It seems useful to detect an heterometry which could be
corrected, if present. The aim of our work is to assess the responses of
individuals with heterometry diagnosis to the wedge positioning, using the
balance board Lizard 3.0(r). In the period between January 2013 and September
2013, 52 patients were recruited with clinical heterometry >5 mm among those that
were treated in the Recovery and Rehabilitation Agency's postural clinic of the
Careggi Hospital Orthopedic Trauma Centre in Florence. Our measurements have
revealed that there is a statistically significant correlation (p<0.5) between
clinical limb shortening expressed in mm and location of the weight imbalance at
the stabilometric examination at T0; our data shows that the majority of patients
with clinical heterometry shows a weight imbalance on the longer limb. After
heterometry correction, 21 patients showed a statistically significant reduction
(p<0,01) in weight imbalance expressed in kg between T0 and T1 and have been
assigned to group 1, the remaining 31 worsened and have been assigned to group 2.
From the results of our study, it is clear that the correction of lower limbs
heterometry shouldn't be based only on clinical measuring of the limbs length
discrepancy, even if very accurate.
PMID- 29354157
TI - Grafting and fixation of proximal humeral aseptic non union: a prospective case
series.
AB - Background: Fractures of the proximal part of the humerus represent almost 4-5%
of all fractures. The rate of non union is estimated to be 1.1 to 10%. Non union,
displacement, and fixation failure can be hazardous complications for these
injuries. The purpose of our study was to evaluate the outcomes of plate and bone
strut allograft with bone chips grafting augmentation in the management of
proximal humeral aseptic non union. Methods: We treated 16 aseptic non union
proximal humeral fractures by the medial humeral shaft bone strut allograft and
lateral plate and screws with bone chips grafting. The patients' ages were
between 55 and 70 years. The chosen criteria to evaluate the group during the
clinical and radiological follow-up were the quality of life measured by The
Short Form (12) Health Survey (SF-12), shoulder function and related quality of
life measured by the Constant Shoulder Score (CSS) compared with healthy side,
bone healing measured by X-rays, and postoperative complications. The follow-up
was perfor med with clinical and radiographic controls at 1, 3, 6 and 12 months.
Surgical time and international units of red blood cells transfused were also
calculated. The evaluation endpoint was set at 12 months. Results: The X-rays
bone healing occurred in our group on average of 126.4 days after surgery. The
surgical time and blood loss were consistent with standard surgical procedures.
The quality of life and functional recovery were excellent after plate and bone
strut allograft. Conclusions: Surgical techniques that increase mechanical
stability, while incorporating bone biology, are effective aids for treating
problematic fractural patterns.
PMID- 29354158
TI - Effect of combined treatment with focused mechano-acoustic vibration and
pharmacological therapy on bone mineral density and muscle strength in post
menopausal women.
AB - Introduction: Osteoporosis is a systemic disease of the skeleton characterized by
a reduction in bone mass and alterations in microarchitecture accompanied by
increase in fracture risk, with a relevant decline in quality of life and
important social, economic, and health implications, representing one of the most
common causes of disability and a major financial item of health cost in many
Countries. The best therapy for osteoporosis is prevention, consisting in
measures to avoid or slow the onset of the disease. Treatment includes measures
aimed at osteoporotic individuals, with or without previous fractures and a high
risk of a first or additional fracture. Method: We enrolled thirty post
menopausal osteoporotic women, allocated in the first group underwent a 6-month
personalized drug therapy and focused mechanoacoustic vibration (2 sessions per
week, each lasting 15 minutes); women allocated in the second group underwent
only 6-month personalized drug therapy. Patients were evaluated performing dual
energy X-ray absorptiometry (DXA) and isokinetic machine evaluation, and
administration of Tinetti scale and ECOS-16 questionnaire. Result: Show
improvement of bone mineral density (BMD) and T-score at the lumbar spine and
femoral neck, handgrip strength and isokinetic strength of the knee estensors,
balance and gait, and quality of life. Conclusion: Hence, the combined treatment
with focused mechano-acoustic vibration and pharmacological therapy has a
beneficial effect on BMD and T-score as well as on the muscle strength and
quality of life of osteoporotic subjects.
PMID- 29354159
TI - Hypothyrodism as a risk factor of periodontitis and its relation with vitamin D
deficiency: mini-review of literature and a case report.
AB - Objective: The purpose of this report is to investigate the role and efficiency
of the intra-ligamentary injection of vitamin D and calcium in the treatment of
chronic periodontitis associated with hypothyroidism. Design: A 43-year-old
female with suspected hypothyroidism who revealed severe chronic periodontitis
with grade III mobility. Pre-operative periodontal and systemic control preceded
the used intervention. After 3 months, intra-ligamentary injectable vitamin D
with calcium was introduced. Results: Clinical evaluation revealed great
improvement of the injected sites. Marked reduction of mobility, pocket depth and
bleeding were detected. Conclusions: Injectabe vitamin D is an adjunctive
treatment modality that needs to be discovered in another way. It may provide
further solutions for the periodontal regeneration problem. Clinical studies with
large sample sizes and long term follow up are needed.
PMID- 29354161
TI - Atypical femur fractures: a distinctive tract of adult hypophosphatasia.
AB - Hypophosphatasia (HPP) is a congenital, rare and heterogeneous bone disorder,
characterized by a deficit of calcified tissue mineralization, leading to
skeletal deformities and osteomalacia in adults, rickets in infants and children,
and fragility fractures and premature loss of dentition in children and adults.
The disease is caused by a reduced or absent expression and activity of the
tissue non-specific alkaline phosphatase (TNSALP) enzyme, derived from
inactivating mutations of the alkaline phosphatase (ALPL) gene. Six different
clinical variants have been reported, defined by the onset age and characterized
by different degrees of severity. The adult form of HPP presents a wide range of
clinical manifestations, many of which are non-specific, mild, and often
overlapping with other metabolic bone diseases. Consequently, many cases of adult
HPP are, commonly, undiagnosed or misdiagnosed, and, subsequently, wrongly or non
treated with severe consequences for patients and a very negative impact on their
quality of life and life expectancy, as well as with costs due to the
administration of wrong therapies and treatments of their side effects. The
occurrence of a fragility atypical femur fracture in the adulthood can be
suspected as a clinical indication of an undiagnosed adult mild form of HPP; and
the presence of at least one of this kind of fracture can help in the diagnosis
of adult HPP, together with conventional HPP biochemical signs.
PMID- 29354160
TI - Surgical treatment of neglected hip fracture in children with cerebral palsy:
case report and review of the literature.
AB - Case: A clinical case of a 15-year-old cerebral palsy child with a Sandhu type 2
neglected femoral neck fracture is presented. The patient was treated using
cannulated screws and cancellous bone graft augmented with mesenchymal stem
cells. At 6 months after the surgery complete fracture healing was observed.
Conclusion: To early diagnose this fractures, it is mandatory to perform a
comprehensive clinical and radiological evaluation including also a second level
imaging. The use of cannulated screws with cancellous bone graft and MSCs is a
viable treatment option in these patients.
PMID- 29354162
TI - Pitfalls in interpreting interventional studies for osteoporosis.
AB - After adulthood, changes in the skeleton are slow and takes years for accruing or
losing any appreciable amount of bone mass. Proper interpretation of studies that
evaluate the effect of nutrients (like calcium, vitamin D) and anti-resorptive
agents (like bisphosphonates) on bone mass is important so that the true effect
of the agent is measured correctly. In this report, we are highlighting two
issues of utmost importance for correctly interpreting interventional studies for
osteoporosis. One issue is the bone remodelling transient (BRT). It refers to a
transient change in bone mineral density (BMD) by any agent that reduces
remodeling space temporarily. This change is, however, not sustained for a long
period and can be misinterpreted as a true gain in bone mass. The second issue is
difference between calcium balance and bone balance. Calcium balance is the
difference between the amount of calcium ingested in a day and the amount of
calcium lost in that day. Recommendations for dietary calcium intake are based on
calcium balance studies that presume calcium balance as an equivalent for bone
balance. However, these are two different entities and need to be distinguished.
Dietary calcium requirements should be established by bone balance studies using
bone densitometry, not by calcium balance studies.
PMID- 29354163
TI - Secondary aneurysmal bone cyst in McCune-Albright syndrome.
AB - Polyostotic fibrous dysplasia in combination with cafeau-lait macules and
hyperfunctioning endocrinopathies consists of a rare clinical condition termed as
McCune-Albright syndrome. Aneurysmal bone cysts are tumor-like cystic lesions,
composed of blood-filled compartments. They may occur as primary lesions or
secondary to other pathologies; most commonly giant cell tumors of bone. However,
secondary aneurysmal bone cysts in McCune-Albright syndrome are exceptional. We
present a 28-year-old female with McCune-Albright syndrome. She experienced
precocious puberty at age 3 months. In childhood, she experienced multiple long
bone fractures, facial deformity and progressive visual and hearing impairment.
One year ago, she experienced a painful, gradually enlarging bone lesion
involving the right ilium, pubic and ischial bone with groundglass appearance,
septa, marginal sclerosis, endosteal scalloping and blow-out expansion resulting
in localized thinning of the cortex. CT-guided needle biopsy of the pelvic lesion
showed aneurysmal bone cyst. Selective arterial embolization was recommended,
however, the patient and her relatives did not consent to proceed to treatment,
and she remained in close surveillance thereafter.
PMID- 29354164
TI - Actual reason for bone fractures in the case of a patient followed-up with the
osteogenesis imperfecta: Gaucher's Disease.
AB - Gaucher's disease (GD) is a rare disease characterized by a beta-glucocerebroside
accumulation in the reticulo-endothelial system. Patients may refer to the clinic
with complaints of bone pain, hepatosplenomegaly, anemia, thrombocytopenia,
growth retardation, interstitial pulmonary disease, pulmonary hypertension, and
skeletal disorders. Skeletal system involvement is observed commonly in Gaucher
patients and a significant cause of morbidity. Our patient was followed for
several years as a glass child - osteogenesis imperfecta and he had joint
deformities due to skeletal fractures. We wanted to present this case to raise
awareness of GD's skeletal involvement and effects of late diagnosis.
PMID- 29354165
TI - Calcitriol-mediated hypercalcemia secondary to granulomatous disease caused by
soft-tissue filler injection: a case report.
AB - Soft-tissue filler (STF) injections have been used worldwide for cosmetic
reasons. In most cases, they are not approved by the United States Food and Drug
Administration (FDA). Regulatory boards in Latin American countries do not allow
the medical use of STF injections; however, these injections are still widely
used. A case of calcitriol-mediated hypercalcemia with ectopic calcifications,
chronic kidney disease, nephrolithiasis and calcinosis is presented. The reported
case highlights the consequences of STF use, including calcitriol-mediated
hypercalcemia secondary to granulomatous reactions years after an esthetic
procedure.
PMID- 29354166
TI - Adult-onset hypophosphatasia diagnosed following bilateral atypical femoral
fractures in a 55-year-old woman.
AB - We report the case of a 55-year-old woman who presented to the emergency
department having woken from sleep with right sided thigh swelling. Pelvic
radiographs revealed bilateral atypical subtrochanteric femoral fractures
(ASFFs). In the two years leading up to this admission, the patient had
experienced gradually increasing pain and weakness in her legs which had resulted
in a decrease in her mobility from fully mobile to bed-bound. During this time a
neurologist had organised a magnetic-resonance imaging (MRI) scan of the brain
and spine which was normal. There was no history of bisphosphonate (BP) use.
Historical and admission blood tests revealed a persistently low serum alkaline
phosphatase (ALP), with all other results within normal limits. The patient was
treated with intramedullary nailing of both femurs and histological analysis of
bone reamings were characteristic of hypophosphatasia (HPP). The patient was
independently mobilising with a walking frame on discharge. Subsequent genetic
testing revealed bi-allelic pathogenic variants in the TNSALP gene: c.526G>A,
p.(Ala176Thr) and c.1171C>T, p.(Arg391Cys). HPP is an inborn error in metabolism
caused by mutation in the gene coding for tissue non-specific alkaline
phosphatase (TNSALP), resulting in a decrease in serum ALP concentrations. The
age at which it presents which can vary from childhood to middle age, with
symptoms ranging from perinatal death to late-onset osteomalacia. In those
patients who survive to adulthood, there is a predisposition to fractures,
including ASFFs. Treatment with asfotase alfa (a bone-targeted, recombinant human
TNSALP) has been approved for perinatal, infantile and paediatric-onset
hypophosphatasia. This case emphasises the importance of viewing persistent low
ALP as a 'red flag' in patients presenting with musculoskeletal symptoms. Timely
diagnosis and treatment of HPP can reduce the risk of serious complications, such
as those experienced by this patient.
PMID- 29354167
TI - Neonatal severe hyperparathyroidism secondary to a novel homozygous CASR gene
mutation.
AB - Neonatal severe hyperparathyroidism (NSHPT) is a rare autosomal recessive
disease. Children present within the first 6 months of life and more commonly in
the first few weeks. Common presentation is poor feeding, polyuria, dehydration,
lethargy, failure to thrive, hypotonia, gastrointestinal dysmotility, osteopenia
and symptoms of respiratory distress due to a poorly developed chest cage. We
present a case of a 2-month old girl with severe hypercalcemia and
hyperparathyroidism. She was found to have a novel homozygous mutation in the
acceptor splicing site of intron 4 (c.1378 -2A>G) of the calcium sensing receptor
gene (CASR). This mutation causes frame shift deletion of exon 5 and
insensitivity of CASR to calcium. The patient was treated with intravenous
fluids, fruosemide, calcitonin, intravenous pamidronate and oral cinacalcet. She
did not respond to medical treatment. Parathyroid gland imaging including
ultrasound, MRI and sestamibi nuclear scan were not helpful in localizing the
glands. Her symptoms resolved following total parathyroidectomy. She is being
treated with alfacalcidiol and calcium supplements to maintain normal serum
calcium and phosphate. She achieved her normal developmental milestones.
PMID- 29354168
TI - Glomus tumor as a cause of oncogenic osteomalacia.
AB - Many tumors that occasionally are benign in origin causes hypophosphatemic
osteomalacia. Here we present a case of glomus tumor in a 59-year-old man with
oncogenic osteomalacia. Diagnosis was made after observation of abnormal increase
activity in octreotide scan. The magnetic resonance imaging showed a round lesion
in left ankle joint. Surgical excision of tumor was curative and all symptoms and
intractable hypophosphatemia improved after few weeks.
PMID- 29354169
TI - Femur shaft fracture following osteoid osteoma radiofrequency ablation.
AB - Introduction: Radiofrequency ablation is an effective modality in treating
osteoid osteoma while avoiding the complications of an open procedure. Its
complications are usually self-limited consisting mostly of local skin burns.
This report presents a major complication, a femur shaft fracture following an
osteoid osteoma radiofrequency ablation. The fracture occurred approximately one
year after the ablation at the site of the osteoid osteoma. Discussion: Few case
reports have been published regarding subtrochanteric femur fracture after
ablation of an osteoid osteoma. To our knowledge this is the first report of a
femoral shaft fracture following an ablation. Another unique characteristic of
the presented case is the late presentation, approximately one year following
ablation. Factors which may have contributed to the fracture include lateral
entry point of the drill which may have weakened the femur when taking its
biological and mechanical properties into account, and the fact that the patient
was a soldier who was allowed to continue his military training only six weeks
after the ablation. Conclusion: Radiofrequency ablation is an effective and
relatively safe technique in treating osteoid osteomas, however the physician
should be aware of the fracture risk involved, consider mechanical and biologic
factors of the bone prior to drilling, and be very conservative when recommending
return to high level activity. In addition, a close follow-up should be carried
on after the procedure in order to supervise bone remodeling.
PMID- 29354170
TI - Subtrochanteric femoral stress fracture with features of atypical femoral
fracture in patient with Paget's disease: a case report.
AB - Atypical femoral fracture (AFF) has been widely issued since the American Society
for Bone and Mineral Research (ASBMR) taskforce stated a possible association
with long-term use of bisphosphonate in 2010. ASBMR taskforce recommended to
include Paget's disease as one of variables to investigate the AFF in 2010. On
the other hand, the ASBMR taskforce in 2014 excluded Paget's disease in the
definition of AFF. Still, any rationale has been barely documented about this
change. We described the rationale by reporting an 85-year-old man who had a
subtrochanteric fracture with features of atypical femoral fracture which turned
out to be Paget's disease of bone in the pathology.
PMID- 29354171
TI - The challenge of nonunion after osteosynthesis of the clavicle: is it a
biomechanical or infection problem?
AB - Introduction: The nonunion rate has been reported between 0.1% and 15%. There are
also several predisposing factors for the onset of complications: general factors
connected with the patient and specific factors related to the fracture site. The
purpose of our study is to review the etiology of nonunion of the clavicle in its
atrophic form and investigate the outcomes of the revision treatment in a single
step. Materials and methods: Retrospective study on 71 patients suffering from
nonunions due to the following treatments: conservative in 13 patients; plate
fixation in 12; closed reduction and fixation with K-wire in 24; open reduction
and fixation with K-wire. All patients were operated on in beach chair position
and classic approach to the clavicle by incising the previous surgical scar. The
clinical and radiographic criteria for evaluating the outcomes were: the Short
Form (12) Health Survey (SF-12), the Constant Shoulder Score (CSS) and the
Disability Disabilities of the Arm, Shoulder and Score (DASH) and radiographic
Union Score (RUS) for bone healing. The evaluation endpoint was set at 12 months.
Results: Blood and culture tests showed 22 infected nonunions and 49 atrophic or
oligoatrophic. In only 10 cases, before surgery, the inflammatory markers were
positive. The isolated microorganisms were resistant to common antibiotics. In 70
out of 71 cases, plates and screws on the upper side and fibula allogenic splints
at the bottom, associated with cancellous bone grafts taken from the patients'
iliac crests, were implanted. In one case, however, it was decided to implant the
plate on the front edge of the clavicle and the fibula allogeneic splint on the
posterior margin, also associated with a cancellous bone graft taken from the
patient's iliac crest. The radiographic bone healing was observed in 107.8 (range
82-160) days for the aseptic nonunions, while in 118.4 (range 82-203) days for
the septic ones. The non-healing case was a serious failure that led to asubtotal
excision of the clavicle. Conclusions: The importance of classification and study
of nonunions are essential to achieve positive outcomes. The guiding principle of
our work is that aseptic nonunions heal in the operating room, while infected
nonunions can be challenged and defeated on the operating table. Restoring the
correct length of the clavicle interconnection between the sternum and the
shoulder cingulum is indispensable to avoid functional deficits of the upper
limb. The fibula splint and the tricorticale bone graft have both mechanical and
strong biological values to quickly heal the nonunion. The return to pre-injury
quality of life has to be our main goal.
PMID- 29354172
TI - Transcatheter aortic valve implantation at a high-volume center: the Bad
Rothenfelde experience.
AB - Introduction: The "transfemoral (TF) first" approach to access route selection in
transcatheter aortic valve implantation (TAVI) is popular; however, the risk of
major vascular complications is substantial. The "best for TF" approach
identifies only the patients with ideal anatomy for TF-TAVI, potentially
minimizing complications. Aim: To characterize the outcomes of patients
undergoing TAVI at a large-volume site that employs this approach. Material and
methods: Patients who underwent TAVI at the Bad Rothenfelde Heart Centre between
2008 and 2016 were consecutively enrolled. Findings were compared to those from
large, multicenter registries. Results: Of the 1,644 patients enrolled, 1,140
underwent TA- and 504 TF-TAVI. Comorbidities were more frequent in TA patients,
who also had higher risk scores (EuroSCORE: 25.5% vs. 21.2%; STS score: 11.0% vs.
7.5%; p < 0.001 for both). Rates of conversion to open surgery, major vascular
complications and intra-procedural mortality did not differ between groups. At 30
days, mortality rates were higher in the TA group (3.9% vs. 1.9%, p = 0.036).
Stroke/transient ischemic attack and permanent pacemaker implantation rates did
not differ significantly between groups (2.0% and 9.1% overall, respectively).
Compared to multicenter registries, trends in mortality and complication rates
were similar, though magnitudes were lower in the present study. In contrast with
the present study, major vascular complication rates in multicenter registries
are significantly higher for TF compared to TA patients. Conclusions: At this
high-volume center, the use of a "best for TF" approach to TAVI resulted in low
mortality and complication rates.
PMID- 29354173
TI - Pulmonary carcinoids - analysis of early and long-term surgical treatment
outcomes in a group of 90 patients.
AB - Introduction: Over the years, pulmonary carcinoids have been treated as locally
malignant tumors because of the favorable prognosis. It is currently known that
the clinical course of the disease is mainly dependent on the carcinoid subtype.
Aim: To analyze the early and long-term surgical treatment outcomes for typical
and atypical carcinoids. Material and methods: A total of 90 patients were
treated surgically for pulmonary carcinoid tumors in the years 2007-2015. Typical
carcinoids were diagnosed in 69 (77%) cases, while atypical carcinoids - in 21
(23%); 67 patients underwent lobectomy, 3 - sleeve lobectomy, 9 - bilobectomy, 2
pneumonectomy, 1 - segmentectomy, and 8 - wedge resection. Results: None of the
patients died in the postoperative period. Complications occurred in 14.4% of
patients. The most common complications included: arrhythmias (5.6%), prolonged
air leak (3.3%), and residual pneumothorax (3.3%). Among the 21 patients with
atypical carcinoids, N1 metastasis was found in 1 (4.8%) patient, while N2 - in 5
(23.8%) patients. In the group of 69 patients with typical carcinoids, N1
metastasis was revealed in 7 (10.1%) patients and N2 metastases - in 2 (2.9%)
patients. The probability of 5-year survival in patients with typical and
atypical carcinoids was 96% and 83%, respectively. During the follow-up period 7
(7.8%) patients, including 6 with atypical carcinoids, experienced local
recurrence; distant metastasis occurred in 8 (8.9%) cases, including 6 with
atypical carcinoids. Conclusions: Although radical surgical treatment provides
excellent long-term outcomes, it should be noted that patients with pulmonary
carcinoids (especially with the atypical subtype) may experience local recurrence
and distant metastases even many years after surgery.
PMID- 29354174
TI - Prometheus therapy for the treatment of acute liver failure in patients after
cardiac surgery.
AB - Introduction: Acute liver failure usually develops in multiple organ dysfunction
syndrome and significantly increases the mortality risk in patients after cardiac
surgery. Aim: To assess the safety and efficacy of extracorporeal liver support
in patients with acute liver failure after cardiac surgery. Material and methods:
We studied 39 adult patients with multiple organ dysfunction syndrome and acute
liver failure as postoperative complication, treated with Prometheus therapy.
Inclusion criteria comprised clinical and laboratory signs of acute liver
failure. Criteria to start Prometheus therapies were: serum bilirubin above 180
umol/l (reference values: 3-17 umol/l), hepatocyte cytolysis syndrome (at least 2
fold increase in aspartate aminotranspherase and alanine aminotranspherase
concentrations; reference values 10-40 U/l) and decrease in plasma cholinesterase
(reference values 4490-13 320 U/l). Results: Extracorporeal therapy provided
stabilization of hemodynamics, decrease in serum total bilirubin and unconjugated
bilirubin levels, decrease in cytolysis syndrome severity and positive effect on
the synthetic function of the liver. The 28-day survival rate in the group
treated with Prometheus therapy was 23%. Conclusions: Prometheus procedures could
be recommended as a part of combined intensive care in patients with acute liver
failure after cardiac and major vessel surgery. The efficiency of this method
could be improved by a multi-factor evaluation of patient condition in order to
determine indications for its use.
PMID- 29354175
TI - Open lung biopsy performed in idiopathic pulmonary fibrosis is a safe procedure.
AB - Introduction: Idiopathic pulmonary fibrosis (IPF) is an interstitial lung disease
with a fatal prognosis. The diagnosis is made on the basis of high-resolution
computed tomography and histological examination in selected cases. Aim: To
determine the risk of complications of open lung biopsy performed in patients
with IPF. Material and methods: We performed a retrospective analysis of 51
patients who underwent diagnostic excision of pulmonary parenchyma due to IPF in
the period 1995-2014. We assessed the complication rate, length of drainage,
postoperative period and 30-day mortality. We compared the results of treatment
in the groups of patients operated on with thoracotomy and videothoracoscopy.
Results: The mean age of patients was 58 (47% female, 53% male) forced vital
capacity (FVC) was 81%, forced expiratory volume in 1 s (FEV1) was 80% and body
mass index (BMI) was 27 kg/m2. Thoracotomies (lateral, muscle sparing or
anterior) were performed in 20 patients between 1995 and 2012 and
videothoracoscopy in 31 patients operated on in the years 2009-2014. Patients in
study groups did not differ considering age (p = 0.40), gender (p = 0.81), FVC (p
= 0.08), FEV1 (p = 0.13) or BMI (p = 0.75). Postoperative complications occurred
in 3.9% of patients (atrial arrhythmia 1.9% and recurrent pneumothorax 1.9%) with
equal incidence in both study groups (p = 0.75). Median stay after thoracotomy
was 4 days while after videothoracoscopy it was 3 days (p = 0.04). Conclusions:
Open lung biopsy performed on patients with IPF is a safe procedure. Open lung
biopsy performed through thoracotomy could be as safe as through VATS, however is
characterized by longer postoperative stay.
PMID- 29354176
TI - Outcome of surgical embolectomy in patients with massive pulmonary embolism with
and without cardiopulmonary resuscitation.
AB - Introduction: Pulmonary embolism is a challenging critical cardiovascular disease
with high morbidity and mortality. Surgical embolectomy has favorable results in
patients with massive pulmonary embolism. Aim: To study the outcome of
embolectomy in patients with massive pulmonary embolism. Material and methods: In
this single-center, retrospective study, 36 patients including 14 male and 22
female patients with a mean age of 50.80 +/-18.89 years with acute pulmonary
embolism who underwent surgical pulmonary embolectomy from January 2011 to
January 2016 were included. The medical records of all patients were reviewed for
demographic and preoperative data and postoperative outcomes. Results: Common
risk factors for acute PE were major surgery within 3 months and deep vein
thrombosis. The most common presenting symptoms of patients were dyspnea,
followed by chest pain and syncope. Mean duration of hospitalization was 14.76 +/
8.69 days and mean operation duration was 4.47 +/-1.54 h. Mean time from
admission to embolectomy was 6.58 +/-1.13 h. Ten (27.8%) patients died during the
operation including 3 cases with cardiopulmonary resuscitation prior to surgery
and 2 cases with severe cardiogenic shock. Patients who survived were followed
for 6 months. The mortality rate during follow-up was 15.4%; all 4 patients died
during follow-up period due to metastatic cancer. No pulmonary embolism
recurrance were seen. Conclusions: Although surgical embolectomy mostly was done
for high risk patients, it had good in-hospital and excellent mid-term outcomes.
PMID- 29354177
TI - The influence of adding tomato extract and acetylsalicylic acid to hypotensive
therapy on the daily blood pressure profiles of patients with arterial
hypertension and high cardiovascular risk.
AB - Introduction: Arterial hypertension (HT) is one of the most common diseases
around the world and constitutes a significant medical, social, and economic
problem. Lifestyle changes, including adequate fruit and vegetable consumption,
play an important role in controlling blood pressure (BP) and other
cardiovascular risk factors. Aim: To compare the influence of adding
acetylsalicylic acid (ASA) or standardized tomato extract (STE) to standard
hypotensive therapy on the values of arterial pressure and the daily blood
pressure profiles of patients with hypertension and high cardiovascular risk.
Material and methods: The study included 65 patients with arterial hypertension
and high cardiovascular risk. High-risk patients with primary hypertension were
randomly allocated in a blinded fashion to one of two groups (ASA or STE). In
each case, two visits were made: the first - before the treatment, and the second
- after 4 weeks of treatment. During each visit, the patients underwent a
clinical measurement of arterial pressure and an ambulatory blood pressure
measurement (ABPM). Blood platelet aggregation was assessed using the VerifyNow
analyzer. Results: After 4 weeks of treatment, the blood pressure values during
the day (p < 0.001), during the night (p < 0.05), and in 24-h BP profiles (p <
0.01) obtained with ABPM were significantly lower in the STE group in comparison
to the ASA group. The addition of STE to standard hypotensive treatment resulted
in a favorable increase in the nocturnal fall of diastolic blood pressure (DBP)
(by 6.5%) and mean arterial pressure (MAP) (by 3.3%). Conclusions: The use of STE
is significant in HT patients with high total cardiovascular risk; it is
associated with better BP control and improvements in the daily BP profile.
PMID- 29354178
TI - The role of extracorporeal membrane oxygenation in patients after irreversible
cardiac arrest as potential organ donors.
AB - The number of people waiting for a kidney or liver transplant is growing
systematically. Due to the latest advances in transplantation, persons after
irreversible cardiac arrest and confirmation of death have become potential organ
donors. It is estimated that they may increase the number of donations by more
than 40%. However, without good organization and communication between pre
hospital care providers, emergency departments, intensive care units and
transplantation units, it is almost impossible to save the organs of potential
donors in good condition. Various systems, including extracorporeal membrane
oxygenation (ECMO), supporting perfusion of organs for transplantation play a key
role. In 2016 the "ECMO for Greater Poland" program was established. Although its
main goal is to improve the survival rate of patients suffering from life
threatening cardiopulmonary conditions, one of its branches aims to increase the
donation rate in patients with irreversible cardiac arrest. In this review, the
role of ECMO in the latter group as the potential organ donors is presented.
PMID- 29354179
TI - The significance of neonatal thymectomy for shaping the immune system in children
with congenital heart defects.
AB - The thymus plays an important role in the development of the immune cell pool; it
serves as the primary location for T-lymphocyte maturation. Early cardiac
surgical interventions for congenital heart defects are necessarily associated
with thymectomy, i.e. the partial or complete removal of the thymus. A newborn
infant already has a functioning thymus and developed cells of the immune system.
However, thymectomy eliminates the primary location where T cells differentiate
and mature. This study summarizes the current knowledge of the cellular
disturbances and potential clinical consequences associated with performing
thymectomy in children treated surgically for congenital heart defects.
PMID- 29354181
TI - "All in" or "Rien ne va plus"? First simultaneous catheter-based trivalvular
treatment combined with atrial septal closure in a human.
PMID- 29354180
TI - Malignant mesothelioma as a difficult interdisciplinary problem.
AB - The authors of the present report review the etiology and clinical symptoms of
malignant pleural mesothelioma (MPM) as well as diagnostic techniques (both
radiological and biomarkers) used for its detection. Subsequently, they present
methods of multimodal treatment (surgery, chemotherapy, and radiotherapy)
recommended by the International Mesothelioma Interest Group (IMIG). Finally,
they discuss complications and long-term results associated with these methods of
MPM treatment.
PMID- 29354182
TI - Heart transplantation in the treatment of primary non-operable cardiac tumors.
PMID- 29354183
TI - Agenesis of right and existence of left inferior vena cava associated with
posterior nutcracker syndrome.
PMID- 29354184
TI - Giant hemangioma of anterior mediastinum mimicking bilateral pleural effusion.
PMID- 29354186
TI - Professor Aleksy Ponizynski (1928-2017).
PMID- 29354185
TI - Professor Miroslawa Narkiewicz (1931-2017) - biographical note.
PMID- 29354187
TI - MEASURING EVERYDAY RACIAL/ETHNIC DISCRIMINATION IN HEALTH SURVEYS: How Best to
Ask the Questions, in One or Two Stages, Across Multiple Racial/Ethnic Groups?
AB - While it is clear that self-reported racial/ethnic discrimination is related to
illness, there are challenges in measuring self-reported discrimination or unfair
treatment. In the present study, we evaluate the psychometric properties of a
self-reported instrument across racial/ ethnic groups in a population-based
sample, and we test and interpret findings from applying two different widely
used approaches to asking about discrimination and unfair treatment. Even though
we found that the subset of items we tested tap into a single underlying concept,
we also found that different groups are more likely to report on different
aspects of discrimination. Whether race is mentioned in the survey question
affects both frequency and mean scores of reports of racial/ethnic
discrimination. Our findings suggest caution to researchers when comparing
studies that have used different approaches to measure racial/ethnic
discrimination and allow us to suggest practical empirical guidelines for
measuring and analyzing racial/ethnic discrimination. No less important, we have
developed a self-reported measure of recent racial/ethnic discrimination that
functions well in a range of different racial/ethnic groups and makes it possible
to compare how racial/ethnic discrimination is associated with health disparities
among multiple racial/ethnic groups.
PMID- 29354188
TI - The impact of transactional sex with teachers on public school students in
Monrovia, Liberia - a brief report.
AB - Access to education has been shown to strongly influence adolescent health across
the world, and strong relationships with teachers has been found to lead to
better academic and psychosocial outcomes for students. In many low-income
countries where adolescents have less access to education and are more likely to
experience poor health outcomes, risky sexual behaviors can exacerbate these
challenges by increasing the risk of unintended pregnancy and sexually
transmitted infections. This study sought to examine risky behaviors, such as
substance use and risky sexual practices, of in-school youth in Liberia, a
country in West Africa. Nine focus groups were conducted with public school
students in Monrovia, Liberia in April 2012 using a semi-structured guide. The
sessions took place in three public schools with n = 72 participants aged 12-20
years old. Following thematic content analysis, a pattern emerged of
transactional sex between female students and male teachers, which often led to
contrived and coercive relationships for the students. Conversely, participants
reported that educators were not disciplined for having sex with students.
Interventions to reduce the prevalence of transactional sex within the academic
environment would likely protect the well being of school-going youth,
particularly female youth, and support students' academic pursuits in Liberia.
PMID- 29354189
TI - Integration of multi-omics data for integrative gene regulatory network
inference.
AB - Gene regulatory networks provide comprehensive insights and indepth understanding
of complex biological processes. The molecular interactions of gene regulatory
networks are inferred from a single type of genomic data, e.g., gene expression
data in most research. However, gene expression is a product of sequential
interactions of multiple biological processes, such as DNA sequence variations,
copy number variations, histone modifications, transcription factors, and DNA
methylations. The recent rapid advances of high-throughput omics technologies
enable one to measure multiple types of omics data, called 'multi-omics data',
that represent the various biological processes. In this paper, we propose an
Integrative Gene Regulatory Network inference method (iGRN) that incorporates
multi-omics data and their interactions in gene regulatory networks. In addition
to gene expressions, copy number variations and DNA methylations were considered
for multi-omics data in this paper. The intensive experiments were carried out
with simulation data, where iGRN's capability that infers the integrative gene
regulatory network is assessed. Through the experiments, iGRN shows its better
performance on model representation and interpretation than other integrative
methods in gene regulatory network inference. iGRN was also applied to a human
brain dataset of psychiatric disorders, and the biological network of psychiatric
disorders was analysed.
PMID- 29354190
TI - Sharing Individual-Level Health Research Data: Experiences, Challenges and a
Research Agenda.
AB - Since January 2016, the Mahidol Oxford Tropical Medicine Research Unit (MORU) has
trialled a data-sharing policy where requests to access research datasets are
processed through a Data Access Committee. In this paper, we share our
experiences establishing data management systems and data-sharing infrastructure
including a data-sharing policy, data access committee and related procedures. We
identified a number of practical and ethical challenges including requests for
datasets collected without specific or broad consent to data sharing and requests
from pharmaceutical companies for data to support drug registration applications.
We also encountered significant resource constraints which required the
development of appropriate human resources and infrastructure. We suggest a
research agenda to promote responsible and equitable data sharing while
safeguarding the rights and interests of research participants and researchers.
PMID- 29354191
TI - MEASUREMENT OF ENERGY EXPENDITURE WHILE PLAYING EXERGAMES AT A SELF-SELECTED
INTENSITY.
AB - Exergames have been suggested as a possible alternative to traditional exercise
in the general population. The purpose of this study was to examine the heart
rate (HR) and energy expenditure (EE) of young adults playing several different
exergames, while self-selecting the component of the game to play and the
intensity. A total of 117 participants, 18-35 years of age, were evaluated on one
of four active video games. Participants were free to choose any component of the
given game to play and they played at a self-selected intensity. The average HR
and EE during the individual games were compared to resting conditions and to the
American College of Sports Medicine (ACSM) guidelines. The HR and EE increased
above resting conditions during each game (p<0.05). When the results of all games
were combined, the HR was 125.4 +/- 20.0 bpm and the average EE was 6.7 +/- 2.1
kcal/min. This HR represents an average percent of heart rate reserve of 44.6 +/-
14.1, high enough to be considered moderate intensity exercise. If performed for
30 minutes a day, five days per week, the average EE would be 1,005 kcals, enough
to meet the ACSM recommendations for weekly EE. Therefore, at least some
exergames could be a component of an exercise program.
PMID- 29354192
TI - Canada 150.
PMID- 29354193
TI - Can a two-hour lecture by a pharmacist improve the quality of prescriptions in a
pediatric hospital? A retrospective cohort study.
AB - Background: A high rate of prescription errors exists in pediatric teaching
hospitals, especially during initial training. Objectives: To determine the
effectiveness of a two-hour lecture by a pharmacist on rates of prescription
errors and quality of prescriptions. Methods: A two-hour lecture led by a
pharmacist was provided to 11 junior pediatric residents (PGY-1) as part of a one
month immersion program. A control group included 15 residents without the
intervention. We reviewed charts to analyze the first 50 prescriptions of each
resident. Results: Data were collected from 1300 prescriptions involving 451
patients, 550 in the intervention group and 750 in the control group. The rate of
prescription errors in the intervention group was 9.6% compared to 11.3% in the
control group (p=0.32), affecting 106 patients. Statistically significant
differences between both groups were prescriptions with unwritten doses (p=0.01)
and errors involving overdosing (p=0.04). We identified many errors as well as
issues surrounding quality of prescriptions. Conclusion: We found a 10.6%
prescription error rate. This two-hour lecture seems insufficient to reduce
prescription errors among junior pediatric residents. This study highlights the
most frequent types of errors and prescription quality issues that should be
targeted by future educational interventions.
PMID- 29354194
TI - Canadian residents' perceptions of cross-cultural care training in graduate
medical school.
AB - Background: The Royal College of Physicians and Surgeons of Canada specifies both
respect for diversity as a requirement of professionalism and culturally
sensitive provision of medical care. The purpose of the present study was to
evaluate the perception of preparedness and attitudes of medical residents to
deliver cross-cultural care. Methods: The Cross Cultural Care Survey was sent via
e-mail to all Faculty of Medicine residents (approx. 450) in an academic health
sciences centre. Comparisons were made between psychiatry residents, family
medicine residents, and other residency groups with respect to training,
preparedness, and skillfulness in delivering cross-cultural care. Results:
Seventy-three (16%) residents responded to the survey. Residents in psychiatry
and family medicine reported significantly more training and formal evaluation
regarding cross-cultural care than residents in other programs. However, there
were no significant differences in self-reported preparedness and skillfulness.
Residents in family medicine were more likely to report needing more practical
experience working with diverse groups. Psychiatry residents were less likely to
report inadequate cross-cultural training. Conclusion: While most residents
reported feeling skillful and prepared to work with culturally diverse groups,
they report receiving little additional instruction or formal evaluation on this
topic, particularly in programs other than psychiatry and family medicine.
PMID- 29354195
TI - Senior medical students' awareness of radiation risks from common diagnostic
imaging examinations.
AB - Background: Senior medical students represent future physicians who commonly
refer patients for diagnostic imaging studies that may involve ionizing
radiation. The radiology curriculum at the University of British Columbia
provides students with broad-based knowledge about common imaging examinations.
The purpose of this study was to investigate students' awareness of radiation
exposures and risks. Methods: An anonymous multiple-choice cross-sectional
questionnaire was distributed to final year medical students to assess knowledge
of radiation from common diagnostic examinations and radiation-related risks
following completion of the longitudinal radiology curriculum, carried out over
the four years of medical training. Results: Sixty-three of 192 eligible students
participated (33% response rate). The majority felt that knowledge of radiation
doses of common imaging examinations is somewhat or very important; however, only
12% (N = 8) routinely discuss radiation-related risks with patients. While all
respondents recognized children as most sensitive to the effects of radiation,
only 24% (N = 15) correctly identified gonads as the most radiation-sensitive
tissue. Almost all respondents recognized ultrasound and MRI as radiation free
modalities. Respondents who correctly identified the relative dose of common
imaging examinations in chest x-ray equivalents varied from 3-77% (N = 2 - 49);
the remaining responses were largely underestimates. Finally, 44% (N = 28)
correctly identified the excess risk of a fatal cancer from an abdominal CT in an
adult, while the remainder underestimated this risk. Conclusion: Medical students
acknowledge the importance of radiation-related issues to patient care. While
almost all students are familiar with radiation-free modalities, many are not
familiar with, and commonly underestimate, the relative doses and risks of common
imaging studies. This may expose patients to increasing imaging investigations
and exposure to radiation hazards.
PMID- 29354196
TI - National survey of mentorship in Canadian general surgery residency programs:
Where are we and what do we need?
AB - Background: The benefits of mentorship on residents are well established. The
current state of mentorship in General Surgery (GS) residency programs in Canada
is unknown. The objectives of this study were to obtain GS residents' and program
directors' (PD) perspectives on resident mentorship. Study Design: An electronic
survey was developed and distributed to all 601 GS residents in Canada. All 17
PDs were invited for telephone interviews. Results: A total of 179 of the 601
residents responded. Ninety-seven percent (n=173) felt mentorship was important.
Only 67% (n=116) identified a mentor and only 53% (n=62) reported a mentorship
program. Most who identified a mentor (n=87/110, 79%) were satisfied with the
mentorship received. Significant variations in mentorship existed between
demographic subgroups and mentorship program types. Overall, residents (n=121,
74%) favoured having a required mentorship program.A total of 11 out of 17 PDs
participated in the telephone interviews. The majority of PDs (n=9, 82%) were
satisfied with current resident mentorship but most acknowledged that barriers
exist (n=8, 73%). Conclusion: GS programs in Canada should ensure they are
providing equal opportunities for mentorship across demographic subgroups.
Programs are encouraged to examine both their program's and their residents'
needs as well as local barriers to improve mentorship.
PMID- 29354197
TI - Students' satisfaction with general practitioners' feedback to their reflective
writing: a randomized controlled trial.
AB - Background: Reflective Writing (RW) is increasingly being implemented in medical
education. Feedback to students' reflective writing (RW) is essential, but
resources for individualized feedback often lack. We aimed to determine whether
general practitioners (GPs) teaching students clinical skills could also provide
feedback to RW and whether an instruction letter specific to RW feedback
increases students' satisfaction. Methods: GPs were randomized to the two study
arms using block randomization. GPs in both groups received an instruction letter
on giving students feedback on clinical skills. Additionally, intervention group
GPs received specific instructions on providing feedback to students' RW.
Students completed satisfaction questionnaires on feedback received on clinical
skills and RW. T-tests were employed for all statistical analysis to compare
groups. Results: Eighty-three out of 134 physicians participated: 38 were
randomized to the control, 45 to the intervention group. Students were very
satisfied with the feedback on RW and clinical skills regardless of tutors' group
allocation. A specific instruction letter had no additional effect on students'
satisfaction. Conclusion: Based on student satisfaction, GPs who give students
feedback on clinical skills are also well suited to provide feedback on RW. This
approach can facilitate the introduction of mandatory RW into the regular medical
curriculum.
PMID- 29354198
TI - The virtual hallway consult as an effective means of continuing professional
development in physiatry.
AB - Background: A personal learning project (PLP) is an accredited form of Continuing
Professional Development (CPD) in Canada, and is a self-initiated learning
activity that is stimulated by a question, issue or dilemma in one's professional
practice. Many complex cases or issues have no answers that are readily
available. Many physicians rely day to day on other physician colleagues that
they may consult in their institution. Given the paucity of same specialty
Physical Medicine and Rehabilitation colleagues in Canadian centres, the idea of
Virtual Spinal Cord Injury (SCI) Hallway germinated, to provide a simple tool to
extend our hallways to reach colleagues with similar interests across the
country. Methods: The Virtual SCI Hallway is a service set up on Yahoo Groups,
with no cost to the users. On this invite-only site, members may post a question,
and then all members receive the post by email. Any member may respond. Results:
The SCI Hallway has been running successfully for over 13 years. As of January
2017, there were 38 members, with 2124 messages within approximately 324
conversations. Activity has been consistent since 2003. Questions and posts are
not always medical expert related; there are also advocacy, professional, and
scholar-role related posts. Discussion: Communication amongst specialists about
practice and management of complicated problems is important for CPD, yet is
difficult in subspecialized areas of medicine. Although there are many chat-pages
in different areas of medicine on the internet, to our knowledge, there is not
another secure, invite-only site that is low-maintenance and no cost.
PMID- 29354199
TI - A needs assessment on addressing environmental health issues within reproductive
health service provision: Considerations for continuing education and support.
AB - Background: This needs assessment was initially undertaken to explore the beliefs
and knowledge of nurses and physicians about the impact of environmental
toxicants on maternal and infant health, as well as to describe current practice
and needs related to addressing environmental health issues (EHI). Methods: One
hundred and thirty-five nurses (n = 99) and physicians (n = 36) working in
Saskatchewan completed an online survey. Survey questions were designed to
determine how physicians and nurses think about and incorporate environmental
health issues into their practice and means of increasing their capacity to do
so. Results: Although participants considered it important to address EHIs with
patients, in actual practice they do so with only moderate frequency.
Participants reported low levels of knowledge about EHIs' impact on health, and
low levels of confidence discussing them with patients. Participants requested
additional information on EHIs, especially in the form of online resources.
Conclusion: The results suggests that while nurses and physicians consider EHIs
important to address with patients, more education, support, and resources would
increase their capacity to do so effectively. Based on the findings,
considerations and recommendations for continuing education in this area have
been provided.
PMID- 29354200
TI - Perceptions, practice, and "ownership:" experiences in continuity of the patient
doctor relationship in a family medicine residency.
AB - Background: The objective of this mixed-methods study was to determine
interpersonal continuity (the ongoing therapeutic relationship between patient
and health care provider) experiences of family medicine residents and
preceptors, and explore their perceptions of interpersonal continuity. Methods:
Quantitative data on resident and preceptor encounters were extracted from the
electronic medical record (EMR). Opportunities for developing interpersonal
continuity were determined using the Usual Provider Continuity (UPC) Index. A
qualitative descriptive research method was used for the qualitative portion.
Semi-structured interviews were conducted and constant comparative analysis was
used to determine emerging themes. Results: Residents were found to have low UPC
rates; preceptor rates were higher. Qualitative findings showed variable
experiences with interpersonal continuity not apparent from UPC rates. Both
preceptors and residents expressed perception of "ownership" of patients as a
significant barrier to interpersonal continuity. Conclusion: This study suggests
that a perceived lack of individual "ownership" of a patient panel was a
significant barrier to developing interpersonal continuity. This might conflict
with current changes towards team-based health care delivery. Understanding
perceptions and changing them through a multi-faceted approach including resident
teaching and faculty development might help improve interpersonal continuity
which are core to both family medicine curricula and current models of health
care delivery.
PMID- 29354201
TI - Evaluating pediatrics residents' ethics learning needs using multisource
interprofessional feedback.
AB - Background: Ethics education is a required component of pediatric residency
training. Limited instructional time requires educators to identify and
prioritize learning needs. This is the first study to identify pediatric
residents' ethics learning needs using a multisource (360 degree) assessment. We
hypothesized that pediatricians or allied health care professionals would
identify unperceived ethics learning needs. Methods: Pediatric residents,
pediatricians, respiratory therapists (RTs), and registered nurses (RNs) working
at a university children's hospital rated the importance of twelve ethics themes
as learning needs for trainees using a Likert-type scale. One-way ANOVA was used
to determine differences between the groups, followed by post-hoc testing.
Results: Response rates were 65%, 70%, 57%, and 47% for residents, pediatricians,
RTs, and RNs, respectively. Themes were categorized into three priority groupings
based on mean importance ratings. Where significant differences existed between
residents and other respondent groups, pediatric residents rated the theme as
being more important. Conclusion: This study provides an interprofessional
assessment of pediatric residents' perceived ethics learning needs. High priority
ethics topics were identified, allowing for targeted teaching. Pediatricians and
allied HCPs did not rate any ethics themes higher than residents. Medical
educators may consider using methods inspired by multisource feedback for program
evaluation.
PMID- 29354203
TI - Commentary: The physician as person framework: How human nature impacts empathy,
depression, burnout, and the practice of medicine.
PMID- 29354202
TI - The physician as person framework: How human nature impacts empathy, depression,
burnout, and the practice of medicine.
AB - Troubling trends of depression, burnout, and declines in empathy have been
demonstrated amongst residents. I argue that while interventions in medical
education are helpful, a new perspective on the issue requires a more fundamental
understanding of this problem. Rather than training physicians to act in certain
ways, we must first recognize that physicians are first and foremost people. This
core principle forms the basis of the framework that educators can use to help
learners. Five areas of humanity with implications for physicians are discussed:
1) Physicians and patients share their humanity; 2) People are self-integrated in
both personal and professional lives; 3) People are dynamic, thoughtful, and
emotional; 4) People are finite; and 5) People are moral beings. Recognizing
these can mitigate various factors contributing to current struggles. I also
discuss practical implications of this framework to help residents flourish.
PMID- 29354204
TI - Finding our way home.
PMID- 29354205
TI - Trans-migrations: border-crossing and the politics of body modification among
Puerto Rican transgender women.
PMID- 29354206
TI - J Curve in Hypertension.
AB - The relationship between blood pressure and cardiovascular disease risk among
treated hypertensives is J-shaped: risk is increased at high levels of blood
pressure, falls in parallel with blood pressure reduction and increases again
when blood pressure falls below a nadir (the point at which blood pressure is too
low to maintain perfusion of vital organs). Randomized controlled trials of
antihypertensive treatment have identified J-shaped relationships between
achieved systolic and diastolic blood pressures and all-cause mortality, as well
as fatal and nonfatal cardiovascular events, but not stroke or renal outcomes, in
the general population of hypertensives and high-risk prehypertensives,
particularly in subgroups such as the elderly and those with coronary artery
disease, chronic kidney disease, diabetes, left ventricular hypertrophy, and high
cardiovascular risk because of multiple comorbidities and concomitant risk
factors. Blood pressure targets <130-140/70-85 mm Hg were not beneficial for any
outcome except stroke and chronic kidney disease.
PMID- 29354207
TI - Dynamic contrast-enhanced magnetic resonance imaging of prostate cancer: A review
of current methods and applications.
AB - In many areas of oncology, dynamic contrast-enhanced magnetic resonance imaging
(DCE-MRI) has proven to be a clinically useful, non-invasive functional imaging
technique to quantify tumor vasculature and tumor perfusion characteristics.
Tumor angiogenesis is an essential process for tumor growth, proliferation, and
metastasis. Malignant lesions demonstrate rapid extravasation of contrast from
the intravascular space to the capillary bed due to leaky capillaries associated
with tumor neovascularity. DCE-MRI has the potential to provide information
regarding blood flow, areas of hypoperfusion, and variations in endothelial
permeability and microvessel density to aid treatment selection, enable frequent
monitoring during treatment and assess response to targeted therapy following
treatment. This review will discuss the current status of DCE-MRI in cancer
imaging, with a focus on its use in imaging prostate malignancies as well as
weaknesses that limit its widespread clinical use. The latest techniques for
quantification of DCE-MRI parameters will be reviewed and compared.
PMID- 29354208
TI - Endovascular treatment of pulmonary embolism: Selective review of available
techniques.
AB - Acute pulmonary embolism (PE) is the third most common cause of death in
hospitalized patients. The development of sophisticated diagnostic and
therapeutic modalities for PE, including endovascular therapy, affords a certain
level of complexity to the treatment of patients with this important clinical
entity. Furthermore, the lack of level I evidence for the safety and
effectiveness of catheter directed therapy brings controversy to a promising
treatment approach. In this review paper, we discuss the pathophysiology and
clinical presentation of PE, review the medical and surgical treatment of the
condition, and describe in detail the tools that are available for the
endovascular therapy of PE, including mechanical thrombectomy, suction
thrombectomy, and fibrinolytic therapy. We also review the literature available
to date on these methods, and describe the function of the Pulmonary Embolism
Response Team.
PMID- 29354209
TI - Imaging features of intrathoracic complications of lung transplantation: What the
radiologists need to know.
AB - Lung transplantation has been a method for treating end stage lung disease for
decades. Despite improvements in the preoperative assessment of recipients and
donors as well as improved surgical techniques, lung transplant recipients are
still at a high risk of developing post-operative complications which tend to
impact negatively the patients' outcome if not recognised early. The recognised
complications post lung transplantation can be broadly categorised into acute and
chronic complications. Recognising the radiological features of these
complications has a significant positive impact on patients' survival post
transplantation. This manuscript provides a comprehensive review of the
radiological features of post lung transplantations complications over a time
continuum.
PMID- 29354211
TI - Case of victims of modern imaging technology: Increased information noise
concealing the diagnosis.
AB - We present a case of tubercular arthritis who underwent numerous unnecessary
investigations what is known as "victims of modern imaging technology" or VOMIT.
Today there is an exponential rise in the volume of the medical imaging, part of
which is contributed by unnecessary and unjustified indications. We discuss about
the untoward effects of the uninhibited and careless use of modern imaging
modalities and possible ways to avoid. Skeletal manifestation of the tuberculosis
is still common in the endemic countries like India. Although the final diagnosis
of the skeletal tuberculosis like tubercular arthritis is made by bacteriological
and histological studies, few demographic, clinical and radiological features
might help making early diagnosis.
PMID- 29354210
TI - Aggressive blood pressure treatment of hypertensive intracerebral hemorrhage may
lead to global cerebral hypoperfusion: Case report and imaging perspective.
AB - Hypoperfusion injury related to blood pressure decrease in acute hypertensive
intracerebral hemorrhage continues to be a controversial topic. Aggressive
treatment is provided with the intent to stop the ongoing bleeding. However,
there may be additional factors, including autoregulation and increased
intracranial pressure, that may limit this approach. We present here a case of
acute hypertensive intracerebral hemorrhage, in which aggressive blood pressure
management to levels within the normal range led to global cerebral ischemia
within multiple border zones. Global cerebral ischemia may be of concern in the
management of hypertensive hemorrhage in the presence of premorbid poorly
controlled blood pressure and increased intracranial pressure.
PMID- 29354212
TI - Vascular cognitive impairment.
PMID- 29354213
TI - Neuroimaging in cerebral small vessel disease: Update and new concepts.
AB - In recent years, small vessel disease (SVD) has been recognized for its major
impact on cognitive impairment in elderly people, where it is often difficult to
separate its effects from those of neurodegenerative diseases individually. SVD
is a systemic disease, probably related to diffuse endothelial dysfunction, which
affects the perforating arterioles, capillaries and venules in the brain.
Although often asymptomatic, it is responsible for almost half of all dementia
cases and a significant proportion of stroke cases. Imaging features found on
magnetic resonance include recent small subcortical infarctions, lacunes of
presumed vascular origin, white matter hyperintensity of presumed vascular
origin, prominent perivascular spaces and cerebral microbleeds. The recognition
of these imaging findings as a spectrum of the same disease caused by endothelial
dysfunction of small cerebral vessels can allow an overall analysis of the
disease and thus the development of more effective preventive and therapeutic
strategies.
PMID- 29354214
TI - A panel of clinical and neuropathological features of cerebrovascular disease
through the novel neuroimaging methods.
AB - : The last decade has witnessed substantial progress in acquiring diagnostic
biomarkers for the diagnostic workup of cerebrovascular disease (CVD). Advanced
neuroimaging methods not only provide a strategic contribution for the
differential diagnosis of vascular dementia (VaD) and vascular cognitive
impairment (VCI), but also help elucidate the pathophysiological mechanisms
ultimately leading to small vessel disease (SVD) throughout its course.
Objective: In this review, the novel imaging methods, both structural and
metabolic, were summarized and their impact on the diagnostic workup of age
related CVD was analysed. Methods: An electronic search between January 2010 and
2017 was carried out on PubMed/MEDLINE, Institute for Scientific Information Web
of Knowledge and EMBASE. Results: The use of full functional multimodality in
simultaneous Magnetic Resonance (MR)/Positron emission tomography (PET) may
potentially improve the clinical characterization of VCI-VaD; for structural
imaging, MRI at 3.0 T enables higher-resolution scanning with greater imaging
matrices, thinner slices and more detail on the anatomical structure of vascular
lesions. Conclusion: Although the importance of most of these techniques in the
clinical setting has yet to be recognized, there is great expectancy in achieving
earlier and more refined therapeutic interventions for the effective management
of VCI-VaD.
PMID- 29354215
TI - The role of biopsies and autopsies in the diagnosis of cognitive impairment, with
emphasis on small vessel diseases: A critical appraisal enriched by personal
experience.
AB - Acquired and hereditary microangiopathies cause cerebral small vessel diseases
(CSVD) that impair cognition. The most frequent is primary angiitis of the CNS
(PACNS), whose diagnosis remains challenging, requiring a multidisciplinary
approach. Secondary vasculitis, CADASIL, miscellaneous microangiopathies and
lymphomas, also cause cognitive impairment. Despite the fact that the need for
biopsy has decreased in the era of new neuroimaging methods, biopsies that
include small leptomeningeal and parenchymal arterial vessels still remain the
gold standard to diagnose PACNS and other CSVD, and to exclude mimics such as
infections and malignancies. New approaches for pathological consequences
relevant to vascular cognitive impairment such as silent brain lesions,
microinfarcts, microbleeds and subtle loss of microstructural integrity, may be
detected in autopsies. This article addresses the role of biopsies and autopsies
for the diagnosis of cognitive impairment related to small vessel diseases or
other inflammatory/ischemic processes, and presents a critical appraisal based on
personal experience.
PMID- 29354217
TI - A continuum of executive function deficits in early subcortical vascular
cognitive impairment: A systematic review and meta-analysis.
AB - Background: Subcortical Vascular Cognitive Impairment (SVCI) is a clinical
continuum of vascular-related cognitive impairment, including Vascular Mild
Cognitive Impairment (VaMCI) and Vascular Dementia. Deficits in Executive
Function (EF) are hallmarks of the disorder, but the best methods to assess this
function have yet to be determined. The insidious and almost predictable course
of SVCI and the multidimensional concept of EF suggest that a temporal
dissociation of impairments in EF domains exists early in the disorder.
Objective: This study aims to review and analyze data from the literature about
performance of VaMCI patients on the most used EF tests through a meta-analytic
approach. Methods: Medline, Web of Knowledge and PsycINFO were searched, using
the terms: "vascular mild cognitive impairment" OR "vascular cognitive impairment
no dementia" OR "vascular mild neurocognitive disorder" AND "dysexecutive" OR
"executive function". Meta-analyses were conducted for each of the selected
tests, using random-effect models. Results: Systematic review showed major
discrepancies among the results of the studies included. Meta-analyses evidenced
poorer performance on the Trail-Making Test part B and the Stroop color test by
VaMCI patients compared to controls. Conclusion: A continuum of EF impairments
has been proposed in SVCI. Early deficits appear to occur in cognitive
flexibility and inhibitory control.
PMID- 29354216
TI - Mixed dementia: A review of the evidence.
AB - Mixed dementia is the coexistence of Alzheimer's disease and cerebrovascular
disease (CVD) in the same demented patient. Currently, its diagnosis and
treatment remains a challenge for practitioners. To provide an overview of the
epidemiology, pathogenesis, natural history, diagnosis, and therapy of Mixed
Vascular-Alzheimer Dementia (MVAD). The literature was reviewed for articles
published between 1990-2016 by using the keywords linked to MVAD.
Neuropathological studies indicate that MVAD is a very common pathological
finding in the elderly with a prevalence about of 22%. The distinction between
Alzheimer's dementia and vascular dementia (VD) is complex because their clinical
presentation can overlap. There are international criteria for the MVAD
diagnosis. The pharmacologic therapy shows modest clinical benefits that are
similar among all drugs used in patients with Alzheimer's dementia and VD. The
non-pharmacologic therapy includes the rigorous management of cardiovascular risk
factors (especially hypertension) and the promotion of a healthy diet. The
diagnosis and treatment of MVAD cannot be improved without further studies.
Currently available medications provide only modest clinical benefits once a
patient has developed MVAD. In subjects at risk, the antihypertensive therapy and
healthy diet should be recommend for preventing or slowing the progression of
MVAD.
PMID- 29354218
TI - Evolution of short cognitive test performance in stroke patients with vascular
cognitive impairment and vascular dementia: Baseline evaluation and follow-up.
AB - : There is limited evidence about the progression of cognitive performance during
the post-stroke stage. Objective: To assess the evolution of cognitive
performance in stroke patients without vascular cognitive impairment (VCI),
patients with vascular mild cognitive impairment (MCI), and patients with
vascular dementia (VD). Methods: A prospective cohort of stroke outpatients from
two secondary medical centers in Lima, Peru was studied. We performed
standardized evaluations at definitive diagnosis (baseline evaluation), and
control follow-ups at 6 and 12 months, including a battery of short cognitive
tests: Clinical Dementia Rating (CDR), Addenbrooke's Cognitive Examination (ACE),
and INECO Frontal Screening (IFS). Results: 152 outpatients completed the follow
up, showing progressive increase in mean score on the CDR(0.34 to 0.46), contrary
to the pattern observed on the ACE and IFS (78.18 to 76.48 and 23.63 to 22.24).
The box plot for the CDR test showed that VCI patients had progressive worsening
(0.79 to 0.16). Conversely, this trend was not observed in subjects without VCI.
The box plot for the ACE and IFS showed that, for the majority of the
differentiated stroke types, both non-VCI and VCI patients had progressive
worsening. Conclusion: According to both ACE and IFS results during a 1-year
follow-up, the cognitive performance of stroke patients worsened, a trend which
was particularly consistent in infarction-type stroke patients.
PMID- 29354219
TI - Impact of hypertension severity on arterial stiffness, cerebral vasoreactivity,
and cognitive performance.
AB - : Aging, hypertension (HTN), and other cardiovascular risk factors contribute to
structural and functional changes of the arterial wall. Objective: To evaluate
whether arterial stiffness (AS) is related to cerebral blood flow changes and its
association with cognitive function in patients with hypertension. Methods: 211
patients (69 normotensive and 142 hypertensive) were included. Patients with
hypertension were divided into 2 stages: HTN stage-1 and HTN stage-2. The mini
mental state examination (MMSE), Montreal Cognitive Assessment (MoCA) and a
battery of neuropsychological (NPE) tests were used to determine cognitive
function. Pulse wave velocity was measured using the Complior(r). Carotid
properties were assessed by radiofrequency ultrasound. Central arterial pressure
and augmentation index were obtained using applanation tonometry. Middle cerebral
artery flow velocity was measured by transcranial Doppler ultrasonography.
Results: Both arterial stiffness parameters and cerebral vasoreactivity worsened
in line with HTN severity. There was a negative correlation between breath
holding index (BHI) and arterial stiffness parameters. Cognitive performance
worsened in line with HTN severity, with statistical difference occurring mainly
between the HTN-2 and normotension groups on both the MMSE and MoCA. The same
tendency was observed on the NPE tests. Conclusion: Hypertension severity was
associated with higher AS, worse BHI, and lower cognitive performance.
PMID- 29354220
TI - Hypertension, diabetes and obesity are associated with lower cognitive
performance in community-dwelling elderly: Data from the FIBRA study.
AB - Background: Systemic hypertension (SH), diabetes mellitus (DM) and abdominal
obesity may negatively impact cognitive performance. Objective: To evaluate the
association between SH, DM and abdominal obesity and cognitive performance among
cognitively unimpaired elderly. Methods: A cross-sectional study of individuals
aged 65+ from seven Brazilian cities was conducted. SH and DM diagnoses were self
reported and abdominal circumference was objectively measured. Individuals who
scored below the education-adjusted cutoff scores on the Mini-Mental State
Examination (MMSE) were excluded. Results: Among 2,593 elderly, 321 (12.38%) had
SH, DM and abdominal obesity concomitantly (Group I) and 421 (16.23%) had none of
the three diseases (Group II). Group I had a higher proportion of individuals
that were women, aged 70-74 years, illiterate and with lower income. Group I had
a higher number of participants with low cognitive performance (28.04% vs. 17.58%
in Group II). Variables associated with poor cognitive performance were: female
gender (OR: 2.43, p < 0.001); and lower education (OR: 0.410, p < 0.001). The
presence of the three diseases and age were not significant in the education
adjusted model. Conclusion: There was an association between cognition and the
presence of SH, DM and obesity. However, education seems to be decisive in
determining cognitive performance in the presence of these three conditions.
PMID- 29354221
TI - Association between diabetes and causes of dementia: Evidence from a
clinicopathological study.
AB - Background: Diabetes mellitus is a risk factor for dementia, especially for
vascular dementia (VaD), but there is no consensus on diabetes as a risk factor
for Alzheimer's disease (AD) and other causes of dementia. Objective: To explore
the association between diabetes and the neuropathological etiology of dementia
in a large autopsy study. Methods: Data were collected from the participants of
the Brain Bank of the Brazilian Aging Brain Study Group between 2004 and 2015.
Diagnosis of diabetes was reported by the deceased's next-of-kin. Clinical
dementia was established when CDR >= 1 and IQCODE > 3.41. Dementia etiology was
determined by neuropathological examination using immunohistochemistry. The
association of diabetes with odds of dementia was investigated using multivariate
logistic regression. Results: We included 1,037 subjects and diabetes was present
in 279 participants (27%). The prevalence of dementia diagnosis was similar in
diabetics (29%) and non-diabetics (27%). We found no association between diabetes
and dementia (OR = 1.22; 95%CI = 0.81-1.82; p = 0.34) on the multivariate
analysis. AD was the main cause of dementia in both groups, while VaD was the
second-most-frequent cause in diabetics. Other mixed dementia was the second-most
common cause of dementia and more frequent among non-diabetics (p = 0.03).
Conclusion: Diabetes was not associated with dementia in this large
clinicopathological study.
PMID- 29354222
TI - Verbal fluency in elderly with and without hypertension and diabetes from the
FIBRA study in Ermelino Matarazzo.
AB - Background: There are few studies on the qualitative variables derived from the
animal category verbal fluency test (VF), especially with data originating from
low-income samples of community-based studies. Objective: To compare elderly with
and without hypertension (HTN) and diabetes mellitus (DM) regarding the total
number of animals spoken, number of categories, groups and category switches on
the VF test. Methods: We used the database of the FIBRA (Frailty in Brazilian
Elderly) community-based study. The variables number of Categories, Groups and
Category Switches were created for each participant. The total sample (n = 384)
was divided into groups of elderly who reported having HTN, DM, both HTN and DM,
or neither of these conditions. Results: There were no significant differences
between the groups with and without these chronic diseases for VF total score or
for the qualitative variables. Conclusion: Among independent community-dwelling
elderly, the qualitative variables derived from the VF animal category may not
add information regarding the cognitive profile of elderly with chronic diseases.
Total VF score and the qualitative variables Category, Group and Switching did
not differentiate elderly with and without HTN and DM.
PMID- 29354223
TI - Increased CSF levels of total Tau in patients with subcortical cerebrovascular
pathology and cognitive impairment.
AB - : Cognitive impairment includes mild cognitive decline and dementia, such as
Alzheimer's disease (AD) and cerebrovascular-related pathologies. Objective: To
investigate the profile of AD-related CSF biomarkers in a sample of cognitively
impaired and unimpaired older adults with concomitant subcortical cerebrovascular
burden. Methods: Seventy-eight older adults attending an outpatient
psychogeriatric clinic were enrolled. Diagnoses were based on clinical,
neuropsychological, laboratory, and neuroimaging data. Participants were
classified into: cognitively normal (controls, n = 30), mild cognitive impairment
(MCI, n = 34), and dementia (AD, n = 14). All subjects were submitted to CSF
analyses for determination of amyloid-beta (Abeta1-42), total tau (t-tau),
phosphorylated tau (p-tau) and Abeta1-42/p-tau ratio according to the Luminex
method. MRI was performed in all individuals, and was scored independently by two
experts according to Fazekas scale. Statistical analyses were conducted with the
aid of general linear model procedures, and the Chi-squared test. Results: T-tau
levels were significantly associated with subcortical lesion pattern when Fazekas
was considered as a group factor. CSF biomarkers were not associated with MCI,
AD, or controls when considered separately. There was a tendency for reduction in
CSF Abeta1-42 together with increasing Fazekas scores, but without statistical
significance. Comparisons of Abeta1-42 and t-tau with each clinical group or with
each neuroimaging pattern did not reach statistical differences. Likewise,
Fazekas scores had no impact on CAMCOG scores. Conclusion: We found a significant
association between t-tau levels and subcortical lesions when all Fazekas
classifications were considered as a single group; comparisons of Fazekas
subgroups and CSF biomarkers did not reach significance.
PMID- 29354224
TI - Cognitive performance in patients with Mild Cognitive Impairment and Alzheimer's
disease with white matter hyperintensities: An exploratory analysis.
AB - Background: White matter hyperintensities (WMH) are commonly associated with
vascular dementia and poor executive functioning. Notwithstanding, recent
findings have associated WMH with Alzheimer's disease as well as other cognitive
functions, but there is no consensus. Objective: This study aimed to verify the
relationship between WMH and cognitive performance in Mild Cognitive Impairment
(MCI) and Alzheimer's disease (AD) patients. The study also sought to identify
cognitive and demographic/cultural factors that might explain variability of WMH.
Methods: The sample was composed of 40 participants (18 MCI and 22 AD patients)
aged >= 65 years. Spearman's correlation was performed among cognitive
performance (memory, language, visuospatial ability, and executive function) and
WMH evaluated by the Fazekas and ARWMC scales. Two stepwise linear regressions
were carried out, one with cognitive and the other with demographic/cultural
variables as predictors. Results: Only naming showed significant correlation with
ARWMC. Fazekas score exhibited significant correlation with all cognitive domains
evaluated. Fazekas score was better predicted by episodic visual memory and age.
Conclusion: This study found that the most relevant cognitive profile in MCI and
AD patients with WMH was related to episodic memory. And, without taking clinical
aspects into consideration, age was the best predictor of WMH.
PMID- 29354225
TI - Efficacy of cognitive stimulation therapy for older adults with vascular
dementia.
AB - Background: Cognitive stimulation therapy (CST) is an evidence-based psychosocial
intervention for people with mild-to-moderate dementia due to various etiological
factors. Objective: The aim of the present study was to assess the efficacy of
the CST program, Italian adaptation -CST-IT-, in individuals who have vascular
dementia (VaD). Methods: Older adults with mild-to-moderate VaD (N = 35) were
assigned to one of two programs: one group (N = 21) attended the 14 sessions of
the CST-IT program, while the other, active control group (N = 14) took part in
alternative activities. The following domains were examined: cognitive
functioning, quality of life, mood, behavior, functional activities of daily
living. Results: Compared with the active controls, the CST-IT group showed a
greater improvement in general cognitive functioning after the intervention (i.e.
score increase on the Mini-Mental State Examination and decrease on the
Alzheimer's Disease Assessment Scale - Cognitive subscale). A trend towards
improvement was also identified in short-term/working memory - the backward digit
span task- and perceived quality of life (Quality of Life - Alzheimer's Disease
scale). No significant differences emerged between the two groups for the other
domains considered. Conclusion: The present results support the efficacy of CST
in people with vascular dementia.
PMID- 29354226
TI - Cardiovascular risk factors and cognitive performance in aging.
AB - Background: Atherosclerosis in cerebral blood vessels, especially those which
compose the Circle of Willis, can lead to reduced supply of oxygen and nutrients
to different cortical structures, affecting cognitive function. Objective: To
analyze whether cardiovascular risk factors negatively influence cognitive
performance in adults and elderly. Methods: One hundred twenty-nine participants
of both sexes, aged over 50 years, without cognitive or functional impairment
were included. Body mass index (BMI), hypertension (HTN), diabetes mellitus (DM),
smoking history, plasma levels of total cholesterol, low density lipoproteins
(LDL), high density lipoproteins (HDL) and very low density lipoproteins (VLDL)
cholesterol, triglycerides, and glucose were the cardiovascular risk factors
analyzed. Cognitive assessment was performed using tests of attention, working
memory, category fluency and declarative memory. Results: Controlling for age and
education, multivariate linear regression models revealed that higher
concentrations of triglycerides, as well as total, LDL and VLDL cholesterol, were
associated with poorer performance on the digit span and category fluency tests.
Higher HDL concentrations were associated with higher scores on category fluency
tasks. Furthermore, higher BMI was associated with poorer delayed recall
performance. Conclusion: The findings revealed that cardiovascular risk factors
may negatively impact cognitive performance in aging.
PMID- 29354227
TI - Apoplexy, cerebrovascular disease, and stroke: Historical evolution of terms and
definitions.
AB - The long-standing concept of "apoplexy' can be followed from Antiquity, passing
through the Middle Ages and Renaissance, and reaching the Modern era and the
present day, with the new designation of "stroke". The definition of "apoplexy"
can be divided, by the history of autopsy, into a period predating this practice,
which spanned from Antiquity until the Renaissance, with a relatively stable
clinically-based umbrella concept, and an autopsy period of the Modern era, when
the condition was subdivided into several subtypes. Thus, it took about 2,500
years assembling the numerous pieces of information to achieve a fairly well
defined picture. The "stroke" concept inherited the information developed for
"apoplexy", incorporating all historical acquisitions to form the current state
of this knowledge.
PMID- 29354228
TI - Severe episodic memory impairment after strategic infarct: A case report.
AB - Brain infarcts located in strategic regions often result in cognitive impairment.
Based on a case study, this paper describes unusual and specific clinical and
neuropsychological features of a strategic ischemic lesion in the left medial
temporal lobe (MTL) structures. Taken together with the literature data, the case
illustrates that a unilateral strategic infarct in MTL structures may result in
severe impairment of episodic memory (EM), which refers to the ability to encode
and retrieve personal experiences, including information about the time and place
of an event and detailed description of the event itself. The preservation of
other cognitive functions, the severe functional impairment, and the type of
visual-verbal deficit in a left-sided lesion were identified as singular features
of the case. The current case supports the critical role of the MTL structures in
EM formation.
PMID- 29354229
TI - Gerstmann's syndrome and unilateral optic ataxia in the emergency department.
AB - A 75-year-old right-handed woman presented to the emergency department with
simultanagnosia and right unilateral optic ataxia. Moreover, the patient had
agraphia, acalculia, digital agnosia and right-left disorientation, consistent
with complete Gerstmann's syndrome. This case highlights the concurrence of
Gerstmann's syndrome and unilateral optic ataxia in the acute phase of a left
middle cerebral artery stroke.
PMID- 29354230
TI - Posttraumatic Delayed Vertebral Collapse : Kummell's Disease.
AB - Posttraumatic delayed vertebral collapse, known as Kummell's disease, is
increasing in number of patients. This disease is already progressive kyphosis
due to vertebral collapse at the time of diagnosis and it causes intractable pain
or neurologic deficit due to intravertebral instability. Treatment is very
difficult after progression of the disease, and the range of treatment, in
hospital day, and cost of treatment are both increased. Clinical features,
pathogenesis and radiologic findings of these disease groups were reviewed to
determine risk factors for delayed vertebral collapse. The purpose of this
article is to suggest appropriate treatment before vertebral collapse for
patients with osteoporotic vertebral compression fracture who have risk factors
for posttraumatic delayed vertebral collapse.
PMID- 29354231
TI - Curcumin Increase the Expression of Neural Stem/Progenitor Cells and Improves
Functional Recovery after Spinal Cord Injury.
AB - Objective: To investigates the effect of curcumin on proliferation of spinal cord
neural stem/progenitor cells (SC-NSPCs) and functional outcome in a rat spinal
cord injury (SCI) model. Methods: Sixty adult male Sprague-Dawley rats were
randomly and blindly allocated into three groups (sham control group; curcumin
treated group after SCI; vehicle treated group after SCI). Functional recovery
was evaluated by the Basso, Beattie, and Bresnahan (BBB) scale during 6 weeks
after SCI. The expression of SC-NSPC proliferation and astrogliosis were analyzed
by nestin/Bromodeoxyuridine (BrdU) and Glial fibrillary acidic protein (GFAP)
staining. The injured spinal cord was then examined histologically, including
quantification of cavitation. Results: The BBB score of the SCI-curcumin group
was better than that of SCI-vehicle group up to 14 days (p<0.05). The co
immunoreactivity of nestin/BrdU in the SCI-curcumin group was much higher than
that of the SCI-vehicle group 1 week after surgery (p<0.05). The GFAP
immunoreactivity of the SCI-curcumin group was remarkably lower than that of the
SCI-vehicle group 4 weeks after surgery (p<0.05). The lesion cavity was
significantly reduced in the curcumin group as compared to the control group
(p<0.05). Conclusion: These results indicate that curcumin could increase the
expression of SC-NSPCs, and reduce the activity of reactive astrogliosis and
lesion cavity. Consequently curcumin could improve the functional recovery after
SCI via SC-NSPC properties.
PMID- 29354232
TI - Treatment Outcomes with Selective Coil Embolization for Large or Giant Aneurysms
: Prognostic Implications of Incomplete Occlusion.
AB - Objective: The objectives of this study were to evaluate the immediate and long
term efficacy and safety of coil embolization for large or giant aneurysms.
Methods: One hundred and fifty large or giant aneurysm cases treated with
endovascular coil embolization between January 2005 and February 2014 at a single
institute were included in this study. Medical records and imaging findings were
reviewed. Statistical analysis was performed to evaluate prognostic factors
associated with major recurrence (major recanalization or rupture) and delayed
thromboembolism after selective coil embolization. Results: Procedure-related
symptomatic complications occurred in five (3.3%) patients. The mean clinical and
radiological follow-up periods were 38 months (range, 2-110) and 26 months
(range, 6-108), respectively. During the follow-up period, the estimated
recurrence rate was 4.6% per year. Multivariate analysis using Cox regression
showed the degree of occlusion to be the only factor associated with recurrence
(p=0.008, hazard ratio 3.15, 95% confidence interval 1.34-7.41). The patient's
history of rupture in addition to the size and location of the aneurysm were not
associated with recurrence in this study. Delayed infarction occurred in eight
cases, and all were incompletely occluded. Conclusion: Although immediate
postprocedural safety profiles were reasonable, longterm results showed
recanalization and thromboembolic events to occur continuously, especially in
patients with incomplete occlusion. In addition, incomplete occlusion was
associated with delayed thromboembolic complications. Patients with incomplete
occlusions should be followed carefully for delayed recurrence or delayed
thromboembolic events.
PMID- 29354233
TI - Duplicated Vertebral Artery : Literature Review and Clinical Significance.
AB - Objective: Duplication of the vertebral artery (VA) is a rare vascular variant.
This paper describes the anatomy and embryological development of duplicated VAs
and reviews the clinical significance. Methods: Computed tomography (CT)
angiography was performed in 3386 patients (1880 females, 1506 males) between
March 2014 and November 2015. We defined duplication of the VA as a condition in
which the VA has two origins that fused at different levels of the neck. Results:
Ten of the 3386 patients (0.295%) who received CT angiography had a dual origin
of the VA; three on the left side, and seven on the right side. In all seven with
right dual origin of the VA, both limbs of the VA origin originated from the
right subclavian artery. In all three patients with left dual origin of the VA,
both limbs of the VA originated from the left subclavian artery and aortic arch.
In all 10 patients, the medial limb of the duplicated VA was located posteriorly
and medially to the common carotid artery (CCA) and anteriorly and laterally to
the vertebral transverse foramen. In two patients, the medial limb of the
duplicated VA was located in close proximity to the CCA. In another two patients,
the medial limb of the duplicated VA was located in close proximity to the CCA,
carotid bifurcation, and proximal internal carotid artery. Conclusion: Although
duplication of the VA is asymptomatic in most patients, clinicians should
consider this anomaly during diagnosis and treatment.
PMID- 29354234
TI - Risk Factors of Rehemorrhage in Postoperative Patients with Spontaneous
Intracerebral Hemorrhage : A Case-Control Study.
AB - Objective: Rehemorrhage is the most severe complication of postoperative patients
with spontaneous intracerebral hemorrhage. The aim of the present study was to
assess independent predictors of rehemorrhage and find the possibility of
preventing rehemorrhage in postoperative patients with spontaneous intracerebral
hemorrhage (sICH). Methods: Medical records of 263 postoperative patients with
sICH from our Hospital were reviewed. The relationships between rehemorrhage and
parameters were examined by univariate and multivariate analyses. The parameters
include time from onset to surgery, hematologic paremeters, neuroimaging
characteristics, level and variability of systolic blood pressure, medical
histories, operation duration, and blood loss. In addition, relationship between
rehemorrhage and clinical outcome were analyzed by using multivariate analyses.
Results: Thirty-five (13.31%) patients experienced rehemorrhage after operation.
Multivariate analyses indicated that the following factors were independently
associated with rehemorrhage : history of diabetes mellitus (odds ratio [OR],
2.717; 95% confidence interval [CI], 1.005-7.346; p=0.049), and midline shift
(for every 1 mm increase, OR, 1.117; 95% CI, 1.029-1.214; p=0.009). Rehemorrhage
was an independent risk factor of poor functional outcome (OR, 3.334; 95% CI,
1.094-10.155; p=0.034). Conclusion: Our finding revealed that history of diabetes
mellitus and admission midline shift were possibly associated with rehemorrhage
in postoperative patients with sICH.
PMID- 29354236
TI - Gamma Knife Radiosurgery for Cancer Metastasized to the Ocular Choroid.
AB - Objective: Choroidal metastases (CMs) are the most common intraocular tumor.
Management is mainly radiation therapy with goals of pain control and visual
improvement. However, many radiation-related complications are reported. Since
gamma knife radiosurgery (GKS) for CM was first reported in 1995, few cases have
been reported. We report 7 cases of CMs treated with GKS. Methods: From April
2011 to November 2014, 7 patients with CM underwent GKS. Their median age at
treatment was 64 years (range, 51-71 years). Four males and three females were
treated. Lung cancer was the most common primary pathology, followed by renal
cell carcinoma and stomach cancer. Four patients had multiple cerebral lesions
and were treated simultaneously for choroidal lesions. The median marginal dose
of 20 Gy (range, 15-25 Gy) was administered at the 50% isodose line. Results:
Median follow-up period after GKS was 8 months (range, 2-38.3 months). Four
patients expired due to underlying malignancy progression. Except for two
patients who were not followed with magnetic resonance image after GKS, all
patients showed size reduction in the treated lesions, but a new choroidal lesion
appeared in one patient and one recurred. Six of seven patients reported
subjectively improved visual symptoms. Visual acuity improved in 2 patients, and
2 were stable upon objective examination. One patient showed no improvement in
visual acuity, but ocular pain was relieved; another patient showed improved
vision and tumor remission, but visual deterioration recurred. Conclusion: GKS
was shown to be safe and effective and should be considered for CM treatment.
PMID- 29354235
TI - Compare the Intracranial Pressure Trend after the Decompressive Craniectomy
between Massive Intracerebral Hemorrhagic and Major Ischemic Stroke Patients.
AB - Objective: Massive intracerebral hemorrhage (ICH) and major infarction (MI) are
devastating cerebral vascular diseases. Decompression craniectomy (DC) is a
common treatment approach for these diseases and acceptable clinical results have
been reported. Author experienced the postoperative intracranaial pressure (ICP)
trend is somewhat different between the ICH and MI patients. In this study, we
compare the ICP trend following DC and evaluate the clinical significance.
Methods: One hundred forty-three patients who underwent DC following massive ICH
(81 cases) or MI (62 cases) were analyzed retrospectively. The mean age was
56.3+/-14.3 (median=57, male : female=89 : 54). DC was applied using consistent
criteria in both diseases patients; Glasgow coma scale (GCS) score less than 8
and a midline shift more than 6 mm on brain computed tomography. In all patients,
ventricular puncture was done before the DC and ICP trends were monitored during
and after the surgery. Outcome comparisons included the ictus to operation time
(OP-time), postoperative ICP trend, favorable outcomes and mortality. Results:
Initial GCS (p=0.364) and initial ventricular ICP (p=0.783) were similar among
the ICH and MI patients. The postoperative ICP of ICH patients were drop rapidly
and maintained within physiological range if greater than 80% of the hematoma was
removed. While in MI patients, the postoperative ICP were not drop rapidly and
maintained above the physiologic range (MI=18.8 vs. ICH=13.6 mmHg, p=0.000). The
OP-times were faster in ICH patients (ICH=7.3 vs. MI=40.9 hours, p=0.000) and the
mortality rate was higher in MI patients (MI=37.1% vs. ICH=17.3%, p=0.007).
Conclusion: The results of this study suggest that if greater than 80% of the
hematoma was removed in ICH patients, the postoperative ICP rarely over the
physiologic range. But in MI patients, the postoperative ICP was above the
physiologic range for several days after the DC. Authors propose that DC is no
need for the massive ICH patient if a significant portion of their hematoma is
removed. But DC might be essential to improve the MI patients' outcome and timely
treatment decision.
PMID- 29354237
TI - Novel Genetic Variants Associated with Lumbar Spondylosis in Koreans : A Genome
Wide Association Study.
AB - Objective: The aim of this study was to identify the susceptibility genes
responsible for lumbar spondylosis (LS) in Korean patients. Methods: Data from
1427 subjects were made available for radiographic grading and genome wide
association studies (GWAS) analysis. Lateral lumbar spine radiographs were
obtained and the various degrees of degenerative change were semi-quantitatively
scored. A pilot GWAS was performed using the AffymetrixGenome-Wide Human single
nucleotide polymorphisms (SNPs), 500K array. A total of 352228 SNPs were analyzed
and the association between the SNPs and case-control status was analyzed by
stepwise logistic regression analyses. Results: The top 100 SNPs with a cutoff p
value of less than 3.7*10-4 were selected for joint space narrowing, while a
cutoff p-value of 6.0*10-4 was applied to osteophytes and the Kellgren-Lawrence
(K-L) osteoarthritis grade. The SNPs with the strongest effect on disc space
narrowing, osteophytes, and K-L grade were serine incorporator 1 (rs155467, odds
ratio [OR]=17.58, p=1.6*10-4), stromal interaction molecule 2 (STIM1, rs210781,
OR=5.53, p=5*10-4), and transient receptor potential cation channel, subfamily C
(rs11224760, OR=3.99, p=4.8*10-4), respectively. Leucine-rich repeat-containing G
protein-coupled receptor 4 was significantly associated with both disc space
narrowing and osteophytes (rs1979400, OR=2.01, p=1.1*10-4 for disc space
narrowing, OR=1.79, p=3*10-4 for osteophytes), while zinc finger and BTB domain
containing 7C was significantly and negatively associated with both osteophytes
and a K-L grade >2 (rs12457004,OR=0.25, p=5.8*10-4 and OR=0.27, p=5.3*10-4,
respectively). Conclusion: We identified SNPs that potentially contribute to the
pathogenesis of LS. This is the first report of a GWAS in an Asian population.
PMID- 29354238
TI - Freehand S2 Alar-Iliac Screw Placement Using K-Wire and Cannulated Screw :
Technical Case Series.
AB - Objective: Among the various sacropelvic fixation methods, S2 alar-iliac (S2AI)
screw fixation has several advantages compared to conventional iliac wing screw.
However, the placement of S2AI screw still remains a challenge. The purpose of
this study was to describe a novel technique of free hand S2AI screw insertion
using a K-wire and cannulated screw, and to evaluate the accuracy of the
technique. Methods: S2AI screw was inserted by free hand technique in sixteen
consecutive patients without any fluoroscopic guidance. The gearshift was
advanced to make a pilot hole passing through the sacroiliac joint and directing
the anterior inferior iliac spine. A K-wire was placed through the pilot hole.
After introducing a cannulated tapper along with the K-wire, a cannulated S2AI
screw was installed over the K-wire. Results: Thirty-three S2AI screws were
placed in sixteen consecutive patients. Thirty-two screws were cannulated screws,
and one screw was a conventional non-cannulated screw. Thirty out of 32 (93.8%)
cannulated screws were accurately positioned, whereas two cannulated screws and
one non-cannulated screw violated lateral cortex of the ilium. Conclusion: The
technique using K-wire and cannulated screw can provide accurate placement of
free hand S2AI screw.
PMID- 29354240
TI - Morphometric Study of the Lumbar Posterior Longitudinal Ligament.
AB - Objective: Morphometric data for the lumbar posterior longitudinal ligament (PLL)
was investigated to identify whether there is a difference in the morphometry of
the PLL of the lumbar spine at each level with respect to the pattern of
intervertebral disc displacement. Methods: In 14 formalin-fixed adult cadavers
(12 males and 2 females), from L1 to L5, the authors measured the width and
height of the PLL and compared them with other landmarks such as the disc and the
pedicle. Results: Horizontally, at the upper margin of the disc, the central
portion of the superficial PLL covered 17.8-36.9% of the disc width and the fan
like portion of the PLL covered 63.9-76.7% of the disc width. At the level of the
median portion of the disc, the PLL covered 69.1-74.5% of the disc width.
Vertically, at the level of the medial margin of the pedicle, the fan-like
portion of the PLL covered 23.5-29.9% of the disc height. In general, a
significant difference in length was not found in the right-left and male-female
comparisons. Conclusion: This study presents the morphometric data on the pattern
of intervertebral disc displacement and helps to improve the knowledge of the
surgical anatomy of the lumbar PLL.
PMID- 29354239
TI - Comparative Analysis of Spontaneous Infectious Spondylitis : Pyogenic versus
Tuberculous.
AB - Objective: Spondylitis is often chemotherapy resistant and requires long-term
treatment. Without adequate chemotherapy, the outcome can be fatal or result in
severe neurologic damage. Therefore, differentiating the etiology of spondylitis
is very important, particularly in spontaneous cases. As the prevalence of
tuberculosis in Korea has decreased in recent years, updated clinical research
about spondylitis is warranted. Methods: From April 2010 to March 2016, data from
spondylitis patients were collected retrospectively. In total, 69 patients (51
with pyogenic spondylitis and 18 with tuberculous spondylitis) were included.
Clinical data, laboratory findings including erythrocyte sedimentation rate (ESR)
and C-reactive protein (CRP) level, measurements of Cobb angles at the initial
and final follow-up, and radiologic features on magnetic resonance imaging (MRI)
scans were evaluated. To test differences between the pyogenic and tuberculous
groups, numerical data were compared using the student's t-test and Mann-Whitney
U test, and categorical data were compared using the chi-square test and Fisher's
exact test. Results: The patients' mean age was 60.0 years. Male sex was slightly
predominant (56.5%). There was no difference in mean age and sex between the two
groups. The pyogenic group had a relatively higher proportion of
immunocompromised patients. The peak CRP value was higher in the pyogenic group
than in the tuberculous group (14.08 mg/dL and 8.50 mg/dL, respectively,
p=0.009), whereas the ESR was not significantly different between the groups
(81.5 mm/h and 75.6 mm/h, respectively, p=0.442). Radiologically, the presence of
disc space sparing and vertebral body collapse differed between the groups. In
the tuberculous group, the disc was more commonly preserved on contrast-enhanced
MRI (50% and 23.5%, respectively, p=0.044), and vertebral body collapse was more
common (66.6% and 15.7%, respectively, p<0.001). The mean length of
hospitalization was longer in the pyogenic group (56.5 days and 41.2 days,
respectively, p=0.001). Four mortality cases were observed only in the pyogenic
group. The most commonly isolated microorganism in the pyogenic group was
Staphylococcus aureus (S. aureus) (methicillin susceptible S. aureus and
methicillin resistant S. aureus [MRSA] in 8 and 4 cases, respectively).
Conclusion: The clinical and radiological manifestations of spontaneous
spondylitis differ based on the causative organism. Pyogenic spondylitis patients
tend to have a higher CRP level and a more severe clinical course, whereas
tuberculous spondylitis patients present with destruction of the vertebral body
with disc sparing more frequently. The presence of MRSA is increasing in
community-acquired spondylitis cases.
PMID- 29354241
TI - The Potential of Diffusion-Weighted Magnetic Resonance Imaging for Predicting the
Outcomes of Chronic Subdural Hematomas.
AB - Objective: Diffusion-weighted magnetic resonance imaging (DW-MRI) has proven
useful in the study of the natural history of ischemic stroke. However, the
potential of DW-MRI for the evaluation of chronic subdural hematoma (CSDH) has
not been established. In this study, we investigated DW-MRI findings of CSDH and
evaluated the impact of the image findings on postoperative outcomes of CSDH.
Methods: We studied 131 CSDH patients who had undergone single burr hole drainage
surgery. The images of the subdural hematomas on preoperative DW-MRI and computed
tomography (CT) were divided into three groups based on their signal intensity
and density: 1) homogeneous (iso or low) density on CT and homogeneous low signal
intensity on DW-MRI; 2) homogeneous (iso or low) density on CT and mixed signal
intensity on DW-MRI; and 3) heterogeneous density on CT and mixed signal
intensity on DW-MRI. On the basis of postoperative CT, we also divided the
patients into 3 groups of surgical outcomes according to residual hematoma and
mass effect. Results: Analysis showed statistically significant differences in
surgical (A to B: p<0.001, A to C: p<0.001, B to C: p=0.129) and functional (A to
B: p=0.039, A to C: p<0.001, B to C: p=0.108) outcomes and treatment failure
rates (A to B: p=0.037, A to C: p=0.03, B to C: p=1) between the study groups. In
particular, group B and group C showed worse outcomes and higher treatment
failure rates than group A. Conclusion: CSDH with homogeneous density on CT was
characterized by signal intensity on DW-MRI. In CSDH patients, performing DW-MRI
as well as CT helps to predict postoperative treatment failure or complications.
PMID- 29354242
TI - Geographic Analysis of Neurosurgery Workforce in Korea.
AB - Objective: In respect of the health and safety of the public, universal access to
health care is an issue of the greatest importance. The geographic distribution
of doctors is one of the important factors contributing to access to health care.
The aim of this study is to assess the imbalances in the geographic distribution
of neurosurgeons across Korea. Methods: Population data was obtained from the
National Statistical Office. We classified geographic groups into 7 metropolitan
cities, 78 non-metropolitan cities, and 77 rural areas. The number of doctors and
neurosurgeons per 100000 populations in each county unit was calculated using the
total number of doctors and neurosurgeons at the country level from 2009 to 2015.
The density levels of neurosurgeon and doctor were calculated and depicted in
maps. Results: Between 2009 and 2015, the number of neurosurgeons increased from
2002 to 2557, and the ratio of neurosurgeons per 100000 populations increased
from 4.02 to 4.96. The number of neurosurgeons per 100000 populations was highest
in metropolitan cities and lowest in rural areas from 2009 to 2015. A comparison
of the geographic distribution of neurosurgeons in 2009 and 2015 showed an
increase in the regional gap. The neurosurgeon density was affected by country
unit characteristics (p=0.000). Conclusion: Distribution of neurosurgeons
throughout Korea is uneven. Neurosurgeons are being increasingly concentrated in
a limited number of metropolitan cities. This phenomenon will need to be
accounted when planning for a supply of neurosurgeons, allocation of resources
and manpower, and the provision of regional neurosurgical services.
PMID- 29354243
TI - Posterior Screw Fixation in Previously Augmented Vertebrae with Bone Cement: Is
It Inapplicable?
AB - Objective: The purpose of this study was to determine the feasibility of screw
fixation in previously augmented vertebrae with bone cement. We also investigated
the influence of cement distribution pattern on the surgical technique. Methods:
Fourteen patients who required screw fixation at the level of the previous
percutaneous vertebroplasty or balloon kyphoplasty were enrolled in this study.
The indications for screw fixation in the previously augmented vertebrae with
bone cement included delayed complications, such as cement dislodgement, cement
leakage with neurologic deficits, and various degenerative spinal diseases, such
as spondylolisthesis or foraminal stenosis. Clinical outcomes, including pain
scale scores, cement distribution pattern, and procedure-related complications
were assessed. Results: Three patients underwent posterior screw fixation in
previously cemented vertebrae due to cement dislodgement or progressive kyphosis.
Three patients required posterior screw fixation for cement leakage or
displacement of fracture fragments with neurologic deficits. Eight patients
underwent posterior screw fixation due to various degenerative spinal diseases.
It was possible to insert screws in the previously augmented vertebrae regardless
of the cement distribution pattern; however, screw insertion was more difficult
and changed directions in the patients with cemented vertebrae exhibiting a solid
pattern rather than a trabecular pattern. All patients showed significant
improvements in pain compared with the preoperative levels, and no patient
experienced neurologic deterioration as seen at the final follow-up. Conclusion:
For patients with vertebrae previously augmented with bone cement, posterior
screw fixation is not a contraindication, but is a feasible option.
PMID- 29354244
TI - Intraparenchymal Atypical Meningioma in Basal Ganglia Region in a Child: Case
Report and Literature Review.
AB - Intraparenchymal meningiomas without dural attachment are extremely rare,
especially when they occur in basal ganglia region in child. An 8-year-old boy
was admitted at our hospital, complaining of recurrent headache and vomiting for
3 months. Neurological examination showed impaired vision and mild paresis of the
left extremities. Magnetic resonance imaging demonstrated a lesion located in the
right basal ganglia region extending to superasellar cistern with solid, multiple
cystic and necrotic components. Computed tomography revealed calcification within
the mass. Due to the anterior cerebral artery involvement, a subtotal resection
was achieved and postoperative radiotherapy was recommended. Histopathological
examination indicated that the lesion was an atypical meningioma. The
postoperative rehabilitation was uneventful. Mildly impaired vision and motor
weakness of left extremities improved significantly and the patient returned to
normal life after surgery. To our knowledge, intraparenchymal atypical meningioma
in basal ganglia extending to superasellar cistern was never reported. The
significance in differential diagnosis of lesions in basal ganglia should be
emphasized.
PMID- 29354245
TI - Effects of systemic administration of HESA-A on the expression of cyclin D1 and
EGFR and E-cadherin in the induced tongue dysplasia in rats.
AB - Background. HESA-A has herbal and marine bases, containing minerals and rare
elements such as Zr, Cr, Ga, Mn, Mg, Ca, Sr, Cu, Ti, etc. Its mechanism of action
includes antioxidant, antiinflammatory and adjustment of the immune system. The
aim of this study was to evaluate the effects of HESA-A systemic drug on
expression of cyclin D1, EGFR and E-cadherin in induced tongue dysplasia in rats.
Methods. In this experimental study, the effects of the systemic drug HESA-A on
the expression of cyclin D1, EGFR, and E-cadherin molecular markers were examined
in induced tongue dysplasia in rats. Results. The incidence rate of cyclin D1 in
groups receiving HESA-A was lower than the group that did not receive the drug
(77.78% in the 0-5% range versus 77.78% in the 5-50% range). In the case of
expression of E-cadherin in group D, which did not receive HESA-A, a decrease was
observed in the expression of this cell adhesion marker as compared to the other
two groups. The incidence of E-cadherin was dependent on HESA-A dose, while with
500 mg/kg it was higher than other groups (>75% in 55.55% versus >75% in 11.11%).
Concerning the incidence of EGFR in all the three groups most cases were grade 0.
Conclusion. The results of the present research indicated that considering
changes in the expression of cyclin D1 and E-cadherin markers in groups treated
with HESA-A, HESA-A(r) has preventive effects on development of cancer in
dysplastic lesions through regulation of expression of these molecules.
PMID- 29354246
TI - The prophylactic effect of Acetobacter syzygii probiotic species against squamous
cell carcinoma.
AB - Background. Squamous cell carcinoma is a prevalent carcinoma of the oral cavity.
Recently anti-proliferative effect of probiotics has been considered and assessed
against different cancers. The aim of this study was to evaluate the cytotoxicity
of Acetobacter syzygii strain supernatant on KB human oral cancer cell line and
KDR human epithelial normal cell line. Methods. The cytotoxicity assessments were
performed through 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide
(MTT) as well as through qualitative (4',6-diamidino-2-phenylindole staining) and
quantitative (flow cytometry assessments using the BD Biosciences Annexin V-FITC
Kit) evaluations of apoptosis. Results.A. syzygii secretions exhibited
significant cytotoxicity against KB cancer cell lines similar to cisplatin (75.7%
apoptosis) while the rate of apoptosis in KDR normal cells was only 9.36%. The
prophylactic effects of Lactobacillus acidophilus (PTCC 1643), as a reference
bacterium, was similar to A. syzygii, indicating beneficial effects of useful
bacteria on prevention of oral diseases. Conclusion. The anticancer bioactivity
of A. syzygii strain secretions depends on the induction of apoptosis in KB
cancer cells. However, several investigations should be conducted to precisely
determine effective compounds to be used as anticancer therapeutics in the
future.
PMID- 29354247
TI - Antibacterial effect of different concentrations of sodium hypochlorite on
Enterococcus faecalis biofilms in root canals.
AB - Background. The aim of this study was to evaluate the effectiveness of different
concentrations of sodium hypochlorite (NaOCl) solution in reducing bacterial
growth in Enterococcus faecalis biofilms in root canals. Methods. The root canals
of maxillary central incisors of 104 subjects underwent chemomechanical
debridement. In order to remove the smear layer, 5.25% sodium hypochlorite
solution was used for 3 minutes in the root canals. Then, the samples were
immersed in 1 mL of 17% EDTA for 3 minutes. Finally, the root canals were
irrigated with phosphate-buffered saline (PBS) solution. After removing the smear
layer, the samples were sterilized. Then E. faecalis biofilms formed within the
root canals at 4-, 6-, and 10-week intervals were evaluated. Each group was
divided into 4 subgroups in terms of the antibacterial treatment: group 1: 1%
NaOCl solution; group 2: 2.5% NaOCl solution; group 3: 5.25% NaOCl solution; and
group 4: PBS solution. After preparation of root canal filings, the counts of
live bacteria were calculated through the classic method of counting, i.e. colony
forming units (CFU), followed by the analysis of data. Results. In groups 2 and
3, there was no bacterial growth due to complete removal of E. faecalis biofilms
(P<0001), while the bacterial counts in group 1 at 4-, 6- and 10-week intervals
decreased compared to the control group. Conclusion. The bacterial cells in
mature and old biofilms have higher resistance to 1% NaOCl solution compared to
the young biofilms. However, the 2.5% and 5.25% NaOCl solutions caused complete
inhibition of the growth of E. faecalis biofilm in all the stages of development.
PMID- 29354248
TI - Effect of preheat repetition on color stability of methacrylate- and silorane
based composite resins.
AB - Background. The aim of this study was to investigate the effect of preheating
methacrylate- and silorane-based composite resins on their color stability up to
40 times at 55-60 degrees C. Methods. Seventy-six methacrylate and silorane-based
composite resin samples, with a diameter of 10 mm and a height of 2 mm, were
divided into 4 groups (n=19). After the samples were prepared, their color
parameters were determined using a reflective spectrophotometer. The composite
resin samples were separately stored in a solution of tea for 40 consecutive
days. Then the samples underwent a color determination procedure again using a
spectrophotometer and color changes were recorded. Finally two-way ANOVA was used
to study the effect of composite temperature on its staining (P<0.05).
Independent-samples t-test was used to evaluate changes in conversion rates of
preheated composite resin samples compared to non-heated samples at P=0.005 and
P=0.029 for silorane-based and Z250 composite resin samples, respectively.
Results. Both composite resin type (P=0.014) and preheating (P<0.001) had
significant effects on DeltaE. Conclusion. Repeated preheating of methacrylate-
and silorane-based composite resin samples, up to 55-60 degrees C for 40 rounds,
resulted in more color changes compared with unheated composite resin samples.
After storage in a solution of tea the color change rate in the composite resin
samples of silorane-based was higher than the Z250 composite resin samples.
PMID- 29354250
TI - Effects of adjective use of melatonin and vitamin C in the treatment of chronic
periodontitis: A randomized clinical trial.
AB - Background. Melatonin can eliminate free radicals and this function can be
intensified byvitamin C. Melatonin and vitamin C can have synergic antioxidant
effects. The aim of thisstudy was to investigate the effects of adjunctive use of
melatonin and vitamin C in the non-surgical treatment of chronic periodontitis.
Methods. Sixty subjects with chronic periodontitis were included in this study
and randomly allocated to three groups: group 1) 20 patients received non
surgical periodontal treatment; group 2) 20 patients received non-surgical
periodontal treatment with adjunctive use of melatonin; and group3) 20 patients
received non-surgical periodontal treatment with combination use of melatonin +
vitamin C. Clinical parameters (PD, CAL,GI) were recorded at baseline and at 3
month and 6-month intervalsafter treatment. Data were analyzed with paired t
test, one-way ANOVA andrepeated-measures ANOVA. A significant difference was
assumed at P<0.05. Results. Non-surgical periodontal therapy improved PD and CAL
3 and 6 months treatment compared to baseline (P<0.001). There was a significant
improvement in PD and CAL scores at 6-month interval compared to 3 months in the
melatonin+ vitamin C group (P<0.05), while the differences in PD and CAL scores
between the mentioned intervals were not significant between the control and
melatonin groups (P>0.05). Therefore adjunctive dose of vitamin C offered an
additional effect at this interval. Conclusion. Combination therapy with
melatonin and vitamin C can improve the results of non-surgical periodontal
therapy.
PMID- 29354249
TI - Clinical and histological evaluation of increase in the residual ridge width
using mineralized corticocancellous block allografts: A pilot study.
AB - Background. Lateral ridge augmentation is conventionally accomplished by means of
autogenous bone grafts. However, due to its complications, the application of
autogenous bone graft substitutes, e.g. mineralized corticocancellous allograft,
is ecommended. Methods. In the present study, twelve patients were included, with
insufficient alveolar ridge widths in the designated sites for dental implant
placement. During the primary surgery, mineralized corticocancellous block
allografts were fixed in deficient sites with titanium screws and resorbable
collagen membranes were used to cover the blocks. After a period of six months, a
flap was raised and variations in ridge width values was measured. Finally, a
micro-biopsy was obtained from the sites for histologic investigation prior to
preparing them for subsequent implant placement. Results. All the applied blocks
were incorporated into the underlying bone except for one. A statistically
significant difference was seen between the average ridge widths before placing
the allografts compared with that of implant placement stage (2.62+/-1.02 mm vs.
7.75+/-1.63 mm, respectively). Vital bone tissue was detected in all the
histological specimens obtained from the interface of blocks and the underlying
bone. Conclusion. The results suggest that mineralized corticocancellous block
allografts might be used as scaffolds for bone growth and ridge width
augmentation.
PMID- 29354252
TI - Effect of stress, anxiety and depression on unstimulated salivary flow rate and
xerostomia.
AB - Background. Unstimulated salivary flow rate can be influenced by different
factors. This study was undertaken to evaluate the effect of stress, anxiety and
depression on unstimulated salivary flow rate in adults. Methods. A total of 247
adult subjects, randomly selected from patients referring to Zanjan Dental
School, were included in this investigation. The study procedures consisted of
collecting salivary samples (in 5 minutes), completing a form for feeling of
xerostomia and completing Depression Anxiety Stress Scale (DASS) Questionnaire to
assess the severity of stress, anxiety and depression. Based on the results, the
patients were categorized in four groups: Low salivary flow rate plus xerostomia
(group 1, n=60), normal salivary flow rate plus xerostomia (group 2, n=59), low
salivary flow rate without xerostomia (group 3, n=60) and normal salivary flow
rate without xerostomia (control group, n=68). Results. The frequencies of
subjects with severe and major depression in groups 1, 2 and 3 were 31.4%, 11.7%
and 8.5%, respectively, with 4.4% in the control group. The frequencies of
subjects with severe stress in groups 1, 2 and 3 were 21.7%, 3.3% and 11.9%,
respectively, with 1.5% in the control group. The frequencies of patients with
severe anxiety in groups 1, 2 and 3 were 50%, 30% and 61.1%, respectively, with
4.4% in the control group. Stress, anxiety and depression exhibited a
statistically significant relationship with unstimulated salivary flow rate and
xerostomia (P<0.05). Conclusion. Stress, anxiety and depression can influence
unstimulated salivary flow rate and lead to xerostomia.
PMID- 29354251
TI - Bending resistance and cyclic fatigue life of Reciproc Blue, WaveOne Gold, and
Genius files in a double (S-shaped) curved canal.
AB - Background. This study compared the cyclic fatigue resistance, bending resistance
and cross-sectional areas of Reciproc Blue (RPC Blue), WaveOne Gold (WOG), and
Genius File (GF) NiTi rotary systems. Methods. Forty RPC Blue R25 (25/.08), 40
WOG Primary (25/.07) and 40 GF (25/.04) files were used in the present study.
Flexibility of the files was determined by 45 degrees bending test. The
instruments were also subjected to cyclic fatigue resistance, calculating the
number of cycles to fracture (NCF) in an S-shaped artificial stainless steel
canal. Also the cross-sectional areas of the files were measured at D5 level. The
length of the fractured file tips was measured. The fracture surface of all the
fragments was examined with a scanning electron microscope. Data was
statistically analyzed using one-way ANOVA and post hoc Tukey tests. Results. In
both the apical and coronal curvatures, the NCF of the GF was significantly
higher than that of the RPC Blue and WOG files. There was no significant
difference between the GF, WOG and Reciproc Blue files with respect to the
lengths of the fractured file fragments in either the apical or coronal
curvature. The bending resistance of the GF was signif-icantly higher than that
of the RPC Blue and WOG files. The RPC Blue had the largest cross-sectional area,
and the GF had the smallest cross-sectional area. Conclusion. Within the
limitations of the present study, the GF NiTi system exhibited the highest cyclic
and bending re-sistance among the experimental groups.
PMID- 29354253
TI - A single visit feeding plate for 3 months old cleft palate infant . A case
report.
AB - Infants with cleft palate (CP) suffer from several difficulties, one of them is
feeding, which prevent infant from maintaining adequate nutrition. Usage of
feeding plate, special bottles and nipples has been described to overcome this
problem. This article describes steps of constructing a single visit feeding
plate for a CP infant. The main objective was to provide an infant with a
properly functioning feeding prosthesis and to reduce parents' anxiety originated
from multiple dental visits. We can conclude that our feeding plate was
successfully achieving the planned objectives.
PMID- 29354254
TI - Effect of local injection of Zolena, zoledronic acid made in Iran, on orthodontic
tooth movement and root and bone resorption in rats.
AB - Background. Anchorage control is an essential part of orthodontic treatment
planning, especially in adult patients who demand a more convenient treatment.
Zoledronic acid (ZA) is an effective choice to address this problem. It is the
most potent member of the bisphosphonates family that has an inhibitory effect on
bone resorption by suppressing osteoclast function. Therefore, ZA might be a good
option for orthodontic anchorage control. The current study evaluated the effect
of local administration of Zolena (ZA made in Iran) on orthodontic tooth movement
(OTM) and root and bone resorption. Methods. The experimental group consisted of
30 rats in 3 subgroups (n=10). Anesthesia was induced, and one closed NiTi coil
spring was installed between the first molar and central incisor unilaterally,
except for the negative control group. The positive control group received
vestibular injection of 0.01 mL of saline next to the maxillary first molar, and
0.01 mL of the solution was injected at the same site in the ZA group. After 21
days, the rats were sacrificed and the distance between the first and second
molars was measured with a leaf gauge. Histological analysis was conducted by a
blind pathologist for the number of Howship's lacunae, blood vessels, osteoclast
like cells and root resorption lacunae. Data were analyzed with ANOVA, Tukey test
and t-test. Results. There were no significant differences in OTM between the
force-applied groups. ZA significantly inhibited bone/root resorption and
angiogenesis compared to the positive control group. Conclusion. Zolena did not
decrease OTM but significantly inhibited bone and root resorption. Zolena might
be less potent than its foreign counterparts.
PMID- 29354256
TI - Erratum to: Comparison of bracket bond strength to etched and unetched enamel
under dry and wet conditions using Fuji Ortho LC glass-ionomer.
AB - [This corrects the article DOI: 10.15171/joddd.2017.006.].
PMID- 29354255
TI - Propolis: A natural biomaterial for dental and oral healthcare.
AB - The field of health has always emphasised on the use of natural products for
curing diseases. There are varieties of natural products (such as silk, herbal
tea, chitosan) used today in the biomedical application in treating a large array
of systemic diseases. The natural product "Propolis" is a non-toxic resinous
material having beneficial properties such as antimicrobial, anticancer,
antifungal, antiviral and anti-inflammatory; hence gain the attention of
researchers for its potential for bio-dental applications. The study aims to
explore the properties and chemistry of propolis concerning biomedical and dental
applications. In addition, status and scope of propolis for current and potential
future in bio-dental applications have been discussed. This review gives an
insight to the reader about the possible use of propolis in modern-day dentistry.
PMID- 29354257
TI - Drought effects on the tissue- and cell-specific cytokinin activity in poplar.
AB - Climate change with increasing periods of drought is expected to reduce the yield
of biomass crops such as poplars. To combat yield loss, it is important to better
understand the molecular mechanisms that control growth under drought. Here, the
goal was to resolve the drought-induced changes of active cytokinins, a main
growth hormone in plants, at the tissue level in different cell types and organs
of poplars (Populus * canescens) in comparison with growth, biomass, leaf
shedding, photosynthesis and water potential. Since cytokinin response is
mediated by type-A response regulators, ARR5::GUS reporter lines were used to map
cytokinin activity histochemically. The expression of PtaRR3 and PtaRR10 was
examined in different stem sections. Young leaves showed strong cytokinin
activity in the veins and low staining under drought stress, accompanied by
diminished leaf expansion. Leaf scars, at positions where drought-shedding
occurred, showed strong reduction of cytokinin activity. The pith in the
differentiation zone of stem showed high cytokinin activity with distinct, very
active parenchymatic cells and enhanced activity close to primary xylem. This
pattern was maintained under drought but the cytokinin activity was reduced.
Mature phloem parenchymatic cells showed high cytokinin activity and mature wood
showed no detectable cytokinin activity. Cytokinin activity in the cambium was
apparent as a clear ring, which faded under drought. Xylem-localized cytokinin
activities were also mirrored by the relative expression of PtaRR3, whereas
PtaRR10 showed developmental but no drought-induced changes. Primary meristems
exhibited high cytokinin activity regardless of drought stress, supporting a
function of this phytohormone in meristem maintenance, whereas declining
cytokinin activities in apical pith tissues and cambium of drought-stressed
poplars linked cytokinin in these cell types with the control of primary and
secondary growth processes. Changes in cytokinin activity further imply a role in
drought avoidance mechanisms of poplars, especially in the reduction of leaf
area.
PMID- 29354258
TI - Leaf thermotolerance in dry tropical forest tree species: relationships with leaf
traits and effects of drought.
AB - Understanding how tropical trees will respond to extreme temperatures and drought
is essential to predict how future increases in the severity, frequency and
duration of extreme climatic events will affect tropical systems. In this study,
we investigated leaf thermotolerance by quantifying the temperatures that
resulted in a 50 % decrease in photosystem II function (T50) in experimentally
grown saplings of 12 tree species from a seasonally dry tropical forest. We
examined the relationship of thermotolerance with leaf functional traits and
photosynthetic rates. Additionally, we tested how water limitation altered
thermotolerance within species, and examined the relationship between
thermotolerance and drought tolerance among species. Thermotolerance ranged from
44.5 to 48.1 degrees C in the least and most thermotolerant species,
respectively. The observed variation in thermotolerance indicates that the upper
limits of leaf function are critically close to maximum temperatures in this
region, and that these species will be vulnerable to, and differentially affected
by, future warming. Drought increased temperature tolerance, and species that
were more drought tolerant were also more thermotolerant. Importantly,
thermotolerance was positively related to the key leaf functional trait-leaf mass
per area (LMA), and congruent with this, negatively related to photosynthetic
rates. These results indicate that more productive species with lower LMA and
higher photosynthetic rates may be more vulnerable to heat and drought stress,
and more likely to be negatively affected by future increases in extreme climatic
events.
PMID- 29354259
TI - Experiences of moral distress by privately hired companions in Ontario's long
term care facilities.
AB - Purpose: To explore long-term residential care provided by people other than the
facilities' employees. Privately hired paid "companions" are effectively
invisible in health services research and policy. This research was designed to
address this significant gap. There is growing recognition that nursing staff in
long-term care (LTC) residential facilities experience moral distress - a
phenomenon in which one knows the ethically right action to take, but is
systemically constrained from taking it. To date, there has been no discussion of
the distressing experiences of companions in LTC facilities. This paper explores
companions' moral distress. Design: Data was collected using weeklong rapid
ethnographies in seven LTC facilities in Southern Ontario, Canada. A feminist
political economy analytic framework was used in the research design and in the
analysis of findings. Findings: Despite the differences in their work tasks and
employment conditions, structural barriers can cause moral distress for
companions. This mirrors the impacts experienced by nurses that are highlighted
in the literature. Though companions are hired in order to fill care gaps in the
LTC system, they too struggle with the current system's limitations. The hiring
of private companions is not a sustainable or equitable solution to under
staffing and under-funding in Canada's LTC facilities. Value: Recognizing moral
distress and the impact that it has on those providing LTC is critical in terms
of supporting and protecting vulnerable and precarious care workers and ensuring
high quality care for Canadians in LTC.
PMID- 29354261
TI - Guest editorial: special issue on "Artificial Intelligence in Health and
Medicine".
PMID- 29354260
TI - Developmental Windows of Susceptibility to Inorganic Arsenic: A Survey of Current
Toxicologic and Epidemiologic Data.
AB - Globally, millions of people are exposed to elevated levels of inorganic arsenic
(iAs) via drinking water. Exposure to iAs is associated with a wide range of
negative health outcomes, including cancers, skin lesions, neurological
impairment, cardiovascular diseases, and an increased susceptibility to
infection. Among those exposed to iAs, the developing fetus and young children
represent particularly sensitive subpopulations. Specifically, it has been noted
in animal models and human populations that prenatal and early life iAs exposures
are associated with diseases occurring during childhood and later in life. Recent
epidemiologic and toxicologic studies have also demonstrated that epigenetic
alterations may play a key mechanistic role underlying many of the iAs-associated
health outcomes, including the carcinogenic and immunologic effects of exposure.
This review summarizes some of the key studies related to prenatal and early life
iAs exposure and highlights the complexities in isolating the precise
developmental windows of exposure associated with these health outcomes.
PMID- 29354262
TI - Local environmental quality positively predicts breastfeeding in the UK's
Millennium Cohort Study.
AB - Background and Objectives: Breastfeeding is an important form of parental
investment with clear health benefits. Despite this, rates remain low in the UK;
understanding variation can therefore help improve interventions. Life history
theory suggests that environmental quality may pattern maternal investment,
including breastfeeding. We analyse a nationally representative dataset to test
two predictions: (i) higher local environmental quality predicts higher
likelihood of breastfeeding initiation and longer duration; (ii) higher
socioeconomic status (SES) provides a buffer against the adverse influences of
low local environmental quality. Methodology: We ran factor analysis on a wide
range of local-level environmental variables. Two summary measures of local
environmental quality were generated by this analysis-one 'objective' (based on
an independent assessor's neighbourhood scores) and one 'subjective' (based on
respondent's scores). We used mixed-effects regression techniques to test our
hypotheses. Results: Higher objective, but not subjective, local environmental
quality predicts higher likelihood of starting and maintaining breastfeeding over
and above individual SES and area-level measures of environmental quality. Higher
individual SES is protective, with women from high-income households having
relatively high breastfeeding initiation rates and those with high status jobs
being more likely to maintain breastfeeding, even in poor environmental
conditions. Conclusions and Implications: Environmental quality is often vaguely
measured; here we present a thorough investigation of environmental quality at
the local level, controlling for individual- and area-level measures. Our
findings support a shift in focus away from individual factors and towards
altering the landscape of women's decision making contexts when considering
behaviours relevant to public health.
PMID- 29354264
TI - The structural science of functional materials.
AB - The growing complexity of functional materials and the major challenges this
poses to structural science are discussed. The diversity of structural materials
science and the contributions that computation is making to the field are
highlighted.
PMID- 29354263
TI - Impact of structurally modifying hyaluronic acid on CD44 interaction.
AB - CD44 is a widely-distributed type I transmembrane glycoprotein that binds
hyaluronic acid (HA) in most cell types, including primary tumor cells and cancer
initiating cells and has roles in cell migration, cell-cell, and cell-matrix
adhesion. HA-derived conjugates and nanoparticles that target the CD44 receptor
on cells have been reported for targeted delivery of therapeutics and imaging
agents. Altering crucial interactions of HA with CD44 active sites holds
significant importance in modulating targeting ability of hyaluronic acid to
other cancer types that do not express the CD44 receptor or minimizing the
interaction with CD44+ cells that are not target cells. The approach adopted here
was deacetylation of the N-acetyl group and selective sulfation on the C6-OH on
the HA polymer, which form critical interactions with the CD44 active site. Major
interactions identified by molecular modeling were confirmed to be hydrogen
bonding of the C6-OH with Tyr109 and hydrophobic interaction of the N-acetyl
group with Tyr46, 83 and Ile 92. Modified HA was synthesized and characterized
and its interactions were assessed by in vitro and molecular modeling approaches.
In vitro techniques included flow cytometry and fluorescence polarization, while
in silico approaches included docking and binding calculations by a MM-PBSA
approach. These studies indicated that while both deacetylation and sulfation of
HA individually decrease CD44 interaction, both chemical modifications are
required to minimize interaction with CD44+ cells. The results of this study
represent the first step to effective retargeting of HA-derived NPs for imaging
and drug delivery.
PMID- 29354265
TI - Carbonic anhydrase under pressure.
AB - Investigations of the rapid enzyme carbonic anhydrase have now been extended by
crystallographic analysis at high CO2 pressures to examine the movements of water
molecules in different steps of the catalysis. The rate of catalysis seems well
explained by the assembled observations.
PMID- 29354267
TI - Building inorganic supramolecular architectures using principles adopted from the
organic solid state.
AB - In order to develop transferable and practical avenues for the assembly of
coordination complexes into architectures with specific dimensionality, a
strategy utilizing ligands capable of simultaneous metal coordination and self
complementary hydrogen bonding is presented. The three ligands used, 2(1H)
pyrazinone, 4(3H)-pyrimidinone and 4(3H)-quinazolinone, consistently deliver the
required synthetic vectors in a series of CdII coordination polymers, allowing
for reproducible supramolecular synthesis that is insensitive to the different
steric and geometric demands from potentially disruptive counterions. In all nine
crystallographically characterized compounds presented here, directional
intermolecular N-H?O hydrogen bonds between ligands on adjacent complex building
blocks drive the assembly and orientation of discrete building blocks into
largely predictable topologies. Furthermore, whether the solids are prepared from
solution or through liquid-assisted grinding, the structural outcome is the same,
thus emphasizing the robustness of the synthetic protocol. The details of the
molecular recognition events that take place in this series of compounds have
been clearly delineated and rationalized in the context of calculated molecular
electrostatic potential surfaces.
PMID- 29354266
TI - Hydrogen bonds in crystalline d-alanine: diffraction and spectroscopic evidence
for differences between enantiomers.
AB - Enantiomeric amino acids have specific physiological functions in complex
biological systems. Systematic studies focusing on the solid-state properties of
d-amino acids are, however, still limited. To shed light on this field,
structural and spectroscopic studies of d-alanine using neutron powder
diffraction, polarized Raman scattering and ab initio calculations of harmonic
vibrational frequencies were carried out. Clear changes in the number of
vibrational modes are observed as a function of temperature, which can be
directly connected to variations of the N-D bond lengths. These results reveal
dissimilarities in the structural properties of d-alanine compared with l
alanine.
PMID- 29354268
TI - An unprecedented dioxygen species revealed by serial femtosecond rotation
crystallography in copper nitrite reductase.
AB - Synchrotron-based X-ray structural studies of ligand-bound enzymes are powerful
tools to further our understanding of reaction mechanisms. For redox enzymes, it
is necessary to study both the oxidized and reduced active sites to fully
elucidate the reaction, an objective that is complicated by potential X-ray
photoreduction. In the presence of the substrate, this can be exploited to
construct a structural movie of the events associated with catalysis. Using the
newly developed approach of serial femtosecond rotation crystallography (SF-ROX),
an X-ray damage-free structure of the as-isolated copper nitrite reductase
(CuNiR) was visualized. The sub-10 fs X-ray pulse length from the SACLA X-ray
free-electron laser allowed diffraction data to be collected to 1.6 A resolution
in a 'time-frozen' state. The extremely short duration of the X-ray pulses
ensures the capture of data prior to the onset of radiation-induced changes,
including radiolysis. Unexpectedly, an O2 ligand was identified bound to the T2Cu
in a brand-new binding mode for a diatomic ligand in CuNiRs. The observation of
O2 in a time-frozen structure of the as-isolated oxidized enzyme provides long
awaited clear-cut evidence for the mode of O2 binding in CuNiRs. This provides an
insight into how CuNiR from Alcaligenes xylosoxidans can function as an oxidase,
reducing O2 to H2O2, or as a superoxide dismutase (SOD) since it was shown to
have ~56% of the dismutase activity of the bovine SOD enzyme some two decades
ago.
PMID- 29354269
TI - Probing the accuracy and precision of Hirshfeld atom refinement with HARt
interfaced with Olex2.
AB - Hirshfeld atom refinement (HAR) is a novel X-ray structure refinement technique
that employs aspherical atomic scattering factors obtained from stockholder
partitioning of a theoretically determined tailor-made static electron density.
HAR overcomes many of the known limitations of independent atom modelling (IAM),
such as too short element-hydrogen distances, r(X-H), or too large atomic
displacement parameters (ADPs). This study probes the accuracy and precision of
anisotropic hydrogen and non-hydrogen ADPs and of r(X-H) values obtained from
HAR. These quantities are compared and found to agree with those obtained from
(i) accurate neutron diffraction data measured at the same temperatures as the X
ray data and (ii) multipole modelling (MM), an established alternative method for
interpreting X-ray diffraction data with the help of aspherical atomic scattering
factors. Results are presented for three chemically different systems: the
aromatic hydro-carbon rubrene (orthorhombic 5,6,11,12-tetra-phenyl-tetracene), a
co-crystal of zwitterionic betaine, imidazolium cations and picrate anions
(BIPa), and the salt potassium hydrogen oxalate (KHOx). The non-hydrogen HAR-ADPs
are as accurate and precise as the MM-ADPs. Both show excellent agreement with
the neutron-based values and are superior to IAM-ADPs. The anisotropic hydrogen
HAR-ADPs show a somewhat larger deviation from neutron-based values than the
hydrogen SHADE-ADPs used in MM. Element-hydrogen bond lengths from HAR are in
excellent agreement with those obtained from neutron diffraction experiments,
although they are somewhat less precise. The residual density contour maps after
HAR show fewer features than those after MM. Calculating the static electron
density with the def2-TZVP basis set instead of the simpler def2-SVP one does not
improve the refinement results significantly. All HARs were performed within the
recently introduced HARt option implemented in the Olex2 program. They are easily
launched inside its graphical user interface following a conventional IAM.
PMID- 29354270
TI - Snapshot and crystallographic observations of kinetic and thermodynamic products
for NO2S2 macrocyclic complexes.
AB - Direct observation and structural characterization of a kinetic product and a
thermodynamic product for complexes with an NO2S2 macrocycle (L) are reported. L
reacts with copper(I) iodide to give a mononuclear complex
[Cu(L)]2(Cu2I4).2CH2Cl2 (1), featuring three separate units. When cadmium(II)
iodide was reacted with L, an anion-coordinated complex [Cd(L)I]2(Cd2I6).4CH3CN
(2) with a needle-type crystal shape was formed as the kinetic product.
Interestingly, when the needle-type kinetic product was left undisturbed in the
mother solution it gradually transformed to the pseudo-dimer complex
[Cd2(L)2I2](Cd2I6) (3) with a brick-type crystal shape as the thermodynamic
product. The dissolution-recrystallization process resulted in the elimination of
the lattice solvent molecules (aceto-nitrile) in 2 and the contraction of two
neighboring macrocyclic complex units [Cd(L)I]+, forming the pseudo-dimer 3via an
intermolecular Cd?I interaction between two monomers. For the entire process from
kinetic to thermodynamic products, it was possible to obtain sequential
photographic snapshots, single-crystal X-ray structures and powder X-ray
diffraction patterns. For the copper(I) and cadmium(II) complexes, competitive
NMR results agree with the solid-state data that show copper(I) has a higher
affinity for L than does cadmium(II).
PMID- 29354271
TI - Phase transition and magnetocaloric properties of Mn50Ni42-x Co x Sn8 (0 <= x <=
10) melt-spun ribbons.
AB - The characteristics of magnetostructural coupling play a crucial role in the
magnetic field-driven behaviour of magnetofunctional alloys. The availability of
magnetostructural coupling over a broad temperature range is of great
significance for scientific and technological purposes. This work demonstrates
that strong magnetostrucural coupling can be achieved over a wide temperature
range (222 to 355 K) in Co-doped high Mn-content Mn50Ni42-x Co x Sn8 (0 <= x <=
10) melt-spun ribbons. It is shown that, over a wide composition range with Co
content from 3 to 9 at.%, the paramagnetic austenite first transforms into
ferromagnetic austenite at TC on cooling, then the ferromagnetic austenite
further transforms into a weakly magnetic martensite at TM. Such strong
magnetostructural coupling enables the ribbons to exhibit field-induced inverse
martensitic transformation behaviour and a large magnetocaloric effect. Under a
field change of 5 T, a maximum magnetic entropy change DeltaSM of 18.6 J kg-1 K-1
and an effective refrigerant capacity RCeff of up to 178 J kg-1 can be achieved,
which are comparable with or even superior to those of Ni-rich Ni-Mn-based
polycrystalline bulk alloys. The combination of high performance and low cost
makes Mn-Ni-Co-Sn ribbons of great interest as potential candidates for magnetic
refrigeration.
PMID- 29354272
TI - Determination of atomic vacancies in InAs/GaSb strained-layer superlattices by
atomic strain.
AB - Determining vacancy in complex crystals or nanostructures represents an
outstanding crystallographic problem that has a large impact on technology,
especially for semiconductors, where vacancies introduce defect levels and modify
the electronic structure. However, vacancy is hard to locate and its structure is
difficult to probe experimentally. Reported here are atomic vacancies in the
InAs/GaSb strained-layer superlattice (SLS) determined by atomic-resolution
strain mapping at picometre precision. It is shown that cation and anion
vacancies in the InAs/GaSb SLS give rise to local lattice relaxations, especially
the nearest atoms, which can be detected using a statistical method and confirmed
by simulation. The ability to map vacancy defect-induced strain and identify its
location represents significant progress in the study of vacancy defects in
compound semiconductors.
PMID- 29354273
TI - Local-scale structures across the morphotropic phase boundary in PbZr1-x Ti x O3.
AB - Lead zirconate titanate (PZT) is one of the most widely studied piezoelectric
materials, mainly because of its 'mysterious' relationship between the so-called
morphotropic phase boundary (MPB) and its strong piezoelectric coupling factor.
Using results from a pair distribution function analysis, this paper examines how
the complex local structure in PZT affects the long-range average structure
across the MPB. A monoclinic M C type structure is discovered in PZT. A first
order transformation between the monoclinic M A and M C components in both the
average and local structures explains the sudden change in piezoelectric effect
around these compositions. The role of polarization rotation in the enhancement
of the piezoelectric properties is discussed with respect to the composition of
PZT. The structure-property relationship that is revealed by this study explains
the unique properties of PZT, and may be applicable in the design of new MPB-type
functional materials.
PMID- 29354274
TI - Crystal structure of peroxiredoxin 3 from Vibrio vulnificus and its implications
for scavenging peroxides and nitric oxide.
AB - Peroxiredoxins (Prxs) are ubiquitous cysteine-based peroxidase enzymes. Recently,
a new type of Prx, VvPrx3, was identified in the pathogenic bacterium Vibrio
vulnificus as being important for survival in macrophages. It employs only one
catalytic cysteine residue to decompose peroxides. Here, crystal structures of
VvPrx3 representing its reduced and oxidized states have been determined,
together with an H2O2-bound structure, at high resolution. The crystal structure
representing the reduced Prx3 showed a typical dimeric interface, called the A
type interface. However, VvPrx3 forms an oligomeric interface mediated by a
disulfide bond between two catalytic cysteine residues from two adjacent dimers,
which differs from the doughnut-like oligomers that appear in most Prxs.
Subsequent biochemical studies showed that this disulfide bond was induced by
treatment with nitric oxide (NO) as well as with peroxides. Consistently, NO
treatment induced expression of the prx3 gene in V. vulnificus, and VvPrx3 was
crucial for the survival of bacteria in the presence of NO. Taken together, the
function and mechanism of VvPrx3 in scavenging peroxides and NO stress via
oligomerization are proposed. These findings contribute to the understanding of
the diverse functions of Prxs during pathogenic processes at the molecular level.
PMID- 29354275
TI - Active-site solvent replenishment observed during human carbonic anhydrase II
catalysis.
AB - Human carbonic anhydrase II (hCA II) is a zinc metalloenzyme that catalyzes the
reversible hydration/dehydration of CO2/HCO3-. Although hCA II has been
extensively studied to investigate the proton-transfer process that occurs in the
active site, its underlying mechanism is still not fully understood. Here,
ultrahigh-resolution crystallographic structures of hCA II cryocooled under CO2
pressures of 7.0 and 2.5 atm are presented. The structures reveal new
intermediate solvent states of hCA II that provide crystallographic snapshots
during the restoration of the proton-transfer water network in the active site.
Specifically, a new intermediate water (WI') is observed next to the previously
observed intermediate water WI, and they are both stabilized by the five water
molecules at the entrance to the active site (the entrance conduit). Based on
these structures, a water network-restructuring mechanism is proposed, which
takes place at the active site after the nucleophilic attack of OH- on CO2. This
mechanism explains how the zinc-bound water (WZn) and W1 are replenished, which
are directly responsible for the reconnection of the His64-mediated proton
transfer water network. This study provides the first 'physical' glimpse of how a
water reservoir flows into the hCA II active site during its catalytic activity.
PMID- 29354276
TI - Resolution extension by image summing in serial femtosecond crystallography of
two-dimensional membrane-protein crystals.
AB - Previous proof-of-concept measurements on single-layer two-dimensional membrane
protein crystals performed at X-ray free-electron lasers (FELs) have demonstrated
that the collection of meaningful diffraction patterns, which is not possible at
synchrotrons because of radiation-damage issues, is feasible. Here, the results
obtained from the analysis of a thousand single-shot, room-temperature X-ray FEL
diffraction images from two-dimensional crystals of a bacteriorhodopsin mutant
are reported in detail. The high redundancy in the measurements boosts the
intensity signal-to-noise ratio, so that the values of the diffracted intensities
can be reliably determined down to the detector-edge resolution of 4 A. The
results show that two-dimensional serial crystallography at X-ray FELs is a
suitable method to study membrane proteins to near-atomic length scales at
ambient temperature. The method presented here can be extended to pump-probe
studies of optically triggered structural changes on submillisecond timescales in
two-dimensional crystals, which allow functionally relevant large-scale motions
that may be quenched in three-dimensional crystals.
PMID- 29354277
TI - A novel de novo mutation in COL2A1 leading to spondyloepiphyseal dysplasia
congenita in a Chinese family.
AB - Spondyloepiphyseal dysplasia congenita (SEDC) is an extremely rare autosomal
dominant chondrodysplasia that is usually caused by substitution of glycine with
another amino acid in the triple helical region of COL2A1. Herein, we describe a
case of SEDC in a Chinese family with a novel de novo mutation in the COL2A1
gene, c.1150G>A (p.Gly384Ser), which may impair protein stability and lead to
dysfunction of type II collagen.
PMID- 29354278
TI - Development of the Human Factors Skills for Healthcare Instrument: a valid and
reliable tool for assessing interprofessional learning across healthcare practice
settings.
AB - Background: A central feature of clinical simulation training is human factors
skills, providing staff with the social and cognitive skills to cope with
demanding clinical situations. Although these skills are critical to safe patient
care, assessing their learning is challenging. This study aimed to develop, pilot
and evaluate a valid and reliable structured instrument to assess human factors
skills, which can be used pre- and post-simulation training, and is relevant
across a range of healthcare professions. Method: Through consultation with a
multi-professional expert group, we developed and piloted a 39-item survey with
272 healthcare professionals attending training courses across two large
simulation centres in London, one specialising in acute care and one in mental
health, both serving healthcare professionals working across acute and community
settings. Following psychometric evaluation, the final 12-item instrument was
evaluated with a second sample of 711 trainees. Results: Exploratory factor
analysis revealed a 12-item, one-factor solution with good internal consistency
(alpha=0.92). The instrument had discriminant validity, with newly qualified
trainees scoring significantly lower than experienced trainees (t(98)=4.88,
p<0.001) and was sensitive to change following training in acute and mental
health settings, across professional groups (p<0.001). Confirmatory factor
analysis revealed an adequate model fit (RMSEA=0.066). Conclusion: The Human
Factors Skills for Healthcare Instrument provides a reliable and valid method of
assessing trainees' human factors skills self-efficacy across acute and mental
health settings. This instrument has the potential to improve the assessment and
evaluation of human factors skills learning in both uniprofessional and
interprofessional clinical simulation training.
PMID- 29354279
TI - Evaluation of a multiple-encounter in situ simulation for orientation of staff to
a new paediatric emergency service: a single-group pretest/post-test study.
AB - Aim: To assess the utility of a multiple-encounter in-situ (MEIS) simulation as
an orientation tool for multidisciplinary staff prior to opening a new paediatric
emergency service. Methods: A single-group pretest/post-test study was conducted.
During the MEIS simulation, multidisciplinary staff with participant or observer
roles managed eight children (mannequins) who attended triage with their
parent/guardians (clinical facilitators) for a range of emergency presentations
(structured scenarios designed to represent the expected range of presentations
plus test various clinical pathways/systems). Participants were debriefed to
explore clinical, systems and crisis-resource management issues. Participants
also completed a pre-intervention and post-intervention questionnaire comprising
statements about role confidence and orientation adequacy. Pre-test and post-test
results were analysed using t-test and Wilcoxon signed rank test. Results: Eighty
nine staff participated in the MEIS simulation, with the majority completing the
pre-simulation and post-simulation questionnaire. There was a significant
improvement in post-intervention versus pre-intervention Likert scores for role
confidence and orientation adequacy (p=0.001 and <0.001, respectively); effect
sizes suggested the greatest impact was on orientation adequacy. Nearly all
scenarios resulted in significant increases in participants' confidence levels.
Conclusions: The MEIS simulation was of utility in orientation of staff, at least
with respect to self-reported role confidence and orientation adequacy. Its
effectiveness in practice or compared with other orientation techniques was not
assessed, but it did identify several flaws in planned systems allowing
remediation prior to opening.
PMID- 29354280
TI - Medical judgement analogue studies with applications to spaceflight crew medical
officer.
AB - Background: The National Aeronautics and Space Administration (NASA) developed
plans for potential emergency conditions from the Exploration Medical Conditions
List. In an effort to mitigate conditions on the Exploration Medical Conditions
List, NASA implemented a crew medical officer (CMO) designation for eligible
astronauts. This pilot study aims to add knowledge that could be used in the
Integrated Medical Model. Methods: An analogue population was recruited for two
categories: administrative physicians (AP) representing the physician CMOs and
technical professionals (TP) representing the non-physician CMOs. Participants
completed four medical simulations focused on abdominal pain: cholecystitis (CH)
and renal colic (RC) and chest pain: cardiac ischaemia (STEMI; ST-segment
elevation myocardial infarction) and pneumothorax (PX). The Medical Judgment
Metric (MJM) was used to evaluate medical decision making. Results: There were no
significant differences between the AP and TP groups in age, gender, race,
ethnicity, education and baseline heart rate. Significant differences were noted
in MJM average rater scores in AP versus TP in CH: 13.0 (+/-2.25), 4.5 (+/-0.48),
p=<0.001; RC: 12.3 (+/-2.66), 4.8 (+/-0.94); STEMI: 12.1 (+/-3.33), 4.9 (+/
0.56); and PX: 13.5 (+/-2.53), 5.3 (+/-1.01), respectively. Discussion: There
could be a positive effect on crew health risk by having a physician CMO. The MJM
demonstrated the ability to quantify medical judgement between the two analogue
groups of spaceflight CMOs. Future studies should incorporate the MJM in a larger
analogue population study to assess the medical risk for spaceflight crewmembers.
PMID- 29354281
TI - 3D printing materials and their use in medical education: a review of current
technology and trends for the future.
AB - 3D printing is a new technology in constant evolution. It has rapidly expanded
and is now being used in health education. Patient-specific models with
anatomical fidelity created from imaging dataset have the potential to
significantly improve the knowledge and skills of a new generation of surgeons.
This review outlines five technical steps required to complete a printed model:
They include (1) selecting the anatomical area of interest, (2) the creation of
the 3D geometry, (3) the optimisation of the file for the printing and the
appropriate selection of (4) the 3D printer and (5) materials. All of these steps
require time, expertise and money. A thorough understanding of educational needs
is therefore essential in order to optimise educational value. At present, most
of the available printing materials are rigid and therefore not optimum for
flexibility and elasticity unlike biological tissue. We believe that the
manipuation and tuning of material properties through the creation of composites
and/or blending materials will eventually allow for the creation of patient
specific models which have both anatomical and tissue fidelity.
PMID- 29354282
TI - Regulatory feedback response mechanisms to phosphate starvation in rice.
AB - Phosphorus is a growth-limiting nutrient for plants. The growing scarcity of
phosphate stocks threatens global food security. Phosphate-uptake regulation is
so complex and incompletely known that attempts to improve phosphorus use
efficiency have had extremely limited success. This study improves our
understanding of the molecular mechanisms underlying phosphate uptake by
investigating the transcriptional dynamics of two regulators: the Ubiquitin
ligase PHO2 and the long non-coding RNA IPS1. Temporal measurements of RNA levels
have been integrated into mechanistic mathematical models using advanced
statistical techniques. Models based solely on current knowledge could not
adequately explain the temporal expression profiles. Further modeling and
bioinformatics analysis have led to the prediction of three regulatory features:
the PHO2 protein mediates the degradation of its own transcriptional activator to
maintain constant PHO2 mRNA levels; the binding affinity of the transcriptional
activator of PHO2 is impaired by a phosphate-sensitive transcriptional
repressor/inhibitor; and the extremely high levels of IPS1 and its rapid
disappearance upon Pi re-supply are best explained by Pi-sensitive RNA
protection. This work offers both new opportunities for plant phosphate research
that will be essential for informing the development of phosphate efficient crop
varieties, and a foundation for the development of models integrating phosphate
with other stress responses.
PMID- 29354283
TI - Regeneration in distantly related species: common strategies and pathways.
AB - While almost all animals are able to at least partially replace some lost parts,
regeneration abilities vary considerably across species. Here we study gene
expression patterns in distantly related species to investigate conserved
regeneration strategies. To this end, we collect from the literature
transcriptomic data obtained during the regeneration of three species (Hydra
magnipapillata, Schmidtea mediterranea, and Apostichopus japonicus), and compare
them with gene expression during regeneration in vertebrates and mammals. This
allows us to identify a common set of differentially expressed genes and relevant
shared pathways that are conserved across species during the early stage of the
regeneration process. We also find a set of differentially expressed genes that
in mammals are associated to the presence of macrophages and to the epithelial
mesenchymal transition. This suggests that features of the sophisticated wound
healing strategy of mammals are already observable in earlier emerging metazoans.
PMID- 29354284
TI - Mapping the operational landscape of microRNAs in synthetic gene circuits.
AB - MicroRNAs are a class of short, noncoding RNAs that are ubiquitous modulators of
gene expression, with roles in development, homeostasis, and disease. Engineered
microRNAs are now frequently used as regulatory modules in synthetic biology.
Moreover, synthetic gene circuits equipped with engineered microRNA targets with
perfect complementarity to endogenous microRNAs establish an interface with the
endogenous milieu at the single-cell level. The function of engineered microRNAs
and sensor systems is typically optimized through extensive trial-and-error.
Here, using a combination of synthetic biology experimentation in human embryonic
kidney cells and quantitative analysis, we investigate the relationship between
input genetic template abundance, microRNA concentration, and output under
microRNA control. We provide a framework that employs the complete operational
landscape of a synthetic gene circuit and enables the stepwise development of
mathematical models. We derive a phenomenological model that recapitulates
experimentally observed nonlinearities and contains features that provide insight
into the microRNA function at various abundances. Our work facilitates the
characterization and engineering of multi-component genetic circuits and
specifically points to new insights on the operation of microRNAs as mediators of
endogenous information and regulators of gene expression in synthetic biology.
PMID- 29354285
TI - Handling variability and incompleteness of biological data by flexible nets: a
case study for Wilson disease.
AB - Mathematical models that combine predictive accuracy with explanatory power are
central to the progress of systems and synthetic biology, but the heterogeneity
and incompleteness of biological data impede our ability to construct such
models. Furthermore, the robustness displayed by many biological systems means
that they have the flexibility to operate under a range of physiological
conditions and this is difficult for many modeling formalisms to handle. Flexible
nets (FNs) address these challenges and represent a paradigm shift in model-based
analysis of biological systems. FNs can: (i) handle uncertainties, ranges and
missing information in concentrations, stoichiometry, network topology, and
transition rates without having to resort to statistical approaches; (ii)
accommodate different types of data in a unified model that integrates various
cellular mechanisms; and (iii) be employed for system optimization and model
predictive control. We present FNs and illustrate their capabilities by modeling
a well-established system, the dynamics of glucose consumption by a microbial
population. We further demonstrate the ability of FNs to take control actions in
response to genetic or metabolic perturbations. Having bench-marked the system,
we then construct the first quantitative model for Wilson disease-a rare genetic
disorder that impairs copper utilization in the liver. We used this model to
investigate the feasibility of using vitamin E supplementation therapy for
symptomatic improvement. Our results indicate that hepatocytic inflammation
caused by copper accumulation was not aggravated by limitations on endogenous
antioxidant supplies, which means that treating patients with antioxidants is
unlikely to be effective.
PMID- 29354286
TI - Pan-cancer screen for mutations in non-coding elements with conservation and
cancer specificity reveals correlations with expression and survival.
AB - Cancer develops by accumulation of somatic driver mutations, which impact
cellular function. Mutations in non-coding regulatory regions can now be studied
genome-wide and further characterized by correlation with gene expression and
clinical outcome to identify driver candidates. Using a new two-stage procedure,
called ncDriver, we first screened 507 ICGC whole-genomes from 10 cancer types
for non-coding elements, in which mutations are both recurrent and have elevated
conservation or cancer specificity. This identified 160 significant non-coding
elements, including the TERT promoter, a well-known non-coding driver element, as
well as elements associated with known cancer genes and regulatory genes (e.g.,
PAX5, TOX3, PCF11, MAPRE3). However, in some significant elements, mutations
appear to stem from localized mutational processes rather than recurrent positive
selection in some cases. To further characterize the driver potential of the
identified elements and shortlist candidates, we identified elements where
presence of mutations correlated significantly with expression levels (e.g., TERT
and CDH10) and survival (e.g., CDH9 and CDH10) in an independent set of 505 TCGA
whole-genome samples. In a larger pan-cancer set of 4128 TCGA exomes with
expression profiling, we identified mutational correlation with expression for
additional elements (e.g., near GATA3, CDC6, ZNF217, and CTCF transcription
factor binding sites). Survival analysis further pointed to MIR122, a known
marker of poor prognosis in liver cancer. In conclusion, the screen for
significant mutation patterns coupled with correlative mutational analysis
identified new individual driver candidates and suggest that some non-coding
mutations recurrently affect expression and play a role in cancer development.
PMID- 29354289
TI - New prize and features for Spinal Cord Series and Cases.
PMID- 29354288
TI - Dielectric properties measurements of brown and white adipose tissue in rats from
0.5 to 10 GHz.
AB - Brown adipose tissue (BAT) plays an important role in whole body metabolism and
with appropriate stimulus could potentially mediate weight gain and insulin
sensitivity. Although imaging techniques are available to detect subsurface BAT,
there are currently no viable methods for continuous acquisition of BAT energy
expenditure. Microwave (MW) radiometry is an emerging technology that allows the
quantification of tissue temperature variations at depths of several centimeters.
Such temperature differentials may be correlated with variations in metabolic
rate, thus providing a quantitative approach to monitor BAT metabolism. In order
to optimize MW radiometry, numerical and experimental phantoms with accurate
dielectric properties are required to develop and calibrate radiometric sensors.
Thus, we present for the first time, the characterization of relative
permittivity and electrical conductivity of brown (BAT) and white (WAT) adipose
tissues in rats across the MW range 0.5-10GHz. Measurements were carried out in
situ and post mortem in six female rats of approximately 200g. A Cole-Cole model
was used to fit the experimental data into a parametric model that describes the
variation of dielectric properties as a function of frequency. Measurements
confirm that the dielectric properties of BAT (epsilonr = 14.0-19.4, sigma = 0.3
3.3S/m) are significantly higher than those of WAT (epsilonr = 9.1-11.9, sigma =
0.1-1.9S/m), in accordance with the higher water content of BAT.
PMID- 29354287
TI - A robust targeted sequencing approach for low input and variable quality DNA from
clinical samples.
AB - Next-generation deep sequencing of gene panels is being adopted as a diagnostic
test to identify actionable mutations in cancer patient samples. However,
clinical samples, such as formalin-fixed, paraffin-embedded specimens, frequently
provide low quantities of degraded, poor quality DNA. To overcome these issues,
many sequencing assays rely on extensive PCR amplification leading to an
accumulation of bias and artifacts. Thus, there is a need for a targeted
sequencing assay that performs well with DNA of low quality and quantity without
relying on extensive PCR amplification. We evaluate the performance of a targeted
sequencing assay based on Oligonucleotide Selective Sequencing, which permits the
enrichment of genes and regions of interest and the identification of sequence
variants from low amounts of damaged DNA. This assay utilizes a repair process
adapted to clinical FFPE samples, followed by adaptor ligation to single stranded
DNA and a primer-based capture technique. Our approach generates sequence
libraries of high fidelity with reduced reliance on extensive PCR amplification
this facilitates the accurate assessment of copy number alterations in addition
to delivering accurate single nucleotide variant and insertion/deletion
detection. We apply this method to capture and sequence the exons of a panel of
130 cancer-related genes, from which we obtain high read coverage uniformity
across the targeted regions at starting input DNA amounts as low as 10 ng per
sample. We demonstrate the performance using a series of reference DNA samples,
and by identifying sequence variants in DNA from matched clinical samples
originating from different tissue types.
PMID- 29354290
TI - HIV-1-associated inflammation and antiretroviral therapy regulate astrocyte
endoplasmic reticulum stress responses.
AB - Antiretroviral (ARV) therapy (ART) has effectively suppressed the incidence of
human immunodeficiency virus (HIV)-associated dementia in HIV-1 positive
individuals. However, the prevalence of more subtle forms of neurocognitive
dysfunction continues to escalate. Recently, endoplasmic reticulum (ER) stress
has been linked to many neurological diseases; yet, its role in HIV/neuroAIDS
remains largely unexplored. Furthermore, upregulation of astrocyte elevated gene
1 (AEG-1), a novel HIV-1 inducible gene, along with ER stress markers in a
Huntington's disease model, suggests a possible role in HIV-associated ER stress.
The current study is focused on unfolded protein responses (UPRs) and AEG-1
regulation in primary human astrocytes exposed to HIV-associated neurocognitive
disorders (HAND)-relevant stimuli (HIV-1 virions, inflammation and ARV drugs).
Interleukin (IL)-1beta and the nucleoside reverse transcriptase inhibitor
abacavir upregulated expression of ER stress markers in human astrocytes,
including binding immunoglobulin protein (BiP), C/EBP homologous protein (CHOP),
and calnexin. In addition, IL-1beta activated all three well-known UPR pathways:
protein kinase RNA-like ER kinase (PERK); activating transcription factor 6 (ATF
6); and inositol-requiring enzyme 1alpha (IRE1alpha). AEG-1 upregulation
correlated to ER stress and demonstrated astrocyte AEG-1 interaction with the
calcium-binding chaperone, calnexin. IL-1beta and abacavir enhanced intracellular
calcium signaling in astrocytes in the absence of extracellular calcium,
illustrating ER-associated calcium release. Alternatively, calcium evoked in
response to HAND-relevant stimuli led to mitochondrial permeability transition
pore (mPTP) opening in human astrocytes. Importantly, IL-1beta- and abacavir
induced UPR and mPTP opening were inhibited by the intracellular calcium
chelation, indicating the critical role of calcium signaling in HAND-relevant ER
stress in astrocytes. In summary, our study highlights that ARV drugs and IL
1beta induced UPR, AEG-1 expression, intracellular calcium, and mitochondrial
depolarization in astrocytes. This study uncovers astrocyte ER stress as a novel
therapeutic target in the management of HIV-1-associated neurotoxicity and
possibly in the treatment of neuroAIDS.
PMID- 29354291
TI - Unacylated ghrelin prevents mitochondrial dysfunction in a model of
ischemia/reperfusion liver injury.
AB - Ischemia/reperfusion (I/R) injury is a common cause of liver dysfunction during
hepatectomy, liver transplantation procedures and in generalized shock. Although
effort has been dedicated to rescuing tissue damage in these clinical settings,
there is still an urgent need for an effective treatment to protect the liver
from the burden of I/R injury. In this study, we have investigated the potential
clinical impact of unacylated-ghrelin (UnAG) in a liver I/R rat model. Particular
attention has been paid to mitochondria. We demonstrate that UnAG was able to
reduce the lag-phase time in response to ADP administration and increase oxygen
consumption in ex vivo experiments using liver mitochondria recovered from rats
subjected to I/R. Moreover, we found that UnAG rescued the expression of a key
regulator of mitochondrial morphology and electron transport chain function; the
optic atrophy 1 (Opa1) protein. Cytochrome c oxidase (COX), ATP synthase (complex
V) activity and mitochondrial permeability transition pore (mPTP) opening were
also affected by UnAG administration in vivo. An in vitro, hepatic I/R model was
used to validate these data. We demonstrate that UnAG upregulates the expression
of Cox subunit IV (CoxIV) and increases cellular ATP content. This results in Bcl
2 upregulation and protection against apoptosis. Opa1 silencing shows that Opa1
is crucial for a UnAG-induced increase in cellular ATP content, apoptosis
resistance, Bcl-2 and CoxIV expression. Finally, we show that UnAG improves
Opa1's interaction with MIC60 in the I/R setting, hinting at its role in cristae
shape regulation. Our results demonstrate that UnAG administration rescues the
intrinsic mitochondrial pathway triggered by I/R damage. Opa1's contribution in
mediating this effect is also reported. This suggests that UnAG can interfere
with mitochondrial dysfunction, via Opa1, in a preclinical liver I/R model. We
therefore provide the rationale for exploiting UnAG as an alternative means to
rescuing mitochondrial damage and organ dysfunction.
PMID- 29354292
TI - Parthenolide prevents resistance of MDA-MB231 cells to doxorubicin and
mitoxantrone: the role of Nrf2.
AB - Triple-negative breast cancer is a group of aggressive cancers with poor
prognosis owing to chemoresistance, recurrence and metastasis. New strategies are
required that could reduce chemoresistance and increases the effectiveness of
chemotherapy. The results presented in this paper, showing that parthenolide (PN)
prevents drug resistance in MDA-MB231 cells, represent a contribution to one of
these possible strategies. MDA-MB231 cells, the most studied line of TNBC cells,
were submitted to selection treatment with mitoxantrone (Mitox) and doxorubicin
(DOX). The presence of resistant cells was confirmed through the measurement of
the resistance index. Cells submitted to this treatment exhibited a remarkable
increment of NF-E2-related factor 2 (Nrf2) level, which was accompanied by
upregulation of catalase, MnSOD, HSP70, Bcl-2 and P-glycoprotein. Moreover, as a
consequence of overexpression of Nrf2 and correlated proteins, drug-treated cells
exhibited a much lower ability than parental cells to generate ROS in response to
a suitable stimulation. The addition of PN (2.0 MUM) to Mitox and DOX, over the
total selection time, prevented both the induction of resistance and the
overexpression of Nrf2 and correlated proteins, whereas the cells showed a good
ability to generate ROS in response to adequate stimulation. To demonstrate that
Nrf2 exerted a crucial role in the induction of resistance, the cells were
transiently transfected with a specific small interfering RNA for Nrf2. Similarly
to the effects induced by PN, downregulation of Nrf2 was accompanied by
reductions in the levels of catalase, MnSOD, HSP70 and Bcl-2, prevention of
chemoresistance and increased ability to generate ROS under stimulation. In
conclusion, our results show that PN inhibited the development of the resistance
toward Mitox and DOX, and suggest that these effects were correlated with the
prevention of the overexpression of Nrf2 and its target proteins, which occurred
in the cells submitted to drug treatment.
PMID- 29354294
TI - An Introduction to Principal Surrogate Evaluation with the pseval Package.
AB - We describe a new package called pseval that implements the core methods for the
evaluation of principal surrogates in a single clinical trial. It provides a
flexible interface for defining models for the risk given treatment and the
surrogate, the models for integration over the missing counterfactual surrogate
responses, and the estimation methods. Estimated maximum likelihood and pseudo
score can be used for estimation, and the bootstrap for inference. A variety of
post-estimation methods are provided, including print, summary, plot, and
testing. We summarize the main statistical methods that are implemented in the
package and illustrate its use from the perspective of a novice R user.
PMID- 29354293
TI - Bi-valent polysaccharides of Vi capsular and O9 O-antigen in attenuated
Salmonella Typhimurium induce strong immune responses against these two antigens.
AB - Salmonella Typhi is the causative agent of typhoid fever in humans, responsible
for approximately 21 million infections and 222,000 deaths globally each year.
The current licensed vaccines provide moderate protection to recipients aged >2
years. Prior work on typhoid vaccines has focused on injectable Vi capsular
polysaccharide or Vi-protein conjugates and live, oral attenuated S. Typhi
vaccines to induce humoral anti-Vi antibodies, while the value and importance of
anti-O9 antibodies is less well established. In this study, we constructed a S.
Typhimurium strain that synthesizes Vi capsular antigen in vivo and produces the
immunodominant O9-antigen polysaccharide instead of its native O4-antigen. The
live recombinant attenuated S. Typhimurium mutants were effective in stimulating
anti-Vi and anti-O9 antibodies in a mouse model, and the surface Vi capsular
expression did not affect the immune responses against the O9 O-antigen
polysaccharide. Moreover, the resulting anti-Vi and anti-O9 antibodies were
effective at killing S. Typhi and other Salmonella spp. expressing Vi or O9
antigen polysaccharides and provided efficient protection against lethal
challenge by S. Typhimurium and S. Enteritidis. Our work highlights the strategy
of developing live attenuated S. Typhimurium vaccines to prevent typhoid fever by
targeting the both Vi capsular and O9 O-polysaccharide antigens simultaneously.
PMID- 29354295
TI - Morphological classification and comparison of suboccipital muscle fiber
characteristics.
AB - In an attempt to clarify the function of the suboccipital muscles, we performed
morphological observation of the suboccipital muscles for variations in the
muscle belly and compared the morphology of their muscle fibers in terms of cross
sectional area by immunostaining with anti-myosin heavy chain antibodies. The
cadavers of 25 Japanese individuals were used: 22 for morphological examinations
and three for histological examinations. Among samples of the rectus capitis
posterior major muscle (RCPma) and rectus capitis posterior minor muscle (RCPmi),
86.4% had a typical muscle appearance with a single belly, and 13.6% had an
anomalous morphology. None of the samples of the obliquus capitis superior (OCS)
or obliquus capitis inferior (OCI) muscles had an anomalous appearance.
Measurement of cross-sectional area revealed that fast-twitch muscle fibers in
the RCPma and OCI had a significantly greater cross-sectional area than those of
the RCPmi and OCS. The cross-sectional area of intermediate muscle fibers was
also significantly greater in the OCS than in the RCPma, RCPmi, and OCI. The
cross-sectional area of slow-twitch muscle fibers was significantly greater in
the OCS than in the RCPma, RCPmi, and OCI, and the RCPmi showed a significantly
greater cross-sectional area for slow-twitch muscle fibers than did the RCPma,
and OCI. Our findings indicate that the RCPmi and OCS exert a greater force than
the RCPma and OCI, and act as anti-gravity agonist muscles of the head. Prolonged
head extension in individuals with anomalous suboccipital muscle groups could
result in dysfunction due to undue stress.
PMID- 29354297
TI - A new method for sex estimation from maxillary suture length in a Thai
population.
AB - Sex estimation is one of the crucial procedures in the biological profile
identification of human skeletal remains. Knowing sex of unknown case can lead to
accurate and appropriate methods for predicting age, stature, ancestry, or even
personal identification. Skull is one of the most reliable one among other
skeletons and it is usually retained for both archaeological and forensic
contexts. Although many morphological features and metric measurements of skull
have been studied for sexing, but to the best of our knowledge is no study on
maxillary suture length for sex estimation. Therefore, this study aims to develop
a new sex estimation method for a Thai population by determining three maxillary
suture lengths: anterior, transverse, and posterior maxillary suture, by
computerizing amount of pixel obtained from photographs of these sutures. The
present study was conducted on 190 Thai bone samples of which 96 were males and
94 were females. Independent t test revealed statistically significant difference
(P<0.01) between males and females in all maxillary suture measurements.
Equations derived from prediction model, which required three maxillary suture
lengths gave 76.8421% accuracy from the leave-one-out cross validation in
estimating sex percentage accuracies in predicting sex from these equations,
which were relatively moderate. This study provides a novel and objective sex
estimation method for Thais. It suggests that maxillary suture length can be
applied for sex estimation. The new computerized technique will contribute basis
knowledge and method for sex estimation, especially when only base of skull is
available in forensic circumstance.
PMID- 29354296
TI - Learning styles and strategies preferences of Iranian medical students in gross
anatomy courses and their correlations with gender.
AB - The learning approaches can help anatomy teachers design a suitable curriculum in
harmony with their students' learning styles. The research objective is to
evaluate gross anatomy learning styles and strategies preferences of Iranian
medical students at Kashan University of Medical Sciences (KAUMS). This cross
sectional questionnaire-based study was carried out on 237 Iranian medical
students. The students answered questions on approaches to learning anatomy and
expressed opinions about learning anatomy in medical curriculum. The data were
analyzed to disclose statistically significant differences between male and
female students. Iranian male and female students were interested in learning
anatomy using notes, plastic models, pictures and diagrams, clinical context,
dissection and prosection of cadavers; however, they rarely used cross-sectional
images and web-based resources. Both groups of medical students used region and
system in learning anatomy. However, there existed some striking differences,
particularly in having difficulty in studying anatomy using cadaveric specimens,
using books alone, and learning it in small groups. Male students were less
interested in learning with cadavers than female counterparts. However, female
students were more interested in learning anatomy in small groups. This study
suggests that instructors should design gross anatomy curriculum based on
limitations of using dissection of cadaver in Iranian universities, emphasis on
the applied anatomy, and learning of gross anatomy in small groups.
PMID- 29354298
TI - Age-related trends of lesser pelvic architecture in females and males: a computed
tomography pelvimetry study.
AB - The pelvis and the spine form a system balancing human skeleton. Within this
system, the pelvis adapts to age-related changes in the spine. Previous studies
were predominantly focused on changes of pelvic parameters in the sagittal plane.
The aim of this study was to reveal age-related changes of lesser pelvic
dimensions at different levels of the pelvic cavity in the sagittal and coronal
planes and to explore sexual dimorphism in age-related tendencies. The computed
tomography pelvimetry was performed on the three-dimensional workstation. The
research sample included 211 females aged 18 to 84 years and 181 males aged 18 to
82 years, who underwent an examination at the Riga East University Hospital,
Clinical Center "Gailezers," Latvia. Three pelvic angles and transverse and
sagittal diameters of the lesser pelvis were measured at four levels: the inlet,
two axial planes in the mid-cavity, and the outlet. The results demonstrated that
more pronounced age-related changes occurred in the inlet and the outlet of the
lesser pelvis. The mid-cavity was less changing. The transverse diameter between
acetabular centers and the sagittal diameter at the level of ischial spines were
independent of age. In general, the common age-related trends were observed for
pelvic parameters in females and males. A single exception was the proportion of
diameters at the level of ischial spines, which decreased in males only. For
parameters associated with pelvic floor diseases, age-related changes occurred in
the direction of pathology.
PMID- 29354299
TI - Ameliorative effects of type-A procyanidins polyphenols from cinnamon bark in
compound 48/80-induced mast cell degranulation.
AB - Allergic diseases are a significant health concern in developing countries. Type
A procyanidin polyphenols from cinnamon (Cinnamomum zeylanicum Blume) bark (TAPP
CZ) possesses antiasthmatic and antiallergic potential. The present study was
aimed at the possible anti-allergic mechanism of TAPP-CZ against the compound
48/80 (C48/80)-induced mast cell degranulation in isolated rat peritoneal mast
cells (RPMCs). TAPP-CZ (1, 3, 10, and 30 ug/ml) was incubated for 3 hours with
isolated, purified RPMCs. The C48/80 (1 ug/ml) was used to induce mast cell
degranulation. The mast cell viability was assessed using 3-(4,5-dimethylthiazol
2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay whereas histamine, beta
hexosaminidase (beta-HEX), and interleukin-4 (IL-4) levels were determined in
RPMCs. TAPP-CZ (3, 10, and 30 ug/ml) showed significant and dose-dependent
decrease in a number of degranulated cells and levels of markers (histamine, beta
HEX, and IL-4) as compared with C48/80 control. In conclusion, TAPP-CZ stabilizes
mast cell and cause inhibition of the allergic markers such as histamine, IL-4,
and beta-HEX in IgE-mediated manner. The present study supports mast cell
stabilization as a possible mechanism of action of TAPP-CZ against immune
respiratory disorders such as asthma and allergic rhinitis.
PMID- 29354300
TI - Pre-treated Populus tomentiglandulosa extract inhibits neuronal loss and
alleviates gliosis in the gerbil hippocampal CA1 area induced by transient global
cerebral ischemia.
AB - The genus Populus (poplar) belonging to the Salicaceae family has been used in
traditional medicine, and its several species show various pharmacological
properties including antioxidant and anti-inflammatory effects. No study
regarding protective effects of Populus species against cerebral ischemia has
been reported. Therefore, in the present study, we examined neuroprotective
effects of ethanol extract from Populus tomentiglandulosa (Korea poplar) in the
hippocampal cornu ammonis (CA1) area of gerbils subjected to 5 minutes of
transient global cerebral ischemia. Pretreatment with 200 mg/kg of P.
tomentiglandulosa extract effectively protected CA1 pyramidal neurons from
transient global cerebral ischemia. In addition, glial fibrillary acidic protein
immunoreactive astrocytes and ionized calcium binding adapter molecule 1
immunoreactive microglia were significantly diminished in the ischemic CA1 area
by pretreatment with 200 mg/kg of P. tomentiglandulosa extract. Briefly, our
results indicate that pretreatment with P. tomentiglandulosa extract protects
neurons from transient cerebral ischemic injury and diminish cerebral ischemia
induced reactive gliosis in ischemic CA1 area. Based on these results, we suggest
that P. tomentiglandulosa can be used as a potential candidate for prevention of
ischemic injury.
PMID- 29354302
TI - Application of stereological methods for unbiased estimation of sperm morphology
in the mice induced by busulfan.
AB - Busulfan is an anticancer drug, which causes the apoptosis germ cells and
azoospermia in humans and animals. Abnormal morphology of spermatozoa related to
the male infertility. The sperm morphology is evaluation of sperm size, shape and
appearance characteristics should be assessed by carefully observing a stained
sperm sample under the microscope. Evaluation of sperm morphology has been
considered as one of the most important factors for a successful fertilization
and determining sperm quality. The mice were assigned to tow experimental groups:
control and busulfan. Each group included six mice that were housed under
standard conditions. The volume was estimated using the nucleator method. The
sperm's flegellum and mid-piece length was estimated by counting the number of
intersections between the tails and Merz grid test line in an unbiased counting
frame, superimposed on live images of sperms. Our results demonstrated a
significant different in the volume and surface of the sperm's head and the
length of the sperm's flagellum in the control and busulfan groups. Busulfan can
effect on the volume of the sperm's head and the length of the sperm's flagellum
in rat.
PMID- 29354301
TI - Celecoxib induces cell death on non-small cell lung cancer cells through
endoplasmic reticulum stress.
AB - Cyclooxygenase-2 (COX-2) is an enzyme induced by various proinflammatory and
mitogenic stimuli. Celecoxib is a selective inhibitor of COX-2 that have been
shown to affect cell growth and apoptosis. Lung cancer cells expressing COX-2 is
able to be a target of celecoxib, this study focuses on investigating that
celecoxib induces apoptosis via endoplasmic reticulum (ER) stress on lung cancer
cells. We investigated whether celecoxib induced apoptosis on non-small cell lung
cancer cell line, A549 and H460. The 50 uM of celecoxib increased apoptotic cells
and 100 uM of celecoxib significantly induced apoptosis. To check involvement of
caspase cascade, pretreatment of z-VAD-fmk blocked celecoxib-induced apoptosis.
However, caspase-3, -8, and -9 were not activated, but cleavage of non-classical
caspase-4 was detected using western blot. As checking ER stress associated
molecules, celecoxib did not increase expressions of growth arrest and DNA damage
inducible protein 34, activating transcription factor 4, and spliced X-box
binding protiens-1, but increase of both glucose-regulated protein 78 (GRP78) and
C/EBP homologous transcription factor were detected. Salubrinal, inhibitor of
eIF2 and siRNA for IRE1 did not alter celecoxib-induced apoptosis. Instead,
celecoxib-induced apoptosis might be deeply associated with ER stress depending
on GRP78 because siRNA for GRP78 enhanced apoptosis. Taken together, celecoxib
triggered ER stress on lung cancer cells and celecoxib-induced apoptosis might be
involved in both non-classical caspase-4 and GRP78.
PMID- 29354303
TI - The presence of sharp-edged weapon related cut mark in Joseon skull discovered at
the 16th century market district of Old Seoul City ruins in South Korea.
AB - A human skull was discovered at the 16th-century drainage channel of market
district ruins, one of the busiest streets in the capital of Joseon kingdom. By
anthropological examination, we noticed the cut mark at the right occipital part
of the cranium. Judging from the wound property, it might have been caused by a
strong strike using a sharp-edged weapon. As no periosteal reaction or healing
signs were observed at the cut mark, he might have died shortly after the skull
wound was made. We speculated that this might have been of a civilian or soldier
victim who died in a battle or the decapitated head of prisoner. This is the
first report about the discovery of the skull damaged by sharp-edged weapon at
the archaeological sites in the capital city of Joseon Kingdom.
PMID- 29354305
TI - Some historical musings on tooth/root resorption.
AB - Contemporarily, the ravages of tooth resorption are seen daily around the world.
While some teeth can be retained many are lost to this process. Although many
types of resorptive processes have been identified, the etiological factors
involved in this malady are not often clear and both speculation as to it source
and clinical management have received a great deal of empirical explanations and
directives, respectively. However, this was not always the case, as the nature of
tooth resorption, as is known today, was not really addressed in a significant
manner until the mid-1970s. In fact, when first identified as a problem in the
early 1800s, the term resorption did not even exist and the process was poorly
understood. This historical review of tooth resorption will trace the evolution
of some of our ideas about this malady, identifying both the concepts and
personalities involved in this evolution.
PMID- 29354306
TI - Odontogenic tumors: where are we in 2017 ?
AB - Odontogenic tumors are a heterogeneous group of lesions of diverse clinical
behavior and histopathologic types, ranging from hamartomatous lesions to
malignancy. Because odontogenic tumors arise from the tissues which make our
teeth, they are unique to the jaws, and by extension almost unique to dentistry.
Odontogenic tumors, as in normal odontogenesis, are capable of inductive
interactions between odontogenic ectomesenchyme and epithelium, and the
classification of odontogenic tumors is essentially based on this interaction.
The last update of these tumors was published in early 2017. According to this
classification, benign odontogenic tumors are classified as follows: Epithelial,
mesenchymal (ectomesenchymal), or mixed depending on which component of the tooth
germ gives rise to the neoplasm. Malignant odontogenic tumors are quite rare and
named similarly according to whether the epithelial or mesenchymal or both
components is malignant. The goal of this review is to discuss the updated
changes to odontogenic tumors and to review the more common types with clinical
and radiological illustrations.
PMID- 29354304
TI - Calcium phosphate cements for bone engineering and their biological properties.
AB - Calcium phosphate cements (CPCs) are frequently used to repair bone defects.
Since their discovery in the 1980s, extensive research has been conducted to
improve their properties, and emerging evidence supports their increased
application in bone tissue engineering. Much effort has been made to enhance the
biological performance of CPCs, including their biocompatibility,
osteoconductivity, osteoinductivity, biodegradability, bioactivity, and
interactions with cells. This review article focuses on the major recent
developments in CPCs, including 3D printing, injectability, stem cell delivery,
growth factor and drug delivery, and pre-vascularization of CPC scaffolds via co
culture and tri-culture techniques to enhance angiogenesis and osteogenesis.
PMID- 29354307
TI - Alloplastic reconstruction of the temporomandibular joint.
AB - Temporomandibular joint reconstruction (TMJR) is often necessary for patients
with severe and/or refractory TMJ disease who have failed conservative treatment.
TMJR aids to improve masticatory function and is associated with improved quality
of life outcomes. Currently, alloplastic reconstruction is considered as the
treatment of choice in most severe TMJ disorders due to its many advantages
inclusive but not limited to early mobilization, stable longterm results, and
significant improvement in jaw function. Broadly speaking, two types of TMJR
prostheses are available for reconstruction: 1) stock, and, 2) custommade
prostheses. The purpose of this article is to provide the reader with a brief
overview of the basic principles and fundamentals of TMJR while referencing
pertinent existing literature.
PMID- 29354308
TI - A review of regenerative endodontics: current protocols and future directions.
AB - This review outlines the biological basis and clinical protocols currently used
in regenerative endodontic procedures (REPs) and discuss future directions in
pulp regeneration approaches. The treatment of immature teeth with REPs has been
described as a 'paradigm shift' as there is the potential for further root
maturation. Clinically, REPs involve disinfection of the root canal system
without damaging the endogenous stem cell potential present in the apical papilla
and other tissues. These stems cells are introduced into the root canal space by
inducing a blood clot followed by placement of an intracanal barrier to prevent
microleakage. The biological concept of REPs involves the triad of stem cells,
scaffold and signalling molecules. Currently, repair rather than true
regeneration of the 'pulp-dentine complex' is achieved and further root
maturation is variable. However, may clinicians consider the treatment of teeth
with REPs as the optimal treatment approach for immature teeth with pulp
necrosis.
PMID- 29354309
TI - Review of nasal changes with maxillary orthognathic surgery.
AB - This article reviews the literature on nasal changes with maxillary orthognathic
surgery. Understanding such changes is vital for surgical planning and for
obtaining appropriate informed consent, and there are medico-legal implications.
During orthognathic surgical planning a prediction of the effects of the
different surgical movements is possible and this forms part of the basis of the
planning stage. The predicted changes need to be identified and their
desirability or not for each individual patient determined. Some techniques for
managing undesirable nasal changes are discussed, including adjunct measures to
minimize these potential effects (e.g. cinch sutures), and additional surgical
procedures to manage the undesired nasal changes once they are produced.
PMID- 29354310
TI - Imaging evaluation of trigeminal neuralgia.
AB - Trigeminal neuralgia is a debilitating pain syndrome in the sensory distribution
of the trigeminal nerve. Compression of the cisternal segment of the trigeminal
nerve by a vessel, usually an artery, is considered the most common cause of
trigeminal neuralgia. A number of additional lesions may affect the trigeminal
nerve anywhere along its course from the trigeminal nuclei to the most peripheral
branches to cause facial pain. Relevant differential considerations are reviewed
starting proximally at the level of the brainstem.
PMID- 29354311
TI - Dental splints: types and time of immobilization post tooth avulsion.
AB - Avulsion is defined as the complete displacement of the tooth out of its socket
with disruption of the fibers of periodontal ligament, remaining some of them
adhered to the cementum and the rest to the alveolar bone. This condition is more
frequent in young permanent teeth, because the root development is still
incomplete. Splints are used to immobilize traumatized teeth that suffered damage
in their structures of support, preventing their constant movement. The
literature has shown that after replantation, it is necessary to use splints in
order to immobilize the teeth during the initial period, which is essential for
the repair of periodontal ligament; the use of semi-rigid splint is more
indicated than the rigid one, and long periods of splinting showed that
substitutive resorption or ankylosis is an expected complication. Thus, the aim
of this review is to describe the different types of splints; their time of
permanency, and its influence on the process of healing and reparation on the
occurrence of substitutive resorption or ankylosis. It is very important to keep
gathering knowledge about this content, since it has been proved that the
approaches and the protocols keep changing over time.
PMID- 29354312
TI - Advanced dental implant placement techniques.
AB - The availability of in office Cone Beam CT (CBCT) scanners, dental implant
planning software, CAD CAM milling, and rapid printing technologies allow for the
precise placement of dental implants and immediate prosthetic temporization.
These technologies allow for flapless implant placement, or open flap bone
reduction for "All on 4" techniques with improved preoperative planning and
intraoperative performance. CBCT permits practitioners in an office setting with
powerful diagnostic capabilities for the evaluation of bone quality and quantity,
as well as dental and osseous pathology essential for better informed dental
implant treatment. CBCT provides the convenience of in office imaging and
decreased radiation exposure. Rapid printing technologies provide decreased time
and high accuracy for bone model and surgical guide fabrication.
PMID- 29354313
TI - Maxillary incisors changes during space closure with conventional and skeletal
anchorage methods: a systematic review.
AB - Purpose: The objective of this systematic review was to compare the antero
posterior, vertical and angular changes of maxillary incisors with conventional
anchorage control techniques and mini-implant based space closure methods.
Materials and Methods: The electronic databases Pubmed, Scopus, ISI Web of
knowledge, Cochrane Library and Open Grey were searched for potentially eligible
studies using a set of predetermined keywords. Full texts meeting the inclusion
criteria as well as their references were manually searched. The primary outcome
data (linear, angular, and vertical maxillary incisor changes) and secondary
outcome data (overbite changes, soft tissue changes, biomechanical factors, root
resorption and treatment duration) were extracted from the selected articles and
entered into spreadsheets based on the type of anchorage used. The methodological
quality of each study was assessed. Results: Six studies met the inclusion
criteria. The amount of incisor retraction was greater with buccally placed mini
implants than conventional anchorage techniques. The incisor retraction with
indirect anchorage from palatal mini-implants was less when compared with
buccally placed mini-implants. Incisor intrusion occurred with buccal mini
implants, whereas extrusion was seen with conventional anchorage. Limited data on
the biomechanical variables or adverse effects such as root resorption were
reported in these studies. Conclusion: More RCT's that take in to account
relevant biomechanical variables and employ three-dimensional quantification of
tooth movements are required to provide information on incisor changes during
space closure.
PMID- 29354314
TI - Cone beam computed tomography: basics and applications in dentistry.
AB - The introduction of cone beam computed tomography (CBCT) devices, changed the way
oral and maxillofacial radiology is practiced. CBCT was embraced into the dental
settings very rapidly due to its compact size, low cost, low ionizing radiation
exposure when compared to medical computed tomography. Alike medical CT, 3
dimensional evaluation of the maxillofacial region with minimal distortion is
offered by the CBCT. This article provides an overview of basics of CBCT
technology and reviews the specific application of CBCT technology to oral and
maxillofacial region with few illustrations.
PMID- 29354315
TI - Thermo-cured glass ionomer cements in restorative dentistry.
AB - Numerous positive properties of glass ionomer cements including biocompatibility,
bioactivity, releasing of fluoride and good adhesion to hard dental tissue even
under wet conditions and easy of handling are reasons for their wide use in
paediatric and restorative dentistry. Their biggest drawbacks are the weaker
mechanical properties. An important step forward in improving GIC's features is
thermo-curing with the dental polymerization unit during setting of the material.
Due to their slow setting characteristics the GIC is vulnerable to early exposure
to moisture. After thermo curing, cements retain all the benefits of GIC with
developed better mechanical properties, improved marginal adaptation, increased
microhardness and shear bond strength. Adding external energy through
thermocuring or ultrasound during the setting of conventional GIC is crucial to
achieve faster and better initial mechanical properties. Further clinical studies
are needed to confirm these findings.
PMID- 29354316
TI - Bioceramics in endodontics - a review.
AB - Bioceramics are materials which include Alumina, Zirconia, Bioactive glass, Glass
ceramics, Hydroxyapatite, resorbable Calcium phosphates, among others. They have
been used in dentistry for filling up bony defects, root repair materials, apical
fill materials, perforation sealing, as endodontic sealers and as aids in
regeneration. They have certain advantages like biocompatibility, non toxicity,
dimensional stability and most importantly in endodontic applications, being bio
inert. They have a similarity to Hydroxyapatite, an intrinsic osteo conductive
activity and have an ability to induce regenerative responses in the human body.
In Endodontics, they can be broadly classified into Calcium Phosphate/
Tricalcium/ Hydroxyapatite based, Calcium Silicate based or mixtures of Calcium
Silicate and Phosphates. This review focuses on an overview of Bioceramics,
classification and their advantages. It also gives a detailed insight into
individual bioceramic materials currently used in the fields of Endodontics along
with their properties and applications.
PMID- 29354317
TI - Aminomethylation of Aryl Halides using alpha-Silylamines Enabled by Ni/Photoredox
Dual Catalysis.
AB - A protocol for the aminomethylation of aryl halides using alpha-silylamines via
Ni/photoredox dual catalysis is described. The low oxidation potential of these
silylated species enables facile single electron transfer (SET) oxidation of the
amine followed by rapid desilylation. The resulting alpha-amino radicals can be
directly funneled into a nickel-mediated cross-coupling cycle with aryl halides.
The process accomplishes aminomethylation under remarkably mild conditions and
tolerates numerous aryl- and heteroaryl halides with an array of functional
groups.
PMID- 29354318
TI - Promoting Regulatory Reform: The African Health Profession Regulatory
Collaborative (ARC) for Nursing and Midwifery Year 4 Evaluation.
AB - As countries across sub-Saharan Africa work towards universal health coverage and
HIV epidemic control, investments seek to bolster the quality and relevance of
the health workforce. The African Health Profession Regulatory Collaborative
(ARC) partnered with 17 countries across East, Central, and Southern Africa to
ensure nurses and midwives were authorized and equipped to provide essential HIV
services to pregnant women and children with HIV. Through ARC, nursing leadership
teams representing each country identify a priority regulatory function and
develop a proposal to strengthen that regulation over a 1-year period. Each year
culminates with a summative congress meeting, involving all ARC countries, where
teams present their projects and share lessons learned with their colleagues.
During a recent ARC Summative Congress, a group survey was administered to 11
country teams that received ARC Year 4 grants to measure advancements in
regulatory function using the five-stage Regulatory Function Framework, and a
group questionnaire was administered to 16 country teams to measure improvements
in national nursing capacity (February 2011-2016). In ARC Year 4, eight countries
implemented continuing professional development projects, Botswana revised their
scope of practice, Mozambique piloted a licensing examination to assess HIV
related competencies, and South Africa developed accreditation standards for
HIV/tuberculosis specialty nurses. Countries reported improvements in national
nursing leaders' teamwork, collaborations with national organizations, regional
networking with nursing leaders, and the ability to garner additional resources.
ARC provides an effective, collaborative model to rapidly strengthen national
regulatory frameworks, which other health professional cadres or regions may
consider using to ensure a relevant health workforce, authorized and equipped to
meet the emerging demand for health services.
PMID- 29354319
TI - Dopaminergic enhancement of cellular adhesion in bone marrow derived mesenchymal
stem cells (MSCs).
AB - Dopamine (DA) is a well-known neurotransmitter and critical element in the mussel
adhesive protein that has gained increasing attention for its role in cellular
growth enhancement in biomaterials, including cellular adhesion improvement. As
the mechanism underlying this remains unclear, the objective of this study was to
explore the effects of DA on the adhesion properties of bone marrow derived rat
mesenchymal stem cells (rMSCs) using an hydroxyapatite gelatin nanocomposite
biomaterial and to test whether the effects are mediated through various
endogenously expressed DA receptors. Primary rMSCs were pretreated with D1-like
antagonist, D2-like antagonist, or a combination of these antagonists followed by
treatment with 50 MUM DA and cellular adhesion quantification at 0.5, 1, 2 and 4
hours post DA addition. DA was found to increase rMSC adhesion and spreading at
the 0.5 hour time-point and the dopaminergic effect on cell adhesion was
partially blocked by DA antagonists. In addition, the D1-like and D2-like
antagonists appeared to have a similar effect on rMSCs. Immunofluorescent
staining indicated that the rMSC spreading area was significantly increased in
the DA treated group versus the control group. Treatment of the D1-like DA
antagonists with DA revealed that the actin filaments of rMSCs could not connect
the membrane with the nucleus. In summary, DA was found to enhance early rMSC
adhesion partially via DA receptor activation.
PMID- 29354320
TI - Simply derived epicardial cells.
AB - A chemically defined protocol requiring no animal-derived components allows for
the easier derivation and enduring expansion of epicardial cells from human
pluripotent stem cells.
PMID- 29354321
TI - A Fully Functional Drug-Eluting Joint Implant.
AB - Despite advances in orthopedic materials, the development of drug-eluting bone
and joint implants that can sustain the delivery of the drug and maintain the
necessary mechanical strength in order to withstand loading has remained elusive.
Here, we demonstrate that modifying the eccentricity of drug clusters and the
percolation threshold in ultrahigh molecular weight polyethylene (UHMWPE) results
in maximized drug elution and in the retention of mechanical strength. The
optimized UHMWPE eluted antibiotic at a higher concentration for longer than the
clinical gold standard antibiotic-eluting bone cement while retaining the
mechanical and wear properties of clinically used UHMWPE joint prostheses.
Treatment of lapine knees infected with Staphylococcus aureus with the antibiotic
eluting UHMWPE led to complete bacterial eradication and to the absence of
detectable systemic effects. We argue that the antibiotic-eluting UHMWPE joint
implant is a promising candidate for clinical trials.
PMID- 29354323
TI - Systemic Inflammation in C57BL/6J Mice Receiving Dietary Aluminum Sulfate; Up
Regulation of the Pro-Inflammatory Cytokines IL-6 and TNFalpha, C-Reactive
Protein (CRP) and miRNA-146a in Blood Serum.
AB - A number of experimental investigations utilizing different murine species have
previously reported: (i) that standard mouse-diets supplemented with
physiologically realistic amounts of neurotoxic metal salts substantially induce
pro-inflammatory signaling in a number of murine tissues; (ii) that these diet
stimulated changes may contribute to a systemic inflammation (SI), a potential
precursor to neurodegenerative events in both the central and the peripheral
nervous system (CNS, PNS); and (iii) that these events may ultimately contribute
to a chronic and progressive inflammatory neurodegeneration, such as that which
is observed in Alzheimer's disease (AD) brain. In these experiments we assayed
for markers of SI in the blood serum of C57BL/6J mice after 0, 1, 3 and 5 months
of exposure to a standard mouse diet that included aluminum-sulfate in the food
and drinking water, compared to age-matched controls receiving magnesium-sulfate
or no additions. The data indicate that the SI markers that include the pro
inflammatory cytokines interleukin-6 (IL-6) and tumor necrosis factor alpha
(TNFalpha), the acute phase reactive protein C-reactive protein (CRP) production
and a triad of pro-inflammatory microRNAs (miRNA-9, miRNA-125b and miRNA-146a)
all increase in the serum after aluminum-sulfate exposure. For the first time
these results suggest that ad libitum exposure to aluminum-sulfate at
physiologically realistic concentrations, as would be found in the human diet
over the long term, may predispose to SI and the potential development of
chronic, progressive, inflammatory neurodegeneration with downstream pathogenic
consequences.
PMID- 29354322
TI - Local and sustained miRNA delivery from an injectable hydrogel promotes
cardiomyocyte proliferation and functional regeneration after ischemic injury.
AB - MicroRNA-based therapies that target cardiomyocyte proliferation have great
potential for the treatment of myocardial infarction (MI). In previous work, we
showed that the miR-302/367 cluster regulates cardiomyocyte proliferation in the
prenatal and postnatal heart. Here, we describe the development and application
of an injectable hyaluronic acid (HA) hydrogel for the local and sustained
delivery of miR-302 mimics to the heart. We show that the miR-302 mimics released
in vitro promoted cardiomyocyte proliferation over one week, and that a single
injection of the hydrogel in the mouse heart led to local and sustained
cardiomyocyte proliferation for two weeks. After MI, gel/miR-302 injection caused
local clonal proliferation and increased cardiomyocyte numbers in the border zone
of a Confetti mouse model. Gel/miR-302 further decreased cardiac end-diastolic
(39%) and end-systolic (50%) volumes, and improved ejection fraction (32%) and
fractional shortening (64%) four weeks after MI and injection, compared to
controls. Our findings suggest that biomaterial-based miRNA delivery systems can
lead to improved outcomes in cardiac regeneration.
PMID- 29354324
TI - Comparison of Patient Outcome Measures between a Traditional Teaching Hospitalist
Service and a Non-Teaching Hospitalist Service at an Academic Children's
Hospital.
AB - Background and objectives: Inpatient pediatric care is increasingly provided by
pediatric hospitalists. This, in addition to changes in resident duty hour
restrictions, has led to the creation of new models of care for inpatient
pediatric patients. The objective of this study was to compare traditional
outcome measures between a pediatric hospitalist-only service and a more
traditional academic service in which care was provided by pediatric
hospitalists, residents, and medical students. Attending physicians on the
hospitalist-only service had an average of 1.7 years of post-residency experience
compared to an average 16 years of experience for those working on the
traditional academic service. Methods: This retrospective cohort study
(hospitalist-only v. teaching service) used electronic medical records data of
patients (n=1,059) admitted to a quaternary care, academic, children's hospital
in Pittsburgh Pennsylvania with diagnoses of bronchiolitis, viral syndrome, and
gastroenteritis from July 2011 to June 2014. Primary outcome measures included
length of stay, hospital costs, and readmission rates. Results: Patients with a
diagnosis of bronchiolitis admitted to the hospitalist-only service had a
significantly higher severity-of-illness-score than those admitted to the
teaching service. A decreased length of stay and lower hospital costs were seen
for patients admitted to the hospitalist-only service; however, these differences
did not reach a level of statistical significance. Conclusion: There were no
statistically significant differences in the outcome measures of patients with
common pediatric illnesses admitted to a hospitalist-only versus a teaching
hospitalist service. The model of a hospitalist-only service staffed by recent
residency graduates may provide an efficient and effective model of care as
patients admitted to this service had similar outcome measures to those patients
cared for by more-experienced attending physicians.
PMID- 29354325
TI - Community Identity and User Engagement in a Multi-Community Landscape.
AB - A community's identity defines and shapes its internal dynamics. Our current
understanding of this interplay is mostly limited to glimpses gathered from
isolated studies of individual communities. In this work we provide a systematic
exploration of the nature of this relation across a wide variety of online
communities. To this end we introduce a quantitative, language-based typology
reflecting two key aspects of a community's identity: how distinctive, and how
temporally dynamic it is. By mapping almost 300 Reddit communities into the
landscape induced by this typology, we reveal regularities in how patterns of
user engagement vary with the characteristics of a community. Our results suggest
that the way new and existing users engage with a community depends strongly and
systematically on the nature of the collective identity it fosters, in ways that
are highly consequential to community maintainers. For example, communities with
distinctive and highly dynamic identities are more likely to retain their users.
However, such niche communities also exhibit much larger acculturation gaps
between existing users and newcomers, which potentially hinder the integration of
the latter. More generally, our methodology reveals differences in how various
social phenomena manifest across communities, and shows that structuring the
multi-community landscape can lead to a better understanding of the systematic
nature of this diversity.
PMID- 29354326
TI - Loyalty in Online Communities.
AB - Loyalty is an essential component of multi-community engagement. When users have
the choice to engage with a variety of different communities, they often become
loyal to just one, focusing on that community at the expense of others. However,
it is unclear how loyalty is manifested in user behavior, or whether certain
community characteristics encourage loyalty. In this paper we operationalize
loyalty as a user-community relation: users loyal to a community consistently
prefer it over all others; loyal communities retain their loyal users over time.
By exploring a large set of Reddit communities, we reveal that loyalty is
manifested in remarkably consistent behaviors. Loyal users employ language that
signals collective identity and engage with more esoteric, less popular content,
indicating that they may play a curational role in surfacing new material. Loyal
communities have denser user-user interaction networks and lower rates of triadic
closure, suggesting that community-level loyalty is associated with more cohesive
interactions and less fragmentation into subgroups. We exploit these general
patterns to predict future rates of loyalty. Our results show that a user's
propensity to become loyal is apparent from their initial interactions with a
community, suggesting that some users are intrinsically loyal from the very
beginning.
PMID- 29354327
TI - Understanding cancer-related fatigue: advancing the science.
PMID- 29354328
TI - The Regulation of Notch Signaling in Retinal Development and Regeneration.
AB - Purpose of review: Notch signaling is an important component of retinal
progenitor cell maintenance and MG specification during development, and its
manipulation may be critical for allowing MG to re-enter the cell cycle and
regenerate neurons in adults. In mammals, MG respond to retinal injury by
undergoing a gliotic response rather than a regenerative one. Understanding the
complexities of Notch signaling may allow for strategies that enhance
regeneration over gliosis. Recent findings: Notch signaling is regulated at
multiple levels, and is interdependent with various other signaling pathways in
both the receptor and ligand expressing cells. The precise spatial and temporal
patterning of Notch components is necessary for proper retinal development.
Regenerative species undergo a dynamic regulation of Notch signaling in MG upon
injury, whereas non-regenerative species fail to productively regulate Notch.
Summary: Notch signaling is malleable, such that the altered composition of
growth and transcription factors in the developing and mature retinas result in
different Notch mediated responses. Successful regeneration will require the
manipulation of the retinal environment to foster a dynamic rather than static
regulation of Notch signaling in concert with other reprogramming and
differentiation factors.
PMID- 29354329
TI - Negative Urgency Mediates the Relation between Genetically-Influenced Serotonin
Functioning and Alcohol Problems.
AB - Serotonin (5-HT) functioning is associated with alcohol problems. However, the
mechanisms underlying this association remain unclear. The current study tested
whether five separate dimensions of impulsivity (UPPS-P) mediated the relation
between a polygenic score indexing 5-HT functioning and alcohol problems and
whether any of these paths were moderated by age. Results showed that a 5-HT
polygenic score predicted alcohol problems indirectly through negative urgency,
but not any other facet of impulsivity. The 5-HT polygenic score also directly
predicted alcohol problems. No age moderation was found. Findings suggest that
negative urgency might be one important mechanism underlying the relation between
genetically-influenced 5-HT functioning and alcohol problems. However,
genetically-influenced 5-HT functioning likely influences alcohol problems
through additional mechanisms. More broadly, results suggest that the previously
observed transdiagnostic nature of 5-HT functioning on diverse types of
psychopathology might be, in part, explained by its effect on negative urgency.
PMID- 29354330
TI - Synthesis, Biological Evaluation and Modeling Studies of New Pyrido[3,4-b]indole
Derivatives as Broad-Spectrum Potent Anticancer Agents.
AB - Objective: There is an urgent need drugs against particularly difficult to treat
solid tumors such as pancreatic, triple negative breast, lung, colon, metastatic
prostate cancers and melanoma. Thus, the objective of this study was to
synthesize compounds based computational modeling that indicated the pyrido[3,4
b]indole class bind to MDM2, a new cancer target for which there are still no
drug on the market. Methods: Compounds were synthesized by established methods
and tested for antiproliferative activity against a broad range of human cancer
cell lines, comprising HCT116 colon, HPAC, MIA PaCa-2 and Panc-1 pancreatic, MCF
7 and MDA-MB-468 breast, A375 and WM164 melanoma, A549 lung, and LNCaP, DU145 and
PC3 prostate cancer lines. Computational docking was also undertaken. Results:
The novel pyrido[3,4-b]indoles synthesized exhibited a clear SAR with regards to
antiproliferative activity, with potent broad-spectrum anticancer activity with
IC50s down to 80, 130, 130 and 200 nM for breast, colon, melanoma and pancreatic
cancer cells, respectively. 1-Naphthyl at C1 combined with methoxy at C6 provided
the best antiproliferative activity. Thus, compound 11 (1-naphthyl-6-methoxy-9H
pyrido[3,4-b]indole) showed the highest potency. A mechanistic feature of the
compounds as a group is a strongly selective G2/M cell cycle phase arrest.
Docking at on MDM2 suggested a hydrogen bond interaction between the 6-methoxy
Tyr106, hydrophobic interaction with Val93, pi-pi stacking interactions with
Tyr100 and His96 and hydrophobic interactions with Leu54 and Ile99. An N9-methyl
group disrupted binding interactions, such as H-bond interactions involving the
N9 hydrogen. Conclusion: We have identified a novel series of pyrido[3,4
b]indoles with potent broad spectrum anticancer activity towards the most
aggressive and difficult to treat cancers including metastatic pancreatic cancer,
non-small cell lung cancer, triple negative breast cancers, and BRAFV600E mutant
melanoma, as well as metastatic colon and prostate cancers. There was also
evidence of selectivity towards cancer cells relative to normal cells. These
compounds will serve as new leads from which novel therapeutics and molecular
tools can be developed for a wide variety of cancers.
PMID- 29354331
TI - Pazopanib-mediated Long-term Disease Stabilization after Local Recurrence and
Distant Metastasis of Primary Intracranial Leiomyosarcoma: A Case Report on the
Efficacy of Pazopanib as a Salvage Therapy.
AB - Primary intracranial leiomyosarcoma (LMS) is an extremely rare tumor of the
central nervous system. Only sporadic case reports have been published, and
therefore data regarding long-term prognosis remain scarce. A 76-year-old woman
presented with a right parietal mass, which had grown rapidly in the month prior
to admission. Neuroimaging showed a resemblance to intraosseous meningioma. Gross
total resection of the tumor was achieved, and histological diagnosis confirmed
LMS. Because positron emission tomography (PET) with fluorodeoxyglucose (FDG)
just after the resection showed no abnormal uptake, we diagnosed the tumor as
primary intracranial LMS. Follow-up PET at 16 months after treatment showed two
foci of FDG uptake in the bilateral lungs. Histological diagnosis by surgical
resection identified the lesions as lung metastases of LMS. In addition, follow
up head magnetic resonance imaging (MRI) at 31 months showed local recurrence,
and we conducted salvage therapy using CyberKnife system (Accuray incorporated)
and pazopanib. To date, for 15 months after local recurrence, she is alive with
intracranial recurrent disease remained inactive.
PMID- 29354332
TI - Tumor Volume Decrease via Feeder Occlusion for Treating a Large, Firm Trigone
Meningioma.
AB - Trigone meningiomas are considered a surgical challenge, as they tend to be
considerably large and hypervascularized at the time of presentation. We
experienced a case of a large and very hard trigone meningioma that was
effectively treated using initial microsurgical feeder occlusion followed by
surgery in stages. A 19-year-old woman who presented with loss of consciousness
was referred to our hospital for surgical treatment of a brain tumor.
Radiological findings were compatible with a left ventricular trigone meningioma
extending laterally in proximity to the Sylvian fissure. At initial surgery using
the transsylvian approach, main feeders originating from the anterior and lateral
posterior choroidal arteries were occluded at the inferior horn; however, only a
small section of the tumor could initially be removed because of its firmness.
Over time, feeder occlusion resulted in tumor necrosis and a 20% decrease in its
diameter; the mass effect was alleviated within 1 year. The residual meningioma
was then totally excised in staged surgical procedures after resection became
more feasible owing to ischemia-induced partial softening of the tumor. When a
trigone meningioma is large and very hard, initial microsurgical feeder occlusion
in the inferior horn can be a safe and effective option, and can lead to
necrosis, volume decrease, and partial softening of the residual tumor to allow
for its staged surgical excision.
PMID- 29354333
TI - Transvenous Aneurysm Sac and Rupture Point Coil Embolization of Direct Carotid
Cavernous Fistula after Pipeline Embolization.
AB - A delayed aneurysm rupture after flow diverter therapy is a rare but serious
complication. Due to the anatomical specificity, a delayed rupture of a carotid
cavernous aneurysm may cause a direct carotid cavernous fistula (dCCF). We
present a novel therapeutic approach for treatment of dCCF after flow diverter
therapy using the Pipeline embolization device (PED). An 86-year-old woman
suffered from dCCF after PED embolization. A microcatheter was advanced through
the transvenous approach into the cavernous sinus (CS) and further inserted into
the aneurysm sac via the rupture point. Coil embolization of both the aneurysm
sac and a small part of the CS adjacent to the fistulous site could achieve not
only the immediate aneurysm occlusion but also the rupture point obliteration
with a small amount of coil mass in the CS.
PMID- 29354335
TI - Adult Chiari Type 1 Malformation with Holocord Syringomyelia Associated with
Sagittal Synostosis.
AB - Craniosynostosis associated with Chiari malformation (CM) is usually found in
infants with an underdeveloped posterior fossa. We here present a case of adult
craniosynostosis, CM, and symptomatic syringomyelia caused by the protrusion of
the posterior rim of the foramen magnum without a tight posterior fossa. A 22
year-old woman with an abnormal head shape and forearm hypesthesia was given a
diagnosis of sagittal suture synostosis with CM and syringomyelia caused by
foramen magnum stenosis. She underwent foramen magnum decompression with a C1
laminectomy without cranial vault expansion or duraplasty. Her symptoms and
radiographical findings improved after surgery. In cases of non-operative
craniosynostosis with CM, clinicians should be alert to late-onset syringomyelia
and choose surgical strategies according to the pathophysiology.
PMID- 29354334
TI - Traumatic Pseudoaneurysm of the Distal Anterior Cerebral Artery Following
Penetrating Brain Injury Caused by a Crossbow Bolt: A Case Report.
AB - Traumatic intracranial aneurysms are one possible complication after penetrating
brain injury. A 25-year-old man with a history of major depression presented with
a crossbow bolt penetrating the head. On arrival, Glasgow Coma Scale score was
E4V5M6, with no apparent neurological deficit. Computed tomography (CT) of the
head showed the crossbow bolt passing near the corpus callosum, with surrounding
contusion. Three-dimensional rotational angiography showed no anterior cerebral
artery injuries. The crossbow bolt was removed after bifrontal craniotomy, with
no postoperative infection. Postoperative CT angiography (CTA) was repeatedly
performed, and a 4 mm aneurysm was observed at the pericallosal artery-right
posterior internal frontal artery (PIFA) bifurcation on postoperative day (POD)
35. Trapping and the right PIFA-left cortical branch side-to-side bypass were
performed on POD38. A resected specimen confirmed a pathological diagnosis of
pseudoaneurysm. The patient did not show any neurological deficit or cognitive
dysfunction as of 8 months after admission. Traumatic anterior cerebral artery
aneurysm might have formed due to proximity to the falx cerebri. As
pseudoaneurysm was detected 4 weeks after trauma in our patient, follow-up CTA or
digital subtraction angiography should be performed until at least 4 weeks after
injury. In addition, neck clipping is occasionally unfeasible to treat traumatic
pseudoaneurysm surgically, and a surgical strategy including bypass
revascularization must be planned.
PMID- 29354336
TI - Severe Hypoglycemia-induced Right Hemiparesis with Reversible Diffusion
Restriction in the Left Internal Capsule Due to Combination Therapy Using
Disopyramide and Clarithromycin.
AB - Severe hypoglycemia is known to cause acute focal neurological symptoms. In cases
with a medical history of diabetes mellitus (DM), the diagnosis and treatment of
hypoglycemia-induced neurological symptoms are simple. However, severe
hypoglycemia can occur in patients who are not taking hypoglycemic agents such as
insulin or long-acting sulfonylurea drugs. We describe a 95-year-old man with
sudden onset of right hemiparesis who showed high signal intensity on diffusion
weighted imaging involving the left internal capsule with corresponding reduced
apparent diffusion coefficient hypointensity. Laboratory findings revealed severe
hypoglycemia (27 mg/dl). However, he was not taking insulin or long-acting
sulfonylurea drugs but disopyramide and clarithromycin had been administered. In
addition, he had kidney dysfunction with an estimated glomerular filtration rate
(GFR) of 42.9 ml/min/1.73 m2. After the blood glucose level was normalized, the
left hemiparesis completely recovered and abnormal findings of magnetic resonance
imaging (MRI) study also became normal. A combination of disopyramide and
clarithromycin may cause severe hypoglycemia-induced neurological symptoms
particularly in patients with kidney dysfunction. Even in a patient with sudden
onset hemiparesis and no history of DM, the possibility of hypoglycemia-induced
neurological deficit should be considered.
PMID- 29354337
TI - Delayed Postoperative Spinal Epidural Hematoma after Cervical Laminoplasty.
AB - A 56-year-old man underwent cervical laminoplasty for cervical spondylosis. On
the 7th postoperative day, he suddenly felt severe neck pain, and tetraplegia
developed rapidly over 1.5 hrs. Computed tomography demonstrated a huge hematoma
compressing the cervical spinal cord. Clot was evacuated 3 hrs after the onset of
symptoms. The patient's postoperative course was uneventful. His blood pressure
could not be properly controlled in the perioperative period. Surgeons should
keep in mind that delayed postoperative spinal epidural hematoma (DPSEH) can
occur more than a week after surgery, and meticulous blood pressure control is
important for more than a week after a spinal operation.
PMID- 29354338
TI - Exploring wear at the nanoscale with circular mode atomic force microscopy.
AB - The development of atomic force microscopy (AFM) has allowed wear mechanisms to
be investigated at the nanometer scale by means of a single asperity contact
generated by an AFM tip and an interacting surface. However, the low wear rate at
the nanoscale and the thermal drift require fastidious quantitative measurements
of the wear volume for determining wear laws. In this paper, we describe a new,
effective, experimental methodology based on circular mode AFM, which generates
high frequency, circular displacements of the contact. Under such conditions, the
wear rate is significant and the drift of the piezoelectric actuator is limited.
As a result, well-defined wear tracks are generated and an accurate computation
of the wear volume is possible. Finally, we describe the advantages of this
method and we report a relevant application example addressing a Cu/Al2O3
nanocomposite material used in industrial applications.
PMID- 29354339
TI - One-step chemical vapor deposition synthesis and supercapacitor performance of
nitrogen-doped porous carbon-carbon nanotube hybrids.
AB - Novel nitrogen-doped carbon hybrid materials consisting of multiwalled nanotubes
and porous graphitic layers have been produced by chemical vapor deposition over
magnesium-oxide-supported metal catalysts. CN x nanotubes were grown on Co/Mo,
Ni/Mo, or Fe/Mo alloy nanoparticles, and MgO grains served as a template for the
porous carbon. The simultaneous formation of morphologically different carbon
structures was due to the slow activation of catalysts for the nanotube growth in
a carbon-containing gas environment. An analysis of the obtained products by
means of transmission electron microscopy, thermogravimetry and X-ray
photoelectron spectroscopy methods revealed that the catalyst's composition
influences the nanotube/porous carbon ratio and concentration of incorporated
nitrogen. The hybrid materials were tested as electrodes in a 1M H2SO4
electrolyte and the best performance was found for a nitrogen-enriched material
produced using the Fe/Mo catalyst. From the electrochemical impedance
spectroscopy data, it was concluded that the nitrogen doping reduces the
resistance at the carbon surface/electrolyte interface and the nanotubes
permeating the porous carbon provide fast charge transport in the cell.
PMID- 29354340
TI - L-Lysine-grafted graphene oxide as an effective adsorbent for the removal of
methylene blue and metal ions.
AB - In this paper, novel L-lysine-modified graphene oxide (Lys-GO) was synthesized
through amidation. The morphological and structural properties of Lys-GO were
characterized using infrared spectrometry, scanning electronic microscopy and X
ray photoelectron spectroscopy. The as-prepared Lys-GO material was
systematically investigated in a series of batch adsorption experiments for the
removal of methylene blue (MB) and copper ions (Cu2+) from wastewater. These
results showed that Lys-GO is a bifunctional adsorbent for the removal of dyes
and metal ions, and excellent adsorption efficiency was obtained. The maximum
adsorption capacities for MB dye and Cu2+ were 1679.1 mg/g and 186.9 mg/g at 35
degrees C, respectively. The kinetics of adsorption followed well the linear
pseudo-second-kinetic model. The isotherm results indicated that MB adsorption
can be described with the Langmuir isotherm model, while the adsorption of Cu2+
can be described with the Freundlich model. The excellent adsorption capacity
indicated that the Lys-GO may be a promising adsorption material for the removal
of environmental pollutants.
PMID- 29354341
TI - Beyond Moore's technologies: operation principles of a superconductor
alternative.
AB - The predictions of Moore's law are considered by experts to be valid until 2020
giving rise to "post-Moore's" technologies afterwards. Energy efficiency is one
of the major challenges in high-performance computing that should be answered.
Superconductor digital technology is a promising post-Moore's alternative for the
development of supercomputers. In this paper, we consider operation principles of
an energy-efficient superconductor logic and memory circuits with a short
retrospective review of their evolution. We analyze their shortcomings in respect
to computer circuits design. Possible ways of further research are outlined.
PMID- 29354342
TI - Ab initio study of adsorption and diffusion of lithium on transition metal
dichalcogenide monolayers.
AB - Using first principles calculations, we studied the stability and electronic
properties of transition metal dichalcogenide monolayers of the type MX2 (M = Ti,
Zr, Hf, V, Nb, Ta, Mo, Cr, W; X= S, Se, Te). The adsorption and diffusion of
lithium on the stable MX2 phase was also investigated for potential application
as an anode for lithium ion batteries. Some of these compounds were found to be
stable in the 2H phase and some are in the 1T or 1T' phase, but only a few of
them were stable in both 2H/1T or 2H/1T' phases. The results show that lithium is
energetically favourable for adsorption on MX2 monolayers, which can be
semiconductors with a narrow bandgap and metallic materials. Lithium cannot be
adsorbed onto 2H-WS2 and 2H-WSe2, which have large bandgaps of 1.66 and 1.96 eV,
respectively. The diffusion energy barrier is in the range between 0.17 and 0.64
eV for lithium on MX2 monolayers, while for most of the materials it was found to
be around 0.25 eV. Therefore, this work illustrated that most of the MX2
monolayers explored in this work can be used as promising anode materials for
lithium ion batteries.
PMID- 29354343
TI - Dry adhesives from carbon nanofibers grown in an open ethanol flame.
AB - Based on magnetic-field-assisted growth of carbon nanofibers in an open ethanol
flame we fabricated arrays of carbon nanofibers with different degrees of
orientation. Inspired by the dry adhesive system of geckos we investigated the
adhesive properties of such carbon nanofiber arrays with ordered and random
orientation. AFM-based force spectroscopy revealed that adhesion force and energy
rise linear with preload force. Carbon nanofibers oriented by a magnetic field
show a 68% higher adhesion (0.66 N/cm2) than the randomly oriented fibers.
Endurance tests revealed that the carbon nanofiber arrays withstand 50.000
attachment/detachment cycles without observable wear.
PMID- 29354344
TI - Hyperthermic intracavitary nanoaerosol therapy (HINAT) as an improved approach
for pressurised intraperitoneal aerosol chemotherapy (PIPAC): Technical
description, experimental validation and first proof of concept.
AB - Background: The delivery of aerosolised chemotherapeutic substances into
pressurised capnoperitonea has been reported to be more effective than
conventional liquid chemotherapy for the treatment of peritoneal carcinomatosis.
However, recent reports reveal limitations of the currently available technology.
Material and Methods: A novel approach for pressurised intraperitoneal aerosol
chemotherapy (PIPAC), called hyperthermic intracavitary nanoaerosol therapy
(HINAT), based on extracavitary generation of hyperthermic and unipolar charged
aerosols, was developed. The aerosol size distribution, the spatial drug
distribution and in-tissue depth penetration of HINAT were studied by laser
diffraction spectrometry, differential electrical mobility analysis, time of
flight spectrometry, scintigraphic peritoneography and fluorescence microscopy.
All experiments were performed contemporaneous with conventional PIPAC for the
purpose of comparison. Furthermore, a first proof of concept was simulated in
anesthetised German Landrace pigs. Results: HINAT provides a nanometre-sized (63
nm) unipolar-charged hyperthermic (41 degrees C) drug aerosol for quasi uniform
drug deposition over the whole peritoneum with significantly deeper drug
penetration than that offered by conventional PIPAC.
PMID- 29354345
TI - CdSe nanorod/TiO2 nanoparticle heterojunctions with enhanced solar- and visible
light photocatalytic activity.
AB - CdSe nanorods (NRs) with an average length of ~120 nm were prepared by a
solvothermal process and associated to TiO2 nanoparticles (Aeroxide(r) P25) by
annealing at 300 degrees C for 1 h. The content of CdSe NRs in CdSe/TiO2
composites was varied from 0.5 to 5 wt %. The CdSe/TiO2 heterostructured
materials were characterized by XRD, TEM, SEM, XPS, UV-visible spectroscopy and
Raman spectroscopy. TEM images and XRD patterns show that CdSe NRs with wurtzite
structure are associated to TiO2 particles. The UV-visible spectra demonstrate
that the narrow bandgap of CdSe NRs serves to increase the photoresponse of
CdSe/TiO2 composites until ~725 nm. The CdSe (2 wt %)/TiO2 composite exhibits the
highest photocatalytic activity for the degradation of rhodamine B in aqueous
solution under simulated sunlight or visible light irradiation. The enhancement
in photocatalytic activity likely originates from CdSe sensitization of TiO2 and
the heterojunction between these materials which facilitates electron transfer
from CdSe to TiO2. Due to its high stability (up to ten reuses without any
significant loss in activity), the CdSe/TiO2 heterostructured catalysts show high
potential for real water decontamination.
PMID- 29354346
TI - The rational design of a Au(I) precursor for focused electron beam induced
deposition.
AB - Au(I) complexes are studied as precursors for focused electron beam induced
processing (FEBIP). FEBIP is an advanced direct-write technique for nanometer
scale chemical synthesis. The stability and volatility of the complexes are
characterized to design an improved precursor for pure Au deposition. Aurophilic
interactions are found to play a key role. The short lifetime of ClAuCO in vacuum
is explained by strong, destabilizing Au-Au interactions in the solid phase.
While aurophilic interactions do not affect the stability of ClAuPMe3, they leave
the complex non-volatile. Comparison of crystal structures of ClAuPMe3 and
MeAuPMe3 shows that Au-Au interactions are much weaker or partially even absent
for the latter structure. This explains its high volatility. However, MeAuPMe3
dissociates unfavorably during FEBIP, making it an unsuitable precursor. The
study shows that Me groups reduce aurophilic interactions, compared to Cl groups,
which we attribute to electronic rather than steric effects. Therefore we propose
MeAuCO as a potential FEBIP precursor. It is expected to have weak Au-Au
interactions, making it volatile. It is stable enough to act as a volatile source
for Au deposition, being stabilized by 6.5 kcal/mol. Finally, MeAuCO is likely to
dissociate in a single step to pure Au.
PMID- 29354347
TI - Impact of titanium dioxide nanoparticles on purification and contamination of
nematic liquid crystals.
AB - We have investigated the impact of titanium dioxide nanoparticles on the ionic
contamination of liquid crystals. Nematic liquid crystals with high and low
initial ionic contamination have been examined. It has been shown that titanium
dioxide nanoparticles reduced the ion density of liquid crystals with high
initial ionic contamination from 134.5 * 1012 cm-3 to 63.2 * 1012 cm-3. In the
case of liquid crystals with low initial ionic contamination, the nanoparticles
led to an insignificant increase of ion density from 19.8 * 1012 cm-3 to 25.7 *
1012 cm-3.
PMID- 29354348
TI - Material discrimination and mixture ratio estimation in nanocomposites via
harmonic atomic force microscopy.
AB - Harmonic atomic force microscopy (AFM) was employed to discriminate between
different materials and to estimate the mixture ratio of the constituent
components in nanocomposites. The major influencing factors, namely amplitude
feedback set-point, drive frequency and laser spot position along the cantilever
beam, were systematically investigated. Employing different set-points induces
alternation of tip-sample interaction forces and thus different harmonic
responses. The numerical simulations of the cantilever dynamics were well
correlated with the experimental observations. Owing to the deviation of the
drive frequency from the fundamental resonance, harmonic amplitude contrast
reversal may occur. It was also found that the laser spot position affects the
harmonic signal strengths as expected. Based on these investigations, harmonic
AFM was employed to identify material components and estimate the mixture ratio
in multicomponent materials. The composite samples are composed of different
kinds of nanoparticles with almost the same shape and size. Higher harmonic
imaging offers better information on the distribution and mixture of different
nanoparticles as compared to other techniques, including topography and
conventional tapping phase. Therefore, harmonic AFM has potential applications in
various fields of nanoscience and nanotechnology.
PMID- 29354349
TI - Facile synthesis of silver/silver thiocyanate (Ag@AgSCN) plasmonic nanostructures
with enhanced photocatalytic performance.
AB - A nanostructured plasmonic photocatalyst, silver/silver thiocyanate (Ag@AgSCN),
has been prepared by a simple precipitation method followed by UV-light-induced
reduction. The ratio of Ag to silver thiocyanate (AgSCN) can be controlled by
simply adjusting the photo-induced reduction time. The formation mechanism of the
product was investigated based on the time-dependent experiments. Further
experiments indicated that the prepared Ag@AgSCN nanostructures with an atomic
ratio of Ag/AgSCN = 0.0463 exhibited high photocatalytic activity and long-term
stability for the degradation of oxytetracycline (84%) under visible-light
irradiation. In addition to the microstructure and high specific surface area,
the enhanced photocatalytic activity was mainly caused by the surface plasmon
resonance of Ag nanoparticles, and the high stability of AgSCN resulted in the
long-term stability of the photocatalyst product.
PMID- 29354350
TI - Thermo- and electro-optical properties of photonic liquid crystal fibers doped
with gold nanoparticles.
AB - Thermo- and electro-optical properties of a photonic liquid crystal fiber (PLCF)
enhanced by the use of dopants have been investigated. A 6CHBT nematic liquid
crystal was doped with four different concentrations of gold nanoparticles (NPs),
0.1, 0.3, 0.5 and 1.0 wt %, for direct comparison of the influence of the dopant
on the properties of the PLCF. The thermo-optical effects of the liquid crystal
doped with gold NPs were compared in three setups, an LC cell, a microcapillary
and within the PLCF, to determine if the observed responses to external factors
are caused by the properties of the infiltration material or due to the setup
configuration. The results obtained indicated that with increasing NP doping a
significant reduction of the rise time under an external electric field occurs
with a simultaneous decrease in the nematic-isotropic phase transition
temperature, thus improving the thermo- and electro-optical properties of the
PLCF.
PMID- 29354351
TI - Electro-optical characteristics of a liquid crystal cell with graphene
electrodes.
AB - In liquid crystal devices (LCDs) the indium tin oxide (ITO) films are
traditionally used as transparent and conductive electrodes. However, today, due
to the development of multichannel optical communication, the need for flexible
LCDs and multilayer structures has grown. For this application ITO films cannot
be used in principle. For this problem, graphene (an ultrathin material with
unique properties, e.g., high optical transparency, chemical inertness, excellent
conductivity) is an excellent candidate. In this work, the electro-optical and
dynamic characteristics of a liquid crystal (LC) cell with graphene and ITO
transparent conducting layers are investigated. To insure uniform thickness of
the LC layer, as well as the same orientation boundary conditions, a hybrid LC
cell containing graphene and ITO conductive layers has been prepared. The
characteristics of LC cells with both types of conducting layers were found to be
similar, indicating that graphene can be successfully used as a transparent
conductive layer in LC devices.
PMID- 29354352
TI - Magnetic field induced orientational transitions in liquid crystals doped with
carbon nanotubes.
AB - We propose a continuum theory of orientational phase transitions induced by an
external magnetic field in a suspension of carbon nanotubes in a nematic liquid
crystal. It is shown that in a magnetic field a non-uniform and two different
uniform phases are possible in the suspension. The uniform phases of the
suspension differ by the type of orientational coupling of nanotubes with the
liquid crystal matrix (the planar type when the nanotubes are oriented along the
matrix director, and the homeotropic type when the nanotubes are perpendicular to
the director). The possibility of a redistribution of the nanotube concentration
(segregation effect) is shown. The fields of orientational transitions between
uniform and non-uniform phases of the suspension are found analytically. It is
shown that, when the nanotubes are weakly coupled to the matrix, the magnetic
field induces reentrant transitions (uniform planar phase-non-uniform phase
uniform homeotropic phase-non-uniform phase). These transitions can be of first
or of second order depending on the carbon nanotubes segregation intensity.
PMID- 29354353
TI - Mineralization of high concentrations of the endocrine disruptor dibutyl
phthalate by Fusarium culmorum.
AB - Dibutyl phthalate (DBP) is a widely used plasticizer, whose presence in the
environment as a pollutant raises concern because of its endocrine-disrupting
toxicity. Growth kinetics, glucose uptake, biodegradation constant of DBP (k),
half-life of DBP biodegradation (t1/2) and percentage of removal efficiency (%E)
were evaluated for Fusarium culmorum grown on media containing glucose and
different concentrations of DBP (500 and 1000 mg/l). Intermediate compounds of
biodegraded DBP were identified by GC-MS and a novel DBP biodegradation pathway
was proposed on the basis of the intermolecular flow of electrons of the
intermediates identified using quantum chemical modeling. F. culmorum degraded
99% of both 1000 and 500 mg of DBP/l after an incubation period of 168 and 228 h,
respectively. %E was 99.5 and 99.3 for 1000 and 500 mg of DBP/l, respectively.
The k was 0.0164 and 0.0231 h-1 for 500 and 1000 mg of DBP/l, respectively. DBP
was fully metabolized to fumaric and malic acids, which are compounds that enter
into the Krebs cycle. F. culmorum has a promising ability for bioremediation of
environments polluted with DBP because it efficiently degrades DBP and uses high
concentrations of this compound as carbon and energy source.
PMID- 29354354
TI - Population structure and association analysis of heat stress relevant traits in
chickpea (Cicer arietinum L.).
AB - Understanding genetic diversity and population structure is prerequisite to
broaden the cultivated base of any crop. In the current investigation, we report
discovery of a total of 319 alleles by assaying 81 SSRs on 71 chickpea genotypes.
The cluster analysis based on Jaccard coefficient and unweighted neighbor joining
algorithm categorized all genotypes into two major clusters. Cultivars grown
within the same agro-climatic zones were clustered together, whereas the
remaining genotypes particularly advanced breeding lines and accessions assigned
to another cluster. Population structure analysis separated the entire collection
into two subpopulations (K = 2) and the clustering pattern remained in close
agreement with those of distance-based methods. Importantly, we also discovered
marker trait association for membrane stability index (MSI) and leaf chlorophyll
content measured as SPAD chlorophyll meter reading (SCMR), the two important
physiological parameters indicative of heat stress (HS) tolerance in chickpea.
Association analysis using both general linear and mixed linear models of the
mean phenotypic data of traits recorded in 2016 and 2017 uncovered significant
association of NCPGR206 and H2L102 with the MSI trait. Likewise, SSR markers GA9,
TR31 and TA113 exhibited significant association with SCMR trait. The genomic
regions putatively linked with two traits may be investigated in greater detail
to further improve knowledge about the genetic architecture of HS tolerance in
chickpea.
PMID- 29354355
TI - CTAB influenced differential elution of metagenomic DNA from saltpan and marine
sediments.
AB - A simple, reliable method for genomic DNA extraction from sediments with minimum
contaminants was developed to address the risk of poor quality DNA in metagenomic
studies. Nine DNA extraction methods using 20% cetyl-trimethyl-ammonium bromide
(CTAB) were performed and compared to develop an extraction protocol that can
offer humic acid-free metagenomic DNA from marine and saltpan sediments.
Community DNA extraction was executed via., Zhou et al. modified protocol using
20% CTAB treatment at different steps to compare the efficacy of humic acid
removal. Out of nine DNA extraction methods, method 6 significantly improved the
quality of DNA with efficient removal of humic substances. 16S rRNA gene
amplification and spectrophotometric analysis confirmed the efficiency of method
6 to remove DNA inhibitors from marine sediments as well as saltpan samples.
Inhibitors extracted along with metagenomic DNA outcome increased DNA yield and
PCR inhibition in method 1 and 3. However, repeated 20% CTAB wash in method 6
ensured 16S amplification and least yield and concentration. Current study
explains a detailed protocol based on 20% CTAB wash for the extraction of humic
acid-free DNA from diverse sediment samples.
PMID- 29354356
TI - Isolation, characterization and exploring biotechnological potential of
halophilic archaea from salterns of western India.
AB - Thirteen halophilic archaea were isolated from Kandla and Bhayander salt pans.
These isolates were grouped into three different genera Halobacterium, Haloferax
and Haloarcula based on morphological and biochemical characterization, polar
lipid analysis, Amplified 16S rDNA restriction analysis (ARDRA) and 16S rDNA
sequence analysis. Biochemical characterization suggested the ability of isolates
to produce protease, amylase and poly-hydroxybutyrate (PHB) indicating their
biotechnological potential. The isolates were further screened for the amount of
extracellular protease produced. Halobacterium sp. SP1(1) showed significant
protease production compared to other isolates. Protease producing ability of the
isolate was influenced by several factors such as NaCl concentration, type of
protein source, metal ions and surfactants, and presence of amino acid
supplements in the production medium. Soybean flour, FeCl3 and
dicotylsulfosuccinate were found to increase protease production by 2.36, 1.54
and 1.26 folds, respectively compared to production in basal medium. Effect of
organic solvents used in paints (n-decane, n-undecane and n-dodecane) was also
investigated on protease production by the isolate. Protease production by
Halobacterium sp. SP1(1) was enhanced by 1.2 folds in presence of n-decane
compared to control. Furthermore, the ability of isolate to hydrolyse fish
protein was investigated using three different edible fishes (Pomfret, Flat fish
and Seer fish) as sole protein source. Pomfret was found to be a good protein
source for protease production by the isolate. These results revealed that
Halobacterium sp. SP1(1) may have potential for paint-based antifouling coating
preparations and fish sauce preparation by virtue of its extracellular protease.
PMID- 29354357
TI - Minimum cocktail of cellulolytic multi-enzyme complexes obtained from white rot
fungi via solid-state fermentation.
AB - The objective of this work was to optimize the total cellulase activity of the
crude extract cocktails from five white rot fungi produced by solid-state
fermentation, by means of the central composite design. The white rot fungi
Pleurotus ostreatus PLO 06, Pleurotus eryngii PLE 04, Trametes versicolor TRAM
01, Pycnosporus sanguineus PYC 02 and Phanerochaete chrysosporium PC were tested.
For optimization process aiming at the maximum value of total cellulase activity
(FPAse), the multi-enzyme cellulase complexes (crude extracts) of each fungus
were mixed simultaneously in different proportions. There was increase in FPAse
activity for the cocktails formed by the extracts of the five fungi together,
compared to the extracts of each fungus alone. The model presented the minimum
cocktail of enzymes for maximum total cellulase activity, with 100.00 MUL PYC;
100.00 MUL PC; 100.00 MUL PLO06; 100.00 MUL PLE04 and 200 MUL TRAM01. The maximum
value found was of 304.86 U/L. The result of the cocktails was very relevant,
showing that there is an enzymatic complementation in the extracts that should be
further studied. Concentrated extract cocktails should also be evaluated for
biomass saccharification.
PMID- 29354358
TI - Integrated proteome and HPLC analysis revealed quercetin-mediated inhibition of
aflatoxin B1 biosynthesis in Aspergillus flavus.
AB - The contamination of aflatoxins in maize or maize-related products synthesized by
Aspergillus flavus causes severe economical loss and threat to human health. Use
of eco-friendly phytochemicals has shown potential to inhibit secondary
metabolites in Aspergillus species. Thus, A. flavus cultured in corn flour (CF)
and corn flour with quercetin (CFQ) was used for protein extraction for proteome
analysis using nLC-Q-TOF mass spectrometer. Proteome analysis revealed the
expressions of 705 and 843 proteins in CFQ and CF, respectively. Gene Ontology
Slim Categories (GOSC) of CF exhibited major transcriptional factors; involved in
acetylation and deacetylation of histone proteins, carbohydrate metabolism, and
hydrolase activity, whereas GOSC analysis of CFQ showed membrane transport
activity, including both influx and efflux proteins. cAMP/PKA signaling pathway
was observed in CFQ, whereas MAPK pathway in CF. To quantify biosynthesis of
aflatoxin B1 (AFB1) in CF and CFQ, HPLC analysis at 7, 12, 24 and 48 h was
carried out which showed decrease in AFB1 (1%) at 7-24 h in CFQ. However,
remarkable decrease in AFB1 biosynthesis (51%) at 48 h time point was observed.
Thus, the present study provided an insight into the mechanism of quercetin
mediated inhibition of aflatoxin biosynthesis in A. flavus and raises the
possibility to use quercetin as an anti-aflatoxigenic agent.
PMID- 29354359
TI - Diversity and antagonistic potential of bacteria isolated from marine grass
Halodule uninervis.
AB - The aim of this study was to isolate bacteria from sea grass, Halodule uninervis
collected from the coastal area of Jeddah, Saudi Arabia and to screen them for
antifungal and enzymatic activities. We have isolated 162 rhizo and endophytic
bacteria from soil, roots, and leaves of the sea grass. Antifungal screening of
isolated bacteria revealed 19 strains (11.7%) capable to inhibit growth of four
pathogenic fungi, Pythium ultimum, Phytophthora capsici, pyricularia oryzae, and
Rhizoctonia solani in an in vitro assay. Taxonomic and phylogenetic analyses on
the basis of 16S rRNA gene sequence revealed 97-99.9% sequence identity to
recognized species. Bacillus, Staphylococcus, Jeotgalicoccus, and Planococcus,
within the Phylum Firmicutes, Kocuria, Arthrobacter, Ornithinimicrobium and
Corynebacterium (Actinobacteria), Sulfitobacter, Roseivivax, Ruegeria (alpha
Proteobacteria), Moraxella, and Vibrio (gamma-Proteobacteria), were isolated.
Strains belong to Phylum Firmicutes remain dominant antagonistic bacteria in this
study. Further hydrolytic enzyme production was determined for these antagonistic
bacteria. Our results demonstrated that the sea grass represents an important
source of diverse antagonistic bacteria capable of producing antifungal
metabolite.
PMID- 29354360
TI - Human leukocyte antigen (HLA-F) polymorphism is associated with chronic HBV
infection.
AB - Human leukocyte antigen (HLA)-F has been involved in immune regulation of
infectious diseases. However, the role of HLA-F polymorphisms in hepatitis B
infection outcomes remains unclear. Here, we aimed to determine HLA-F
polymorphism implication in chronic HBV. Genotype analysis was performed for
three single nucleotide polymorphisms (SNPs) of HLA-F and one SNP of HLA-E using
PCR-SSP, in 252 Tunisian patients with chronic HBV infection stratified according
to their HBV DNA levels (140 patients with low HBV DNA levels < 2000 IU/mL and
112 patients with high HBV DNA levels >= 2000 IU/mL) and 240 healthy controls
(CTRL). The three HLA-F SNPs (HLA-F*01:02, -F*01:03 and -F*01:04) have the same
allelic and genotypic frequencies in patients and in CTRL. We reported a low HLA
F*01:02 and F*01:04 allelic frequencies in the Tunisian population; however, high
HLA-F*01:03 allele frequencies were observed (17%). A significant association was
found between the HLA-F*01:03 allele and decreased level of HBV DNA (P = 0.02 OR
0.56, 95% CI 0.35-0.92). No significant differences were observed in haplotype
distribution between patients and CTRL. A significant association of HLA-F*01:03
with the level of HBV DNA suggests an important role of HLA-F in HBV replication
control.
PMID- 29354361
TI - Characterization and molecular modeling of Inositol 1,3,4 tris phosphate 5/6
kinase-2 from Glycine max (L) Merr.: comprehending its evolutionary conservancy
at functional level.
AB - Soybean genome encodes a family of four inositol 1,3,4 trisphosphate 5/6 kinases
which belong to the ATP-GRASP group of proteins. Inositol 1,3,4 trisphosphate
kinase-2 (GmItpk2), catalyzing the ATP-dependent phosphorylation of Inositol
1,3,4 trisphosphate (IP3) to Inositol 1,3,4,5 tetra phosphate or Inositol 1,3,4,6
tetra phosphate, is a key enzyme diverting the flux of inositol phosphate pool
towards phytate biosynthesis. Although considerable research on characterizing
genes involved in phytate biosynthesis is accomplished at genomic and transcript
level, characterization of the proteins is yet to be explored. In the present
study, we report the isolation and expression of single copy Itpk2 (948 bp) from
Glycine max cv Pusa-16 predicted to encode 315 amino acid protein with an
isoelectric point of 5.9. Sequence analysis revealed that GmITPK2 shared highest
similarity (80%) with Phaseolus vulgaris. The predicted 3D model confirmed 12
alpha helices and 14 beta barrel sheets with ATP-binding site close to beta sheet
present towards the C-terminus of the protein molecule. Spatio-temporal
transcript profiling signified GmItpk2 to be seed specific, with higher
transcript levels in the early stage of seed development. The present study using
various molecular and bio-computational tools could, therefore, help in improving
our understanding of this key enzyme and prove to be a potential target towards
generating low phytate trait in nutritionally rich crop like soybean.
PMID- 29354362
TI - Expression analysis of proteinase inhibitor-II under OsRGLP2 promoter in response
to wounding and signaling molecules in transgenic Nicotiana benthamiana.
AB - Proteinase inhibitor-II (PI-II) genes are important defense related genes that
play critical regulatory roles in plant growth and development. In the present
study, the expression of tomato PI-II gene was investigated under the control of
a wound-inducible OsRGLP2 (Oryza sativa root germin like protein 2) promoter in
transgenic tobacco plants after wounding, ABA and MeJA applications. Transcript
level of target gene in transgenic plants was confirmed by quantitative real time
PCR (qPCR). In response to ABA treatment at different concentrations, PI-II gene
was strongly induced under OsRGLP2 promoter at higher concentration (100 MUM),
while considerable level of target gene expression was observed with MeJA
application at 50 MUM concentration. Upon wounding, relatively high PI-II gene
expression was observed after 36-h treatment. Correspondingly, high GUS activity
was detected at 36 h with histochemical assay and microscopic analysis in the
vascular regions of leaves, stem and roots in wounded transgenic plants. This
inducibility of PI-II gene by wounding, ABA and MeJA indirectly indicates its
role in plant defense mechanism against biotic and abiotic stresses. Moreover, it
was also suggested that ABA and MeJA dependent signaling pathways are involved in
stimulation of PI-II gene. To the best of our knowledge, this is the first report
describing the induction of PI-II gene under the regulation of OsRGLP2 promoter
under stress conditions. The results of present research are useful for potential
role of PI-II gene to improve stress tolerance in transgenic crops. Thus,
efficacy of this gene can potentially be exploited to test the responses of
different plants to various environmental stresses.
PMID- 29354363
TI - Phenetic, genetic diversity and symbiotic compatibility of rhizobial strains
nodulating pigeon pea in Northern India.
AB - Pigeon pea (Cajanus cajan) is one of the most important legumes grown in the
northern province of Uttar Pradesh, India. However, its productively in Uttar
Pradesh is lower than the average yield of adjoining states. During the course of
the present study, a survey of pigeon pea growing agricultural fields was carried
out and it was found that 80% of plants were inadequately nodulated. The study
was aimed to evaluate the pigeon pea symbiotic compatibility and nodulation
efficiency of root nodulating bacteria isolated from various legumes, and to
explore the phenetic and genetic diversity of rhizobial population nodulating
pigeon pea growing in fields of Uttar Pradesh. Amongst all the 96 isolates, 40
isolates showed nodulation in pigeon pea. These 40 isolates were further
characterized by phenotypic, biochemical and physiological tests. Intrinsic
antibiotic resistance pattern was taken to generate similarity matrix revealing
10 phenons. The study shows that most of the isolates nodulating pigeon pea in
this region were rapid growers. The dendrogram generated using the NTSYSpc
software grouped RAPD patterns into 19 clusters. The high degree of phenetic and
genetic diversity encountered is probably because of a history of mixed cropping
of legumes. The assessment of diversity is a very important tool and can be used
to improve the nodulation and quality of pigeon pea crop. It is also concluded
that difference between phenetic and RAPD clustering pattern is an indication
that rhizobial diversity of pigeon pea is not as yet completely understood and
settled.
PMID- 29354364
TI - Phylogeny, novel bacterial lineage and enzymatic potential of haloalkaliphilic
bacteria from the saline coastal desert of Little Rann of Kutch, Gujarat, India.
AB - This report describes cultivation-dependent diversity, phylogeny and enzymatic
potential of the haloalkaliphilic bacteria isolated from the unvegetated desert
soil of yet unexplored, saline desert of Little Rann of Kutch (LRK), India. The
LRK is a unique ecosystem displaying a combination of Dry Rann and Wet Rann. A
total of 25 bacteria were isolated and characterized on the basis of colony
morphology, biochemical profile, sugar utilization, secretion of the
extracellular enzymes and antibiotic sensitivity. Further, the identification and
phylogenetic relatedness of 23 bacteria were established by the analysis of 16S
rRNA gene sequences. The phylogenetic analysis indicated that the isolates belong
to the phylum Firmicutes, comprising low G + C, Gram-positive bacteria, with
different genera: Bacillus (~ 39%), Staphylococcus (~ 30%), Halobacillus (~ 13%),
Virgibacillus (~ 13%), Oceanobacillus (~ 4%). Majority of the bacterial isolates
produced proteases (30% isolates) followed by cellulases (24% isolates), CMCases
(24% isolates) and amylases (20% isolates). Halobacillus, Virgibacillus and
Bacillus predominantly produced hydrolases, while many produced multiple enzymes
at high salinity and alkaline pH. Highest antibiotic resistance was observed
against Ampicillin and Penicillin (32%) followed by Cefaclor (20%); Colistin,
Cefoperazone and Cefotaxime (16%); Cefuroxime (12%); Gentamycin and Cefixime
(8%); Erythromycin, Cefadroxil, Azithromycin, Co-trimoxazole, Amoxycillin,
Norfloxacin, Cefpodoxime, Amikacin and Augmentin (4%). KJ1-10-99 and KJ1-10-93
representing < 97% of 16S rRNA gene sequence similarity belong to a novel lineage
within the family Bacillaceae. Comparison of the phenogram and phylogram revealed
the contradiction of the phenogram pattern and the phylogenetic placement of the
isolates. The isolates belonging to same species have shown considerable
phenotypic variation. The study on the cultivable haloalkaliphilic bacteria of an
unexplored enigmatic niche reflects ecological and biotechnological significance.
PMID- 29354366
TI - Control of corrosive bacterial community by bronopol in industrial water system.
AB - Abstract: Ten aerobic corrosive bacterial strains were isolated from a cooling
tower water system (CWS) which were identified based on the biochemical
characterization and 16S rRNA gene sequencing. Out of them, dominant corrosion
causing bacteria, namely, Bacillus thuringiensis EN2, Terribacillus aidingensis
EN3, and Bacillus oleronius EN9, were selected for biocorrosion studies on mild
steel 1010 (MS) in a CWS. The biocorrosion behaviour of EN2, EN3, and EN9 strains
was studied using immersion test (weight loss method), electrochemical analysis,
and surface analysis. To address the corrosion problems, an anti-corrosive study
using a biocide, bronopol was also demonstrated. Scanning electron microscopy and
Fourier-transform infrared spectroscopy analyses of the MS coupons with biofilm
developed after exposure to CWS confirmed the accumulation of extracellular
polymeric substances and revealed that biofilms was formed as microcolonies,
which subsequently cause pitting corrosion. In contrast, the biocide system, no
pitting type of corrosion, was observed and weight loss was reduced about 32 +/-
2 mg over biotic system (286 +/- 2 mg). FTIR results confirmed the adsorption of
bronopol on the MS metal surface as protective layer (co-ordination of NH2-Fe3+)
to prevent the biofilm formation and inhibit the corrosive chemical compounds and
thus led to reduction of corrosion rate (10 +/- 1 mm/year). Overall, the results
from WL, EIS, SEM, XRD, and FTIR concluded that bronopol was identified as
effective biocide and corrosion inhibitor which controls the both chemical and
biocorrosion of MS in CWS. Graphical Abstract:
PMID- 29354365
TI - Molecular modeling and in silico characterization of GmABCC5: a phytate
transporter and potential target for low-phytate crops.
AB - Designing low-phytate crops without affecting the developmental process in plants
had led to the identification of ABCC5 gene in soybean. The GmABCC5 gene was
identified and a partial gene sequence was cloned from popular Indian soybean
genotype Pusa16. Conserved domains and motifs unique to ABC transporters were
identified in the 30 homologous sequences retrieved by BLASTP analysis. The
homologs were analyzed for their evolutionary relationship and physiochemical
properties. Conserved domains, transmembrane architecture and secondary structure
of GmABCC5 were predicted with the aid of computational tools. Analysis
identified 53 alpha helices and 31 beta strands, predicting 60% residues in alpha
conformation. A three-dimensional (3D) model for GmABCC5 was developed based on
5twv.1.B (Homo sapiens) template homology to gain better insight into its
molecular mechanism of transport and sequestration. Spatio-temporal real-time PCR
analysis identified mid-to-late seed developmental stages as the time window for
the maximum GmABCC5 gene expression, a potential target stage for phytate
reduction. Results of this study provide valuable insights into the structural
and functional characteristics of GmABCC5, which may be further utilized for the
development of nutritionally enriched low-phytate soybean with improved mineral
bioavailability.
PMID- 29354368
TI - Fingerprinting and genetic purity assessment of F1 barley hybrids and their salt
tolerant parental lines using nSSR molecular markers.
AB - Hybridity and the genuineness of hybrids are prominent characteristics for
quality control of seeds and thereby for varietal improvement. In the current
study, the cross between two local barley genotypes (Ardhaoui: female; Testour:
male) previously identified as susceptible/tolerant to salt stress in Tunisia was
achieved. The hybrid genetic purity of the generated F1 putative hybrids and the
fingerprinting of the parents along with their offspring were assessed using a
set of 17 nuclear SSR markers. Among the analyzed loci, 11 nSSR were shown
polymorphic among the parents and their offspring. Based on the applied 11
polymorphic SSR loci, a total of 28 alleles were detected with an average of 2.54
alleles per locus. The locus HVM33 presented the highest number of alleles. The
highest polymorphism information content value was detected for the locus HVM33
(0.6713) whereas the lowest PIC value (0.368) was revealed by the loci BMAC0156,
EBMAC0970 and BMAG0013 with a mean value of 0.4619. The probabilities of
identical genotypes PI for the 11 microsatellite markers were 8.63 * 10-7.
Banding patterns among parents and hybrids showed polymorphic fragments. The 11
SSR loci had produced unique fingerprints for each analyzed genotype and
segregate between the two parental lines and their four hybrids. Parentage
analysis confirms the hybrid purity of the four analyzed genotypes. Six Tunisian
barley accessions were used as an outgroup in the multivariate analysis to
confirm the efficiency of the employed 11 nSSR markers in genetic differentiation
among various barley germplasms. Thus, neighbor joining and factorial analysis
revealed clearly the discrimination among the parental lines, the four hybrids
and the outgroup accessions. Out of the detected polymorphic 11 nuclear SSR
markers, a set of five markers (HVM33, WMC1E8, BMAC0154, BMAC0040 and BMAG0007)
were shown to be sufficient and informative enough to discriminate among the six
genotypes representing the two parental lines and the four hybrids from each
others. These five nSSR markers presented the highest number of alleles per locus
(An), expected heterozygosity (He), PIC values and the lowest probabilities of
identity (PI). These nSSR loci may be used as referral SSR markers for
unambiguous discrimination and genetic purity assessment in barley breeding
programs.
PMID- 29354367
TI - Proteomic analysis of low-molecular-weight glutenin subunits and relationship
with their genes in a common wheat variety.
AB - Although many studies on low-molecular-weight glutenin subunit (LMW-GS) function
have been reported, a comprehensive comparison between specific genes and their
protein product is still lacking. This study aimed to link the 43 genes isolated
from the Korean wheat variety "Jokyoung" in the authors' previous study to their
protein products. Proteins were separated using two-dimensional gel
electrophoresis (2-DGE) and identified by tandem mass spectrometry (MS/MS) at the
gene haplotype level. Using MS/MS analysis of 17 protein spots, two spots were
identified in the Glu-A3 locus and the corresponding haplotype was GluA3-13(Glu
A3c). Six spots were identified in the Glu-B3 locus and the corresponding
haplotypes were GluB3-33 and GluB3-43 (Glu-B3h). Eight spots were identified in
the Glu-D3 locus and the corresponding haplotypes were GluD3-11, GluD3-21, GluD3
31, GluD3-5, and GluD3-6 (Glu-D3a), and one spot was contaminated with gamma
gliadin. Phylogenetic analysis and alignment of nucleotide and amino acid
sequences assigned 35 of the 43 genes to seven haplotypes: GluA3-13, GluB3-43,
GluD3-11, GluD3-21, GluD3-31, GluD3-42, and GluD3-5. Taken together, except for
GluB3-33 and GluD3-6, which were not isolated, linking of each gene to the
corresponding protein products at the gene haplotype level was accomplished using
proteomic tools and phylogenetic analysis.
PMID- 29354369
TI - Molecular typing of Staphylococcus aureus of different origins based on the
polymorphism of the spa gene: characterization of a novel spa type.
AB - The present study was conducted to determine the molecular diversity of
Staphylococcus aureus strains isolated from human, bovine and food samples based
on the polymorphism of the spa gene. A total of 208 S. aureus isolated from
human, bovine raw milk and food samples were assessed using polymerase chain
reaction-restriction fragment length polymorphism (PCR-RFLP) and single locus
sequence typing (SLST) methods, followed by determination of spa types using
Ridom SpaServer. Altogether, 15 distinct RFLP patterns were recorded (I-XV). The
highest heterogeneity was observed among S. aureus isolated from humans, whereas
most of bovine and food S. aureus isolates indicated certain RFLP patterns.
Although most of the isolates from patients showed RFLP pattern I, none of the S.
aureus isolated from carriers had this spa pattern. Besides, the results of SLST
led to the characterization of 16 spa types, and one of them was a novel spa type
which has been registered in Ridom SpaServer for the first time and designated as
type t16929. Determination of a high number of shared RFLP patterns between human
and food S. aureus isolates indicated possible transmission of S. aureus and the
source of food contamination. Thus, effective hygiene measures should be taken to
break transmission routes. However, it seems that S. aureus isolated from
patients, carriers and bovine should be considered in a different way, since some
isolates had similar patterns, while the others showed their own specific
pattern.
PMID- 29354370
TI - Enhanced biosynthesis of saponins by coronatine in cell suspension culture of
Kalopanax septemlobus.
AB - Kalopanax septemlobus is a medicinal woody species of the family Araliaceae, and
the pharmaceutical properties of saponins obtained from K. septemlobus suggest
that K. septemlobus has the potential to be a crude drug and dietary health
supplement. In this study, we established cell suspension culture of K.
septemlobus to develop a sustainable source of natura-ceuticals. Friable calli
were used for establishing cell suspension culture. The maximum amount of total
saponins (1.56 mg/60 ml suspension) was obtained during the 15th day of
incubation, whereas the maximum capacity of saponin production was reached after
day 6 (0.42 MUg/mg of fresh weight). The total saponin production in the cell
suspension of K. septemlobus was significantly increased by coronatine (COR) at
160% at a dose of 1 MUM compared with the mock-treated control, whereas methyl
jasmonate treated cells exhibited less increase in total saponin level as
compared to the COR-treated cells. In addition, the elicitation of COR strongly
induced the expression of beta-amyrin synthase, thus resulting in the
accumulation of oleanolic acid (2.369 +/- 0.98 MUg/mg of extract), a precursor
for oleanane-type triterpene saponins. These results indicate that COR is an
efficient elicitor for inducing phytochemicals in cell suspension culture and
that it provides the possibility for producing saponins of K. septemlobus using
cell suspension culture.
PMID- 29354371
TI - High frequency plant regeneration from cotyledonary node explants of Cucumis
sativus L. cultivar 'Green Long' via adventitious shoot organogenesis and
assessment of genetic fidelity by RAPD-PCR technology.
AB - Influence of cytokinins, silver nitrate (AgNO3) and auxins on plant regeneration
from cucumber was investigated. The cotyledonary node explants were cultured on
MS medium augmented with various concentrations (0.5-2.5 mg l-1) of 6-benzyl
amino purine (BAP) and kinetin (KIN) for shoot bud induction. BAP at 1.5 mg l-1
was found to be the best concentration for induction of high frequency of
multiple shoots (98.4%). Interestingly, maximum percent of multiple shoot
regeneration (100%) as well as number of shoot buds (54.6 shoots/culture) was
recorded on MS medium containing the combination of 4.5 mg l-1 AgNO3 and 1.5 mg l
1 BAP. Multiple shoot bud regeneration frequency as well as the number of shoots
was positively correlated with the concentrations of AgNO3. Addition of silver
nitrate in the medium not only enhanced the rate of multiple shoot bud
regeneration but also elongation of shoot buds was observed. The highest percent
of rooting (96.2%) was noticed on a medium containing the combination of indole 3
butyric acid (IBA), 1.5 mg l-1 and KIN 0.5 mg l-1. Acclimatized plantlets were
successfully established in the field where the survival rate observed was 72%.
The RAPD profiles of in vitro regenerated plants were found to be highly
monomorphic and identical banding pattern with mother plant. DNA fingerprinting
results confirmed that the tissue culture plantlets were found to be true-to
type. The present study describes efficient protocol for high frequency plant
regeneration via adventitious shoot organogenesis in cucumber.
PMID- 29354372
TI - Presence of 16SrIV phytoplasmas of subgroups A, D and E in planthopper Haplaxius
crudus Van Duzee insects in Yucatan, Mexico.
AB - The present study was carried out to determine if group 16SrIV phytoplasmas,
causing lethal yellowing (LY) disease, are present in Haplaxius crudus Van Duzee
(Hemiptera: Cixiidae) insects associated with palms in Yucatan, Mexico. Haplaxius
crudus feral insects were captured from palm foliage at two locations (Chicxulub
Puerto and CICY, Merida, where LY-type diseases are active) and evaluated
individually for the presence of phytoplasma DNA by a group 16SrIV-specific
nested PCR assay. The results showed positive detection in H. crudus insects in a
proportion of 2.7% (of the total 2726 analyzed) during a 3-year period of study.
The percentage of detection was different for each site, 5.9% positive of 799
insects from Merida and 1.7% of 1927 from Chicxulub Puerto. Positive detections
were also obtained in extracts from 5.3 to 1.2% of males and females,
respectively. Sequencing and in silico RFLP and phylogenetic analyses of PCR
amplified rDNA products indicated that H. crudus insects from Chicxulub Puerto
harbored phytoplasma strains of subgroups 16SrIV-A or 16SrIV-D, whereas in
insects from Merida the strains found were 16SrIV-A, 16SrIV-D or 16SrIV-E. The
diversity of subgroup strains detected in H. crudus coincided with strains
previously identified in palms showing LY-type disease syndromes in Yucatan
thereby implicating H. crudus as a candidate vector of 16SrIV phytoplasmas in
this region of Mexico.
PMID- 29354373
TI - Assessment of three plastid DNA barcode markers for identification of
Clinacanthus nutans (Acanthaceae).
AB - This study was conducted to determine the feasibility of using three plastid DNA
regions (matK, trnH-psbA, and rbcL) as DNA barcodes to identify the medicinal
plant Clinacanthus nutans. In this study, C. nutans was collected at several
different locations. Total genomic DNA was extracted, amplified by polymerase
chain reaction (PCR), and sequenced using matK, trnH-psbA, and rbcL, primers. DNA
sequences generated from PCR were submitted to the National Center for
Biotechnology Information's (NCBI) GenBank. Identification of C. nutans was
carried out using NCBI's Basic Local Alignment Search Tool (BLAST). The rbcL and
trnH-psbA regions successfully identified C. nutans with sequencing rates of 100%
through BLAST identification. Molecular Evolutionary Genetics Analysis (MEGA) 6.0
was used to analyze interspecific and intraspecific divergence of plastid DNA
sequences. rbcL and matK exhibited the lowest average interspecific distance
(0.0487 and 0.0963, respectively), whereas trnH-psbA exhibited the highest
average interspecific distance (0.2029). The R package Spider revealed that trnH
psbA correctly identified Barcode of Life Data System (BOLD) 96%, best close
match 79%, and near neighbor 100% of the species, compared to matK (BOLD 72%;
best close match 64%; near neighbor 78%) and rbcL (BOLD 77%; best close match
62%; near neighbor 88%). These results indicate that trnH-psbA is very effective
at identifying C. nutans, as it performed well in discriminating species in
Acanthaceae.
PMID- 29354374
TI - Spermidine sprays alleviate the water deficit-induced oxidative stress in finger
millet (Eleusine coracana L. Gaertn.) plants.
AB - Severe drought stress (water deficit) in finger millet (Eleusine coracana L.
Gaertn.) plants significantly reduced total leaf chlorophyll and relative water
content in shoots and roots, whereas electrolyte leakage, concentrations of
proline and hydrogen peroxide, as well as caspase-like activity were
significantly increased. The role of spermidine in plant defence to water-stress
was investigated after subjected to various drought treatments. Three weeks of
daily spermidine sprays (0.2 mM) at early flowering stage significantly changed
shoot and root growth, in both fresh and dry weights terms. At 75% of water
deficit stress, leaves accumulated twice as much proline as unstressed plants,
and roots accumulated thrice. Plants treated with spermidine under water stress
showed lower electrolyte leakage, hydrogen peroxide and caspase-like activity
than unstressed and untreated control.
PMID- 29354376
TI - Transcriptional control of anthocyanin biosynthesis genes and transcription
factors associated with flower coloration patterns in Gerbera hybrida.
AB - We analyzed the expression of anthocyanin biosynthesis genes and transcription
factors (TFs) in the Gerbera hybrida cultivars 'Bintang' and 'Alliance' that
exhibit different coloration patterns. Differential expression of biosynthesis
genes and TFs was associated with variable anthocyanin content at different
flower developmental stages (S1-S3) in both cultivars; higher anthocyanin content
was correlated with higher levels of gene expression. Exposure to different
temperatures (6 and 22 degrees C) also resulted in different anthocyanin content
levels: the lower temperature (6 degrees C) enhanced anthocyanin content
compared to the higher temperature (22 degrees C). However, the increased
anthocyanin content of 'Bintang' compared to 'Alliance' was the result of higher
levels of expression of all detected genes, regardless of flower stage and
temperature conditions. Therefore, we conclude that transcriptional control of
the detected genes is associated with the mechanisms of anthocyanin biosynthesis
and coloration patterns in gerberas; however, further studies of the key genes
are needed.
PMID- 29354375
TI - Comparative transcriptomics reveals a reduction in carbon capture and flux
between source and sink in cytokinin-treated inflorescences of Jatropha curcas L.
AB - The low seed yield of Jatropha curcas has been a stumbling block in realizing its
full potential as an ideal bioenergy crop. Low female to male flower ratio is
considered as a major limiting factor responsible for low seed yield in Jatropha.
An exogenous cytokinin application was performed on floral meristems to increase
the seed yield. This resulted in an increase of total flowers count with a higher
female to male flower ratio. However, the seed biomass did not increase in the
same proportion. The possible reason for this was hypothesized to be the lack of
increased photosynthesis efficiency at source tissues which could fulfil the
increased demand of photosynthates and primary metabolites in maturing seeds.
After cytokinin application, possible molecular mechanisms underlying carbon
capture and flux affected between the source and sink in developing flowers,
fruits and seeds were investigated. Comparative transcriptome analysis was
performed on inflorescence meristems (treated with cytokinin) and control
(untreated inflorescence meristems) at time intervals of 15 and 30 days,
respectively. KEGG-based functional annotation identified various metabolic
pathways associated with carbon capture and flux. Pathways such as
photosynthesis, carbon fixation, carbohydrate metabolism and nitrogen metabolism
were upregulated after 15 days of cytokinin treatment; however, those were
downregulated after 30 days. Five genes FBP, SBP, GS, GDH and AGPase showed
significant increase in transcript abundance after 15 days of treatment but
showed a significant decrease after 30 days. These genes, after functional
validation, can be suitable targets in designing a suitable genetic intervention
strategy to increase overall seed yield in Jatropha.
PMID- 29354377
TI - Protective role of selenium against chromium stress involving metabolites and
essential elements in Brassica juncea L. seedlings.
AB - The present study aimed at the potential role of selenium in providing protection
to plants subjected to chromium toxicity. The study was carried out on 15-day-old
seedlings of Brassica juncea raised in the solutions of Cr (300 uM) and Se (2, 4
and 6 uM), both alone and in combinations under controlled laboratory
environment. The effects were studied on growth, plant metabolites (involved in
osmotic homeostasis and stress protection), and essential elements. The results
showed that the exposure of B. juncea seedlings to 300 uM Cr led to an increase
in the contents of total sugars, reducing sugars, non-reducing sugars, total
phenols and flavonoids. However, a significant decline in growth characteristics,
the contents of proteins and free amino acids was observed. The essential
elements (Na, K, Ca, Mg, C, H, N) also decreased in response to Cr. Se
application in binary combinations, on the other hand, aided in improving seed
germination (19%), root (88.3%) and shoot (18.2%) lengths. It also helped to
increase the contents of sugars [total (16.3%), reducing (21.6%) and non-reducing
(15.2%)], phenols (36.7%) and flavonoids (27.4%), thereby aiding in alleviating
the phytotoxicity of Cr. The profiling of polyphenols and amino acids, and
histological study of phenols supported the above results. The contents of
essential elements also showed a significant increase, while Cr uptake was
observed to decline by Se supplementation. The observations from the present
study indicate that Se has the ability to influence primary and secondary
metabolism, improve mineral nutrition and reduce Cr uptake in B. juncea seedlings
to combat the Cr phytotoxicity and enhance the tolerance against stress.
PMID- 29354378
TI - Unveiling the role of ATP in amplification of intrinsic peroxidase-like activity
of gold nanoparticles.
AB - Peroxidase enzyme-like activity of gold nanoparticles (AuNPs) is currently being
investigated for the potential application in the several realms of biomedicines.
However, little is explored about the peroxidase activity of AuNPs decorated with
different surface charges. It is well-documented that the catalytic activity and
the interaction with mammalian cells are significantly different among AuNPs
carrying different surface charges. We have recently reported that ATP enhances
the peroxidase-like activity of AuNPs and iron oxide nanoparticles. However, a
comprehensive and systematic study to reveal the role of surface charge on
nanoparticles peroxidase-like activity has not been studied. In this work, we
have shown that AuNPs coated with PEG (PEG AuNPs), citrate (citrate AuNPs) or
CTAB (CTAB AuNPs) exhibit varying peroxidase-like activity and the boosting
effect imparted by ATP was also different. We found that the peroxidase-like
activity of PEG AuNPs and citrate AuNPs is dependent on hydroxyl radical
formation, whereas CTAB AuNPs did not show any significant activity under the
same experimental conditions. We also studied the boosting effect of ATP on the
peroxidase-like activity of PEG and citrate AuNPs. Although the use of ATP
resulted in enhanced peroxidase-like activity; however, contrary to the
expectation, it did not facilitate the enhanced production of hydroxyl radical.
In further studies, we found that the likely mechanism of boosting effect by ATP
is the stabilization of oxidized TMB after peroxidase reaction. ATP imparts
stabilization to the oxidized TMB produced due to PEG AuNPs, citrate AuNPs as
well as HRP.
PMID- 29354379
TI - Classifying nitrilases as aliphatic and aromatic using machine learning
technique.
AB - ProCos (Protein Composition Server, script version), one of the machine learning
techniques, was used to classify nitrilases as aliphatic and aromatic nitrilases.
Some important feature vectors were used to train the algorithm, which included
pseudo-amino acid composition (PAAC) and five-factor solution score (5FSS). This
clearly differentiated into two groups of nitrilases, i.e., aliphatic and
aromatic, achieving maximum sensitivity of 100.00%, specificity of 90.00%,
accuracy of 95.00% and Mathew Correlation Coefficient (MCC) of about 0.90 for the
pseudo-amino acid composition. On the other hand, five-factor solution score
achieved a sensitivity of 96.00%, specificity of 84.00%, accuracy of 90.00% and
Mathew Correlation Coefficient (MCC) of about 0.81. The total count of aliphatic
amino acids, Ala (A), Gly (G), Leu (L), Ile (I), Val (V), Met (M) and Pro (P),
was found to be higher, i.e., 42.7 in case of aliphatic nitrilases, whereas it
was 40.1 in aromatic nitrilases. On the other hand, aromatic amino acids, Tyr
(Y), Trp (W), His (H) and Phe (F) number, were found to be higher, i.e., 12.7 in
aromatic nitrilases as compared to aliphatic nitrilases which was 10.7. This
approach will help in predicting a nitrilase as aromatic or aliphatic nitrilase
based on its amino acid sequence. Access to the scripts can be done logging onto
GitHub using keyword 'Nitrilase' or 'https://github.com/rover2380/Nitrilase.git'.
PMID- 29354380
TI - Bioethanol production from microwave-assisted acid or alkali-pretreated
agricultural residues of cassava using separate hydrolysis and fermentation
(SHF).
AB - The effect of microwave (MW)-assisted acid or alkali pretreatment (300 W, 7 min)
followed by saccharification with a triple enzyme cocktail (Cellic, Optimash BG
and Stargen) with or without detoxification mix on ethanol production from three
cassava residues (stems, leaves and peels) by Saccharomyces cerevisiae was
investigated. Significantly higher fermentable sugar yields (54.58, 47.39 and
64.06 g/L from stems, leaves and peels, respectively) were obtained after 120 h
saccharification from MW-assisted alkali-pretreated systems supplemented (D+)
with detoxification chemicals (Tween 20 + polyethylene glycol 4000 + sodium
borohydride) compared to the non-supplemented (D0) or MW-assisted acid-pretreated
systems. The percentage utilization of reducing sugars during fermentation (48 h)
was also the highest (91.02, 87.16 and 89.71%, respectively, for stems, leaves
and peels) for the MW-assisted alkali-pretreated (D+) systems. HPLC sugar profile
indicated that glucose was the predominant monosaccharide in the hydrolysates
from this system. Highest ethanol yields (YE, g/g), fermentation efficiency (%)
and volumetric ethanol productivity (g/L/h) of 0.401, 78.49 and 0.449 (stems),
0.397, 77.71 and 0.341 (leaves) and 0.433, 84.65 and 0.518 (peels) were also
obtained for this system. The highest ethanol yields (ml/kg dry biomass) of ca.
263, 200 and 303, respectively, for stems, leaves and peels from the MW-assisted
alkali pretreatment (D+) indicated that this was the most effective pretreatment
for cassava residues.
PMID- 29354381
TI - The production of UL16-binding protein 1 targeted pigs using CRISPR technology.
AB - Two sgRNAs were designed to target the region of exon 2 of the pULBP1 gene by
microinjection. The co-injection of modified Cas9-D10A nickase with a pair of
sgRNAs into the zygote's cytoplasm easily and efficiently generated biallelic
modification of the pULBP1 gene in one step. Five out of nine F0 generation
piglets showed insertions or deletions in the targeting site of the pULBP1 gene,
indicating that pULBP1 mutation efficiency reached about 56% (5/9). Quantitative
determination of pULBP1 showed approximately a 1.53-fold reduction in the amount
of protein ULBP1 on the cell surface (ELISA). A human NK-cell cytotoxicity test
leads to the conclusion that higher cell viability is observed for -/- ULBP1
(survival rate 85.36%) compared to +/+ ULBP1 (69.58%). ULBP1-KO pigs will provide
a more progressive xenograft source for further research studies, especially
those measuring the effects of abolishing the gene function in terms of the
complexity of the immunological interactions.
PMID- 29354382
TI - Production of gellan gum, an exopolysaccharide, from biodiesel-derived waste
glycerol by Sphingomonas spp.
AB - In the present study, biodiesel-derived waste glycerol (WG) was used for the
isolation and production of gellan, an exopolysaccharide, on media containing WG
as the main carbon source. Two bacterial isolates showed gellan producing
potential which were identified as Sphingomonas pseudosanguinis (Accession No.
GI:724472387) and Sphingomonas yabuuchiae (GI:724472388) by 16S rRNA gene
sequencing. To maximize gellan production by S. pseudosanguinis and S.
yabuuchiae, media optimization was performed at different pHs and glycerol
concentrations. Morphological observations through microscopic images showed the
production of gellan from these isolates. Simple linear regression showed better
utilization of WG by S. pseudosanguinis than S. yabuuchiae at pH 6 and pH 7.
Though, both the strains showed reverse trend at pH 8. Both the strains were able
to produce high amounts of gellan gum (51.6 and 52.6 g/l, respectively) using WG
(80 g/l) as the sole carbon source, in a minimal medium. This is the first report
on the efficient degradation of WG and low-cost production of gellan. Owing to
these characteristics, S. pseudosanguinis and S. yabuuchiae demonstrate great
potential for use in the commercial production of gellan and in the
bioremediation of WG.
PMID- 29354383
TI - Calligonum comosum and Fusarium sp. extracts as bio-mediator in silver
nanoparticles formation: characterization, antioxidant and antibacterial
capability.
AB - In the current study, extracellular biosynthesis of silver nanoparticles (AgNPs)
was carried out using aqueous extracts of green Calligonum comosum stem, besides
Fusarium sp. Synthesized AgNPs were characterized using ultraviolet (UV)-Vis
spectrophotometer, transmission electron microscopy (TEM) and zeta potential.
Moreover, biosynthesized AgNPs were estimated for the scavenging ability on DPPH
radical as well as tested for their antibacterial activity using well diffusion
method against Gram-positive bacteria Staphylococcus aureus. On the other hand,
DNA content from untreated and AgNPs treated bacterial cells was evaluated by
(UV)-Vis spectrophotometer and agarose gel electrophoresis. Results revealed the
formation of AgNPs, which was first detected by color change of the reaction
mixture. The characteristic surface plasmon resonance absorption was detected at
450 and 410 nm for the plant and myco-synthesized AgNPs. Furthermore, TEM
micrograph and zeta sizer showed formation of spherical particles with an average
size of about 105.8 and 228.4 nm for plant and myco-synthesized AgNPs,
respectively. Plant-synthesized AgNPs exhibited higher scavenging of DPPH
radicals than that of the myco-synthesized one. For bactericidal action, plant
synthesized AgNPs showed higher inhibition zone compared with myco-synthesized
one, which was negatively correlated with the nanoparticle size. Furthermore, low
DNA concentration was detected for AgNPs treated bacteria, which might be a
consequence of inactivation for DNA replication. Further experimental work is
required to find out if there is any correlation between nanoparticles size and
efficacy against bacteria.
PMID- 29354384
TI - Survey of sulfur-oxidizing bacterial community in the Pearl River water using
soxB, sqr, and dsrA as molecular biomarkers.
AB - In this study, we surveyed the abundance and diversity of three sulfur oxidation
genes (sqr, soxB, and dsrA) using quantitative assays and Miseq high-throughput
sequencing. The quantitative assays revealed that soxB is more abundant than sqr
and dsrA and is the main contributor to sulfur oxidation. In the diversity
analysis, the SOB community mainly comprised the classes Nitrospira,
Alphaproteobacteria, Betaproteobacteria, and Gammaproteobacteria. The genera
Gallionella, Hydrogenophaga, Limnohabitans, Methylomonas, Nitrospira, Rhodoferax,
and Sulfuritalea were abundant in the communities for sqr; Dechloromonas,
Limnohabitans, Paracoccus, Sulfuritalea, Sulfitobacter, and Thiobacillus were
abundant in communities for soxB; Sulfuritalea, Sulfurisoma, and Thiobacillus
were abundant in communities for dsrA. This study presented a high diversity of
SOB species and functional sulfur-oxidizing genes in Pearl River via high
throughput sequencing, suggesting that the aquatic ecosystem has great potential
to scavenge the sulfur pollutants by itself.
PMID- 29354385
TI - Nanotechnology: current uses and future applications in the food industry.
AB - Recent advances in nanoscience and nanotechnology intend new and innovative
applications in the food industry. Nanotechnology exposed to be an efficient
method in many fields, particularly the food industry and the area of functional
foods. Though as is the circumstance with the growth of any novel food processing
technology, food packaging material, or food ingredient, additional studies are
needed to demonstrate the potential benefits of nanotechnologies and engineered
nanomaterials designed for use in foods without adverse health effects.
Nanoemulsions display numerous advantages over conventional emulsions due to the
small droplets size they contain: high optical clarity, excellent physical
constancy against gravitational partition and droplet accumulation, and improved
bioavailability of encapsulated materials, which make them suitable for food
applications. Nano-encapsulation is the most significant favorable technologies
having the possibility to ensnare bioactive chemicals. This review highlights the
applications of current nanotechnology research in food technology and
agriculture, including nanoemulsion, nanocomposites, nanosensors, nano
encapsulation, food packaging, and propose future developments in the developing
field of agrifood nanotechnology. Also, an overview of nanostructured materials,
and their current applications and future perspectives in food science are also
presented.
PMID- 29354386
TI - Molecular characterization of 5' UTR of the lycopene epsilon cyclase (lcyE) gene
among exotic and indigenous inbreds for its utilization in maize
biofortification.
AB - Maize grains are the important source of food and energy, but possess very low
proA (< 2.5 ug/g) compared to target level of 15 ug/g set by HarvestPlus to
alleviate VAD. Favorable allele having variation in 5' untranslated region (UTR)
of lycopene epsilon cyclase (lcyE) gene enhances concentration of proA in maize.
To identify the sequence variation in 5' UTR of lcyE, a set of diverse 13 inbreds
of indigenous and exotic origin was characterized for allelic constitution of
lcyE. Inbreds possessed wide variation in proA (1.62-23.12 ug/g) with a mean of
9.64 ug/g. The proA in CIMMYT-HarvestPlus genotypes having favorable allele of
lcyE was very high (22.28 ug/g), whereas the Indian inbreds with the same allele
possessed very low proA (2.48 ug/g). Eight genotypes viz., HKI161, HKI163, HKI161
PV, HKI163-PV, HKI193-1-PV, HKI193-2-PV, HP704-22 and HP704-23 revealed the
presence of favorable allele, while VQL1, DMRIL47, MGU-PV-123/C6, HKI193-1 and
HKI193-2 showed the presence of unfavorable allele of lcyE gene. Sequence
comparison of favorable allele of Indian (HKI161 and HKI163) and exotic genotypes
(HP704-22 and HP704-23) revealed seven SNPs having three transitions (SNP1 and
SNP3: G to A, SNP2: C to T) and four transversions (SNP4: C to G, SNP5: T to G,
SNP6: G to C and SNP7: G to T). Four SNPs (SNP1: position 446, SNP2: position
458, SNP3: position 459 and SNP4: position 483) discriminated the low- and high-
proA lines having favorable allele of lcyE 5'TE. These SNPs hold significance in
enrichment of proA in maize for marker development and their use in marker
assisted selection.
PMID- 29354387
TI - Simultaneous detection of Escherichia coli O157:H7, Staphylococcus aureus and
Salmonella by multiplex PCR in milk.
AB - Escherichia coli O157:H7, Staphylococcus aureus, and Salmonella are food-borne
pathogens that cause serious gastrointestinal illness and frequent food safety
accidents. This study aimed to develop a practical multiplex polymerase chain
reaction (mPCR) technique for the simultaneous detection of these food-borne
pathogens in culture broth and artificial food matrix. Pathogen-specific DNA
sequences in the rfbE, nuc, and invA genes were used as targets to design primers
for the identification of E. coli O157:H7, S. aureus, and Salmonella,
respectively. As expected, the method produced species-specific bands of
amplified products without any contaminating non-specific bands. The highest
species specificity was established with primer concentrations of 0.1, 0.2, and
0.4 MUM for E. coli O157:H7, S. aureus, and Salmonella, correspondingly. The
detection sensitivity of this assay was 103 CFU/mL in culture broth, and the
limit of detection was consistent with singleplex PCR in the food sample. The
mPCR assay proposed here is an easy and convenient detection method, which will
be valuable for microbial epidemiology and food safety investigations.
PMID- 29354388
TI - Evaluation of plant-based natural coagulants for municipal wastewater treatment.
AB - In this study, four plant-based natural coagulants (banana peel powder, banana
stem juice, papaya seed powder and neem leaf powder) were evaluated for the
removal of turbidity, chemical oxygen demand (COD) and total suspended solids
(TSS) from municipal wastewater. The experiments were conducted at room
temperature without adjusting the initial pH. The maximum turbidity removal was
observed with banana peel powder (59.6%) at 0.4 g/L of dosage. Papaya seed powder
and banana stem juice were the most effective for TSS removal (66.66%) and COD
removal (66.67%), respectively. Significant linear relationships between
turbidity and TSS (R2 = 0.67-0.88) and turbidity removals and COD removals (R2 =
0.68-0.8) were observed. Interestingly, all the natural coagulants tested in the
study did not change the pH of the wastewater, which is an added advantage. FTIR
analysis of banana peels revealed that functional groups such as carboxylic acid,
hydroxyl and aliphatic amines might be responsible for promoting the coagulation
flocculation by neutralizing the charge on impurities in water. Overall, the
results suggest the potential of low-cost natural coagulants in municipal
wastewater treatment.
PMID- 29354389
TI - Computer-Based Prevention and Intervention to Reduce Substance Use in Youth.
AB - Purpose of Review: Computer-based programs for substance use prevention and
intervention among youth are on the ascendancy. Whether delivered by computer per
se or by electronic tablet or smartphone, technology-driven programs are
harmonious with how young people access information and interact with their
worlds. This review examines recent evidence on computer-based programs aimed at
substance use among youth, with particular attention to results from randomized
trials. Recent Findings: Outcome studies of computer-based, substance use-related
programs published over the past 5 years reveal mixed results amidst diverse
intervention approaches and delivery settings. Many studies are marred by high
attrition. Notable in the recent literature is the international nature of
technology-driven substance use prevention and intervention programs. With some
exceptions, most programs appear to not have been customized for their recipient
populations. Though few in number, the highest-quality studies of computer-based
programs show positive outcomes in reduced substance use rates. Summary: Based on
recent findings, considerable work needs to happen before computer-delivered
approaches are a proven means for reducing substance use among youth. Original
programs, expressly developed for subgroups of youth, are in short supply. Though
controlled designs are becoming commonplace, too many studies of computer-based
programs suffer from flaws-including high rates of attrition-that limit the
discovery of positive outcomes.
PMID- 29354391
TI - Extensive Metastatic Sarcomatoid Renal Cell Carcinoma Evaluated by 18F-FDG
PET/CT: a Case Report and Review of Literature.
AB - Sarcomatoid renal cell carcinoma (sRCC) is a highly aggressive form of
dedifferentiated renal cell carcinoma. We report a 62-year-old man who presented
with respiratory symptoms and a lung mass on chest computed tomography (CT). The
patient underwent positron emission tomography/computed tomography (PET/CT) with
18F-fluorodeoxyglucose (18F-FDG) and was found to have extensive metastatic
disease. Based on the history and imaging findings, there were possible primary
malignancies, including bronchogenic carcinoma, melanoma, or an aggressive
lymphoma. An excisional biopsy surprisingly revealed a high-grade sarcomatoid
carcinoma with no evidence of differentiation, and immunohistochemical (IHC)
studies showed that the tumor cells were positive for markers of genitourinary
origin (PAX-8 and vimentin). The histologic and IHC results, along with multiple
FDG-avid exophytic lesions in both kidneys, were considered diagnostic of sRCC.
Here we have highlighted the potential role of 18F-FDG-PET-CT in patients with
sRCC, discussed the diagnostic challenges, and presented a brief review.
PMID- 29354392
TI - Adolescents in Multi-Ethnic Families under Korean Ethnic Nationalism.
PMID- 29354393
TI - Effect of Obesity on Blood Pressure and Arterial Stiffness in Middle-Aged Korean
Women.
AB - Objectives: Our study aims to provide basic scientific data on the importance of
obesity management in middle-aged Korean women by analyzing its effects on blood
pressure and arterial stiffness. In addition, we examined the correlations of
these two parameters. Methods: The study participants were 40 middle-aged female
volunteers, who were classified into obesity group (n = 20) and normal weight
group (n = 20). Statistical analysis was performed using independent t-test and
the Pearson correlation coefficient was used to correlate blood pressure and
arterial stiffness. Results: This study evaluated the systolic blood pressure,
diastolic blood pressure, and pulse wave velocity (PWV). These results were
higher in the obesity group than the normal weight group. Furthermore, blood
pressure and arterial stiffness (PWV, augmentation pressure) were static
correlated. Conclusion: Obesity is closely related to blood pressure and arterial
stiffness. Therefore, indices for blood pressure and arterial stiffness may play
a vital role in predicting and preventing obesity and its sequelae.
PMID- 29354390
TI - Radiotherapy and Glioma Stem Cells: Searching for Chinks in Cellular Armor.
AB - Purpose of the review: Radiation became a pillar of oncologic treatment in the
last century and provided a powerful and effective locoregional treatment of
solid malignancies. After achieving some of the first cures in lymphomas and skin
cancers, it assumed a key role in curative treatment of epithelioid malignancies.
Despite success across a variety of histologic types, glioblastoma (GBM), the
most common primary brain tumor afflicting adults, remains ultimately resistant
to current radiation strategies. While GBMs demonstrate an initial response,
recurrence is essentially universal and fatal, and typically reoccur in the areas
that received the most intense radiation. Recent Findings: Glioma stem cells
(GSCs), a subpopulation of tumor cells with expression profiles similar to neural
stem cells and marked self-renewal capacities, have been shown to drive tumor
recurrence and preclude curative radiotherapy. Recent research has shown that
these cells have enhanced DNA repair capacity, elevated resistance to cytotoxic
ion fluxes and escape multi-modality therapies. Summary: We will analyze the
current understanding of GSCs and radiation by highlighting key discoveries
probing their ability to withstand radiotherapy. We then speculate on novel
mechanisms by which GSC can be made sensitive to or specifically targeted by
radiation therapy.
PMID- 29354394
TI - Effects of Timely Control Intervention on the Spread of Middle East Respiratory
Syndrome Coronavirus Infection.
AB - Objectives: The 2015 Middle East Respiratory Syndrome Coronavirus (MERS-CoV)
outbreak in Korea caused major economic and social problems. The control
intervention was conducted during the MERS-CoV outbreak in Korea immediately
after the confirmation of the index case. This study investigates whether the
early risk communication with the general public and mass media is an effective
preventive strategy. Methods: The SEIR (Susceptible, Exposed, Infectious,
Recovered) model with estimated parameters for the time series data of the daily
MERS-CoV incidence in Korea was considered from May to December 2015. For 10,000
stochastic simulations, the SEIR model was computed using the Gillespie
algorithm. Depending on the time of control intervention on the 20th, 40th, and
60th days after the identification of the index case, the box plots of MERS-CoV
incidences in Korea were computed, and the results were analyzed via ANOVA.
Results: The box plots showed that there was a significant difference between the
non-intervention and intervention groups (the 20th day, 40th day, and 60th day
groups) and seemed to show no significant difference based on the time of
intervention. However, the ANOVA revealed that early intervention was a good
strategy to control the disease. Conclusion: Appropriate risk communication can
secure the confidence of the general public in the public health authorities.
PMID- 29354395
TI - Korean Adolescents' Health Behavior and Psychological Status according to Their
Mother's Nationality.
AB - Objectives: This study was conducted to compare adolescents' health behaviors and
psychological status according to whether or not their mother was born in Korea.
Methods: This secondary analysis used nationally representative data from the
2015 Korean Youth Risk Behavior Web-based Survey. The self-administered
questionnaire included computer measured socio-demographic variables, 15 health
behaviors, and psychological status. Data from 65,426 middle school and high
school students were analyzed. Multiple logistic regression, adjusting for socio
demographic variables, was used to analyze differences in health behaviors and
psychological status between adolescents with a foreign-born mother and those
with a Korean mother. Results: Adolescents who have foreign-born mothers had a
lower level of current drinking and subjective happiness, but a higher stress
level. Conclusion: The stress levels of the adolescents with foreign-born mothers
could be affected by their multicultural background. It is necessary to analyze
stress-influencing factors of multicultural adolescents by comparing them to
adolescents from Korean parents. Additionally, our society should pay more
attention to the mental health of multicultural adolescents. Schools should also
make various efforts to protect multicultural adolescents by adopting mental
health management programs led by school nurses and counselors.
PMID- 29354396
TI - Epidemiological Study on Candida Species in Patients with Cancer in the Intensive
Care Unit.
AB - Objectives: Although cancer survival rates have increased, serious infection
complications can arise in cancer patients. Candida can occur in various tissues
and has significant effects on the prognosis of patients with cancer. Thus, we
conducted an epidemiological study on Candida infections in patients with cancer
admitted to the intensive care unit. Methods: A retrospective study was conducted
in adult patients with cancer admitted to the intensive care unit between January
1, 2013, and December 31, 2015. Candida infection status and predictive factors
for mortality were examined in 634 patients. Results: The predictive factors for
mortality included the use of steroids, use of a central venous catheter or
mechanical ventilator, and identification of Candida in the blood. Patients who
stayed in the surgical and intensive care unit for more than 7 days had a lower
risk of death than that in those with shorter days. Conclusion: The present study
shows that invasive procedures, bloodstream infections, and the use of steroids
increase the risk of mortality in Candida-infected patients with cancer. To
improve the quality of life and reduce mortality, further studies are needed on
the factors affecting the risk of mortality associated with Candida infection.
PMID- 29354397
TI - Development of a New Approach to Determine the Potency of Bacille Calmette-Guerin
Vaccines Using Flow Cytometry.
AB - Objectives: To circumvent the limitations of the current golden standard method,
colony-forming unit (CFU) assay, for viability of Bacille Calmette-Guerin (BCG)
vaccines, we developed a new method to rapidly and accurately determine the
potency of BCG vaccines. Methods: Based on flow cytometry (FACS) and fluorescein
diacetate (FDA) as the most appropriate fluorescent staining reagent, 17 lots of
BCG vaccines for percutaneous administration and 5 lots of BCG vaccines for
intradermal administration were analyzed in this study. The percentage of viable
cells measured by flow cytometry along with the total number of organisms in BCG
vaccines, as determined on a cell counter, was used to quantify the number of
viable cells. Results: Pearson correlation coefficients of FACS and CFU assays
for percutaneous and intradermal BCG vaccines were 0.6962 and 0.7428,
respectively, indicating a high correlation. The coefficient of variation value
of the FACS assay was less than 7%, which was 11 times lower than that of the CFU
assay. Conclusion: This study contributes to the evaluation of new potency test
method for FACS-based determination of viable cells in BCG vaccines. Accordingly,
quality control of BCG vaccines can be significantly improved.
PMID- 29354398
TI - Effects of Anti-Smoking Public Service Announcements on the Attitudes of Korean
College Students toward Smoking.
AB - Objectives: This study aimed to identify the effects of anti-smoking public
service announcements on the attitudes of Korean college students toward smoking.
Methods: This study involved students via convenience sampling from seven
universities who were randomly assigned to four groups. All groups completed a
preliminary questionnaire, before being shown a public service announcement
twice, and then completed a post viewing questionnaire. Results: For
announcements with positive messages, the proportion of changes in beliefs and
attitudes were 39.1% and 19.8%, respectively, whereas those with negative
messages showed a greater proportion of changes in the beliefs (59.7%) and
attitudes (40.3%). After adjusting for sex and change in belief, the message
types and smoking status were identified as factors affecting the change in the
participants attitudes. A negative message resulted in a greater change in
attitudes (odds ratio [OR], 3.047; 95% confidence interval [CI], 1.847-5.053).
Ever-smokers including current smokers showed a greater positive change in
attitude than never-smokers (OR, 6.965; 95% CI, 4.107-11.812). Conclusion: This
study found that positive anti-smoking public service announcements were more
effective on attitude change than negative messages. Additionally these
announcements were more effective among viewers who were current smokers or had a
prior smoking experience.
PMID- 29354399
TI - Relationship between Alcohol Purchasing Time and Alcohol Use Disorder in South
Korea.
AB - Objectives: Currently, time of alcohol purchase is not part of the policies to
regulate alcohol consumption in South Korea. This study was conducted to
determine the relationship between alcohol purchasing time and alcohol use
disorder. Methods: The survey for this study was conducted in geographically
diverse regions of South Korea in 2012. Respondents' purchasing behaviors for
both on-licensed (i.e., allows for consumption within the premises) and off
licensed (i.e., where alcohol is consumed off the premises) outlets and time of
alcohol consumption were collected. Alcohol consumption patterns were examined
using the Rapid Alcohol Problem Screen 4 (RAPS4). Data were also analyzed by age,
gender and purchasing time. Results: Results showed that among the off-licensed
premises, supermarkets appear to be the most popular venue while for on-licensed
premises; alcohol was generally consumed inside hotels/pubs regardless of age and
gender of the purchaser. Purchasing of alcohol was highest during the day and
early evening period (9:00 a.m. to 9:59 p.m.). Females are most likely to abuse
alcohol than males during the early morning period and is that period after 12:00
midnight. Conclusion: Analysis suggests that the survey instrument used in the
International Alcohol Control Study is being used to collect data on alcohol
purchasing time consumption; therefore, the potential is there to provide
accurate results to contribute appropriate policy responses to reduce alcohol
related-harm.
PMID- 29354400
TI - In Vitro Antiviral Activity of Sakuranetin against Human Rhinovirus 3.
AB - Objectives: Rhinoviruses (RVs) cause common cold and are associated with
exacerbation of chronic inflammatory respiratory diseases. Until now, no
clinically effective antiviral chemotherapeutic agents to treat diseases caused
by human rhinoviruses (HRVs) have been reported. We assessed the anti-HRV3
activity of sakuranetin isolated from Sorbus commixta Hedl. in human epithelioid
carcinoma cervix (HeLa) cells, to evaluate its anti-rhinoviral potential in the
clinical setting. Methods: Antiviral activity and cytotoxicity as well as the
effect of sakuranetin on HRV3-induced cytopathic effects (CPEs) were evaluated
using the sulforhodamine B (SRB) method using CPE reduction. The morphology of
HRV3-infected cells was studied using a light microscope. Results: Sakuranetin
actively inhibited HRV3 replication and exhibited antiviral activity of more than
67% without cytotoxicity in HeLa cells, at 100 MUg/mL. Ribavirin showed anti-HRV3
activity similar to that of sakuranetin. Treatment of HRV-infected HeLa cells
with sakuranetin visibly reduced CPEs. Conclusion: The inhibition of HRV
production by sakuranetin is mainly due to its general antioxidant activity
through inhibition of viral adsorption. Therefore, the antiviral activity of
sakuranetin should be further investigated to elucidate its mode of action and
prevent HRV3-mediated diseases in pathological conditions.
PMID- 29354401
TI - Follow-up Study of Patients Previously Diagnosed with Lymphatic Filariasis in
Korea.
AB - Objectives: Korea was an endemic area for lymphatic filariasis (LF), caused by
the nematode parasite Brugia malayi, until the 1970s. The World Health
Organization recognized Korea as LF-free in June 2008. However, it is necessary
to confirm that patients that have had LF in the past still test negative, to
prevent the re-emergence of LF in Korea. Methods: We followed up a total of 83
patients who had been diagnosed with LF between 2002 and 2010 in endemic LF
areas. Results: Fifty-two of the 83 subjects were negative for LF, whereas 31
subjects had re-located to a different city or province, were dead, or were
unaccounted for. Most subjects with negative test results still exhibited edema
in the legs or the arms, and some complained of redness and swelling in the legs
or ankle joints. However, we found that these symptoms were due to diseases other
than LF. Conclusion: In this follow-up study, we did not find any evidence
indicating the potential re-emergence of LF in Korea.
PMID- 29354403
TI - Endoscopic Interdigital Neurectomy of the Foot.
AB - Morton's neuroma is a compressive neuropathy resulting in perineural fibrosis
rather than a neuroma of the plantar digital nerve. Surgical treatment is
indicated for patients with a clear diagnosis of Morton's neuroma and no
improvement with nonsurgical treatment. The surgical options include isolated
intermetatarsal ligament division, isolated interdigital nerve excision, and
interdigital nerve excision with intermetatarsal ligament division, with or
without submuscular transposition. This can be performed via dorsal or plantar
approach. The open approaches require long incisions and extensive soft-tissue
dissection. The purpose of this Technical Note is to describe the surgical
details of endoscopic interdigital neurectomy. This is an endoscopic approach
involving interdigital nerve excision with intermetatarsal ligament division and
submuscular transposition.
PMID- 29354404
TI - Lateral Ulnar Collateral Ligament Reconstruction for Posterolateral Rotatory
Instability of the Elbow.
AB - Reconstruction of the lateral ulnar collateral ligament of the elbow is the
primary treatment for recurrent symptomatic posterolateral rotatory instability.
Although a number of lateral ulnar collateral ligament reconstruction techniques
have been described, the docking technique has received general acceptance. In
this technique, the graft is passed through a tunnel on the ulnar side and the 2
free limbs are docked into the humerus at the isometric point on the lateral
condyle. Advantages of this method of reconstruction include reduced bone
removal, decreased soft tissue damage, and precise control of graft tensioning.
When precise surgical steps are followed, this technique can be performed in a
reliable, efficient, and reproducible manner for patients with posterolateral
rotatory instability of the elbow.
PMID- 29354405
TI - Arthroscopic Pancapsular Shift With Labral Repair for Multidirectional
Instability of the Shoulder.
AB - Initial treatment of shoulder multidirectional instability (MDI) consists of
nonoperative modalities of physical therapy and rehabilitation; if this fails,
surgical treatment can become necessary. MDI of the shoulder can be challenging
to manage in individuals who fail conservative management. Historically, surgical
treatment for MDI has been open capsular plication; however, arthroscopic
capsular plication has now become the standard of care, with outcomes similar to
the open procedure. The purpose of this article and Video 1 is to describe our
arthroscopic technique for pancapsular shift with labral repair.
PMID- 29354406
TI - Office-Based Needle Arthroscopy: A Standardized Diagnostic Approach to the Knee.
AB - Surgical knee arthroscopy is among the most commonly performed procedures in the
United States. The decision to treat is often based on clinical examination and
magnetic resonance imaging. Equivocal results frequently require patients to
undergo surgical arthroscopy, exposing the patient to inherent risks and
potential surgical complications. Office-based needle arthroscopy provides an
alternative approach to visualizing intra-articular anatomy and pathology in real
time. The purpose of this article is to provide a standardized diagnostic
approach to needle arthroscopy of the knee.
PMID- 29354402
TI - Role of calcium channels in bipolar disorder.
AB - Bipolar disorder is characterized by a host of sleep-wake abnormalities that
suggests that the reticular activating system (RAS) is involved in these
symptoms. One of the signs of the disease is a decrease in high frequency gamma
band activity, which accounts for a number of additional deficits. Bipolar
disorder has also been found to overexpress neuronal calcium sensor protein 1
(NCS-1). Recent studies showed that elements in the RAS generate gamma band
activity that is mediated by high threshold calcium (Ca2+) channels. This mini
review provides a description of recent findings on the role of Ca2+ and Ca2+
channels in bipolar disorder, emphasizing the involvement of arousal-related
systems in the manifestation of many of the disease symptoms. This will hopefully
bring attention to a much-needed area of research and provide novel avenues for
therapeutic development.
PMID- 29354407
TI - Biceps Tenodesis: Anatomic Tensioning.
AB - Biceps tenodesis is a commonly employed surgical intervention for refractory
symptoms related to the biceps-labral complex, those intra-articular and those
within the extra-articular bicipital tunnel. While a litany of surgical
techniques exists, the optimal method for ensuring an anatomic length-tension
relationship during tenodesis remains elusive. Appropriate tensioning may limit
undesirable outcomes such as cramping or cosmetic deformity. We describe herein
our technique as a simple and efficient means to establish patient-specific,
anatomic tensioning of the long head of the biceps during tenodesis.
PMID- 29354408
TI - Arthroscopic Repair of a Circumferential 360 degrees Labral Tear.
AB - Injuries to the glenoid labrum can result in shoulder instability and pain. These
lesions may occur anywhere around the glenoid labrum, and thus, the arthroscopist
must be prepared to approach all aspects of the glenoid from multiple angles. The
pan-labral or circumferential (360 degrees ) tear of the glenoid labrum presents
a unique challenge to even the experienced arthroscopist. The extent of the
lesion requires the use of accessory portals and percutaneous techniques to
establish adequate visualization and to facilitate the proper trajectory for
anchor placement. The pan-labral tear also demands intraoperative planning
throughout the repair to ensure proper tensioning and alignment of the labrum and
capsular tissue. The purposes of this article are to report a technique for
repairing a pan-labral lesion and to emphasize the use of accessory portals and
percutaneous techniques for complete access to the glenoid.
PMID- 29354409
TI - Video-Assisted Thoracoscopic Surgery for Drainage of Dorsal Paravertebral
Abscess.
AB - Tuberculosis of the spine is the second most common extrapulmonary presentation
of the disease and is associated with significant morbidity. The drainage of the
abscess may be needed in patients who fail to respond to medical treatment and
present with a significant or deteriorating neurologic status. The dorsal spine
gives a unique opportunity for decompression alone as it is supported by the rib
cage, decreasing the chances of collapse. Drainage of the abscess in the dorsal
spine usually needs an open thoracotomy. Open thoracotomy is associated with
increased blood loss, pain, and increased postoperative rehabilitation period.
Video-assisted thoracoscopic surgery (VATS) is an excellent alternative for
decompression of dorsal paraspinal abscess with significantly decreased blood
loss, soft tissue dissection, scarring, and hospital stay. Overall, this leads to
significantly decreased postoperative morbidity and faster recovery. The purpose
of this article was to describe the indications and procedure of VATS for
drainage of dorsal spine paravertebral abscess.
PMID- 29354410
TI - Opening Wedge High Tibial Osteotomy Using Combined Computed Tomography-Based and
Image-Free Navigation System.
AB - For opening wedge high tibial osteotomy (OWHTO), it is recommended that the
osteotomy line is parallel to the medial tibial posterior slope (TPS) in the
sagittal view and that the alignments are simultaneously controlled in the
coronal and sagittal views. Here combined computed tomography (CT)-based and
image-free navigation systems were used for intraoperative reference during
OWHTO. Using the CT-based navigation, 2 entry points for insertion of Kirschner
wires were preoperatively set up and an accurate osteotomy plane was
intraoperatively duplicated. Preoperative planning anticipated a femorotibial
angle of 170 degrees , representing a weight-bearing ratio of 62.5%, on the whole
leg radiograph. The original TPS in the sagittal view was aimed to be preserved
postoperatively. The hip-knee-ankle (HKA) correction angle was preoperatively
measured on the whole-leg radiograph, and the HKA angle and flexion angle were
intraoperatively monitored in real time using the image-free navigation. We have
introduced an operative technique for OWHTO using CT-based and image-free
navigation systems. We expect that this method, with the osteotomy plane parallel
to the tibial plateau plane in the sagittal view and simultaneous control of
coronal and sagittal alignments, will enable actuation of accurate alignment in
the 2 planes and lead to improvements in patient activity in future.
PMID- 29354411
TI - Shoulder Arthroscopy in the Beach Chair Position.
AB - Arthroscopic shoulder surgery can be performed in both the beach chair and
lateral decubitus positions. The beach chair position is a reliable, safe, and
effective position to perform nearly all types of shoulder arthroscopic
procedures. The advantages of the beach chair position include the ease of setup,
limited brachial plexus stress, increased glenohumeral and subacromial
visualization, anesthesia flexibility, and the ability to easily convert to an
open procedure. This position is most commonly used for rotator cuff repair,
subacromial decompression, and superior labrum anterior-to-posterior repair
procedures. To perform arthroscopy surgery in the beach chair position
successfully, meticulous care during patient positioning and setup must be taken.
In this Technical Note, we describe the necessary steps to safely and efficiently
prepare patients in the beach chair position for arthroscopic shoulder surgery.
PMID- 29354412
TI - Arthroscopic Anatomic Single-Bundle Anterior Cruciate Ligament Reconstruction
Using Bone-Patellar Tendon-Bone Autograft: Pearls for an Accurate Reconstruction.
AB - An anterior cruciate ligament (ACL) tear is one of the most common orthopaedic
injuries. Optimal results are not always achieved after surgical reconstruction
after a surgical technical error, namely, tunnel malposition. Understanding of
the anatomy is vital, and several anatomic landmarks can be used to aid in an
optimal reconstruction technique. The purpose of this Technical Note is to
describe our preferred technique for an arthroscopic single-bundle anteromedial
ACL reconstruction, using a bone-patellar tendon-bone autograft fixed with
interference screws.
PMID- 29354413
TI - Shoulder Arthroscopy in the Lateral Decubitus Position.
AB - Arthroscopic shoulder surgery can be performed in both the beach chair and
lateral decubitus positions. The lateral decubitus position allows for excellent
exposure to all aspects of the glenohumeral joint and is therefore frequently
employed in procedures such as stabilization, in which extensive visualization of
the inferior and posterior aspects of the joint is required. Improved
visualization is imparted due to applied lateral and axial traction on the
operative arm, which increases the glenohumeral joint space. To perform
arthroscopy surgery in the lateral decubitus position successfully, meticulous
care during patient positioning and setup must be taken. In this Technical Note,
we describe the steps required to safely, efficiently, and reproducibly perform
arthroscopic shoulder surgery in the lateral decubitus position.
PMID- 29354414
TI - A Femoral Tunnel View Test During ACL Reconstruction.
AB - Despite technologic advances in the surgical techniques for anterior cruciate
ligament (ACL) reconstruction, some patients continue to have violation of the
femoral cortex, commonly referred to as wall blowout. These posterior or lateral
cortical breaches lead to loss of graft containment and subsequent difficulty
with graft fixation. If this intraoperative error is not promptly recognized and
treated, the graft is at an increased risk of premature failure. Thus, in these
situations, recognizing the complication and knowing strategies for alternative
or salvage fixation are of importance. This article details a simple tunnel view
test realized during ACL reconstruction that would enable determining the
integrity and depth of the femoral tunnel. The femoral tunnel view test is a
technically straightforward and quick test able to obtain a superior view of
patient anatomy to facilitate accurate tunnel integrity evaluation during ACL
reconstruction.
PMID- 29354415
TI - Anterolateral Arthroscopic Posterior Subtalar Arthrodesis: The Surgical
Technique.
AB - The effectiveness of subtalar arthrodesis has been well documented in treating
degenerative subtalar joint disease. The arthroscopic subtalar approach for
arthrodesis has also been proved to give excellent results in terms of bone
fusion rates and reduction of wound-related pain and complications. To date, the
main concerns about arthroscopy have regarded incision-related neurologic
complications such as lesions of the tibial, fibular, and sural nerves. In this
context, we present a 2-portal lateral (anterior and middle) approach to
arthroscopic subtalar arthrodesis, recently documented in the literature, that
provides similar excellent access to the joint with a lower risk of nerve damage.
PMID- 29354416
TI - Anterior Cruciate Ligament Reconstruction Basics: Bone-Patellar Tendon-Bone
Autograft Harvest.
AB - Anterior cruciate ligament reconstruction with bone-patellar tendon-bone
autograft has long been considered the graft preference for young, active
patients with anterior cruciate ligament injuries. The central-third of the
native patellar tendon is a reliable graft and is the preferred option for
competitive athletes given its excellent track record with high return-to-play
rates and low failure rates. Disadvantages to using this graft include donor site
morbidity and associated postoperative anterior knee pain, the risk of patellar
fracture or patellar tendon tear, and the potential for graft-construct mismatch.
In this Technical Note, we describe our preferred technique for bone-patellar
tendon-bone autograft harvest and preparation for anterior cruciate ligament
reconstruction.
PMID- 29354418
TI - Elbow Ulnar Collateral Ligament Reconstruction Using a 4-Strand Docking Plus
Technique.
AB - The "Docking Plus" technique for elbow ulnar collateral ligament (UCL) surgery is
described in the following text and video. Depite the general success of UCL
surgery, significant rates of retear and failure of return to competition
persist. Hypothesized reasons for UCL surgery failure include insufficient graft
strength (midsubstance tears), insufficient graft tensioning (functional UCL
insufficiency, valgus extension overload), and insufficient healing of graft to
bone (proximal avulsions). This technique is meant to incorporate the best
aspects of the previously described techniques for UCL reconstruction to create a
larger, stronger, better-tensioned graft with a larger healing surface area to
bone, a lower retear rate, and a lower risk of complications. The Docking Plus
technique has been used since 2012.
PMID- 29354417
TI - Arthroscopic Repair of Humeral Avulsion of the Glenohumeral Ligament Lesion.
AB - Humeral avulsion of the glenohumeral ligament (HAGL) is a lesion associated with
anterior shoulder instability. Although uncommon, HAGL lesions are a significant
contributor to shoulder pain and dysfunction and, if missed, can even be a cause
of failed Bankart repair. HAGL lesions should generally be repaired surgically;
however, given their low prevalence, there is no consensus on the optimal
surgical approach. The purpose of this Technical Note is to describe our
preferred surgical technique for the fixation of an HAGL lesion using an all
arthroscopic approach and a knotless suture anchor construct.
PMID- 29354419
TI - Revisiting Fulkerson's Original Technique for Tibial Tubercle Transfer: Easing
Technical Demand and Improving Versatility.
AB - Tibial tubercle osteotomy is a well-described treatment option for a variety of
patellofemoral disorders. Many techniques have evolved since its inception,
including combinations of anteriorization, medialization, and distalization of
the tibial tubercle. Although differing in their indications and end goal
destination of the tubercle, these techniques share the challenging technical
demands of achieving successful correction based off preoperative planning and
prevention of intraoperative complications. We present our technique using
osteotomy guide pins in a medial to lateral direction, originally described by
Fulkerson in 1982. The advantages of our technique include better visualization
for angle of osteotomy confirmation; versatility that provides options for any
combination of anteriorization, medialization, or distalization; and the
opportunity to maintain a distal cortical hinge if so desired.
PMID- 29354420
TI - Lateral Meniscus Allograft Transplantation: The Bone Plug Technique.
AB - Lateral meniscus tears are commonly encountered by orthopaedic surgeons. Despite
efforts to repair and preserve the meniscus, meniscectomy is occasionally
required to treat irreparable tears. The resulting lateral meniscus deficiency
leads to increased tibiofemoral contact pressures and ultimately early
osteoarthritic changes in the knee. Lateral meniscal allograft transplant (LMAT)
has been proposed as a way to restore the lateral meniscus-deficient knee to its
native form. Although several techniques for LMAT have been proposed, osseous
fixation has demonstrated increased stability, improved outcomes, and improved
long-term survival. This article presents a technique for LMAT using bone plugs
and standard arthroscopic portals.
PMID- 29354421
TI - Arthroscopic Inside-Out Repair of a Meniscus Bucket-Handle Tear Augmented With
Bone Marrow Aspirate Concentrate.
AB - Bucket-handle tears of the meniscus comprise nearly 10% of all meniscus tears and
commonly affect the young male population. Displacement of the free segment can
lead to significant pain and disability, necessitating reduction and surgical
treatment. General contraindications include malalignment, severe arthritis,
significant comorbidities, or chronic asymptomatic tears, but otherwise repair
should almost always be performed. Options for surgical treatment include partial
meniscectomy and arthroscopic repair using an all-inside, outside-in, or inside
out technique. The purpose of this Technical Note is to detail our arthroscopic
inside-out repair technique augmented with bone marrow aspirate concentrate.
PMID- 29354422
TI - Combined Anatomic Anterior Cruciate Ligament and Double Bundle Anterolateral
Ligament Reconstruction.
AB - The results of arthroscopic anterior cruciate ligament (ACL) reconstruction are
so far satisfactory and improving over time as a result of the improved
understanding of the anatomy and biomechanics of the ACL. Rotational instability
confirmed by a positive pivot shift is present in more than 15% of cases who
underwent successful ACL reconstruction. Persistent rotational instability
interferes with performing pivoting sports, and also may lead to meniscal and
chondral injuries, or re-rupture of the reconstructed ACL. Surgeons reconsidered
the anatomy and biomechanics of the ACL and introduced the double bundle ACL
reconstruction technique aiming to achieve a more rotational control by
reconstructing the anteromedial and anterolateral bundles of the ACL. To date,
the results of double bundle ACL reconstruction are mixed and inconsistent. The
improved understanding of the existence, function, and biomechanical role of the
anterolateral ligament (ALL) in controlling the rotational instability of the
knee has redirected and refocused attention on a supplemental extra-articular
reconstruction of the ALL in conjunction with the intra-articular ACL
reconstruction so as to restore normal kinematics of the knee. In this Technical
Note, we describe a technique that allows for a combined ACL and double bundle
ALL reconstruction using autogenous hamstring graft (semitendinosus and gracilis)
tendons. This technique is an extension of our previously described technique of
a combined anatomic ACL and single bundle ALL reconstruction. The improved
understanding of the anatomy of the ALL makes a double bundle ALL reconstruction
more anatomic than single bundle ALL reconstruction, as the native ALL is
triangular or inverted Y in shape, with a narrow proximal femoral attachment and
a broad distal tibial attachment between Gerdy's tubercle and the head of the
fibula.
PMID- 29354423
TI - Osteochondral Allograft Transplantation for Treatment of Medial Femoral Condyle
Defect.
AB - Chondral lesions of the knee can affect the young, active population, thereby
causing severe morbidity and a large economic burden. Although numerous
approaches have been described in the literature, restoration of hyaline
cartilage has yet to be shown. Specifically, larger, full-thickness cartilage
defects remain a challenge. This Technical Note details our technique for
biologic unicompartmental osteochondral allograft transplantation for the
treatment of large femoral condyle articular cartilage defects.
PMID- 29354424
TI - The "Purse String" Procedure for Recurrent Anterior Glenohumeral Instability: A
Simple Technique to Achieve Bankart Repair, Capsular Shift, and a Good Labral
Bumper.
AB - Failure of arthroscopic techniques in cases of recurrent anterior glenohumeral
instability may result from inadequate treatment of capsular injury. The use of
few anchors has been cited as a cause of failure in arthroscopic stabilization
techniques. This applies to the use of the suture anchors as spot-welding points
in conventional techniques. It has been shown that horizontal mattress suture
techniques restore better labral height and anatomy than simple suture techniques
in the repair of acute Bankart lesions. Horizontal mattress repairs, like the one
achieved with the "purse-string" technique, pushes the labrum toward the humeral
side of the joint, thus providing a buttress to the glenohumeral joint. We
present the purse-string technique, which involves the use of only 1 suture
anchor located at the 4-o'clock position. Sutures are passed through the labrum
and capsule from south to north, thus allowing the incorporation of more capsular
tissue involved in the raising of the anterior labral bumper. One suture anchor
at the 4-o'clock position is used to ensure a purse-string effect, with
tightening of the capsule in the inferosuperior plane and repair of the Bankart
lesion. The repair achieved is 3-fold: Bankart repair, south-to-north capsular
shift, and creation of an anterior bumper.
PMID- 29354427
TI - Simplifying Anterior Cruciate Ligament Graft Bone Plug Reconstructions: The "U"
Trough Technique.
AB - This Technical Note discusses an anterior cruciate ligament graft preparation
technique to simplify and ease graft visualization, insertion, and fixation. Our
technique incorporates a 2-mm central trough in the bone plug of the graft to
improve one's ability to efficiently identify and orient the anterior cruciate
ligament graft during insertion, and ensure correct screw placement and fixation.
PMID- 29354425
TI - Autogenous Hamstring-Bone Graft Preparation for Anterior Cruciate Ligament
Reconstruction.
AB - Despite the popularity of anterior cruciate ligament (ACL) reconstruction
procedures, the ideal graft for reconstruction remains a matter of controversy.
The ideal graft for ACL reconstruction should have histologic and biomechanical
characteristics similar to those of the native ACL; should be quickly and fully
incorporated within the bony tunnels; should maintain its viscoelastic properties
for a long time; should have minimal donor-site morbidity; should be of
sufficient length and diameter; should have minimal adverse effects on the
extensor mechanism; should have no risk of rejection or disease transmission; and
should be cost-effective and readily available. Synthetic grafts are not widely
accepted because of their dangerous complications. The main sources of grafts for
ACL reconstruction are allografts and autografts. Each type of graft has its own
relative advantages and disadvantages. Allografts are not available in every
country, besides being expensive, and there are many concerns regarding disease
transmission. Autografts, particularly bone-patellar tendon-bone (BPTB), and
hamstring tendon grafts have been the standard for ACL reconstruction. The main
advantage of autogenous BPTB grafts is the direct bone-to-bone healing in the
tunnel, whereas the main disadvantages of such grafts are related to donor-site
morbidity, anterior knee pain, and extensor mechanism dysfunction. The popularity
of autogenous hamstring tendon grafts for ACL reconstruction is increasing, but
there are still concerns regarding the slow soft tissue-to-bone healing, with
delayed healing and incorporation of the graft. We describe a technique for ACL
reconstruction with autogenous hamstring-bone graft, aiming to produce a type of
graft that combines the main advantages of BPTB and hamstring grafts, with
avoidance of the main disadvantages of these 2 most commonly used graft types in
ACL reconstruction.
PMID- 29354426
TI - Acromioclavicular Joint Dislocation: Repair Through Open Ligament Transfer and
Nonabsorbable Suture Fixation.
AB - Acromioclavicular (AC) joint instability is a fairly common and particularly
limiting injury that may result in persistent pain and reduced quality of life.
In most cases, conservative management is successful. However, in the case of a
severe AC joint dislocation, surgical intervention may be warranted. Previous
surgical techniques for treatment of AC joint instability include screw fixation
between the coracoid and clavicle, coracoacromial ligament transfer from its
acromial insertion to the clavicle, and reconstruction of the coracoacromial
and/or coracoclavicular ligaments. The purpose of this Technical Note is to
describe our preferred technique for the treatment of a high-grade AC dislocation
through coracoacromial ligament transfer to the lateral clavicle and
nonabsorbable suture fixation between the coracoid process and clavicle.
PMID- 29354428
TI - Tibial Eminence Fracture Repair With Double Hewson Suture Passer Technique.
AB - Displaced tibial eminence fractures are commonly encountered in pediatric
patients and are often considered to be functionally equivalent to an anterior
cruciate ligament (ACL) rupture. While a variety of techniques are available for
fixation of this injury, we describe an anchorless technique relying on suture
fixation tied over a bone bridge. This technique also relies on two intra
articular Hewson suture passers to quickly and effectively pass and shuttle
sutures through the ACL and tibial bone tunnels in order to reduce and fix the
fracture fragment. We also briefly review various types of fixation used for
tibial eminence fractures.
PMID- 29354429
TI - Mini-open Incision Sports Hernia Repair: A Surgical Technique for Core Muscle
Injury.
AB - One cause of groin pain in highly active patients may be a core muscle injury,
commonly referred to as sports hernia. When patients fail nonoperative
management, there are a number of surgical options that may be pursued.
Typically, they will involve the direct repair of the rectus abdominis back to
the pubis. However, we believe that this repair can be further strengthened by
the appropriate lengthening of the adductor longus from the conjoined tendon.
Therefore, we present a surgical technique that involves both rectus abdominis
repair and adductor longus lengthening in those who show a core muscle injury
that is refractory to conservative management. We believe that this technique can
be easily replicated by practitioners reading this Technical Note.
PMID- 29354430
TI - Identifying and Exposing the Proximal Biceps in Its Groove: The "Slit" Technique.
AB - Proximal biceps tendon pathology is a common source of shoulder symptoms. Thus,
visualization of the entire extent of the biceps tendon is often required for
both diagnostic and therapeutic purposes. Accurately recognizing the presence and
extent of biceps pathology intraoperatively is made more difficult, however, due
to the extra-articular location of a significant portion of the biceps tendon as
it courses within the bicipital groove. Unfortunately, identification of the
biceps groove in the subacromial space is often challenging due to the lack of
visual and tactile landmarks. A technique that facilitates efficient and reliable
bicipital groove identification and biceps tendon visualization along its entire
course within the groove is presented.
PMID- 29354431
TI - Anterior Cruciate Ligament Tunnel Placement Using the Pathfinder Guide.
AB - Reconstruction techniques for the anterior cruciate ligament (ACL) have evolved
considerably over the past 3 decades. The femoral tunnel is most commonly made
via a transtibial or separate anteromedial portal approach. Benefits and
drawbacks for each of these techniques exist. Improper tunnel placement is the
cause of failure for ACL reconstruction 70% of the time. We present a hybrid
technique for femoral tunnel placement using the Pathfinder ACL guide, which
attempts to give the surgeon many of the benefits of both the transtibial and
anteromedial portal techniques without the drawbacks.
PMID- 29354433
TI - Stump Incorporation for Anterior Cruciate Ligament Reconstruction: A Step Towards
a More Anatomical Reconstruction.
AB - In most anterior cruciate ligament (ACL) tears, the tear is at the femoral side
leaving a robust stump attached to the tibia. Stump-preserving ACL reconstruction
carries the advantage of rapid reinnervation and revascularization of the graft.
In this technique, the femoral tunnel is created after exposing the femoral
footprint. The ACL tibial stump is split and the tip of the ACL tibial guide is
introduced through this split to reach the center of the tibial footprint. The
tibial tunnel is then created and the ACL stump is bored to allow the passage of
the graft. After the passage of the graft inside the stump and femoral and tibial
fixation, 1 or 2 sutures are used to suture the graft to the stump by a suture
passing device (Expressew II; Depuy Mitek, Raynham, MA). This technique, in
addition to preservation of the mechanoreceptors and vascular channels for
revascularization and reinnervation of the graft, allows preservation of the
shape and surface area of the wide tibial origin of the ACL.
PMID- 29354432
TI - Two-Stage Revision Anterior Cruciate Ligament Reconstruction Using Allograft Bone
Dowels.
AB - Revision anterior cruciate ligament (ACL) reconstruction is substantially more
challenging than primary reconstruction. Management of previously malpositioned
or widened tunnels often requires innovative approaches for managing bony
defects. Massive osteolysis with poor bone stock and convergence or overlapping
of revision tunnels into the previously placed tunnels may necessitate a staged
revision procedure. In this surgical technique description, we describe a method
for the management of bony deficiencies using allograft bone dowels in staged
revision ACL reconstruction.
PMID- 29354434
TI - ACL Reconstruction Basics: Quadruple (4-Strand) Hamstring Autograft Harvest.
AB - Hamstring tendon autograft remains a popular graft choice for anterior cruciate
ligament (ACL) reconstruction. Although there are a variety of autograft and
allograft options available for ACL reconstruction, advantages of hamstring
tendon autografts include decreased postoperative knee pain and an overall easier
surgical recovery compared with bone patellar tendon bone autograft. In addition,
4-stranded (quadruple) hamstring grafts are among the strongest grafts
biomechanically (at time equals zero). Although the technique of hamstring
autograft harvest is relatively straightforward, it is critical to pay attention
to several technical steps to avoid iatrogenic neurovascular damage as well as to
avoid premature amputation of the graft while using a tendon stripper. In this
Technical Note, we describe a technique of hamstring autograft harvest for ACL
reconstruction for a quadruple (4-strand) hamstring graft using the gracilis and
semitendinosus tendons.
PMID- 29354435
TI - Inside-Out Repair of Meniscal Ramp Lesions.
AB - Meniscal ramp lesions have been reported to be present in 9% to 17% of patients
undergoing anterior cruciate ligament reconstruction. Detection at the time of
arthroscopy can be accomplished based upon clinical suspicion and careful
evaluation without the use of an accessory posteromedial portal. Options for
surgical treatment include arthroscopic repair using an all-inside or inside-out
technique. The purpose of this Technical Note is to detail our arthroscopic
inside-out repair technique for meniscal ramp lesions.
PMID- 29354436
TI - Horizontal Cleavage Meniscus Tear Treated With All-inside Circumferential
Compression Stitches.
AB - Horizontal cleavage meniscus tears are a common orthopedic injury often treated
with partial or total meniscectomy versus repair. This Technical Note presents a
technique for all-inside repair with uniform compression of the superior and
inferior leaflets by placement of multiple circumferential compression stitches
using an all-inside self-retrieving suture passing device. The currently
described technique provides several advantages for all-inside repair of a
horizontal cleavage tear: (1) eliminates the need for a posterior incision, (2)
minimizes the risk of neurovascular injury, (3) uses standard arthroscopy portals
(or small modifications), and (4) requires only a single suture deployment with a
self-retrieving device for each circumferential compression stitch. The resulting
circumferential stitch provides uniform compression to the superior and inferior
leaflets, promoting meniscal healing. This configuration has been shown to have
the highest load to failure of all repair patterns.
PMID- 29354437
TI - Augmentation of the Pullout Repair of a Medial Meniscus Posterior Root Tear by
Arthroscopic Centralization.
AB - The meniscus roots are critical for meniscus function in preserving correct knee
kinematics and avoiding meniscus extrusion and, consequently, in the progression
of osteoarthritis. Several techniques exist for medial meniscus posterior root
tear repair; however, current surgical techniques have been proved to fail to
reduce meniscus extrusion, which has been shown to be associated with development
of osteoarthritis, although significant improvements in the postoperative
clinical findings have been achieved. This Technical Note describes an
arthroscopic technique for the medial meniscus posterior root tear in which a
pullout repair is augmented by a centralization technique to restore and maintain
the medial meniscus function by efficiently reducing meniscus extrusion.
PMID- 29354438
TI - Osteotomy of the Tibial Tubercle for Anteromedialization.
AB - Patellofemoral instability is a common cause of anterior knee pain, especially in
younger and more active patients. Treatment of instability varies considerably
depending on the patient's symptoms as well as the cause of the instability.
Lateral instability has a particularly broad spectrum of treatment algorithms
including patellar taping, arthroscopy, lateral release, medial patellofemoral
ligament (MPFL) reconstruction, MPFL repair, and osteotomy of the tibial tubercle
for realignment. Acute traumatic lateral dislocation is commonly associated with
a tear of the MPFL and, therefore, needs to be addressed. However, patients who
show lateralization of the tibial tubercle with an increased tibial tubercle-to
trochlear groove distance, tibial tubercle-to-posterior cruciate ligament
distance, and Q-angle measurements often display chronic instability even after
an MPFL reconstruction. In these cases, an osteotomy of the tibial tubercle is
required to establish proper alignment and minimize the risk of recurrence of
instability. The objective of this Technical Note is to describe our preferred
method to complete a Fulkerson tibial tubercle osteotomy for anteromedialization
and treatment of chronic patellar instability.
PMID- 29354439
TI - Pectoralis Major Transfer for Treatment of Serratus Anterior Dysfunction in the
Setting of Long Thoracic Nerve Palsy.
AB - Symptomatic scapular winging resulting in scapular dyskinesia leads to a wide
spectrum of clinical complaints, most notably periscapular pain. The
malpositioning of the scapula, termed through use of the acronym SICK (scapular
malposition, inferior-medial border prominence, coracoid pain and malposition,
and dyskinesia of scapular movement), is due to the irregular activity of one or
more of the periscapular muscles. In particular, the serratus anterior,
innervated by the long thoracic nerve, is a key muscle that stabilizes the
scapula and provides coordinated scapulohumeral rhythm. If the long thoracic
nerve is injured, this results in significant shoulder dysfunction and scapular
winging that may require surgical intervention. The purpose of this Technical
Note is to present our preferred technique to treat symptomatic scapular winging
due to long thoracic nerve palsy through transfer of the pectoralis major with
its bone insertion to the inferior edge of the scapula.
PMID- 29354440
TI - Sternoclavicular Joint Reconstruction in the Setting of Medial Comminuted
Clavicle Fracture.
AB - A dislocation of the sternoclavicular joint is a particularly threatening injury
given the close proximity of neighboring vital structures. Moreover, a traumatic
injury resulting in a comminuted fracture of the medial clavicle in addition to
joint instability results in even greater complexity. In the setting of
sternoclavicular joint instability, definitive treatment that will lead to
complete resolution of symptoms is necessary. Various treatment methods, both
conservative and operative, with positive treatment outcomes have been described.
The aim of this Technical Note is to describe our preferred surgical technique to
treat a medial comminuted clavicle fracture with anterior sternoclavicular joint
instability.
PMID- 29354441
TI - Arthroscopic Excision of an Osteoid Osteoma of the Lesser Trochanter of the
Femoral Neck.
AB - Osteoid osteoma frequently requires surgical treatment, especially among young,
active patients. However, surgeons are reluctant to perform open surgery for an
osteoid osteoma of the femoral neck area because the conventional surgical
approach requires a large incision in muscular patients, and percutaneous
resection with a trephine has the attendant risk of subsequent fracture.
Recently, arthroscopic excision of an osteoid osteoma has been reported as a less
invasive, safer procedure than traditional open curettage. Hip arthroscopy using
the burr-down technique under C-arm guidance to locate and remove the lesion of
the femur neck has also shown promise. The presented technique minimizes muscle
damage around the femur and enables surgeons to confirm complete resection of the
lesion through direct, detailed visualization. Furthermore, early return to
previous activity can be achieved after excising the lesion located at the calcar
femorale, which is an area with a heavy weight-bearing load. The objective of
this Technical Note was to describe our preferred technique for the surgical
excision of osteoid osteoma located at the base of the femoral neck through the
use of arthroscopy.
PMID- 29354442
TI - Open Repair of an Anterior Humeral Avulsion of the Glenohumeral Ligament.
AB - A humeral avulsion of the glenohumeral ligament, or HAGL lesion, is an uncommon
yet disabling shoulder injury, which leads to complaints of pain and overall
inability to properly use the shoulder from patients. The diagnosis of a HAGL
lesion is particularly challenging. To arrive at an accurate diagnosis, the use
of a magnetic resonance arthrogram is suggested along with high clinical
suspicion. A HAGL lesion may be anterior or posterior. This difference dictates
the type of surgical treatment to be undertaken. Although a posterior HAGL lesion
is repaired arthroscopically, an anterior HAGL lesion is treated through an open
approach. The purpose of this Technical Note is to describe our preferred
technique to surgically treat an anterior HAGL lesion through an open approach.
PMID- 29354443
TI - Endoscopic Curettage of Bone Cyst of the Fibular Head.
AB - Open resection of cystic or other benign intramedullary lesions of the fibular
head and neck requires dissection and mobilization of the common peroneal nerve.
The purpose of this Technical Note is to describe a minimally invasive approach
of fibular head bone endoscopy for resection of a bone cyst or other benign
intramedullary lesions of the fibular head and neck. The common peroneal nerve,
biceps femoris tendon, and lateral collateral ligament are preserved.
PMID- 29354444
TI - Meniscal Ramp Lesion Repair by a Trans-septal Portal Technique.
AB - The identification of meniscal ramp lesions can be quite difficult or even
impossible with conventional anterior arthroscopic viewing and working portals.
Although even the use of transnotch viewing maneuvers into the posteromedial
compartment increases the likelihood of diagnosis, it is the posteromedial and
trans-septal portals that provide the best direct visualization of these many
times "hidden lesions." In this surgical technique description, we describe a
method to not only adequately visualize the ramp lesion, but also provide subtle
variations to existing surgical techniques that can help limit injury to
neurovascular structures as well as gain satisfactory vertical suture repair of
this posteromedial meniscocapsular injury.
PMID- 29354445
TI - Arthroscopic Saucerization and All-Inside Repair of a Delaminated Discoid Lateral
Meniscus.
AB - Preservation of the meniscus has been shown to influence the progression of
degenerative changes of the knee. As more meniscus is preserved, the propensity
for development of osteoarthritis is lessened. Surgical treatment of a torn
discoid meniscus has typically been a subtotal meniscectomy or partial
meniscectomy. Similar to tears of a normal meniscus, partial meniscectomy
compared with subtotal meniscectomy of the discoid meniscus confers better long
term results. With the abnormal morphology predisposing the discoid meniscus to
tearing, several characteristic tear patterns can be observed-the horizontal tear
being commonly encountered. We present a technique that not only creates a more
normal morphology of a discoid meniscus but also preserves the tissue by using an
all-inside, intrameniscal repair technique. This technique restores the central
rim of the meniscus in the setting of a horizontal cleavage plane, thus restoring
a more normal meniscus morphology.
PMID- 29354446
TI - Multiple Osteochondral Allograft Transplantation with Concomitant Tibial Tubercle
Osteotomy for Multifocal Chondral Disease of the Knee.
AB - Symptomatic patellofemoral chondral lesions are a challenging clinical entity, as
these defects may result from persistent lateral patellar maltracking or
repetitive microtrauma. Anteromedializing tibial tubercle osteotomy has been
shown to be an effective strategy for primary and adjunctive treatment of focal
or diffuse patellofemoral disease to improve the biomechanical loading
environment. Similarly, osteochondral allograft transplantation has proven
efficacy in physiologically young, high-demand patients with condylar or
patellofemoral lesions, particularly without early arthritic progression. The
authors present the surgical management of a young athlete with symptomatic
tricompartmental focal chondral defects with fresh osteochondral allograft
transplantation and anteromedializing tibial tubercle osteotomy.
PMID- 29354447
TI - Arthroscopic Superior Capsule Reconstruction Technique in the Setting of a
Massive, Irreparable Rotator Cuff Tear.
AB - Massive, irreparable rotator cuff tears are challenging to treat and associated
with pain and severe limitation in shoulder elevation due to the proximal
migration of the humeral head and, consequently, subacromial impingement.
Furthermore, retraction of the tendons in combination with fat infiltration and
muscular weakness results in unpredictable treatment outcomes. While conservative
treatment may be warranted for low-demand patients, surgical treatment is often
indicated for a successful return to activities of daily living as well as an
improved quality of life. The reported failure rate of rotator cuff repair for
the treatment of a massive rotator cuff tear varies. However, this failure is
often found at the interface between the tendon and tendon footprint. Several
techniques have been reported to address this pathology, including muscular
transfer, arthroscopic debridement, augmentation procedures, and superior capsule
reconstruction. In particular, superior capsule reconstruction has been reported
as a safe and effective method to treat a massive, irreparable rotator cuff tear.
The purpose of this Technical Note is to describe our preferred technique of a
superior capsule reconstruction for the treatment of a massive, irreparable
rotator cuff tear.
PMID- 29354448
TI - Femoral Derotation Osteotomy Technique for Excessive Femoral Anteversion.
AB - Excessive femoral anteversion may lead to increasing stress placed on the
anterior acetabulum and soft tissues, which can predispose to intra-articular hip
pathology. By addressing the excessive femoral anteversion in combination with
intra-articular hip pathology, the results will be durable over time. This
technique details how to perform a femoral derotation osteotomy for excessive
femoral anteversion after addressing intra-articular pathology with hip
arthroscopy in one surgical intervention. This allows the surgeon to address both
the underlying pathoanatomy and the resultant intra-articular sequelae.
PMID- 29354449
TI - An Inexpensive Support for Prone or Lateral Decubitus Elbow Arthroscopy and Open
Elbow Surgery.
AB - An inexpensive and radiolucent elbow can be built with a PVC pipe available at
any home center or hardware store for less than $25 that allows elbow arthroscopy
or open elbow surgery such as open reductions and internal fixation to be
performed in the prone, "sloppy" lateral, or decubitus position.
PMID- 29354450
TI - Subpectoral Biceps Tenodesis: Interference Screw and Cortical Button Fixation.
AB - Bicep tendon pathology often arises from chronic overuse injuries, acute trauma,
or degenerative changes in the glenohumeral joint. These injuries can cause
significant shoulder pain, and can greatly limit range of motion and, in turn,
activities of daily living. The diagnosis of biceps pathologies can be
challenging, because patients often present with nonspecific symptoms. Some bicep
tendon pathologies may be treated nonoperatively; however, biceps tendon
subluxation and the presence of rotator cuff or SLAP lesions require surgical
management. One of the options for the treatment of bicep tendon pathology
includes miniopen subpectoral biceps tenodesis. The purpose of this Technical
Note is to describe in detail our preferred operative technique for miniopen
subpectoral biceps tenodesis with 2 different fixation methods.
PMID- 29354451
TI - Arthroscopic Iliopsoas Release at the Level of the Lesser Trochanter Following
Total Hip Arthroplasty.
AB - Iliopsoas impingement is an uncommon cause of pain after total hip arthroplasty.
If pain persists after a trial of conservative treatment, surgical intervention
can alleviate the patient's symptoms. Multiple advantages exist to release the
iliopsoas tendon at the level of the lesser trochanter. The purpose of this
Technical Note is to demonstrate a technique for arthroscopic release of the
iliopsoas tendon at the lesser trochanter after total hip arthroplasty.
PMID- 29354452
TI - Arthroscopic Proximal Row Carpectomy Using the Volar Central Portal.
AB - Proximal row carpectomy (PRC) is a well-accepted procedure for the treatment of
degenerative lesions of the wrist and advance Kienbock disease. This procedure
has been classically described as an open procedure but recently has been
reported as an arthroscopic one. Arthroscopic PRC has several advantages such as
minimal damage to the dorsal and volar ligaments as well as there being no need
to detach the capsule, which can facilitate earlier mobilization and can decrease
postoperative stiffness. In addition, there is a reduced risk of the interosseous
posterior nerve being injured, and the proprioception system continues to
function. This arthroscopically assisted technique uses the volar central portal
as a third portal, which can be useful to remove the volar portions of the bones
to view the dorsal areas of the bones and to allow the surgeon to work with 2
instruments at the same time. As a result, the time spent on the procedure can be
reduced.
PMID- 29354453
TI - Arthroscopic Medial Meniscus Posterior Root Reconstruction Using Auto-Gracilis
Tendon.
AB - There have been several techniques to repair the medial meniscus posterior root
tears (MMPRTs) with the goal of restoring the anatomic and firm fixation of the
meniscal root to bone. Many anatomic studies about the menisci also have been
developed, so a better understanding of the anatomy could help surgeons perform
correct fixation of the MMPRTs. The meniscal roots have ligament-like structures
that firmly attach the menisci to the tibial plateau, and this structural concept
is important to restore normal biomechanics after anatomic root repair. We
present arthroscopic transtibial medial meniscus posterior root reconstruction
using auto-gracilis tendon.
PMID- 29354454
TI - Arthroscopic Medial Meniscal Allograft Transplantation with Modified Bone Plug
Technique.
AB - The meniscal allograft transplantation (MAT) has been reported to be an effective
treatment in terms of pain relief and functional improvement in symptomatic
meniscus-deficient knee. The medial MAT is usually performed with the bone plug
technique or soft tissue fixation for root fixation. We describe medial MAT with
modified bone plug technique that permits easy passage of posterior bone plugs
and facilitates bone-to-bone healing. With this method, an anterior bone plug
with a long cylindrical shape is prepared, and the posterior bone plug is
prepared with a flat bone shell containing a cancellous portion. This modified
technique facilitates graft passage as well as bone-to-bone healing.
PMID- 29354455
TI - Fresh Osteochondral Allograft Transplantation for Focal Chondral Defect of the
Humerus Associated With Anchor Arthropathy and Failed SLAP Repair.
AB - Isolated, full-thickness articular cartilage lesions of the glenohumeral joint
can cause pain, mechanical symptoms, and impaired function. Reports on operative
management of these injuries with arthroscopic techniques, such as marrow
stimulation, have shown improvement in patient symptoms. In cases where the
subchondral bone is involved, osteochondral allograft (OCA) transplantation has
shown positive results for contained, focal cartilage defects. The technique for
OCA transplantation to treat Hill-Sachs lesions has been reported in detail, and
there are multiple case series reporting on the outcomes of OCA used for this
purpose. This Technical Note shows the application of OCA to treat a case of
anchor arthropathy where a glenoid anchor placed during arthroscopic
stabilization causes iatrogenic damage to the humeral head. This type of injury
can result in cartilage lesions in uncommon locations, such as on the posterior
humeral head. In this description, the technical pearls and pitfalls of managing
difficult-to-access posterior humeral head lesions are presented along with the
senior authors' general technique for OCA to treat focal lesions of the humeral
head cartilage.
PMID- 29354456
TI - Endoscopic Anterior Subcutaneous Transposition of the Ulnar Nerve.
AB - Cubital tunnel syndrome refers to ulnar nerve compressive neuropathy and most
commonly occurs at the level of the elbow. Surgical options include in situ
decompression, decompression with anterior transposition of the ulnar nerve, and
medial epicondylectomy with or without decompression. With the advancement of
endoscopic surgery, techniques of endoscopic in situ decompression of the ulnar
nerve, endoscopy-assisted anterior transposition, and endoscopic anterior
transposition of the ulnar nerve have been reported with the advantage of
minimally invasive surgery. We describe a 2-portal technique of endoscopy
assisted anterior subcutaneous transposition of the ulnar nerve.
PMID- 29354457
TI - Erratum.
AB - [This corrects the article DOI: 10.1016/j.eats.2017.02.014.].
PMID- 29354458
TI - Endoscopic Ganglionectomy of Palmar Ganglion via Flexor Carpi Radialis
Tendoscopy.
AB - Palmar ganglion is rare. Deep palmar ganglion usually arises from the wrist joint
and may cause compression neuropathy. Superficial palmar ganglion may arise from
the flexor tendons of the wrist. The chance of compression neuropathy is expected
to be less than deep palmar ganglion. However, there is a higher chance of
perforation through the skin and the ganglion may affect hand grip. Open
resection of the ganglion does not require extensive deep soft tissue dissection.
However, the skin incision can still be extensile to trace the stalk to the
tendon of origin. The purpose of this Technical Note is to report the details of
endoscopic ganglionectomy for superficial palmar ganglion arising from the flexor
carpi radialis tendon.
PMID- 29354459
TI - Arthroscopic Posterior Cruciate Ligament Reconstruction With Remnant Preservation
Using a Posterior Trans-septal Portal.
AB - We describe a surgical technique for arthroscopic posterior cruciate ligament
(PCL) reconstruction with remnant preservation of the original PCL fibers using a
posterior trans-septal portal approach. Using the transtibial technique and the
posterior trans-septal portal approach allows good visualization of the tibial
tunnel preparation, easy access to the tibial tunnel without neurovascular
injury, and preservation of remnant PCL fibers. In the preparation of the tibial
tunnel, we expose the PCL tibial attachment site completely, detach the posterior
capsule from the PCL, and preserve the distal stump of the PCL without
neurovascular injury. PCL reconstruction is performed in a way that preserves the
remnant PCL fibers. We report on our surgical technique for arthroscopic PCL
reconstruction preserving the original PCL fibers.
PMID- 29354460
TI - Reconstruction of the Medial Patellofemoral Ligament.
AB - Patellar instability has been shown to be associated with different major
factors. However, studies have demonstrated that soft tissue reconstructions are
adequate enough to reestablish patellar constraint. In recent years, the medial
patellofemoral ligament has been recognized as the primary passive restraint for
lateral translation of the patella. Their reconstruction has gain popularity as
the procedure is quite simple and fast. Although several surgical techniques have
been described for their reconstruction, no clear consensus has been reached as
to which is best. We present an implant-free, medial patellofemoral ligament
reconstruction technique that uses a gracilis tendon autograft, 2 bone convergent
tunnels at the original patellar attachment, and looping the graft around the
adductor magnus tendon that is used as a pulley for femoral fixation.
PMID- 29354461
TI - Endoscopic Ganglionectomy of the Volar Radial Wrist Ganglion.
AB - Volar ganglion cyst of the wrist is a common hand problem faced by orthopaedic
surgeons. Excision is indicated if it is painful. Arthroscopic ganglionectomy of
the wrist ganglion has been reported with the advantage of minimally invasive
surgery. Most of them involve elimination of the valvular mechanism and internal
drainage of the ganglion fluid to the wrist joint. The access of the ganglion sac
is limited especially for a multiloculated cyst. The purpose of this Technical
Note is to report the technique of endoscopic resection of the volar radial wrist
ganglion. This can ensure complete resection of the ganglion sac especially for
the multiloculated one.
PMID- 29354462
TI - Arthroscopic Arthrodesis of the First Metatarsophalangeal Joint in Hallux Valgus
Deformity.
AB - Arthrodesis of the first metatarsophalangeal joint is indicated for hallux valgus
associated with degenerative changes, severe deformity, or rheumatoid arthritis
and those for whom primary hallux valgus surgery has failed. Open approach
requires extensive soft tissue dissection. The purpose of this Technical Note was
to report the details of arthroscopic arthrodesis of the first
metatarsophalangeal joint in severe and rigid hallux valgus deformity. This is a
combination of endoscopic lateral release of the first metatarsophalangeal joint
and arthroscopic arthrodesis of the joint. Endoscopic lateral release will
convert the deformity into a flexible one and facilitate a subsequent arthrodesis
procedure.
PMID- 29354463
TI - Endoscopic Resection of Peroneal Tubercle.
AB - Symptomatic hypertrophy of the peroneal tubercle can result in pain, clicking
sensation, and limitation of ambulation. Surgical resection is indicated for
symptomatic hypertrophied tubercle that is recalcitrant to conservative
treatment. The purpose of this Technical Note is to report the details of
tendoscopic resection of the hypertrophied peroneal tubercle. This is performed
through zone 2 peroneus longus tendoscopy. Any associated hindfoot deformity and
peroneal tendon pathology should also be addressed.
PMID- 29354464
TI - Arthroscopic Arthrodesis of the Lesser Ray Tarsometatarsal Joints: 2-Portal
Technique.
AB - Tarsometatarsal (Lisfranc) destruction of the tarsometatarsal articulation of the
lesser rays is most commonly due to midfoot Charcot neuroarthropathy.
Tarsometatarsal arthrodesis is indicated when conservative management cannot
relieve the symptoms. The purpose of this Technical Note is to describe a
minimally invasive approach of arthroscopic arthrodesis of the destructed lesser
ray tarsometatarsal joints. The arthroscopic procedure is performed through the
lateral and P1-2 Lisfranc portals. It has the advantages of better cosmesis, less
wound complication, less bone resection, and more thorough joint debridement.
However, it is contraindicated if there is associated significant foot deformity
or shortening of the lesser foot rays.
PMID- 29354465
TI - Arthroscopic-Assisted Pectoralis Minor Transfer for Irreparable Tears of the
Upper Two-thirds of the Subscapularis Tendon: Surgical Technique.
AB - Open transfer of the pectoralis minor through a deltopectoral approach has been
described to treat irreparable tears of the upper two-thirds of the
subscapularis. We present a less invasive arthroscopic-assisted technique for
this transfer. Atraumatic dissection of the pectoralis minor and harvesting of
the tendon with a bone chip from the coracoid process allow strong fixation with
a double-button device on the lesser tuberosity. This arthroscopic technique
allows assessment and treatment of associated intra- or extra-articular lesions
and represents a promising option for the management of irreparable tears of the
subscapularis.
PMID- 29354466
TI - Intra-articular Anterior Cruciate Ligament Reconstruction With Extra-articular
Lateral Tenodesis of the Iliotibial Band.
AB - An increasing concern has been given to the rotation stability of the knee in the
setting of an anterior cruciate ligament (ACL) reconstruction. This growing
interest stems from a better understanding of the rotational stability of the
knee afforded by the identification of the anterolateral ligament. Previously, a
residual abnormal pivot-shift test had been found after an anatomic single-band
reconstruction of the ACL because of a lack of rotational stability, which may
lead to the development of osteoarthritis. Residual instability affects function,
especially in high-demand athletes who perform many flexion-rotation movements
during sporting activity. The purpose of this Technical Note is to describe our
preferred method of intra-articular ACL reconstruction using a hamstring tendon
autograft in combination with an extra-articular iliotibial band tenodesis for
reinforcement of rotational stability.
PMID- 29354467
TI - Posterior "Double Cannular Sliding Technique" for Resection of Posterior Septum
of the Knee Joint.
AB - We introduce the posterior double cannular sliding technique, which is an easy,
fast, safe, and reproducible method for posterior septum resection of the knee
joint. Several posterior compartment procedures have been developed. Procedures
with anterior-posterior approaches (transnotch approach) and posterior-posterior
approaches have become possible for arthroscopic total synovectomy and loose body
removal. For resection of the posterior septum with posterior-posterior
approaches, several authors have used various methods. However, although previous
studies reported posterior septum resection in the posterior portal, or
perforation of the posterior septum by blind vision or transnotch approach,
specific postperforation techniques to resect the posterior septum have not been
reported. Furthermore, methods of posterior septum resection remain difficult to
perform using posterior portal arthroscopy, with difficulties in the approach and
in achieving reproducible outcomes. Thus, we introduce the posterior double
cannular sliding technique, which is a reproducible method that uses 2
transparent cannulas and a switching stick under direct visualization control
with a transnotch approach, thereby minimizing the risk of potential
neurovascular or posterior cruciate ligament injury for all procedures in
posterior septum resection.
PMID- 29354468
TI - Imaging of Abductor Tears: Stepwise Technique for Accurate Diagnosis.
AB - This article presents the authors' technique for evaluation of possible abductor
tears with magnetic resonance imaging (MRI). By using T2 fat-saturated coronal
and axial slices of the hip and T1 coronal images of the pelvis, the authors show
how to visualize all tears of the abductor tendons and signs of chronic abductor
deficiency. After diagnosis has been made, signs that may help the surgeon
determine if open or arthroscopic surgery would be best used are reviewed.
Finally, the author reviews indications for a gluteus medius repair with
concomitant gluteus maximus reconstruction.
PMID- 29354469
TI - Arthroscopic Primary Anterior Cruciate Ligament Repair With Suture Augmentation.
AB - Historically, poor results of open primary repair of anterior cruciate ligament
(ACL) injuries have been reported. In hindsight, however, appropriate patient
selection (i.e. proximal tears and good tissue quality) was not performed,
because it has recently been recognized that good outcomes of primary ACL repair
are possible when selectively performed in patients with proximal tears and good
tissue quality. Moreover, with modern-day advances, arthroscopic primary repair
can be an excellent treatment option for patients with proximal tears. Preserving
the native ACL has several advantages, including maintaining native
proprioceptive function and biology. The procedure is also minimally invasive and
prevents the need for formal ACL reconstruction. Recently, it has been suggested
that additional suture augmentation of the primary repair technique may be
beneficial for protecting ligament healing during early range of motion. In this
Technical Note, we present the surgical technique of arthroscopic primary repair
with suture augmentation for patients with proximal ACL tears.
PMID- 29354470
TI - Posterior Capsulotomy of the Knee: Treatment of Minimal Knee Extension Deficit.
AB - The loss of knee extension, even if minimal, is disabling and considerably
affects the individual's quality of life. This loss of extension can be a
consequence of prior surgery, including a previous anterior cruciate ligament
reconstruction. Although this loss of extension may be treated through an
isolated arthroscopic procedure, a more severe case may warrant an invasive
approach. In these cases, a posterior capsulotomy of the knee may be done if all
conservative measures have been exhausted. This procedure has been proven to be
safe and effective in the re-establishment of full extension in the setting of a
minor flexion contracture of the knee. The purpose of this Technical Note was to
describe our preferred technique when performing an open posterior capsulotomy of
the knee for the treatment of minimal extension deficit.
PMID- 29354471
TI - Quadriceps Tendon Repair Using Knotless Anchors and Suture Tape.
AB - Repair of a torn quadriceps tendon is necessary to restore the extensor mechanism
of the knee. Traditional repair involves transosseous sutures tied over bone
bridges on the inferior pole of the patella. Suture anchor repair has been shown
to be stronger than transosseous repair and facilitates a smaller incision.
Suture tape can improve the strength of the suture-tendon interface, and when
combined with knotless anchors, leads to a stronger repair construct than even
traditional suture anchors and surgeon-tied knots. Here we describe our technique
of quadriceps tendon repair using suture tape and knotless anchors.
PMID- 29354472
TI - Systematic Arthroscopic Treatment of Diffuse Pigmented Villonodular Synovitis in
the Knee.
AB - Pigmented villonodular synovitis (PVNS) is a benign aggressive disease that
presents in either a localized (LPVNS) or diffuse (DPVNS) form. Arthroscopic
synovectomy is the standard operative treatment for LPVNS, and when used to treat
DPVNS, it is usually combined with an open posterior procedure. The purpose of
this Technical Note is to report the technique that we have refined to allow for
arthroscopic synovectomy as the sole treatment for DPVNS. We describe our
technique with the factors we have found to be important to ensure adequate
arthroscopic synovectomy, while minimizing risks and complications. The
combination of additional portals, the use of multiple different shavers and
arthroscopes, and the use of a leg holder all maximize our ability to clear
disease.
PMID- 29354473
TI - Aquarium Portal Technique for PASTA Lesion Repair.
AB - The simultaneous arthroscopic exposure of the subacromial and intra-articular
space of the shoulder is challenging in the presence of only partial-thickness
rotator cuff tears. We present our experience and method of entering the joint
through the opened rotator cuff interval from an anterosuperior portal between
the coracoid process and anterior acromion. With moderate (approximately 30
degrees ) abduction and external rotation, the rotator interval opens readily,
offering a view with the camera toward the anterior edge of the supraspinatus
tendon. An anterior view on the anterior leading edge of the supraspinatus tendon
is obtained, showing the subacromial space above and the glenohumeral space below
the tendon, similar to the view in an aquarium. The rotator cuff can be elevated
using a rod inserted intra-articularly from posterior, whereas anchors and other
instruments may be inserted from lateral. This approach offers the advantages of
full exposure of the posterior undersurface of the rotator cuff insertion; a
convenient approximately 90 degrees angle between the camera and instruments;
and no need to change portals for anchor placement, tendon stitching, or suture
handling. The objective of this Technical Note is to describe our arthroscopic
repair approach (aquarium technique) to PASTA (partial articular supraspinatus
tendon avulsion) lesions.
PMID- 29354474
TI - Long Head of the Biceps as a Suitable Available Local Tissue Autograft for
Superior Capsular Reconstruction: "The Chinese Way".
AB - Massive irreparable rotator cuff tears remain a challenging condition during
daily clinical practice. Irreversible fatty infiltration of muscles and excessive
chronic retraction of tendons predispose to high failure rates of their surgical
treatment. Superior capsular reconstruction with either fascia lata autograft or
a dermal allograft patch is a newly described solution that could prevent
superior humeral head migration and restore the anteroposterior shoulder muscle
force couples. The purpose of this article is to propose a technical modification
of superior capsular reconstruction using long head of the biceps tendon
autograft. The tendon's insertion into the glenoid is left intact, whereas
laterally, it is tenotomized, transferred, and sutured with anchors onto the
footprint of the supraspinatus tendon acting as a superior static stabilizer of
the shoulder joint. Although this surgical modification has theoretical
biological advantages, could be performed with the least technical demands, and
simplifies the original demanding procedure, further prospective studies with
large cohort populations and long-term follow-up are necessary to establish its
effectiveness.
PMID- 29354475
TI - Circumferential Suture Repair of Isolated Horizontal Meniscal Tears Augmented
With Fibrin Clot.
AB - Traditionally, horizontal cleavage meniscus tears have been associated with
osteoarthritis, indicative of the degenerative process. Recent treatment measures
have focused on maintaining as much meniscal tissue as possible, despite the
routine extension of these tears into the central white-white zones. In the
absence of tunnel drilling for cruciate ligament reconstructions, the use of an
exogenous fibrin clot is a useful adjunct to increase the local growth factors at
the tear repair to aid in healing. This surgical technique is to describe the use
of an all-arthroscopic tied circumferential suture repair of horizontal meniscal
tears augmented with exogenous fibrin clot to treat all locations of horizontal
meniscal tears.
PMID- 29354476
TI - Meniscal Allograft Transplantation With Concomitant Osteochondral Allograft
Transplantation.
AB - Surgical strategies for knee joint preservation are numerous, with the
procedure(s) of choice for a given patient dependent on the status of the
articular cartilage, meniscus, overall alignment, and ligamentous stability. For
patients with large, isolated, osteochondral defects of the articular cartilage
of the femoral condyle, osteochondral allograft transplantation (OCA) is often
performed in an effort to reduce pain and improve function. Similarly, for
appropriately indicated patients with symptomatic meniscus deficiency, meniscus
allograft transplantation (MAT) is an excellent surgical solution. Often patients
require concomitant MAT and OCA as part of a joint preservation strategy. In this
Technical Note, we describe the surgical technique for performing arthroscopic
assisted concomitant lateral MAT and lateral femoral condyle OCA as part of a
knee joint preservation strategy.
PMID- 29354477
TI - Arthroscopic Treatment of Type 1B Triangular Fibrocartilage Complex Tear by
"Outside-In" Repair Technique Using Transcapsular Transverse Mattress Suture.
AB - Triangular fibrocartilage complex (TFCC) injuries are increasingly recognized as
a cause of ulnar-sided wrist pain. Palmer grouped these tears into either
traumatic or degenerative, with various subclassifications. Magnetic resonance
imaging (MRI), arthrogram, and arthroscopy are the methods used to establish the
diagnosis. Several arthroscopic methods of TFCC repair including outside-in,
inside-out, and all-inside techniques have been described. The outside-in repair,
which involves piercing the TFCC via the ulnar side of the wrist, has been
described by several authors, but the technique varies among authors with respect
to instrumentation and subtle surgical modifications. The purpose of this article
was to present the technique of arthroscopic outside-in repair using transverse
mattress suture for type 1B TFCC tear by modifying classic vertical mattress
sutures into sutures that pass completely through the disc.
PMID- 29354478
TI - Arthroscopic Triple Labral Repair in an Adolescent.
AB - Traumatic glenohumeral dislocations often result in significant injury to the
anterior-inferior labrum, most commonly leading to recurrent anterior
instability. While in skeletally immature patients, shoulder trauma more commonly
results in fracture versus a true dislocation, shoulder instability does occur
and can be difficult to manage in the setting of open physes. In any event, the
goal of treatment is to reduce the risk of recurrence and allow full
participation in activities, including sports. Arthroscopic stabilization has
been shown to be an effective treatment option for young patients, with good
return to sport rates; however, the vast majority of literature on shoulder
instability in the youth patient population focuses on anterior instability.
Concomitant lesions of the anterior, posterior, and superior labrum have been
rarely described in youth athletes and present a formidable clinical challenge,
particularly in skeletally immature patients. In this Technical Note, we describe
the authors' preferred technique for arthroscopic repair of a traumatic triple
labral tear, including anterior, posterior, and type IV SLAP components, in
adolescent patients.
PMID- 29354479
TI - Arthroscopic Subscapularis Repair Through a Single Anterior Portal.
AB - Arthroscopic subscapularis repair with knotted suture fixation typically requires
use of both anterior and accessory superolateral portals with passage of suture
through multiple anatomic spaces. The advent of knotless anchors has allowed for
simplification of subscapularis repairs. In this report we describe a simple
method of knotless arthroscopic subscapularis repair through a single anterior
portal. The indication for a single-anterior portal knotless subscapularis repair
is a complete tear of the upper one-third of the subscapularis. This approach can
be used for isolated subscapularis repair or, in patients with multiple-tendon
involvement, initial subscapularis repair to facilitate subsequent
posterosuperior cuff repair.
PMID- 29354480
TI - An All-Arthroscopic, Length-Tensioned Suprapectoral Biceps Tenodesis Technique.
AB - Biceps tenodesis remains a popular choice for treating anterior shoulder pain in
the setting of primary biceps tendinitis or biceps pain due to concomitant
glenohumeral joint pathology. A variety of surgical approaches and fixation
construct options are available for biceps tenodesis. The advantages of an all
arthroscopic biceps tenodesis include anatomic maintenance of the length-tension
relation with strength preservation and improved cosmetic results. This technique
can be technically challenging but allows for a minimally invasive approach to
anchor the biceps. In this Technical Note, we describe a suprapectoral biceps
tenodesis using an all-arthroscopic approach in the setting of concomitant
rotator cuff repair. This technique offers a viable alternative to the open
subpectoral biceps tenodesis.
PMID- 29354482
TI - Effect of educational intervention on attitudes toward the concept of criminal
responsibility.
AB - AIM: To evaluate the effect of educational intervention on individuals' knowledge
of and attitudes toward forensic mental health. METHODS: We conducted a
questionnaire regarding attitudes toward various ideas about forensic mental
health. The respondents attended a 1-h seminar regarding forensic mental health
after answering the questionnaire. On completion of the seminar, the respondents
answered another questionnaire containing many of the same questions as contained
in the pre-seminar questionnaire. RESULTS: A total of 86 individuals attended the
seminar, and 78 responded to the questionnaire. Only 13 (18.8%) participants were
supportive of the concept of criminal responsibility initially, and there was a
statistically significant increase in those who became more supportive after the
seminar, with 22 (33%) being supportive after the seminar (Wilcoxon signed-rank
test, P < 0.001). Logistic regression analysis revealed that participants who
were skeptical about forensic mental systems and those with fewer opportunities
to see media reports regarding psychiatry were likely to become supportive of
criminal responsibility after the intervention. CONCLUSION: These results suggest
that public attitudes toward criminal responsibility and mental health can be
influenced via educational interventions.
PMID- 29354483
TI - Predictive power of statistical significance.
AB - A statistically significant research finding should not be defined as a P-value
of 0.05 or less, because this definition does not take into account study power.
Statistical significance was originally defined by Fisher RA as a P-value of 0.05
or less. According to Fisher, any finding that is likely to occur by random
variation no more than 1 in 20 times is considered significant. Neyman J and
Pearson ES subsequently argued that Fisher's definition was incomplete. They
proposed that statistical significance could only be determined by analyzing the
chance of incorrectly considering a study finding was significant (a Type I
error) or incorrectly considering a study finding was insignificant (a Type II
error). Their definition of statistical significance is also incomplete because
the error rates are considered separately, not together. A better definition of
statistical significance is the positive predictive value of a P-value, which is
equal to the power divided by the sum of power and the P-value. This definition
is more complete and relevant than Fisher's or Neyman-Peason's definitions,
because it takes into account both concepts of statistical significance. Using
this definition, a statistically significant finding requires a P-value of 0.05
or less when the power is at least 95%, and a P-value of 0.032 or less when the
power is 60%. To achieve statistical significance, P-values must be adjusted
downward as the study power decreases.
PMID- 29354481
TI - Disorders of metal metabolism.
AB - Trace elements are chemical elements needed in minute amounts for normal
physiology. Some of the physiologically relevant trace elements include iodine,
copper, iron, manganese, zinc, selenium, cobalt and molybdenum. Of these, some
are metals, and in particular, transition metals. The different electron shells
of an atom carry different energy levels, with those closest to the nucleus being
lowest in energy. The number of electrons in the outermost shell determines the
reactivity of such an atom. The electron shells are divided in sub-shells, and in
particular the third shell has s, p and d sub-shells. Transition metals are
strictly defined as elements whose atom has an incomplete d sub-shell. This
incomplete d sub-shell makes them prone to chemical reactions, particularly redox
reactions. Transition metals of biologic importance include copper, iron,
manganese, cobalt and molybdenum. Zinc is not a transition metal, since it has a
complete d sub-shell. Selenium, on the other hand, is strictly speaking a
nonmetal, although given its chemical properties between those of metals and
nonmetals, it is sometimes considered a metalloid. In this review, we summarize
the current knowledge on the inborn errors of metal and metalloid metabolism.
PMID- 29354484
TI - Shortness of breath in clinical practice: A case for left atrial function and
exercise stress testing for a comprehensive diastolic heart failure workup.
AB - The symptom cluster of shortness of breath (SOB) contributes significantly to the
outpatient workload of cardiology services. The workup of these patients includes
blood chemistry and biomarkers, imaging and functional testing of the heart and
lungs. A diagnosis of diastolic heart failure is inferred through the exclusion
of systolic abnormalities, a normal pulmonary function test and normal
hemoglobin, coupled with diastolic abnormalities on echocardiography.
Differentiating confounders such as obesity or deconditioning in a patient with
diastolic abnormalities is difficult. While the most recent guidelines provide
more avenues for diagnosis, such as incorporating the left atrial size, little
emphasis is given to understanding left atrial function, which contributes to at
least 25% of diastolic left ventricular filling; additionally, exercise stress
testing to elicit symptoms and test the dynamics of diastolic parameters,
especially when access to the "gold standard" invasive tests is lacking, presents
clinical translational gaps. It is thus important in diastolic heart failure work
up to understand left atrial mechanics and the role of exercise testing to build
a comprehensive argument for the diagnosis of diastolic heart failure in a
patient presenting with SOB.
PMID- 29354485
TI - Is forced oscillation technique the next respiratory function test of choice in
childhood asthma.
AB - Respiratory diseases, especially asthma, are common in children. While spirometry
contributes to asthma diagnosis and management in older children, it has a
limited role in younger children whom are often unable to perform forced
expiratory manoeuvre. The development of novel diagnostic methods which require
minimal effort, such as forced oscillation technique (FOT) is, therefore, a
welcome and promising addition. FOT involves applying external, small amplitude
oscillations to the respiratory system during tidal breathing. Therefore, it
requires minimal effort and cooperation. The FOT has the potential to facilitate
asthma diagnosis and management in pre-school children by faciliting the
objective measurement of baseline lung function and airway reactivity in children
unable to successfully perform spirometry. Traditionally the use of FOT was
limited to specialised centres. However, the availability of commercial equipment
resulted in its use both in research and in clinical practice. In this article,
we review the available literature on the use of FOT in childhood asthma. The
technical aspects of FOT are described followed by a discussion of its practical
aspects in the clinical field including the measurement of baseline lung function
and associated reference ranges, bronchodilator responsiveness and bronchial
hyper-responsiveness. We also highlight the difficulties and limitations that
might be encountered and future research directions.
PMID- 29354486
TI - Quantitative comparison of cranial approaches in the anatomy laboratory: A
neuronavigation based research method.
AB - AIM: To describe the development and validation of a novel neuronavigation-based
method, which allows the quantification of the anatomical features that define an
approach, as well as real-time visualization of the surgical pyramid. METHODS:
The method was initially developed with commercially-available hardware for
coordinate collection (a digitizer and a frameless navigation system) and
software for volume rendering; dedicated neuronavigation software
(ApproachViewer, part of GTx-UHN) was then developed. The accuracy of
measurements and the possibility of volumetric rendering of surgical approaches
simulated in a phantom were compared among three different methods and
commercially-available radiological software. In the anatomy laboratory,
ApproachViewer was applied to the comparative quantitative analysis of multiple
neurosurgical approaches and was used by many surgeons who were untrained for the
research method. RESULTS: The accuracy of ApproachViewer is comparable to
commercially-available radiological software. In the anatomy laboratory, the
method appears versatile. The system can be easily used after brief training.
ApproachViewer allows for real-time evaluation and comparison of surgical
approaches, as well as post-dissection analyses of collected data. The accuracy
of the method depends on the navigation registration: with a 1-2 mm registration
error, it is adequate for evaluation and comparison of most neurosurgical
approaches. CONCLUSION: This new research method and software allows semi
automated visualization, quantification, and comparison of neurosurgical
approaches in the anatomy laboratory.
PMID- 29354487
TI - Laparoscopic-extracorporeal surgery performed with a fixation device for adnexal
masses complicating pregnancy: Report of two cases.
AB - The potential complications associated with an adnexal mass discovered during
early pregnancy call for surgical treatment. Ideally, surgery is performed after
gestational week 12, but uterine expansion after the first trimester makes
surgery difficult. We report two pregnancies complicated by adnexal masses for
which we used an organ fixation device for safe performance of single-site
umbilical laparoscopic surgery. Pelvic magnetic resonance imaging depicted a
dichorionic, diamniotic twin pregnancy and 60-mm right adnexal mass in the first
patient and bilateral adnexae in the second. All three masses were suspected
mature cystic teratomas. Both patients underwent laparoscopic surgery during
gestational week 14. With use of an organ fixation device, traction was applied
until the mass reached the umbilicus; tumor resection was performed
extracorporeally. In the second patient, the second mass was simply aspirated
because adhesions were encountered. Our single-site laparoscopic-extracorporeal
technique proved to be a safe approach to an otherwise high-risk situation.
PMID- 29354488
TI - Impact of the Ki-67 labeling index and p53 expression status on disease-free
survival in pT1 urothelial carcinoma of the bladder.
AB - Background: The identification of protein biomarkers to guide treatment decisions
regarding adjuvant therapies for high-risk non-muscle-invasive bladder cancer
(NMIBC) has been of increasing interest. Evidence of the impact of tumor
suppressor gene product p53 and cell proliferation marker Ki-67 on oncologic
outcomes in bladder cancer patients at highest risk of recurrence and progression
is partially contradictory. We sought to mirror contemporary expression patterns
of p53 and Ki-67 in a select cohort of patients with pT1 bladder cancer. Methods:
Patients from four Northern German institutions with a primary diagnosis of pT1
bladder cancer between 2009 and 2016 and complete data regarding p53 or Ki-67
expression status were included for final analyses. Baseline patient
characteristics (age, gender, age-adjusted Charlson comorbidity index) and tumor
characteristics [diagnostic sequence, tumor focality, concomitant carcinoma in
situ, 1973 World Health Organization (WHO) grading, lymphovascular invasion,
adjuvant instillation therapy] were abstracted by retrospective chart review.
Immunohistochemistry for detection of p53 and Ki-67 expression was performed
according to standardized protocols. Microscopic analyses were performed by
central pathologic review. First, we compared patients with positive vs. negative
p53 expression and Ki-67 labeling index [>40% vs. <=40%; cutoffs based on best
discriminative ability in univariable Cox regression analysis with disease-free
survival (DFS) as endpoint] with regard to baseline and tumor characteristics.
Second, we evaluated the effect of biomarker positivity on DFS by plotting
univariable Kaplan-Meier curves and performing uni- and multivariable Cox
regression analyses. Results: Of 102 patients with complete information on p53
status, 44 (43.1%) were p53 positive, and they more often harbored concomitant
carcinoma in situ (50.0% vs. 27.6%; P=0.032) and 1973 WHO grade 3 (97.7% vs.
69.0%; P=0.001) compared to their p53 negative counterparts. Of 79 patients with
complete information on Ki-67 expression status, 30 (38.0%) had a labeling index
>40%. Mean Ki-67 labeling index was higher in WHO grade 3 vs. grade 2 tumors
(45.8 vs. 29.7; P=0.004). At a median follow-up of 51.0 months, 31/91 patients
with complete follow-up information (34.1%) suffered from disease recurrence or
progression. In univariable Kaplan-Meier analyses, no difference regarding DFS
was found in p53 positive vs. negative (P=0.8) or Ki-67 labeling index >40% vs.
<=40% (P=0.078) patients. In multivariable analyses, Ki-67 labeling index >40%
remained an independent predictor of DFS [hazard ratio (HR), 2.66; 95% confidence
interval (CI), 1.02-6.95; P=0.046], after adjusting for p53 expression and
lymphovascular invasion. However, p53 status was not associated with our endpoint
(P=0.8). Conclusions: While we found an association of a Ki-67 labeling index
>40% and shorter DFS in pT1 bladder cancer patients, this did not hold true for
p53 positivity. Future research is needed to identify additional microscopic and
molecular risk factors and biomarker panels to improve risk stratification and
guide adjuvant therapies in those patients.
PMID- 29354489
TI - The landscape of genetics and biomarkers in bladder cancer.
PMID- 29354491
TI - Opportunities of next-generation sequencing in non-muscle invasive bladder cancer
outcome prediction.
AB - Bladder cancer (BC) is a common disease in both sexes and majority of cases
present as non-muscle invasive BC (NMIBC). The percentage of NMIBC progressing to
muscle invasive BC (MIBC) varies between 25% and 75% and currently there are no
reliable biomarkers that may predict the outcome of high-risk (HR) NMIBC. Whilst
The Cancer Genome Atlas (TCGA) project has identified genetic alteration in MIBC
using next-generation sequencing (NGS), genetic data in HR-NMIBC outcome
prediction using this new technology are limited. We reviewed data on NGS
performed on DNA and RNA extracted from tissue, plasma and urinary samples
obtained from patients with NMIBC. Analysis on different specimens revealed
genetic alterations and microRNA alterations in common oncogenic pathways such as
gene expression (TERT) and cell proliferation (PTEN, cyclin D). Validation of a
12-gene (CDC25B, KPNA2, BIRC5, COL18A1, MSN, UBE2C, COL4A1, FABP4, MBNL2, SKAP2,
COL4A3BP, NEK1) progression score has shown significant association with
progression. ARID1A mutations are associated with an increased risk of recurrence
after Bacillus Calmette-Guerin (BCG) together with a high DNA damage repair (DDR)
gene alterations in HR-NMIBC. Patients with progressive disease seem to have
significantly higher levels of both plasma and urinary tumour DNA compared with
patients with recurrence. Although experimental data appear promising, well
designed systematic studies are urgently needed to translate applicability to
clinical practice.
PMID- 29354490
TI - The contemporary role and impact of urine-based biomarkers in bladder cancer.
AB - Despite advances in the surgical and medical treatment of bladder cancer, there
have only been minor improvements in mortality and morbidity rates over the past
decades. Urine-based markers help to improve diagnosing bladder cancer with the
aim of complementing or probably in future replacing cystoscopy. Biomarkers may
allow individualized risk stratification and support decision-making regarding
therapy and follow-up. This review summarizes the existing urine-based biomarkers
in bladder cancer. We conducted a comprehensive review of the literature. We
conducted a PubMed/Medline based research on English language articles and
selected original articles and review articles that provided both description and
assessment of urinary markers at time of screening, initial diagnosis, monitoring
and prognostic evaluation of urothelial bladder cancer. Our research covered
studies published between 2000 and 2017. The aim of this study was to give
clinicians keys to understand the existing or promising urinary markers that may
become alternatives to cytology/cystoscopy pair in the near future. Many urinary
markers are now available, often with superior sensitivity to cytology. Their
uses have been evaluated in numerous clinical situations in addition to the time
of initial diagnosis and surveillance such as cases of isolated macroscopic
hematuria or atypical cytology discordant with the rest of the explorations.
However, their superiority over the cytology/cystoscopy association is not
demonstrated. These new markers are lacking for the most part of standardization
and simplicity making their use in common practice difficult. the types and forms
of these new markers are very heterogeneous among themselves and between the
studies that evaluate them. Well-designed protocols and prospective, controlled
trials are needed to provide the basis to determine whether integration of urine-
and blood-based biomarkers into clinical decision-making will be of value for
bladder cancer detection and screening in the future.
PMID- 29354492
TI - Peri-operative chemotherapy for muscle-invasive bladder cancer: status-quo in
2017.
AB - The role of perioperative chemotherapy associated with radical cystectomy (RC)
for muscle-invasive bladder cancer has been analyzed in several landmark
randomized controlled trials (RCTs) over the past decades. With regard to
neoadjuvant chemotherapy (NAC), a meta-analysis of level 1 evidence and long-term
results from the largest RCTs support its use, which is currently advocated as
the standard of care by most of the clinical guidelines worldwide. However, with
regard to the delivery of adjuvant chemotherapy (AC), evidence is more
contentious. Specifically, several meta-analyses demonstrated a survival benefit
associated with the use of cisplatin-based regimen but investigators identified
multiple methodological limitations in most of included RCTs. Nonetheless, AC is
currently considered for fit patients with adverse pathological features at RC.
It is noteworthy that the delivery of such cytotoxic treatment after surgery may
maintain significant anti-tumor activity even in those patients who previously
received NAC. Finally, given its greater response rate, the methotrexate,
vinblastine, adriamycin plus cisplatin combination remains preferentially
considered in the neoadjuvant setting, while the gemcitabine plus cisplatin
combination is more commonly delivered in the adjuvant setting because of its
better toxicity profile. However, no prospective evidence comparing efficacy of
both regimens for NAC or AC is currently available.
PMID- 29354493
TI - Different stages in drug development for muscle-invasive bladder cancer.
AB - Muscle-invasive bladder cancer (MIBC) is a highly aggressive disease. Despite
optimal therapy, half of the patients will succumb to disease. This prognosis
could not be improved over the last three decades. Therefore, MIBC is left behind
from other cancers such as prostate, where novel treatment options were
discovered and improve patient outcomes. While being aware of the recent emerging
evidence of checkpoint inhibition in MIBC, we aim to describe different stages of
drug development in MIBC by using three specific targets. On the example of Her2
targeting, we aimed to indicate, that either a target is ineffective in MIBC or
that the patient selection is insufficient. The first clinical trials using a pan
fibroblast growth factor receptor (panFGFR) inhibitor to target the FGFR pathway
showed promising results. Data of further trials are to be awaited before
implementing these drugs into daily clinical practice. A large variety of novel
agents are investigated in vitro and in vivo. On the example of a malaria
protein, we aimed to discuss a treatment paradigm that is not dependent on
pathway signaling and the genomic landscape of MIBC. The ultimate question still
remains to be answered: How do we select the optimal treatment for the right
patient?
PMID- 29354494
TI - Do we have biomarkers to predict response to neoadjuvant and adjuvant
chemotherapy and immunotherapy in bladder cancer?
AB - Radical cystectomy (RC) is the standard of care treatment of localized muscle
invasive bladder cancer (BC). However, about 50% of patients develop metastases
within 2 years after cystectomy. Neoadjuvant cisplatin-based chemotherapy before
cystectomy improves the overall survival (OS) in patients with muscle-invasive
BC. Pathological response to neoadjuvant treatment is a strong predictor of
better disease-specific survival. Nevertheless, some patients do not benefit from
chemotherapy. The identification of reliable biomarkers enabling clinicians to
identify patients who might benefit from chemotherapy is a very important
clinical task. An identification tool could lead to individualized therapy,
optimizing response rates. In addition, unnecessary treatment with chemotherapy
which potentially leads to a loss of quality of life and which might also might
cause a delay of cystectomy in a neoadjuvant setting could be avoided. The
present review aims to summarize and discuss the current literature on biomarkers
for the prediction of response to systemic therapy in muscle-invasive BC.
Tremendous efforts in genetic and molecular characterization have led to the
identification of predictive candidate biomarkers in urothelial carcinoma (UC),
although prospective validation is pending. Ongoing clinical trials examining the
benefit of individual therapies in UC of the bladder (UCB) by molecular patient
selection hold promise to shed light on this question.
PMID- 29354495
TI - Genetic determinants for chemo- and radiotherapy resistance in bladder cancer.
AB - Bladder cancer (BCa) is burdened by high rates of chemo- and radio-resistance. We
reviewed and summarized the current evidence regarding the genetic determinants
of resistance in patients treated with chemotherapy and/or radiotherapy (RT) for
BCa. Genetic heterogeneity may preexist to treatment arising with tumorigenesis
or increasing progressively during the treatment. Several biological pathways
seem to be involved in the cellular response to treatment. These pathways
comprehend mechanisms leading to modify the intracellular concentration of the
drug, mechanisms leading to increase the repair of DNA damage caused by the
treatment, mechanisms leading to increase cell survival, despite DNA damage,
acting on the signaling pathways affecting apoptosis, mechanisms promoting
autophagy. In the present review, we focused on the genetic determinants of
resistance affecting the aforementioned mechanisms.
PMID- 29354497
TI - Current markers and their value in the era of immuno-oncology.
AB - Immunotherapy in urothelial cancer is a quickly evolving field as new agents are
being investigated in multiple clinical trials and various clinical settings. The
purpose of this review is to provide an insight into the mechanism of these
treatments, potential targets to evaluate treatment response and to give an
update on the current status of clinical trials. Urothelial cancer is a
polyclonal disease with a substantial tumor heterogeneity and a high mutational
load which may be beneficial as this may trigger a stronger T-cell mediated
immune response. PD-1 expression has been shown to correlate with stage, grade,
progression and poorer survival but it appears challenging to be utilized as a
predictor for treatment response in urothelial cancer. Another important concept
is immune cell (IC) infiltration, which is a reflection of the activated immune
response within the target tissue. Marker genes may represent signaling pathways
involved in T-cell recognition and lysis of T-cells. The complexity of the tumor
and host interaction requires multiple concepts to be integrated into a future
model to assess treatment response. We have evaluated multiple biomarker
approaches currently investigated in clinical trials in urothelial cancer.
PMID- 29354498
TI - Perspective on cytoreduction and metastasis-directed therapy in node positive and
metastatic urothelial carcinoma of the bladder.
AB - The outcome of node positive or metastatic urothelial carcinoma of the bladder
(mUCB) is poor and palliative chemotherapy has long been considered the only
treatment option for this patient group. Cytoreduction and metastasis-directed
therapy, either by surgery or radiotherapy, has been successfully applied in
other metastatic solid tumors in order to increase survival. In this article, we
explore the literature supporting cytoreduction and metastasis-directed therapy
in node positive and mUCB and try to define a patient cohort that could benefit
from these treatments. From these data, it is clear that a selected group of
patients survive a long time or could even be cured, however the data are scarce
and the level of evidence is low. The criteria of a randomized clinical trial, to
deliver the necessary evidence, are proposed.
PMID- 29354496
TI - The current status and clinical value of circulating tumor cells and circulating
cell-free tumor DNA in bladder cancer.
AB - Urothelial carcinoma of the bladder (UCB) is a complex disease, which is
associated with highly aggressive tumor biologic behavior, especially in patients
with muscle-invasive and advanced tumors. Despite multimodal therapy options
including surgery, radiotherapy and chemotherapy, UCB patients frequently suffer
from poor clinical outcome. Indeed, the potential of diverse opportunities for
modern targeted therapies is not sufficiently elucidated in UCB yet. To improve
the suboptimal treatment situation in UCB, biomarkers are urgently needed that
help detecting minimal residual disease (MRD), predicting therapy response and
subsequently prognosis as well as enabling patient stratification for further
therapies and therapy monitoring, respectively. To date, decision making
regarding treatment planning is mainly based on histopathologic evaluation of
biopsies predominantly derived from the primary tumors and on clinical staging.
However, both methods are imperfect for sufficient outcome prediction. During
disease progression, individual disseminated tumor cells and consecutively
metastases can acquire characteristics that do not match those of the
corresponding primary tumors, and often are only hardly assessable for further
evaluation. Therefore, during recent years, strong efforts were directed to
establish non-invasive biomarkers from liquid biopsies. Urine cytology and serum
tumor markers have been established for diagnostic purposes, but are still
insufficient as universal biomarkers for decision-making and treatment of UCB
patients. To date, the clinical relevance of various newly established blood
based biomarkers comprising circulating tumor cells (CTCs), circulating cell-free
nucleic acids or tumor-educated platelets is being tested in cancer patients. In
this review we summarize the current state and clinical application of CTCs and
circulating cell-free tumor DNA originating from blood as biomarkers in patients
with different UCB stages.
PMID- 29354500
TI - Describing the learning curve for bulbar urethroplasty.
AB - Background: Learning curves have been described for a number of urological
procedures including radical prostatectomy and laparoscopic nephrectomy but
rarely for urethroplasty. We describe the learning curve for bulbar urethroplasty
in a single surgeon series. Methods: A retrospective case note review was
performed of 91 consecutive men median age 32 years (range, 15-66 years) having
bulbar urethroplasty performed by a single surgeon. Data was collected on type of
urethroplasty, restricture rate (as defined by urethrogram and/or flow rate) and
duration of follow up. The restricture rates were compared by quartiles and
statistical analysis was by |O2 between the first and fourth quartiles. Results:
The 91 men had 42 dorsal onlay buccal mucosal graft (Dorsal BMG), 20 BMG
augmented bulbobulbar anastomotic (Augmented Rooftop) and 29 bulbobulbar
anastomotic (BBA) urethroplasties performed. Median follow up was 39 months for
the first quartile, 42 months for the second, 36 months for the third, and 35
months for the fourth. The restricture rate was 17% in the first quartile, 8.7%
in the second and third quartiles and 4.5% in the fourth quartile. There were no
restrictures noted after 24 months. There were 4 restrictures in the first
quartile and 1 restricture in the fourth quartile (|O2 P<0.01). Conclusions:
There is a statistically and clinically significant difference in restricture
rates between first and fourth quartiles with rates falling from 17% to 4.5%.
There is a learning curve for bulbar urethroplasty with a reduced restricture
rate each quartile and it may take as many as 90 cases to reach optimum
restricture rates.
PMID- 29354499
TI - Online tools for patient counseling in bladder and kidney cancer-ready for prime
time?
AB - Gauging prognosis is a key element when facing treatment decisions in cancer
care. Several prognostic tools, such as risk tables and nomograms are at hand to
aid this process. In the context of patient-centered care, prognostic tools are
of great interest to caregivers and -providers alike, as they can convey sizeable
amounts of information and provide tailored, accurate estimates of prognosis.
Given the rising number of prognostic tools in cancer care over the last two
decades, and similarly, ever increasing presence of the Internet, we aimed to
assess how this would translate into the availability of online tools for patient
counseling. We used a modified systematic review to evaluate the web-based
availability, format, and content of prognostic tools for bladder and kidney
cancer care. Our search identified a total of twenty-three tools, offered by
eight providers, which assessed a total of six (bladder cancer) and five (kidney
cancer) different outcomes. Despite the restricted availability of online tools,
we observed that the majority showed limited user-friendliness (including, for
example, a statement/explanation of intended use, visualization of data,
availability as application software for handheld devices). Only one tool
included modifiable risk factors such as smoking behavior and body weight.
Lastly, none of the tools incorporated genomic or molecular markers or treatment
associated quality of life. Taken together, online tools for patient counseling
in bladder and kidney cancer care are only beginning to align with the growing
need in clinical reality. Further and future avenues include incorporation of
health-related quality of life as well as genomic and biomarkers into prediction
tools.
PMID- 29354501
TI - Predicting success after artificial urinary sphincter: which preoperative factors
drive patient satisfaction postoperatively?
AB - Background: To determine which preoperative factors drive patient-reported
quality of life (QoL) after artificial urinary sphincter (AUS) implantation.
Methods: Men receiving AUS after prostate cancer treatment were identified from a
prospectively collected dataset. Preoperative factors were recorded during the
initial incontinence consultation. Patients underwent urodynamic testing (UDS)
preoperatively at surgeon discretion. Patients were surveyed by telephone
postoperatively and given the EPIC Urinary Domain (EPIC-UD) and Urinary Distress
Inventory (UDI-6) questionnaires. Differences in postoperative maximum pads per
day (MxPPD) and questionnaire scores were compared across preoperative factors,
with P!U0.05 indicating statistical significance. Results: Telephone survey was
completed by 101 of 238 patients (42%). Median age was 69 [63-75] years, BMI was
29 [26-32] kg/m2. MxPPD was 5 [3-9] preoperatively and 2 [1-3] postoperatively
(r=0.255, P=0.011). Postoperative median EPIC-UD was 82 [67-89] and UDI-6 was 22
[11-36]. Postoperative MxPPD was lower in patients who reported being able to
store urine before AUS {2 [1-2] vs. 2 [1-4], P=0.046}, and lower with
urodynamically-proven detrusor overactivity (DO) {1.5 [1-2] with vs. 2 [1-4]
without, P=0.050}. Detrusor pressure at maximum flow was negatively associated
with QoL as measured by EPIC-UD score (r=-0.346, P=0.013) and UDI-6 score
(r=0.413, P=0.003). Although 41 (41%) patients had a history of radiation,
postoperative outcomes did not significantly differ with or without a history of
radiation. Conclusions: Few preoperative factors predict QoL after AUS insertion.
PMID- 29354502
TI - In vitro head-to-head comparison of the durability, versatility and efficacy of
the NGage and novel Dakota stone retrieval baskets.
AB - Background: To compare head to head two end-engaging nitinol stone retrieval
devices available to urologists, in terms of durability, versatility and
efficacy. Methods: For durability testing, 30 NGage and Dakota baskets were
cycled 20 times between grasping and releasing synthetic stone models and
evaluated for damage or device failure. For versatility and efficacy testing,
baskets were assessed in their ability to capture and release stone models from 1
to 11 mm. Each stone was raised above the capture site and the basket was opened
to passively release the stone. If the stone did not release, the basket handle
was shaken and the OpenSure feature employed if needed. Manual release was used
as a last resort. Results: Durability-the Cook NGage demonstrated a statistically
significant increased rate of visible device breakdown (P=0.0046) in 8 of 30
(26.7%) devices vs. 0 of 30 Dakota devices, with mean damage at 13.5 cycles.
Versatility and efficacy-both 8 mm baskets successfully captured stones from 1-8
mm. The Dakota more effectively released 7-8 mm stones (P<0.0001). NGage required
manual release of 8 mm stones in 13 cases compared to none with Dakota. For 11 mm
baskets, the Dakota released all stones up to 10 mm with simple opening, while
the NGage released 10 of 15 (67%) of 9 mm stones and 1 of 15 (7%) of 10 mm stones
by simple opening. For 11 mm stones, the Dakota captured 100% whereas NGage could
not capture any. Conclusions: Both baskets showed similar durability
characteristics. The Dakota basket more effectively captured and released stones
over 7 mm, as compared to the NGage basket. The OpenSure aspect conferred an
advantage in handling and release of larger stones. These in vitro results
demonstrate potential versatility, durability and efficacy of the Dakota basket.
PMID- 29354503
TI - Frequency and severity of chronic scrotal pain in Canadian men presenting to
urologists for infertility investigations.
AB - Background: Chronic scrotal pain (CSP) may be debilitating in men presenting for
treatment for CSP, but we have little information on the frequency and severity
of CSP in the men who do not seek care for the CSP. Our objective was to identify
the frequency and characteristics of CSP in a population of men presenting for
reasons other than CSP to a urology clinic. Methods: Men presenting to a urology
clinic for investigation of male infertility (INF) completed a standardized CSP
questionnaires if they self-reported having CSP. This prospectively collected
database was then retrospectively analyzed. Results: Forty-five of 1,203 (3.7%)
of INF patients (mean age 35: range, 24-59), reported having CSP (INF/CSP). Our
comparison group was 131 men presenting for investigation of CSP [mean age 43!A12
(SD) years with a mean duration of CSP of 4.7!A5.95 years]. On average, men with
INF/CSP had less severe and frequent pain than those with CSP, with significantly
less pain during "bad" pain episodes (5.2!A2.2 vs. 7.4!A2.1, VAS score 0-10,
P<0.0001 Student's t-test), less frequent "bad" pain episodes (23%!A21% vs.
42%!A30% of the time, P<0.0001 Student's t-test) and lower proportion of men who
reported having severe pain (VAS score 7-10/10) (4/45 vs. 46/131, P<0.001, chi
squared test). Both groups reported a negative impact of the pain on quality of
life (QOL), with 60% and 86% of men with INF/CSP and CSP alone reporting that
they would feel 'mostly dissatisfied', 'unhappy', or 'terrible' if they had to
continue life with their present scrotal pain symptoms. Conclusions: Clinicians
should be aware that CSP is common among men presenting for conditions other than
CSP and that even if the pain levels are not "severe", the chronic pain often has
a significant negative impact on QOL.
PMID- 29354504
TI - Multispecialty retrospective review of the clinical utility of pelvic magnetic
resonance imaging in the setting of pelvic pain.
AB - Background: Pelvic pain is a common complaint, and management of it is often
difficult. We sought to evaluate the utility of magnetic resonance imaging (MRI)
in the diagnosis of male pelvic pain. Though MRIs are commonly ordered to
evaluate pelvic pain, there are very few studies obtaining the efficacy of pelvic
MRI in determining a definitive diagnosis. The primary aim of our study was to
evaluate the clinical utility of pelvic MRI for a diagnosis code that included
pain. Methods: After receiving institutional review board approval, a
retrospective study was performed of all pelvic MRIs completed at our institution
from January 2, 2010 to December 31, 2014. These were further delineated into
ordering providers by specialty and urology-specific International Classification
of Diseases, Ninth Revision (ICD-9) code diagnoses (male pelvic pain,
prostatitis, groin pain, scrotal pain, testicular pain, and penile pain).
Clinical utility was defined as positive if MRI findings resulted in a change in
management. Subanalysis was performed on patients with an ICD-9 co-diagnosis of
previous oncologic concern. Results: A total of 2,643 pelvic MRIs were ordered at
our institution over a 5-year period. Of these, 597 pelvic MRIs (23%) were
ordered for a diagnosis code that included pain (hip pain, rectal pain, joint
pain, penile pain, scrotal pain, male pelvic pain and orchitis). Total utility
for MRIs to find anatomic abnormalities potentially responsible for the present
pain was 34% (205/597). When ordered by urologic providers, utility was 23%.
Oncologists represented the highest positivity rate at 57%. Conclusions: Chronic
pelvic pain is a multispecialty complaint that is difficult to treat. We were
surprised to find the large number of both specialists and generalists invested
in the management of pelvic pain. The increasing availability of MRI technology
makes it a likely candidate to test for a clinically significant anatomic reason
for pain. Though MRI is a test with minimal adverse effect and no increased risk
of radiation exposure, the cost on the healthcare system should be offset by a
clear clinical utility. We found total utility to be 34% across all ordering
providers and an increase in positivity with concern of oncologic disease.
Therefore, we would recommend pelvic MRIs in the evaluation of patients with
refractory pelvic pain.
PMID- 29354505
TI - Degree of twisting and duration of symptoms are prognostic factors of testis
salvage during episodes of testicular torsion.
AB - Background: Testicular torsion is surgical emergency. Prompt diagnosis and
treatment of testicular torsion is essential for testicular viability. At
surgical exploration, the spermatic cord is seen twisted a variable number of
times around its longitudinal axis. There is scant data regarding the degree of
twisting and its association with testis outcomes. The purpose of our study is to
explore how the degree of torsion factors into testicular outcome using follow-up
data. Methods: We retrospectively reviewed the records of adolescent males who
presented with testicular torsion to our institution, looking at duration of pain
symptoms, degree of torsion documented in the operative note, procedure performed
(orchiopexy versus orchiectomy), and follow-up clinic data for whether testicular
atrophy after orchiopexy was present. A non-salvageable testis was defined as
orchiectomy or atrophy. Receiver operator characteristics (ROC), multivariate,
and logistic regression analyses were performed to determine the probability of a
non-salvageable torsed testis based on time and degree of twisting. Results:
Eighty-one patients met our study criteria, with 55 testes deemed viable and 26
non-salvageable. We found a 25.7% atrophy rate after orchiopexy. Cut-off values
of 8.5 h and 495 degrees of torsion would provide sensitivities of 73% and 53%,
respectively, with specificity of 80% for both. Only duration and age were
correlated with the risk of non-salvage on multivariate analysis. Logistic
regression generated linear probability formulas of 4 + (3 !A hours) and 7 +
(0.05 !A degrees) in calculating the probability of non-salvage with strong
correlation. Conclusions: We were able to derive separate formulas to determine
the viability of the torsed testis based on symptom duration and degrees of
twisting. Fifteen h of symptoms and 860 degrees of torsion gives testes a 50%
salvage rate. Interestingly, we also found that about 1 out of every 4 testes
undergoes atrophy after orchiopexy.
PMID- 29354506
TI - Inflatable penile prosthesis implant length with baseline characteristic
correlations: preliminary analysis of the PROPPER study.
AB - Background: "Prospective Registry of Outcomes with Penile Prosthesis for Erectile
Restoration" (PROPPER) is a large, multi-institutional, prospective clinical
study to collect, analyze, and report real-world outcomes for men implanted with
penile prosthetic devices. We prospectively correlated co-morbid conditions and
demographic data with implanted penile prosthesis size to enable clinicians to
better predict implanted penis size following penile implantation. We present
many new data points for the first time in the literature and postulate that
radical prostatectomy (RP) is negatively correlated with penile corporal length.
Methods: Patient demographics, medical history, baseline characteristics and
surgical details were compiled prospectively. Pearson correlation coefficient was
generated for the correlation between demographic, etiology of ED, duration of
ED, co-morbid conditions, pre-operative penile length (flaccid and stretched) and
length of implanted penile prosthesis. Multivariate analysis was performed to
define predictors of implanted prosthesis length. Results: From June 2011 to June
2017, 1,135 men underwent primary implantation of penile prosthesis at a total of
11 study sites. Malleable (Spectra), 2-piece Ambicor, and 3-piece AMS 700 CX/LGX
were included in the analysis. The most common patient comorbidities were CV
disease (26.1%), DM (11.1%), and PD (12.4%). Primary etiology of ED: RP (27.4%),
DM (20.3%), CVD (18.0%), PD (10.3%), and Priapism (1.4%), others (22.6%). Mean
duration of ED is 6.2!A4.1 years. Implant length was weakly negatively correlated
with White/Caucasian (r=-0.18; P<0.01), history of RP (r=-0.13; P<0.01), PD as
comorbidity (r=-0.16; P<0.01), venous leak (r=-0.08; P<0.01), and presence of
stress incontinence (r=-0.13; P<0.01). Analyses showed weak positive correlations
with Black/AA (r=0.32; P<0.01), CV disease as primary ED etiology (r=0.08;
P<0.01) and pre-operative stretched penile length (r=0.18; P<0.01). There is a
moderate correlation with pre-operative flaccid penile length (r=0.30; P<0.01).
Conclusions: Implanted penile prosthesis length is negatively correlated with
some ethnic groups, prostatectomy, and incontinence. Positive correlates include
CV disease, preoperative stretched penile length, and flaccid penile length.
PMID- 29354507
TI - Real world treatment patterns in the neurogenic bladder population: a systematic
literature review.
AB - Myriad treatment modalities are available for neurogenic bladder (NGB) including
behavioral therapies, oral pharmacotherapy, catheterization and surgical
procedures. Little is known about how NGB patients are managed in the real world,
how well patterns relate to clinical guidelines and how strategies may have
changed over time. To address this gap, a systematic review (SR) was conducted
using MEDLINE and EMBASE [1996-2017]. The inclusion criteria for studies were:
(I) published in English; (II) conducted in human subjects; (III) reporting the
treatment patterns/use in NGB; (IV) conducted in a real world setting. A
narrative synthesis of results was conducted, comparing the results to current
treatment guidelines. Percentage of treatment use was summarized using ranges.
Eight studies met the inclusion criteria. Although most studies focused on spinal
cord injuries (SCI), study designs and settings were heterogeneous. All data was
collected before 2007. The most popular form of oral pharmacotherapy was
antimuscarinics, used by 12.6-86.7% of patients; 0-100% of patients used
catheterization techniques, 2.5-53.1% used reflex voiding (RV), and 0.2-55%
underwent surgery. A notable amount of patients switched treatments. This SR
revealed that numerous strategies have been used to manage NGB throughout the
years and there has been a large variance in their use. Whilst there were some
discrepancies, most practices matched recommendations made in current guidelines.
Ultimately, this SR showed that there is a large gap of epidemiological studies
conducted in the field of NGB and the authors felt that available data was
insufficient to build a comprehensive picture of treatment patterns.
Epidemiological studies using electronic medical records (EMRs) are necessary to
advance our understanding of how treatment patterns have changed, and also build
a comprehensive picture of how patients are managed in current practice.
PMID- 29354508
TI - Seminal vesicle schwannoma presenting as rectal pain: successful robotic-assisted
excision.
AB - Schwannomas, not uncommon in the head and neck, rarely occur in the pelvis. Here
we describe a seminal vesicle schwannoma, the first of its kind successfully
excised via robotic surgery. An otherwise well 62 year-old male presented with a
complaint of rectal pain. Colonoscopy identified a mass effect on the rectum,
suggesting an external lesion. A computed tomography scan revealed a right
seminal vesicle mass. Transrectal ultrasound guided biopsy returned a tissue
diagnosis of schwannoma. To aid in operative planning, magnetic resonance imaging
of the prostate and pelvis was obtained with and without contrast. This defined a
5 * 4 * 4 cm3 mass abutting the right seminal vesicle without evidence of
invasion into adjacent structures. The patient underwent an elective robotic
assisted laparoscopic resection of the mass. Final pathology demonstrated a
completely excised schwannoma arising from the soft tissue adherent to the right
seminal vesicle.
PMID- 29354509
TI - Cutting complications before cutting corners: the need for long-term outcome
parameters in an ever-changing landscape of intracorporeal neobladder technique.
PMID- 29354510
TI - Pure transumbilical approach for oncologic surgeries of the male pelvis is now
closer to become a reality.
PMID- 29354511
TI - Which is better in patients with hydronephrosis before radical cystectomy
percutaneous nephrostomy versus internal ureteral stents.
PMID- 29354512
TI - Next-generation sequencing in non-muscle-invasive bladder cancer-a step towards
personalized medicine for a superficial bladder tumor.
PMID- 29354513
TI - Double J stent prior to cystectomy increases the risk of upper urinary tract
recurrence?
PMID- 29354514
TI - The impact of perioperative blood transfusion on survival outcomes in radical
cystectomy patients.
PMID- 29354515
TI - Clients' expectations from and satisfaction with medicine retail outlets in
Gondar town, northwestern Ethiopia: a cross-sectional study.
AB - Purpose: The aim of this study was to assess clients' level of expectation from
and satisfaction with medicine retail outlets (MROs) in Gondar town, northwestern
Ethiopia. Patients and methods: An institutions-based cross-sectional study was
conducted from April 20 to May 5, 2014, by sampling five pharmacies and eight
drug stores through simple random sampling. Clients, 424, who came to the MROs
during the study period were included in the study. Data were collected using
structured questionnaires measuring expectations and satisfaction of clients
using a Likert scale of 1-5 through face-to-face interviews. Results: Out of the
total 424 interview encounters, 422 (99.5% response rate) questionnaires were
included in the analysis, of which 61.1% were of males. The overall mean
expectation of respondents toward MRO setting and services was 3.82 and that of
satisfaction of the respondents was 3.02. More than three-quarters (76.8%) of the
respondents expected medicines in affordable prices from MROs, but nearly half
(44.8%) were not satisfied with it. Much more than half (58.5%) of the
respondents were dissatisfied with the comfort and convenience of private
counseling area. Also, nearly half (47.6%) of the respondents claimed that
pharmacy professionals did not provide information regarding the storage
condition of medications. There was statistically significant difference in
overall expectation (t=2.707, P=0.007) and satisfaction (t=2.260, P=0.024) with
the setting and services of MROs between respondents who claimed to know the
difference between a pharmacy and a drug store and those who claimed they did
not. Conclusion: Clients' expectation from MRO services was high, with average
satisfaction. The overall expectation and satisfaction of the respondents toward
MROs were lower in those who reported they did not know the difference between a
pharmacy and a drug store than in those who claimed to know the difference.
Supportive supervision by the town's health bureau on the MROs is recommended to
help improve clients' satisfaction.
PMID- 29354516
TI - Evidence-based and pragmatic steps for pharmacists to improve patient adherence.
AB - All strategies and tools to improve the potential outcomes of medications therapy
are a waste of time if the clients do not take their medication as prescribed.
The aim of this paper is to help pharmacists to help their clients to improve
outcomes of medicines based on improving their compliance to evidence-based
pharmacotherapy. To reach a good compliance (result), you have to have agreement
and concordance (method) between the practitioner and the client. Barriers and
strategies for this, including identifying compliance problems and reasons for
it, methods for improving information and communication, the client's
participation, and responsibility for their own health, are presented mainly
based on Cochrane reviews. Also some general pragmatic suggestions for how
pharmacists can assist their clients the best are given.
PMID- 29354519
TI - Limitations to the dynamics of pharmaceutical care practice among community
pharmacists in Enugu urban, southeast Nigeria.
AB - Background: The introduction of pharmaceutical care in Nigeria has been faced
with many challenges in addition to limited information regarding the practice.
Objective: This study aimed to determine the barriers encountered by community
pharmacists in Nigeria in the provision of pharmaceutical care. Methods: A cross
sectional descriptive survey was carried out in Enugu urban, southeast Nigeria.
Data were collected using pretested and structured 5-point Likert scale
questionnaires that were self-administered to the respondents. Data were analyzed
using descriptive statistics. Results: The 78 community pharmacists comprised 62
males and 16 females. Out of the 78 respondents, 69 (88.5%) had the basic
Bachelor of Pharmacy degree while three (3.9%) had Fellowship, Master's, and
Doctor of Pharmacy degrees respectively. The mean number of years of practice was
8.2 (+/-0.6). The key limitations to the implementation of pharmaceutical care
were: pharmacists' attitude and lack of pharmaceutical care skills, as well as
resource and system-related constraints. Others challenges were interprofessional
and academic obstacles like lack of collaboration (66.6%) and lack of role models
(42.1%). Most of the respondents (81%) were strongly willing to adopt and
implement pharmaceutical care, while 19.2% were not strongly willing to practice
pharmaceutical care. Conclusion: Despite limitations to practice, community
pharmacists in the area are very inclined to implement pharmaceutical care in the
private sector to improve patients' quality of life.
PMID- 29354518
TI - Chemotherapy e-prescribing: opportunities and challenges.
AB - Chemotherapy drugs are characterized by low therapeutic indices and significant
toxicities at clinically prescribed doses, raising serious issues of drug safety.
The safety of the chemotherapy medication use process is further challenged by
regimen complexity and need to tailor treatment to patient status. Errors that
occur during chemotherapy prescribing are associated with serious and life
threatening outcomes. Computerized provider order entry (CPOE) systems were shown
to reduce overall medication errors in ambulatory and inpatient settings. The
adoption of chemotherapy CPOE is lagging due to financial cost and cultural and
technological challenges. Institutions that adopted infusional or oral
chemotherapy electronic prescribing modified existing CPOE systems to allow
chemotherapy prescribing, implemented chemotherapy-specific CPOE systems, or
developed home-grown chemotherapy electronic prescribing programs. Implementation
of chemotherapy electronic prescribing was associated with a significant
reduction in the risk of prescribing errors, most significantly dose calculation
and adjustment errors. In certain cases, implementation of chemotherapy CPOE was
shown to improve the chemotherapy use process. The implementation of chemotherapy
CPOE may increase the risk of new types of errors, especially if processes are
not redesigned and adapted to CPOE. Organizations aiming to implement
chemotherapy CPOE should pursue a multidisciplinary approach engaging all
stakeholders to guide system selection and implementation. Following
implementation, organizations should develop and use a risk assessment process to
identify and evaluate unanticipated consequences and CPOE-generated errors. The
results of these analyses should serve to further enhance the chemotherapy
electronic prescribing process and improve the quality and safety of cancer care.
PMID- 29354517
TI - The role of medications and their management in acute kidney injury.
AB - Prior to 2002, the incidence of acute renal failure (ARF) varied as there was no
standard definition. To better understand its incidence and etiology and to
develop treatment and prevention strategies, while moving research forward, the
Acute Dialysis Quality Initiative workgroup developed the RIFLE (risk, injury,
failure, loss, end-stage kidney disease) classification. After continued data
suggesting that even small increases in serum creatinine lead to worse outcomes,
the Acute Kidney Injury Network (AKIN) modified the RIFLE criteria and used the
term acute kidney injury (AKI) instead of ARF. These classification and staging
systems provide the clinician and researcher a starting point for refining the
understanding and treatment of AKI. An important initial step in evaluating AKI
is determining the likely location of injury, generally classified as prerenal,
renal, or postrenal. There is no single biomarker or test that definitively
defines the mechanism of the injury. Identifying the insult(s) requires a
thorough assessment of the patient and their medical and medication histories.
Prerenal injuries arise primarily due to renal hypoperfusion. This may be the
result of systemic or focal conditions or secondary to the effects of drugs such
as nonsteroidal anti-inflammatory drugs, calcineurin inhibitors (CIs), and
modulators of the renin-angiotensin-aldosterone system. Renal, or intrinsic,
injury is an overarching term that represents complex conditions leading to
considerable damage to a component of the intrinsic renal system (renal tubules,
glomerulus, vascular structures, inter-stitium, or renal tubule obstruction).
Acute tubular necrosis and acute interstitial nephritis are the more common types
of intrinsic renal injury. Each type of injury has several drugs that are
implicated as a possible cause, with antiinfectives being the most common.
Postrenal injuries that result from obstruction block the flow of urine, leading
to hydronephrosis and subsequent damage to the renal parenchyma. Drugs associated
with tubular obstruction include acyclovir, methotrexate, and several
antiretrovirals. Renal recovery from drug-induced AKI begins once the offending
agent has been removed, if clinically possible, and is complete in most cases. It
is uncommon that renal replacement therapy will be needed while recovery occurs.
Pharmacists can play a pivotal role in identifying possible causes of drug
induced AKI and limit their toxic effect by identifying those most likely to
cause or contribute to injury. Dose adjustment is critical during changes in
renal function, and the pharmacist can ensure that optimal therapy is provided
during this critical time.
PMID- 29354520
TI - Role of community pharmacists in patients' self-care and self-medication.
AB - This review highlights the growing prominence of self-care and explores the
contribution of community pharmacy. Firstly, background to self-care is
discussed, followed by placing self-care in context with regard to the general
public and accessing community pharmacy. From this perspective the contribution
community pharmacy currently makes is assessed, paying particular attention to
the factors that negatively impact on the ability of community pharmacy to
facilitate self-care.
PMID- 29354521
TI - The role of community pharmacy-based vaccination in the USA: current practice and
future directions.
AB - Community pharmacy-based provision of immunizations in the USA has become
commonplace in the last few decades, with success in increasing rates of
immunizations. Community pharmacy-based vaccination services are provided by
pharmacists educated in the practice of immunization delivery and provide a
convenient and accessible option for receiving immunizations. The pharmacist's
role in immunization practice has been described as serving in the roles of
educator, facilitator, and immunizer. With a majority of pharmacist-provided
vaccinations occurring in the community pharmacy setting, there are many examples
of community pharmacists serving in these immunization roles with successful
outcomes. Different community pharmacies employ a number of different models and
workflow practices that usually consist of a year-round in-house service staffed
by their own immunizing pharmacist. Challenges that currently exist in this
setting are variability in scopes of immunization practice for pharmacists across
states, inconsistent reimbursement mechanisms, and barriers in technology. Many
of these challenges can be alleviated by continual education; working with
legislators, state boards of pharmacy, stakeholders, and payers to standardize
laws; and reimbursement design. Other challenges that may need to be addressed
are improvements in communication and continuity of care between community
pharmacists and the patient centered medical home.
PMID- 29354522
TI - Pharmacist interventions for obesity: improving treatment adherence and patient
outcomes.
AB - Obesity is currently a worldwide pandemic, with overweight (body mass index [BMI]
>=25 kg/m2) and obesity (BMI >=30 kg/m2) estimated at 35% and 12% of the global
adult population, respectively. According to data collected from the United
States National Health and Nutrition Examination Survey, approximately 68.8% of
US adults are overweight or obese. Additionally, a large burden of health care
costs can be attributed directly to obesity as well as multiple, potentially
preventable, comorbidities such as cancer, cardiovascular disease, and diabetes.
As a result, national and international organizations, such as the US Centers for
Disease Control and World Health Organization, have made halting the rise of the
obesity epidemic a top priority. Pharmacists, commonly considered one of the most
trustworthy and accessible health care professionals, are ideally situated to
provide counseling for weight and lifestyle management. This review presents
examples of pharmacist-led as well as collaborative practices that have been
somewhat successful in educating and monitoring patient progress in attaining
weight-loss goals. Common barriers and potential solutions to administration of
lifestyle counseling and monitoring programs, such as limited pharmacist time and
resources, lack of expertise and/or confidence in program administration, and
patient perception and awareness, are also discussed.
PMID- 29354523
TI - Big data in pharmacy practice: current use, challenges, and the future.
AB - Pharmacy informatics is defined as the use and integration of data, information,
knowledge, technology, and automation in the medication-use process for the
purpose of improving health outcomes. The term "big data" has been coined and is
often defined in three V's: volume, velocity, and variety. This paper describes
three major areas in which pharmacy utilizes big data, including: 1) informed
decision making (clinical pathways and clinical practice guidelines); 2) improved
care delivery in health care settings such as hospitals and community pharmacy
practice settings; and 3) quality performance measurement for the Centers for
Medicare and Medicaid and medication management activities such as tracking
medication adherence and medication reconciliation.
PMID- 29354525
TI - Polypharmacy in pediatric patients and opportunities for pharmacists'
involvement.
AB - Rates of chronic conditions among pediatrics have been steadily increasing and
medications used to treat these conditions have also shown a proportional
increase. Most clinical trials focus on the safety of solitary medications in
adult patients. However, data from these trials are often times extrapolated for
use in pediatric patients who have different pharmacokinetic processes and
physical profiles. As research increases and more drugs become available for
pediatric use, the issue of polypharmacy becomes more of a concern. Polypharmacy
is defined as the practice of administering or using multiple medications
concurrently for the treatment of one to several medical disorders. With the
increased rates of diagnosed complex disease states as prescribed mediations in
pediatric patients, the prevalence and effect of polypharmacy in this patient
population is largely a mystery. Polypharmacy falls within the realm of expertise
of specialized pharmacists who can undertake medication therapy management
services, medical chart reviews, and other services in pediatrics. Pharmacists
have the time and knowledge to undertake pertinent interventions when managing
polypharmacy and can play a major positive role in preventing adverse events. The
aim of this paper is to review the literature on pediatric polypharmacy and
provide insight into opportunities for pharmacists to help with management of
polypharmacy. Information on adverse events, efficacy, and long-term outcomes
with regard to growth and development of children subject to polypharmacy has yet
to be published, leaving this realm of patient safety ripe for research.
PMID- 29354526
TI - Promoting evidence-based practice in pharmacies.
AB - Evidence-based medicine aims to optimize decision-making by using evidence from
well-designed and conducted research. The concept of reliable evidence is
essential, since the number of electronic information resources is increasing in
parallel to the increasing number and type of drugs on the market. The decision
making process is a complex and requires an extensive evaluation as well as the
interpretation of the data obtained. Different sources provide different levels
of evidence for decision-making. Not all the data have the same value as the
evidence. Rational use of medicine requires that the patients receive "medicines
appropriate to their clinical needs, in doses that meet their own individual
requirements, for an adequate period of time, and at the lowest cost to them and
their community." Pharmacists have a crucial role in the health system to
maintain the rational use of medicine and provide pharmaceutical care to
patients, because they are the drug experts who are academically trained for this
purpose. The rational use of the pharmacist's workforce will improve the outcome
of pharmacotherapy as well as decreasing the global health costs.
PMID- 29354524
TI - Optimizing pharmacotherapy in elderly patients: the role of pharmacists.
AB - As the world's population ages, global health care systems will face the burden
of chronic diseases and polypharmacy use among older adults. The traditional
tasks of medication dispensing and provision of basic education by pharmacists
have evolved to active engagement in direct patient care and collaborative team
based care. The care of older patients is an especially fitting mission for
pharmacists, since the key to geriatric care often lies with management of
chronic diseases and polypharmacy use, and preventing harmful consequences of
both. Because most chronic conditions are treated with medications, pharmacists,
with their extensive training in pharmacotherapy and pharmacokinetics, are in a
unique and critical position in the management of them. Pharmacists have the
expertise to detect, resolve, and prevent medication errors and drug-related
problems, such as overtreatment, undertreatment, adverse drug events, and
nonadherence. Pharmacists are also competent in critically reviewing and applying
clinical guidelines to the care of individual patients, and in some instances
confront the lack of data (common in older adults) to provide the best possible
patient-centered care. The current review aimed to depict the evidence of
geriatric pharmacy care, demonstrate current impact of pharmacists' interventions
on older patients, survey the tools used by pharmacists to provide effective
care, and explore their role in pharmacotherapy optimization in elders. The
findings of the current review strongly support previous studies that showed
positive impact of pharmacists' interventions on older patients' health-related
outcomes. There is a clear role for pharmacists working directly or
collaboratively to improve medication use and management in older populations.
Therefore, in global health care systems, teams caring for elders should involve
pharmacists to optimize pharmacotherapy.
PMID- 29354527
TI - A prescription survey about combined use of acetylcholinesterase inhibitors and
anticholinergic medicines in the dementia outpatient using electronic medication
history data from community pharmacies.
AB - Purpose: We investigated prescriptions regarding the combined use of donepezil
hydrochloride (DPZ) and anticholinergics for elderly outpatients in Japan to
determine the impact that combination therapy has on decreasing their cognitive
functions. Methods: Using electronic medication records from 142 community
pharmacies, outpatients older than 40 years of age taking DPZ, with or without
other prescription medicines, were assessed over 6 years, beginning in 2007. We
estimated the number of medicines administered along with DPZ, the number of
anticholinergics administered along with DPZ, and the medicines' anticholinergic
cognitive burden (ACB) scale cumulative score based on data from the top four
pharmacies that filled the highest number of prescriptions for DPZ for
outpatients with dementia in 2010. Data were gathered from records of 431
patients; only three patients were younger than 60 years. Results: There was a
1.94-fold increase in the number of prescriptions including DPZ over 6 years. The
proportion of patients to whom other medicines were administered along with DPZ
was 65.6% (n=283) and the proportion of those taking at least one anticholinergic
agent was 24.1% (n=104). The mean number of medicines among subjects taking at
least one anticholinergic was 5.7, and the mean cumulative ACB score for
anticholinergics contained in these medicines was 2.6. Among 104 patients to whom
the anticholinergics were administered along with DPZ, two outpatients taking
urologic medicines such as oxybutynin hydrochloride or tolterodine tartrate were
found. Conclusion: Our findings suggest that it is necessary to pay attention to
a decline in cognitive function when prescribing multiple medicines, especially
to elderly patients who have already been prescribed DPZ.
PMID- 29354528
TI - Informed, tailored, and targeted pharmacy support for nurses administering
medicines in care homes.
AB - Care home nurses could benefit from more informed, tailored, and targeted
pharmacy support when undertaking medicine administration. Pharmacists could use
the principles of ethnographic research methods to inform, tailor, and target the
medicine administration support they provide. It should be determined if existing
pharmacy support has been informed following comprehensive observations of care
home medicine administration.
PMID- 29354529
TI - Pharmacist-led implementation of a vancomycin guideline across medical and
surgical units: impact on clinical behavior and therapeutic drug monitoring
outcomes.
AB - Background: Vancomycin is the antibiotic of choice for the treatment of serious
infections such as methicillin-resistant Staphylococcus aureus (MRSA).
Inappropriate prescribing of vancomycin can lead to therapeutic failure,
antibiotic resistance, and drug toxicity. Objective: To examine the effectiveness
of pharmacist-led implementation of a clinical practice guideline for vancomycin
dosing and monitoring in a teaching hospital. Methods: An observational pre-post
study design was undertaken to evaluate the implementation of the vancomycin
guideline. The implementation strategy principally involved education, clinical
vignettes, and provision of pocket guidelines to accompany release of the
guideline to the hospital Intranet. The target cohort for clinical behavioral
change was junior medical officers, as they perform the majority of prescribing
and monitoring of vancomycin in hospitals. Assessment measures were recorded for
vancomycin prescribing, therapeutic drug monitoring, and patient outcomes.
Results: Ninety-nine patients, 53 pre- and 46 post-implementation, were included
in the study. Prescribing of a loading dose increased from 9% to 28% (P=0.02),
and guideline adherence to starting maintenance dosing increased from 53% to 63%
(P=0.32). Dose adjustment by doctors when blood concentrations were outside
target increased from 53% to 71% (P=0.12), and correct timing of initial
concentration measurement increased from 43% to 57% (P=0.23). Appropriately timed
trough concentrations improved from 73% to 81% (P=0.08). Pre-dose (trough)
concentrations in target range rose from 33% to 44% (P=0.10), while potentially
toxic concentrations decreased from 32% to 21% (P=0.05) post-implementation.
Infection cure rates for patients increased from 85% to 96% (P=0.11) after the
guideline was implemented. Conclusion: The implementation strategy employed in
this study demonstrated potential effectiveness, and should prompt additional
larger studies to optimize strategies that will translate into improved clinical
practice using vancomycin.
PMID- 29354530
TI - Systematic literature review of hospital medication administration errors in
children.
AB - Objective: Medication administration is the last step in the medication process.
It can act as a safety net to prevent unintended harm to patients if detected.
However, medication administration errors (MAEs) during this process have been
documented and thought to be preventable. In pediatric medicine, doses are
usually administered based on the child's weight or body surface area. This in
turn increases the risk of drug miscalculations and therefore MAEs. The aim of
this review is to report MAEs occurring in pediatric inpatients. Methods: Twelve
bibliographic databases were searched for studies published between January 2000
and February 2015 using "medication administration errors", "hospital", and
"children" related terminologies. Handsearching of relevant publications was also
carried out. A second reviewer screened articles for eligibility and quality in
accordance with the inclusion/exclusion criteria. Key findings: A total of 44
studies were systematically reviewed. MAEs were generally defined as a deviation
of dose given from that prescribed; this included omitted doses and
administration at the wrong time. Hospital MAEs in children accounted for a mean
of 50% of all reported medication error reports (n=12,588). It was also
identified in a mean of 29% of doses observed (n=8,894). The most prevalent type
of MAEs related to preparation, infusion rate, dose, and time. This review has
identified five types of interventions to reduce hospital MAEs in children:
barcode medicine administration, electronic prescribing, education, use of smart
pumps, and standard concentration. Conclusion: This review has identified a wide
variation in the prevalence of hospital MAEs in children. This is attributed to
the definition and method used to investigate MAEs. The review also illustrated
the complexity and multifaceted nature of MAEs. Therefore, there is a need to
develop a set of safety measures to tackle these errors in pediatric practice.
PMID- 29354531
TI - Patient views on an electronic dispensing device for prepackaged polypharmacy: a
qualitative assessment in an ambulatory setting.
AB - Objective: To collect opinions on medication management aids (MMAs) in general
and on an electronic MMA (e-MMA) dispensing prepackaged polypharmacy in sealed
pouches. Study setting: The setting involved community-dwelling older adults in
Basel, Switzerland, in 2013. Study design: The study involved 1) a 14-day trial
with the e-MMA and 2) a focus group to identify general attributes of MMAs, their
applicability to the e-MMA, and possible target groups for the e-MMA. Data
collection methods: Six participants using long-term polypharmacy and willing to
try new technologies completed the 14-day trial and participated in the focus
group. Inductive content analysis was performed to extract data. Principal
findings: Participants rated ten of 17 general attributes as clearly applicable
to the e-MMA and five as unsuitable. Attributes pertained to three interrelating
themes: product design, patient support, and living conditions. Envisaged target
groups were patients with time-sensitive medication regimens, patients with
dementia, the visually impaired, and several patients living together to prevent
accidental intake of the wrong medication. Conclusion: The evaluated e-MMA for
prepackaged polypharmacy met the majority of the requirements set for an MMA.
Patients' living conditions, such as mobility, remain the key determinants for
acceptance of an e-MMA.
PMID- 29354533
TI - A systematic review of the nature of dispensing errors in hospital pharmacies.
AB - Background: Dispensing errors are common in hospital pharmacies. Investigating
dispensing errors is important for identifying the factors involved and
developing strategies to reduce their occurrence. Objectives: To review published
studies exploring the incidence and types of dispensing errors in hospital
pharmacies and factors contributing to these errors. Methods: Electronic
databases including PubMed, Scopus, Ovid, and Web of Science were searched for
articles published between January 2000 and January 2015. Inclusion criteria
were: studies published in English, and studies investigating type, incidence and
factors contributing to dispensing errors in hospital pharmacies. One researcher
searched for all relevant published articles, screened all titles and abstracts,
and obtained complete articles. A second researcher assessed the titles,
abstracts, and complete articles to verify the reliability of the selected
articles. Key findings: Fifteen studies met the inclusion criteria all of which
were conducted in just four countries. Reviewing incident reports and direct
observation were the main methods used to investigate dispensing errors.
Dispensing error rates varied between countries (0.015%-33.5%) depending on the
dispensing system, research method, and classification of dispensing error types.
The most frequent dispensing errors reported were dispensing the wrong medicine,
dispensing the wrong drug strength, and dispensing the wrong dosage form. The
most common factors associated with dispensing errors were: high workload, low
staffing, mix-up of look-alike/ sound-alike drugs, lack of knowledge/experience,
distractions/interruptions, and communication problems within the dispensary
team. Conclusion: Studies relating to dispensing errors in hospital pharmacies
are few in number and have been conducted in just four countries. The majority of
these studies focused on the investigation of dispensing error types with no
mention of contributing factors or strategies for reducing dispensing errors.
Others studies are thus needed to investigate dispensing errors in hospital
pharmacies, and a combined approach is recommended to investigate contributing
factors associated with dispensing errors and explore strategies for reducing
these errors.
PMID- 29354534
TI - Chronic care model in primary care: can it improve health-related quality of
life?
AB - Purpose: Chronic diseases such as hypertension, diabetes mellitus, and
hyperlipidemia are public health concerns. However, little is known about how
these affect patient-level health measures. The aim of the study was to examine
the impact of a chronic care model (CCM) on the participant's health-related
quality of life (QoL). Patients and methods: Participants received either usual
care or CCM by a team of health care professionals including pharmacists, nurses,
dietitians, and general practitioners. The participants in the intervention group
received medication counseling, adherence, and dietary advice from the health
care team. The QoL was measured using the EQ-5D (EuroQoL-five dimension, health
related quality of life questionnaire) and comparison was made between usual care
and intervention groups at the beginning and end of the study at 6 months.
Results: Mean (standard deviation) EQ-5D index scores improved significantly in
the intervention group (0.92+/-0.10 vs 0.95+/-0.08; P<=0.01), but not in the
usual care group (0.94+/-0.09 vs 0.95+/-0.09; P=0.084). Similarly, more
participants in the intervention group reported improvements in their QoL
compared with the usual care group, especially in the pain/discomfort and
anxiety/depression dimensions. Conclusion: The implementation of the CCM resulted
in significant improvement in QoL. An interdisciplinary team CCM approach should
be encouraged, to ultimately result in behavior changes and improve the QoL of
the patients.
PMID- 29354532
TI - Improving medication adherence: a framework for community pharmacy-based
interventions.
AB - Evidence supports that patient medication adherence is suboptimal with patients
typically taking less than half of their prescribed doses. Medication
nonadherence is associated with poor health outcomes and higher downstream health
care costs. Results of studies evaluating pharmacist-led models in a community
pharmacy setting and their impact on medication adherence have been mixed.
Community pharmacists are ideally situated to provide medication adherence
interventions, and effective strategies for how they can consistently improve
patient medication adherence are necessary. This article suggests a framework to
use in the community pharmacy setting that will significantly improve patient
adherence and provides a strategy for how to apply this framework to develop and
test new medication adherence innovations. The proposed framework is composed of
the following elements: 1) defining the program's pharmacy service vision, 2)
using evidence-based, patient-centered communication and intervention strategies,
3) using specific implementation approaches that ensure fidelity, and 4) applying
continuous evaluation strategies. Within this framework, pharmacist interventions
should include those services that capitalize on their specific skill sets. It is
also essential that the organization's leadership effectively communicates the
pharmacy service vision. Medication adherence strategies that are evidence-based
and individualized to each patient's adherence problems are most desirable.
Ideally, interventions would be delivered repeatedly over time and adjusted when
patient's adherence circumstances change. Motivational interviewing principles
are particularly well suited for this. Providing effective training and ensuring
that the intervention can be delivered with fidelity within a specified workflow
process are also essential for success. Utilizing this proposed framework will
lead to greater and consistent success when implementing pharmacist-led
medication adherence interventions in the community pharmacy setting.
PMID- 29354535
TI - Community pharmacy: an untapped patient data resource.
AB - As community pharmacy services become more patient centered, they will be
increasingly reliant on access to good quality patient information. This review
describes how the information that is currently available in community pharmacies
can be used to enhance service delivery and patient care. With integration of
community pharmacy and medical practice records on the horizon, the opportunities
this will provide are also considered. The community pharmacy held patient
medication record, which is the central information repository and has been used
to identify non-adherence, prompts the pharmacist to clinically review
prescriptions, identify patients for additional services, and identify those
patients at greater risk of adverse drug events. While active recording of
patient consultations for treatment over the counter may improve the quality of
consultations and information held, the lost benefits of anonymity afforded by
community pharmacies need to be considered. Recording of pharmacy staff
activities enables the workload to be monitored, remuneration to be justified,
critical incidents to be learned from, but is not routine practice.
Centralization of records between community pharmacies enables practices to be
compared and consistent problems to be identified. By integrating pharmacy and
medical practice records, patient behavior with respect to medicines can be more
closely monitored and should prevent duplication of effort. When using patient
information stored in a community pharmacy, it is, however, important to consider
the reason why the information was recorded in the first instance and whether it
is appropriate to use it for a different purpose without additional patient
consent. Currently, community pharmacies have access to large amounts of
information, which, if stored and used appropriately, can significantly enhance
the quality of provided services and patient care. Integrating the records
increases opportunities to enhance patient care yet further. While community
pharmacies have significant amounts of information available to them, this is
frequently untapped.
PMID- 29354536
TI - Community pharmacists provided telephone treatment support for patients who
received long-term prescribed medication.
AB - Purpose: This study was conducted to evaluate whether a community pharmacist's
assistance during the treatment of a patient with a chronic illness would help to
discover and improve issues regarding the treatment. Method: We employed a
prospective intervention study with a control group. The patients ranging in age
from 60 to 74, were using one of the six selected community pharmacies in the
Tokyo metropolitan area. They had been prescribed six or fewer kinds of
medications, one of which was amlodipine. The medication dosages covered 1 month
or longer. Patients who agreed to participate in the study were randomly assigned
to the groups at each pharmacy. For the patients in the intervention group, the
pharmacists provided telephone counseling between physician visits, in addition
to the time they visited the pharmacies to collect their medications. For the
patients in the control group, the pharmacists provided counseling only at their
pharmacies. Results and discussion: The average days of medication administration
were 49.2 days for the 58 patients in the intervention group, and 49.8 days for
the 53 patients in the control group, with the average number of medications
being 3.4 items per person for both groups. Through the telephone counseling, we
were able to collect more information, eg, changes in physical condition and
occurrences of side effects, from the intervention group than from the control
group. The rate of incident detection in the information from the intervention
group was five times that of the control group, making subsequent incident
resolutions faster. Conclusion: This study suggested that phone counseling
between physician visits could enable the identification of more issues regarding
patients' conditions.
PMID- 29354537
TI - Causes and consequences of e-prescribing errors in community pharmacies.
AB - Major national policy forces are promoting the adoption and use of health
information technology (health IT) to improve the quality, safety, and efficiency
of health care delivery. One such health IT is electronic prescribing (e
prescribing), which is the direct transmission of prescription information from a
provider to a pharmacy. Given research showing that handwritten prescriptions are
unsafe and associated errors can lead to tremendous inefficiency for patients and
pharmacists, e-prescribing has many potential benefits. However, as with the
introduction of any new technology, unintended, adverse consequences may result.
The purpose of this review is to explore the causes and consequences of e
prescribing errors in community pharmacies, which are pharmacies not affiliated
with a hospital or clinic. Many new types of errors - including provider order
entry errors, transcription errors, and dispensing errors - appear to result from
e-prescribing. These lead to important consequences for pharmacies, including
safety threats to patients, reduced efficiency for pharmacists, processing
delays, and increased pharmacy cost. Increased attention to system design and
pharmacist training, as well as additional research in this area, will be
critical to realize the full benefits of e-prescribing.
PMID- 29354538
TI - The future of pain pharmacy: driven by need.
AB - Background: Opioid prescribing has increased by ~400% over the past 20 years in
the US and has been correlated with dramatic increases in accidental overdose
related deaths. Emerging evidence of serious dose-dependent side effects of
opioid analgesics has led to recommendations from multinational pain societies
and governments to decrease opioid doses and increase referrals to pain
specialists. Demand for pain specialists of all types has increased; however,
training programs for health care professionals struggle to satisfy this need.
Objective: The purpose of this article is to highlight the role of clinical
pharmacy specialists in pain management and to discuss available residency
training programs and subspecialties within each program. Methods: We surveyed
all eleven accredited pharmacy postgraduate year two (PGY-2) Pain and Palliative
Care Residency programs in the US. Program information was derived from
interviews with residency directors, current residents, program brochures, and
residency Web sites. Data collected included core, elective, and longitudinal
rotations, with the time frame dedicated to each experience. Primary practice
areas, as well as inpatient vs outpatient focus, were also documented.
Additionally, a review of the available literature was completed to determine the
areas in greatest need for future pain specialists. Results: Pharmacy pain
specialists have been referenced as highly effective additions to
interdisciplinary pain management teams. Pharmacists provide expertise in complex
pain medication management, which remains the primary focus of most chronic pain
encounters. The PGY-2 programs surveyed differ considerably, with the majority
providing significant emphasis to either acute pain management or palliative care
with brief or limited exposure to chronic pain management. Four of the eleven
programs require 2 months of chronic pain management; however, only two of the
eleven programs identify chronic pain management as a primary practice setting.
Discussion: Pain specialists in all fields are in high demand; however, the need
for health care professionals specialized in chronic pain management probably
exceeds that for professionals specialized in acute pain management and
palliative care combined. This disparity between disease prevalence and specialty
training programs is not reflected in the current residency training structure,
nor have additional training programs arisen to fill this critical need.
Conclusion: Health care systems will continue to struggle to meet the demands of
patients with chronic pain until significant emphasis is placed on the education
and training of health care professionals in this area. Clinical pharmacy should
aim to meet this demand through the expansion of PGY-2 training programs and
improved didactic education in pharmacy school that reflects the increased need
for chronic pain specialists.
PMID- 29354539
TI - Optimizing care transitions: the role of the community pharmacist.
AB - Transitions of care (TOC) refer to the movement of patients across institutions,
among providers, between different levels of care, and to and from home.
Medication errors that occur during TOC have the potential to result in medical
complications that are serious for the patient and costly to the health care
system. Positive outcomes have been demonstrated when pharmacists are involved in
providing TOC services, including reducing preventable adverse drug reactions,
medication-related problems, and rehospitalizations, as well as improving the
discharge process. This review explores TOC models involving community pharmacy
practice, the current impact of pharmacist interventions in TOC, and patient
satisfaction with TOC services provided by community pharmacists. Common barriers
and potential solutions to TOC services provided in the community pharmacy, such
as patient identification, information gathering, standardization of services,
administrative support, reimbursement, and time restraints, are also discussed.
PMID- 29354540
TI - Clinical impact of a pharmacist-led inpatient anticoagulation service: a review
of the literature.
AB - Background: Anticoagulant therapies provide management options for potentially
life-threatening thromboembolic conditions. They also carry significant safety
risks, requiring careful consideration of medication dose, close monitoring, and
follow-up. Inpatients are particularly at risk, considering the widespread use of
anticoagulants in hospitals. This has prompted the introduction of safety goals
for anticoagulants in Canada and the USA, which recommend increased pharmacist
involvement to reduce patient harm. The goal of this review is to evaluate the
efficacy and safety of pharmacist-led inpatient anticoagulation services compared
to usual or physician-managed care. Methods: This narrative review includes
articles identified through a literature search of PubMed, Embase, and
International Pharmaceutical Abstracts databases, as well as hand searches of the
references of relevant articles. Full publications of pharmacist-managed
inpatient anticoagulation services were eligible if they were published in
English and assessed clinical outcomes. Results: Twenty-six studies were included
and further divided into two categories: 1) autonomous pharmacist-managed
anticoagulation programs (PMAPs) and 2) pharmacist recommendation. Pharmacist
management of heparin and warfarin appears to result in improvements in some
surrogate outcomes (international normalized ratio [INR] stability and time in
INR goal range), while results for others are mixed (time to therapeutic INR,
length of stay, and activated partial thromboplastin time [aPTT] measures). There
is also some indication that PMAPs may be associated with reduced patient
mortality. When direct thrombin inhibitors are managed by pharmacists, there
seems to be a shorter time to therapeutic aPTT and a greater percentage of time
in the therapeutic range, as well as a decrease in the frequency of medication
errors. Pharmacist recommendation services have generally resulted in a greater
time in therapeutic INR range, greater INR stability, decreased length of stay,
and reduced major drug interactions, with no significant differences in safety
outcomes. Conclusion: Pharmacist-led inpatient anticoagulation management seems
to result in superior outcomes, as compared to usual or physician-managed care.
This conclusion is limited by small, poorly designed studies lacking statistical
power, focusing mainly on surrogate outcomes.
PMID- 29354541
TI - Prescription opioid abuse: pharmacists' perspective and response.
AB - Opioid medication abuse and overdose are major concerns for public health, and a
number of responses to address these issues have taken place across the US.
Pharmacists and the pharmacy profession have made important contributions as a
part of the response to this national crisis. This article provides a brief
review of the antecedents, driving forces, and health status of patients involved
in the opioid medication and overdose epidemic. This review further discusses
pharmacy-based actions that have been undertaken to address this issue, including
prescription drug monitoring, take-back, and naloxone training/distribution
programs. This review likewise examines current efforts underway in the field to
educate practitioners and needed future steps that must be taken by pharmacists
in order to continue the profession's pivotal role in working toward resolving
this national public health problem. In particular, evidence and arguments are
presented for proactively identifying and intervening with patients who abuse
and/or are at risk for overdose. Continued and active engagement by pharmacists
in these efforts has the potential to result in important reductions in opioid
medication abuse and overdose and improvements for patient's health.
PMID- 29354543
TI - Erratum: Telepharmacy: a pharmacist's perspective on the clinical benefits and
challenges [Corrigendum].
AB - [This corrects the article on p. 75 in vol. 5.].
PMID- 29354542
TI - Telepharmacy: a pharmacist's perspective on the clinical benefits and challenges.
AB - The use of information and telecommunication technologies has expanded at a rapid
rate, which has a strong influence on healthcare delivery in many countries.
Rural residents and communities, however, often lack easy access to healthcare
services due to geographical and demographical factors. Telepharmacy, a more
recent concept that refers to pharmaceutical service provision, enables
healthcare services, such as medication review, patients counseling, and
prescription verification, by a qualified pharmacist for the patients located at
a distance from a remotely located hospital, pharmacy, or healthcare center.
Telepharmacy has many recognizable benefits such as the easy access to healthcare
services in remote and rural locations, economic benefits, patient satisfaction
as a result of medication access and information in rural areas, effective
patient counseling, and minimal scarcity of local pharmacist and pharmacy
services. Telepharmacy undoubtedly is a great concept, but it is sometimes
challenging to put into practice. Inherent to the adoption of these practices are
legal challenges and pitfalls that need to be addressed. The start-up of
telepharmacy (hardware, software, connectivity, and operational cost) involves
considerable time, effort, and money. For rural hospitals with fewer patients,
the issue of costs appears to be one of the biggest barriers to telepharmacy
services. Moreover, execution and implementation of comprehensive and uniform
telepharmacy law is still a challenge. A well-developed system, however, can
change the practice of pharmacy that is beneficial to both the rural communities
and the hospitals or retail pharmacies that deliver these services.
PMID- 29354544
TI - Clients' perception and satisfaction toward service provided by pharmacy
professionals at a teaching hospital in Ethiopia.
AB - Background: Evaluation of client's perception and satisfaction with pharmacy
services is important to identify specific areas of the service that need
improvement in achieving high-quality pharmacy services. It also helps to detect
the gaps in the current pharmaceutical services provision. Objective: To assess
clients' perception and satisfaction toward service provided by pharmacy
professionals at Mizan-Tepi University Teaching Hospital. Methods: A cross
sectional study design was employed from March 8 to 24, 2016. A semistructured
questionnaire was used to assess clients' perception and satisfaction toward
service provided by pharmacy professionals. The data collected were entered into
Epi data 3.1, cleaned, and transported into and analyzed using SPSS version 20.
Logistic regression was employed to determine associated factors, and statistical
significance was considered at p-value <0.05. Results: Among 384 respondents,
53.1% were male. Of the total participants, 63.8% had good perception and 36.2%
had poor perception toward pharmacy services. With regard to satisfaction, 52.6%
of the respondents were satisfied and 47.4% were unsatisfied by the
pharmaceutical services. Sociodemographic variables such as educational level
(p=0.000), occupation (p=0.031), payment for service (p=0.002), and reasons the
respondents seek service (p=0.001) showed statistically significant association
with the level of perception. Clients' satisfaction was found to be significantly
associated with educational level (p=0.002) and reason for seeking service
(p=0.016). Conclusion and recommendation: This study showed that the overall mean
perception and satisfaction of clients in Mizan-Tepi University Teaching Hospital
was low, even though it was above the mean level of perception and satisfaction.
Action has to be taken to improve the perception and satisfaction of clients with
the services provided in the pharmacy section.
PMID- 29354545
TI - Abuse of over-the-counter medicines: a pharmacist's perspective.
AB - Self-care and self-medication practices are essential components of any health
care systems. The use of over-the-counter (OTC) medications is a part of the self
medication process. The popularity of OTC medication use among patients may
increase the abuse potential of OTC medications. With pharmacists being as
accessible as they are, they are often the first line of contact for patients,
and have the opportunity to educate and counsel patients on appropriate OTC
medication use. The presence of a pharmacist ensures safe and effective use of
OTC medications. Pharmacists can liaise with other health care providers in the
management of self-care practices by patients. However, a pharmacist has
traditionally been underutilized in this role. This article provides a brief
review on OTC medications with abuse potential and the effect of self-medication
on OTC medication abuse. This review further describes the barriers faced by
pharmacists in OTC medication abuse management, given the increased potential of
prescription-to-OTC switch in recent years. In addition, the potential for a
behind-the-counter drug category to boost patient-pharmacist interaction was
discussed. The current review supports the positive role played by pharmacists in
the management of OTC medication abuse. This review adds to the knowledge base of
the barriers faced by pharmacists to prevent OTC medication abuse while
developing appropriate intervention strategies. By expanding the role of
pharmacists, OTC medication abuse may be controlled more effectively, thereby
providing better patient medication therapy management and outcomes.
PMID- 29354546
TI - Benefit of hospital pharmacy intervention on the current status of dry powder
inhaler technique in patients with asthma and COPD: a study from the Central
Development Region, Nepal.
AB - Background: The majority of patients with asthma and chronic obstructive
pulmonary disease (COPD) have been known to perform inhaler technique
inadequately. We aimed to evaluate the benefit of hospital pharmacy intervention
on the current status of dry powder inhaler (Rotahaler(r)) technique in such
patients and the factors associated with the correct use. Methods: A pre-post
interventional study was conducted at the outpatient pharmacy in a teaching
hospital of the Central Development Region, Nepal, in patients with asthma and
COPD currently using a Rotahaler device. Patients' demographics and Rotahaler
technique were assessed before intervention. Those who failed to demonstrate the
correct technique were educated and trained by the pharmacist, and their
technique was reassessed after 2 weeks of intervention. Descriptive statistics,
including Wilcoxon signed rank test, Mann-Whitney U test, Spearman's correlations
and Kruskal-Wallis test, were performed for statistical analysis. Results: Before
intervention, only 5.7% (10 of 174) of the patients demonstrated the correct
Rotahaler technique and the most common errors observed were failure to breathe
out gently before inhalation (98.8%) and failure to hold breath for about 10
seconds after inhalation (84.8%). After the intervention (n=164), 67.1% of the
patients showed their technique correctly (p<=0.001) and failure to breathe out
gently before inhalation was the most common error (27.44%). Age (p=0.003),
previous instruction (p=0.007), patient's education level (p=0.013) and source of
instruction (p<0.001) were associated with an appropriate technique before
intervention, while age (p=0.024), duration of therapy (p=0.010) and gender
(p=0.008) were the factors correlated with correct usage after intervention.
Conclusion: The current status of Rotahaler technique is inadequate in patients
with asthma and COPD attending the Chitwan Medical College Teaching Hospital in
the Central Development Region, Nepal. However, a single hospital pharmacy
intervention can significantly improve the correct use of the technique,
highlighting the role of hospital pharmacies in the improvement of inhaler
technique.
PMID- 29354547
TI - The role of the pharmacist in the management of type 2 diabetes: current insights
and future directions.
AB - Type 2 diabetes is a chronic disease occurring in ever increasing numbers
worldwide. It contributes significantly to the cost of health globally; however,
its management remains in the most part less than optimal. Patients must be
empowered to self-manage their disease, and they do this in partnership with
health care professionals. Whilst the traditional role of the pharmacist has been
centered around the supply of medicines and patient counseling, there is an
evergrowing body of evidence that pharmacists, through a range of extended
services, may contribute positively to the clinical and humanistic outcomes of
those with diabetes. Further, these services can be delivered cost-effectively.
This paper provides a review of the current evidence supporting the role of
pharmacists in diabetes care, whilst providing a commentary of the future roles
of pharmacists in this area.
PMID- 29354548
TI - Antihypertensive drug prescription patterns and their impact on outcome of blood
pressure in Ethiopia: a hospital-based cross-sectional study.
AB - Background: Irrational prescription is strongly associated with poor control of
hypertension. The present study aimed to evaluate antihypertensive drug
prescription trends and to measure their impact on the level of blood pressure
(BP) control in Gondar University Hospital, Gondar, Ethiopia. Methods: A hospital
based retrospective cross-sectional study was conducted from May 30 to June 30,
2016. All hypertensive patients on medication were included. A structured data
abstraction form was prepared to gather the necessary information. The
prescription patterns and BP level were measured retrospectively. A binary
logistic regression was computed to determine the effect of different
prescription patterns on BP control. Results: A total of 596 hypertension
patients were recruited for the study; of them, 561(94%) met the study criteria.
The mean age of the respondents was 55.96+/-14.6 years. Females constituted 58.2%
of the study population. Approximately fifty percent of the prescriptions were
monotherapies. Twice-daily dosing was associated with lower risk of uncontrolled
hypertension (crude odds ratio [COR] =0.51[0.15-0.73], adjusted odds ratio [AOR]
=0.69[0.163-0.91]). Monthly appointment was linked with a nearly 90% reduced
incidence of uncontrolled BP (COR =0.15[0.04-0.73], AOR =0.093[0.024-0.359]).
Conclusion: Monotherapies were the most frequently prescribed regimens. Twice
daily dosing and monthly appointments were associated with low incidence of
uncontrolled BP. Clinicians should be vigilant in adjusting the frequency of
dosing and should fix appointment date in consultation with their patients.
PMID- 29354550
TI - Prevalence of intravenous medication administration errors: a cross-sectional
study.
AB - Background: Intravenous medication administration errors (MAEs) may be
accompanied by avoidable undesirable effects, which might result in clinical
complications. Objective: The aim of the study was to determine the prevalence of
MAEs and to identify the factors associated with such errors. Methods: A hospital
based cross-sectional study was conducted from March to April 2015. Data were
collected by direct observation using a pretested data collection tool. Simple
random sampling was used, and bivariate logistic regression model was used to
identify the factors associated with MAEs. P value <0.05 was considered
statistically significant. Results: A total of 134 patients were found to be
eligible for the study. More than half of the study participants were males (76
[56.7%]). The rate of MAE was 46.1%, with the missed dose (n=162, 95.8%) being
reported as the most common error. The age groups of 60-79 years (adjusted odds
ratio = 2.166, confidence interval = 1.532-8.799) and 80-101 years (adjusted odds
ratio = 1.52, confidence interval = 1.198-5.584) were the determinants of MAEs.
Conclusion: A high prevalence of MAEs was found. Enhancing the knowledge and
practical skills of clinical nurses might minimize such errors.
PMID- 29354549
TI - Role of the pharmacist in reducing healthcare costs: current insights.
AB - Global healthcare expenditure is escalating at an unsustainable rate. Money spent
on medicines and managing medication-related problems continues to grow. The high
prevalence of medication errors and inappropriate prescribing is a major issue
within healthcare systems, and can often contribute to adverse drug events, many
of which are preventable. As a result, there is a huge opportunity for
pharmacists to have a significant impact on reducing healthcare costs, as they
have the expertise to detect, resolve, and prevent medication errors and
medication-related problems. The development of clinical pharmacy practice in
recent decades has resulted in an increased number of pharmacists working in
clinically advanced roles worldwide. Pharmacist-provided services and clinical
interventions have been shown to reduce the risk of potential adverse drug events
and improve patient outcomes, and the majority of published studies show that
these pharmacist activities are cost-effective or have a good cost:benefit ratio.
This review demonstrates that pharmacists can contribute to substantial
healthcare savings across a variety of settings. However, there is a paucity of
evidence in the literature highlighting the specific aspects of pharmacists' work
which are the most effective and cost-effective. Future high-quality economic
evaluations with robust methodologies and study design are required to
investigate what pharmacist services have significant clinical benefits to
patients and substantiate the greatest cost savings for healthcare budgets.
PMID- 29354551
TI - Community pharmacy COPD services: what do researchers and policy makers need to
know?
AB - COPD is a leading cause of morbidity and mortality across the world and is
responsible for a disproportionate use of health care resources. It is a
progressive condition that is largely caused by smoking. Identification of early
stage COPD provides an opportunity for interventions, such as smoking cessation,
which prevent its progression. Once diagnosed, ongoing support services
potentially provide an opportunity to assist the patient in managing their
condition and working more closely with the rest of the primary care team. While
there are a number of robust studies which have demonstrated the role which
pharmacists could undertake to identify and prevent disease progression, adoption
of such services is currently limited. As a service that would seem to be
appropriate for adoption in all societies where smoking is prevalent, we have
performed a review of reported approaches that have been used when setting up and
evaluating such services, and therefore aim to inform researchers and policy
makers in other countries on how best to proceed. Implementation science has been
used to further contextualize the findings of the review in terms of components
that are likely to enhance the likelihood of implementation. With reference to
screening services, we have made clear recommendations as to the identification
of patients, structure and smoking cessation elements of the program. Further
work needs to be undertaken by policy makers to determine the approaches that can
be used to motivate pharmacists to provide this service. In terms of ongoing
support services, there is some evidence to suggest that these would be effective
and cost-effective to the health service in which they are implemented. However,
the capability, opportunity and motivation of pharmacists to provide these, more
complex, services need to be the focus for researchers before implementation by
policy makers.
PMID- 29354552
TI - Preventing drug-related adverse events following hospital discharge: the role of
the pharmacist.
AB - Transition of care (ToC) points, and in particular hospital admission and
discharge, can be associated with an increased risk of adverse drug events (ADEs)
and other drug-related problems (DRPs). The growing recognition of the pharmacist
as an expert in medication management, patient education and communication makes
them well placed to intervene. There is evidence to indicate that the inclusion
of pharmacists in the health care team at ToC points reduces ADEs and DRPs and
improves patient outcomes. The objectives of this paper are to outline the
following using current literature: 1) the increased risk of medication-related
problems at ToC points; 2) to highlight some strategies that have been successful
in reducing these problems; and 3) to illustrate how the role of the pharmacist
across all facets of care can contribute to the reduction of ADEs, particularly
for patients at ToC points.
PMID- 29354553
TI - Clinical relevancy and determinants of potential drug-drug interactions in
chronic kidney disease patients: results from a retrospective analysis.
AB - Background: Chronic kidney disease (CKD) alters the pharmacokinetic and
pharmacodynamic responses of various renally excreted drugs and increases the
risk of drug-related problems, such as drug-drug interactions. Objectives: To
assess the pattern, determinants, and clinical relevancy of potential drug-drug
interactions (pDDIs) in CKD patients. Materials and methods: This study
retrospectively reviewed medical charts of all CKD patients admitted in the
nephrology unit of a tertiary care hospital in Pakistan from January 2013 to
December 2014. The Micromedex Drug-Reax(r) system was used to screen patient
profiles for pDDIs, and IBM SPSS version 20 was used to carry out statistical
analysis. Results: We evaluated 209 medical charts and found pDDIs in nearly
78.5% CKD patients. Overall, 541 pDDIs were observed, of which, nearly 60.8%
patients had moderate, 41.1% had minor, 27.8% had major, and 13.4% had
contraindicated interactions. Among those interactions, 49.4% had good evidence,
44.0% had fair, 6.3% had excellent evidence, and 35.5% interactions had delayed
onset of action. The potential adverse outcomes of pDDIs included postural
hypotension, QT prolongation, ceftriaxone-calcium precipitation, cardiac
arrhythmias, and reduction in therapeutic effectiveness. The occurrence of pDDIs
was found strongly associated with the age of <60 years, number of prescribed
medicines >=5, hypertension, and the lengthy hospitalization of patients.
Conclusion: The occurrence of pDDIs was high in CKD patients. It was observed
that CKD patients with an older age, higher number of prescribed medicines,
lengthy hospitalization, and hypertension were at a higher risk of pDDIs.
PMID- 29354554
TI - Review of community pharmacy services: what is being performed, and where are the
opportunities for improvement?
AB - Objective: The aim of this review was to assess pharmacist and pharmacy services
being provided and identify opportunities to improve patient satisfaction.
Methods: Studies published between January 2006 and July 2016 examining patient
satisfaction with pharmacy and pharmacist services, which were written in
English, were identified in PubMed. Studies were excluded if they only looked at
pharmacy student-provided services. Key findings: A total of 50 studies were
ultimately included in the review. Of these studies, 28 examined services
traditionally provided by community pharmacists such as dispensing and
counseling, while 16 examined a new in-person service being offered by a
pharmacy, and the remaining six involved a new technology-assisted service. While
study findings were generally positive for patient satisfaction of pharmacy
services, several opportunities were identified for pharmacies to improve.
Conclusion: Overall, patient satisfaction is high across pharmacy services;
however, this satisfaction is related to prior patient exposure to services and
their level of expectation. Pharmacists have multiple opportunities to improve
the services they provide, and there are additional services pharmacists may
consider offering to expand their role within the health care system.
PMID- 29354555
TI - Pharmacovigilance: pharmacists' perspective on spontaneous adverse drug reaction
reporting.
AB - Globally, adverse drug reactions (ADRs), one of the leading causes of morbidity
and mortality, will continue to pose a threat to public health as long as drugs
are being used to treat various ailments. Prompt ADR reporting is crucial in
ensuring drug safety. The aim of this narrative review was to highlight the role
of pharmacists in pharmacovigilance and to identify barriers and facilitators
toward ADR reporting documented in the literature. The perspective of pharmacy
students on pharmacovigilance and ADR reporting has also been discussed with an
aim to highlight the need to improve content related to ADR reporting and
pharmacovigilance in undergraduate pharmacy curriculum. Globally, although the
role of pharmacists within national pharmacovigilance systems varies, it is very
well recognized. In general, pharmacists acknowledge that ADR reporting is part
of their professional responsibility and have a positive attitude toward
reporting ADRs. However, current research evidence suggests that there are still
critical knowledge gaps with regard to ADR reporting among pharmacists,
especially in countries where the role of pharmacists within the health care
system is limited. These knowledge gaps can be fulfilled through continuous
professional development programs and reinforcing theoretical and practical
knowledge in undergraduate pharmacy curriculums. Without adequately identifying
and fulfilling training needs of pharmacists and other health care professionals,
the efficiency of national pharmacovigilance systems is unlikely to improve which
may compromise patient's safety.
PMID- 29354556
TI - Role of the community pharmacist in emergency contraception counseling and
delivery in the United States: current trends and future prospects.
AB - Women and couples continue to experience unintended pregnancies at high rates. In
the US, 45% of all pregnancies are either mistimed or unwanted. Mishaps with
contraceptives, such as condom breakage, missed pills, incorrect timing of patch
or vaginal ring application, contraceptive nonuse, forced intercourse, and other
circumstances, place women at risk of unintended pregnancy. There is a critical
role for emergency contraception (EC) in preventing those pregnancies. There are
currently three methods of EC available in the US. Levonorgestrel EC pills have
been available with a prescription for over 15 years and over-the-counter since
2013. In 2010, ulipristal acetate EC pills became available with a prescription.
Finally, the copper intrauterine device remains the most effective form of EC.
Use of EC is increasing over time, due to wider availability and accessibility of
EC methods. One strategy to expand access for both prescription and
nonprescription EC products is to include pharmacies as a point of access and
allow pharmacist prescribing. In eight states, pharmacists are able to prescribe
and provide EC directly to women: levonorgestrel EC in eight states and
ulipristal acetate in seven states. In addition to access with a prescription
written by a pharmacist or other health care provider, levonorgestrel EC is
available over-the-counter in pharmacies and grocery stores. Pharmacists play a
critical role in access to EC in community pharmacies by ensuring product
availability in the inventory, up-to-date knowledge, and comprehensive patient
counseling. Looking to the future, there are opportunities to expand access to EC
in pharmacies further by implementing legislation expanding the pharmacist scope
of practice, ensuring third-party reimbursement for clinical services delivered
by pharmacists, and including EC in pharmacy education and training.
PMID- 29354557
TI - Overcoming barriers to intranasal corticosteroid use in patients with
uncontrolled allergic rhinitis.
AB - Patients suffering from allergic rhinitis often attempt to self-manage their
symptoms and may seek advice from pharmacists about nonprescription product
choices. Several drug classes, both prescription and over-the-counter (OTC), are
available, including intranasal corticosteroids (INCSs); oral, intranasal, and
ocular antihistamines; leukotriene antagonists; and topical and systemic
decongestants, as well as immunotherapies. Selection of the optimal treatment
approach depends on the temporal pattern, frequency, and severity of symptoms as
well as the patient's age. Nasal congestion is typically the most bothersome
symptom, although rhinorrhea, postnasal drip, and ocular symptoms are also
problematic. Together, these symptoms may adversely impact the quality of life,
work productivity, sleep quality, and the ability to perform daily activities,
particularly when uncontrolled. Practice guidelines recognize that INCSs are the
most effective medications for controlling allergic rhinitis symptoms, including
nasal congestion. Available INCS products have comparable safety and efficacy
profiles, but they differ in formulation characteristics and sensory attributes.
Several barriers can impede the use of INCSs, including concerns about safety,
misperceptions regarding the loss of response from frequent use, and undesirable
sensations associated with intranasal administration. Given the increasing number
of INCSs available OTC, pharmacists can help allay these concerns by discussing
treatment expectations, recommending INCS products with favorable formulation
characteristics, and reviewing proper use and technique for the administration of
the selected product. These steps can help to foster a collaborative relationship
between the patient and the pharmacist in the treatment of allergic rhinitis.
PMID- 29354558
TI - Defining pharmacy and its practice: a conceptual model for an international
audience.
AB - Background: There is much fragmentation and little consensus in the use of
descriptors for the different disciplines that make up the pharmacy sector.
Globalization, reprofessionalization and the influx of other disciplines means
there is a requirement for a greater degree of standardization. This has not been
well addressed in the pharmacy practice research and education literature.
Objectives: To identify and define the various subdisciplines of the pharmacy
sector and integrate them into an internationally relevant conceptual model based
on narrative synthesis of the literature. Methods: A literature review was
undertaken to understand the fragmentation in dialogue surrounding definitions
relating to concepts and practices in the context of the pharmacy sector. From a
synthesis of this literature, the need for this model was justified. Key
assumptions of the model were identified, and an organic process of development
took place with the three authors engaging in a process of sense-making to
theorize the model. Results: The model is "fit for purpose" across multiple
countries and includes two components making up the umbrella term "pharmaceutical
practice". The first component is the four conceptual dimensions, which outline
the disciplines including social and administrative sciences, community pharmacy,
clinical pharmacy and pharmaceutical sciences. The second component of the model
describes the "acts of practice": teaching, research and professional advocacy;
service and academic enterprise. Conclusions: This model aims to expose issues
relating to defining pharmacy and its practice and to create dialogue. No model
is perfect, but there are implications for what is posited in the areas of
policy, education and practice and future research. The main point is the need
for increased clarity, or at least beginning the discussion to increase the
clarity of definition and consistency of meaning in-and-across the pharmacy
sector locally, nationally and internationally.
PMID- 29354559
TI - Addressing varenicline adherence through repackaging in a dose administration
aid.
AB - Background: Ensuring adherence to prescribed smoking cessation medications, such
as Champix(r) (varenicline), is essential during a quit attempt as non-adherence
can significantly reduce the likelihood of achieving prolonged smoking
abstinence. The use of dose administration aids may improve adherence, though
medication stability on repackaging is not guaranteed, due to a lack of available
data from manufacturers supporting this practice. Objective: To determine the
suitability for repackaging varenicline tartrate tablets into a dose
administration aid, by assessing its physical and chemical stability after being
repackaged and stored at ambient conditions for 6 weeks. Methods: Varenicline
tartrate (1.0 mg) tablets were repackaged into commercially available Webster
pak(r) blister compartments and stored for 42 days at ambient conditions
characteristic of a Zone IVB climate (30 +/- 2 degrees C and 75 +/- 5% relative
humidity) according to the World Health Organization (WHO) guidelines on
pharmaceutical stability testing. Physical and chemical tests were performed on
the repackaged and control tablets, including an assessment of: tablet thickness,
hardness, weight uniformity, friability, dissolution, disintegration, and content
uniformity after exposure to ambient conditions and light according to
International Council on Harmonisation of Technical Requirements of
Pharmaceuticals for Human Use guideline Q1B. Results: Weight, friability, and
thickness of the tablets complied with compendial standards. A validated high
performance liquid chromatography method was used to confirm that after exposure
to light, and repackaging at 30 degrees C/75% relative humidity, the tablets
remained within the required 95%-105% of the stated drug content. However, tablet
hardness and disintegration decreased over time, with tablets becoming softer and
undergoing more rapid disintegration in water. Conclusion: Repackaging 1.0 mg
varenicline tartrate tablets into a dose administration aid can be undertaken to
improve adherence rates and therefore smoking abstinence rates. This can be
performed without compromising either the physical or chemical stability of the
tablets.
PMID- 29354560
TI - The role of community pharmacists in patient counseling and health education: a
survey of their knowledge and level of involvement in relation to type 2 diabetes
mellitus.
AB - Purpose: The present study aimed at evaluating the knowledge and level of
involvement of community pharmacists in the provision of patient counseling and
health education services for patients with DM and perceived barriers that limit
the delivery of such services. Materials and methods: A self-administered
questionnaire based-survey was undertaken from January to March, 2017 with 412
pharmacists working in community pharmacies in six cities of Amhara regional
state of Ethiopia: Debre Markos, Gondar, Dessie, Bahir Dar, Woldya, and Debre
Birhan. Descriptive statistics, ANOVA, and Student's t-test were employed to
examine different variables. Results: Community pharmacists were found to have
poor knowledge and low level of involvement, with an overall mean score of 11.54
and 2.06, respectively. A significant number of community pharmacists never
practiced promoting smoking cessation (45.2%), counseling on good foot care
techniques (33.7%), and counseling on the potential impact of over-the-counter
and herbal drugs on DM management (34%). On the other hand, describing the right
time to administer antidiabetic medications (46%) and counseling on suitable
administration, handling, and storage of insulin (33.7%) were done more
frequently. The main reported barriers to the delivery of these services were
lack of knowledge or clinical skills, lack of access to additional training
programs, and lack of personnel or resources. Conclusion: The present study
revealed a poor knowledge and low level of involvement in counseling and health
education services for patients with DM. Lack of knowledge or clinical skills was
the most commonly reported barrier for providing such services. In order to
better integrate community pharmacies into future public health programs and
optimize the contribution of pharmacists, interventions should focus on
overcoming the identified barriers.
PMID- 29354561
TI - Does an integrated information technology system provide support for community
pharmacists undertaking Discharge Medicines Reviews? An exploratory study.
AB - Objective: The aim of this study was to explore the views of community
pharmacists participating in the pilot of a secure online platform in Wales, the
Choose Pharmacy application (CPA), with particular interest in the electronic
Discharge Advice Letters (e-DALs) and online Discharge Medicines Review (DMR)
form. Materials and methods: A qualitative approach with semi-structured
interviews was adopted. A gatekeeper from National Health Service Wales
Informatics Service identified 35 pharmacies, of the 43 pharmacies where the CPA
had been implemented, that had completed at least one DMR, and these were
therefore invited to an interview. Results: A total of 17 pharmacists were
interviewed. Overall, the results were positive and CPA and e-DAL were perceived
to facilitate continuity of care between care settings. The design and usability
were perceived as good as pharmacists could navigate the CPA without problems;
many felt this was due to the level of training they had received. Many
pharmacists were happy for other services to be included on the platform due to
its ease of use and automatic reimbursement. Several pharmacists felt that
communication between primary and secondary care can be further improved as the
uptake of e-DAL increases. Conclusion: CPA was found to streamline the completion
of online DMR improving continuity of care between primary and secondary sectors,
which in turn should improve patient safety on discharge from hospital.
PMID- 29354562
TI - Opinion of hospital pharmacy practitioners toward the Continuing Pharmacy
Education program: a study from a tertiary care hospital in central Nepal.
AB - Background: Meeting participants' needs and matching their preferences are
important prerequisites for an effective Continuing Pharmacy Education (CPE)
program. The objective of this pilot study was to assess the opinion of hospital
pharmacy practitioners with respect to the CPE program. Methods: The pretested
questionnaires were distributed to 20 pharmacy practitioners working in a
pharmacy at a tertiary care hospital in Nepal which asked for their opinions and
suggestions with respect to the CPE program. Descriptive statistics were
performed using IBM SPSS version 20. Results: Topics related to skills
development (75%) and recent innovations in pharmacy practice (65%) were mostly
preferred. Live (in-person) presentations (80%) and small group discussion (60%)
were the most suitable methods for delivery. Improving knowledge (75%), improving
skills (60%) and keeping up-to-date in the latest information (60%) were major
motivating factors to participate, while lack of time (75%) was a major barrier.
Approximately 55% of the participants believed that face-to-face interview was a
suitable method for evaluating the effectiveness. Allocation of separate time for
the program, assessing baseline knowledge and skills of the participants along
with delivery of quality materials in an understandable way were the top common
suggestions for improving the CPE program. Conclusion: Hospital pharmacy
practitioners' opinions and suggestions were assessed with respect to the CPE
program and this was upgraded accordingly to meet their expectations.
PMID- 29354564
TI - Displaying medication costs on dispensing labels as a strategy to reduce wastage:
views of the Welsh general public.
AB - Introduction: In 2015, the UK health secretary made public an intention to
include the value of medicines costing over L20 on dispensing labels as an
attempt to reduce wastage attributable to patient behavior. However, there is a
lack of evidence investigating the potential effect or feasibility of this
proposal, and concerns have been raised that it may introduce new problems in
vulnerable groups. This pilot study aimed to gather views of the Welsh general
public on this subject. Methods: Six focus groups from within key population
groups were conducted. A snowball sampling strategy was employed with
participants recruited via a neutral gatekeeper. Focus groups session were audio
recorded and transcribed verbatim and iterative thematic analysis was used to
identify emergent themes. Results: Six focus groups were conducted. Three key
themes were identified: "influence of cost" - whereby participants expressed
concern about cost linking to their perceived value, guilt for needing prescribed
medication and irrelevance of cost if the medication was considered necessary;
"knowledge is power" - whereby participants expressed a desire to know more about
their medicines and engage with health care professionals about them, and felt
information on dispensing labels alone would be insufficient to support this and
"blame the system" - whereby participants felt responsibility for wastage should
be shared by both system and patient and identified existing wasteful practices
such as inappropriate prescribing, ordering and disposal of returned medicines.
Conclusion: Findings were largely consistent with criticisms publicized by
professional bodies that introducing cost may serve to make patients feel guilty
or unworthy rather than encourage them to use their medicines appropriately.
Similarly, providing cost information on labels alone was considered insufficient
and therefore additional counseling or education would be necessary to prevent
misunderstanding. The acknowledgment of system factors contributing to wastage
highlights an important role for pharmacists to become involved in using
medicines more cost-effectively. However, cost was considered irrelevant if the
medicine was deemed necessary by the patient, and therefore more mindful
prescribing of superfluous items should be promoted.
PMID- 29354563
TI - Methylphenidate extended-release oral suspension for the treatment of attention
deficit/hyperactivity disorder: a practical guide for pharmacists.
AB - Attention-deficit/hyperactivity disorder (ADHD) is a neurodevelopmental condition
that affects children, adolescents, and adults worldwide. The purpose of this
review was to inform pharmacists of the numerous options to treat ADHD, with a
focus on one of the more recently approved formulations, methylphenidate extended
release oral suspension (MEROS). Symptoms of ADHD can negatively impact an
individual's health and quality of life and impair function in multiple settings.
Psychostimulants such as methylphenidate- and amphetamine-based agents are first
line pharmacologic treatments for ADHD. However, there are multiple formulations,
including immediate release (administered two to three times/day), solid extended
release (ER), or transdermal patch. MEROS is a once daily, long-acting liquid
preparation that has demonstrated favorable safety and efficacy in patients with
ADHD. MEROS may improve treatment adherence in patients who cannot tolerate or
have difficulties administering pill or transdermal patch formulations.
PMID- 29354565
TI - Identification of the benefits, enablers and barriers to integrating junior
pharmacists into the ward team within one UK-based hospital.
AB - Introduction: A high nurse-vacancy rate combined with high numbers of
applications for junior pharmacist roles resulted in Colchester Hospital
University National Health System Foundation Trust trial employing junior
pharmacists into traditional nursing posts with the aim of integrating
pharmacists into the ward team and enhancing local medicines optimization. The
aim of the evaluation was to describe the implementation process and practice of
the integrated care pharmacists (ICPs) in order to inform future innovations of a
similar nature. Methods: Four band 6 ward-based ICPs were employed on two wards
funded within current ward staffing expenditure. With ethical committee approval,
interviews were undertaken with the ICPs and focus groups with ward nurses,
senior ward nurses and members of the medical team. Data were analyzed
thematically to identify service benefits, barriers and enablers. Routine ward
performance data were obtained from the two ICP wards and two wards selected as
comparators. Appropriate statistical tests were performed to identify differences
in performance. Results: Four ICPs were interviewed, and focus groups were
undertaken with three junior nurses, four senior nurses and three medical
practitioners. Service enablers were continuous ward time, undertaking drug
administration, positive feedback and use of effective communication methods.
Barriers were planning, funding model, career development, and interprofessional
working and social isolation. ICPs were believed to save nurse time and improve
medicines safety. The proportion of patients receiving medicine reconciliation
within 24 hours increased significantly in the ICP wards. All ICPs had resigned
from their role within 12 months. Discussion: It was believed that by locating
pharmacists on the ward full time and allowing them to undertake medicines
administration and medicines reconciliation, the nursing time would be saved and
medicines safety improved. There was however significant learning to be derived
from the implementation process, which may enable similar future models to be
introduced more successfully.
PMID- 29354566
TI - Neural Correlates of Motor Recovery Measured by SPECT at Six Months After Basal
Ganglia Stroke.
AB - Objective: To investigate neural correlates associated with recovery of motor
function over 6 months in patients with basal ganglia (BG) stroke using
acetazolamide (ACZ) stress brain-perfusion single-photon emission computed
tomography (SPECT). Methods: Medical records of 22 patients presenting first-ever
BG stroke were retrospectively reviewed. Regional cerebral blood flow (CBF) and
cerebrovascular reserve (CVR) were measured for 9 regions in each cerebral
hemisphere (primary motor cortex, supplementary motor area, premotor cortex,
prefrontal cortex, temporal lobe, parietal lobe, occipital lobe, BG, and
thalamus). The Fugl-Meyer Assessment (FMA) motor score was used to assess motor
function. Results: After ACZ injection, CBF of all regions of interest (ROIs)
increased compared with baseline. Baseline CBF of all ROIs was not significantly
correlated with changes in FMA upper or lower motor score. However, multivariate
analysis revealed CVR was significantly associated with change in FMA upper score
in the ipsilateral primary motor cortex (R2=0.216, p=0.017), the ipsilateral
parietal lobe (R2=0.135, p=0.029), and the contralateral primary motor cortex
(R2=0.210, p=0.041). Conclusion: CVR in the bilateral primary motor cortex and
ipsilateral parietal lobe was associated with restoration of upper motor function
6 months after BG stroke. SPECT is a readily available imaging modality useful in
studying brain residual function in patients with BG stroke.
PMID- 29354567
TI - The Correlation Between Clinical Characteristics and Radionuclide Salivagram
Findings in Patients With Brain Lesions: A Preliminary Study.
AB - Objective: To evaluate the correlation between radionuclide salivagram findings
and clinical characteristics in dysphagic patients with brain lesions. Methods:
The medical records of 35 dysphagic patients with brain lesions who
simultaneously underwent both a videofluoroscopic swallowing study (VFSS) and
radionuclide salivagram were analyzed retrospectively. The subjects were divided
into two groups according to the presence of aspiration on a salivagram (group A,
patients with aspiration on the salivagram; group B, patients with no aspiration
on the salivagram). The differences between clinical characteristics and VFSS
findings (penetration-aspiration scale [PAS]) between the two groups were
analyzed. Results: Eleven out of 35 patients displayed salivary aspiration on the
radionuclide salivagram. There were no significant differences between the two
groups according to age, sex, disease duration, PAS on VFSS and feeding methods
(p>=0.05). The incidence of aspiration pneumonia was significantly higher in
group A. In a multivariate logistic regression analysis with forward stepwise
method, the Mini-Mental State Examination (MMSE) score was the only significant
parameter in predicting positive findings in salivagrams (odds ratio=0.760; 95%
confidence interval [CI], 0.625-0.923; p=0.006). The area under the receiver
operating characteristic curve (AUC) of the MMSE score for positive detection in
salivagrams was 0.855 (95% CI, 0.689-0.953; p<0.0001). The optimal cut-off value
was 7 for the MMSE score (sensitivity 72.73%, specificity 100%). Conclusion: In
patients with brain lesions who complain of dysphagia, the MMSE score was
correlated with salivary aspiration. If patients present with a score of 7 or
less on the MMSE, performing a radionuclide salivagram may helpful for early
detection of patients at high risk of aspiration pneumonia induced from salivary
aspiration.
PMID- 29354568
TI - Anatomical Correlates of Neuropsychological Deficits Among Patients With the
Cerebellar Stroke.
AB - Objective: To investigate the anatomical correlates of the neuropsychological
deficits in patients with the cerebellar stroke. Methods: We screened patients
who were admitted to the National Rehabilitation Center with the cerebellar
stroke between October 2012 and November 2016. The patients with the cerebellar
stroke who underwent neuropsychological testing for which the Seoul
Neuropsychological Screening Battery (SNSB) or the SNSB-II were enrolled. The
neuropsychological function capacities were compared in accordance with the
stroke type (hemorrhagic vs. ischemic) and the location (right/left anterior,
right/left posterior intermediate, right/left posterior lateral lobe, and
vermis). Mean z-scores were computed to compare the patient performances with the
population averages. Results: Twenty-six patients (15 with ischemic stroke and 11
with hemorrhagic stroke) with a mean age of 54.8+/-16.6 years were assessed 8.8+/
9.2 months after the stroke. Differences in the neuropsychological functioning
according to the stroke type were not observed. All of the numerical subtests of
the stroke patients showed significantly poorer performances compared with the
population averages (mean z-score <0), and some of the subtests revealed abnormal
performances in attention-, visuospatial function-, memory-, and
frontal/executive function-related tasks (mean z-score <-1). The patients with
the presence of a lesion in the right posterior intermediate lobe of the
cerebellum showed a poorer performance in the subtests evaluating the executive
function including the Korean-version Stroop Test (p=0.04), the Digit Symbol
Coding Test (p=0.01), and the Korean-version Trail Making Test (p=0.02) compared
with the patients without that lesion. Conclusion: The present study confirms
that the cerebellar stroke affects the neuropsychological functioning which is
associated with the anatomical site of stroke.
PMID- 29354569
TI - Effects of Overactive Bladder Symptoms in Stroke Patients' Health Related Quality
of Life and Their Performance Scale.
AB - Objective: To identify the effects of overactive bladder (OAB) symptoms on the
health-related quality of life (HRQOL) in stroke patients since OAB symptoms are
common in such patients, but their effects on stroke rehabilitation over time are
unclear. Methods: This study included 30 post-acute stroke patients who had been
admitted for rehabilitation treatments. All participants completed a
questionnaire evaluating urinary symptoms, including the Overactive Bladder
Symptom Score (OABSS) and general HRQOL with a Short-Form 36 (SF-36) health
survey. We assessed their performance in terms of the Function Ambulation
Category, Modified Rankin Scale (MRS), Modified Barthel Index, and Mini-Mental
State Examination (MMSE). All assessments were carried out twice at baseline and
at 3 months. We divided patients into an OAB and non-OAB group with OABSS. A
correlation analysis and multivariate regression were then performed. Results:
All performance scales showed an improvement over 3 months in the non-OAB group
(n=18; p<0.02), but, MRS and MMSE scores did not improve significantly in the OAB
group (n=12) (p=0.15 and p=0.20, respectively). In the OAB group, the vitality
and mental health scores significantly decreased over 3 months (p=0.011 and
p=0,041, respectively), and the mental component summary (MCS) score showed a
marginal decrease over 3 months (p=0.05). A multivariate regression analysis
revealed that OAB symptoms were negatively correlated with the 3 months MCS score
(B=-8.15, p=0.034). Conclusion: These results indicated that OAB symptoms could
have negative effects on HRQOL and performance in patients suffering from a
stroke.
PMID- 29354570
TI - Decrement of Serum Vitamin D Level After Stroke.
AB - Objective: To investigate the serum vitamin D level and its determinant factors
in stroke patients. Methods: Fifty-one stroke patients who had documented serum
level of 25-hydroxyvitamin D(25(OH)D) were included. Patients were divided into
subacute (n=23) and chronic groups (n=28). The mean levels of 25(OH)D of the two
groups were compared. Correlations between each 25(OH)D level and post-stroke
duration were also analyzed. To assess other possible influencing factors,
patients were subdivided by ambulation ability and feeding methods for comparison
of 25(OH)D level. Results: The mean level of 25(OH)D was significantly lower in
the chronic group than in the subacute group (12.3 vs. 16.3 ng/mL; p<0.05). The
serum 25(OH)D level decreased according to the duration after stroke (r=-0.52,
p=0.01). Patients with a history of total parenteral nutrition had lower 25(OH)D
levels than subjects who had enteral nutrition in the subacute group (7.3 vs.
18.8 ng/mL; p<0.01). However, the levels of 25(OH)D were not different between
the oral feeding and tube feeding groups. Among the chronic group subjects,
patients who could walk without assistance had higher 25(OH)D levels than non
ambulatory patients (ambulatory vs. non-ambulatory group; 18.3 vs. 11.3 ng/mL;
p<0.05). Conclusion: After stroke onset, serum vitamin D level decreases with
time regardless of feeding methods, and total parenteral nutrition may aggravate
its deficiency. In terms of long-term care, non-ambulatory patients might be at a
higher risk of vitamin D deficiency. Supplementation of vitamin D should be
considered especially for stroke patients who are non-ambulatory and on total
parenteral nutrition.
PMID- 29354571
TI - Heart Rate Variability Among Children With Acquired Brain Injury.
AB - Objective: To find evidence of autonomic imbalance and present the heart rate
variability (HRV) parameters that reflect the severity of paroxysmal sympathetic
hyperactivity (PSH) in children with acquired brain injury (ABI). Methods:
Thirteen children with ABI were enrolled and age- and sex-matched children with
cerebral palsy were selected as the control group (n=13). The following HRV
parameters were calculated: time-domain indices including the mean heart rate,
standard deviation of all average R-R intervals (SDNN), root mean square of the
successive differences (RMSSD), physical stress index (PSI), approximate entropy
(ApEn); successive R-R interval difference (SRD), and frequency domain indices
including total power (TP), high frequency (HF), low frequency (LF), normalized
HF, normalized LF, and LF/HF ratio. Results: There were significant differences
between the ABI and control groups in the mean heart rate, RMSSD, PSI and all
indices of the frequency domain analysis. The mean heart rate, PSI, normalized
LF, and LF/HF ratio increased in the ABI group. The presence of PSH symptoms in
the ABI group demonstrated a statistically significant decline of the SDNN, TP,
ln TP. Conclusion: The differences in the HRV parameters and presence of PSH
symptoms are noted among ABI children compared to an age- and sex-matched control
group with cerebral palsy. Within the ABI group, the presence of PSH symptoms
influenced the parameters of HRV such as SDNN, TP and ln TP.
PMID- 29354572
TI - Association of Post-extubation Dysphagia With Tongue Weakness and Somatosensory
Disturbance in Non-neurologic Critically Ill Patients.
AB - Objective: To prospectively assess the association between impoverished
sensorimotor integration of the tongue and lips and post-extubation dysphagia
(PED). Methods: This cross-sectional study included non-neurologic critically ill
adult patients who required endotracheal intubation and underwent
videofluoroscopic swallowing study (VFSS) between October and December 2016.
Participants underwent evaluation for tongue and lip performance, and oral
somatosensory function. Demographic and clinical data were retrieved from medical
records. Results: Nineteen patients without a definite cause of dysphagia were
divided into the non-dysphagia (n=6) and the PED (n=13) groups based on VFSS
findings. Patients with PED exhibited greater mean duration of intubation
(11.85+/-3.72 days) and length of stay in the intensive care unit (LOS-ICU;
13.69+/-3.40 days) than those without PED (6.83+/-5.12 days and 9.50+/-5.96 days;
p=0.02 and p=0.04, respectively). The PED group exhibited greater incidence of
pneumonia, higher videofluoroscopy swallow study dysphagia scale score, higher
oral transit time, and lower tongue power and endurance and lip strength than the
non-dysphagia groups. The differences in two-point discrimination and sensations
of light touch and taste among the two groups were insignificant. Patients
intubated for more than 7 days exhibited lower maximal tongue power and tongue
endurance than those intubated for less than a week. Conclusion: Duration of
endotracheal intubation, LOS-ICU, and oromotor degradation were associated with
PED development. Oromotor degradation was associated with the severity of
dysphagia. Bedside oral performance evaluation might help identify patients who
might experience post-extubation swallowing difficulty.
PMID- 29354573
TI - Degree of Contribution of Motor and Sensory Scores to Predict Gait Ability in
Patients With Incomplete Spinal Cord Injury.
AB - Objective: To identify different contributions of motor and sensory variables for
independent ambulation of patients with incomplete spinal cord injury (SCI), and
reveal the most significant contributors among the variables. Methods: The
retrospective study included 30 patients with incomplete SCI and lesions were
confirmed by magnetic resonance imaging. Motor and sensory scores were collected
according to the International Standards for Neurological Classification of
Spinal Cord Injury. The variables were analyzed by plotting ROC (receiver
operating characteristic) curves to estimate their differential contributions for
independent walking. The most significant functional determinant was identified
through the subsequent logistic regression analysis. Results: Motor and sensory
scores were significantly different between the ambulators and non-ambulators.
The majority was associated to the function of lower extremities. Calculation of
area under ROC curves (AUC) revealed that strength of hip flexor (L2) (AUC=0.905,
p<0.001) and knee extensor (L3) (AUC=0.820, p=0.006) contributed the greatest to
independent walking. Also, hip flexor strength (L2) was the single most powerful
predictor of ambulation by the logistic regression analysis (odds ratio=6.3,
p=0.049), and the model fit well to the data. Conclusion: The most important
potential contributor for independent walking in patients with incomplete SCI is
the muscle strength of hip flexors, followed by knee extensors compared with
other sensory and motor variables.
PMID- 29354574
TI - Biomechanical Parameters in Plantar Fasciitis Measured by Gait Analysis System
With Pressure Sensor.
AB - Objective: To investigate the differences in biomechanical parameters measured by
gait analysis systems between healthy subjects and subjects with plantar
fasciitis (PF), and to compare biomechanical parameters between 'normal,
barefooted' gait and arch building gait in the participants. Methods: The
researchers evaluated 15 subjects (30 feet) with bilateral foot pain and 15
subjects (15 feet) with unilateral foot pain who had a clinical diagnosis of PF.
Additionally, 17 subjects (34 feet) who had no heel pain were recruited. Subjects
were excluded if they had a traumatic event, prior surgery or fractures of the
lower limbs, a leg length discrepancy of 1 cm or greater, a body mass index
greater than 35 kg/m2, or had musculoskeletal disorders. The participants were
asked to walk with an arch building gait on a treadmill at 2.3 km/hr for 5
minutes. Various gait parameters were measured. Results: With the arch building
gait, the PF group proved that gait line length and single support line were
significantly decreased, and lateral symmetry of the PF group was increased
compared to that of the control group. The subjects with bilateral PF displayed
significantly increased maximum pressure over the heel and the forefoot during
arch building gait. In addition, the subjects with unilateral PF showed
significantly increased maximum pressure over the forefoot with arch building
gait. Conclusion: The researchers show that various biomechanical differences
exist between healthy subjects and those with PF. Employing an arch building gait
in patients with PF could be helpful in changing gait patterns to normal
biomechanics.
PMID- 29354575
TI - Muscle Mass, Strength, Mobility, Quality of Life, and Disease Severity in
Ankylosing Spondylitis Patients: A Preliminary Study.
AB - Objective: To determine if there is muscle mass reduction in patients with
ankylosing spondylitis (AS) compared to the general population and to examine the
relationship between skeletal muscle mass, quality of life (QOL), strength, and
mobility in patients with AS. Methods: A total of 30 AS patients were enrolled in
this study. Skeletal muscle mass was measured by bioelectrical impedance
analysis, and it was expressed as the skeletal muscle mass index (SMI). QOL was
assessed using the EuroQOL (EQ-5D). To measure mobility, the modified Schober
test and chest expansion test were used. To measure grip strength as a measure of
muscle strength, we used the hydraulic hand dynamometer. Additionally, we divided
the patients into two groups according to the degree of X-ray finding and
compared the differences between the two groups. Results: There was no
significant reduction in skeletal muscle mass in patients with AS compared to the
general population. Also, there was no significant correlation between SMI and
QOL. On the other hand, there was a significant positive correlation between SMI
and mobility, and grip strength. A significant positive correlation was found
between mobility and QOL. Additionally, there was a statistically significant
difference in mobility between the two groups according to the degree of X-ray
finding. Conclusion: Maintaining muscle mass in AS patients may not be helpful
for improving QOL, but it may contribute to achieving adequate mobility and
strength.
PMID- 29354576
TI - Long-Term Efficacy of Rehabilitation Following Arthroscopic Synovectomy in
Patients With Rheumatoid Arthritis Treated With Biologic Agents.
AB - Objective: To investigate the long-term efficacy of rehabilitation following
arthroscopic synovectomy in patients with rheumatoid arthritis treated with
biologic agents. Methods: Arthroscopic synovectomy was performed in 29 joints of
17 patients, which were divided into two groups. Group 1 included arthroscopic
synovectomy plus rehabilitation for 19 joints in 10 patients, and group 2
included arthroscopic synovectomy without rehabilitation for 10 joints in 7
patients. The Disease Activity Score C-reactive protein (DAS28-CRP), Health
Assessment Questionnaire-Disability Index (HAQ-DI), and Functional Independence
Measure (FIM) values (motor subscale) at 9.7 years after arthroscopic synovectomy
were evaluated to identify the clinical factors related to outcomes. Results: The
increase in FIM score was significant in group 1 (p=0.05). HAQ-DI at 9 years was
significantly decreased in group 1 (p=0.02). Therefore, arthroscopic synovectomy
with rehabilitation was significant in improving FIM and HAQ-DI scores over a
long period. Multiple regression analysis of FIM scores at 9 years indicated that
rehabilitation (p=0.03) and disease duration (p=0.02) were significantly related
to outcomes. FIM score at 9 years was significantly negatively correlated with
disease duration (p=0.01, r=-0.58, Y=88.89-0.21X). Conclusion: Rehabilitation
following arthroscopic synovectomy was effective in achieving high FIM scores
over time in patients with rheumatoid arthritis.
PMID- 29354577
TI - Effects of Nutritional Status on 6-Month Outcome of Hip Fractures in Elderly
Patients.
AB - Objective: To identify the prevalence of malnutrition in elderly hip fracture and
to investigate the relationship between hip fracture patients and malnutrition on
functional recovery and mortality. Methods: All hip fracture patients age >65
years admitted to a rehabilitation unit were recruited from July 2015 to June
2016. Nutritional status was assessed by Mini-Nutritional Assessment Short-Form
(MNA-SF) within 72 hours of admission. Patients were reassessed at 6 months for
functional status and place of residence. Length of hospital stay, in-patient
mortality rate, and 6-month mortality rate were also recorded. Results: There
were 218 patients recruited. The mean age was 83.5+/-7.5 years. According the MNA
SF, 46 (21.1%) were well nourished, 115 (52.6%) were at risk of malnutrition, and
57 (26.1%) were malnourished. Malnourished individuals were significantly older,
had lower Mini-Mental State Examination score and albumin level, were
functionally more dependent and were more likely to reside in elderly care
facility. A higher proportion of elderly care residents were at-risk or were
malnourished on admission, discharge and at 6 months. Functional recovery was
slower in the malnourished group. In-patient mortality was higher in malnourished
individuals compared to those at risk of malnourishment and well-nourished
individuals. Conclusion: The prevalence of malnutrition is high and is associated
with poor functional recovery and elderly care placement. Residents of elderly
care facilities are especially at risk due a higher prevalence of malnourishment.
Health authorities are encouraged to evaluate the dietetic component in elderly
care facilities and initiate nutrition supplementation in their planning of
healthcare resources.
PMID- 29354578
TI - Relationships Between Self-awareness and Clinical Diagnostic Findings of Abnormal
Foot Arch Height in Koreans.
AB - Objective: To see how people think about their own feet, and evaluate whether
there are correlations among self-awareness of the participants and clinical
examination findings. Methods: Adult twins and their families who participated in
the Healthy Twin study from May 2008 to April 2010 were recruited. Participants
were asked whether they thought their feet were normal, flat, or cavus. The
lateral talometatarsal angles were measured on foot X-rays to determine the foot
arch height. Using the podoscopic footprints taken with the podobaroscope, the
Staheli arch index was also measured. Kappa statistics were used to calculate
degree of agreement among the three measurement methods. Results: Self-awareness
and radiographic findings were significantly different (Pearson chi-square test,
p=0.000) and only slightly agreed (kappa measure of agreement=0.136, p=0.000).
Self-awareness and podoscopy results revealed a significant difference (Pearson
chi-square test, p=0.000), with only slight agreement (kappa measure of
agreement=0.072, p=0.000). Conclusion: There is significant disagreement between
patients' perception of their feet and actual test results. Many people may have
an incorrect assumption about their own foot conditions that may be reflected in
improper management. Dissemination of accurate information about foot disorders
by foot clinicians would be helpful.
PMID- 29354579
TI - Comparison of Clinical Characteristics Between Patients With Different Causes of
Vocal Cord Immobility.
AB - Objective: To analyze the clinical characteristics between neurogenic and non
neurogenic cause of vocal cord immobility (VCI). Methods: The researchers
retrospectively reviewed clinical data of patients who underwent laryngeal
electromyography (LEMG). LEMG was performed in the bilateral cricothyroid and
thyroarytenoid muscles. A total of 137 patients were enrolled from 2011 to 2016,
and they were assigned to either the neurogenic or non-neurogenic VCI group,
according to the LEMG results. The clinical characteristics were compared between
the two groups and a subgroup analysis was done in the neurogenic group. Results:
Among the 137 subjects, 94 patients had nerve injury. There were no differences
between the neurogenic and non-neurogenic group in terms of demographic data,
underlying disease except cancer, and premorbid events. In general
characteristics, cancer was significantly higher in the neurogenic group than non
neurogenic group (p=0.001). In the clinical findings, the impaired high pitched
'e' sound and aspiration symptoms were significantly higher in neurogenic group
(p=0.039 for impaired high pitched 'e' sound; p=0.021 for aspiration symptoms),
and sore throat was more common in the non-neurogenic group (p=0.014). In the
subgroup analysis of neurogenic group, hoarseness was more common in recurrent
laryngeal neuropathy group than superior laryngeal neuropathy group (p=0.018).
Conclusion: In patients with suspected vocal cord palsy, impaired high pitched
'e' sound and aspiration symptoms were more common in group with neurogenic cause
of VCI. Hoarseness was more frequent in subjects with recurrent laryngeal
neuropathy. Thorough clinical evaluation and LEMG are important to differentiate
underlying cause of VCI.
PMID- 29354580
TI - Rapid, Objective and Non-invasive Diagnosis of Sudomotor Dysfunction in Patients
With Lower Extremity Dysesthesia: A Cross-Sectional Study.
AB - Objective: To determine whether patients with lumbosacral (LS) radiculopathy and
peripheral polyneuropathy (PPNP) exhibit sudomotor abnormalities and whether
SUDOSCAN (Impeto Medical, Paris, France) can complement nerve conduction study
(NCS) and electromyography (EMG). Methods: Outpatients with lower extremity
dysesthesia underwent electrophysiologic studies and SUDOSCAN. They were
classified as normal (group A), LS radiculopathy (group B), or PPNP (group C).
Pain severity was measured by the Michigan Neuropathy Screening Instrument (MNSI)
and visual analogue scale (VAS). Demographic features, electrochemical skin
conductance (ESC) values on hands and feet, and SUDOSCAN-risk scores were
analyzed. Results: There were no statistical differences in MNSI and VAS among
the three groups. Feet-ESC and hands-ESC values in group C were lower than group
A and B. SUDOSCAN-risk score in group B and C was higher than group A. With a cut
off at 48 microSiemens of feet-ESC, PPNP was detected with 57.1% sensitivity and
94.2% specificity (area under the curve [AUC]=0.780; 95% confidence interval
[CI], 0646-0.915). With a SUDOSCAN-risk score cut-off at 29%, NCS and EMG
abnormalities related to LS radiculopathy and PPNP were detected with 64.1%
sensitivity and 84.2% specificity (AUC=0.750; 95% CI, 0.674-0.886). Conclusion:
SUDOSCAN can discriminate outpatients with abnormal electrophysiological findings
and sudomotor dysfunction. This technology may be a complementary tool to NCS and
EMG in outpatients with lower extremity dysesthesia.
PMID- 29354581
TI - Relationship Between Post-exercise Heart Rate Recovery and Changing Ratio of
Cardiopulmonary Exercise Capacity.
AB - Objective: To determine whether heart rate recovery (HRR) following an exercise
tolerance test (ETT) is correlated with a changing ratio of peak oxygen
consumption (VO2) and maximal metabolic equivalents (METmax). Methods: A total of
60 acute myocardial infarction (AMI) patients who underwent ETT at both
assessment points - 3 weeks (T0) after the AMI attack and 3 months after T0 (T1)
were included. After achieving a peak workload, the treadmill was stopped with a
5-minute cooldown period, and the patients recovered in a comfortable and relaxed
seated position. HRR was defined as the difference between the maximal heart rate
(HRmax) and the HR measured at specific time intervals - immediately after the
cool down period (HRR-0) and 3 minutes after the completion of the ETT (HRR-3).
Results: HRR-0 and HRR-3 increased over time, whereas VO2max and METmax did not
show significant changes. There was a positive correlation between HRR at T0 and
the exercise capacity at T0. HRR at T0 also showed a positive correlation with
the exercise capacity at T1. There was no significant correlation between HRR
measured at T0 and the change in the ratio of VO2max and METmax, as calculated by
subtracting VO2max and METmax obtained at T0 from those obtained at T1, divided
by VO2max at T0 and multiplied by 100. Conclusion: Post-exercise HRR measured at
3 weeks after the AMI onset can reflect the exercise capacity 3 months after the
first ETT. However, it may be difficult to correlate post-exercise HRR at T0 with
the degree of increase in cardiopulmonary exercise capacity in patients with AMI.
PMID- 29354582
TI - The Differences in Cardiac Rehabilitation Outcomes by Age in Myocardial
Infarction: A Preliminary Study.
AB - Objective: To determine the age-related changes in cardiac rehabilitation (CR)
outcomes, which includes hemodynamic and metabolic factors, in patients with
myocardial infarction (MI). Methods: CR was administered for 8 weeks to 32 men
(mean age, 54.0+/-8.8 years) who underwent percutaneous coronary intervention for
acute MI between July 2012 and January 2016. The exercise tolerance tests were
performed before and after the CR. The results were stratified based on a cut-off
age of 55 years. Results: In the whole patient group, the hemodynamic variables
such as the resting heart rate (HRrest), systolic blood pressure (SBPrest),
submaximal HR (HRsubmax), SBP (SBPsubmax), and rate pressure product (RPPsubmax)
significantly decreased and the maximal HR (HRmax) and RPP (RPPmax) significantly
increased. All metabolic variables displayed significant improvement, to include
maximal oxygen consumption (VO2max) and ventilation (VEmax), anaerobic threshold
(AT), and the maximal oxygen pulse (O2pulsemax). However, upon stratification by
age, those who were younger than 55 years of age exhibited significant changes
only in the HRrest and RPPsubmax and those aged 55 years old or greater displayed
significant changes in all hemodynamic variables except diastolic BP. Both groups
displayed significant increases in the VO2max, VEmax, and AT; the older group
also exhibited a significant increase in O2pulsemax. The magnitude of the changes
in the hemodynamic and metabolic variables before and after CR, based on age, did
not differ between the groups; although, it tended to be greater among the older
participants of this study's sample. Conclusion: Because the older participants
tended to show greater hemodynamic and metabolic changes due to CR, a more
aggressive CR program must be administered to elderly patients with MI.
PMID- 29354583
TI - Long-Term Outcome of Amyotrophic Lateral Sclerosis in Korean Subjects.
AB - Objective: To report the latest long-term outcome of amyotrophic lateral
sclerosis (ALS) and to analyze the predictors of prognosis. Methods: Subjects who
were diagnosed with ALS between January 2005 and December 2009 at a single
institute were followed up until death or up to December 2014. Data regarding
age, sex, date of onset, date of diagnosis, presence of bulbar symptoms on onset,
date of initiation of non-invasive ventilation (NIV), and the date of
tracheostomy were collected. Survival was assessed using Kaplan-Meier curves and
multivariate analyses of the risk of death were performed using the Cox
proportional hazards model. Results: Among 212 suspicious subjects, definite ALS
was diagnosed in 182 subjects. The survival rate at 3 and 5 years from onset was
61.5% and 40.1%, respectively, and the survival rate at 3 and 5 years post
diagnosis was 49.5% and 24.2%, respectively. Further, 134 patients (134/182,
73.6%) were initiated on NIV, and among them, 90 patients (90/182, 49.5%)
underwent tracheostomy. Male gender and onset age of >=65 years were independent
predictors of adverse survival. Conclusion: The analysis of long term survival in
ALS showed excellent outcomes considering the overall poor prognosis of this
disease.
PMID- 29354584
TI - Quantitative Lymphoscintigraphy to Predict the Possibility of Lymphedema
Development After Breast Cancer Surgery: Retrospective Clinical Study.
AB - Objective: To predict the probability of lymphedema development in breast cancer
patients in the early post-operation stage, we investigated the ability of
quantitative lymphoscintigraphic assessment. Methods: This retrospective study
included 201 patients without lymphedema after unilateral breast cancer surgery.
Lymphoscintigraphy was performed between 4 and 8 weeks after surgery to evaluate
the lymphatic system in the early postoperative stage. Quantitative
lymphoscintigraphy was performed using four methods: ratio of radiopharmaceutical
clearance rate of the affected to normal hand; ratio of radioactivity of the
affected to normal hand; ratio of radiopharmaceutical uptake rate of the affected
to normal axilla (RUA); and ratio of radioactivity of the affected to normal
axilla (RRA). During a 1-year follow-up, patients with a circumferential
interlimb difference of 2 cm at any measurement location and a 200-mL interlimb
volume difference were diagnosed with lymphedema. We investigated the difference
in quantitative lymphoscintigraphic assessment between the non-lymphedema and
lymphedema groups. Results: Quantitative lymphoscintigraphic assessment revealed
that the RUA and RRA were significantly lower in the lymphedema group than in the
non-lymphedema group. After adjusting the model for all significant variables
(body mass index, N-stage, T-stage, type of surgery, and type of lymph node
surgery), RRA was associated with lymphedema (odds ratio=0.14; 95% confidence
interval, 0.04-0.46; p=0.001). Conclusion: In patients in the early postoperative
stage after unilateral breast cancer surgery, quantitative lymphoscintigraphic
assessment can be used to predict the probability of developing lymphedema.
PMID- 29354585
TI - Neuroanatomical Mechanism of Cerebellar Mutism After Stroke.
AB - Cerebellar mutism (CM) is a rare neurological condition characterized by lack of
speech due to cerebellar lesions. CM is often reported in children. We describe a
rare case of CM after spontaneous cerebellar hemorrhage. The patient showed
mutism, irritability, decreased spontaneous movements and oropharyngeal apraxia.
Diffusion tensor imaging revealed significant volume reduction of medial frontal
projection fibers from the corpus callosum. In Tracts Constrained by UnderLying
Anatomy (TRACULA) analysis, forceps major and minor and bilateral cingulum
angular bundles were not visualized. Cerebello-frontal pathway reconstructed from
the FMRIB Software Library showed continuity of fibers, with decreased number of
fibers on qualitative analysis. These results suggest that cerebello-frontal
disconnection may be a neuroanatomical mechanism of CM. Damage of brain network
between occipital lobe, cingulate and cerebellum caused by hemorrhage may also
have role in the mechanism of CM in our case.
PMID- 29354586
TI - Bulbar Myasthenia Gravis Superimposed in a Medullary Infarction Diagnosed by a
Fiberoptic Endoscopic Evaluation of Swallowing With Simultaneous Tensilon
Application.
AB - In the elderly, myasthenia gravis (MG) can present with bulbar symptoms, which
can be clinically difficult to diagnose from other neurological comorbid
conditions. We describe a case of a 75-year-old man who had been previously
diagnosed with dysphagia associated with medullary infarction but exhibited
aggravation of the dysphagia later on due to a superimposed development of bulbar
MG. After recovering from his initial swallowing difficulties, the patient
suddenly developed ptosis, drooling, and generalized weakness with aggravated
dysphagia. Two follow-up brain magnetic resonance imaging (MRI) scans displayed
no new brain lesions. Antibodies to acetylcholine receptor and muscle-specific
kinase were negative. Subsequent electrodiagnosis with repetitive nerve
stimulation tests revealed unremarkable findings. A diagnosis of bulbar MG could
only be established after fiberoptic endoscopic evaluation of swallowing (FEES)
with simultaneous Tensilon application. After application of intravenous
pyridostigmine, significant improvement in dysphagia and ptosis were observed
both clinically and according to the FEES.
PMID- 29354588
TI - Disruption of the Corticoreticular Tract in Pediatric Patients With Trunk
Instability: A Diffusion Tensor Tractography Study.
AB - The authors report the diffusion tensor tractography (DTT) findings of three
pediatric patients with gait dysfunction and corticoreticular tract (CRT)
disruption. All three patients showed unilateral trunk instability, but they did
not show any spasticity or weakness of the distal extremities. Clinical
evaluation of trunk instability using a Trunk Control Measurement Scale (TCMS)
revealed that the more affected side had a lower score than the contralateral
side. DTT showed disrupted CRTs in hemispheres contralateral to the hemiparetic
sides, which were associated with unilateral proximal instability, although
conventional MRI showed no abnormal lesion explaining the hemiplegic symptom.
Compared to the results in age-matched controls, these three patients had
decreased values of fractional anisotropy (FA) and tract volumes (TV) of the
affected CRTs, and these values were also decreased compared to those in the
contralateral side. On the other hand, values of FA and TV of the corticospinal
tracts on the ipsilateral and contralateral sides were only marginally different.
In conclusion, diffusion tensor imaging can be helpful for investigating the
state of the CRT in pediatric patients with trunk instability and gait
dysfunction.
PMID- 29354587
TI - Botulinum Toxin Type A Injection for Neuropathic Pain in a Patient With a Brain
Tumor: A Case Report.
AB - Neuropathic pain is usually managed pharmacologically, rather than with botulinum
toxin type A (BTX-A). However, medications commonly fail to relieve pain
effectively or have intolerable side effects. We present the case of a 62-year
old man diagnosed with an intracranial chondrosarcoma, which was removed
surgically and treated with radiation therapy. He suffered from neuropathic pain
despite combined pharmacological therapy with gabapentin, amitriptyline,
tramadol, diazepam, and duloxetine because of adverse effects. BTX-A (100 units)
was injected subcutaneously in the most painful area in the posterior left thigh.
Immediately after the injection, his pain decreased significantly from 6/10 to
2/10 on a visual analogue scale. Pain relief lasted for 12 weeks. This case
report describes intractable neuropathic pain caused by a brain tumor that was
treated with subcutaneous BTX-A, which is a useful addition for the management of
neuropathic pain related to a brain tumor.
PMID- 29354589
TI - Collet-Sicard Syndrome With Hypoglossal Nerve Schwannoma: A Case Report.
AB - Collet-Sicard syndrome is a rare syndrome that involves paralysis of 9th to 12th
cranial nerves. We report an uncommon case of schwannoma of the hypoglossal nerve
in a 39-year-old woman presented with slurred speech, hoarse voice, and
swallowing difficulty. Physical examination revealed decreased gag reflex on the
right side, decreased laryngeal elevation, tongue deviation to the right side,
and weakness of right trapezius muscle. MRI revealed a mass lesion in the right
parapharyngeal space below the jugular foramen. The tumor was surgically removed.
It was confirmed as hypoglossal nerve schwannoma via pathologic examination.
Videofluoroscopic swallowing study revealed aspiration of liquid food and severe
bolus retention in the vallecula and piriform sinus. Laryngoscopy revealed right
vocal cord palsy. Electrodiagnostic study revealed paralysis of the right 11th
cranial nerve. In summary, we report an uncommon case of schwannoma of the
hypoglossal nerve with 9th to 12th cranial nerve palsy presenting as Collet
Sicard syndrome.
PMID- 29354590
TI - Conservative management of a dentigerous cyst associated with eruption of teeth
in a 7-year-old girl: a case report.
AB - Dentigerous cysts are benign odontogenic cysts that are related to the crowns of
permanent teeth. The lesion in this study was detected in a routine panoramic
radiograph that revealed a well-defined osteolytic lesion that measured 2.5 cm in
diameter, with the crown of the mandibular permanent second premolar displaced to
the lower border of the mandible. The apex of the tooth was still open. The aim
of this article was to report the case of a 7-year-old girl with a dentigerous
cyst associated with the tooth buds of premolars. The therapeutic approach
consisted of extraction of the primary molar and marsupialization of the lesion.
After 40 months of follow-up, spontaneous eruption of the impacted premolar was
observed. In conclusion, marsupialization can be the first treatment choice for
conservative management of dentigerous cysts in pre-adolescents.
PMID- 29354591
TI - Unusual complications caused by lipoma of the tongue.
AB - Lipoma is the most common, benign, soft tissue, mesenchymal tumour and is
composed of mature adipose tissue. It is infrequent in the oral cavity
(approximately 0.3% of all tongue neoplasias). We describe the case of a 68-year
old man with a swelling at the tongue edge and tongue dysesthesia. Medical
history, clinical assessment, radiographic images, and cytological analysis
enabled specialists to classify this neoplasia as a lipoma. The patient recovered
fully after surgical excision of the affected area, and the neuralgic symptoms
regressed. Surgical excision is an elective treatment; however, accurate
differential diagnosis, histological examination, and follow-up are required.
PMID- 29354593
TI - Squamous cell carcinoma from oral lichen planus: a case report of a lesion with
28 years of evolution.
AB - Lichen planus (LP) is a relatively common mucocutaneous disease with autoimmune
etiology. Considering its malignancy potential, it is important to define the
correct diagnosis, treatment, and clinical follow-up for patients with LP so that
the disease is not diagnosed late, thus hindering the chances of curing the
disease. This study aims to describe a clinical case of oral squamous cell
carcinoma, potentially originated from LP. The patient is undergoing clinical and
histopathological follow-up. A 64-year-old Caucasian male patient presented with
a proliferative verrucous lesion on the tongue and sought treatment at the School
of Dentistry, University of Passo Fundo (UPF), Passo Fundo, Brazil. He claimed
the lesion had been present since 1988, and had been initially diagnoses as "oral
lichen planus." The physical exam presented three diagnostic hypotheses: plaque
like oral LP, verrucous carcinoma, and squamous cell carcinoma. After incisional
biopsy and histopathological analysis, squamous cell carcinoma was diagnosed,
probably originating from oral LP. The case study shows that malignancy from oral
LP is possible, which justifies periodic clinical and histopathological follow
up, as well as the elimination of risk factors for carcinoma in patients with
oral LP.
PMID- 29354592
TI - Persistent lingual paresthesia caused by a displaced tooth fragment: a case
report and literature review.
AB - Accidental displacement of the third molar tooth or its fragment into the
anatomical spaces is a rare but potentially serious complication. The most common
sites of mandibular third molar displacement are the sublingual, submandibular,
and pterygomandibular spaces. Removal of a displaced tooth or its fragments from
these spaces may be difficult due to poor access and the vital structures
involved in these spaces; therefore, removal may result in permanent damage. This
article is intended to provide a concise update of the reported cases of
submandibular displacement and to present a case of intraoral management of
mandibular third molar root fragments that were displaced into the submandibular
space.
PMID- 29354594
TI - Surgical correction of grade III hypertelorism.
AB - Orbital hypertelorism is an increased distance between the bony orbits and can be
caused by frontonasal malformations, craniofacial clefts, frontoethmoidal
encephaloceles, glial tumors or dermoid cysts of the root of the nose, and
various syndromic or chromosomal disorders. We report a series of 7 cases of
hypertelorism that were treated in our hospital. The underlying causes in our
series were craniofacial clefts 0 to 14 (4 cases), craniofacial clefts 1 to 12 (1
case), and frontonasal encephalocele (2 cases), all congenital. Surgical
techniques used to correct the deformity were box osteotomy and medial wall
osteotomy with or without calvarial and rib grafts. A few of our cases were
reoperations with specific challenges.
PMID- 29354595
TI - Synergistic Rescue of Nonsense Mutant Tumor Suppressor p53 by Combination
Treatment with Aminoglycosides and Mdm2 Inhibitors.
AB - The tumor suppressor gene TP53 is inactivated by mutation in a large fraction of
human tumors. Around 10% of TP53 mutations are nonsense mutations that lead to
premature termination of translation and expression of truncated unstable and non
functional p53 protein. Aminoglycosides G418 (geneticin) and gentamicin have been
shown to induce translational readthrough and expression of full-length p53.
However, aminoglycosides have severe side effects that limit their clinical use.
Here, we show that combination treatment with a proteasome inhibitor or compounds
that disrupt p53-Mdm2 binding can synergistically enhance levels of full-length
p53 upon aminoglycoside-induced readthrough of R213X nonsense mutant p53. Full
length p53 expressed upon combination treatment is functionally active as
assessed by upregulation of p53 target genes, suppression of cell growth, and
induction of cell death. Thus, our results demonstrate that combination treatment
with aminoglycosides and compounds that inhibit p53 degradation is synergistic
and can provide significantly improved efficacy of readthrough when compared with
aminoglycosides alone. This may have implications for future cancer therapy based
on reactivation of nonsense mutant TP53.
PMID- 29354596
TI - The Journal of Comorbidity affiliates with the North American Primary Care
Research Group.
PMID- 29354597
TI - Development of a research tool to document self-reported chronic conditions in
primary care.
AB - Background: Researchers interested in multimorbidity often find themselves in the
dilemma of identifying or creating an operational definition in order to generate
data. Our team was invited to propose a tool for documenting the presence of
chronic conditions in participants recruited for different research studies.
Objective: To describe the development of such a tool. Design: A scoping review
in which we identified relevant studies, selected studies, charted the data, and
collated and summarized the results. The criteria considered for selecting
chronic conditions were: (1) their relevance to primary care services; (2) the
impact on affected patients; (3) their prevalence among the primary care users;
and (4) how often the conditions were present among the lists retrieved from the
scoping review. Results: Taking into account the predefined criteria, we
developed a list of 20 chronic conditions/categories of conditions that could be
self-reported. A questionnaire was built using simple instructions and a table
including the list of chronic conditions/categories of conditions. Conclusions:
We developed a questionnaire to document 20 self-reported chronic
conditions/categories of conditions intended to be used for research purposes in
primary care. Guided by previous literature, the purpose of this questionnaire is
to evaluate the self-reported burden of multimorbidity by participants and to
encourage comparability among research studies using the same measurement.
PMID- 29354600
TI - Peripheral and endometrial dendritic cell populations during the normal cycle and
in the presence of endometriosis.
AB - Background: Dysfunctional immune response may be implicated in endometriosis
pathogenesis, and dendritic cells (DC) may play greater roles in this response
than previously recognized. This study set out to evaluate peripheral blood and
endometrial DC population changes in the presence and absence of endometriosis
pathology. Methods: Endometrial (n = 83) and peripheral blood samples (n = 30)
were subjected to immunohistochemical techniques and flow cytometry,
respectively, to assess DC populations in women with and without endometriosis.
Three circulating DC subsets (MDC1, MDC2 and PDC, expressing CD1c, CD303 and
CD141), and late-stage mature endometrial DCs (using DC-LAMP antibody) were
investigated. Results: A highly significant reduction in CD1c intensity on MDC1
populations in peripheral blood was observed between normal cycle proliferative
and menstrual phases (p = 0.025), but not in women with endometriosis, in whom
CD1c intensity was markedly increased at the time of menstruation (p = 0.05). A
significant reduction in peripheral blood MDC2 (p = 0.016) and apparent reduction
in endometrial DC-LAMP+ DC (trend, p = 0.062) were observed in women with
endometriosis compared with controls, consistent with our preliminary DC data.
Conclusions: Cyclical variation in endometrial and circulating DC populations
appears to be crucial during normal menstrual cycles and in the establishment of
pregnancy. In endometriosis, circulating and endometrial DC populations are
significantly dysregulated at a number of levels, and are likely to contribute to
inefficient immunological targeting of endometrial fragments shed at
menstruation, facilitating their survival and establishment of endometriosis.
PMID- 29354601
TI - Laterality: Right-Sided and Left-Sided Colon Cancer.
PMID- 29354598
TI - Immunomodulatory Effects of Amblyomma variegatum Saliva on Bovine Cells:
Characterization of Cellular Responses and Identification of Molecular
Determinants.
AB - The tropical bont tick, Amblyomma variegatum, is a tick species of veterinary
importance and is considered as one of major pest of ruminants in Africa and in
the Caribbean. It causes direct skin lesions, transmits heartwater, and
reactivates bovine dermatophilosis. Tick saliva is reported to affect overall
host responses through immunomodulatory and anti-inflammatory molecules, among
other bioactive molecules. The general objective of this study was to better
understand the role of saliva in interaction between the Amblyomma tick and the
host using cellular biology approaches and proteomics, and to discuss its impact
on disease transmission and/or activation. We first focused on the immuno
modulating effects of semi-fed A. variegatum female saliva on bovine peripheral
blood mononuclear cells (PBMC) and monocyte-derived macrophages in vitro. We
analyzed its immuno-suppressive properties by measuring the effect of saliva on
PBMC proliferation, and observed a significant decrease in ConA-stimulated PBMC
lymphoproliferation. We then studied the effect of saliva on bovine macrophages
using flow cytometry to analyze the expression of MHC-II and co-stimulation
molecules (CD40, CD80, and CD86) and by measuring the production of nitric oxide
(NO) and pro- or anti-inflammatory cytokines. We observed a significant decrease
in the expression of MHC-II, CD40, and CD80 molecules, associated with decreased
levels of IL-12-p40 and TNF-alpha and increased level of IL-10, which could
explain the saliva-induced modulation of NO. To elucidate these immunomodulatory
effects, crude saliva proteins were analyzed using proteomics with an Orbitrap
Elite mass spectrometer. Among the 336 proteins identified in A. variegatum
saliva, we evidenced bioactive molecules exhibiting anti-inflammatory, immuno
modulatory, and anti-oxidant properties (e.g., serpins, phospholipases A2, heme
lipoprotein). We also characterized an intriguing ubiquitination complex that
could be involved in saliva-induced immune modulation of the host. We propose a
model for the interaction between A. variegatum saliva and host immune cells that
could have an effect during tick feeding by favoring pathogen dissemination or
activation by reducing the efficiency of host immune response to the
corresponding tick-borne diseases.
PMID- 29354602
TI - The Future Medical Science and Colorectal Surgeons.
AB - Future medical technology breakthroughs will build from the incredible progress
made in computers, biotechnology, and nanotechnology and from the information
learned from the human genome. With such technology and information, computer
aided diagnoses, organ replacement, gene therapy, personalized drugs, and even
age reversal will become possible. True 3-dimensional system technology will
enable surgeons to envision key clinical features and will help them in planning
complex surgery. Surgeons will enter surgical instructions in a virtual space
from a remote medical center, order a medical robot to perform the operation, and
review the operation in real time on a monitor. Surgeons will be better than
artificial intelligence or automated robots when surgeons (or we) love patients
and ask questions for a better future. The purpose of this paper is looking at
the future medical science and the changes of colorectal surgeons.
PMID- 29354599
TI - The Toolbox for Uncovering the Functions of Legionella Dot/Icm Type IVb Secretion
System Effectors: Current State and Future Directions.
AB - The defective in organelle trafficking/intracellular multiplication (Dot/Icm)
Type IVb secretion system (T4SS) is the essential virulence factor for the
intracellular life style and pathogenicity of Legionella species. Screens
demonstrated that an individual L. pneumophila strain can use the Dot/Icm T4SS to
translocate an unprecedented number of more than 300 proteins into host cells,
where these, so called Icm/Dot-translocated substrates (IDTS) or effectors,
manipulate host cell functions to the benefit of the bacteria. Bioinformatic
analysis of the pan-genus genome predicts at least 608 orthologous groups of
putative effectors. Deciphering the function of these effectors is key to
understanding Legionella pathogenesis; however, the analysis is challenging.
Substantial functional redundancy renders classical, phenotypic screening of
single gene deletion mutants mostly ineffective. Here, I review experimental
approaches that were successfully used to identify, validate and functionally
characterize T4SS effectors and highlight new methods, which promise to
facilitate unlocking the secrets of Legionella's extraordinary weapons arsenal.
PMID- 29354603
TI - Is the Location of the Tumor Another Prognostic Factor for Patients With Colon
Cancer?
AB - Purpose: In this study, we investigated both the characteristics of right colon
cancer (RTCC) in comparison with those of left colon cancer (LTCC) and the impact
of the location of the colon cancer on the prognosis. Methods: We retrospectively
analyzed the cases of 974 patients with nonmetastatic colon cancer who had
undergone surgery with a curative intent from January 2001 to December 2011. RTCC
was defined as a tumor located proximal to the splenic flexure. The
characteristics of RTCC cancer were investigated by using descriptive analyses,
and their impacts on the prognosis were assessed by using a Cox multivariate
regression. Results: Compared to LTCC, RTCC showed a female-dominant feature, and
an undifferentiated pathology was more frequently observed. The number of lymph
nodes retrieved from patients with RTCC was significantly higher than that
retrieved from patients with LTCC. During 75 months of follow-up, peritoneal
recurrence was more common in patients with RTCC than it was in patients with
LTCC, and among the patients with stage III colon cancer, the disease-free and
the overall survival rates were significantly worse in patients with RTCC. After
adjustments with the other prognostic factors associated with colon cancer had
been made, a tumor located at the right colon was found to be independently
associated with poor prognosis. Conclusion: RTCC showed unique clinicopathologic
features and was associated with a poorer prognosis.
PMID- 29354604
TI - Difference in Tumor Area as a Predictor of a Pathological Complete Response for
Patients With Locally Advanced Rectal Cancer.
AB - Purpose: This study was conducted to discover the clinical factors that can
predict pathologically complete remission (pCR) after neoadjuvant
chemoradiotherapy (CRT), so that those factors may help in deciding on a
treatment program for patients with locally advanced rectal cancer. Methods: A
total of 137 patients with locally advanced rectal cancer were retrospectively
enrolled in this study, and data were collected retrospectively. The patients had
undergone a total mesorectal excision after neoadjuvant CRT. Histologic response
was categorized as pCR vs. non-pCR. The tumor area was defined as (tumor length)
* (maximum tumor depth). The difference in tumor area was defined as pre-CRT
tumor area - post-CRT tumor area. Univariate and multivariate logistic regression
analyses were conducted to find the factors affecting pCR. A P-value < 0.05 was
considered significant. Results: Twenty-three patients (16.8%) achieved pCR. On
the univariate analysis, endoscopic tumor circumferential rate <50%, low pre-CRT
T & N stage, low post-CRT T & N stage, small pretreatment tumor area, and large
difference in tumor area before and after neoadjuvant CRT were predictive factors
of pCR. A multivariate analysis found that only the difference in tumor area
before and after neoadjuvant CRT was an independent predictor of pCR (P < 0.001).
Conclusion: The difference in tumor area, as determined using radiologic tools,
before and after neoadjuvant CRT may be important predictor of pCR. This clinical
factor may help surgeons to determine which patients who received neoadjuvant CRT
for locally advanced rectal cancer should undergo surgery.
PMID- 29354605
TI - Which One is Better? Comparison of the Acute Inflammatory Response, Raja Isteri
Pengiran Anak Saleha Appendicitis and Alvarado Scoring Systems.
AB - Purpose: Acute appendicitis (AA) is one of the most common causes of an acute
abdomen. The accuracies of the Alvarado and the acute inflammatory response (AIR)
scores in the diagnosis of appendicitis is very low in Asian populations, so a
new scoring system, the Raja Isteri Pengiran Anak Saleha Appendicitis (RIPASA)
system, was designed recently. We applied and compared the Alvarado, AIR, and
RIPASA scores in the diagnoses of appendicitis in the Iranian population.
Methods: We prospectively compared the RIPASA, Alvarado, and AIR systems by
applying them to 100 patients. All the scores were calculated for patients who
presented with right quadrant pain. Appendectomies were performed; then, the
postoperative pathology reports were correlated with the scores. Scores of 8, 7,
and 5 or more are optimal cutoffs for the RIPASA, Alvarado, and AIR scoring
systems, respectively. The sensitivities, specificities, positive predictive
values, negative predictive values (NPVs), positive and negative likelihood
ratios (LRs) for the 3 systems were determined. Results: The sensitivity and the
specificity of the RIPASA score were 93.18% and 91.67%, respectively. The
sensitivities of the Alvarado and the AIR scores were both 78.41%. The
specificities of the Alvarado and the AIR scores were 100% and 91.67%,
respectively. The RIPASA score correctly classified 93% of all patients confirmed
with histological AA compared with 78.41% for the Alvarado and the AIR scores.
Conclusion: The RIPASA scoring system had more sensitivity, better NPV, a
positive LR, and a less negative LR for the Iranian population whereas the
Alvarado scoring system was more specific.
PMID- 29354606
TI - Clinical Significance of Signet-Ring-Cell Colorectal Cancer as a Prognostic
Factor.
AB - Purpose: The aim of this study is to evaluate the prognosis for patients with a
signet-ring-cell carcinoma (SRCC) who undergo curative surgery by comparing them
to patients with an adenocarcinoma (ADC), excluding a mucinous ADC. Methods:
Between September 1994 and December 2013, 14,110 patients with colorectal cancer
underwent surgery and among them, 12,631 patients were enrolled in this study. 71
patients with a SRCC and 12,570 patients with a ADC were identified. We analyzed
the disease-free survival and the overall survival rates before and after a 1:2
propensity score matching and evaluated those rates after stage stratification.
Results: The median follow-up durations were 48.5 months for the SRC group and
48.6 months for the ADC group. The disease-free survival rates and the overall
survival rates were significantly lower in the SRC group before and after
propensity score matching (P < 0.001). After stratification by stage, no
differences were observed between the SRC and the ADC groups for the disease-free
survival (DFS) and the overall survival (OS) rates for patients with cancer in
its early stages (P = 0.913 and P = 0.380 for the DFS and the OS, respectively,
in stages 0 and I, and P = 0.223 and P = 0.991 for the DFS and the OS,
respectively, in stage II), but those rates were significantly lower in the SRC
group for cancer in its later stages (P < 0.001, respectively in stages III and
IV). Conclusion: For cancer in advanced stages, patients with a resectable
colorectal SRCC had a poorer prognosis after propensity score matching than those
with an ADC did. Therefore, more intensive surveillance and closer observation
should be offered to such patients.
PMID- 29354607
TI - Surgical Outcomes and Risk Factors in Patients Who Underwent Emergency Colorectal
Surgery.
AB - Purpose: Emergency colorectal surgery has high rates of complications and
mortality because of incomplete bowel preparation and bacterial contamination.
The authors aimed to evaluate the surgical outcomes and the risk factors for the
mortality and the complication rates of patients who underwent emergency surgery
to treat colorectal diseases. Methods: This is a prospective study from January
2014 to April 2016, and the results are based on a retrospective analysis of the
clinical results for patients who underwent emergency colorectal surgery at
Chosun University Hospital. Results: A total of 99 patients underwent emergency
colorectal surgery during the study period. The most frequent indication of
surgery was perforation (75.8%). The causes of disease were colorectal cancer
(19.2%), complicated diverticulitis (21.2%), and ischemia (27.2%). There were 27
mortalities (27.3%). The major morbidity was 39.5%. Preoperative hypotension and
perioperative blood transfusion were independent risk factors for both morbidity
and mortality. Conclusion: These results revealed that emergency colorectal
surgeries are associated with significant morbidity and mortality. Furthermore,
the independent risk factors for both morbidity and mortality in such patiients
were preoperative hypotension and perioperative transfusion.
PMID- 29354608
TI - A Granular Cell Tumor of the Rectum: A Case Report and Review of the Literature.
AB - A granular cell tumor (GCT) is an uncommon mesenchymal lesion that rarely occurs
in the colon and the rectum. We describe the case of 51-year-old man with a 2-cm
sized rectal GCT 10 cm above the anal verge that was incidentally detected after
a screening colonoscopy. Preoperative radiologic studies demonstrated a
suspicious submucosal rectal mass with mesorectal fat infiltration, but without
circumferential resection margin threatening, extramural vessel invasion, and
regional lymph-node enlargement. The tumor was resected by using a transanal
endoscopic operation (TEO) without immediate postoperative complications. The
final pathology revealed that the tumor consisted of a GCT that had invaded the
subserosa with clear margins. It had no other risk factors for malignancy
according to Fanburg-Smith criteria. We systematically reviewed the English
literature by using PubMed and Google Scholar. This report may be the first
documented case in the literature to describe a TEO for a GCT that had invaded
the subserosa in the rectum.
PMID- 29354609
TI - Side-to-Side Ileosigmoidostomy Shunting Surgery for the Treatment of Elderly
Patients With Chronic Constipation.
AB - Constipation is a digestive disorder that often occurs in the elderly; its main
cause is bowel motility disorder. Treatments for patients with chronic
constipation include pharmacotherapy, diet changes, and surgery if other
therapies do not offer satisfactory results. We describe 4 patients, 2 men (70
and 65 years old) and 2 women (75 and 66 years old), who were diagnosed with
chronic constipation (slow transit constipation) and treated with conventional
therapy, but did not improve. For that reason, side-to-side ileosigmoidostomy
shunting surgery was performed. After the surgery, the average time until normal
defecation was 16 days, and the defecation frequency was 3 to 4 times a day with
no need for a laxative. No patient had a recurrence of constipation. Based on
these results, side-to-side ileosigmoidostomy shunting surgery is expected to
restore digestive function and can be considered as an alternative therapy for
elderly patients with chronic constipation.
PMID- 29354610
TI - Corrigendum: Correction of the Fourth Author's Affiliation. Synthetic Versus
Biological Mesh-Related Erosion After Laparoscopic Ventral Mesh Rectopexy: A
Systematic Review.
AB - [This corrects the article on p. 46 in vol. 33, PMID: 28503515.].
PMID- 29354611
TI - Corrigendum: Correction of the First Author's Affiliation. Outcome of Colorectal
Surgery in Elderly Populations.
AB - [This corrects the article on p. 139 in vol. 32, PMID: 27626024.].
PMID- 29354612
TI - Probiotics in the Prevention and Treatment of Postmenopausal Vaginal Infections:
Review Article.
AB - Bacterial vaginosis (BV) and complicated vulvovaginal candidiasis (VVC) are
frequently occurring vaginal infections in postmenopausal women, caused by an
imbalance in vaginal microflora. Postmenopausal women suffer from decreased
ovarian hormones estrogen and progesterone. A normal, healthy vaginal microflora
mainly comprises Lactobacillus species (spp.), which act beneficially as a
bacterial barrier in the vagina, interfering with uropathogens. During
premenopausal period, estrogen promotes vaginal colonization by lactobacilli that
metabolizing glycogen and producing lactic acid, and maintains intravaginal
health by lowering the intravaginal pH level. A lower vaginal pH inhibits
uropathogen growth, preventing vaginal infections. Decreased estrogen secretion
in postmenopausal women depletes lactobacilli and increases intravaginal pH,
resulting in increased vaginal colonization by harmful microorganisms (e.g.,
Enterobacter, Escherichia coli, Candida, and Gardnerella). Probiotics positively
effects on vaginal microflora composition by promoting the proliferation of
beneficial microorganisms, alters the intravaginal microbiota composition,
prevents vaginal infections in postmenopausal. Probiotics also reduce the
symptoms of vaginal infections (e.g., vaginal discharge, odor, etc.), and are
thus helpful for the treatment and prevention of BV and VVC. In this review
article, we provide information on the intravaginal mechanism of postmenopausal
vaginal infections, and describes the effectiveness of probiotics in the
treatment and prevention of BV and VVC.
PMID- 29354613
TI - The Clinical Effects of Dendropanax Morbifera on Postmenopausal Symptoms: Review
Article.
AB - Postmenopausal women aged 50s generally experience gradual changes in body such
as decline in antioxidant and estrogen levels as the body ages. To overcome these
aging-associated changes, the needs for health functional foods are increasing.
Dendropanax morbifera (DM) have antioxidant effects, anti-inflammatory against
cancer cells, antidiabetic, and antiatherogenic effect which are associated with
postmenopausal symptoms. We analyzed clinical effects of DM on aging-related
symptoms by reporting their antioxidant, anticancer and inflammatory activity,
etc. and their bioactivity. Data sources EMBASE, SCOPUS, PubMed, Web of Science,
and Google Scholar databases were searched up to August 2016 for studies
investigating medicinal plants in prevention and treatment of diabetes. The
search terms were "Dendropanax morbifera". The reference lists of articles were
also reviewed for additional relevant studies. Extracts of DM have various
efficacy such as antioxidant, anti-cancer, anti-inflammatory activity and anti
thrombotic effect.
PMID- 29354614
TI - Role Identification of Passiflora Incarnata Linnaeus: A Mini Review.
AB - Some species of traditional herbal medicine has a history of use, most
traditional natural herbs have been used for various diseases such as diabetes,
hypertension, and obesity. Among them, Passiflora incarnata L. is a traditional
natural medicine, flowers as well as berries, roots, and leaves have been used as
a medicine. It has been used as a natural medicine for the treatment of insomnia
and anxiety for a longtime in Europe, and it has been used primarily for sedation
tea in North America. Moreover, Passiflora incarnata L. is widely used anti
asthmatic, analgesic and sedation in Brazil. In other words, Passiflora incarnata
L. has been used to treat a sedative, dysmenorrhea, insomnia, cancer, etc. in
many countries. Present review of the plants showed a wide range of
pharmacological activity in anxiolytic relax the clinical disease, such as anti
inflammatory, anxiety and antioxidant. In addition, Passiflora incarnata L.
affects menopause symptoms such as vasomotor symptoms, insomnia, and depression.
This review aims to provide the latest information on specific functional
components of Passiflora incarnata L. especially the results of clinical trials
will provide new insights into opportunities for the future development of
natural medicines and doors will be used for purposes of analysis.
PMID- 29354615
TI - Effect of Phytoestrogen on Depression and Anxiety in Menopausal Women: A
Systematic Review.
AB - Objectives: In this systematic review, the effectiveness of herbal medicines in
improving depression and anxiety in menopausal women was assessed. Methods: Three
following databases were individually searched: MEDLINE (1966-March 2017), SCOPUS
(1990-March 2017), and the Cochrane Library (Cochrane Central Register of
Controlled Trials; 2017). Results: A total of 9 trials were included in this
systematic review. Overall, soy was found to have a beneficial effect. Also,
fennel had a significant positive effect on menopausal women with depression and
anxiety disorder, but not on healthy women. Red clover showed varying effects
ranging from significant to non-significant on depression and anxiety. Moreover,
kava was found to have a significant beneficial effect on depression and anxiety
at dose of 200 mg/days. Conclusions: Our study demonstrated that herbal medicines
could improve anxiety and depression in among menopausal women. However, the
beneficial effect still remains indefinite due to the poor methodology.
PMID- 29354616
TI - The Effect of Foeniculum Vulgare (Fennel) on Body Composition in Postmenopausal
Women with Excess Weight: A Double-blind Randomized Placebo-controlled Trial.
AB - Objectives: The goal of this study was to evaluate the effect of fennel on body
composition. Methods: This study is a secondary analysis of a double-blind,
randomized, placebo-controlled trial. Fifty-four out of 60 patients were able to
successfully complete the original study. Seven out of 54 patients were excluded
because they were not overweight and obese. Thus, 47 women were included in this
secondary analysis. Of these 47 women, 22 were in the fennel group and 25 were in
placebo group. Body weight, body mass index (BMI) as well as fat distribution was
measured at the baseline and after a three-month follow-up. Results: Comparison
of fennel and placebo groups did not reveal any significant effect in terms of
body weight, BMI, waist and hip circumferences and fat distribution. Also, the
results of paired t-test did not variation of these parameters across groups
before and after 12-week treatment. Conclusions: Menopausal women in fennel group
experienced a slight increase in body weight and fat distribution, which was not
significant. Further studies with larger sample sizes are recommended to validate
the results of this study.
PMID- 29354617
TI - Depression and Quality of Life among Postmenopausal Women in Bangladesh: A Cross
sectional Study.
AB - Objectives: The aims of the research are to examine the problems of abnormal
menopausal women: the relationship between depression and menopausal-specific
quality of life (MENQOL)-symptoms among postmenopausal women; the association of
MENQOL-symptoms between pre- and postmenopausal female society in Bangladeshi
real community. Methods: This cross sectional study was conducted on 435 women of
Tangail, aged (>= 17) years, using a structured questionnaire where is inaacluded
the information of MENQOL and one of the main outcomes "depression" is measured
by beck depression inventory. Results: Menopausal status and MENQOL symptoms
(except pain) are significantly (P < 0.05) associated. By using post-hoc
analysis, the proportion of menopausal women, classified as having a depressive
mood of early menopause is significantly higher than natural-menopause. Among
postmenopausal women, there is a significant correlation between "concentration
problem" and "depression". Here mean depression score (29.40 +/- 6.42) of
menopausal women who have any difficulty in concentrating is higher than mean
depression score (20.89 +/- 6.64) of menopausal women who have no difficulty in
concentrating. Another six factors (osteoporosis, heart-beating, fatigue,
pressure, tingling, headaches) of MENQOL-symptoms were significantly correlated
with depression and P-values are 0.000, 0.000, 0.000, 0.033, 0.006, and 0.002,
respectively. Finally the presence of "difficulty in concentrating" and "fatigue"
are strongly associated factors with depression score (P < 0.001). Conclusions:
The early postmenopausal women have to face more psychological problems (e.g.,
depression) compare to others. Among postmenopausal women, there is no
significant relation between depression and vasomotor symptom (e.g., hot-flashes)
perspective to menopausal female society of Bangladesh.
PMID- 29354618
TI - The Effect of Estrogen Replacement Therapy on Visceral Fat, Serum Glucose, Lipid
Profiles and Apelin Level in Ovariectomized Rats.
AB - Objectives: Ovarian hormones have been shown to regulate body weight, intra
abdominal fat accumulation and plasma level of cytokines. The aim of this study
was to investigate the effect of estrogen replacement therapy on visceral adipose
tissue, plasma level of apelin, lipid profiles, and glucose in ovariectomized
(OVX) rats. Methods: Thirty female Wistar rats were divided into OVX (n = 20) and
sham (n = 10) groups. OVX rats were subdivided into estrogen replacement therapy
(OVX+est; n = 10) receiving 17 beta-estradiol valerates (30 ug/kg, s.c., 5
day/week, for eight weeks), and vehicle control group receiving sesame oil same
as experiment group (OVX+ses oil; n = 10). After the treatments, all groups were
sacrificed and blood samples were collected, visceral fats were taken from the
abdominal cavity and weighed immediately. Apelin were measured using enzyme
linked immunosorbent assay kits. Lipid profiles and glucose were measured using
the enzymatic colorimetric method. Data were analyzed with one-way analysis of
variance and (P < 0.05) determined as the statistical significance level.
Results: After eight weeks, body weight, body mass index (BMI), visceral fat,
apelin and lipid profiles (P < 0.01) were increased significantly in OVX rats
compared to sham group. Treatment with estrogen leads to significant reduction in
body weight and BMI (P < 0.05), there was no significant change in serum apelin
level in OVX+est rats compared to OVX+ses. Conclusions: These results suggest
that estradiol replacement therapy successfully attenuated some of the metabolic
syndrome components, and apelin does not probably stand as a mediator of these
physiological functions.
PMID- 29354619
TI - A Study on Laparoscopic Sacral Colpopexy for Uterine Prolapse.
AB - Objectives: We sought to describe the perioperative and postoperative adverse
events associated with sacral colpopexy and evaluate the surgical outcome,
complications, and benefits of laparoscopic sacral fixation for patients with
pelvic prolapse. Methods: Ninety-two women with uterine prolapse underwent sacral
colpopexy between January 2011 and September 2016 at Chosun University Hospital.
Patients' electronic medical records were investigated for demographic,
intraoperative, and postoperative data. Strict definitions were used for all
clinically relevant adverse events. Patients' outcomes were documented with 1
self-administered quality of life questionnaires: the Pelvic Floor Distress
Inventory-20 focused on symptom distress. The primary analysis looking at
perioperative and postoperative adverse events was descriptive and statistics
were reported for all groups as n/N (%) with 95% confidence intervals for
categorical variables and as mean +/- standard deviation and mean (range) for all
continuous variables. Results: Their mean age was 69 +/- 8.1 years, mean follow
up duration was 12 months, and mean operating time was 61 minutes. There were
seven conversions due to anesthetic or surgical difficulties. Follow-up was
performed using a telephone questionnaire and physical examination at 12 months.
There were three cases of sacral pain with strong analgesics, one of vaginal
erosion, two of transient urinary retentions, one of spondylitis, and two of mesh
infection. Of the patients, 98.9% were satisfied with the surgical results, while
none complained of sexual dysfunction or problems performing her usual
activities. Conclusions: Laparoscopic sacral colpopexy is a feasible and highly
effective technique that offers good long-term results with complication rates
similar to those of open surgery with the added benefit of being minimally
invasive.
PMID- 29354620
TI - Prediction of Marital Satisfaction Based on Emotional Intelligence in
Postmenopausal Women.
AB - Objectives: This study was coperinducted with the aim of prediction of marital
satisfaction based on emotional intelligence for postmenopausal women. Methods:
This cross-sectional study was the descriptive-correlation and with a sample size
of 134 people to predict marital satisfaction based on emotional intelligence for
postmenopausal women was conducted in the Borujen city. The subjects were
selected by convenience sampling. Data collection tools included an emotional
intelligence questionnaire (Bar-on) and Enrich marital satisfaction
questionnaire. Results: The results of this study showed a significant positive
relationship between marital satisfaction and emotional intelligence (P < 0.05, r
= 0.25). Also, regression analysis showed that emotional intelligence (beta =
0.31) can predict positively and significantly marital satisfaction. Conclusions:
Due to the positive relationship between emotional intelligence and marital
satisfaction, adequacy of emotional intelligence is improved as important
structural in marital satisfaction. So it seems that can with measuring emotional
intelligence in reinforced marital satisfaction during menopause, done
appropriate action.
PMID- 29354621
TI - A Comparative Analysis of Pre- and Postmenopausal Females with Periodontitis and
Its Response to a Non Invasive Clinical Approach.
AB - Objectives: The influence of sex steroid hormones on periodontium can be
knockdown with good plaque control. The aim of the present study was to evaluate
periodontal status in pre- and postmenopausal women with periodontitis following
non-surgical therapy. Methods: Total 60 female patients' periodontal status was
measured by periodontal index (PRI), and oral hygiene status was measured by
plaque index (PI). Both the parameters were measured at baseline i.e. before
scaling and root planing and after 3 months intervals post treatment. Data were
analyzed using SPSS version 21. Results: The mean PRI scores in premenopausal
group were 5.68 +/- 0.64 and 2.53 +/- 0.13, and PI scores were 1.84 +/- 0.17 and
0.91 +/- 0.13 respectively at baseline and 3 months. The mean PRI scores in
postmenopausal group were 6.08 +/- 0.46 and 2.55 +/- 0.12, and PI scores were
1.86 +/- 0.24 and 1.00 +/- 0.24 respectively at baseline and 3 months.
Conclusions: There was more desirable response to non-surgical periodontal
therapy in both the groups but not significant variation in between two groups.
PMID- 29354622
TI - Safety and Efficacy of Catheter Direct Thrombolysis in Management of Acute
Iliofemoral Deep Vein Thrombosis: A Systematic Review.
AB - Purpose: Catheter direct thrombolysis (CDT) has been shown to be an effective
treatment for deep venous thrombosis. The objective of the review is to improve
safety and efficacy of the CDT by using ward based protocol, better able to
predict complications and treatment outcome through monitoring of haemostatic
parameters and clinical observation during thrombolysis procedure. Materials and
Methods: MEDLINE, EMBASE, CENTRAL and Web of Science were searched for all
articles on deep venous thrombosis, thrombolysis and correlations of clinical
events (bleeding, successful thrombolysis) during thrombolysis with hemostatic
parameters to March 2016. The risk of bias in included studies was assessed by
Cochrane Collaboration's tool and Cochrane Risk of Bias Assessment Tool: for Non
Randomized Studies of Interventions. Results: Twenty-four studies were included
in the review and we found that improving safety and efficacy of CDT by using
ward based protocol depending on eight factors; strict patient selection
criteria, types of fibrinolytic drugs, mode of fibrinolytic drug injection,
biochemical markers monitoring (fibrinogen, D-dimer, activated partial
thromboplastin time, plasminogen activator inhibitor-1), timing of intervention,
usage of intermittent pneumatic calf, ward monitoring and thrombolysis imaging
assessment (intravascular ultrasound). These factors may help to improve safety
and efficacy by reducing total thrombolytic drug dosage and at the same time
ensure successful lysis. There is a marked lack of randomized controlled trials
discussing the safety and efficacy of catheter direct thrombolysis. Conclusion:
CDT can be performed safely and efficiently in clinical ward, providing that
careful nursing, biochemical monitoring, proper selection and mode of infusion of
fibrinolytic drugs, usage of Intermittent pneumatic calf and adequate
thrombolysis imaging assessment are ensured.
PMID- 29354623
TI - Occurrences and Results of Acute Kidney Injury after Endovascular Aortic
Abdominal Repair?
AB - Purpose: Acute kidney injury (AKI) is an important postoperative complication
that may impact mortality and morbidity. The incidence of AKI after elective
endovascular aneurysm repair (EVAR) is not known well. The aim of this study is
to assess the incidence of AKI after elective EVAR and examine the impact of AKI.
Materials and Methods: Data were collected and analyzed retrospectively from 78
elective EVARs for abdominal aortic aneurysm (AAA) among 102 total cases of
conventional EVAR performed in Inha University Hospital from 2009 to 2015. The
primary endpoint was incidence and risk factors of AKI. Secondary endpoints
included drop in estimated glomerular filtration rate (eGFR) and the mortality of
AKI. Results: We included 78 patients (17 females, 21%; mean age, 73.9+/-12.5
years; mean AAA diameter, 59.3+/-8.9 cm), 11 (14.1%) of whom developed AKI.
Within 48 hours, those with AKI experienced a decrease in eGFR from 65.5+/-21.2
to 51.2+/-19.6 mL/kg/1.73 m2, and those without AKI showed a change from 73.1+/
9.2 to 74.2+/-10.7 mL/kg/1.73 m2. There were no patients who required dialysis
during follow-up (mean, 24.2+/-18.0 months). Development of AKI was related to
operation time (odds ratio [OR], 2.024; 95% confidence interval [CI], 1.732
4.723; P<0.010) and contrast dose (OR, 3.192; 95% CI, 2.182-4.329; P<0.010).
There were no differences in mortality between the 2 groups (P=0.784).
Conclusion: The incidence of AKI after EVAR was related to operation time and
contrast dose, but was not associated with medium-term mortality.
PMID- 29354624
TI - Comparison of Clinical Outcomes between Surgical Repair and Endovascular Stent
for the Treatment of Abdominal Aortic Aneurysm.
AB - Purpose: This study was performed to compare the treatment outcomes between
endovascular aneurysm repair (EVAR) and open surgical repair (OSR) of abdominal
aortic aneurysms (AAAs) in a South Korean population. Materials and Methods: We
performed a retrospective review of the medical records of 99 patients with AAAs
who were managed at Gyeongsang National University Hospital between January 2005
and December 2014. We reviewed the demographic characteristics and perioperative
treatment outcomes of patients with AAA undergoing EVAR or OSR. In-hospital
mortality and reintervention rates were assessed and compared between the EVAR
and OSR groups. Results: In-hospital mortality was not significantly higher in
the OSR group versus the EVAR group (3.8% vs. 8.7%, respectively, P=0.41).
Intervention time (209.6 mins vs. 350.9 mins, P<0.001) and length of hospital
stay (7.79 days vs. 17.46 days, P<0.001) were significantly longer in the OSR
group vs. the EVAR group. Median follow-up time was 24.1+/-20 months for the EVAR
group and 43.9+/-28 months for the OSR group. The cumulative rate of freedom from
reintervention at 60 months was 62.0% for the EVAR group and 100% for the OSR
group (P<0.001). Conclusion: EVAR was favorable in terms of intervention time and
length of hospital stay, but the long-term durability of EVAR remains open for
further debate.
PMID- 29354626
TI - Intraoperative Vascular Surgical Consultation during Non-Vascular Surgeries in
Tertiary Centers by Vascular Surgeon.
AB - Purpose: There are many types of intraoperative consultations by vascular
surgeons during non-vascular surgery. Therefore, we examined the current state of
intraoperative consultations during non-vascular surgery in a single center.
Materials and Methods: From January 2014 to December 2015, we reviewed records of
40 patients (0.3%) who received an intraoperative consultation from a vascular
surgeon for 10,734 non-vascular surgeries in Inha University Hospital. We
examined patient characteristics, operative details, and clinical results.
Results: There were 40 intraoperative vascular surgical consultations relating to
bleeding (n=14, 35.0%), dissection from the vessel (n=13, 32.5%), arterial
occlusion (n=10, 25.0%), and retroperitoneal approach (n=3, 7.5%). The locations
of surgery were lower extremity (n=10, 25.0%), kidney (n=8, 20.0%), spine (n=6,
15.0%), pelvis (n=6, 15.0%), head and neck (n=4, 10.0%), abdomen (n=4, 10.0%),
and upper extremity (n=2, 5.0%). The methods of surgery included primary closure
or ligation (n=17, 42.5%), end-to-end anastomosis (n=12, 30.0%), bypass (n=10,
25.0%), thrombectomy (n=4, 10.0%), retroperitoneal approach (n=3, 7.5%), and
embolization (n=2, 5.0%). Postoperative treatment was performed in the intensive
care unit for 13 patients (32.5%), while 3 patients (7.5%) died following
surgery. Conclusion: Intraoperative consultation by vascular surgeons during non
vascular surgery occurred in approximately 0.3% of non-vascular surgeries. The
region undergoing operation and type of surgery were variable. Therefore, it is
necessary for vascular surgeons to have a comprehensive knowledge of vascular
anatomy and to make rapid surgical decisions.
PMID- 29354625
TI - Neuropsychological Outcome One Year after Carotid Revascularization: A before-and
after Study.
AB - Purpose: The aim of our study was to determine the clinical profile of patients
considered cognitive 'responders' to surgery in order to establish clinical
variables associated with a favorable cognitive performance. Materials and
Methods: A total of 70 patients were included in the study. A well-validated,
comprehensive standardized neurocognitive battery of tests of about 2 hours was
administered. Patients were examined twice, 1-week before surgery and 1-year
postoperatively. The criterion to be included in the 'responder' group was the
following: to obtain a positive difference between post-revascularization and pre
revascularization neuropsychological assessment >=1 standard deviation in >=2
tests. Results: Twenty-seven patients (38.6%) were cognitive responders to
treatment. In bivariate analysis between responders and non-responders, presence
of atrophy (P=0.003), small vessels (P=0.577), symptoms (P=0.046), and age
(P=0.030) were the factors statistically significant. When comparing cognitive
performance before and after carotid revascularization, significant differences
were observed in semantic fluency with a lower performance after 12 months
(P=0.004, d=0.29), and in the Language index (Repeatable Battery for the
Assessment of Neuropsychological Status) (P=0.005, d=0.34). Conclusion: Patients
without neurological symptoms, of a younger age and without atrophy and white
matter small vessel lesions are better cognitive responders 1-year after carotid
revascularization.
PMID- 29354627
TI - Vascular Complications Related to Posterior Lumbar Disc Surgery.
AB - Purpose: To evaluate patients who underwent surgical or endovascular treatment
after vascular injury related to posterior lumbar disc surgery. Materials and
Methods: We retrospectively reviewed seven cases of vascular injuries (four
lacerations, one arteriovenous fistula [AVF], and two pseudoaneurysms) related to
lumbar disc surgery by a posterior approach from January 1997 to December 2016 at
Chonnam National University Hospital. Information of patient characteristics,
diagnosis, treatment strategies, and outcomes were analyzed. Results: Five out of
seven cases were inhospital cases. In three laceration cases, each patient
instantly became hypotensive and a life-threatening arterial injury was
suspected. Therefore, the patient was immediately turned to the supine position
and surgical repair was performed. The patients with pseudoaneurysm and AVF were
treated by endovascular intervention. Remaining two were referred cases under the
impression of vascular injuries. One laceration case of them was in preshock
condition, and the left common iliac artery was surgically repaired. The other
referred patient showed pseudoaneurysm which was treated with stent graft
insertion. There was no surgery or endovascular intervention related death and
none of the patients suffered any sequela related to vascular injury. Conclusion:
Vascular injury associated with posterior lumbar disc surgery is not common, but
can be fatal. Early recognition, diagnosis, and prompt treatment are essential to
prevent fatal outcomes. Recently, endovascular intervention is increasingly and
preferably used because of its low morbidity and mortality. However surgery is
still the best option for the patients with unstable vital sign and endovascular
approach can be applied to stable patients.
PMID- 29354628
TI - Femoral Endovenectomy with Iliac Stenting for Chronic Iliofemoral Venous
Occlusion.
AB - A 62-year-old man with progressive swelling on his left thigh and leg was
referred to the Division of Vascular Surgery. Anticoagulation therapy did not
prevent or improve the symptoms of chronic deep vein thrombosis (DVT) from his
left external iliac vein to posterior tibial vein. He had no trauma history nor
any accidents. He underwent femoral endovenectomy, thrombectomy and stent
insertion in left iliac vein. The patient had additional balloon angioplasty for
stenosis in left common femoral vein. He had an uneventful postoperative recovery
without complication. Leg swelling has been improving and follow-up continues
under anticoagulation. We report a case of femoral endovenectomy with iliac
stenting, which may be an efficacious treatment for chronic DVT.
PMID- 29354629
TI - Rescue Technique for Malposition Caused by Mislabeled Stent Graft in Thoracic
Aneurysm.
AB - The aim of this paper is to report a salvage treatment for malpositioned stent
graft due to mislabeled product during thoracic endovascular aortic repair
(TEVAR) in descending thoracic aneurysm (DTA). A 78-year-old male presented with
6.7*4.1 cm sized saccular DTA and 7.1*7.3 cm sized abdominal aortic aneurysm
(AAA). DTA was initially treated by TEVAR and 2 months later AAA was treated by
open aortic repair. Unfortunately, although the stent graft was correctly labeled
for DTA, the actual size of product wrapped in a box was different contrary to
our expectations. On completion angiography, proximal sealing zone showed no
endoleak, however, celiac trunk and superior mesenteric artery (SMA) was found to
be accidentally occluded. Through an emergent thoracotomy, distal part of stent
graft was removed by cutting distal segment of stent graft and pulling out
maneuver to restore blood flow. The completion angiography presented no endoleak,
and celiac trunk and SMA were secured. Cutting distal segment of stent graft and
pulling out maneuver is one of feasible rescue technique to maintain blood flow
of occluded celiac trunk during TEVAR.
PMID- 29354630
TI - Maternal Feeding Practices among Children with Feeding Difficulties-Cross
sectional Study in a Brazilian Reference Center.
AB - Background: Given the positive influence of responsive caregiving on dietary
habits in childhood, to raise awareness of caregivers regarding their behavior is
crucial in multidisciplinary care on infant feeding. Objectives: To identify the
most common responsive and non-responsive feeding practices in mothers of
children with feeding complaints, as well as to seek associations between
practices and caregivers' profile. Methods: Cross-sectional study with 77
children under 18 years old, with complaints of feeding difficulties. Data were
collected during interviews with mothers: child age, gender, duration of
exclusive breastfeeding, presence of organic disease, dynamics of bottle use,
self-feeding practices and posture at meals, use of appropriate feeding
equipment; basic information about the mothers (parity and level of education),
caregiver feeding style, presence of coercive feeding, frequency and
characteristics of family meals. Statistical analysis considered significance
level at 5%. Results: The non-responsive profile predominated among mothers
(76.2%, with the Authoritarian style being the most prevalent-39.7%). The
responsive profile was characterized by absence of coercive feeding, stimulation
of self-feeding practices, use of appropriate feeding equipment and meal
environment, with interaction at meals. Non-responsive profile consisted of both
inadequate environment and posture at meals, use of distraction and coercive
feeding, lack of shared meals, and disregard for children's hunger signals. Only
the habit of sharing meals with children was associated with mothers' profile,
and considered a protection factor against non-responsive care (OR 0.23; 95% CI
0.06-0.88). Both Authoritarian (p = 0.000) and indulgent mothers (p = 0.007)
breastfed exclusively for longer time than negligent ones. There was a higher
level of interaction with children in "responsive" parental style (OR 0.056; p =
0.01) compared to other feeding styles. Conclusion: Results highlight the need
for educational interventions focused on caregivers' behaviors.
PMID- 29354631
TI - The Application of an Implementation Science Framework to Comprehensive School
Physical Activity Programs: Be a Champion!
AB - Comprehensive school physical activity programs (CSPAPs) have been endorsed as a
promising strategy to increase youth physical activity (PA) in school settings. A
CSPAP is a five-component approach, which includes opportunities before, during,
and after school for PA. Extensive resources are available to public health
practitioners and school officials regarding what should be implemented, but
little guidance and few resources are available regarding how to effectively
implement a CSPAP. Implementation science provides a number of conceptual
frameworks that can guide implementation of a CSPAP, but few published studies
have employed an implementation science framework to a CSPAP. Therefore, we
developed Be a Champion! (BAC), which represents a synthesis of implementation
science strategies, modified for application to CSPAPs implementation in schools
while allowing for local tailoring of the approach. This article describes BAC
while providing examples from the implementation of a CSPAP in three rural
elementary schools.
PMID- 29354632
TI - Mixture Design and Doehlert Matrix for the Optimization of the Extraction of
Phenolic Compounds from Spondias mombin L Apple Bagasse Agroindustrial Residues.
AB - In this study, we have determined, using RSM (mixture design and Doehlert
matrix), the optimum values of the independent variables to achieve the maximum
response for the extraction of total phenolic compounds from Spondias mombin L
bagasse agroindustrial residues in order to preserve their antioxidant activity.
The extraction of phenolic compounds, as well as their antioxidant capacity and
the capacity to scavenge ABTS, was determined by the modified DPPH method at
different periods of time, temperature, velocity of rotation and solvents
concentration. We observed that the optimum condition for the highest antioxidant
yield was obtained using water (60.84%), acetone (30.31%), and ethanol (8.85%) at
30 degrees C during 20 min at 50 rpm. We have also found that the maximum yield
of total phenolics was 355.63 +/- 9.77 (mg GAE/100 g), showing an EC50 of
3,962.24 +/- 41.20 (g fruit/g of DPPH) and 8.36 +/- 0.30 (MUM trolox/g fruit),
which were measured using DPPH and ABTS assays. These results suggest that RSM
was successfully applied for optimizing the extraction of phenolics compounds
thus preserving their antioxidant activity.
PMID- 29354633
TI - Determination of Mineral Constituents, Phytochemicals and Antioxidant Qualities
of Cleome gynandra, Compared to Brassica oleracea and Beta vulgaris.
AB - The study compared mineral, chemical and antioxidant qualities of Cleome
gynandra, a wild leafy vegetable, with two widely consumed commercial vegetables,
Brassica oleracea and Beta vulgaris. Mineral nutrients were quantified with
inductively coupled plasma mass spectrometry (ICP-MS), phenolic compounds using
ultra-high performance liquid chromatography coupled to a mass spectrometer
(UHPLC-MS) and beta-carotene and vitamin C using high performance liquid
chromatography with a photodiode array detector (HPLC-PDA). The antioxidant
potential was evaluated using 2,2-diphenyl-1-picryl hydrazyl (DPPH) and oxygen
radical absorbance capacity (ORAC) assays. Cleome gynandra had highest
concentrations of phosphorus, potassium, calcium, iron, zinc, ascorbic acid,
total phenolics, and flavonoids; whereas sodium, magnesium, manganese, copper and
beta-carotene were higher for B. vulgaris. The significantly higher antioxidant
activity (P <= 0.05) exhibited by C. gynandra in comparison to the two commercial
vegetables may be due to its significantly high levels of vitamin C and phenolic
acids. These findings on the mineral, chemical and antioxidant properties of C.
gynandra provide compelling scientific evidence of its potential in adding
diversity to the diet and contributing toward the daily nutritional requirements
of millions of people for food and nutritional security.
PMID- 29354634
TI - Metabolism and Transcription in Cancer: Merging Two Classic Tales.
AB - Cellular plasticity, or the ability of a cancer cell to adapt to changes in the
microenvironment, is a major determinant of cell survival and functionality that
require the coordination of transcriptional programs with signaling and metabolic
pathways. In this scenario, these pathways sense and integrate nutrient signals
for the induction of coordinated gene expression programs in cancer. This
minireview focuses on recent advances that shed light on the bidirectional
relationship between metabolism and gene transcription, and their biological
outcomes in cancer. Specifically, we will discuss how metabolic changes occurring
in cancer cells impact on gene expression, both at the level of the epigenetic
landscape and transcription factor regulation.
PMID- 29354636
TI - Different Mechanisms of Catalytic Complex Formation in Two L-Tryptophan
Processing Dioxygenases.
AB - The human heme enzymes tryptophan 2,3-dioxygenase (hTDO) and indoleamine 2,3
dioxygenase (hIDO) catalyze the initial step in L-tryptophan (L-Trp) catabolism,
the insertion of dioxygen into L-Trp. Overexpression of these enzymes causes
depletion of L-Trp and accumulation of metabolic products, and thereby
contributes to tumor immune tolerance and immune dysregulation in a variety of
disease pathologies. Understanding the assembly of the catalytically active,
ternary enzyme-substrate-ligand complexes is not yet fully resolved, but an
essential prerequisite for designing efficient and selective de novo inhibitors.
Evidence is mounting that the ternary complex forms by sequential binding of
ligand and substrate in a specific order. In hTDO, the apolar L-Trp binds first,
decreasing active-site solvation and, as a result, reducing non-productive
oxidation of the heme iron by the dioxygen ligand, which may leave the substrate
bound to a ferric heme iron. In hIDO, by contrast, dioxygen must first coordinate
to the heme iron because a bound substrate would occlude ligand access to the
heme iron, so the ternary complex can no longer form. Consequently, faster
association of L-Trp at high concentrations results in substrate inhibition.
Here, we summarize our present knowledge of ternary complex formation in hTDO and
hIDO and relate these findings to structural peculiarities of their active sites.
PMID- 29354637
TI - Mapping Disease Data: A Usability Test of an Internet-Based System of Disease
Status Disclosure.
AB - Disease maps are important tools in the management of disease. By communicating
risk, disease maps can help raise awareness of disease and encourage farmers and
veterinarians to employ best practice to eliminate the spread of disease.
However, despite the importance of disease maps in communicating risk and the
existence of various online disease maps, there are few studies that explicitly
examine their usability. Where disease maps are complicated to use, it seems that
they are unlikely to be used effectively. The paper outlines an attempt to create
an open access, online, searchable map of incidents of bovine tuberculosis in
England and Wales, and analyzes its usability among veterinarians. The paper
describes the process of creating the map before describing the results of a
series of usability trials. Results show the map to score highly on different
measures of usability. However, the trials also revealed a number of social and
technical limitations and challenges facing the use of online disease maps,
including reputational dangers, role confusion, data accuracy, and data
representation. The paper considers the challenges facing disease maps and their
potential role in designing new methodologies to evaluate the effectiveness of
disease prevention initiatives.
PMID- 29354638
TI - A Probability Co-Kriging Model to Account for Reporting Bias and Recognize Areas
at High Risk for Zebra Mussels and Eurasian Watermilfoil Invasions in Minnesota.
AB - Zebra mussels (ZMs) (Dreissena polymorpha) and Eurasian watermilfoil (EWM)
(Myriophyllum spicatum) are aggressive aquatic invasive species posing a
conservation burden on Minnesota. Recognizing areas at high risk for invasion is
a prerequisite for the implementation of risk-based prevention and mitigation
management strategies. The early detection of invasion has been challenging, due
in part to the imperfect observation process of invasions including the absence
of a surveillance program, reliance on public reporting, and limited resource
availability, which results in reporting bias. To predict the areas at high risk
for invasions, while accounting for underreporting, we combined network analysis
and probability co-kriging to estimate the risk of ZM and EWM invasions. We used
network analysis to generate a waterbody-specific variable representing boater
traffic, a known high risk activity for human-mediated transportation of invasive
species. In addition, co-kriging was used to estimate the probability of species
introduction, using waterbody-specific variables. A co-kriging model containing
distance to the nearest ZM infested location, boater traffic, and road access was
used to recognize the areas at high risk for ZM invasions (AUC = 0.78). The EWM
co-kriging model included distance to the nearest EWM infested location, boater
traffic, and connectivity to infested waterbodies (AUC = 0.76). Results suggested
that, by 2015, nearly 20% of the waterbodies in Minnesota were at high risk of ZM
(12.45%) or EWM (12.43%) invasions, whereas only 125/18,411 (0.67%) and
304/18,411 (1.65%) are currently infested, respectively. Prediction methods
presented here can support decisions related to solving the problems of imperfect
detection, which subsequently improve the early detection of biological
invasions.
PMID- 29354640
TI - A Comparison of Computed Tomographic, Radiographic, Gross and Histological,
Dental, and Alveolar Findings in 30 Abnormal Cheek Teeth from Equine Cadavers.
AB - Background: Equine cheek teeth disorders, especially pulpar/apical infections,
can have very serious consequences due to the frequent extension of infection to
the supporting bones and/or adjacent paranasal sinuses. Limited studies have
assessed the accuracy of computed tomographic (CT) imaging in the diagnosis of
these disorders, and no study has directly compared imaging and pathological
findings of the alveoli of diseased equine cheek teeth. Objective: To validate
the accuracy of CT and radiographic imaging of cheek teeth disorders by comparing
CT and radiographic imaging, gross and histological findings in abnormal cheek
teeth and their alveoli extracted from equine cadaver heads. Study design: Ex
vivo original study. Methods: Fifty-four cadaver heads from horses with unknown
histories that had died or been euthanized on humane grounds obtained from a
rendering plant had radiography, CT imaging, and gross pathological examinations
performed. Based on imaging and gross examination findings, 30 abnormal cheek
teeth (26 maxillary and 4 mandibular) identified in 26 heads were extracted along
with their dental alveoli where possible, and further CT imaging, gross, and
histological examinations were performed. Eight maxillary cheek teeth (including
four with attached alveolar bone) from these heads, that were normal on gross and
CT examinations, were used as controls. Results: Gross pathological and
histological examinations indicated that 28/30 teeth, including two supernumerary
teeth, had pulpar/apical infection, including pulpar and apical changes. A
further supernumerary and a dysplastic tooth were also identified. Abnormal
calcified tissue architecture was present in all three supernumerary and in the
dysplastic tooth. CT imaging strongly indicated the presence of pulpar/apical
infection in 27 of the 28 (96.4%) pulpar/apically infected teeth, including the
presence of intrapulpar gas (N = 19/28), apical clubbing (N = 20), periapical
halo (N = 4), root lysis or fragmentation (N = 7), and periapical gas (N = 2).
Also present were alveolar bone sclerosis (N = 20), alveolar bone thickening (N =
3), and lytic/erosive changes (N = 8). Radiographic abnormalities strongly
indicative of pulpar/apical infection including periapical sclerosis (N = 8/28)
and apical clubbing (N = 14/28) were found in 14/28 (50%) of apically infected
teeth. Histological changes were present in alveolar bone of all 21 cases of
apical infection where alveolus remained attached to the tooth and was marked in
16 cases, all which had CT alveolar changes. Histological changes included
disruption of the normal trabecular pattern, increased osteoclastic activity, and
the presence of islands of bone with a scalloped profile within the thickened
attached periodontal ligament. No gross pathological or histological changes were
present in the eight control teeth or their alveoli (N = 4). Main limitations: No
history or breed-related information was available on these cases. Conclusion:
There was a 96.4% correlation between a CT diagnosis and confirmative
pathological findings in 28 apically infected teeth confirming the accuracy of CT
imaging in diagnosing equine pulpar/apical infections. There was also excellent
correlation between CT and histological alveolar bone findings.
PMID- 29354639
TI - Perspectives and Outcomes of the Activity of a Reference Laboratory for
Brucellosis.
AB - One health is an emerging conceptual approach geared to harmonize the activities
of the public health, veterinary services, and extension services within a single
operative structure. Brucellosis is an important zoonosis worldwide, mostly
involving nomadic populations but may often affect transboundary animal
management and exotic domesticated animal farming such as camels and buffalo.
Here, we provide contemporary knowledge on the disease and its causative agent, a
Gram-negative bacteria belonging to the genus Brucella. Further, because of the
zoonotic importance, we emphasize the need to assign a national reference
laboratory for the disease and discuss how this would integrate into a "One
Health" system. Brucella vaccines are live attenuated strains possessing the
smooth phenotype, and vaccination, therefore, hampers the ability to maintain a
national surveillance program due to concerns regarding the false positive
vaccine-induced responses. In order to overcome these failings, we developed a
combined approach based on rapid screening of mass numbers of serum samples by
the fluorescence polarization assay, a cost-effective and accurate method, and
confirmation of the true positive reactors by the complement fixation test, a
highly specific method that is less sensitive to vaccine-induced antibodies. We
demonstrate how, despite the high vaccination coverage of the small ruminant
population in Israel, our results proved to be effective in discriminating
between vaccinated and infected animals. The speed and accuracy of the method
further justified immediate declaration of 37% of flocks as cleansed from
brucellosis, thus reducing the burden of repeated tests among this population.
PMID- 29354641
TI - A Data Set of Human Body Movements for Physical Rehabilitation Exercises.
AB - The article presents University of Idaho - Physical Rehabilitation Movement Data
(UI-PRMD) - a publically available data set of movements related to common
exercises performed by patients in physical rehabilitation programs. For the data
collection, 10 healthy subjects performed 10 repetitions of different physical
therapy movements, with a Vicon optical tracker and a Microsoft Kinect sensor
used for the motion capturing. The data are in a format that includes positions
and angles of full-body joints. The objective of the data set is to provide a
basis for mathematical modeling of therapy movements, as well as for establishing
performance metrics for evaluation of patient consistency in executing the
prescribed rehabilitation exercises.
PMID- 29354642
TI - Use of My HealtheVet patient web portal among veterans seen for diabetes mellitus
at a medical center in the southeastern United States.
AB - Background: An increasing number of studies have examined the use of information
technology to improve diabetes care and patient self-management. The goal of the
current study was to determine the number of veterans seen for diabetes at a
large medical center in the southeastern region of the U.S. and to examine
whether they had registered for VA's My HealtheVet patient web portal according
to selected characteristics. Methods: Existing patient records were reviewed
including My HealtheVet web portal registration by veterans treated for diabetes
(ICD-10 code for type 2 diabetes) at the Charlie Norwood VAMC. Number of
outpatient clinic patients seen for diabetes who had or had not registered for My
HealtheVet were examined by age categories, sex, race, Hispanic ethnicity, and
era of military service. Results: A total of 49,341 veterans receive care at the
Charlie Norwood VAMC. Of those patients, 10,950 have been seen for diabetes. Of
the 49,341 patients, 21,372 patients (43.3%) are using My HealtheVet and 10,465
patients (21.2%) have used secure messages. Of 10,950 diabetic patients, only
1,256 (11.5%) have registered for My HealtheVet. Women with diabetes were more
likely to be registered for My HealtheVet than their male counterparts [13.92%
vs. 11.24%; odds ratio (OR)=1.28; 95% confidence interval (CI): 1.05-1.55).
Veterans with diabetes who served during WW II or the Korean War were less likely
to use My HealtheVet than those who served during more recent eras (OR=0.33; 95%
CI: 0.24-0.44). Use of the patient portal was highest among diabetic patients
ages 51-55 years (15.6%). Conclusions: A low percentage of Veterans with diabetes
are active users of My HealtheVet. Studies are needed to identify My HealtheVet
portal design features and veteran characteristics that will increase use of this
patient portal which may improve diabetes care.
PMID- 29354643
TI - Introduction to Focused Issue on mHealth Infrastructure: issues and solutions
that challenge optimal deployment of mHealth products and services.
PMID- 29354644
TI - The Older Driver with Cognitive Impairment: Perceptions of Driving Ability and
Results of a Behind the Wheel Test.
AB - Older adult drivers with cognitive impairment pose a potential safety risk to
themselves and others. Providers are often uncertain about when to request a
formal evaluation of driving ability, leaving subjective reports of concerns by
the patient or family as common initiators of objective driving evaluation
referral. This observational study evaluated the correspondence of patient and
caregiver report of driving concerns relative to objective behind-the-wheel (BTW)
testing. Data were analyzed from occupational therapy driving evaluations of
older adult U.S. Veterans referred from cognitive disorder specialty clinics
between 2005 and 2015 (n = 151). Driving ability was evaluated with a pre-testing
interview of the patient and a knowledgeable caregiver, followed by objective BTW
testing. Patients referred had a mean age of 77.6 (SD = 8.1) years, were 97%
male, and 98% white. Results demonstrated that most patients are evaluated for
driving concerns far too late, with only 3% of the sample being evaluated as
independent to drive without restrictions, and 38% recommended to retire from
driving. Although both patients and caregivers denied specific driving concerns
(obey signs and lights) relative to objective testing, caregiver concerns were
greater than their respective patient's concerns (p < 0.001) and were associated
with road test outcome (p = 0.001).
PMID- 29354645
TI - A Bioactive Hydrogel and 3D Printed Polycaprolactone System for Bone Tissue
Engineering.
AB - In this study, a hybrid system consisting of 3D printed polycaprolactone (PCL)
filled with hydrogel was developed as an application for reconstruction of long
bone defects, which are innately difficult to repair due to large missing
segments of bone. A 3D printed gyroid scaffold of PCL allowed a larger amount of
hydrogel to be loaded within the scaffolds as compared to 3D printed mesh and
honeycomb scaffolds of similar volumes and strut thicknesses. The hydrogel was a
mixture of alginate, gelatin, and nano-hydroxyapatite, infiltrated with human
mesenchymal stem cells (hMSC) to enhance the osteoconductivity and
biocompatibility of the system. Adhesion and viability of hMSC in the
PCL/hydrogel system confirmed its cytocompatibility. Biomineralization tests in
simulated body fluid (SBF) showed the nucleation and growth of apatite crystals,
which confirmed the bioactivity of the PCL/hydrogel system. Moreover, dissolution
studies, in SBF revealed a sustained dissolution of the hydrogel with time.
Overall, the present study provides a new approach in bone tissue engineering to
repair bone defects with a bioactive hybrid system consisting of a polymeric
scaffold, hydrogel, and hMSC.
PMID- 29354646
TI - Exploring the mechanism of amebic trogocytosis: the role of amebic lysosomes.
PMID- 29354648
TI - The cytosolic glyoxalases of Plasmodium falciparum are dispensable during asexual
blood-stage development.
AB - The enzymes glyoxalase 1 and 2 (Glo1 and Glo2) are found in most eukaryotes and
catalyze the glutathione-dependent conversion of 2-oxoaldehydes to 2
hydroxycarboxylic acids. Four glyoxalases are encoded in the genome of the
malaria parasite Plasmodium falciparum, the cytosolic enzymes PfGlo1 and PfcGlo2,
the apicoplast enzyme PftGlo2, and an inactive Glo1-like protein that also
carries an apicoplast-targeting sequence. Inhibition or knockout of the
Plasmodium glyoxalases was hypothesized to lead to an accumulation of 2
oxoaldehydes and advanced glycation end-products (AGE) in the host-parasite unit
and to result in parasite death. Here, we generated clonal P. falciparum strain
3D7 knockout lines for PFGLO1 and PFcGLO2 using the CRISPR-Cas9 system. Although
3D7Deltaglo1 knockout clones had an increased susceptibility to external glyoxal,
all 3D7Deltaglo1 and 3D7Deltacglo2 knockout lines were viable and showed no
significant growth phenotype under standard growth conditions. Furthermore, the
lack of PfcGlo2, but not PfGlo1, increased gametocyte commitment in the knockout
lines. In summary, PfGlo1 and PfcGlo2 are dispensable during asexual blood-stage
development while the loss of PfcGlo2 may induce the formation of transmissible
gametocytes. These combined data show that PfGlo1 and PfcGlo2 are most likely not
suited as targets for selective drug development.
PMID- 29354650
TI - The logics of metabolic regulation in bacteria challenges biosensor-based
metabolic engineering.
AB - Synthetic Biology (SB) aims at the rational design and engineering of novel
biological functions and systems. By facilitating the engineering of living
organisms, SB promises to facilitate the development of many new applications for
health, biomanufacturing, and the environment. Over the last decade, SB promoted
the construction of libraries of components enabling the fine-tuning of genetic
circuits expression and the development of novel genome engineering methodologies
for many organisms of interest. SB thus opened new perspectives in the field of
metabolic engineering, which was until then mainly limited to (over)producing
naturally synthesized metabolic compounds. To engineer efficient cell factories,
it is key to precisely reroute cellular resources from the central carbon
metabolism (CCM) to the synthetic circuitry. This task is however difficult as
there is still significant lack of knowledge regarding both the function of
several metabolic components and the regulation of the CCM fluxes for many
industrially important bacteria. Pyruvate is a pivotal metabolite at the heart of
the CCM and a key precursor for the synthesis of several commodity compounds and
fine chemicals. Numerous bacterial species can also use it as a carbon source
when present in the environment but bacterial, pyruvate-specific uptake systems
were to be discovered. This is an issue for metabolic engineering as one can
imagine to make use of pyruvate transport systems to replenish synthetic
metabolic pathways towards the synthesis of chemicals of interest. Here we
describe a recent study (MBio 8(5): e00976-17), which identified and
characterized a pyruvate transport system in the Gram-positive (G+ve) bacterium
Bacillus subtilis, a well-established biotechnological workhorse for the
production of enzymes, fine chemicals and antibiotics. This study also revealed
that the activity of the two-component system (TCS) responsible for its induction
is retro-inhibited by the level of pyruvate influx. Following up on the open
question which is whether this retro-inhibition is a generic mechanism for TCSs,
we will discuss the implications in metabolic engineering.
PMID- 29354635
TI - Immunological Tolerance, Pregnancy, and Preeclampsia: The Roles of Semen Microbes
and the Father.
AB - Although it is widely considered, in many cases, to involve two separable stages
(poor placentation followed by oxidative stress/inflammation), the precise
originating causes of preeclampsia (PE) remain elusive. We have previously
brought together some of the considerable evidence that a (dormant) microbial
component is commonly a significant part of its etiology. However, apart from
recognizing, consistent with this view, that the many inflammatory markers of PE
are also increased in infection, we had little to say about immunity, whether
innate or adaptive. In addition, we focused on the gut, oral and female urinary
tract microbiomes as the main sources of the infection. We here marshall further
evidence for an infectious component in PE, focusing on the immunological
tolerance characteristic of pregnancy, and the well-established fact that
increased exposure to the father's semen assists this immunological tolerance. As
well as these benefits, however, semen is not sterile, microbial tolerance
mechanisms may exist, and we also review the evidence that semen may be
responsible for inoculating the developing conceptus (and maybe the placenta)
with microbes, not all of which are benign. It is suggested that when they are
not, this may be a significant cause of PE. A variety of epidemiological and
other evidence is entirely consistent with this, not least correlations between
semen infection, infertility and PE. Our view also leads to a series of other,
testable predictions. Overall, we argue for a significant paternal role in the
development of PE through microbial infection of the mother via insemination.
PMID- 29354649
TI - Alcohols enhance the rate of acetic acid diffusion in S. cerevisiae: biophysical
mechanisms and implications for acetic acid tolerance.
AB - Microbial cell factories with the ability to maintain high productivity in the
presence of weak organic acids, such as acetic acid, are required in many
industrial processes. For example, fermentation media derived from
lignocellulosic biomass are rich in acetic acid and other weak acids. The rate of
diffusional entry of acetic acid is one parameter determining the ability of
microorganisms to tolerance the acid. The present study demonstrates that the
rate of acetic acid diffusion in S. cerevisiae is strongly affected by the
alcohols ethanol and n-butanol. Ethanol of 40 g/L and n-butanol of 8 g/L both
caused a 65% increase in the rate of acetic acid diffusion, and higher alcohol
concentrations caused even greater increases. Molecular dynamics simulations of
membrane dynamics in the presence of alcohols demonstrated that the partitioning
of alcohols to the head group region of the lipid bilayer causes a considerable
increase in the membrane area, together with reduced membrane thickness and lipid
order. These changes in physiochemical membrane properties lead to an increased
number of water molecules in the membrane interior, providing biophysical
mechanisms for the alcohol-induced increase in acetic acid diffusion rate. n
butanol affected S. cerevisiae and the cell membrane properties at lower
concentrations than ethanol, due to greater and deeper partitioning in the
membrane. This study demonstrates that the rate of acetic acid diffusion can be
strongly affected by compounds that partition into the cell membrane, and
highlights the need for considering interaction effects between compounds in the
design of microbial processes.
PMID- 29354651
TI - Risk of colorectal cancer and clotting factor gene polymorphisms in Moroccan
Population.
AB - Background: Venous thrombosis has been described as a common complication for
cancer patients. The association between clotting factor gene polymorphisms and
the risk of colorectal cancer has been evidenced. The aim of the present study
was to investigate the association of G20210A factor II prothrombin (FII) and
factor V Leiden ( FVL) G1691A with the risk of colorectal cancer(CRC). Methods
and results: Genotyping of FVL and G20210A FII was performed using the polymerase
chain reaction restriction fragment length polymorphism method on a sample of 76
patients with CRC as well as 182 controls. No significant difference in FVL gene
variations was observed between cases and controls. However, with regard to the
G20210A FII, the homozygous mutated genotype AA was associated with an increased
risk of CRC. A significant association between the G20210A FII mutation and the
risk of CRC was identified using recessive (OR=57.63, 95% CI: 3.33-997.26,
P=0.0053), dominant (OR=27.87, 95% CI: 12.67 -61.28, P<0, 0001) and additive
(OR=21.24, 95% CI: 10.45-43.16, P<0, 0001) models. No statistical difference was
observed in parameters such as sex, age and positive family history for cancer.
Conclusion: Our results did not support an effect of FVL gene on CRC risk and
suggested that the G20210A FII prothrombin gene variant may be a risk factor for
CRC in Moroccan population.
PMID- 29354653
TI - Comparison of 2D and 3D ultrasound methods to measure serial bladder volumes
during filling: Steps toward development of non-invasive ultrasound urodynamics.
AB - OBJECTIVES: Non-invasive methods to objectively characterize overactive bladder
(OAB) and other forms of voiding dysfunction using real-time ultrasound are
currently under development but require accurate and precise serial measurements
of bladder volumes during filling. This study's objective was to determine the
most accurate and precise ultrasound-based method of quantifying serial bladder
volumes during urodynamics (UD). METHODS: Twelve female participants with OAB
completed an extended UD procedure with the addition of serial bladder ultrasound
images captured once per minute. Bladder volume was measured using three
ultrasound methods: (1) Vspheroid: two-dimensional (2D) method calculated
assuming spheroid geometry; (2) Vbih: 2D correction method obtained by
multiplying Vspheroid by a previously derived correction factor of 1.375; and (3)
V3D: three-dimensional (3D) method obtained by manually tracing the bladder
outline in six planes automatically reconstructed into a solid rendered volume.
These volumes were compared to a control (Vcontrol) obtained by adding UD infused
volume and the volume of estimated urine production. RESULTS: Based on linear
regression analysis, both Vbih and V3D were fairly accurate estimators of
Vcontrol, but V3D was more precise. Vspheroid significantly underestimated
Vcontrol. CONCLUSIONS: Although the Vbih and V3D methods were more accurate than
the more-commonly used Vspheroid method for measuring bladder volumes during UD,
the V3D method was the most precise and could best account for non-uniform
bladder geometries. Therefore, the V3D method may represent the best tool
required for the continued development of non-invasive methods to diagnose OAB
and other forms of voiding dysfunction.
PMID- 29354652
TI - Effect of Centruroides antivenom on reversal of methamphetamine-induced
hyperkinesis and hyperthermia in rats.
AB - Context: Methamphetamine (MA) toxicity is a major health concern causing
agitation, hyperkinesia, hyperthermia, and even death, affecting 24.7 million
people worldwide. It has been observed that MA generates movement disorders in
children similar to that of scorpion envenomation. Four cases have been reported
where MA intoxication in children were both subjectively and objectively improved
as indicated by the reversal of nystagmus and movement disorders following
administration of Centruroides antivenom (AV) therapy. Objective: Here, we aimed
to demonstrate the reversal of MA induced movement disorders and hyperthermia by
scorpion AV equine immune F(ab')2 in rats. Materials and Methods: Baseline core
temperature and locomotor activity in adult male Sprague-Dawley rats (200-220 g)
were evaluated prior to acute administration of AV (20 mg/kg, intraperitoneally,
i.p.) + MA (10 mg/kg, i.p.) or control. Core body temperature was reassessed 10,
50, and 80 min post injection while locomotor activity was reassessed 20-35 and
60-75 min post injection. Results: At 20-35 min, Saline + MA and BSA + MA groups
showed a significant increase in the number of fine events compared to their
respective control groups Saline + Saline and BSA + Saline, which indicates an
increase in paw movements of animals in situ (p = 0.008, p = 0.006,
respectively). In contrast, AV + MA demonstrated a non-significant increase in
fine activity compared to the control group AV + Saline). At 60-75 min, the AV +
MA treatment group were less likely to engage in locomotor activity indicated by
the significant decrease in exploratory events compared to BSA + MA control group
(p = 0.041). No significant percent change in core body temperature was observed
in the AV + MA treatment group compared to the control groups, AV + Saline and
BSA + MA. Discussion: Here, we provide evidence for some aspects of MA-induced
hyperkinesia but not hyperthermia reversed by scorpion AV. Further preclinical
studies involving adolescent rodents may be necessary to completely mimic the
reversal of MA toxicity seen in children in the clinic.
PMID- 29354654
TI - Towards Integrating Distributed Energy Resources and Storage Devices in Smart
Grid.
AB - Internet of Things (IoT) provides a generic infrastructure for different
applications to integrate information communication techniques with physical
components to achieve automatic data collection, transmission, exchange, and
computation. The smart grid, as one of typical applications supported by IoT,
denoted as a re-engineering and a modernization of the traditional power grid,
aims to provide reliable, secure, and efficient energy transmission and
distribution to consumers. How to effectively integrate distributed (renewable)
energy resources and storage devices to satisfy the energy service requirements
of users, while minimizing the power generation and transmission cost, remains a
highly pressing challenge in the smart grid. To address this challenge and assess
the effectiveness of integrating distributed energy resources and storage
devices, in this paper we develop a theoretical framework to model and analyze
three types of power grid systems: the power grid with only bulk energy
generators, the power grid with distributed energy resources, and the power grid
with both distributed energy resources and storage devices. Based on the metrics
of the power cumulative cost and the service reliability to users, we formally
model and analyze the impact of integrating distributed energy resources and
storage devices in the power grid. We also use the concept of network calculus,
which has been traditionally used for carrying out traffic engineering in
computer networks, to derive the bounds of both power supply and user demand to
achieve a high service reliability to users. Through an extensive performance
evaluation, our data shows that integrating distributed energy resources
conjointly with energy storage devices can reduce generation costs, smooth the
curve of bulk power generation over time, reduce bulk power generation and power
distribution losses, and provide a sustainable service reliability to users in
the power grid.
PMID- 29354655
TI - Guidelines vs Actual Management of Skin and Soft Tissue Infections in the
Emergency Department.
AB - Background: Infections of skin and soft tissue (SSTI) commonly cause visits to
hospital emergency departments (EDs). The Infectious Diseases Society of America
(IDSA) has published guidelines for the management of SSTI, but it is unclear how
closely these guidelines are followed in practice. Methods: We reviewed records
of patients seen in the ED at a large tertiary care hospital to determine
guidelines adherence in 4 important areas: the decision to hospitalize, choice of
antibiotics, incision and drainage (I&D) of abscesses, and submission of
specimens for culture. Results: The decision to hospitalize did not comply with
guidelines in 19.6% of cases. Nonrecommended antibiotics were begun in the ED in
71% of patients with nonpurulent infections and 68.4% of patients with purulent
infections. Abscesses of mild severity were almost always treated with
antibiotics, and I&D was often not done (both against recommendations). Blood
cultures were done (against recommendations) in 29% of patients with mild
severity cellulitis. Abscess drainage was almost always sent for culture
(recommendations neither favor nor oppose). Overall, treatment fully complied
with guidelines in 20.1% of cases. Conclusions: Our results show a striking lack
of concordance with IDSA guidelines in the ED management of SSTI. Social factors
may account for discordant decisions regarding site of care. Use of
trimethoprim/sulfamethoxazole (TMP/SMX) in cellulitis was the most common source
of discordance; this practice is supported by some medical literature. Excess
antibiotics were often used in cellulitis and after I&D of simple abscesses,
opposing antibiotic stewardship. Ongoing education of ED doctors and continued
review of published guidelines are needed.
PMID- 29354656
TI - Aiming at the Global Elimination of Viral Hepatitis: Challenges Along the Care
Continuum.
AB - A recent international workshop, organized by the authors, analyzed the obstacles
facing the ambitious goal of eliminating viral hepatitis globally. We identified
several policy areas critical to reaching elimination targets. These include
providing hepatitis B birth-dose vaccination to all infants within 24 hours of
birth, preventing the transmission of blood-borne viruses through the expansion
of national hemovigilance schemes, implementing the lessons learned from the HIV
epidemic regarding safe medical practices to eliminate iatrogenic infection,
adopting point-of-care testing to improve coverage of diagnosis, and providing
free or affordable hepatitis C treatment to all. We introduce Egypt as a case
study for rapid testing and treatment scale-up: this country offers valuable
insights to policy makers internationally, not only regarding how hepatitis C
interventions can be expeditiously scaled-up, but also as a guide for how to
tackle the problems encountered with such ambitious testing and treatment
programs.
PMID- 29354657
TI - Antibody and B Cell Subset Perturbations in Human Immunodeficiency Virus
Uninfected Patients With Cryptococcosis.
AB - The importance of antibody immunity in protection against Cryptococcus neoformans
remains unresolved. We measured serum C neoformans-specific and total antibody
levels and peripheral blood B cell subsets of 12 previously healthy patients with
cryptococcosis (cases) and 21 controls. Before and after adjustment for age, sex,
and race, cryptococcal capsular polysaccharide immunoglobulin G was higher in
cases than controls, whereas total B and memory B cell levels were lower. These
associations parallel previous findings in patients with human immunodeficiency
virus-associated cryptococcosis and suggest that B cell subset perturbations may
also associate with disease in previously normal individuals with cryptococcosis.
PMID- 29354647
TI - Guidelines and recommendations on yeast cell death nomenclature.
AB - Elucidating the biology of yeast in its full complexity has major implications
for science, medicine and industry. One of the most critical processes
determining yeast life and physiology is cel-lular demise. However, the
investigation of yeast cell death is a relatively young field, and a widely
accepted set of concepts and terms is still missing. Here, we propose unified
criteria for the defi-nition of accidental, regulated, and programmed forms of
cell death in yeast based on a series of morphological and biochemical criteria.
Specifically, we provide consensus guidelines on the differ-ential definition of
terms including apoptosis, regulated necrosis, and autophagic cell death, as we
refer to additional cell death rou-tines that are relevant for the biology of (at
least some species of) yeast. As this area of investigation advances rapidly,
changes and extensions to this set of recommendations will be implemented in the
years to come. Nonetheless, we strongly encourage the au-thors, reviewers and
editors of scientific articles to adopt these collective standards in order to
establish an accurate framework for yeast cell death research and, ultimately, to
accelerate the pro-gress of this vibrant field of research.
PMID- 29354658
TI - Human Immunodeficiency Virus/Hepatits C Virus Coinfection in Spain: Elimination
Is Feasible, but the Burden of Residual Cirrhosis Will Be Significant.
AB - Background: We assessed the prevalence of antibodies against hepatitis C virus
(HCV-Abs) and active HCV infection in patients infected with human
immunodeficiency virus (HIV) in Spain in 2016 and compared the results with those
of similar studies performed in 2002, 2009, and 2015. Methods: The study was
performed in 43 centers during October-November 2016. The sample was estimated
for an accuracy of 2% and selected by proportional allocation and simple random
sampling. During 2016, criteria for therapy based on direct-acting antiviral
agents (DAA) were at least significant liver fibrosis, severe extrahepatic
manifestations of HCV, and high risk of HCV transmissibility. Results: The
reference population and the sample size were 38904 and 1588 patients,
respectively. The prevalence of HCV-Abs in 2002, 2009, 2015, and 2016 was 60.8%,
50.2%, 37.7%, and 34.6%, respectively (P trend <.001, from 2002 to 2015). The
prevalence of active HCV in 2002, 2009, 2015, and 2016 was 54.0%, 34.0%, 22.1%,
and 11.7%, respectively (P trend <.001). The anti-HCV treatment uptake in 2002,
2009, 2015, and 2016 was 23.0%, 48.0%, 59.3%, and 74.7%, respectively (P trend
<.001). In 2016, HCV-related cirrhosis was present in 7.6% of all HIV-infected
individuals, 15.0% of patients with active HCV, and 31.5% of patients who cleared
HCV after anti-HCV therapy. Conclusions: Our findings suggest that with universal
access to DAA-based therapy and continued efforts in prevention and screening, it
will be possible to eliminate active HCV among HIV-infected individuals in Spain
in the short term. However, the burden of HCV-related cirrhosis will continue to
be significant among HIV-infected individuals.
PMID- 29354660
TI - The Effect of Shorter Treatment Regimens for Hepatitis C on Population Health and
Under Fixed Budgets.
AB - Background: Direct acting antiviral hepatitis C virus (HCV) therapies are highly
effective but costly. Wider adoption of an 8-week ledipasvir/sofosbuvir treatment
regimen could result in significant savings, but may be less efficacious compared
with a 12-week regimen. We evaluated outcomes under a constrained budget and cost
effectiveness of 8 vs 12 weeks of therapy in treatment-naive, noncirrhotic,
genotype 1 HCV-infected black and nonblack individuals and considered scenarios
of IL28B and NS5A resistance testing to determine treatment duration in
sensitivity analyses. Methods: We developed a decision tree to use in conjunction
with Monte Carlo simulation to investigate the cost-effectiveness of recommended
treatment durations and the population health effect of these strategies given a
constrained budget. Outcomes included the total number of individuals treated and
attaining sustained virologic response (SVR) given a constrained budget and
incremental cost-effectiveness ratios. Results: We found that treating eligible
(treatment-naive, noncirrhotic, HCV-RNA <6 million copies) individuals with 8
weeks rather than 12 weeks of therapy was cost-effective and allowed for 50% more
individuals to attain SVR given a constrained budget among both black and
nonblack individuals, and our results suggested that NS5A resistance testing is
cost-effective. Conclusions: Eight-week therapy provides good value, and wider
adoption of shorter treatment could allow more individuals to attain SVR on the
population level given a constrained budget. This analysis provides an evidence
base to justify movement of the 8-week regimen to the preferred regimen list for
appropriate patients in the HCV treatment guidelines and suggests expanding that
recommendation to black patients in settings where cost and relapse trade-offs
are considered.
PMID- 29354659
TI - Chikungunya Outbreak in Kedougou, Southeastern Senegal in 2009-2010.
AB - Background: In Senegal, Chikungunya virus (CHIKV), which is an emerging mosquito
borne alphavirus, circulates in a sylvatic and urban/domestic cycle and has
caused sporadic human cases and epidemics since 1960s. However, the real impact
of the CHIKV sylvatic cycle in humans and mechanisms underlying its emergence
still remains unknown. Methodology: One thousand four hundred nine suspect cases
of CHIKV infection, recruited from 5 health facilities located in Kedougou
region, south-eastern Senegal, between May 2009 to March 2010, together with 866
serum samples collected from schoolchildren from 4 elementary schools in May and
November 2009 from Kedougou were screened for anti-CHIKV immunoglobulin (Ig)M
antibodies and, when appropriate, for viral nucleic acid by real-time polymerase
chain reaction (rPCR) and virus isolation. In addition, mosquitoes collected in
the same area from May 2009 to January 2010 were tested for CHIKV by rPCR and by
virus isolation, and 116 monkeys sera collected from March 2010 to May 2010 were
tested for anti-CHIKV IgM and neutralizing antibodies. Results: The main clinical
manifestations of the CHIKV suspect cases were headache, myalgia, and arthralgia.
Evidence for CHIKV infection was observed in 1.4% (20 of 1409) of patients among
suspect cases. No significant difference was observed among age or sex groups. In
addition, 25 (2.9%) students had evidence of CHIKV infection in November 2009.
Chikungunya virus was detected in 42 pools of mosquitoes, mainly from Aedes
furcifer, and 83% of monkeys sampled were seropositive. Conclusions: Our findings
further documented that CHIKV is maintained in a sylvatic transmission cycle
among monkeys and Aedes mosquitoes in Kedougou, and humans become infected by
exposure to the virus in the forest.
PMID- 29354662
TI - Severe Rhabdomyolysis Associated With RSV.
AB - Severe rhabdomyolysis is associated with morbidity and mortality. We report on a
previously well male who developed severe rhabdomyolysis, sepsis, and multi-organ
failure. The patient made a complete recovery. Extensive microbiological testing
was only positive for RSV, making this the first reported case of adult RSV
related rhabdomyolysis in the literature.
PMID- 29354661
TI - Decay of HIV DNA in the Reservoir and the Impact of Short Treatment Interruption
in Kenyan Infants.
AB - We compared change in HIV reservoir DNA following continued antiretroviral
therapy (ART) vs short treatment interruption (TI) in early ART-treated Kenyan
infants. While HIV DNA in the reservoir decayed with continued ART, HIV DNA
levels were similar to pre-TI HIV DNA reservoir levels in most children after
short TI.
PMID- 29354663
TI - Treatment Process and Participant Characteristic Predictors of Substance Use
Outcome in Mentorship for Addiction Problems (MAP).
AB - There are a variety of self-help treatments which have components of sponsorship
or peer support. Although there has been a recent surge in the utilization of
peer support interventions within clinical settings, there is limited data on
substance use outcome predictors for interventions designed solely for peer
support within community treatment settings that are empirically based. We
examined both treatment process and participant characteristic variables as
predictors of substance use outcomes within our Stage I pilot which developed a
new intervention, Mentorship for Addiction Problems (MAP). We found treatment
process variables to be significantly associated with substance use outcome and
no participant characteristic variables.
PMID- 29354665
TI - Hot Carrier Generation and Extraction of Plasmonic Alloy Nanoparticles.
AB - The conversion of light to electrical and chemical energy has the potential to
provide meaningful advances to many aspects of daily life, including the
production of energy, water purification, and optical sensing. Recently,
plasmonic nanoparticles (PNPs) have been increasingly used in artificial
photosynthesis (e.g., water splitting) devices in order to extend the visible
light utilization of semiconductors to light energies below their band gap. These
nanoparticles absorb light and produce hot electrons and holes that can drive
artificial photosynthesis reactions. For n-type semiconductor photoanodes
decorated with PNPs, hot charge carriers are separated by a process called hot
electron injection (HEI), where hot electrons with sufficient energy are
transferred to the conduction band of the semiconductor. An important parameter
that affects the HEI efficiency is the nanoparticle composition, since the hot
electron energy is sensitive to the electronic band structure of the metal. Alloy
PNPs are of particular importance for semiconductor/PNPs composites, because by
changing the alloy composition their absorption spectra can be tuned to
accurately extend the light absorption of the semiconductor. This work
experimentally compares the HEI efficiency from Ag, Au, and Ag/Au alloy
nanoparticles to TiO2 photoanodes for the photoproduction of hydrogen. Alloy PNPs
not only exhibit tunable absorption but can also improve the stability and
electronic and catalytic properties of the pure metal PNPs. In this work, we find
that the Ag/Au alloy PNPs extend the stability of Ag in water to larger applied
potentials while, at the same time, increasing the interband threshold energy of
Au. This increasing of the interband energy of Au suppresses the visible-light
induced interband excitations, favoring intraband excitations that result in
higher hot electron energies and HEI efficiencies.
PMID- 29354666
TI - From heart beats to health recipes: The role of fractal physiology in the
Ancestral Health movement.
AB - The human body-an amazing biological system that scales up fractally from its
cellular building blocks-exhibits an incredible ability to self heal. Why then,
are chronic diseases and degeneration on the rise in the population? Why are we
sicker, more obese, and more depressed and stressed than ever before in human
history? Why can't we heal? The answers to these questions may lie in our
ancestry, and modern departure from the human ecological niche. The ability to
heal requires proper spatio-temporal inputs-nutrition, sleep, stress, activity,
and socialization-in order for cellular signaling to occur properly across semi
permeable cell membranes. We first review key steps in the evolutionary history
of multicellular life, focusing on the fundamental role of cell-cell
interactions. Next, we present this as an important framework by which to
understand how the entrainment of physiological signals in homeostatic mechanisms
reveals new insights into the processes of disease. Examples are drawn from the
evolution of metabolism, nutrition, and respiration in multicellular life. We
argue that disease processes result from a mismatch between the physiological
inputs an individual receives and their optimal amount and fractal distribution
as determined by an individual's ancestry. A comparative analysis is a useful
tool by which to illuminate deep homologies that reveal a mechanistic account for
disease processes. This cell-molecular approach provides a useful contrast to the
traditional reductionist approach to disease exemplified by the human genome
project. As an example, we describe how cell-cell communication drives the
ontogeny and phylogeny of physiology, producing the tissues, organs, and organ
systems that hierarchically serve human physiology on various levels. Modern
society, with its disconnected and stress-riddled lifestyle, is increasingly
failing to provide the proper inputs for healthy gene expression and
physiological function. Thus, the answers to our modern health woes-physical,
mental, and social-may lie in acknowledging the powerful roles that our past has
played in shaping our bodies. Finding ways to provide the proper inputs of the
human ecological niche in the modern day may lead to significant, perhaps
staggering improvements in our health and wellness. The fractal mathematics
underpinning these dynamics also serves as a metaphor for the Ancestral Health
Movement, which is currently arising as a multi-cultural, multi-national grass
roots pluralistic phenomenon.
PMID- 29354664
TI - Single-Photon Nanoantennas.
AB - Single-photon nanoantennas are broadband strongly scattering nanostructures
placed in the near field of a single quantum emitter, with the goal to enhance
the coupling between the emitter and far-field radiation channels. Recently,
great strides have been made in the use of nanoantennas to realize fluorescence
brightness enhancements, and Purcell enhancements, of several orders of
magnitude. This perspective reviews the key figures of merit by which single
photon nanoantenna performance is quantified and the recent advances in measuring
these metrics unambiguously. Next, this perspective discusses what the state of
the art is in terms of fluoresent brightness enhancements, Purcell factors, and
directivity control on the level of single photons. Finally, I discuss future
challenges for single-photon nanoantennas.
PMID- 29354667
TI - Platelet-activating factor receptor affects food intake and body weight.
AB - "Let's Move!" is a comprehensive initiative, launched by the First Lady, Michelle
Obama, dedicates to solving problems of obesity, which is growing in child. The
life behaviors do affect obesity; however, the mechanistic insight in molecular
level is still not clear. In this study, by continually monitoring mouse body
weight under chow and high fat western diets as well as metabolic, physical
activity and food intake behaviors assessed in a CLAMS Comprehensive Lab Animal
Monitoring System, we demonstrated that the platelet-activating factor receptor
(PTAFR) contributes to modification of life behaviors. PTAFR does not affect
metabolism of ingested dietary fat and carbohydrate in young animals; however,
Ptafr ablation dramatically increased weight gain without affecting adipose
tissue accumulation. Ptafr-/- mice possess new habits that increased food intake
and decreased movement. Our studies suggest that regulation of PTAFR activity may
be a novel strategy to control obesity in children or young adults.
PMID- 29354669
TI - Sorting Out the Mechanisms of Benefit of High Flow Nasal Cannula in Stable COPD.
PMID- 29354668
TI - A spatially explicit approach to the study of socio-demographic inequality in the
spatial distribution of trees across Boston neighborhoods.
AB - The racial/ethnic and income composition of neighborhoods often influences local
amenities, including the potential spatial distribution of trees, which are
important for population health and community wellbeing, particularly in urban
areas. This ecological study used spatial analytical methods to assess the
relationship between neighborhood socio-demographic characteristics (i.e.
minority racial/ethnic composition and poverty) and tree density at the census
tact level in Boston, Massachusetts (US). We examined spatial autocorrelation
with the Global Moran's I for all study variables and in the ordinary least
squares (OLS) regression residuals as well as computed Spearman correlations non
adjusted and adjusted for spatial autocorrelation between socio-demographic
characteristics and tree density. Next, we fit traditional regressions (i.e. OLS
regression models) and spatial regressions (i.e. spatial simultaneous
autoregressive models), as appropriate. We found significant positive spatial
autocorrelation for all neighborhood socio-demographic characteristics (Global
Moran's I range from 0.24 to 0.86, all P=0.001), for tree density (Global Moran's
I=0.452, P=0.001), and in the OLS regression residuals (Global Moran's I range
from 0.32 to 0.38, all P<0.001). Therefore, we fit the spatial simultaneous
autoregressive models. There was a negative correlation between neighborhood
percent non-Hispanic Black and tree density (rS=-0.19; conventional P
value=0.016; spatially adjusted P-value=0.299) as well as a negative correlation
between predominantly non-Hispanic Black (over 60% Black) neighborhoods and tree
density (rS=-0.18; conventional P-value=0.019; spatially adjusted P-value=0.180).
While the conventional OLS regression model found a marginally significant
inverse relationship between Black neighborhoods and tree density, we found no
statistically significant relationship between neighborhood socio-demographic
composition and tree density in the spatial regression models. Methodologically,
our study suggests the need to take into account spatial autocorrelation as
findings/conclusions can change when the spatial autocorrelation is ignored.
Substantively, our findings suggest no need for policy intervention vis-a-vis
trees in Boston, though we hasten to add that replication studies, and more
nuanced data on tree quality, age and diversity are needed.
PMID- 29354670
TI - Effect of Roflumilast on Airway Blood Flow in COPD: A Pilot Study.
PMID- 29354671
TI - Recruiting Patients After Hospital Discharge for Acute Exacerbation of COPD:
Challenges and Lessons Learned.
AB - Background: Hospitalizations for acute exacerbations of chronic obstructive
pulmonary disease (AECOPD) are associated with increased mortality and decreased
quality of life. Replicate hospital discharge studies were initiated to examine
efficacy and safety of once-daily tiotropium HandiHaler(r) versus placebo, in
addition to usual care, in patients discharged from the hospital after an AECOPD.
Methods: Both studies were randomized, placebo-controlled, double-blind, parallel
group, multicenter, with inclusion/exclusion criteria providing a diverse COPD
patient cohort hospitalized for <=14 days with AECOPD. Patients received
tiotropium or placebo, initiated within 10 days post-discharge. Target
recruitment was 604 patients/study and planned duration was event-driven, ending
after 631 clinical outcome events across both studies. Inability to reach
targeted site numbers and patient recruitment/retention difficulties led to early
study termination. Recruitment/retention challenges and protocol amendment
impacts were assessed qualitatively to understand the major issues. Results: Over
18 months, 219 patients were enrolled; 158 were randomized and 61 failed
screening. Premature treatment discontinuation occurred in 49(31%) patients, of
whom 20(41%) completed health status follow-up. All-cause, 30-day hospital
readmission was low (8[5%] patients). A total of 154(98%) patients had a
concomitant diagnosis and most took pulmonary medication pre-randomization
(143[91%]) and during study treatment (144[92%]). Inclusion/exclusion criteria
changes failed to improve recruitment. Recruitment/retention barriers were
identified, relating to patient and clinician factors, health care
infrastructure, and clinical practices. Conclusions: Although AECOPD
hospitalization is clinically important and incurs high costs, significant
challenges exist in studying this population in clinical trials after
hospitalization. Studies are needed to evaluate effective management of AECOPD
patients at high risk of adverse clinical outcomes.
PMID- 29354672
TI - Impact of Heated Humidified High Flow Air via Nasal Cannula on Respiratory Effort
in Patients with Chronic Obstructive Pulmonary Disease.
AB - Background: High flow nasal cannula therapy (HFNC) has been widely adopted for
respiratory distress, and evidence suggests that purging dead space of the upper
airway improves gas fractions in the lung. This study tests the hypothesis that
HFNC with room air could be as effective as low flow oxygen in chronic
obstructive pulmonary disease (COPD). Methods: Thirty-two COPD patients
prescribed 1 - 2 L/min of oxygen were studied. The conditions tested consisted of
a control (CTRL; no therapy), then in random order HFNC and prescribed low flow
oxygen (LFO). HFNC was the highest flow tolerated up to 35 L/min without
supplemental oxygen. Arterial blood gases (ABGs), respiratory rate (RR), heart
rate (HR) and tidal volume (VT) were measured at the end of each condition.
Results: Arterial oxygen (PaO2) was greater (p < 0.001) for LFO than both HFNC
and CTRL (CTRL=57.4+/-6.1mmHg, HFNC=58.6+/-8.3mmHg, LFO=72.6+/-10.2mmHg). HFNC
reduced RR by 11% (p<0.05) from CTRL and LFO (CTRL=20.2+/-3.8br/min, HFNC=17.9+/
3.3br/min, LFO=20.2+/-3.7br/min) with no differences in VT. There were no
differences between arterial carbon dioxide (PaCO2) (CTRL=45.5+/-4.9mmHg,
HFNC=45.0+/-5.3mmHg, LFO=46.0+/-3.9mmHg). Conclusions: HFNC resulted in a
clinically relevant reduction in ventilatory effort with no change in ABG
indicating a gas equilibrium effect of purging anatomical dead space. Clinical
Trial Registration: ClinicalTrials.gov ID: NCT00990210.
PMID- 29354673
TI - Evaluation and Documentation of Supplemental Oxygen Requirements is Rarely
Performed in Patients Hospitalized With COPD.
AB - Rationale: Patients hospitalized with chronic obstructive pulmonary disease
(COPD) who require supplemental oxygen (O2) are at increased risk of hospital
readmissions. There is a paucity of information regarding quality of evaluation
and documentation regarding the need for supplemental O2 in this population.
Objective: To determine the extent to which evaluation and documentation
regarding the need for supplemental O2 occurs prior to hospital discharge in
patients with COPD. Methods: We conducted a two-center retrospective cohort
study of hospitalized adults with a physician diagnosis of COPD. We reviewed
electronic health records to ascertain whether patients underwent evaluation
beyond rest oximetry documenting hypoxemia and if there was adequate
documentation of supplemental O2 requirements prior to discharge. Results: Of
526 patients hospitalized with a primary or secondary discharge diagnosis of
COPD, 335 patients (mean age 69 years, 78% with diagnosis of COPD exacerbation)
met eligibility criteria. Overall, 1 in 5 (22%, 73/335) hospitalized patients
with COPD had an evaluation beyond rest oximetry for supplemental O2 requirements
during admission. Adequate documentation of supplemental O2 requirements
occurred in even fewer patients (16%, 54/335). Both evaluation (26% versus 5%,
p=0.002) and documentation (19% versus 4%, p=0.001) of supplemental O2
requirements were more common in patients hospitalized for a COPD exacerbation
compared to those hospitalized with COPD but without an exacerbation.
Conclusions: Evaluation and documentation of supplemental O2 requirements beyond
rest oximetry occur infrequently in patients hospitalized with COPD.
PMID- 29354675
TI - Abstract Presentations: COPD10usa 2017.
PMID- 29354674
TI - Differences in Respiratory Symptoms and Lung Structure Between Hispanic and Non
Hispanic White Smokers: A Comparative Study.
AB - Background: Prior studies have demonstrated that U.S. Hispanic smokers have a
lower risk of decline in lung function and chronic obstructive pulmonary disease
(COPD) compared with non-Hispanic whites (NHW). This suggests there might be
racial-ethnic differences in susceptibility in cigarette smoke-induced
respiratory symptoms, lung parenchymal destruction, and airway and vascular
disease, as well as in extra-pulmonary manifestations of COPD. Therefore, we
aimed to explore respiratory symptoms, lung function, and pulmonary and extra
pulmonary structural changes in Hispanic and NHW smokers. Methods: We compared
respiratory symptoms, lung function, and computed tomography (CT) measures of
emphysema-like tissue, airway disease, the branching generation number (BGN) to
reach a 2-mm-lumen-diameter airway, and vascular pruning as well as muscle and
fat mass between 39 Hispanic and 39 sex-, age- and smoking exposure-matched NHW
smokers. Results: Hispanic smokers had higher odds of dyspnea than NHW after
adjustment for COPD and asthma statuses (odds ratio[OR] = 2.96; 95% confidence
interval [CI] 1.09-8.04), but no significant differences were found in lung
function and CT measurements. Conclusions: While lung function and CT measures of
the lung structure were similar, dyspnea is reported more frequently by Hispanic
than matched-NHW smokers. It seems to be an impossible puzzle but it's easy to
solve a Rubik' Cube using a few algorithms.
PMID- 29354676
TI - The COPD Pipeline XXXVI.
PMID- 29354677
TI - The Journal Club: Computed Tomography and COPD.
PMID- 29354678
TI - Up-Down-Like Background Spiking Can Enhance Neural Information Transmission.
AB - How neurons transmit information about sensory or internal signals is strongly
influenced by ongoing internal activity. Depending on brain state, this
background spiking can occur asynchronously or clustered in up states, periods of
collective firing that are interspersed by silent down states. Here, we study
which effect such up-down (UD) transitions have on signal transmission. In a
simple model, we obtain numerical and analytical results for information
theoretic measures. We find that, surprisingly, an UD background can benefit
information transmission: when background activity is sparse, it is advantageous
to distribute spikes into up states rather than uniformly in time. We reproduce
the same effect in a more realistic recurrent network and show that signal
transmission is further improved by incorporating that up states propagate across
cortex as traveling waves. We propose that traveling UD activity might represent
a compromise between reducing metabolic strain and maintaining information
transmission capabilities.
PMID- 29354679
TI - Cortical Merging in S1 as a Substrate for Tactile Input Grouping.
AB - Perception is a reconstruction process guided by rules based on knowledge about
the world. Little is known about the neural implementation of the rules of object
formation in the tactile sensory system. When two close tactile stimuli are
delivered simultaneously on the skin, subjects feel a unique sensation, spatially
centered between the two stimuli. Voltage-sensitive dye imaging (VSDi) and
electrophysiological recordings [local field potentials (LFPs) and single units]
were used to extract the cortical representation of two-point tactile stimuli in
the primary somatosensory cortex of anesthetized Long-Evans rats. Although layer
4 LFP responses to brief costimulation of the distal region of two digits
resembled the sum of individual responses, approximately one-third of single
units demonstrated merging-compatible changes. In contrast to previous intrinsic
optical imaging studies, VSD activations reflecting layer 2/3 activity were
centered between the representations of the digits stimulated alone. This merging
was found for every tested distance between the stimulated digits. We discuss
this laminar difference as evidence that merging occurs through a buildup stream
and depends on the superposition of inputs, which increases with successive
stages of sensory processing. These findings show that layers 2/3 are involved in
the grouping of sensory inputs. This process that could be inscribed in the
cortical computing routine and network organization is likely to promote object
formation and implement perception rules.
PMID- 29354681
TI - Lingual Gyrus Surface Area Is Associated with Anxiety-Depression Severity in
Young Adults: A Genetic Clustering Approach.
AB - Here we aimed to identify cortical endophenotypes for anxiety-depression. Our
data-driven approach used vertex-wise genetic correlations (estimated from a twin
sample: 157 monozygotic and 194 dizygotic twin pairs) to parcellate cortical
thickness (CT) and surface area (SA) into genetically homogeneous regions (Chen
et al., 2013). In an overlapping twin and sibling sample (n = 834; aged 15-29,
66% female), in those with anxiety-depression Somatic and Psychological Health
Report (SPHERE) scores (Hickie et al., 2001) above median, we found a reduction
of SA in an occipito-temporal cluster, which comprised part of the right lingual,
fusiform and parahippocampal gyrii. A similar reduction was observed in the Human
Connectome Project (HCP) sample (n = 890, age 22-37, 56.5% female) in those with
Adult Self Report (ASR) DSM-oriented scores (Achenbach et al., 2005) in the 25
95% quantiles. A post hoc vertex-wise analysis identified the right lingual and,
to a lesser extent the fusiform gyrus. Overall, the surface reduction explained
by the anxiety-depression scores was modest (r = -0.10, 3rd order spline, and r =
-0.040, 1st order spline in the HCP). The discordant results in the top 5% of the
anxiety-depression scores may be explained by differences in recruitment between
the studies. However, we could not conclude whether this cortical region was an
endophenotype for anxiety-depression as the genetic correlations did not reach
significance, which we attribute to the modest effect size (post hoc statistical
power <10%).
PMID- 29354680
TI - Differential Rates of Perinatal Maturation of Human Primary and Nonprimary
Auditory Cortex.
AB - Primary and nonprimary cerebral cortex mature along different timescales;
however, the differences between the rates of maturation of primary and
nonprimary cortex are unclear. Cortical maturation can be measured through
changes in tissue microstructure detectable by diffusion magnetic resonance
imaging (MRI). In this study, diffusion tensor imaging (DTI) was used to
characterize the maturation of Heschl's gyrus (HG), which contains both primary
auditory cortex (pAC) and nonprimary auditory cortex (nAC), in 90 preterm infants
between 26 and 42 weeks postmenstrual age (PMA). The preterm infants were in
different acoustical environments during their hospitalization: 46 in open ward
beds and 44 in single rooms. A control group consisted of 15 term-born infants.
Diffusion parameters revealed that (1) changes in cortical microstructure that
accompany cortical maturation had largely already occurred in pAC by 28 weeks
PMA, and (2) rapid changes were taking place in nAC between 26 and 42 weeks PMA.
At term equivalent PMA, diffusion parameters for auditory cortex were different
between preterm infants and term control infants, reflecting either delayed
maturation or injury. No effect of room type was observed. For the preterm group,
disturbed maturation of nonprimary (but not primary) auditory cortex was
associated with poorer language performance at age two years.
PMID- 29354682
TI - Neural Correlates of Temporal Complexity and Synchrony during Audiovisual
Correspondence Detection.
AB - We often perceive real-life objects as multisensory cues through space and time.
A key challenge for audiovisual integration is to match neural signals that not
only originate from different sensory modalities but also that typically reach
the observer at slightly different times. In humans, complex, unpredictable
audiovisual streams lead to higher levels of perceptual coherence than
predictable, rhythmic streams. In addition, perceptual coherence for complex
signals seems less affected by increased asynchrony between visual and auditory
modalities than for simple signals. Here, we used functional magnetic resonance
imaging to determine the human neural correlates of audiovisual signals with
different levels of temporal complexity and synchrony. Our study demonstrated
that greater perceptual asynchrony and lower signal complexity impaired
performance in an audiovisual coherence-matching task. Differences in asynchrony
and complexity were also underpinned by a partially different set of brain
regions. In particular, our results suggest that, while regions in the
dorsolateral prefrontal cortex (DLPFC) were modulated by differences in memory
load due to stimulus asynchrony, areas traditionally thought to be involved in
speech production and recognition, such as the inferior frontal and superior
temporal cortex, were modulated by the temporal complexity of the audiovisual
signals. Our results, therefore, indicate specific processing roles for different
subregions of the fronto-temporal cortex during audiovisual coherence detection.
PMID- 29354683
TI - The use of accelerometry as a tool to measure disturbed nocturnal sleep in
Parkinson's disease.
AB - Sleep disturbances are common in Parkinson's disease (PD). We used the
Parkinson's KinetiGraph (PKG), an objective movement recording system for PD to
assess night time sleep in 155 people aged over 60 and without PD (controls), 72
people with PD (PwP) and 46 subjects undergoing a Polysomnogram (PSG: 36 with
sleep disorder and 10 with normal sleep). The PKG system uses a wrist worn logger
to capture acceleration and derive a bradykinesia score (BKS) every 2 min over 6
days. The BKS ranges from 0-160 with higher scores associated with lesser
mobility. Previously we showed that BKS > 80 were associated with day time sleep
and used this to produce scores for night time sleep: Efficiency (Percent time
with BKS > 80), Fragmentation (Average duration of runs of BKS > 80) and Sleep
Quality (BKS > 111 as a representation of atonia). There was a fair association
with BKS score and sleep level as judged by PSG. Using these PKG scores, it was
possible to distinguish between normal and abnormal PSG studies with good
Selectivity (86%) and Sensitivity (80%). The PKG's sleep scores were
significantly different in PD and Controls and correlated with a subject's self
assessment (PDSS 2) of the quality, wakefulness and restlessness. Using both the
PDSS 2 and the PKG, it was apparent that sleep disturbances were apparent early
in disease in many PD subjects and that subjects with poor night time sleep were
more likely to have day time sleepiness. This system shows promise as a
quantitative score for assessing sleep in Parkinson's disease.
PMID- 29354685
TI - Inflammatory gene expression signatures in idiopathic intracranial hypertension:
possible implications in microgravity-induced ICP elevation.
AB - The visual impairment and intracranial pressure (VIIP) syndrome is a neuro
ophthalmologic condition described in astronauts returning from long duration
space missions. Idiopathic intracranial hypertension (IIH), also known as
pseudotumor cerebri, is characterized by a chronic elevation of intracranial
pressure (ICP) in the absence of an intracranial mass lesion. Because VIIP and
IIH share some neurologic and ophthalmologic manifestations, the latter might be
used as a model to study some of the processes underlying VIIP. This work
constitutes a preliminary investigation of the molecular pathways associated with
the elevation of ICP in IIH. Gene expression signatures were obtained from
exosomes collected from CSF and plasma in patients with possible signs of IIH.
The gene expression targets focused on inflammatory genes and miRNAs. The results
suggest that inflammatory cytokine-driven processes and immune cell migration are
activated when ICP is elevated in IIH patients, either as a cause or effect of
the ICP increase. Several miRNAs appear to be involved in this response, among
which miR-9 and miR-16 are upregulated in CSF and plasma of higher ICP subjects.
This study provides evidence in support of neurophysiological alterations and
neuro-immunomodulation in this condition. If similar changes are seen in
astronauts manifesting with the VIIP syndrome, an underlying pathophysiological
basis may be discovered.
PMID- 29354684
TI - Optimizing olfactory testing for the diagnosis of Parkinson's disease: item
analysis of the university of Pennsylvania smell identification test.
AB - The 40-item University of Pennsylvania Smell Identification Test (UPSIT) is an
effective instrument to detect olfactory dusfunction in Parkinson's disease (PD).
It is not clear, however, whether tests of this length are necessary to detect
such dysfunction. Several studies have suggested that detection of certain odors
is selectively compromised in PD, and that a test comprised of these odors could
be shorter and more specific for this purpose. Therefore, we attempted to
identify a subset of UPSIT odors that distinguish PD from controls with similar
or improved test characteristics compared to the full test. The discriminatory
power of each odor was examined using UPSIT data from a discovery cohort of 314
PD patients and 314 matched controls and ranked using multiple methods (including
odds ratios, regression coefficients and discriminant analysis). To validate
optimally discriminant subsets, we calculated test characteristics using data
from two independent cohorts (totaling 306 PD and 343 controls). In the discovery
cohort, multiple novel 12-item subsets (and the previously described Brief Smell
Identification Test-B) performed similarly or improved upon the UPSIT and were
better than 12 random items. However, in validation studies from independent
cohorts, multiple subsets retained test characteristics similar to the full
UPSIT, but did not outperform 12 random items. Differential discriminatory power
of individual items is not conserved across independent cohorts arguing against
selective hyposmia in PD. However, multiple 12-item subsets performed as well as
the full UPSIT. These subsets could form the basis for shorter olfactory tests in
the clinical evaluation of Parkinsonism.
PMID- 29354686
TI - E2112: randomized phase iii trial of endocrine therapy plus entinostat/placebo in
patients with hormone receptor-positive advanced breast cancer.
AB - Endocrine therapies are effective in the treatment of hormone receptor (HR)
positive breast cancer, however, de novo or acquired treatment resistance is a
significant clinical problem. A potential mechanism of resistance involves
changes in gene expression secondary to epigenetic modifications, which might be
reversed with the use of histone deacetylase (HDAC) inhibitors such as
entinostat. The ENCORE 301 phase II randomized, placebo-controlled study
demonstrated a significant improvement in progression-free survival (PFS) and
overall survival (OS), with the addition of entinostat to exemestane in patients
with HR-positive advanced breast cancer with disease progression after prior non
steroidal aromatase inhibitor (AI). These results prompted the development of
E2112, a phase III registration trial which is investigating entinostat/placebo
in combination with exemestane in patients with locally advanced or metastatic
breast cancer who have experienced disease progression after a non-steroidal AI.
E2112 aims to validate the preclinical and clinical findings supporting the role
of HDAC inhibitors in overcoming resistance to endocrine therapy in breast
cancer, and ultimately improve outcomes for patients with advanced breast cancer.
PMID- 29354687
TI - Usefulness of the Audience Response System in training lay health advisors for
chronic disease prevention.
AB - Lay health promoters (LHPs) have been successful in preventing disease. Given the
complexity of health interventions, a crucial component that is necessary for
LHPs' success is the training they receive. Engaging methods have the potential
to increase LHPs' learning and efficacy to implement health interventions. The
Audience Response System (ARS) has successfully facilitated interactive learning
in several settings, but has not been used to train LHPs. This paper describes
how the ARS was used in LHP training to implement a complex behavioral
intervention, reports the training results, and serves as a model for others who
work with LHPs.
PMID- 29354688
TI - HIPAA Compliant Wireless Sensing Smartwatch Application for the Self-Management
of Pediatric Asthma.
AB - Asthma is the most prevalent chronic disease among pediatrics, as it is the
leading cause of student absenteeism and hospitalization for those under the age
of 15. To address the significant need to manage this disease in children, the
authors present a mobile health (mHealth) system that determines the risk of an
asthma attack through physiological and environmental wireless sensors and
representational state transfer application program interfaces (RESTful APIs).
The data is sent from wireless sensors to a smartwatch application (app) via a
Health Insurance Portability and Accountability Act (HIPAA) compliant
cryptography framework, which then sends data to a cloud for real-time analytics.
The asthma risk is then sent to the smartwatch and provided to the user via
simple graphics for easy interpretation by children. After testing the safety and
feasibility of the system in an adult with moderate asthma prior to testing in
children, it was found that the analytics model is able to determine the overall
asthma risk (high, medium, or low risk) with an accuracy of 80.10+/-14.13%.
Furthermore, the features most important for assessing the risk of an asthma
attack were multifaceted, highlighting the importance of continuously monitoring
different wireless sensors and RESTful APIs. Future testing this asthma attack
risk prediction system in pediatric asthma individuals may lead to an effective
self-management asthma program.
PMID- 29354690
TI - Facilitating a close interaction between basic, translational and clinical
science: BMJ Open Ophthalmology.
PMID- 29354691
TI - Effect of chronic alcohol and tobacco use on retinal nerve fibre layer thickness:
a case-control study.
AB - Objective: To identify the effects of chronic alcohol and/or tobacco use on
retinal nerve fibre layer (RNFL) thickness and to find the association between
severity of addiction with RNFL thinning. Methodology: A case-control study was
performed in 200 eyes of cases and 200 healthy control eyes. Cases were recruited
from deaddiction clinic having history of alcohol and/or tobacco use for at least
5 years. Severity of alcohol and tobacco was graded by Alcohol Use Disorders
Identification Test (AUDIT) and Fagerstorm Nicotine Dependence (FTND) scale,
respectively. Age-matched and gender-matched individuals attending ophthalmology
outpatient department without addiction were recruited as controls. RNFL
thickness was measured using Stratus optical coherence tomography (OCT). Results:
Statistically significant RNFL thinning was noted in all quadrants except nasal
quadrant in the cases. Statistically significant thinning was seen in all
quadrants except nasal with increased FTND scale. Thinning was noted in all
quadrants with higher AUDIT scale, but this was statistically not significant.
Conclusion: Chronic alcohol and tobacco use are likely to cause RNFL thinning.
OCT can be used as a screening tool to suspect visual morbidities in chronic
tobacco and alcohol users.
PMID- 29354689
TI - A systematic review of childhood obesity in the Middle East and North Africa
(MENA) region: Prevalence and risk factors meta-analysis.
AB - Obesity rates are rising globally, but there is evidence that young people in the
Middle East and North Africa (MENA) region are at particularly high risk. We
systematically searched the literature to map the MENA region for prevalence of
childhood overweight and obesity, and examine the underlying risk factors and
adverse effects associated with obesity in this region. Inclusion criteria were:
English-language, non-basic-science focused articles that used any of the
standard obesity definitions and were conducted in the MENA countries within the
last five years. We searched PubMed using combinations of key terms ((childhood)
OR adolescence) AND obesity) AND (MENA or each country) AND ("last five years"
[PDat]). Studies demonstrated an increasing prevalence of obesity among many
countries in the MENA region, especially in the Gulf area. Notably, in Kuwait,
prevalence rates of overweight and obesity were 25.6% and 34.8% among young males
and 20.8% and 20.5% among females. A meta-analysis revealed that physical
inactivity, increased screen time, and higher social status were risk factors for
childhood obesity. Childhood and adolescent obesity is a major challenge facing
countries of the MENA region. Further research is needed to fully investigate the
role of nutrition and other specific risk factors and evaluate various
interventions to manage this pervasive and growing health problem.
PMID- 29354692
TI - The Effect of Vitrectomy Infusion Solutions on Postoperative Electroretinography
and Retina Histology.
AB - Purpose: A prospective, randomised, masked study comparing three different
intraocular infusion solutions used during vitrectomy on postoperative
electroretinography (ERG) and retinal histology of rabbit eyes. Methods materials
and animals: Twelve rabbit eyes were used with each group of three intraocular
infusion solutions: Lactated Ringers (LR), balanced salt solution (BSS) and
balanced salt solution-plus (BSS-Plus). Results: At 24 hours postoperatively, the
ERG values were 36%, 68% and 75% of the normal values for LR, BSS and BSS-Plus,
respectively. At 72 hours postoperatively, the ERG values were 50%, 84% and 100%
of the normal values for LR, BSS and BSS-Plus, respectively. At 72 hours
postoperatively, 3/12, 10/12 and 12/12 of the vitrectomised eyes had regained
normal-value ERGs for LR, BSS, and BSS-Plus eyes, respectively. At 1 week
postoperatively, 4/12 and 12/12 of LR and BSS eyes, respectively, had normal
ERGs. The number of LR eyes regaining normal ERG values was 4/12, 6/12 and 9/12,
measured at 1, 4 and 15 weeks postoperatively, respectively. Retina histology: At
15 weeks follow-up, histopathology was performed on several rabbit eyes.
Histological examination of LR-irrigated eyes showed 2/7 normal retinas, whereas
5/7 showed changes that ranged from vacuoles in the inner retina to numerous
vacuoles in both the outer and inner retina with disruption of the inner nuclear
layer. The histology of BSS-infused and BSS-Plus-infused rabbit eyes was normal.
Conclusion: LR solution, when used during vitrectomy, has a more prolonged
negative effect on ERG than BSS and BSS-Plus. Histological changes seen in LR
eyes may explain the ERG results.
PMID- 29354693
TI - Adult bacterial conjunctivitis: resistance patterns over 12 years in patients
attending a large primary eye care centre in the UK.
AB - Purpose: To determine whether there was a change in the resistance pattern of
bacteria isolated from cases of conjunctivitis following the introduction of over
the-counter availability of chloramphenicol in 2005. Design and setting:
Retrospective review of laboratory records for adult patients with suspected
bacterial conjunctivitis between 2001 and 2012 attending the Royal Liverpool
University Hospital. Participants: Patients with suspected bacterial
conjunctivitis. Organisms were identified by standard laboratory methods. Scanty
growth and normal flora were considered as a negative result. For positive
results, susceptibility testing was undertaken as per British Society for
Antimicrobial Chemotherapy guidelines. Main outcome measures: Prevalence of
groups of bacteria associated with acute conjunctivitis and their resistance to
chloramphenicol, ciprofloxacin, gentamicin, and methicillin. Results: A total of
8209 conjunctival swabs were reviewed; 1300 (15.8%) were considered positive, of
which 977 (75.2%) and 323 (24.8%) bacteria were identified as Gram positive and
Gram negative, respectively. Staphylococcus aureus was the most prevalent
organism identified. Resistance of all bacterial isolates to chloramphenicol was
8.4% varying from 3.0% to 16.4% while that for ciprofloxacin and gentamicin was
16.4% and 14.0%, respectively. Methicillin resistance among S. aureus was 8.3%.
Conclusion: Resistance to chloramphenicol has remained stable since being made
available over the counter. Among Gram-positive bacteria, the most prevalent
causative agent of bacterial conjunctivitis, chloramphenicol sensitivity remains
high.
PMID- 29354694
TI - Whole-body positional manipulators for ocular imaging of anaesthetised mice and
rats: a do-it-yourself guide.
AB - Background: In vivo retinal imaging of rodents has gained a growing interest in
ophthalmology and neurology. The bedding of the animals with the possibility to
perform adjustments in order to obtain an ideal camera-to-eye angle is
challenging. Methods: We provide a guide for a cost-effective, do-it-yourself
rodent holder for ocular imaging techniques. The set-up was tested and refined in
over 2000 optical coherence tomography measurements of mice and rats. Results:
The recommended material is very affordable, readily available and easily
assembled. The holder can be adapted to both mice and rats. A custom-made
mouthpiece is provided for the use of inhalant anaesthesia. The holder is highly
functional and assures that the rodent's eye is the centre of rotation for
adjustments in both the axial and the transverse planes with a major time benefit
over unrestrained positioning of the rodents. Conclusion: We believe this guide
is very useful for eye researchers focusing on in vivo retinal imaging in rodents
as it significantly reduces examination times for ocular imaging.
PMID- 29354695
TI - The impact of anthropometric and ocular parameters on optic cup-to-disc ratio.
AB - Background/aims: To assess a relationship between vertical cup--to--disc ratio
(VCDR), which is a useful tool to assist in the diagnosis of glaucoma in the
early to medium--advanced stages, and intraocular pressure (IOP), central corneal
thickness (CCT), body mass index (BMI) and body fat percentage (BFP). Methods:
The data were collected from general populations living in the centre of Japan
who had participated in the third wave of the National Institute for Longevity
Sciences - Longitudinal Study of Aging. VCDR was set in the general linear mixed
model as objective variables with adjustment for age and optic disc area.
Explanatory variables were IOP, CCT, BMI and BFP. Results: 2819 eyes with no
surgical history (788 men, 739 women; average age, 59.6+/-11.7 years) were
included in the multivariate analysis. VCDR significantly increased with a low
BMI in men (estimated effect=-0.0059, p=0.0426). Meanwhile, VCDR significantly
increased with a high IOP (estimated effect=0.0125, p<0.0001) and a thin CCT
(estimated effect=-0.4229, p=0.0425) in women. There was no significant
relationship of VCDR with IOP, CCT and BFP in men and with BMI and BFP in women.
Only the relationship between IOP and VCDR in women would be statistically
significant after applying Bonferroni's correction for multiple comparisons,
under the assumption that each analysis was not independent. Conclusions: This
study demonstrated the different association with VCDR and other factors by sex.
A low BMI in men and a high IOP and a thin CCT in women were indicated to be a
risk factor of a greater VCDR. Meanwhile BFP was not a significant factor of a
greater VCDR in both sexes.
PMID- 29354697
TI - Awareness and knowledge about glaucoma and proportion of people with glaucoma in
an urban outreach programme in Southeast Nigeria.
AB - Aim: The aim of this study was to determine the level of awareness and knowledge
of glaucoma and the proportion of people with glaucoma among participants of a 1
day urban eye outreach programme. Methods: The study was a cross-sectional
survey. Data, collected by means of a structured questionnaire, included
participants' demographic details, and awareness, knowledge and ocular status as
regards glaucoma. Publicity for the outreach was created through the mass media
(radio stations and television), churches, handbills, posters and different
hospital staff. Data analysis was by Statistical Package for Social
SciencesV.20.0. Associations between participants' demographic and other details
were tested using Pearson's chi2 test, and a p value of <0.05 was considered
significant. Results: Four hundred and seventy-eight (93.2%) of the 513 people in
attendance were eligible and consented to participate. Awareness, knowledge and
proportion of people with glaucoma in the study population were 65.5%, 36.8% and
14.5%, respectively. Awareness was significantly associated with attainment of
some formal education, awareness of a positive family history, and having had an
eye check prior to the outreach. The mass media was the most common information
source. Good knowledge level was significantly associated with higher educational
attainment and occupation, whereas proportion of people with glaucoma was
significantly associated with increasing age. Conclusion: Improving awareness
with suitable content for the target population through effective means such as
the mass media may be a veritable first step in combating blindness from
glaucoma.
PMID- 29354696
TI - The prevalence of visual symptoms in poppers users: a global survey.
AB - Introduction and aims: The use of 'poppers' (volatile alkyl nitrites) has been
associated with the development of visual symptoms secondary to the development
of maculopathy. There are currently no data regarding the prevalence of this
condition among poppers users. The aim of this study was to quantify the presence
of visual symptoms among poppers users from a global cohort. Design and methods:
The Global Drug Survey (GDS) conducts annual anonymous online surveys of drug and
alcohol use. Within the 2012 GDS, questions were inserted regarding the presence
of visual symptoms in poppers users. Results: The GDS received a total of 21 575
valid responses, with a total of 17 479 from the UK, Australia, USA and Eurozone.
Within these areas, 5152 (29.5%) had used poppers within their lifetime and 1322
(7.6%) within the previous year. Of the 'last year' users, when asked the
question: 'Do you think poppers use has affected your eyesight?', 29 (2.2%)
people responded 'yes', 130 (10.0%) responded 'maybe' and 1146 (87.8%) responded
'no (17 people did not answer). Both multiple choice and free-text responses
regarding the nature of visual symptoms correlated with the central visual
disturbance that would be expected from maculopathy. There was significant
increased symptom prevalence with age (likelihood ratio (chi2)=19.0; p<0.001).
Discussion and conclusions: This study demonstrates that a small, yet significant
from a public health perspective, proportion of poppers users have visual
symptoms. Clinical correlation is required to determine whether these reported
symptoms are due to poppers associated maculopathy, or an alternative cause.
PMID- 29354698
TI - Disposable versus non-disposable tonometer prisms: a UK national survey.
AB - Purpose: To determine the prevalence of disposable tonometer versus non
disposable tonometer use in the UK and to determine methods of decontamination
and frequency of replacement of prisms.A total of 137 ophthalmology departments
were interviewed by telephone using a structured questionnaire. The main outcome
measured were:types of tonometer prisms used in clinic (disposable, non
disposable and/or other)average disposable prisms used per clinic sessionaverage
lifespan of non-disposable prismsprism preference by glaucoma and other teams
within department.A cost and benefit analysis was then performed on the data
acquired. Results: One hundred and fifty-five departments were identified for the
survey. Of these, 137 (88.3%) responded. Eighty-one departments (59.1%) used
Tonosafe prisms alone, whereas 22 departments (16.1%) used Goldmann non
disposable prisms exclusively. Thirty-five departments (64%) on average have a
change rate of 26.5% per year (range: 0-100, median: 20) attributed to damage,
loss or theft. Sixteen departments (29%) reported that prisms were used until
damaged or lost. Four departments (7%) were uncertain of their prism usage and
could not provide further information. Conclusions: Majority of eye departments
in the UK opt for disposable prisms. This survey shows the perceived cost
effectiveness of disposable prisms is overestimated when the true cost of
disinfection and damage is taken into account. Significant cost savings coupled
with the low risk of infectivity (if decontaminated properly) should prompt
clinicians and ophthalmic departments worldwide to reconsider the use of non
disposable prisms.
PMID- 29354700
TI - Retinal embolic events: frequency and impact following transcatheter aortic valve
implantation (TAVI) for aortic stenosis.
AB - Objectives: Transcatheter aortic valve implantation (TAVI) is an established
treatment for patients with severe symptomatic aortic stenosis. It has a
cerebrovascular accident rate of about 5% but the effect on retinal embolic
events has not been previously reported. This study investigated the occurrence
of retinal emboli following TAVI. Methods and analysis: In this prospective
observational study, 20 patients underwent full ophthalmic examination to assess
retinal embolic events prior to TAVI and at 48 hours and 1 month post-TAVI.
Results: At 48 hours post-TAVI, one patient had a new cotton wool spot in the
right eye. At 1 month, another two patients had new retinal emboli events in at
least one eye and a fourth patient developed retinal splinter haemorrhages in the
right eye. Conclusion: Retinal embolic events and new retinal abnormalities
following TAVI occurred in 15% and 20% of our cohort, respectively, without any
associated retinal damage or significant visual problems. Retinal evaluation may
be a useful surrogate test for cerebral embolisation in future studies assessing
the utility of new valve prostheses and embolic protection devices.
PMID- 29354699
TI - Retinal vasculature in glaucoma: a review.
AB - Despite the critical impact of glaucoma on global blindness, its aetiology is not
fully characterised. Elevated intraocular pressure is highly associated with
glaucomatous optic neuropathy. However, visual field loss still progresses in
some patients with normal or even low intraocular pressure. Vascular factors have
been suggested to play a role in glaucoma development, based on numerous studies
showing associations of glaucoma with blood pressure, ocular perfusion pressure,
vasospasm, cardiovascular disease and ocular blood flow. As the retinal
vasculature is the only part of the human circulation that readily allows non
invasive visualisation of the microcirculation, a number of quantitative retinal
vascular parameters measured from retinal photographs using computer software
(eg, calibre, fractal dimension, tortuosity and branching angle) are currently
being explored for any association with glaucoma and its progression. Several
population-based and clinical studies have reported that changes in retinal
vasculature (eg, retinal arteriolar narrowing and decreased fractal dimension)
are associated with optic nerve damage and glaucoma, supporting the vascular
theory of glaucoma pathogenesis. This review summarises recent findings on the
relationships between quantitatively measured structural retinal vascular changes
with glaucoma and other markers of optic nerve head damage, including retinal
nerve fibre layer thickness. Clinical implications, recent new advances in
retinal vascular imaging (eg, optical coherence tomography angiography) and
future research directions are also discussed.
PMID- 29354701
TI - Reducing oral contamination during corneal scrapes.
AB - Aims: To identify potential contaminants of the corneal sampling procedure and
examine the effect of wearing surgical face masks on the rate of contamination.
Methods: Ten surgeons recited out loud a 30 s standardised script for corneal
scraping with blood agar plates positioned 30 cm away from them. Three groups
were identified: in group 1 a surgical mask was worn; group 2 had no mask worn;
and group 3 had no mask but used agar plates pretreated with 5% povidone-iodine
as a negative control. Each surgeon repeated the process 10 times for all groups,
totalling 30 plates per surgeon and 300 plates for the experiment. All plates
were masked and incubated aerobically at 37 degrees C for 24 hours, and the
number of colony forming units (CFUs) was determined. Results: At 24 hours, group
1 had a mean of 0.3 CFUs per surgeon; group 2 had 6.4 CFUs per surgeon and group
3 had 0.1 CFUs per surgeon. The difference between group 1 and group 2 was
significant (p<0.001) whereas the difference between group 1 and group 3 was non
significant (p=0.4). Use of face masks decreased the number of plates with CFUs
by 93% (from 29 to 2 plates) and decreased the total number of CFUs by 95% (from
63 to 3 CFUs). The most common microbiota identified was Streptococcus species.
Conclusions: Oral bacterial microbiota may contaminate the slides and media used
to collect samples during corneal sampling. Use of a face mask can significantly
decrease the rate of contamination of such samples.
PMID- 29354702
TI - Physical inactivity in relation to self-rated eyesight: cross-sectional analysis
from the English Longitudinal Study of Ageing.
AB - Background: To assess the cross-sectional association between self-rated eyesight
and physical activity behaviour in a large general population sample of older
English adults. Methods: Analyses of data from the English Longitudinal Study of
Ageing. Participants provided information on self-rated eyesight (categorised as:
excellent/very good/good/fair-poor) and their own physical activity levels
(categorised as: inactive/moderate only at least 1/week, vigorous at least
1/week). Associations between self-rated eyesight and physical activity levels
were examined using logistic regression. Results: A total of 6634 participants
(mean age 65.0+/-9.2 years) were included in the analyses. In adjusted logistic
regression models, those with fair-poor and good eyesight were significantly more
likely to be inactive than those who reported excellent eyesight (OR 2.07, 95% CI
1.58 to 2.72; OR 1.59, 1.27 to 1.99, respectively). Conclusion: In this sample of
older English adults, those with self-rated fair-poor vision were over twice as
likely to be physically inactive than those who reported having excellent vision.
When consistent data have emerged, interventions to increase physical activity in
those who have poor eyesight are needed.
PMID- 29354703
TI - Pre-eclampsia and the risk of retinopathy of prematurity in preterm infants with
birth weight <1500 g and/or <31 weeks' gestation.
AB - Objective: To evaluate the relationship between pre-eclampsia and development of
retinopathy of prematurity (ROP) in infants with birth weight of <1500 g and/or
gestation <31 weeks. Methods: A retrospective cohort study comprising infants
born to mothers with pre-eclampsia between January 2007 and June 2010 at a single
tertiary care centre. Their ROP outcome was compared with infants born to the
next two normotensive mothers with a +/-1 week gestational age difference.
Pearson chi2 test was used for categorical variables and Mann-Whitney U test was
used for continuous variables. Multivariable regression was used to estimate the
OR of ROP with prenatal pre-eclampsia exposure and adjust for confounders.
Results: Of the 97 infants in the pre-eclampsia group, 27 (27%) developed ROP and
of the 185 infants in the normotensive group, 50 (27%) developed ROP. On
multivariable regression modelling, pre-eclampsia was not a risk factor for the
development of ROP (OR 1.4, 95% CI 0.46 to 4.1). Gestational age, intrauterine
growth restriction and blood transfusion were significant risk factors for the
development of ROP. Conclusions: In our cohort, pre-eclampsia was not a
significant risk factor for the development of ROP. Intrauterine growth
restricted infants of pre-eclamptic and normotensive mothers were at higher risk
of ROP.
PMID- 29354704
TI - Therapeutic potential of omega-3 fatty acids supplementation in a mouse model of
dry macular degeneration.
AB - Purpose: To evaluate the therapeutic effects of omega-3 (omega-3) and omega-6
(omega-6) fatty acids in the CCL2-/- model of dry age-related macular
degeneration (AMD). The blood level of eicosapentaenoic acid (EPA) and
arachidonic acid (AA) served to adjust the treatment dosage (AA/EPA=1-1.5).
Methods: Nine-month-old animals were allocated to different groups: (A) C57BL/6
untreated , (B) CCL2-/- untreated, (C) CCL2-/- treated with omega-3+omega-6, and
(D) CCL2-/- treated with omega-3. Treatment was daily administered by gavage for
3 months. Fatty acids analysis was performed and retinas were histologically
examined. Three-month-old wild type mice were used for comparison purposes. Real
time PCR and Western blot were performed for retinal inflammatory mediators.
Results: Increased EPA and decreased AA levels were observed in both blood and
retinas in the treatment groups. The outer nuclear layer thickness was increased
in groups C (45.0+/-3.9 um) and D (62.8+/-4.9 um), compared with groups B (65.6+/
3.0 um) and A (71.1+/-4.2 um), and in younger mice, it was 98.0+/-3.9 um. A
decrease in NF-kappaB expression was noted in the treatment groups. Interleukin
(IL) 18 protein levels demonstrated a significant reduction in the omega-3
treated group only. Conclusion: Supplementation with omega-3+omega-6 or omega-3
alone (AA/EPA=1-1.5) suggests a protective mechanism in the CCL2-/- animal model
of dry AMD, with a more beneficial effect when omega-3 are used alone. Our
findings indicated that inflammation is not the only determining factor; perhaps
a regenerative process might be involved following administration of omega-3
fatty acids.
PMID- 29354705
TI - Vitreoretinal interface abnormalities in middle-aged adults with visual
impairment in the UK Biobank study: prevalence, impact on visual acuity and
associations.
AB - Objective: The aim of this study was to determine the prevalence of vitreoretinal
interface abnormalities (VRIA), the degree of visual impairment and associations
with VRIA among adults, aged 40-69 years, in the UK Biobank study. Methods and
analysis: Colour fundus photographs and spectral domain optical coherence
tomography images were graded for 25% of the 8359 UK Biobank participants with
mild visual impairment or worse (LogMAR >0.3 or Snellen <6/12) in at least one
eye. The prevalence and contribution of VRIA to visual impairment was determined
and multinomial logistic regression models were used to investigate association
with known risk factors and other predetermined socioeconomic, biometric,
lifestyle and medical variables for cases and matched controls. Results: The
minimum prevalence of any VRIA was 17.6% and 8.1% in the eyes with and without
visual impairment, respectively. VRIA were identified as the primary cause of
visual impairment in 3.6% of eyes. Although epiretinal membrane and vitreomacular
traction were the most common VRIA, the degree of visual impairment was typically
milder with these than with other VRIA. Visual impairment with a VRIA was
positively associated with increasing age (relative risk ratio (RRR) 1.22 (95% CI
1.07 to 1.40)), female gender (RRR 1.28; 1.08 to 1.52) and Asian or Asian British
ethnicity (RRR 1.60; 1.10 to 2.32). Conclusions: VRIA are common in middle-aged
adults in the UK Biobank study, especially in eyes with visual impairment. VRIA
were considered to be the primary cause of visual impairment in 3.6% of all eyes
with visual impairment, although there was variation in the degree of visual
impairment for each type of VRIA.
PMID- 29354706
TI - In vivo crystalline lens measurements with novel swept-source optical coherent
tomography: an investigation on variability of measurement.
AB - Objective: To evaluate the reproducibility of in vivo crystalline lens
measurements obtained by novel commercially available swept-source (SS) optical
coherence tomography (OCT) specifically designed for anterior segment imaging.
Methods and analysis: One eye from each of 30 healthy subjects was randomly
selected using the CASIA2 (Tomey, Nagoya, Japan) in two separate visits within a
week. Each eye was imaged twice. After image scanning, the anterior and posterior
lens curvatures and lens thickness were calculated automatically by the CASIA2
built-in program at 0 dioptre (D) (static), -1 D, -3 D and -5 D accommodative
stress. The intraobserver and intervisit reproducibility coefficient (RC) and
intraclass correlation coefficient (ICC) were calculated. Results: The
intraobserver and intervisit RCs ranged from 0.824 to 1.254 mm and 0.789 to 0.911
mm for anterior lens curvature, from 0.276 to 0.299 mm and 0.221 to 0.270 mm for
posterior lens curvature and from 0.065 to 0.094 mm and 0.054 to 0.132 mm for
lens thickness, respectively. The intraobserver and intervisit ICCs ranged from
0.831 to 0.865 and 0.828 to 0.914 for anterior lens curvature, from 0.832 to
0.898 and 0.840 to 0.933 for posterior lens curvature and from 0.980 to 0.992 and
0.942 to 0.995 for lens thickness. High ICC values were observed for each
measurement regardless of accommodative stress. RCs in younger subjects tended to
be larger than those in older subjects. Conclusions: This novel anterior segment
SS-OCT instrument produced reliable in vivo crystalline lens measurement with
good repeatability and reproducibility regardless of accommodation stress.
PMID- 29354707
TI - Oral administration of the 11beta-hydroxysteroid-dehydrogenase type 1 inhibitor
RO5093151 to patients with glaucoma: an adaptive, randomised, placebo-controlled
clinical study.
AB - Background/aims: Cortisol is involved in the regulation of intraocular pressure
(IOP). This study aimed to assess the effect of 11beta-hydroxysteroid
dehydrogenase type 1 (11betaHSD1) inhibition by oral administration of RO5093151
on IOP. Methods: The exposure of key ocular compartments following oral
administration was assessed in rabbits. An adaptive, randomised, placebo
controlled study gated by a Bayesian decision criterion was performed in 35
patients with primary open angle glaucoma (POAG) or ocular hypertension (OHT).
Following a 7-day placebo-controlled run-in period, 200 mg twice daily RO5093151
or placebo (4:1) were administered for 7 days. The extent of 11betaHSD1
inhibition was assessed by the ratio of urinary tetrahydrocortisol (5alpha and
5beta)/tetrahydrocortisone (THF/THE). Time-matched IOP assessments were
performed. Results: A high distribution of RO5093151 into the rabbit eye was
observed. In humans, a high and sustained inhibition of 11betaHSD1 was shown by
the decrease of THF/THE from 0.9 at baseline to 0.18 on day 7. There was no
statistically significant difference in change of IOP from baseline. In the
'worse eye', the adjusted least square mean change from baseline was -2.7 mm Hg
(95% CI -4.2 to -1.2) and -2.9(95% CI -5.9 to 0.1) in the RO5093151 and placebo
group, respectively. Conclusions: Despite high inhibition of 11betaHSD1 and
expected moderate to high tissue distribution in ocular tissues, a 7-day
treatment with a high oral dose of RO5093151 did not result in a clinically
meaningful effect on IOP in patients with POAG or OHT.
PMID- 29354708
TI - Assessment of dysphotopsia in pseudophakic subjects with multifocal intraocular
lenses.
AB - Aim: To better understand the phenomenon of dysphotopsia in patients implanted
with multifocal intraocular lenses (IOLs). Methods: Forty-five patients (aged
61.8+/-8.9 years) implanted bilaterally with Tecnis ZM900 (diffractive
multifocal), Lentis Mplus MF30 (segmented refractive multifocal) or Softec-1
(monofocal) IOLs (each n=15) 4-6 months previously and who had achieved a good
surgical outcome were examined. Each reported their dysphotopsia symptoms
subjectively, identified its form (EyeVisPod illustrations), quantified retinal
straylight (C-Quant) and halo perception (Aston halometer). Retinal straylight
and halometry was repeated by a second masked clinician to determine
interobserver repeatability. Results: Subjective dysphotopsia ratings were able
to differentiate Tecnis ZM900 from Lentis Mplus MF30 (p<0.001), but not Lentis
Mplus MF30 from groups implanted with Softec-1 (p=0.290). Straylight was similar
between the monofocal and multifocal IOL designs (p=0.664). ZM900 IOLs
demonstrated a uniform increase in dysphotopsia in comparison with the monofocal
IOL (p<0.001) as measured with the halometer, whereas sectorial refractive
multifocal IOLs demonstrated a localised increase in dysphotopsia over the
inferior visual field. Intraobserver repeatability was good for the straylight
(intraclass correlation coefficients (ICC)=0.77) and halometry (ICC=0.89). There
was no significant correlation between the subjective dysphotopsia severity and
the straylight (p=0.503) or halometry (p>0.10) quantification or between
straylight and the halo area (p>0.30). Conclusions: Multifocal IOLs induce
symptoms of dysphotopsia. Straylight did not differentiate between IOL designs,
however halometry identified clear differences in light scatter due to the IOL
optics. Whereas, subjective rating of overall dysphotopsia are not strongly
associated with straylight or halo perception, the halometry polar diagram
reflected the subjective descriptions of dysphotopsia.
PMID- 29354709
TI - Preclinical development of MGO Manuka Honey microemulsion for blepharitis
management.
AB - Objective: To evaluate the in vitro antimicrobial effects of cyclodextrin
complexed and uncomplexed Manuka honey on bacteria commonly associated with
blepharitis, and in vivo rabbit eye tolerability of a cyclodextrin-complexed
methylglyoxal (MGO) Manuka Honey microemulsion (MHME). Methods and analysis: In
vitro phase: Bacterial growth inhibition was assessed by area under the growth
curve (AUC) for Staphylococcus aureus, and the minimum inhibitory concentration
(MIC) and minimum bactericidal concentration (MBC) for S. aureus, Staphylococcus
epidermidis and Pseudomonas aeruginosa with cyclodextrin-complexed and
uncomplexed Manuka honey were determined. In vivo phase: Six rabbits were
administered 20 uL of MHME (at 1:10 dilution) to the right eye (treated) and 20
uL of saline to the left eye (control) daily, for 5 days. Tear evaporation,
production, osmolarity, lipid layer, conjunctival hyperaemia and fluorescein
staining were assessed daily, before and 15 min after instillation. Results: In
vitro phase: The relative AUC for cyclodextrin-complexed Manuka honey was lower
than that of uncomplexed honey at both 250 and 550 mg/kg of MGO (both p <0.05).
Cyclodextrin-complexed honey had lower MIC and MBC than uncomplexed honey for
both S. aureus and S. epidermidis, but not P. aeruginosa. In vivo phase: No
significant changes were observed in the parameters assessed in either treated or
control eyes (all p >0.05). Conclusion: Overall, antimicrobial potency of
cyclodextrin-complexed Manuka honey was greater than uncomplexed honey. No
significant immediate or cumulative adverse effects were observed with MHME
application on rabbit eyes, supporting future conduct of clinical safety and
tolerability trials in human subjects.
PMID- 29354711
TI - Downregulation of interferon-gamma-induced protein 10 in the tears of patients
with Stevens-Johnson syndrome with severe ocular complications in the chronic
stage.
AB - Objectives: Stevens-Johnson syndrome (SJS) and toxic epidermal necrolysis (TEN)
are acute inflammatory vesiculobullous reactions of the skin and mucosa such as
the ocular surface, oral cavity and genitals. Severe ocular complications (SOC)
arise in some patients with SJS/TEN diagnosed by dermatologists. To investigate
the pathophysiology of ocular surface inflammation in SJS/TEN with SOC in the
chronic stage, we examined cytokines in the tears of patients with ocular surface
diseases and healthy controls. Participants: SJS/TEN eyes in the chronic stage
(n>30), healthy eyes (n>20, controls) and eyes (n>20) from patients with atopic
keratoconjunctivitis representing different ocular surface inflammatory
disorders. Primary outcome measures: Tear samples were collected on Schirmer's
measurement strips. To measure the level of various cytokines in the tears we
used BD CBA Flex sets. Study design: An observational study (case-control study).
Results: We recorded the level of interleukin (IL)-6, IL-8, eotaxin, macrophage
inflammatory protein (MIP)-1beta, RANTES (regulated on activation, normal T cell
expressed and secreted), interferon gamma (IFN)-gamma, monocyte chemoattractant
protein-1, IFN-gamma-induced protein 10 (IP-10) and total IgE. We found that
compared with the controls, in SJS/TEN with SOC, IL-6, IL-8, eotaxin and MIP
1beta were significantly upregulated while IP-10 was significantly downregulated.
Compared with atopic keratoconjunctivitis, IP-10 was significantly downregulated
in SJS/TEN with SOC; on the other hand, total IgE was significantly upregulated
in atopic keratoconjunctivitis compared with SJS/TEN with SOC. Conclusions: IP-10
in tears may be a biomarker to distinguish between chronic SJS/TEN with SOC and
other ocular inflammatory disorders such as atopic keratoconjunctivitis.
PMID- 29354710
TI - Randomised masked trial of the clinical safety and tolerability of MGO Manuka
Honey eye cream for the management of blepharitis.
AB - Objective: To assess the clinical safety and tolerability of a novel MGO Manuka
Honey microemulsion (MHME) eye cream for the management of blepharitis in human
subjects. Methods and analysis: Twenty-five healthy subjects were enrolled in a
prospective, randomised, paired-eye, investigator-masked trial. The MHME eye
cream (Manuka Health New Zealand) was applied to the closed eyelids of one eye
(randomised) overnight for 2 weeks. LogMAR visual acuity, eyelid irritation
symptoms, ocular surface characteristics and tear film parameters were assessed
at baseline, day 7 and day 14. Expression of markers of ocular surface
inflammation (matrix metalloproteinase-9 and interleukin-6) and goblet cell
function (MUC5AC) were quantified using impression cytology at baseline and day
14. Results: There were no significant changes in visual acuity, eyelid
irritation symptoms, ocular surface characteristics, tear film parameters and
inflammatory marker expression during the 2-week treatment period in treated and
control eyes (all p>0.05), and measurements did not differ significantly between
eyes (all p>0.05). No major adverse events were reported. Two subjects
experienced transient ocular stinging, presumably due to migration of the product
into the eye, which resolved following aqueous irrigation. Conclusion: The MHME
eye cream application was found to be well tolerated in healthy human subjects
and was not associated with changes in visual acuity, ocular surface
characteristics, tear film parameters, expression of markers of inflammation or
goblet cell function. The findings support future clinical efficacy trials in
patients with blepharitis. Trial registration number: ACTRN12616000540415.
PMID- 29354712
TI - Kinetics of Corneal Antigen Presenting Cells in Experimental Dry Eye Disease.
AB - Objective: To evaluate dry eye disease (DED)-induced alterations in subsets of
corneal antigen presenting cells (APCs) in a mouse model of experimental DED.
Methods and Analysis: Seven to 8-week-old female C57BL/6 mice were housed in a
controlled environment chamber and were treated with subcutaneous scopolamine to
induce DED. Normal mice were used as controls. The frequencies of different
subsets of dendritic cells (DCs) and macrophages in the cornea were evaluated
using immunohistochemistry and flow cytometry at days 2, 7 and 14 after DED
induction. Real-time PCR was used to assess the functional phenotype of
macrophages in the cornea of DED mice. Results: Our results demonstrated
significant corneal infiltration of CD11b+ and CD11c+ cells on days 7 and 14.
Further analysis of different DC subsets revealed non-significant changes in the
frequencies of total CD11b+CD11c+ cells at different time points. However,
frequencies of CD11c+CD11b- DCs, CD11c+ Langerin (CD207)+ DCs and macrophages
were significantly increased on both days 7 and 14 after DED induction. Real-time
PCR data demonstrated increased expression of M1 macrophage markers, iNOS and TNF
alpha, and reduced expression of M2 macrophage markers, Arg1 and IL-10, by
corneal F4/80+ macrophages at day 7. Conclusion: Although the frequencies of
total CD11b+CD11c+ cells do not significantly change in the course of DED,
CD11c+CD11b- DCs and Langerin+ DCs do show a significant increase. Interestingly,
macrophages exhibit a predominant inflammatory M1 phenotype and suppressed anti
inflammatory M2 phenotype early after induction of DED, which are restored to
near baseline levels in later stages of the disease.
PMID- 29354713
TI - Use of four asymmetric marks to orient the donor graft during Descemet's membrane
endothelial keratoplasty.
AB - Introduction: Maintaining the correct orientation of the donor graft is important
during Descemet's membrane endothelial keratoplasty (DMEK). We describe a new
method of marking the donor graft prior to DMEK. Methods: Twelve eyes of 10
patients with bullous keratopathy who underwent DMEK were retrospectively
analysed. Donor discs were created by stripping the endothelium-Descemet's
membrane layer from corneoscleral buttons. Four semicircular marks, two 1.0 mm
and two 1.5 mm in diameter, were created at the edge of the donor disc. The small
and large marks were paired. Each donor graft was inserted into the anterior
chamber, unfolded and attached to the posterior corneal stroma with an air
bubble. Results: The inserted grafts were all appropriately orientated when
attached to the back surfaces of the corneas. The two pairs of asymmetric marks
afforded valuable guidance. Even when the graft was partially folded or
decentred, and one pair of marks was obscured, the other pair was always visible
to indicate graft orientation. Best spectacle-corrected visual acuity improved
significantly in all patients (p<0.001). Compared with the preoperative
endothelial cell density of the donor graft, that of the corneal endothelium had
decreased 44.0%+/-10.0% by 6 months after surgery. Conclusions: Two pairs of
asymmetrical semicircular marks placed on the edge of the donor graft allowed
appropriate graft orientation during DMEK.
PMID- 29354714
TI - Diabetic retinopathy screening and treatment in Myanmar: a pilot study.
AB - Background/aims: The goals of this pilot study were (a) to demonstrate the
feasibility of identifying patients with vision-threatening diabetic retinopathy
(DR) in a provincial area of Myanmar and treating them with portable lasers and
(b) to gather data specific to Myanmar to help design larger cross-sectional
studies of DR prevalence in Myanmar. Methods: 97 consecutive patients with
diabetes mellitus (DM) were identified by local ophthalmologists over a period of
1 month in Pyinmana, Myanmar and were referred to the pilot screening programme.
Patients' demographics were recorded and their eyes were examined. Those with
vision-threatening DR were treated with panretinal photocoagulation (PRP).
Results: Of the 97 patients with DM, 33 (34%) had evidence of DR, 23 (23.5%) had
evidence of vision-threatening DR including 13 (13.5%) with proliferative DR and
33 eyes in 23 patients (23.5%) had PRP with portable green wavelength laser.
Hypertension was a significant cofactor in the development of vision-threatening
DR (p<0.01). The average time since diagnosis of DM was 6.0 years in patients
with vision-threatening DR. Conclusions: This study demonstrates the feasibility
of identifying and treating patients with vision-threatening DR in a provincial
area of Myanmar and provides initial data to plan a larger study to assess true
prevalence, a prerequisite for establishing broader screening/treatment programs.
PMID- 29354715
TI - Microbial keratitis and the selection of topical antimicrobials.
PMID- 29354716
TI - Diabetic retinopathy and the use of laser photocoagulation: is it cost-effective
to treat early?
AB - Background/aims: The aim of the study was to explore whether it would be cost
effective to apply panretinal photocoagulation (PRP) at the severe non
proliferative diabetic retinopathy (NPDR) (early treatment) stage, compared with
waiting until high-risk proliferative diabetic retinopathy (HR-PDR)
characteristics (deferred treatment) developed. Methods: A Markov model with a 30
year time horizon was developed, in which patients presenting with moderate NPDR
could progress through all stages of DR (severe NPDR>early PDR>HR-PDR>severe PDR)
to severe vision loss and blindness (and to death). A National Health Service and
personal social services perspective was adopted. Transition probabilities were
mainly derived from the Early Treatment Diabetic Retinopathy Study. Health state
utilities, costs and complications were based on information from the literature,
supplemented by expert opinion. Costs and outcomes were discounted at 3.5%. Both
deterministic and probabilistic sensitivity analyses were conducted. Results:
Administering PRP at the severe NPDR stage could be more effective and less
costly than waiting until HR-PDR developed. Sensitivity analyses gave similar
results, with early treatment continuing to dominate deferred treatment. The
probabilistic sensitivity analysis suggests that at willingness-to-pay threshold
of L20-L30 000 per quality-adjusted life year, the probability of early treatment
being cost-effective is 60%. Conclusion: PRP administered at the severe NPDR
stage is likely to be cost-effective compared with delaying photocoagulation
until HR-PDR develops. However, given the limitations of the evidence, these
results need to be interpreted with caution. A trial of early versus deferred
laser therapy is needed to provide better data based on modern treatments.
PMID- 29354717
TI - Correlation between baseline retinal microstructures in spectral-domain optic
coherence tomography and need for early intervention in central serous
chorioretinopathy.
AB - Purpose: To investigate the association between baseline retinal microstructures
observed with spectral-domain optical coherence tomography (OCT) and the need for
early intervention in central serous chorioretinopathy (CSC). Methods: Cases of
acute CSC from July 2011 to December 2014 were retrospectively reviewed. OCT
images were further classified using six parameters: foveal serous retinal
detachment; pigment epithelial detachment; fibrinous exudates in the subretinal
space; retinal pigment epithelium bumps; thickened outer retinal layer and hyper
reflective dots in the intraretinal and/or subretinal layer. Correlation among
these parameters and the need for intervention was analysed. Receiver operating
characteristic (ROC) curves were performed to identify the optimal number of
parameters for prediction. Results: A total of 47 eyes from 47 patients were
included. Among these, 25 eyes required treatment. The presence of subretinal
hyper-reflective dots was the only OCT factor having significant association with
the need for intervention. An ROC curve analysis revealed that the optimal cut
off value (Youden index) was achieved when combining subretinal hyper-reflective
dots with aged >=50 years and female sex for analysis (area under the curve,
0.735; Youden index: 0.425). Conclusion: The presence of subretinal/intraretinal
hyper-reflective dots observed with OCT, female and aged >=50 years might help
predict the need for early intervention in acute CSC.
PMID- 29354718
TI - Efficacy and safety of an extemporaneous preparation of 2% ganciclovir eye drops
in CMV anterior uveitis.
AB - Background: To evaluate the efficacy and safety of an extemporaneous preparation
of 2% ganciclovir topical eye drops in cytomegalovirus (CMV) anterior uveitis
because many studies have confirmed the benefits of topical ganciclovir in
varying concentrations. Design: The study employed a retrospective cohort design.
Methods: This study enrolled 11 eyes (11 patients) with CMV anterior uveitis. All
cases were proved by positive PCR for CMV DNA from aqueous tapping and received
topical 2% ganciclovir, applied every 2 hours daily as induction therapy then
tapered off and stopped based on clinical response. Outcome measures were best
corrected visual acuity, anterior chamber cell, coin-shaped and other keratic
precipitates, intraocular pressure (IOP), the number of antiglaucoma drugs used,
the frequency of steroid eye drops used daily and side effects over a 12-month
follow-up period. Side effects after applying topical 2% ganciclovir were
recorded using questionnaires and eye examination. Results: Mean age was 49.0+/
17.8 years. IOP, number of antiglaucoma drugs used and keratic precipitates
decreased significantly at first week (p<0.013, p<0.024 and p<0.031,
respectively) followed by decreased anterior chamber cells and significantly
reduced frequency of applying steroid eye drops at 4 weeks (p<0.034 and p<0.017,
respectively). Visual acuity significantly improved at 5 months continuously. All
clinical improvement was maintained to 12 months, and keratic precipitates were
eliminated in 90% of all cases. However, in 27% of discontinued medicine cases,
inflammation was recurrent. No significance was observed in all factors between
recurrent and non-recurrent groups. The most common side effect was eye
irritation (27.27%). No severe complications from the medicine was detected.
Conclusion: Extemporaneous preparation topical 2% ganciclovir was effective and
safely controlled CMV anterior uveitis. The medication is non-invasive,
economical and convenient for hospitals where commercial topical ganciclovir is
unavailable.
PMID- 29354719
TI - Fixation stability of the upward gaze in patients with myasthenia gravis: an eye
tracker study.
AB - Objective: To quantify fixation stability of the upward gaze in patients with
myasthenia gravis (MG) using an eye tracker. Methods and analysis: In this study,
21 normal subjects, 5 patients with MG with diplopia, 5 patients with MG without
diplopia and 6 patients with superior oblique (SO) palsy were included. Subjects
fixated on a target in the upward direction for 1 min. The horizontal (X) and
vertical (Y) eye positions were recorded using an eye tracker. Fixation stability
was first quantified using the bivariate contour ellipse areas (BCEA) of fixation
points as an index of whole stability. Then, the SDs of the X and Y eye positions
(SDX and SDY, respectively) were quantified as indices of directional stability,
with the data divided into three 20 s fractions to detect temporal fixation
fluctuation. Results: BCEAs were larger in patients with MG (both with and
without diplopia) than normal subjects and patients with SO palsy, without
significant differences among the three 20 s fractions. Compared with normal
subjects, SDXs were larger only in patients with MG with diplopia; SDYs were
larger in both patients with MG with and without diplopia. In addition, SDYs in
patients with MG with diplopia were larger than those in patients with MG without
diplopia and patients with SO palsy. Furthermore, a significant difference among
the three 20 s fractions was detected for SDYs in patients with MG with diplopia.
Conclusion: Patients with MG, especially those with diplopia, exhibit fixation
instability in the upward gaze. Non-invasive quantification of fixation stability
with an eye tracker is useful for precisely identifying MG-specific fatigue
characteristics. Trial registration number: UMIN000023468; pre-results.
PMID- 29354721
TI - Method for sterility testing of corneal storage and transport media after removal
of interfering antimicrobials: prospective validation study in compliance with
the European Pharmacopoeia.
AB - Objective: This study aimed at validating the method for sterility testing of the
corneal culture medium, TISSUE-C, and the transport/deswelling medium, CARRY-C,
according to the method suitability test, as defined by the European
Pharmacopoeia (EP), using RESEP, which is a new medical device for removal of
antimicrobial agents and an automated culture system. Methods and analysis: The
six EP reference strains were inoculated in TISSUE-C and CARRY-C. Half of the
samples were treated with RESEP (RESEP+ group) prior to the sterility testing,
whereas the remaining samples were untreated (RESEP- group). Growth controls were
obtained by direct inoculation of the micro-organisms in the culture broths.
Microbial growth was read by an automated light scattering culture system within
48 hours. Results: The use of RESEP allowed detection of microbial growth in 100%
of the tested samples, with a mean time to detection (TTD) comparable with that
of the growth control group. Significantly lower sensitivity (38.83%+/-20.03% for
both media, P<0.05) and TTD variability, depending on the tested micro-organism,
were observed in the RESEP- group. The method specificity was 100% for both
groups. Conclusion: The use of RESEP increased the sensitivity of the sterility
testing method to 100% and, for the first time, allowed validation of the method
for sterility testing of corneal storage media according to the EP method
suitability test. This further increases the safety of the corneas intended for
transplantation.
PMID- 29354720
TI - Comparative analysis of the visual performance after implantation of the toric
implantable collamer lens in stable keratoconus: a 4-year follow-up after
sequential procedure (CXL+TICL implantation).
AB - Aims: To report on 4-year postoperative visual performance with the toric
implantable collamer lens (TICL) for stable keratoconus after sequential
procedure (corneal collagen crosslinking plus TICL implantation). Methods: Forty
eyes of 24 patients with stable keratoconus with myopia between 0.00 and -18.00
dioptres (D) and astigmatism between 1.25 and 8.00 D were evaluated in this
prospective interventional study
(https://clinicaltrials.gov/ct2/show/NCT02833649). We evaluated refraction,
visual outcomes, astigmatic changes analysed by Alpins vector, contrast
sensitivity, aberrometry, modulation transfer functions (MTFs), defocus curve,
and operative and postoperative complications. Results: At 4-year follow-up, 45%
had 20/20 vision or better and 100% had 20/40 or better uncorrected visual acuity
(UCVA). Vector analysis of refractive astigmatism shows that the surgically
induced astigmatism (SIA) (3.20+/-1.46 D) was not significantly different from
the target induced astigmatism (TIA) (3.14+/-1.42 D) (p=0.620). At 4 years
postoperatively, none of the eyes showed a decrease in UCVA, in contrast to 24
eyes in which UCVA was increased by >=1 lines, with contrast sensitivity and
improvement in total aberrations and MTF value at 5 per degree (*p=0.004) after
TICL implantation. The cumulative 4-year corneal endothelial cell loss was <=5%.
No patients reported dissatisfaction. At the end of follow-up, the vault was
658+/-54.33m (range, 500-711) and the intraocular pressure was 11.7+/-2.08 mm Hg.
Occurrences of glare and night-driving troubles diminished after TICL surgery.
Conclusion: The results from this standardised clinical investigation support
TICL implantation from clinical and optical viewpoints in patients with stable
keratoconus. Trial registration number: NCT02833649, Pre-results.
PMID- 29354722
TI - Transcorneal electrical stimulation for the treatment of retinitis pigmentosa:
results from the TESOLAUK trial.
AB - Objective: To explore the impact of weekly transcorneal electrical stimulation
(TES) over a 6-month period as a treatment for retinitis pigmentosa (RP). Methods
and analysis: A prospective open-label observational trial was carried out
assessing weekly TES in participants with RP for a period of 6 months followed by
observation for a further 6 months. Clinical examination and investigations were
carried out at 3 monthly intervals for a total of 12 months. The primary outcome
measure explored safety through a descriptive analysis of adverse effects with
secondary outcome measures evaluating structural and functional efficacy.
Results: Seven male and seven female participants with RP aged 18-80 years were
recruited. TES was well tolerated with no serious adverse events reported. Two
participants reported transient foreign body sensation and one participant had
discomfort underneath the skin electrode. Following 6 months of TES, best
corrected visual acuity increased by 1.1+/-1.4 letters in the control arm and
0.93+/-1.4 letters in the treated arm. Central microperimetry threshold
sensitivity rose by 0.02+/-0.5 decibels (dB) and 0.37+/-0.4 dB and Goldmann
visual field volume by 0.16+/-0.09 steradians (sr) vs 0.22+/-0.12 sr for the
control and treated eye, respectively. There was no statistical significance seen
between eyes following the treatment or observation period. Conclusion: This
small open-label clinical trial showed that TES was safe and well tolerated in
patients with RP. Visual function measurements at 6 months demonstrated no
significant difference between the control and treated eyes. The results justify
a larger clinical trial over a longer period of time in order to identify any
treatment effect.
PMID- 29354723
TI - Results of a community-based screening programme for diabetic retinopathy and
childhood blindness in district Hyderabad, Pakistan.
AB - Purpose: To present the results of a community-based screening programme for
diabetes, diabetic retinopathy (DR) and childhood blindness (CB) in District
Hyderabad, Pakistan. Methods: Prospective cross-sectional data collection from
January 2014 to December 2015 of screening for diabetes, DR and CB in Hyderabad
District. Female health workers were trained to identify high-risk (potential)
patients for diabetes and childhood eye disorders to undertake preliminary
screening and refer patients to basic health units. The patients were further
assessed by medical officers with the support of qualified optometrist, after
which they were referred to Sindh Institute of Ophthalmology and Visual Sciences,
Hyderabad, Pakistan for management. Results: A total of 995 244 population was
covered in this screening programme during which 2622 children (0-<=15 years)
were screened for CB while 16 760 adult patients (>15 years) were screened for
diabetes. Random blood glucose level of 3075 patients was >140 mg/dL. Out of
these patients, 17% were diagnosed with DR. Refractive error (42%) was the most
common cause of childhood visual impairment. Conclusion: The diabetic screening
programme detected a high prevalence (17%) of retinopathy in patients with
diabetes living in Hyderabad District. The most common cause of childhood visual
impairment was refractive error (42%), which was successfully managed due to
timely diagnosis. A large number of patients benefited from this community-based
screening programme.
PMID- 29354724
TI - Development and pilot-testing of patient decision aid for use among Chinese
patients with primary open-angle glaucoma.
AB - Background: A patient decision aid (PDA) is a tool for shared decision making
(SDM), which emphasises patient empowerment. It is useful in chronic diseases and
when there are multiple, no best single treatment option. Although SDM is
prevalent in Western countries, its use is limited in Chinese societies, where
the adoption of a paternalistic approach is strong. Here, we report the
development, acceptance and pilot test results of a PDA targeted at Chinese
patients with primary open-angle glaucoma (POAG). Methods: We developed a PDA
designed for use in Chinese patients with POAG. Recruited subjects were given our
PDA. Baseline evaluation included decision conflict scale (DCS), validated
glaucoma adherence questionnaires and glaucoma knowledge questionnaire. Subjects
were briefed through the PDA and instructed to read it that day. Three to four
weeks later, follow-up questionnaire as described above were conducted with the
addition of acceptance questionnaires. Results: Data from 65 subjects were
available. The PDA was well received among subjects. DCS improved from 48.9+/
20.4 at baseline to 34.3+/-20.3 during follow-up, with P<0.01. Validated
medication adherence questionnaires and knowledge showed improvement from
baseline, which was statistically significant. Conclusions: The use of PDA among
Chinese subjects with POAG demonstrated positive reception and acceptance.
Evaluation of its initial effects shows improvement in DCS, medication adherence
and glaucoma knowledge. The implementation of SDM and PDA among Chinese subjects
with POAG is encouraged. Future studies with randomised design and later
evaluation time points can further reveal the impacts of PDA among Chinese
subjects with POAG.
PMID- 29354725
TI - Neither non-toxigenic Staphylococcus aureus nor commensal S. epidermidi activates
NLRP3 inflammasomes in human conjunctival goblet cells.
AB - Purpose: The conjunctiva is a wet mucosal surface surrounding the cornea that is
continuously exposed to pathogens. Nevertheless, persistent inflammation is not
observed. We examined if the NOD-like receptor pyrin domain 3 (NLRP3)
inflammasome functions as a sensor that distinguishes commensal and non
pathogenic bacteria from pathogenic bacteria in human conjunctival goblet cells.
Methods: Goblet cells were grown from human conjunctiva and co-cultured with
commensal Staphylococcus epidermidis, isogenic non-toxigenic S. aureus ACL135 and
as a control toxigenic S. aureus RN6390. Activation of the NLRP3 inflammasome was
determined by measuring changes in NF-kappaB activity, expression of pro
interleukin (IL)-1beta and NLRP3, activation of caspase-1 and secretion of mature
IL-1beta. Goblet cell mucin secretion was measured in parallel. Results: While
all three strains of bacteria were able to bind to goblet cells, neither
commensal S. epidermidis nor isogenic non-toxigenic S. aureus ACL135 was able to
stimulate an increase in (1) NF-kappaB activity, (2) pro-IL-1beta and NLRP3
expression, (3) caspase-1 activation, (4) mature IL-1beta and (5) mucin
secretion. Toxigenic S. aureus, the positive control, increased these values:
knockdown of NLRP3 with small interfering RNA (siRNA) completely abolished the
toxigenic S. aureus-induced expression of pro-IL-1beta and secretion of mature IL
1beta. Conclusions: We conclude that NLRP3 serves as a sensor capable of
discriminating commensal and non-pathogenic bacteria from pathogenic bacteria in
conjunctival goblet cells, and that activation of the NLRP3 inflammasome induced
by pathogenic bacteria mediates secretion of both mature IL-1beta and large
secretory mucins from these cells.
PMID- 29354726
TI - Outcomes and complications of S2 alar iliac fixation technique in patients with
neuromuscular scoliosis: experience in a third level pediatric hospital.
AB - Background: Multiple techniques are utilized for distal fixation in patients with
neuromuscular scoliosis. Although there is evidence of benefit with S2 alar iliac
(S2AI) fixation, this remains controversial. The objective of this study is to
evaluate the radiological outcomes and complications associated with this
surgical technique in a pediatric population. Methods: An observational
retrospective case series study was performed. All pediatric patients between
January 2011 and February 2014 diagnosed with neuromuscular scoliosis associated
with pelvic obliquity, which required surgery with fixation unto S2AI, were
included. Clinical, radiological findings, and adverse events were presented with
measures of central tendency. Comparison of deformity correction was carried out
using a non-parametric analysis for related samples (Wilcoxon signed-rank test).
Significance was set at P<0.05. Results: A total of 31 patients diagnosed with
neuromuscular scoliosis that met inclusion criteria were analyzed. The leading
cause of neuromuscular scoliosis in 23 (74.2%) patients was spastic cerebral
palsy (CP). The correction of pelvic obliquity in the immediate postoperative
period was of 76%, which is statistically significant. The extent of correction
that patients maintained at the end of the follow-up was analyzed, and it was
found that there were no significant differences in this magnitude, compared with
the immediate postoperative pelvic obliquity. The mean follow-up time was 9+/-7
months. Regarding postoperative adverse events, occurred in 64.5% of patients,
the most common outcome was pneumonia (14.8%). The overall rate of complications
related to instrumentation was low (1.9%), which corresponds to one patient with
an intra-articular screw in the left hip that required repositioning.
Conclusions: S2AI fixation for the treatment of neuromuscular scoliosis is a safe
alternative, in which the onset of adverse events is related to the comorbidities
of patients instead of the surgical procedure itself. An approximate correction
of 76% of pelvic obliquity is maintained during the follow-up.
PMID- 29354727
TI - Does the law of diminishing returns apply to the lengthening of the MCGR rod in
early onset scoliosis with reference to growth velocity?
AB - Background: This study is a single centre retrospective review of prospectively
collected data. The 'law of diminishing returns' describes the number of
lengthening episodes that a traditional growth rod (TGR) can undergo before
stiffness across the construct prevents further increases in overall length. It
is unclear whether this will affect MCGR when used in the management of early
onset scoliosis (EOS). Methods: A retrospective review of prospectively collected
data on the experience of MCGR lengthening in a heterogenous cohort of children
with EOS from a single centre. Results: There were 53 MCGRs in 28 patients with
EOS with a number of different underlying diagnoses. The mean age of the cohort
was 8 years 3 months (SD, 2 years 7 months). The mean follow-up period since
primary rod implantation was 2 years 0 months (SD, 1 year 1 month). MCGR
lengthening was performed on up to 12 occasions [median, 4; interquartile range
(IQR), 4; range, 1-12]. There was no statistically significant difference in the
amount of length achieved over then number of lengthening episodes (P=0.427). For
those with at least 2 years follow up the median number of lengthening was 10
(IQR 2, range 9-12) and there was no statistically significant difference seen
(P=0.438). Growth velocity of MCGRs against age was less than previously
documented norms for the thoracic spine, but was maintained as age increased.
Conclusions: The 'law of diminishing returns' does not affect serial lengthening
of MCGR in the way that has been observed using TGR. It was also demonstrated
that in the MCGR group growth velocity was maintained relative to that of the
normal spine.
PMID- 29354728
TI - Efficacy of tranexamic acid in reducing blood loss and blood transfusion in
idiopathic scoliosis: a systematic review and meta-analysis.
AB - Background: Tranexamic acid is a synthetic lysine-analogue antifibrinolytic that
competitively inhibits the activation of plasminogen to plasmin, it is a well
documented blood sparing agent. However, its routine use in idiopathic scoliosis
surgery is poorly documented. The objective of this meta-analysis was to
determine TXA's efficacy in reducing blood loss and blood transfusion in
idiopathic scoliosis surgery. Methods: Five databases (Medline, PubMed, Web of
Science, Embase and The Cochrane Central Register of Controlled Trials) were
searched to identify the relevant randomized controlled trials (RCTs),
prospective cohort control (PCC), and retrospective controlled trails regarding
the TXA efficacy in idiopathic scoliosis surgery. Mean differences (MDs) of blood
loss and blood transfusions in TXA-treated group compared to control and/or
placebo group were extracted and combined using random-effect meta-analysis
model. Results: A total of seven studies comprising 426 patients were included in
the meta-analysis according to the pre-defined selection criteria. TXA-treated
group had an overall significantly (P<0.005) less volume of blood loss [ES (MD)
=727.71 mL; CI, 281.86-1,173.56 mL]. Six studies comprising 346 patients TXA
treated group had an overall significantly {P<0.001 less transfusion volume [ES
(MD) =268.30 mL; CI, 105.19-431.44 mL]}. Conclusions: Patients treated with TXA
had a significantly lower blood loss and lower rates of allogeneic blood
transfusion than the control group. Further investigation is required regarding
the safety of TXA before it can be generalized in the use of idiopathic scoliosis
surgery.
PMID- 29354729
TI - Radiological factors affecting post-operative global coronal balance in Lenke 5 C
scoliosis.
AB - Background: Lenke 5 C curves are frequently associated with clinically and
radiological coronal imbalance. Appropriate selection of proximal and distal
levels of fusion is essential to ensure good coronal balance (CB). We aimed to
evaluate radiological factors associated with (I) global CB in the early post
operative period; (II) late decompensation of CB; and (III) favourable
spontaneous correction of CB on long term follow up. Methods: Twenty-three Lenke
type 5C scoliosis cases treated with selective posterior lumbar instrumentation
were retrospectively evaluated. Pre-operative, early post-operative and late post
operative (>2 years) whole length radiographs were analysed. Cobb's angle, lumbar
lordosis, coronal imbalance, lower instrumented vertebra (LIV) tilt and
translation and upper instrumented vertebra (UIV) tilt and translation were
measured. The proximal and distal fusion levels were noted and correlated with
post-operative CB. Results: There were 21 females and 2 males with a mean follow
up of 36 months. The mean pre-operative cobb angle was 55 degrees +/-13.26
degrees , which corrected to 14.7 degrees +/-8.84 degrees and was maintained on
follow up. Eight patients had early post-operative coronal imbalance with
spontaneous resolution seen in six cases on long term follow-up. At final follow
up, four cases had coronal imbalance (persistent imbalance since early post
operative period =2; late decompensation =2). In cases with early imbalance 5/8
cases had a pre-operative LIV tilt of >=25 degrees . All four patients with
coronal imbalance at final follow-up had pre-operative LIV tilt >=25 degrees .
Radiographic parameters which correlated with post-operative coronal imbalance
were pre-operative LIV tilt (r=0.64, P=0.001), pre-operative LIV translation
(r=0.696, P<0.001), pre-operative UIV translation (r=0.44, P=0.030), post
operative LIV tilt (r=0.804, P<0.001), and post-operative UIV tilt (r=0.62,
P=0.001). Conclusions: In Lenke 5C scoliosis, a pre-operative LIV tilt >=25
degrees significantly correlates with post-operative global coronal imbalance.
Increasing UIV tilt may be a factor that accounts for improvement of CB in late
follow-up period.
PMID- 29354730
TI - Assessing the effects of lumbar posterior stabilization and fusion to vertebral
bone density in stabilized and adjacent segments by using Hounsfield unit.
AB - Background: Computed tomography (CT) with Hounsfield unit (HU) is being used with
increasing frequency for determining bone density. Established correlations
between HU and bone density have been shown in the literature. The aim of this
retrospective study was to determine the bone density changes of the stabilized
and adjacent segment vertebral bodies by comparing HU values before and after
lumbar posterior stabilization. Methods: Sixteen patients who had similar
diagnosis of lumbar spondylosis and stenosis were evaluated in this study. Same
surgical procedures were performed to all of the patients with L2-3-4-5
transpedicular screw fixation, fusion and L3-4 total laminectomy. Bone mineral
density measurements were obtained with clinical CT. Measurements were obtained
from stabilized and adjacent segment vertebral bodies. Densities of vertebral
bodies were evaluated with HU before the surgeries and approximately one year
after the surgeries. The preoperative HU value of each vertebra was compared with
postoperative HU value of the same vertebrae by using statistical analysis.
Results: The HU values of vertebra in the stabilized and adjacent segments
consistently decreased after the operations. There were significant differences
between the preoperative HU values and the postoperative HU values of the all
evaluated vertebral bodies in the stabilized and adjacent segments. Additionally
first sacral vertebra HU values were found to be significantly higher than lumbar
vertebra HU values in the preoperative group and postoperative group.
Conclusions: Decrease in the bone density of the adjacent segment vertebral
bodies may be one of the major predisposing factors for adjacent segment disease
(ASD).
PMID- 29354731
TI - The Low-Back Outcome Scale and the Oswestry disability index: are they reflective
of patient satisfaction after discectomy? A cross sectional study.
AB - Background: The Low-Back Outcome Scale (LBOS) of Greenough and Fraser and the
Oswestry disability index (ODI) were compared to the patient satisfaction index
(PSI) in lumbar disc herniation (LDH) surgery. Methods: A total of 134 patients
who underwent discectomy were followed through assessment of pre- and post
surgical satisfaction by the PSI, the LBOS, and the ODI. The LBOS were rated as
satisfied if the outcomes were excellent or good and as dissatisfied if fair and
poor. Considering the ODI, clinically satisfied was defined as a 13-point
improvement from the baseline ODI scores. Phi (Phi) correlation analysis was used
to study the correlation among the PSI, the LBOS and the ODI scores as proxy for
patients' satisfaction. Results: Mean age of patients was 48.9 years. Significant
improvement from the pre- to post-operative ODI scores was observed. Post
surgical satisfaction based on the PSI, the ODI, and the LBOS were 70.9%, 76.8%,
and 81.3%, respectively. Regarding patient satisfaction, there were weak
associations between LBOS vs. PSI and ODI vs. PSI (Phi=-0.054, P=0.533) and (Phi=
0.129, P=0.136), respectively. Conclusions: Our study showed that the ODI and the
LBOS were not reflective of patients' satisfaction after discectomy.
PMID- 29354732
TI - C7 intra-laminar screws for complex cervicothoracic spine surgery-a case series.
AB - Background: C7 has relatively unique anatomy compared to the remainder of the
subaxial cervical spine (C3-C6) and upper thoracic spine. The C7 laminar has been
previously reported in feasibility and biomechanical studies as an adequate
fixation point in contrast to the lateral mass or pedicles, with few reports of
its use in clinical practice. The purpose of this study was to review the safety
and efficacy of using the C7 laminar as a fixation point in constructs involving
the cervical spine and cervicothoracic junction. Methods: Between February 2013
and July 2016, 10 patients (6 males, 4 females) had 19 C7 intra-laminar screws
sited (bilateral in 9 patients, unilateral in 1 patient). Six patients had trauma
as an underlying etiology, 2 of which had pseudoarthrosis from prior surgery.
Three patients had cervical myelopathy from degenerative disease, 2 of which
required anterior and posterior instrumentation for correction of deformity and 1
which had stand-alone posterior fixation. One patient had stabilization for an
underlying malignancy. Results: One patient died 2 weeks following their high
cervical injury secondary to complications of respiratory failure. None of the
remaining 9 patients were noted to have construct failure at a median follow up
of 1 year (range, 6 months to 4 years) and all were noted to have satisfactory
positioning of the instrumentation on post-operative imaging. The patient with
malignancy died 6 months later from extra-spinal disease, there was one wound
breakdown requiring debridement without infection in a revision case, and one
patient required laminectomy for post-traumatic syrinx formation. Conclusions:
The C7 laminar provides an alternative fixation point for constructs involving
the subaxial cervical spine and cervicothoracic junction, with excellent safety
and efficacy in this small series. Larger series are required to more clarify the
risk profile of this technique.
PMID- 29354734
TI - Use of 3D printer model to study vertebral artery anatomy and variations in
developmental craniovertebral junction anomalies and as a preoperative tool-an
institutional experience.
AB - Background: Spinal instrumentation using rods and screws have become procedure of
choice for posterior fixation. Vertebral artery anatomy is highly variable in
this region posing challenges during surgery. Our study used 3D printer model to
understand the anatomy and variations in vertebral artery in live patients
thereby providing an accurate idea about vertebral artery injury risk in these
patients preoperatively and to rehearse the whole procedure. Methods: Ten
patients of developmental craniovertebral junction (CVJ) anomalies who were
planned for operative intervention in the Department of Neurosurgery at SMS
Hospital from February 2016 to December 2016 were analysed using a 3D printer
model. Results: Out of twenty vertebral arteries studied in ten patients, two
were hypoplastic and out of these one could not be appreciated on 3D printer
model. Out of remaining nineteen, thirteen arteries were found to lie outside the
joint, three were in lateral third, one traversed the middle third of joint and
one lied in medial third. In one patient, the vertebral artery was stretched and
it traversed horizontally over the joint. Out of ten patients studied, nine were
having occipitalised atlas and so entry of these vertebral arteries into cranium
were classified as given by Wang et al. into four types. Conclusions: By our
study, 3D printer model was extremely helpful in analyzing joints and vertebral
artery preoperatively and making the surgeon acquainted about the placement and
trajectory of the screws accordingly. In our opinion, these models should be
included as a basic investigation tool in these patients.
PMID- 29354733
TI - Does timing of transplantation of neural stem cells following spinal cord injury
affect outcomes in an animal model?
AB - Background: We previously reported that functional recovery of rats with spinal
cord contusions can occur after acute transplantation of neural stem cells distal
to the site of injury. To investigate the effects of timing of administration of
human neural stem cell (hNSC) distal to the site of spinal cord injury on
functional outcomes in an animal model. Methods: Thirty-six adult female Long
Evans hooded rats were randomized into three experimental and three control
groups with six animals in each group. The T10 level was exposed via posterior
laminectomy, and a moderate spinal cord contusion was induced by the Multicenter
Animal Spinal Cord Injury Study Impactor (MASCIS, W.M. Keck Center for
Collaborative Neuroscience, Piscataway, NJ, USA). The animals received either an
intrathecal injection of hNSCs or control media through a separate distal
laminotomy immediately, one week or four weeks after the induced spinal cord
injury. Observers were blinded to the interventions. Functional assessment was
measured immediately after injury and weekly using the Basso, Beattie, Bresnahan
(BBB) locomotor rating score. Results: A statistically significant functional
improvement was seen in all three time groups when compared to their controls
(acute, mean 9.2 vs. 4.5, P=0.016; subacute, mean 11.1 vs. 6.8, P=0.042; chronic,
mean 11.3 vs. 5.8, P=0.035). Although there was no significant difference in the
final BBB scores comparing the groups that received hNSCs, the group which
achieved the greatest improvement from the time of cell injection was the
subacute group (+10.3) and was significantly greater than the chronic group
(+5.1, P=0.02). Conclusions: The distal intrathecal transplantation of hNSCs into
the contused spinal cord of a rat led to significant functional recovery of the
spinal cord when injected in the acute, subacute and chronic phases of spinal
cord injury (SCI), although the greatest gains appeared to be in the subacute
timing group.
PMID- 29354735
TI - Functional outcomes of laminectomy and laminotomy for the surgical management
lumbar spine stenosis.
AB - Background: This clinical descriptive study aims to establish if differences
exist in functional outcomes, to include both leg and lower back pain (LBP) as
well as disability, in patients undergoing laminectomy or laminotomy surgery for
lumbar spinal stenosis (LSS). Methods: We conducted a single centre, prospective
study of 119 patients undergoing laminectomy or laminotomy surgery for LSS, from
2006 and 2012. Clinical outcomes for back and leg pain were analyses using
Oswestry Disability Index (ODI) questionnaires and visual analogue scale (VAS)
scores collected preoperatively, at 6 weeks and 1 year. Further analysis
subdivided patients into two groups based on initial LBP VAS scores. Results:
Fifty-five percent of patients were females (n=65) and 45% males (n=54), with a
mean age of 68.7 years and L4/5 being the level most frequently decompressed.
Considering all surgeries, a statistically significant reduction in VAS back pain
between pre-op and 6 weeks was seen (4.99 to 3.00, P<0.001). There was a
significant (P<0.0001) average reductions in LBP by 1.66 units and leg pain by
3.33 units after 1 year, with minimal difference between laminectomy and
laminotomy. In the VAS back >=5 group, laminectomy patient's pain increased by
0.63 units between 6 weeks and 1 year whilst laminotomy patients experienced a
reduction in back pain of 0.51 units (P=0.063). ODI scores significantly improved
for laminectomy and laminotomy by an average of 19.1%, 95% CI: 13.4-24.9% and
10.8%, 95% CI: 5.8-15.7%, with no statistically significant difference between
groups. Conclusions: No statistically significant differences were demonstrated
between laminectomy and laminotomy outcomes, for LBP, leg pain or disability in
our institute. On the basis of functional outcomes laminectomy remains a feasible
approach in the treatment of lumbar spine stenosis. The data presented in this
manuscript provides frequency data for subsequent comparative studies.
PMID- 29354736
TI - Anterior lumbar interbody fusion (ALIF) as an option for recurrent disc
herniations: a systematic review and meta-analysis.
AB - Background: Recurrent intervertebral disc herniation is a relatively common
occurrence after primary discectomy for lumbar intervertebral disc herniation.
For recurrent herniations after repeat discectomies, a growing body of evidence
suggests that fusion is effective in appropriately selected cases. Theoretically,
anterior lumbar interbody fusion (ALIF) allows for comprehensive discectomy, less
trauma to spinal nerves and paraspinal muscles and avoidance of the disadvantages
of repeat posterior approaches. However, ALIF has also been associated with risk
of vascular injury and retrograde ejaculation. This current systematic review and
meta-analysis aims to assess the viability of ALIF as a surgical treatment for
recurrent disc herniations. Methods: Seven studies were identified from six
electronic databases and secondary reference lists. Pre-defined endpoints were
extracted from the included studies and meta-analyzed. Results: For the 181
patients from included studies, ALIF resulted in significant average improvements
in Oswestry Disability Index (ODI) scores (50.49%, P<0.001), Visual Analogue
Scale (VAS) back pain scores (47.85%, P<0.001) and VAS leg pain scores (37.00%,
P<0.001). Average blood loss was acceptable at 122 mL (P<0.001) and average
operation duration was 89 minutes (P<0.001). Average hospital stay was 5.28 days
(P<0.001). Only 22 perioperative complications were reported, with subsidence the
most commonly reported complication. Conclusions: Pooled evidence suggests that
ALIF is a feasible approach for the treatment of recurrent disc herniations,
demonstrating significant improvements in back and leg pain and minimal
complications. These findings warrant further investigation in large prospective
registries and multi-center studies.
PMID- 29354737
TI - A radiographic follow-up study of stand-alone-cage and graft-plate constructs for
single-level anterior cervical discectomy and fusion.
AB - Background: Anterior cervical discectomy and fusion (ACDF) may be performed using
an interbody cage or graft with an anterior plate or with a stand-alone (SA)
interbody device without the anterior plate. The pros and cons of each vary. This
study examined the radiographic outcome of the two techniques with a focus on
implant subsidence. Methods: A retrospective review of cases of singe level ACDF
by a single surgeon was undertaken. Medical and radiographic records were
reviewed to determine subsidence, pre- and post-operative segmental and total
lordosis in cohorts of both stand-alone and graft-and-plate constructs. Results:
The post-operative radiographs of 35 patients with a SA cage were compared with
41 patients with an allograft block and anterior plate (graft and plate; GP).
There was no significant difference in overall subsidence between the two groups
although there was a trend toward less clinically significant subsidence (2 mm)
in the SA group. For single level ACDF, a SA device appears to be comparable in
terms of undesired subsidence. Conclusions: Further studies with different
implants and materials may offer further insight.
PMID- 29354738
TI - Inpatient morbidity after spinal deformity surgery in patients with movement
disorders.
AB - Background: To investigate the inpatient perioperative morbidity rate of patients
with movement disorders (MD) after spinal deformity surgery. Methods: The
Nationwide Inpatient Sample database from 2002 to 2011 was queried to identify
adult patients with MD who underwent spinal deformity surgery. Complication rates
were compared between patients with MD and controls. A multiple logistic
regression analysis was conducted to assess the effect of MD on outcome. Results:
A total of 365 patients with MD (3.3%) were identified among 11,043 patients
undergoing surgery for spinal deformity. Patients with MD were on average 8 years
older than the control group (67 vs. 59 years of age, P<0.001). The complication
rate was 55.1% for patients with MD and 43.7% for patients without MD (P<0.001).
The most common complication was acute post-hemorrhagic anemia, which occurred in
31.9% of all patients (41.6% in MD patients and 31.5% in the control group,
P<0.001). Other complications that were more common in patients with MD included
delirium (P<0.001), acute kidney injury (P=0.032), and pulmonary embolism
(P=0.014). After controlling for patient age, sex, osteoporosis, complex
procedures, fusion to the lumbosacral spine, use of bone morphogenetic protein,
and use of blood transfusion, patients with MD were 1.3 times more likely to
develop a complication compared to patients without MD [odds ratio (OR), 1.27;
95% confidence interval (CI), 1.02-1.59; P=0.032] on multiple logistic regression
analysis. No significant difference in hospital stay was observed. Conclusions:
Patients with MD who undergo spinal deformity surgery may be at risk of higher
rate of complications compared to patients without these disorders.
PMID- 29354739
TI - Posterolateral thoracic decompression with anterior column cage reconstruction
versus decompression alone for spinal metastases with cord compression: analysis
of perioperative complications and outcomes.
AB - Background: The optimal surgical strategy for patients with spinal metastases
remains unknown. The aim of this study was to determine if performing an anterior
column reconstruction to a posterolateral approach adds to perioperative
complications. Methods: A retrospective review of all adult patients with spinal
metastases who had a posterolateral approach for resection between January 2000
and December 2008. Perioperative complications and functional outcomes were
determined. Results: A total of 23 patients met the study criteria. Eleven
patients underwent a costotransversectomy (CT) approach with anterior column
reconstruction while 12 patients had a transpedicular (TP) approach without
anterior column reconstruction. The mean age was 55.9 and 59.3 years in the CT
and TP groups, respectively. There was no intraoperative death in either group.
One death attributed to sepsis occurred in the TP group. A total of 5 (45.5%)
complications occurred in the CT group and 7 (58.3%) in the TP group (P=0.68). An
improvement in American Spinal Injury Association (ASIA) impairment scale grades
was observed in 3 (27.3%) patients in the CT group and 1 (8.3%) in TP group. ASIA
grades remained the same in 8 (72.7%) patients in CT and 10 (83.3%) patients in
TP groups. No patient worsened in the CT group whereas 1 (8.3%) patient in TP
group worsened. The median survival was 12.2 months in the CT group and 19.0
months in the TP group (P=0.37). Conclusions: The addition of anterior column
reconstruction does not appear to be associated with more operative or
perioperative complications when compared to decompression alone. Anterior column
reconstruction should not be aborted in fear of increasing perioperative
complications.
PMID- 29354740
TI - Long-term results with percutaneous interspinous process devices in the treatment
of neurogenic intermittent claudication.
AB - Background: Neurogenic intermittent claudication (NIC) is the main symptom of
degenerative lumbar spinal stenosis. Percutaneous interspinous process
decompression devices (IPDs) have been designed as an alternative therapy to
conservative treatment and to open decompressive surgery for patients suffering
from NIC. Initial short-term results were encouraging. We present the long-term
results of a group of patients that we followed to provide insight on long-term
outcomes and effectiveness of this technique compared to other decompression
methods. Methods: Fifteen patients operated for NIC by implantation of
percutaneous IPDs have been prospectively monitored for reoperations or
complications. Follow-up (FU) was interrupted if the patient was reoperated.
Results were considered poor if the patient had to be reoperated at any stage of
the FU or if the treatment failed to alleviate the pain after 6 months. Results
were considered average if the patient still suffered some pain but did not
require reoperation. Results: The patients were followed up to 7 years after the
initial surgery. The mean length of the FU was 3.53 years and all patients could
be followed. At the end of the FU, the results were good in only 20.0% (3/15),
average in 13.3% (2/15) and poor in 66.7% (10/15). Conclusions: Despite initial
satisfactory results, long-term FU is disappointing, with 80% poor or average
results. The long-term reoperation rate is high (66.6%), increases over time and
is higher than after implantation of IPDs for decompression augmentation.
Although this technique is simple and safe, its effectiveness seems short-lived.
We recommend cautious use and informing patients about the risk of relatively
early failure and recurrence.
PMID- 29354741
TI - Identifying the superior and inferior gluteal arteries during a sacrectomy via a
posterior approach.
AB - Background: Identifying the gluteal vessels during a posterior sacrectomy can be
challenging. This study defines anatomical landmarks that can be used to
approximate the location of the superior and inferior gluteal arteries (SGA and
IGA) during a posterior sacrectomy. Methods: Cadaveric dissection of six fresh
adult pelvises to determine the location of the SGA and IGA in relation to the
posterior-inferior aspect of the sacroiliac joint (PISIJ), lateral sacral margin
and sacrococcygeal joint (SCJ). Results: The anatomical landmarks are easily
palpable. The position of the SGA to the PISIJ is relatively constant as it is
tethered by a posterior branch of the artery, which runs inferior to the PISIJ.
The IGA position is also relatively constant below the mid-point of the PISIJ and
SCJ. The vessels are separated from the sacrospinous/sacrotuberous ligament
complex (SSTL) in the perisacral region and as a result an anatomical plane
exists anterior to the SSTL, which affords protection of the vessels during SSTL
transection. The distance between the vessels and the SSTL increases the more
medial the dissection. Conclusions: The described anatomical landmarks can be
used to predict the location of the SGA and IGA during posterior sacrectomy. An
anatomical plane exists anterior to the SSTL, which provides protection to the
vessels during SSTL transection. Furthermore, the distance between the vessels
and the SSTL increases the more medial the dissection, thus, resection of the
SSTL as close to the lateral sacral margin as the pathology permits, is
advocated.
PMID- 29354742
TI - Clinical experience and two-year follow-up with a one-piece viscoelastic cervical
total disc replacement.
AB - Background: The purpose of this study is to present clinical outcome data from a
2-year post-market study of a viscoelastic one-piece cervical total disc
replacement (TDR) in Europe. Methods: Thirty-nine patients were implanted at five
surgical sites in an European post-market clinical study. Clinical outcomes
included improvement of neck disability index (NDI) and visual analog scale
scores for neck and arm pain from baseline to 2-year follow-up, neurological
examinations, patients view on the success of surgery, complications, and
subsequent surgical interventions. Results: Thirty patients had the Freedom(r)
Cervical Disc (FCD) implanted at a single level, and nine patients were implanted
at two adjacent levels. The population had a similar distribution of male [20]
and female [19] subjects, with a mean age of 45 years. All self-administered
outcome measures showed significant clinically important improvements from
baseline to the 2-year follow-up. Mean preoperative NDI score improved from 48%
to 20%, 13%, 8%, 6% and 4% at 6 weeks, 3, 6, 12, and 24 months, respectively.
Average preoperative visual analog scale (VAS) scores of the neck, right and left
arm pain intensity and frequency showed significant improvement. All neurological
outcome measurements showed immediate improvement from preoperative values and
continued improvement throughout 2 years follow-up. From pre-op to 24 months,
neurological deficits declined in the population from 21% to 6% for reflex
function, 62% to 17% for sensory function, and 38% to 3% for motor function. No
patients experienced a deterioration in any measured outcomes compared with the
preoperative situation. Patient satisfaction increased over 2 years post-op, with
83% of patients responding that they would "definitely" choose to have the same
treatment for their neck/arm condition and another 11% responding that they would
"probably" choose to have the same treatment. Conclusions: The FCD performs as
expected in patients with single-level and two-level degenerative disc disease.
PMID- 29354743
TI - National outcomes following single-level cervical disc arthroplasty versus
anterior cervical discectomy and fusion.
AB - Background: To compare the differences in the thirty-day postoperative outcomes
between cervical disc arthroplasty (CDA) and anterior cervical discectomy and
fusion (ACDF). Methods: Patients undergoing primary single-level ACDF and CDA
from 2010-2014 were identified by unique Current Procedural Terminology (CPT)
codes within the American College of Surgeon's National Surgical Quality
Improvement Program (NSQIP) database. Primary outcomes included surgical and
medical complications, length of hospital stay (LOS), unplanned readmission,
return to operating room, and mortality all occurring within 30 days of the
initial procedure. Patients were propensity score-matched to reduce selection
bias and differences in preoperative characteristics. Multivariate logistic
regression models were utilized to determine associations between covariates and
primary outcomes of interest. Results: Propensity score-matching produced a
cohort of 1,305 patients with 652 (50.0%) ACDF and 653 (50.0%) CDA patients.
There were no statistically significant differences in the development of major
surgical or medical complications between the groups. ACDF patients experienced a
significantly longer LOS (2.3+/-14.8 vs. 1.1+/-1.0 days, P=0.034) and unplanned
hospital readmission (1.8% vs. 0.2%, P=0.002). For ACDF patients, increased LOS
[odds ratios (OR), 4.21; 95% confidence interval (CI), 1.29-13.73; P=0.017] and
increased readmission (OR, 12.17; 95% CI, 1.16-127.23; P=0.037) persisted in the
multivariate model. Elevated ASA classification, preoperative anemia and elevated
white blood cell count (WBC) were also associated with a significantly increased
LOS. Conclusions: Although ACDF and CDA can be indicated for similar cervical
pathologies, the latter can be performed safely and effectively with comparable
perioperative risk of major complications. The increased readmission rate and LOS
for patients undergoing ACDF may have significant impact on patient cost and
outcomes.
PMID- 29354744
TI - Three-dimensional reconstructions in spine and screw trajectory simulation on 3D
digital images: a step by step approach by using Mimics software.
AB - There is a rapidly increasing amount of literature outlining the use of three
dimensional (3D) reconstruction and printing technologies in recent years.
However, precise instructive articles which describe step-by-step methods of
reconstructing 3D images from computed tomography (CT) or magnetic resonance
imaging (MRI) remain limited. To address these issues, this article describes a
detailed protocol which will allow the reader to easily perform the 3D
reconstruction in their future research, to allow investigation of the
appropriate surgical anatomy and allow innovative designs of novel screw fixation
techniques or pre-operative surgical planning.
PMID- 29354745
TI - Percutaneous screw placement in the lumbar spine with a modified guidance
technique based on 3D CT navigation system.
AB - Several guidance techniques have been employed to increase accuracy and reduce
surgical time during percutaneous placement of pedicle screws (PS). The purpose
of our study was to present a modified technique for percutaneous placement of
lumbar PS that reduces surgical time. We reviewed 23 cases of percutaneous PS
placement using our technique for minimally invasive lumbar surgeries and 24
control cases where lumbar PS placement was done via common technique using
Jamshidi needles (Becton, Dickinson and Company, Franklin Lakes, NJ, USA). An
integrated computer-guided navigation system was used in all cases. In the
technique modification, a handheld drill with a navigated guide was used to
create the path for inserting guidewires through the pedicles and into the
vertebral bodies. After drill removal, placement of the guidewires through the
pedicles took place. The PS were implanted over the guidewires, through the
pedicles and into the vertebral bodies. Intraoperative computed tomography was
performed after screw placement to ensure optimal positioning in all cases. There
were no intraoperative complications with either technique. PS placement was
correct in all cases. The average time for each PS placement was 6.9 minutes for
the modified technique and 9.2 minutes for the common technique. There was no
significant difference in blood loss. In conclusion, this modified technique is
efficient and contributes to reduced operative time.
PMID- 29354747
TI - Systematic review of cortical bone trajectory versus pedicle screw techniques for
lumbosacral spine fusion.
AB - Fusion of the lumbosacral spine is a common surgical procedure to address a range
of spinal pathologies. Fixation in lumbar fusion has traditionally been performed
using pedicle screw (PS) augmentation. However, an alternative method of screw
insertion via cortical bone trajectory (CBT) has been advocated as a less
invasive approach which improves initial fixation and reduces neurovascular
injury. There is a paucity of robust clinical evidence to support these claims,
particularly in comparison to traditional pedicle screws. This study aims to
review the available evidence to assess the merits of the CBT approach. Six
electronic databases were searched for original published studies which compared
CBT with traditional PS and their findings reviewed. Nine comparative studies
were identified through a comprehensive literature search. Studies were
classified as retrospective cohort, prospective cohort or case control studies
with medium quality as assessed by the GRADE criteria. The available literature
is not cohesive regarding outcomes and complications of CBT versus PT procedures.
Most studies found no difference in operative time, but reported less blood loss
during CBT. Radiological outcomes show no difference in slippage at one year
although CBT is associated with greater bone-density compared to PT. Results for
post-operative pain are inconclusive.
PMID- 29354748
TI - Spondylolisthesis with spondylolysis in a 17-month-old: a case report.
AB - Spondylolysis is frequently seen in adolescents, typically at the L5 vertebral
level. While there may be a congenital predisposition for spondylolysis, it has
long been suggested that the defect results from a fatigue or stress fracture of
the pars interarticularis. Spondylolisthesis may result from a bilateral
spondylolytic defect and is frequently asymptomatic. There is a paucity of
literature on infant and toddler spondylolysis, as the focus is primarily on
school-aged children, typically those over ten years of age. This case report
presents an incidentally discovered L5 spondylolysis with spondylolisthesis in a
17-month-old female. The patient presented to the emergency department with
multiple facial abrasions and bruises from reportedly being struck by her 4-year
old sister. Her past medical history included a hospitalization four months prior
for a distal radius buckle fracture from a thirty foot fall from a window. A
routine non-accidental trauma work-up was performed, including a skeletal survey
which demonstrated L5 bilateral pars defect with Myerding grade 2
spondylolisthesis. An MRI of the lumbar spine was performed, again demonstrating
the L5 bilateral pars defect with position dependent reduction of the
spondylolisthesis. The patient was seen back in the orthopedic office three
months later which is her latest follow-up. Dynamic plain films of the lumbar
spine were unchanged from previous imaging, without evidence of instability or
slip progression. Further progression of her slip should warrant consideration
for further treatment, whether it be cast immobilization or surgery.
PMID- 29354746
TI - The genetic implication of scoliosis in osteogenesis imperfecta: a review.
AB - Osteogenesis imperfecta (OI) is a kind of heritable connective tissue disorder,
including blue sclerae, hearing loss, skeletal dysplasia causing bone fragility
and deformities. It is typically caused by collagen related gene mutations, which
could lead to bone formation abnormalities. Scoliosis is one of the most common
and severe spinal phenotype which has been reported in approximately 26-74.5% of
all OI patients. Recent breakthroughs have suggested that OI can be divided into
more than 16 types based on genetic mutations with different degrees of
scoliosis. In this review, we summarize the etiology of scoliosis in OI,
especially the genetic studies of different types. We aim to provide a systematic
review of the genetic etiology and clinical suggestions of scoliosis in OI.
PMID- 29354749
TI - Focal hypermobility observed in cervical arthroplasty with Mobi-C.
AB - In recent decades cervical arthroplasty, or cervical disc replacement, has been
steadily increasing in popularity as a procedure for the treatment of
degenerative pathologies of the cervical spine. This is based on an evolving body
of literature that documents superior outcomes in cervical disc replacement over
fusion, for both single and double level pathologies, in well selected patients.
One of the more recent and popular implants currently on the market is the Mobi-C
cervical artificial disc (LDR Medical; Troyes, France). In this paper we report
on two cases where focal hypermobility was observed following total disc
replacement using the Mobi-C cervical artificial disc. This is followed by a
discussion as to potential contributing factors to this hypermobility in relation
to both implant design, and operative technique, suggesting potential changes
that might prevent this in future patients.
PMID- 29354750
TI - Unusual cauda equina syndrome due to multifocal ependymoma infiltrated by
lymphoma.
AB - Myxopapillary ependymoma is a rare tumour of the central nervous system (CNS);
this subtype of ependymoma occurs most frequently in cauda equina, conus
medullaris or filum terminale. The treatment consists of complete removal of the
tumour including its capsule when possible since it is usually a solitary lesion.
Non-Hodgkin lymphoma of the CNS is found in only 1.3% of cauda equina tumours. We
report the case of a 62-year-old man who presented to our institution with
progressive weakness of his right lower extremity, with numbness and paresthesia
of both feet. He was suffering from one month of right sciatica. Lumbosacral MRI
displayed two intradural extramedullary lesions, the first one was located
posteriorly to L1-L2 and the second one was spreading from L4 to S2 presenting as
a mucoid cyst in its superior part with hyperintense T2 signal, isointense on T1
weighted with high and homogeneous contrast enhancement in the inferior solid
component. Imaging also found three additional intradural, extramedullary tumor
formations with contrast enhancement, located respectively at C5-C6, C7 and T4. A
L1-L2 and S1-S2 laminectomy was performed in emergency and total removal was
achieved. Neuropathologist concluded that the tumor was a myxopapillary
ependymoma infiltrated by large B-cell lymphoma. Although rare, this phenomenon
(coexisting tumors within the same lesion) should be known by neurosurgeons
because the occurrence of collision tumors affects the treatment and the
patient's prognosis. In our case, two different types of tissue were mingled in
the same location-this finding is very uncommon and has, to our knowledge, never
been reported before.
PMID- 29354751
TI - Scoliosis in Dandy-Walker syndrome: a case report and review of literature.
AB - This submission presents a case of scoliosis in a patient with established Dandy
Walker anomaly of the brain. A retrospective review of the patient's case notes
was undertaken and the limited literature on this subject reviewed. The 13-year
old girl presented with a stiff right thoracic scoliosis typical of adolescent
idiopathic scoliosis. The scoliotic segment also presented with significant
lordosis. She had facial and truncal dysmorphism characteristic of Dandy-Walker
complex and her brain images confirmed the diagnosis. She underwent scoliosis
surgery by the posterior approach uneventfully. In conclusion scoliosis is
hitherto unreported in the Dandy-Walker complex. The results of intervention
appear satisfactory.
PMID- 29354752
TI - Primary leiomyosarcoma of the spine treated with total en bloc spondylectomy: the
first case in Thailand-a case report and literature review.
AB - Leiomyosarcoma is a rare type of malignant soft tissue tumor and also one of the
most aggressive soft tissue sarcomas. It commonly occurs in uterus, abdominal
viscera, retroperitoneal space and soft tissue of the extremities. Primary
osseous leiomyosarcoma is a rare condition. Furthermore, primary leiomyosarcoma
of the spine is extremely rare. Only few cases have been reported. However, the
treatment and outcomes remains controversial. Therefore, the objective of this
case report is to illustrate the management of this extremely rare disease by
using total en bloc spondylectomy (TES) procedure, which is one of a suitable
option for surgical resection of the spinal tumors. In this study, we presented
an unusual case of a 61-year-old female, who was diagnosed as primary
leiomyosarcoma of the twelfth thoracic spine with liver metastasis, treated with
total en bloc spondylectomy of the twelfth thoracic vertebra followed by
chemotherapy. On last follow-up, 6 months after the surgery, the clinical outcome
remained in good condition and no signs of local recurrence. In conclusion,
primary leiomyosarcoma of the spine is an extremely rare and difficult to
diagnosis. Immunohistochemistry studies are very important for confirmation of
the diagnosis. Standard treatment remains controversial. However, surgical
resection is still treatment of choice. One of the most effective surgical
options is TES, which give the better outcome and minimize local recurrence, if
indicate.
PMID- 29354753
TI - Unusual imaging presentation of spinal glomus tumor: case report.
AB - A glomangioma, also known as a glomus tumor, is a benign lesion and had rare
occurrence of spine region. In this study, we presented a spinal glomus tumor
with an unusual radiological presentation, which is different from osteolytic
intraosseous patterns illustrated before. A 26-year-old male with compressive
myelopathy caused by epidural intraspinal lesion over T11 level. Radiological
presentation revealed reactive sclerotic change over the body and lamina was
found on the same level in computed tomography (CT) examination. Surgical
resection was applied for en bloc tumor resection. The patient had well recovery
after surgery. The pathology revealed cavernous haemangioma-like vascular
structures, compatible with glomus tumor. Radiological diagnosis of spinal glomus
tumor has limitation and difficulty preoperatively. Surgical intervention was
suggested for patient with clinical neurological deficit and pathological
approval.
PMID- 29354754
TI - Spinal subdural hematoma post foramen magnum decompression-rare complication in a
patient with rhomboencephalosynapsis.
AB - Spinal subdural hematoma (SSDH) as a complication of posterior fossa surgery is
extremely rare and can have potentially serious consequences. We report a case of
delayed extensive SSHD presenting as cauda equina syndrome (CES) following
foramen magnum decompression and occipito-cervical (OC) fusion.
PMID- 29354755
TI - Forestier syndrome presenting with dysphagia: case report of a rare presentation.
AB - Diffuse idiopathic skeletal hyperostosis (DISH) (Forestier syndrome) is a
rheumatologic disease, the etiology of which is not exactly known. It is
characterized by spinal osteophyte formations resulting from the ossification of
the paravertebral ligaments and muscles. Anterior longitudinal ligament is the
usual site of involvement and the frequency of the disease increases after the
5th decade. Lower cervical segments are the most frequently involved regions
whereas the upper cervical involvement leading to dysphagia is very rare. In this
report, a 77-year-old patient with Forestier syndrome in upper cervical region
presenting with dysphagia was presented. Anterior cervical osteophyte resection
was performed with no need for discectomy, fusion or stabilization. The patient
showed a significant improvement in his all preoperative symptoms, and no
recurrence was detected at 1-year follow-up.
PMID- 29354756
TI - Multifocal intradural extramedullary anaplastic ependymoma of the spine.
AB - Ependymomas are neuroectodermal tumours arising from the ependymal lining of the
ventricles and central canal of the spinal cord. Intradural extramedullary (IDEM)
ependymomas which are multifocal, and/or anaplastic (WHO grade III) at
presentation are exceedingly rare. We present the second case of multifocal
anaplastic IDEM ependymoma in the literature. A 47-year old female presented with
left gluteal and thigh pain radiating to the groin associated with paraesthesiae.
She had a normal neurological examination. Magnetic resonance imaging of the
lumbar spine and subsequent magnetic resonance imaging (MRI) of the remaining
neuroaxis demonstrated >10 lesions throughout cervical, thoracic and lumbosacral
levels. There were no intracranial lesions. The patient initially underwent
surgery for removal of three symptomatic lesions at S2. She recovered well. One
year later she had further surgery for three progressing lesions at T5. Four of
six lesions were WHO grade III. Two smaller nodules at T5 were WHO grade II. The
patient had mild sensory disturbance over the right side of the trunk which
resolved postoperatively. There were no long-term sequelae. The patient
subsequently underwent full craniospinal irradiation using proton beam therapy.
Due to their rarity, there are no guidelines for the management of multifocal
IDEM ependymoma. The only previously published case of multifocal anaplastic IDEM
ependymoma by Schuurmans et al. involved surgical resection and 20 cycles of
whole-spine radiotherapy. Schuurmans patient unfortunately died two years post
diagnosis with progressive cranial metastases and post-radiation myelopathy. In
our case, all remaining lesions are stable and she is neurologically intact at 48
month follow up.
PMID- 29354757
TI - Obstructed spinopelvic fixation in the setting of a triangular titanium
sacroiliac fusion implant: a case description.
AB - Achieving lumbosacral fusion through spinopelvic fixation is an important and
challenging aspect of spinal deformity surgery. Numerous instrumentation
techniques are available, with iliac screws and S2-alar-iliac (SRAI) screws being
most commonly used today. However, the increasing popularity of minimally
invasive sacroiliac (SI) fusion as a common procedure performed for SI joint pain
presents a distinct challenge in complex adult deformity cases requiring iliac
screw fixation. We report a case of a 71-year-old female with a longstanding
history of spinal deformity status-post T11-L5 fusion and a subsequent right
sided SI joint fusion who presented with sagittal imbalance. The SI joint fusion
implant obstructed the pathway for spinopelvic fixation. Spinopelvic fixation was
achieved by burring a pathway through the SI joint implant. The increasing
popularity of minimally invasive SI fusion as a common procedure performed for SI
joint pain presents a distinct challenge in complex adult deformity cases
requiring iliac screw fixation. This is the first report in the literature
describing a technique for spinopelvic fixation in the setting of screw
obstruction due to an instrumented SI joint.
PMID- 29354758
TI - Gibbus deformity after non-tuberculosis osteomyelitis.
AB - Gibbus deformities are characterized by anterior collapse of one or more
vertebral bodies resulting in kyphosis. These deformities usually arise from
spinal infections, and are traditionally associated with tuberculosis; other
pathogens are rarely reported in the literature. In this case report, the authors
describe a patient with a sharp, angulated Gibbus deformity presenting with back
pain and myelopathy. The patient was placed on antibiotics, underwent T11-T12
corpectomy, placement of an expandable cage, and T8-L3 fusion with improvement of
symptoms. Microbiology returned positive for non-tuberculosis osteomyelitis, and
the postoperative course was uneventful. This report further reviews the
presentation, pathology, development, and neurosurgical treatment of Gibbus
deformities. Although they have become rare as rates of tuberculosis have
declined, Gibbus deformities remain an important surgical entity that should be
recognized by the spine surgeon.
PMID- 29354759
TI - A rare cause of late onset neurological deficit in post tuberculous kyphotic
deformity-case report.
AB - Late onset neurological deficit is a rare complication of spinal tuberculosis.
Reactivation of the disease and compression by internal gibbus are the common
causes for late onset neurological deficit. We report a rare cause of late onset
paraplegia in a patient with post tubercular kyphotic deformity. The late onset
neurological deficit was due to the adjacent segment degeneration proximal to the
kyphotic deformity. Posterior hypertrophied ligamentum flavum and anterior disc
osteophyte complex caused the cord compression. The increased stress for
prolonged period at the end of the deformity was the reason for the accelerated
degeneration. Patient underwent posterior decompression, posterolateral and
interbody fusion. Deformity correction was not done. To our best knowledge, this
is only the second report of this unusual cause of late onset paraplegia.
PMID- 29354760
TI - Survival impact of the number of lymph node retrieved on patients with node
negative gastric cancer: more is better?
PMID- 29354761
TI - Surgical treatment of gastrointestinal stromal tumors of the stomach: current
status and future perspective.
AB - Gastrointestinal stromal tumors (GISTs) are the most common mesenchymal tumors of
the gastrointestinal tract, with the majority found in the stomach. Surgical
resection of the primary gastric GISTs with complete resection margin has been
the forefront of curative treatment. The indications for surgical resection are
usually related to symptomatic gastric GISTs at presentation. Primary gastric
GISTs resection performed conventionally through an open surgery can now be
frequently achieved by minimal invasive surgery with similar oncological outcome.
Surgeon's selection of the type of surgical techniques such as open, laparoscopic
and endoscopic resections depends on the site, size and local invasion of gastric
GISTs to the adjacent organ. Similarly those factors dictate the extent of
gastric resections in the form of wedge, partial or total gastrectomy. All these
inherent tumor factors (size and mitotic index), patient factors (older age,
male) and surgical factors (incomplete resection margin, tumor rupture or
spillage) play an important role in stratifying the malignant potential risk of
primary gastric GISTs and their chances of recurrence. The understanding of gene
mutation driving the growth of GISTs and the discovery of tyrosine kinase
inhibitors (TKIs) has altered the surgical management of advanced and metastatic
GISTs. Multi-modal therapy incorporating the surgical resection of GISTs and
utilizing the molecular targeted therapy in the adjuvant, neoadjuvant and
palliative settings can offer optimal personalized outcome and prolong patient's
overall survival (OS).
PMID- 29354762
TI - Surgery of the pancreatic cystic echinococcosis: systematic review.
AB - The prevalence of pancreatic cystic echinococcosis (PCE) in the world is low
ranging between 0.2% and 0.6%. The diagnosis of PCE is easy when it is associated
to other location such as liver, it became difficult when PCE was isolated
simulating other diagnosis such as pseudocyst, a choledochal cyst, serous or
mucinous cystadenoma and cystadenocarcinoma. This systematic review aimed to
provide evidence-based answer to the following questions: (I) what are the
efficient tools to affirm the diagnosis of isolated PCE and (II) what are the
best therapeutic strategy for the PCE? An electronic search was performed by two
authors (W Dougaz, I Bouasker). Medline, Scopus, Embase, Web of Science, Google
Scholar and Cochrane collaboration were consulted. The keywords used were "cyst",
"echinococcosis", "hydatid cyst" and "pancreas". All abstracts were analyzed
followed by extraction of the full text by the same two authors (W Dougaz, I
Bouasker), all divergences were resolved by discussion with C Dziri.
Recommendations were based on Oxford's classification: (I) what are the efficient
tools to affirm the diagnosis of PCE? -ultrasound remains the cornerstone of
diagnosis. Magnetic resonance imaging (MRI) reproduces the ultrasound defined
features of CE better than computed tomography (CT). MRI with heavily T2-weighted
series is preferable to CT. Pancreatic duct MRI should be promising to identify a
fistula between PCE and pancreatic duct (level of evidence 3-recommendation B);
(II) what are the best therapeutic strategy for the PCE? -surgery is the main
treatment of PCE. Open approach is validated. The decision depends of the
location of PCE: head versus body and/or tail of the pancreas (level of evidence
5-recommendation D): for the head of the pancreas, the tendency is toward
conservative surgery. For body and/or tail of the pancreas, the tendency is
toward radical surgery. Medical treatment (albendazole) should be prescribed 1
week before surgery and 2 months during postoperative period (level II evidence
and grade C recommendation).
PMID- 29354764
TI - Modifications in the International Study Group for Pancreatic Surgery (ISGPS)
definition of postoperative pancreatic fistula.
AB - Postoperative pancreatic fistula (POPF) remains the major postoperative cause of
morbidity and mortality following pancreatic surgery. Since 2005, the
International Study Group of Pancreatic Fistula (ISGPF) definition and
classification has been adopted worldwide allowing the comparison among different
surgical approaches and mitigation strategies. Over the last 11 years, several
limitations have emerged from clinical practice and in 2016 the International
Study Group for Pancreatic Surgery (ISGPS) updated the POPF definition and
grading system. Objectives of this review article were to summarize modifications
in the updated ISGPS definition and to illustrate their clinical impact.
PMID- 29354763
TI - Downstaging for hepatocellular cancer: harm or benefit?
AB - : Downstaging of hepatocellular carcinoma (HCC) to enable liver transplantation
has become an area of intense interest and research. It may allow a curative
option in patients outside widely accepted transplantation criteria, with
outcomes that, in some studies, are comparable to transplantation for patients
within criteria. There have been conflicting opinions on the best downstaging
protocols, criteria for downstaging eligibility and for assessment of response.
We therefore aimed to review the literature and evidence for downstaging, as well
as considering its drawbacks. CONCLUSION: Pooled analyses have suggested success
in down staging in about half of patients treated, but with higher recurrence
rates than patients initially within transplantation criteria. Studies with
strict inclusion criteria and mandatory waiting time before transplantation
reported survival equivalent to patients who did not require downstaging. In
carefully selected patients, there is a role for down staging to provide the
chance of transplantation and cure, with acceptable outcomes. Further multi
center, well-designed studies are required to clarify who will mostly benefit.
Until such data is available, downstaging criteria should be stated within
transplantation programs and relevant decisions should be discussed by
multidisciplinary teams.
PMID- 29354765
TI - Minimally invasive surgery for gastric gastrointestinal stromal tumors.
AB - Minimally invasive surgery has been increasingly performed for gastric
gastrointestinal stromal tumors (GIST). In this review we discuss and summarize
the current evidence on minimally invasive surgery for gastric GISTs.
Laparoscopic resection for gastric GIST has been consistently shown to be
associated with superior perioperative outcomes with no compromise in oncological
outcomes when compared to open resection in numerous retrospective case-control
studies. It has also been shown to be safe and feasible for large tumors or
tumors located in unfavorable sites. However, to date, there remains a lack of
level 1 evidence from prospective randomized control trials in support of
laparoscopic resection.
PMID- 29354767
TI - Impact of direct-acting antiviral agents on the risk for hepatocellular
carcinoma.
PMID- 29354766
TI - Laparoscopic management of neuroendocrine tumors: state-of-the-art.
AB - Over recent years, minimally invasive pancreatic resections have increasingly
been reported in the literature. Even though pancreatic surgery is still
considered a challenge for surgeons due to its technical difficulties and high
morbidity, the consolidation of minimally invasive pancreatic surgery has
included the treatment of pancreatic neuroendocrine tumors (PNETs). This article
presents a systematic review of the literature with regards to the laparoscopic
treatment of PNETs in order to assess the safety and feasibility of laparoscopic
pancreatic resections.
PMID- 29354768
TI - Acute-on-chronic liver failure-old concepts made clearer.
PMID- 29354769
TI - Predicting fatalities in serious idiosyncratic drug-induced liver injury-a matter
of choosing the best Hy's law.
PMID- 29354770
TI - Therapeutic drug monitoring in inflammatory bowel disease: too little too early?
comments on the American Gastroenterology Association Guideline.
PMID- 29354771
TI - Mortality associated with drug-induced liver injury (DILI).
PMID- 29354773
TI - Rare gastrointestinal stromal tumors (GIST): omentum and retroperitoneum.
AB - Gastrointestinal stromal tumors (GISTs) are the most common mesenchymal neoplasms
that arise in the gastrointestinal tract and rarely elsewhere in the abdomen.
GISTs that develop outside the digestive tract are called extra-GISTs (EGISTs).
The incidence of EGISTs is reported to be approximately 10% of all GISTs, and the
median age is younger than that of conventional GISTs. EGISTs have similar
histology and immunohistochemical features as conventional GISTs, with the
majority of them in the omentum and mesentery. Most GISTs harbor a kinase
activating mutation in either KIT or PDGFRA. For EGISTs, the incidence of this
type of mutation is 40-50%, which is somewhat lower than for conventional GISTs.
EGISTs may have a worse prognosis compared with conventional GISTs with high
mitotic indices, large size, and distant metastasis including lymph node
involvement. In large abdominal tumors, the visceral origin is almost impossible
to discern.
PMID- 29354772
TI - Endoscopic resection of gastric gastrointestinal stromal tumors.
AB - Gastrointestinal stromal tumors (GISTs) are the most common mesenchymal tumors in
the gastrointestinal tract, and about 60% of them are found in the stomach. With
the widespread application of endoscopy and endoscopic ultrasonography (EUS),
more and more gastric GISTs are being found in an early stage (with a relative
small diameter and no metastasis), giving the chance of complete resection.
Endoscopic resection such as endoscopic band ligation (EBL), endoscopic
submucosal dissection (ESD), endoscopic submucosal excavation (ESE), endoscopic
full-thickness resection (EFTR) and submucosal tunneling endoscopic resection
(STER), is a minimally invasive method compared with the conventional surgical
approaches (open or laparoscopic), and has been demonstrated to be safe and
effective for treating gastric GISTs. This review summarizes the recent advances
on endoscopic resection of gastric GISTs, aiming to provide a rational management
strategy for gastric GISTs.
PMID- 29354774
TI - In vitro antifungal activity of essential oils extracted from plants against
fluconazole-susceptible and -resistant Candida albicans.
AB - Background and Purpose: Candidaalbicans is the most common cause of candidal
infections. Various studies have shown drug resistance among C. albicans
isolates; thus, it is necessary to discover replacement treatments for Candida
infections. In this study, we aimed to compare the effects of different essential
oils against azoles-resistant and azoles-susceptible isolates. Materials and
Methods: Twenty fluconazole-resistant and 20 susceptible C. albicans isolates
obtained from oral, vaginal, and cutaneous tissues of patients with candidiasis
were evaluated. The efficacy and minimum inhibitory concentrations (MICs) of
Zataria multiflora, Geranium herbarum,Lavendula officinalis,Cuminum,
cyminum,Allium heamanthoides, and Artemisia sieberi essential oils against C.
albicans were determined on the basis of a reference method for broth
microdilution susceptibility testing of yeasts as suggested by Clinical and
Laboratory Standards Institute (CLSI, M27-S4). After inoculation, incubation, and
subculturation, the MICs were determined through comparison with the control.
Results: The obtained MICs for Zataria multiflora, Geranium herbarum, Artemisia
sieberi, Allium heamanthoides, Cumminum cyminum, and Lavendula officinalis were
0.1-0.25 ul/ml (mean: 0.155 ul/ml), 0.625-1.66 ul/ml (mean: 0.93 ul/ml) 0.833-2.0
ul/ml (mean: 1.21 ul/ml), 0.1-0.25 ul/ml (mean: 0.155 ul/ml), 2-4 ul/ml (mean:
3.1 ul/ml), and 1.5-3.0 ul/ml (mean: 2.4 ul/ml), respectively. The results showed
that Zataria multiflora and Allium heamanthoides essential oils were more
efficient than other essential oils against Candida species. There were no
significant differences between various Candida strains in terms of
susceptibility to the essential oils. In addition, there were no significant
differences in the MICs of these essential oils against the azoles-resistant and
azoles-susceptible isolates. Conclusion: In this study, the anti-Candida effects
of six essential oils against both azoles-resistant and azoles-susceptible
isolates were similar. Given the documented resistance of different Candida
species to synthetic and chemical antifungals, these essential oils are effective
replacement treatments for cutaneous and mucosal Candida infections, especially
in resistant or recurrent cases.
PMID- 29354775
TI - In vitro antimicrobial effect of different root canal sealers against oral
pathogens.
AB - Background and Purpose: Root canal therapy is the primary method for the
treatment of an infected pulp in modern dentistry. The main aim of endodontic
treatment is the elimination of bacteria and their products from infected root
canals. In this study, we attempted to investigate the antimicrobial activity of
three root canal sealers against oral pathogens. Materials and Methods: The
antimicrobial effectiveness of three endodontic sealers with different chemical
compositions, namely resin (AH 26), zinc oxide eugenol (ZOE), and mineral
trioxide aggregate (MTA), against Candida albicans, Streptococcus sanguis,
Streptococcus salivarius, Streptococcus mutans, and Lactobacillus casei was
assayed by agar well diffusion method (AWDM). The tested sealers were prepared
according to the manufacturer's instructions and poured in the prepared wells of
agar plates; diluted inocula (105 and 106 CFU/ml) of the tested microorganism
strains were also used. The minimum inhibitory concentration (MIC) values of the
selected canal sealers ranged between 3.12 and 50 mg.ml-1 against the employed
microorganism strains. All the plates were incubated at 37 degrees C under
anaerobic condition for bacteria and at 30 degrees C for C. albicans. After three
days, the inhibition zones were measured. Results: In this investigation, AH 26
exhibited strong activity against C. albicans with the minimum inhibitory
concentration of 12.5 mg.ml-1, but ZOE and MTA did not act against C. albicans.
ZOE sealer had the highest antimicrobial activity against the tested bacteria,
while MTA showed the lowest antimicrobial activity. Conclusion: The ascending
sequence of microbial growth inhibition zones was as follows AH 26 > ZOE > MTA.
PMID- 29354776
TI - Isolation of keratinophilic fungi from the soil of Greater Tunb, Abu-Musa, and
Sirri islands in the Persian Gulf, Iran.
AB - Background and Purpose: Keratinophilic fungi are among the important groups of
fungi living in the soil. This study aimed to isolate and identify keratinophilic
fungi from the soil of three Iranian islands, namely Greater Tunb, Abu Musa, and
Sirri, located in the Persian Gulf using morphological and molecular (polymerase
chain reaction) methods. Materials and Methods: In this study, a total of 60 soil
samples were collected from the three islands of Greater Tunb, Abu Musa, and
Sirri. The samples were analyzed for the presence of the keratinophilic fungi
using a hair baiting technique. Furthermore, the identification of keratinophilic
fungi was accomplished through the employment of molecular and sequencing
techniques. Results: A total of 130 fungal isolates, including 11 genera with 24
species, were collected. Accordingly, Chrysosporium tropicum (24;18.5%), C.
keratinophilum (17; 13.1%), Chrysosporium species (15; 11.5%), Aspergillus
species ( 8;6.1%), Aspergillus flavus (8; 6.1%), Penicillium species (8;6.1%),
Alternaria spp ( 6; 4.6%), Phoma species (5; 3.8%), Aphanoascus verrucosus
(4;3.1%), Fusarium chlamydosporum (4; 3.1%), Aspergillustrreus (4;3.1%),
Acremonium species (4; 3.1%), and other fungi( 23; 17.8 %) isolates were
identified . All isolates of keratinophilic fungi were isolated from the soils
with the pH range of 7-9. Conclusion: The results of this study contributed
towards a better conceptualization of the incidence pattern of keratinophilic
fungi in the regions of Iran. Given that no study has investigated this issue,
the findings of the present study can be beneficial for the management of public
health surveillance, physicians, and epidemiologists.
PMID- 29354777
TI - Isolation and molecular characterization of Cryptococcus species isolated from
pigeon nests and Eucalyptus trees.
AB - Background and Purpose: Cryptococcus species are pathogenic and non-pathogenic
basidiomycete yeasts that are found widely in the environment. Based on
phenotypic methods, this genus has many species; however, its taxonomy is
presently being re-evaluated by modern techniques. The Cryptococcus species
complex includes two sibling taxa of Cryptococcus neoformans and Cryptococcus
gattii. We aimed to investigate the possible distribution of Cryptococcus species
in pigeon nests and Eucalyptus trees in Ilam, Iran, using molecular techniques.
Materials and Methods: Two hundred and seventy-four specimens were collected from
pigeon nests and Eucalyptus trees during 2016-2017. All the specimens were sub
cultured on Sabouraud Glucose Agar with chloramphenicol and bird seed agar. For
molecular identification, the ITS15.8SITS2 rDNA region was amplified using the
first and fourth internal transcribed spacer (ITS1 and ITS4, respectively)
primers. The purified products were applied for cycle sequencing reactions in
forward direction with ITS1 primer. The obtained results were analyzed with
Chromas 2.3. Results: Thirty-three out of 186 cultures (17.7%) and 11 out of 88
cultures (12.5%) were positive among pigeon nest and Eucalyptus tree specimens,
respectively. Cryptococcus albidus (17.2%), C. albidus var. kuetzingii (3.4%), C.
adeliensis (3.4%), C. uzbekistanensis (3.4%), and C. neoformans var. grubii
(3.4%) were isolated from pigeon nests, and Cryptococcusadeliensis (25%) was the
only Cryptococcus species isolated from Eucalyptus trees. Conclusion: The
presence of pigeons and Eucalyptus trees in the vicinity of some particular
places such as rest homes and hospitals should be considered as a risk factor for
the immunocompromised population.
PMID- 29354778
TI - The efficacy of gaseous ozone against different forms of Candida albicans.
AB - Background and Purpose: Ozone is an inorganic molecule with effective
antimicrobial properties. Clinical treatment of ozonated water was used for the
elimination of Candidaalbicans, Enterococcus faecalis, endotoxins, and biofilms
from root canals. In addition, its therapeutic effects for tinea pedis, ulcers,
and leishmaniasis were investigated. The purpose of the present study was to
evaluate the fungicidal effects of ozone on different forms of C. albicans. In
addition, antifungal susceptibility profile of strains was assessed before and
after exposure to ozone. Materials and Methods: Fifty strains of C. albicans were
exposed to gaseous ozone at different times. Furthermore, biofilm formation and
germ tube production were evaluated when yeast suspensions were exposed to ozone.
In addition, antifungal susceptibility of ozone resistant colonies was
investiagted as compared to controls. Results: Ozone was highly effective in
killing C.albicans in yeast form and inhibition of germ tube formation during 210
and 180 s, respectively. Although with increasing exposure time biofilm
production was considerably decreased, resistance to ozone was much higher among
vaginal and nail isolates even after 60 min. All the strains were sensitive to
fluconazole, caspofungin, and terbinafine pre- and post-ozone exposure.
Resistance to amphotericin B was significantly enhanced after exposure to ozone.
Conclusion: Although ozone was highly effective on the yeast form of C.albicans
and it can inhibit the formation of germ tubes in C.albicans, the complete
removal of biofilms did not happen even after 60 min. It seems that ozone therapy
induces resistance to amphotericin B.
PMID- 29354779
TI - First case of superficial infection due to Naganishia albida (formerly
Cryptococcus albidus) in Iran: A review of the literature.
AB - Background and Purpose: Naganishia albida (formerly Cryptococcus albidus) is a
non-neoformans cryptococcal species rarely isolated as a human pathogen. Case
report: Herein, we present the case of a 26-year-old Iranian man with a
superficial cutaneous lesion in the axilla. The initial treatment for pityriasis
versicolor by clotrimazole was unsuccessful. We performed skin sampling based on
the standard protocol and conducted further investigations by the conventional
laboratory tests and molecular analysis of the skin samples. All the mentioned
analyses revealed N.albida as the causative agent of infection. The minimum
inhibitory concentration (MIC) analysis was carried out for the isolated agent,
and the patient was treated using 100 mg daily of oral itraconazole. Conclusion:
N. albida can be the causative agent of some superficial infections. This is the
first report on the successful detection and treatment of a superficial skin
infection due to N. albida by oral itraconazole.
PMID- 29354780
TI - Risk of Obstructive Sleep Apnoea is Associated with Glycaemia Status in South
Asian Men and Women in the United States.
AB - Aims: To examine the association between glycaemia status and the risk for
obstructive sleep apnoea (OSA) in a cohort of South Asians living in the United
States. Methods: A secondary analysis of a community based cohort of 899
participants from the Mediators of Atherosclerosis in South Asians Living in
America (MASALA) study. The Berlin Questionnaire was used to screen for OSA.
Results: Almost one in four (24%) South Asians was at high risk for OSA. Compared
to the normal glucose tolerance group (18%), high risk of OSA was significantly
more likely in the prediabetes (24%) and diabetes (32%) groups (p = .007). More
men (28%) than women (18%) were at high risk of OSA. Risk for OSA was also
associated with higher haemoglobin A1c values, hypertension, large waist
circumference, and BMI > 27.5 kg/m2. In a multivariate regression analysis, sleep
disordered breathing (SDB) remained significantly associated with higher
haemoglobin A1c values, even after controlling for waist circumference and other
demographic and clinical factors. Conclusions: The risk for SDB and OSA was high
among South Asian men and women. Given the association between dysglycaemia and
risk for OSA, these health issues require simultaneous clinical assessment.
Future studies using objective sleep measures such as polysomnography are
warranted in the diagnosis and treatment of OSA in the South Asian adult
population already at high risk for dysglycaemia.
PMID- 29354781
TI - Suppression of Arrhythmia by Enhancing Mitochondrial Ca2+ Uptake in
Catecholaminergic Ventricular Tachycardia Models.
AB - Cardiovascular disease-related deaths frequently arise from arrhythmias, but
treatment options are limited due to perilous side effects of commonly used
antiarrhythmic drugs. Cardiac rhythmicity strongly depends on cardiomyocyte Ca2+
handling and prevalent cardiac diseases are causally associated with
perturbations in intracellular Ca2+ handling. Therefore, intracellular Ca2+
transporters are lead candidate structures for novel and safer antiarrhythmic
therapies. Mitochondria and mitochondrial Ca2+ transport proteins are important
regulators of cardiac Ca2+ handling. Here we evaluated the potential of
pharmacological activation of mitochondrial Ca2+ uptake for the treatment of
cardiac arrhythmia. To this aim,we tested substances that enhance mitochondrial
Ca2+ uptake for their ability to suppress arrhythmia in a murine model for
ryanodine receptor 2 (RyR2)-mediated catecholaminergic polymorphic ventricular
tachycardia (CPVT) in vitro and in vivo and in induced pluripotent stem cell
derived cardiomyocytes from a CPVT patient. In freshly isolated cardiomyocytes of
RyR2R4496C/WT mice efsevin, a synthetic agonist of the voltage-dependent anion
channel 2 (VDAC2) in the outer mitochondrial membrane, prevented the formation of
diastolic Ca2+ waves and spontaneous action potentials. The antiarrhythmic effect
of efsevin was abolished by blockade of the mitochondrial Ca2+ uniporter (MCU),
but could be reproduced using the natural MCU activator kaempferol. Both
mitochondrial Ca2+ uptake enhancers (MiCUps), efsevin and kaempferol,
significantly reduced episodes of stress-induced ventricular tachycardia in
RyR2R4496C/WT mice in vivo and abolished diastolic, arrhythmogenic Ca2+ events in
human iPSC-derived cardiomyocytes.
PMID- 29354782
TI - Immune Response to Koi Herpesvirus (KHV) of Koi and Koi * Red Common Carp
(Cyprinus carpio).
AB - Koi herpesvirus (KHV), also known as Cyprinid herpes virus 3 (Cyprinid 3) is
lethal disease in common carp and koi (Cyprinus carpio). Two different groups (KK
and RK) were infected KHV by intraperitoneal injection. Fish for gene expression
analysis were sampled at 0 h, 12 h, 24 h, 48 h and 72 h post infection (p.i). The
results showed that two immune related gene, Interferons (INFs) alphabeta and
Interleukin (IL)-12 p35 induced a high response in RK. The IL-12 p35 cytokine and
Toll-like receptor (TLR) 9 were significantly high expressed on 48 h post
infection (p.i) in RK as compared to the KK. The histopatological examination
reveals focal necrosis in liver and infiltrate of lymphocytes in spleen of KK as
compared to the RK. In immunohistochemistry analysis, the KHV protein high
expressed in the infected kidney cell and slenocyte of KK. Therefore, the
expression of IL-12 p35, IFN alphabeta and TLR 9 may provide a potentially genes
related with KHV resistance in Koi and red common carp * koi.
PMID- 29354783
TI - Expression Analysis of Interferon-Stimulated Gene 15 in the Rock Bream
Oplegnathus fasciatus against Rock Bream Iridovirus (RSIV) Challenge.
AB - Interferon-stimulated gene 15 (ISG15) is known to interfere with viral
replication and infection by limiting the viral infection of cells. Interferon
stimulated gene 15 (ISG15) interferes with viral replication and infectivity by
limiting viral infection in cells. It also plays an important role in the immune
response. In this study, tissue-specific expression of ISG15 in healthy rock
bream samples and spatial and temporal expression analysis of rock bream ISG15
(RbISG15) were performed following rock bream iridovirus (RSIV) infection.
RbISG15 expression was significantly higher in the eye, gill, intestine, kidney,
liver, muscle, spleen, and stomach, but low in the brain. There were particularly
high levels of expression in the liver and muscle. RbISG15 expression was also
examined in several tissues and at various times following RSIV infection. ISG15
expression increased within 3 h in the whole body and decreased at 24 h after
infection. In addition, temporal expression of several tissues following RSIV
infection showed a similar pattern in the muscle, kidney, and spleen, increasing
at 3 h and decreasing at 72 h. These results suggest that ISG15 plays an
important role in the immune response of rock bream. Overall, this study
characterizes the response of RbISG15 following RSIV infection.
PMID- 29354784
TI - Aberrant Expression of Cx Isoforms in the Adult Caput Epididymis exposed to
Estradiol Benzoate or Flutamide at the Weaning.
AB - Connexin (Cx) involves in the regulation of various physiological functions of
tissue by forming a channel, a gap junction which allows direct cell-cell
communication, between adjacent cells. The effect of a single subcutaneous
treatment of estradiol benzoate (EB) or flutamide (Flu) at the weaning age on the
expression of Cx isoforms in the adult caput epididymis was evaluated in this
research. Using quantitative real-time PCR analysis, a low-dose of EB [0.015
MUg/kg body weight (BW)] caused significant decreases of Cx30.3, Cx32, Cx40,
Cx43, and Cx45 mRNA levels and no change of Cx26, Cx31, Cx31.1, Cx37 transcript
levels. The treatment of a high-dose EB (1.5 MUg/kg BW) resulted in reduced
expression of Cx30.3, Cx31, Cx43, and Cx45 but increased expression of Cx37 and
Cx40. Expression of all Cx isoforms examined, except Cx31, was significantly
increased by the treatment of a low-dose Flu (500 MUg/kg BW). However, the
treatment of a high-dose Flu (5 mg/kg BW) led significant expressional
suppression of Cx30.3, Cx31, Cx31.1, Cx32, Cx40, Cx43, and Cx45 but an increase
of Cx37 transcript level. With the comparison of previous findings, the
expression of Cx isoforms in the adult epididymis after the exposure to EB or Flu
is likely differentially regulated in regional-specific and/or exposed postnatal
age-specific manner.
PMID- 29354785
TI - Correlation of Developmental Deformity with Calcium, Phosphorus, or Estradiol
17beta Levels in Reared Red Spotted Grouper, Epinephelus akaara Juveniles.
AB - Skeletal deformities are significant problems that affect the growth and
commercial value of fish reared in hatcheries. However, studies of bone metabolic
process related to skeletal deformities are limited. We investigated the
potential correlation between bone deformities and plasma calcium, phosphorus,
and estradiol-17beta levels in reared red spotted grouper (Epinephelus akaara)
juveniles. We collected E. akaara frys from private farms at 110, 140, 180 and
300 days after hatching (DAH), and classified the normal and deformed fish by
observing their external shape and inner frame by soft X-ray. We also analyzed
the calcium, phosphorous, and estradiol-17beta levels in their plasma. A
comparison between normal and deformed fish, indicated that calcium and estradiol
17beta levels were higher in deformed fish than in the normal at 180 and 300 DAH.
The level of phosphorus was also higher in deformed individuals than in normal
fish, but only at 300 DAH. These results suggest that skeletal deformities are
associated with increases in plasma calcium, phosphorus, and estradiol-17beta
levels.
PMID- 29354786
TI - Regulation of the Endoplasmic Reticulum Stress by BIP/GRP78 is involved in
Meiotic Maturation of Porcine Oocytes In Vitro.
AB - In the present study, we investigated the role of binding immunoglobulin
protein/glucose-regulated protein, 78-kDa (BIP/GRP78)-regulated endoplasmic
reticulum (ER)-stress on meiotic maturation and cumulus cells expansion in
porcine cumulus-oocyte complexes (COCs). Previously, it has been demonstrated
that unfolded protein response (UPR)-related genes, such as molecules involved in
ER-stress defense mechanisms, were expressed in matured oocytes and cumulus cells
during in vitro maturation (IVM) of porcine oocytes. However, BIP/GRP78-mediated
regulation of ER stress in porcine oocytes has not been reported. Firstly, we
observed the effects of knockdown of BIP/GRP78 (an UPR initiation marker) using
porcine-specific siRNAs (#909, #693, and #1570) on oocyte maturation. Among all
siRNAs, siRNA #693 significantly reduced the protein levels of UPR marker
proteins (BIP/GRP78, ATF4, and P90ATF6) in porcine COCs observed by Western
blotting and immunofluorescence analysis. We also observed that the reduction of
BIP/GRP78 levels by siRNA#693 significantly inhibited the meiotic maturation of
oocytes (siRNA #693: 32.5+/-10.1% vs control: 77.8+/-5.3%). In addition, we also
checked the effect of ER-stress inhibitors, tauroursodeoxycholic acid (TUDCA, 200
MUM) and melatonin (0.1 MUM), in BIP/ GRP78-knockdown oocytes. TUDCA and
melatonin treatment could restore the expression levels of ER-stress marker
proteins (BIP/GRP78, p-eIF2alpha, eIF2alpha, ATF4, and P90ATF6) in siRNA #693
transfected matured COCs. In conclusion, these results demonstrated that
BIP/GRP78-mediated regulation of UPR signaling and ER stress plays an important
role in in vitro maturation of porcine oocytes.
PMID- 29354787
TI - Mitochondrial Complex I Inhibition Accelerates Amyloid Toxicity.
AB - Alzheimer's disease (AD) is neurodegenerative disease, characterized by the
progressive decline of memory, cognitive functions, and changes in personality.
The major pathological features in postmortem brains are neurofibrillary tangles
and amyloid beta (Abeta) deposits. The majority of AD cases are sporadic and age
related. Although AD pathogenesis has not been established, aging and declining
mitochondrial function has been associated. Mitochondrial dysfunction has been
observed in AD patients' brains and AD mice models, and the mice with a genetic
defect in mitochondrial complex I showed enhanced Abeta level in vivo. To
elucidate the role of mitochondrial complex I in AD, we used SH-SY5Y cells
transfected with DNA constructs expressing human amyloid precursor protein (APP)
or human Swedish APP mutant (APP-swe). The expression of APP-swe increased the
level of Abeta protein in comparison with control. When complex I was inhibited
by rotenone, the increase of ROS level was remarkably higher in the cells
overexpressing APP-swe compared to control. The number of dead cell was
significantly increased in APP-swe-expressing cells by complex I inhibition. We
suggest that complex I dysfunction accelerate amyloid toxicity and mitochondrial
complex I dysfunction in aging may contribute to the pathogenesis of sporadic AD.
PMID- 29354788
TI - Genetic Distances of Scallop (Chlamys farreri) Populations investigated by PCR
Procedure.
AB - The author performed PCR-based genetic platform to measure the hierarchical
dendrogram of Euclidean genetic distances of Korean scallop populations (KSP),
particularly for Chlamys farreri, which was further compared with those of the
Chinese scallop populations (CSP), by employing the with specifically designed
oligonucleotide primer sets. The scallop is economically and ecologically very
important bivalves in South Korea. Relatively, individuals of KSP population were
fairly distantly related to that of CSP population, as shown in the hierarchical
dendrogram of Euclidean genetic distances. Comparatively, individuals of KSP
population were fairly distantly related to that of CSP population. Thus analysis
of genetic difference between scallop populations could provide important
statistics for fishery and aquaculture. Overall the results showed specific
and/or conserved genetic loci between scallop populations. Information on the
genetic distance of the bivalve would be helpful to understand scallop expansion
or conservation in the coastal regions of South Korea. Specific markers developed
by the author will be useful for the analysis of scallop population genetics and
distribution in coastal region.
PMID- 29354790
TI - Protein Interaction Mapping of Translational Regulators Affecting Expression of
the Critical Stem Cell Factor Nos.
AB - The germline stem cells of the Drosophila ovary continuously produce eggs
throughout the life- span. Intricate regulation of stemness and differentiation
is critical to this continuous production. The translational regulator Nos is an
intrinsic factor that is required for maintenance of stemness in germline stem
cells. Nos expression is reduced in differentiating cells at the post
transcriptional level by diverse translational regulators. However, molecular
mechanisms underlying Nos repression are not completely understood. Through three
distinct protein-protein interaction experiments, we identified specific
molecular interactions between translational regulators involved in Nos
repression. Our findings suggest a model in which protein complexes assemble on
the 3' untranslated region of Nos mRNA in order to regulate Nos expression at the
post-transcriptional level.
PMID- 29354789
TI - Hershberger Assays for Bisphenol-A and Its Substitute Candidates.
AB - Bisphenol-A(BPA) is a member of alkylphenol family, and shows adverse effects
including reduced fertility, reproductive tract abnormalities, metabolic
disorder, cancer induction, neurotoxicity and immunotoxicity. In the present
study, we conducted Hershberger assay to evaluate whether the two candidates to
replace BPA have androgenic or antiandrogenic activity. The assay was carried out
using immature castrated Sprague-Dawley male rats. After 7 days of the surgery,
testosterone propionate (TP, 0.4 mg/kg/day) and test materials (low dose, 40
mg/kg/day; high dose, 400 mg/kg/day) were administered for 10 consecutive days by
subcutaneous (s.c.) injection and oral gavage, respectively. Test materials were
BPA, isosorbide (ISO) and cyclohexanedimethanol (CHDM). The rats were necropsied,
and then the weights of five androgen-dependent tissues [ventral prostate,
seminal vesicle, levator ani-bulbocavernosus (LABC) muscle, paired Cowper's
glands, and glans penis] and three androgen-insensitive tissues (kidney, spleen
and liver) were measured. All test materials including BPA did not exhibit any
androgenic activity in the assay. On the contrary, antiandrogen-like activities
were found in all test groups, and the order of the intensity was CHDM > BPA >
ISO in the five androgen-sensitive tissues. There was no statistical difference
between low dose treatment and high dose treatment of BPA group as well as ISO
group. In CHDM group, high dose treatment exhibited most severe weight reduction
in all measured tissues. There was no statistical difference in androgen
insensitive tissue measurements, except BPA groups. Since the effects of ISO
treatment on the accessory sex organs were much less or not present at all when
compared to those of BPA, ISO could be a strong candidate to replace BPA. CHDM
treatment brought most severe weight reduction in all of androgen-sensitive
tissues, so this material should be excluded for further screening of BPA
substitute selection.
PMID- 29354791
TI - Cathepsin B & D and the Survival of Early Embryos in Red Spotted Grouper,
Ephinephelus akaara.
AB - Survival of embryos largely depends on yolk processing during early development.
Proteolytic enzymes, cathepsin B & D (ctsb & ctsd) are known to have some
important roles in yolk processing of various fish species. Mature female red
spotted groupers were injected with human chorionic gonadotropin (HCG) to induce
ovulation. The fertilized eggs and embryos were sampled at 0, 4 and 24 HPF (hours
post fertilization). Survivals of each groups of embryos were checked at 24 and
48 HPH (hours post hatching). Transcripts of ctsb & ctsd showed the highest level
at 0 HPF and relatively high at 4 HPF, but greatly decreased at 24 HPF. In bad
egg quality group (BE, embryos survived until 24 HPH), transcript level of ctsb
at 4 HPF were significantly lower than the transcript level at the same stage in
good egg quality group (GE, embryos survived until 48 HPH) while no significant
change of ctsb transcript level was observed at 0 or 24 HPF between BE and GE.
Transcript level of ctsd was decreased at 24 HPF, but the difference was not as
strong as the case of ctsb transcript. These results suggest that maternal ctsb
transcript rather than ctsd transcript is likely to be involved in egg quality
resulting in the difference of survival rate of embryos at early developmental
period in this species.
PMID- 29354792
TI - Mitochondria-Specific Monoclonal Antibodies in Eggs and Embryos of the Ascidian
Halocynthia roretzi.
AB - Ascidian embryos have become an important model for embryological studies,
offering a simple example for mechanisms of cytoplasmic components segregation.
It is a well-known example that the asymmetric segregation of mitochondria into
muscle lineage cells occurs during ascidian embryogenesis. However, it is still
unclear which signaling pathway is involved in this process. To obtain molecular
markers for studying mechanisms involved in the asymmetric distribution of
mitochondria, we have produced monoclonal antibodies, Mito-1, Mito-2 and Mito-3,
that specifically recognize mitochondriarich cytoplasm in cells of the ascidian
Halocynthia roretzi embryos. These antibodies stained cytoplasm like reticular
structure in epidermis cells, except for nuclei, at the early tailbud stage.
Similar pattern was observed in vital staining of mitochondria with DiOC2, a
fluorescent probe of mitochondria. Immunostaining with these antibodies showed
that mitochondria are evenly distributed in the animal hemisphere blastomeres at
cleavage stages, whereas not in the vegetal hemisphere blastomeres. Mitochondria
were transferred to the presumptive muscle and nerve cord lineage cells of the
marginal zone in the vegetal hemisphere more than to the presumptive mesenchyme,
notochord and endoderm lineage of the central zone. Therefore, it is suggested
that these antibodies will be useful markers for studying mechanisms involved in
the polarized distribution of mitochondria during ascidian embryogenesis.
PMID- 29354793
TI - Erratum to : Bitter Melon Seed Extract does not Alter Photoperiodic Effects on
Reproduction of Male Golden Hamsters.
AB - [This corrects the article DOI: 10.12717/DR.2017.21.2.215.].
PMID- 29354794
TI - Electrically driven spin qubit based on valley mixing.
AB - The electrical control of single spin qubits based on semiconductor quantum dots
is of great interest for scalable quantum computing since electric fields provide
an alternative mechanism for qubit control compared with magnetic fields and can
also be easier to produce. Here we outline the mechanism for a drastic
enhancement in the electrically-driven spin rotation frequency for silicon
quantum dot qubits in the presence of a step at a heterointerface. The
enhancement is due to the strong coupling between the ground and excited states
which occurs when the electron wave function overcomes the potential barrier
induced by the interface step. We theoretically calculate single qubit gate times
tpi of 170 ns for a quantum dot confined at a silicon/silicon-dioxide interface.
The engineering of such steps could be used to achieve fast electrical rotation
and entanglement of spin qubits despite the weak spin-orbit coupling in silicon.
PMID- 29354795
TI - Application of a faith-based integration tool to assess mental and physical
health interventions.
AB - Background: To build on current research involving faith-based interventions
(FBIs) for addressing mental and physical health, this study a) reviewed the
extent to which relevant publications integrate faith concepts with health and b)
initiated analysis of the degree of FBI integration with intervention outcomes.
Methods: Derived from a systematic search of articles published between 2007 and
2017, 36 studies were assessed with a Faith-Based Integration Assessment Tool
(FIAT) to quantify faith-health integration. Basic statistical procedures were
employed to determine the association of faith-based integration with
intervention outcomes. Results: The assessed studies possessed (on average)
moderate, inconsistent integration because of poor use of faith measures, and
moderate, inconsistent use of faith practices. Analysis procedures for
determining the effect of FBI integration on intervention outcomes were
inadequate for formulating practical conclusions. Conclusions: Regardless of
integration, interventions were associated with beneficial outcomes. To determine
the link between FBI integration and intervention outcomes, additional analyses
are needed.
PMID- 29354796
TI - DNA Methylation-Based Classifier for Accurate Molecular Diagnosis of Bone
Sarcomas.
AB - Purpose: Pediatric sarcomas provide a unique diagnostic challenge. There is
considerable morphologic overlap between entities, increasing the importance of
molecular studies in the diagnosis, treatment, and identification of therapeutic
targets. We developed and validated a genome-wide DNA methylation based
classifier to differentiate between osteosarcoma, Ewing's sarcoma, and synovial
sarcoma. Materials and Methods: DNA methylation status of 482,421 CpG sites in 10
Ewing's sarcoma, 11 synovial sarcoma, and 15 osteosarcoma samples were determined
using the Illumina Infinium HumanMethylation450 array. We developed a random
forest classifier trained from the 400 most differentially methylated CpG sites
within the training set of 36 sarcoma samples. This classifier was validated on
data drawn from The Cancer Genome Atlas (TCGA) synovial sarcoma, TARGET
Osteosarcoma, and a recently published series of Ewing's sarcoma. Results:
Methylation profiling revealed three distinct patterns, each enriched with a
single sarcoma subtype. Within the validation cohorts, all samples from TCGA were
accurately classified as synovial sarcoma (10/10, sensitivity and specificity
100%), all but one sample from TARGET-OS were classified as osteosarcoma (85/86,
sensitivity 98%, specificity 100%) and 14/15 Ewing's sarcoma samples classified
correctly (sensitivity 93%, specificity 100%). The single misclassified
osteosarcoma sample demonstrated high EWSR1 and ETV1 expression on RNA-seq
although no fusion was found on manual curation of the transcript sequence. Two
additional clinical samples, that were difficult to classify by morphology and
molecular methods, were classified as osteosarcoma when previously suspected to
be a synovial sarcoma and Ewing's sarcoma on initial diagnosis, respectively.
Conclusion: Osteosarcoma, synovial sarcoma, and Ewing's sarcoma have distinct
epigenetic profiles. Our validated methylation-based classifier can be used to
provide diagnostic assistance when histological and standard techniques are
inconclusive.
PMID- 29354797
TI - Correlates of plasma and platelet tissue factor pathway inhibitor, factor V, and
Protein S.
AB - Background: Plasma Tissue Factor Pathway Inhibitor (TFPI) circulates bound to
factor V (fV) and Protein S (PS). Estrogen therapy decreases plasma TFPI and PS.
TFPI, fV, and PS circulate within platelets, and are released upon activation to
modulate thrombus formation. Objective: Identify factors affecting the
concentrations of plasma and platelet TFPI, fV, and PS. Methods: Blood samples
were obtained from 435 healthy individuals. Plasma total TFPI, TFPIalpha, fV, and
PS, and platelet TFPI, fV, and PS were quantified. Correlations between these
protein concentrations and age, gender, race, and estrogen use were established.
Results: In males, only plasma fV increased with age, while in females, all
plasma analytes increased with age. Males had higher plasma total TFPI,
TFPIalpha, and PS than females. The platelet proteins in either sex remained
relatively stable with increasing age. Platelet TFPI and PS were comparable in
both sexes, while platelet fV was higher in females. Estrogen use was associated
with decreased plasma total TFPI and TFPIalpha, and platelet PS, but not with
platelet TFPI concentration. Racial differences in plasma and platelet proteins
were observed, some of which were larger than inter-individual differences
observed within racial groups. TFPI, fV and PS concentrations correlated in
plasma, while only fV and PS correlated in platelets. Conclusions: Plasma and
platelet TFPI, fV and PS differ in their: (i) in vivo association; (ii)
demographic correlates; and (iii) alteration by estrogen therapies. Therefore,
the plasma and platelet pools of these proteins may modulate hemostasis and
thrombosis via different biochemical pathways.
PMID- 29354798
TI - Activity of Factor XII-Locarno.
AB - Background: Factor XII (FXII) Locarno is a natural variant with proline replacing
Arg353 at the activation cleavage site, preventing conversion to the fully active
protease factor XIIa (FXIIa). Recently, we showed that FXII restricted to a
single chain form (sc-FXII) by replacing Arg353 with alanine expresses
proteolytic activity that is enhanced by cofactors such as polyphosphate. Aim: To
determine if the Pro353 substitution affects the activity of sc-FXII. Methods:
Wild type FXII (FXII-WT), FXII-R353A, and FXII Locarno (FXII-R353P) were tested
for their abilities to activate prekallikrein, and to induce thrombin generation
and coagulation in plasma in a factor XI-dependent manner. Results: FXII-WT is
converted to FXIIa by autoactivation in the presence of polyphosphate, and by
incubation with kallikrein. FXII-R353P and FXII-R353A were not converted to FXIIa
by these methods. Despite this, FXII-R353A converts prekallikrein to kallikrein,
and the reaction is enhanced by polyphosphate. FXII-R353P also converts
prekallikrein to kallikrein, but at a slower rate than FXII-R353A. In FXII
deficient plasma induced to clot with silica, FXII-R353A is a better promoter of
factor XI-dependent thrombin generation and coagulation than FXII-R353P.
Conclusion: The activity of sc-FXII is sensitive to perturbations in the
activation loop, which contains residue 353. Homology modeling based on the
crystal structure of the FXII homolog tissue plasminogen activator suggests that
Pro353 introduces changes in the shape and flexibility of the activation loop
that disrupt key interactions that support an active conformation in sc-FXII.
PMID- 29354799
TI - Temperature dependent 29Si incorporation during deposition of highly enriched
28Si films.
AB - In this study, we examine the mechanisms leading to 29Si incorporation into
highly enriched 28Si films deposited by hyperthermal ion beams at elevated
temperatures in the dilute presence of natural abundance silane (SiH4) gas.
Enriched 28Si is a critical material in the development of quantum information
devices because 28Si is free of nuclear spins that cause decoherence in a quantum
system. We deposit epitaxial thin films of 28Si enriched in situ beyond 99.99998
% 28Si onto Si(100) using an ion beam deposition system and seek to develop the
ability to systematically vary the enrichment and measure the impact on quantum
coherence. We use secondary ion mass spectrometry to measure the residual 29Si
isotope fraction in enriched samples deposited from ~ 250 degrees C up to 800
degrees C. The 29Si isotope fraction is found to increase from < 1 * 10-6 at the
lower temperatures, up to > 4 * 10-6 at around 800 degrees C. From these data,
we estimate the temperature dependence of the incorporation fraction, s, of SiH4,
which increases sharply from about 2.9 * 10-4 at 500 degrees C to 2.3 * 10-2 at
800 degrees C. We determine an activation energy of 1.00(8) eV associated with
the abrupt increase in incorporation and conclude that below 500 degrees C, a
temperature independent mechanism such as activation from ion collisions with
adsorbed SiH4 molecules is the primary incorporation mechanism. Direct
incorporation from the adsorbed state is found to be minimal.
PMID- 29354800
TI - Halting Schistosoma haematobium - associated bladder cancer.
AB - Background: At present schistosomiasis is endemic in 78 countries affecting more
than 260 million people. Schistosomiasis haematobia alone affects more than 112
millions. Material and Methods: We performed a computerized search of Pubmed
database with keywords: bladder cancer cost and schistosomiasis mass treatment.
Results: Bladder cancer is an important sequelae of this infection. In low
resource countries, where this disease is endemic, individuals inflicted with
bladder cancer have very limited access to treatment and death is most probably
certain. Conclusion: Mass treatment with praziquantel is an easy, safe and
inexpensive treatment that could save the lives of thousands and reduce the
morbidity of millions.
PMID- 29354801
TI - IL-27p28 Production by XCR1+ Dendritic Cells and Monocytes Effectively Predicts
Adjuvant-Elicited CD8+ T Cell Responses.
AB - It is well accepted that the innate response is a necessary prerequisite to the
formation of the adaptive response. This is true for T cell responses against
infections or adjuvanted subunit vaccination. However, specific innate parameters
with predictive value for the magnitude of an adjuvant-elicited T cell response
have yet to be identified. We previously reported how T cell responses induced by
subunit vaccination were dependent on the cytokine IL-27. These findings were
unexpected, given that T cell responses to an infection typically increase in the
absence of IL-27. Using a novel IL-27p28-eGFP reporter mouse, we now show that
the degree to which an adjuvant induces IL-27p28 production from dendritic cells
and monocytes directly predicts the magnitude of the T cell response elicited. To
our knowledge, these data are the first to identify a concrete innate correlate
of vaccine-elicited cellular immunity, and they have significant practical and
mechanistic implications for subunit vaccine biology.
PMID- 29354802
TI - Intracranial artery stenosis: Current status of evaluation and treatment in
China.
AB - Intracranial artery stenosis (ICAS), a common cause of ischemic stroke, is a
growing cause of concern in China. Recently, many epidemiological, etiological,
pathophysiological, therapy, and diagnostic imaging studies have focused on ICAS,
and guidelines and consensus on the diagnosis and treatment of ICAS have been
published and updated by domestic experts. Such work is pivotal to our enhanced
comprehension, diagnosis, and treatment of ICAS. In this review, we summarize the
latest progress in the evaluation and treatment of ICAS in China.
PMID- 29354803
TI - Current advances in circulating inflammatory biomarkers in atherosclerosis and
related cardio-cerebrovascular diseases.
AB - Atherosclerosis (AS) is a systemic chronic disease affecting both the coronary
and cerebral arteries. Inflammation plays a key role in the initiation and
progression of AS, and numerous inflammatory factors have been proposed as
potential biomarkers. This article reviews recent research in studies on major
circulating inflammatory biomarkers to identify surrogates that may reflect
processes associated with AS development and the risk of AS-related vascular
events, such as Von Willebrand factor, lectin-like oxidized low-density
lipoprotein receptor-1, soluble urokinase plasminogen activator receptor,
regulated upon activation, normal T-cell expressed and secreted, and
microparticles, which may provide new perspectives for clinical AS evaluation and
risk stratification.
PMID- 29354804
TI - Are statins beneficial for the treatment of pulmonary hypertension?
AB - Pulmonary hypertension (PH) is a condition characterized by vasoconstriction and
vascular remodeling with a poor prognosis. The current medical treatments
available are supportive care therapy and pulmonary vascular-targeted therapy.
Targeted treatments for PH include prostacyclin analogs, endothelin receptor
antagonists, and phosphodiesterase type 5 inhibitors; however, these treatments
cannot reverse pulmonary vascular remodeling. Recently, many novel treatment
options involving drugs such as statins have been emerging. In this review, we
attempt to summarize the current knowledge of the role of statins in PH treatment
and their potential clinical effects. Many basic researches have proved that
statins can be helpful for the treatment of PH both in vitro and in experimental
models. The main mechanisms underlying the effects of statins are restoration of
endothelial function, attenuation of pulmonary vascular remodeling, regulation of
gene expression, regulation of intracellular signaling processes involved in PH,
anti-inflammatory responses, and synergy with other targeted drugs. Nevertheless,
clinical researches, especially randomized controlled trials for PH are rare. The
current clinical researches show contrasting results on the clinical effects of
statins in patients with PH. Carefully designed randomized, controlled trials are
needed to test the safety and efficacy of statins for PH treatment.
PMID- 29354805
TI - Current progress and outcomes of clinical trials on using epidermal growth factor
receptor-tyrosine kinase inhibitor therapy in non-small cell lung cancer patients
with brain metastases.
AB - Non-small cell lung cancer (NSCLC) continues to be one of the major causes of
cancer-related deaths worldwide, and brain metastases are the major cause of
death in NSCLC patients. With recent advances in understanding the underlying
molecular mechanism of NSCLC development and progression, mutations in epidermal
growth factor receptor (EGFR) have been recognized as a key predictor of
therapeutic sensitivity to EGFR tyrosine kinase inhibitors (TKIs). Using EGFR-TKI
alone or in combination with standard treatments such as whole-brain radiotherapy
and surgery has been an effective strategy for the management of brain
metastasis. Particularly, a newer generation of EGFR-TKIs, including osimertinib
and AZD3759, has been developed. These new EGFR-TKIs can cross the blood-brain
barrier and potentially treat EGFR-TKI resistance and improve prognosis. In this
article, current progress and outcomes of clinical trials on the use of EGFR-TKIs
for treating NSCLC patients with brain metastasis will be reviewed.
PMID- 29354806
TI - Ethnic differences in the association between angiotensin-converting enzyme gene
insertion/deletion polymorphism and peripheral vascular disease: A meta-analysis.
AB - Background: Several studies have investigated the association of angiotensin
converting enzyme (ACE) gene insertion/deletion (I/D) polymorphism with
peripheral vascular disease (PVD); however, the results remain controversial.
Therefore, we conducted the current meta-analysis to evaluate this relationship
in the general population of different ethnicities. Methods: We searched PubMed,
Embase, Web of Science, Wanfang Database, and CNKI to identify eligible studies.
Random-effect models were applied to estimate the pooled odds ratio (OR) with a
95% confidence interval (CI), regardless of between-study heterogeneity. Results:
A total of 13 studies with 1966 cases and 6129 controls were included in this
meta-analysis. The pooled ORs for the association between ACE I/D polymorphism
and PVD risk were not statistically significant in the overall population under
all genetic models. In further ethnicity-stratified analyses, we found a
statistically significant association of ACE I/D polymorphism with PVD
susceptibility in Asians under most models. However, the association among
Caucasians did not reach statistical significance. Conclusion: ACE I/D
polymorphism might be associated with susceptibility to PVD in the Asian
population, but there was no clear evidence indicating a similar significant
relationship among Caucasians.
PMID- 29354808
TI - Correlation between the clinicopathological features and prognosis in patients
with extranodal natural killer/T cell lymphoma.
AB - Objective: To investigate the correlation between the clinicopathological
features and prognosis in patients with extranodal natural killer (NK)/T-cell
lymphoma (ENKTCL). Methods: One hundred and four patients diagnosed with ENKTCL
at the Department of Pathology, Cancer Hospital, Chinese Academy of Medical
Sciences, Beijing, China from November 1991 to September 2011 were included in
the study. The clinicopathological features and their correlations with disease
prognosis were evaluated in these patients. Results: The number of effective
follow-up cases was 56 (53.8%) by the end of last follow-up in October 2015.
Univariate survival analysis showed that granzyme B, perforin, and Bcl-2
expression was significantly associated with a poor prognosis in ENKTCL (P =
0.033, 0.004, and 0.034, respectively), whereas platelet-derived growth factor
receptor-alpha (PDGFRA) expression was significantly associated with a better
prognosis (P = 0.034). Ki-67 overexpression (>=50%) was significantly associated
with a poor prognosis (P = 0.017). Different treatment approaches were also
associated with prognosis (P = 0.014); specifically, the efficacies of
combination treatments including chemotherapy and radiotherapy, and autologous
hematopoietic stem cell transplantation were significantly better than those
involving radiotherapy and chemotherapy alone. Patient gender, age, tumor
location, staging, the presence of B symptoms, pretreatment lactate dehydrogenase
levels, and beta2-microglobulin levels were not associated with the prognosis of
ENKTCL (P > 0.05). However, multivariate analyses showed that the treatment
approach and all the immune markers were not independent prognostic factors for
ENKTCL. Conclusion: Granzyme B, perforin, and Bcl-2 expression and Ki-67
overexpression (>=50%) might be adverse prognostic factors for ENKTCL, whereas
PDGFRA-positivity suggested a better disease prognosis. In addition, different
treatment approaches might be closely related to patient prognosis.
PMID- 29354809
TI - Acute myocardial infarction caused by myocardial bridging alone confirmed by
using intravascular ultrasonography.
PMID- 29354807
TI - Main air pollutants and ventricular arrhythmias in patients with implantable
cardioverter-defibrillators: A systematic review and meta-analysis.
AB - Objective: Previous studies of ambient air pollutants and ventricular arrhythmias
in patients with implantable cardioverter-defibrillator (ICD) have yielded mixed
results, and the association between air pollution and ventricular arrhythmias in
these patients remains unclear. This study aimed to assess and quantify the
association between exposure to major air pollutants [CO, inhalable particles
(PM10), SO2, fine particulate matter (PM2.5), O3, and NO2] and the presence of
ventricular arrhythmia in patients with ICD. Methods: The Medline, PubMed, Web of
Science, Global Health Library, Virtual Health Library, Population Information
Online (POPLINE), and New York Academy of Medicine Grey Literature Report
databases were searched to identify studies analyzing the association between
ventricular arrhythmias in patients with ICD and the abovementioned main air
pollutants. Pooled estimates were generated using a random-effects model or fixed
effects model, according to the value of heterogeneity. Heterogeneity within
studies was assessed using Cochran's Q and I2 statistics. Funnel plots, Egger's
regression test, and Begg's rank correlation method were used to evaluate
publication bias. Sensitivity analyses were also conducted to evaluate the
potential sources of heterogeneity. Results: After a detailed screening of 167
studies, seven separate studies were identified. Ventricular arrhythmias in
patients with ICD were found to be positively, but not significantly, associated
with CO, PM10, SO2, PM2.5, and NO2, with a pooled estimate [odds ratio (OR)
associated with each 10 MUg/m3 increase in pollutant concentration, except for
CO, which was associated with each 1 mg/m3 increase in concentration] of 1.03
[95% confidence interval (CI): 0.92-1.17, P = 0.59] for CO, 1.01 (95%CI: 0.97
1.05, P = 0.55) for PM10, 1.09 (95%CI: 0.95-1.24, P = 0.22) for SO2, 1.07 (95%CI:
0.95-1.21, P = 0.25) for PM2.5, and 1.06 (95%CI: 0.98-1.14, P = 0.16) for NO2. No
increased risk of ventricular arrhythmias in patients with ICD was found to be
associated with O3 (OR = 1.00; 95%CI: 0.98-1.01, P = 0.56). Conclusions: The
results of this study provide little evidence that ambient air pollutants affect
the risk of ICD discharges for treating ventricular arrhythmias.
PMID- 29354810
TI - ShapeShop: Towards Understanding Deep Learning Representations via Interactive
Experimentation.
AB - Deep learning is the driving force behind many recent technologies; however, deep
neural networks are often viewed as "black-boxes" due to their internal
complexity that is hard to understand. Little research focuses on helping people
explore and understand the relationship between a user's data and the learned
representations in deep learning models. We present our ongoing work, ShapeShop,
an interactive system for visualizing and understanding what semantics a neural
network model has learned. Built using standard web technologies, ShapeShop
allows users to experiment with and compare deep learning models to help explore
the robustness of image classifiers.
PMID- 29354811
TI - Unsupervised Discovery of Spatially-Informed Lung Texture Patterns for Pulmonary
Emphysema: The MESA COPD Study.
AB - Unsupervised discovery of pulmonary emphysema subtypes offers the potential for
new definitions of emphysema on lung computed tomography (CT) that go beyond the
standard subtypes identified on autopsy. Emphysema subtypes can be defined on CT
as a variety of textures with certain spatial prevalence. However, most existing
approaches for learning emphysema subtypes on CT are limited to texture features,
which are sub-optimal due to the lack of spatial information. In this work, we
exploit a standardized spatial mapping of the lung and propose a novel framework
for combining spatial and texture information to discover spatially-informed lung
texture patterns (sLTPs). Our spatial mapping is demonstrated to be a powerful
tool to study emphysema spatial locations over different populations. The
discovered sLTPs are shown to have high reproducibility, ability to encode
standard emphysema subtypes, and significant associations with clinical
characteristics.
PMID- 29354812
TI - mHealth Visual Discovery Dashboard.
AB - We present Discovery Dashboard, a visual analytics system for exploring large
volumes of time series data from mobile medical field studies. Discovery
Dashboard offers interactive exploration tools and a data mining motif discovery
algorithm to help researchers formulate hypotheses, discover trends and patterns,
and ultimately gain a deeper understanding of their data. Discovery Dashboard
emphasizes user freedom and flexibility during the data exploration process and
enables researchers to do things previously challenging or impossible to do - in
the web-browser and in real time. We demonstrate our system visualizing data from
a mobile sensor study conducted at the University of Minnesota that included 52
participants who were trying to quit smoking.
PMID- 29354813
TI - A quantitative approach for pesticide analysis in grape juice by direct
interfacing of a matrix compatible SPME phase to dielectric barrier discharge
ionization-mass spectrometry.
AB - We evaluated the performance of a dielectric barrier discharge ionization (DBDI)
source for pesticide analysis in grape juice, a fairly complex matrix due to the
high content of sugars (~20% w/w) and pigments. A fast sample preparation method
based on direct immersion solid-phase microextraction (SPME) was developed, and
novel matrix compatible SPME fibers were used to reduce in-source matrix
suppression effects. A high resolution LTQ Orbitrap mass spectrometer allowed for
rapid quantification in full scan mode. This direct SPME-DBDI-MS approach was
proven to be effective for the rapid and direct analysis of complex sample
matrices, with limits of detection in the parts-per-trillion (ppt) range and
inter- and intra-day precision below 30% relative standard deviation (RSD) for
samples spiked at 1, 10 and 10 ng ml-1, with overall performance comparable or
even superior to existing chromatographic approaches.
PMID- 29354814
TI - Unravelling the mechanisms of interference between overlapping resonances.
AB - The enhancement of the resonance lifetime that occurs upon interference of two
overlapping resonances excited coherently by two pulses with delayed time has
been investigated as a function of the pulse temporal width and the delay time
between the pulses. A general law predicting quantitatively the optimal delay
time that maximizes the lifetime enhancement of the two resonances has been
established in terms of the pulse width and of the lifetimes of both resonances
when they are excited isolatedly. The specific form of the law and all the
results found can be closely related to the characteristic features of the
mechanism of interference between the overlapping resonances, providing a
detailed understanding on how the mechanism operates. The proposed law is
envisioned as a useful tool to design experimental strategies to control the
resonance lifetime.
PMID- 29354815
TI - CoP nanoparticles anchored on N,P-dual-doped graphene-like carbon as a catalyst
for water splitting in non-acidic media.
AB - The design of earth-abundant, active and stable water splitting bifunctional
catalysts that operate in the same media is crucial for large-scale water
electrolysis. CoP nanoparticles anchored on N,P-dual-doped mesoporous graphene
like carbon (CoP@NPMG) acts as an outstanding bifunctional electrocatalyst for
both the hydrogen evolution reaction and the oxygen evolution reaction over a
wide pH range. The synthesis method of CoP@NPMG is template-free and simple, and
all the precursors are easily obtained; both these factors contribute to the
feasibility of practical large-scale fabrication. When employed as a bifunctional
electrode, CoP@NPMG enables high-performance water splitting with a current
density of 10 mA cm-2 at cell voltages of only 1.58 V and 1.74 V in 1 M KOH and 1
M PBS, respectively. In addition, CoP@NPMG displays excellent catalytic stability
at all pH values.
PMID- 29354816
TI - Sub-nanometer Co3O4 clusters anchored on TiO2(B) nano-sheets: Pt replaceable Co
catalysts for H2 evolution.
AB - Cobalt-based heterogeneous cocatalysts are important substitutions of noble metal
cocatalysts in many important commercial chemical processes, but their efficiency
is extremely low on a per metal atom basis, because only the atoms located at
surface active-sites participate in the chemical reaction. Thus, cocatalysts with
small cluster dispersions are highly desirable to maximize the amount of active
sites and enhance the per atom efficiency. Here, we report the synthesis of sub
nanometer Co3O4 clusters which are anchored to 2D ultrathin TiO2(B) nanosheets,
as a cocatalyst for H2 evolution reaction (HER). It was found that the conduction
type of Co3O4 clusters turns from P-type to N-type, and the heterojunction band
structure between TiO2(B) and Co3O4 clusters changes from type II to type I, when
the cluster size is reduced from nanometer scale to the sub-nanometer scale. With
a suitable energy band matching between TiO2(B) and sub-nanometer Co3O4 clusters,
the electrons generated in TiO2(B) during the photocatalytic process reduce the
Co ions into metallic Co atoms, which produce excellent photocatalytic stability
and extremely high HER efficiency comparable to that of the noble Pt cocatalyst.
PMID- 29354817
TI - Mechanically stable ternary heterogeneous electrodes for energy storage and
conversion.
AB - Recently, solid asymmetric supercapacitor (ASC) has been deemed as an emerging
portable power storage or backup device for harvesting natural resources. Here we
rationally engineered a hierarchical, mechanically stable heterostructured
FeCo@NiCo layered double hydroxide (LDH) with superior capacitive performance by
a simple two-step electrodeposition route for energy storage and conversion. In
situ scanning electron microscope (SEM) nanoindentation and electrochemical tests
demonstrated the mechanical robustness and good conductivity of FeCo-LDH. This
serves as a reliable backbone for supporting the NiCo-LDH nanosheets. When
employed as the positive electrode in the solid ASC, the assembly presents high
energy density of 36.6 W h kg-1 at a corresponding power density of 783 W kg-1
and durable cycling stability (87.3% after 5000 cycles) as well as robust
mechanical stability without obvious capacitance fading when subjected to bending
deformation. To demonstrate its promising capability for practical energy storage
applications, the ASC has been employed as a portable energy source to power a
commercially available digital watch, mini motor car, or household lamp bulb as
well as an energy storage reservoir, coupled with a wind energy harvester to
power patterned light-emitting diodes (LEDs).
PMID- 29354818
TI - Release of small bioactive molecules from physical gels.
AB - Pharmaceutical drugs with low water solubility have always received great
attention within the scientific community. The reduced bioavailability and the
need of frequent administrations have motivated the investigation of new drug
delivery systems. Within this context, drug carriers that release their payload
in a sustained way and hence reduce the administration rate are highly demanded.
One interesting strategy to meet these requirements is the entrapment of the
drugs into gels. So far, the most investigated materials for such drug-loaded
gels are derived from polymers and based on covalent linkages. However, over the
last decade the use of physical (or supramolecular) gels derived from low
molecular weight compounds has experienced strong growth in this field, mainly
due to important properties such as injectability, stimuli responsiveness and
ease of synthesis. This review summarizes the use of supramolecular gels for the
encapsulation and controlled release of small therapeutic molecules.
PMID- 29354819
TI - Synthesis and self-assembly behavior of polyhedral oligomeric silsesquioxane
based triblock copolymers in selective solvents by dissipative particle dynamics
simulation.
AB - A polyhedral oligomeric silsesquioxane (POSS)-based hybrid triblock copolymer -
methyl methacrylate-b-perfluoroalkylethyl methacrylate-b-methacrylisobutyl
polyhedral oligomeric silsesquioxane (PMMA-b-PFMA-b-PMAPOSS) was synthesized via
an atom transfer radical polymerization (ATRP) method. The self-assembly behavior
of triblock copolymers in selective solvents of tetrahydrofuran (THF) and
trichlorotrifluoroethane (F113) was studied using dissipative particle dynamics
(DPD) simulation. The effects of the block sequence and volume ratio of F113/THF
were discussed. The aggregate morphology and size were also characterized by
transmission electron microscopy (TEM) and dynamic light scattering (DLS). The
simulation results showed that the spherical micelle with core-shell-corona or
core-mixed shell structure could be formed and the micelle size increased with
the F113 content, which was in qualitative agreement with the experimental
results. The DPD simulation revealed the dynamic process of the formation of
aggregates at the mesoscopic scale, which can be considered as an adjunct to
experiments and provides other valuable information for the experiments.
PMID- 29354820
TI - Asiatic acid suppresses neuroinflammation in BV2 microglia via modulation of the
Sirt1/NF-kappaB signaling pathway.
AB - Asiatic acid, a triterpenoid derived from Centella asiatica, has been found to
exhibit multiple bioactivities. In this study, we investigated the effects of
asiatic acid on lipopolysaccharide (LPS)-induced neuroinflammation and explored
the mechanism of its action in BV2 microglia. We found that asiatic acid (0.1 to
100 MUM) treatment significantly attenuated nitric oxide (NO) production and
inhibited inducible nitric oxide synthase (iNOS) expression in a concentration
dependent manner following LPS exposure. Asiatic acid reduced LPS-induced
expression and secretion of inflammatory cytokines, including tumor necrosis
factor-alpha (TNF-alpha), interleukin-1beta (IL-1beta) and interleukin-6 (IL-6)
in BV2 cells. In addition, asiatic acid enhanced Sirt1 expression, reduced NF
kappaB p65 acetylation, and suppressed NF-kappaB activation after LPS
stimulation. However, EX-527, an inhibitor of Sirt1, abolished the inhibitory
effects of asiatic acid on LPS-stimulated microglia activation. These findings
suggest that asiatic acid prevents LPS-induced neuroinflammation via regulating
the Sirt1/NF-kappaB signaling pathway.
PMID- 29354821
TI - Pressure-induced chemical reactions in the N2(H2)2 compound: from the N2 and H2
species to ammonia and back down into hydrazine.
AB - Theory predicts a very rich high pressure chemistry of hydronitrogens with the
existence of many NxHy compounds. The stability of these phases under pressure is
being investigated by the compression of N2-H2 mixtures of various compositions.
A previous study had disclosed a eutectic-type N2-H2 phase diagram with two
stoichiometric van der Waals compounds: (N2)6(H2)7 and N2(H2)2. The structure and
pressure induced chemistry of the (N2)6(H2)7 compound have already been
investigated. Here, we determine the structure of the N2(H2)2 compound and
characterize using Raman spectroscopy measurements the chemical changes under a
pressure cycle up to 60 GPa and back to ambient conditions. A N2(H2)2 single
crystal was grown from a 1 : 2 N2-H2 mixture and its crystalline structure was
solved using synchrotron X-ray diffraction. Similar to the (N2)6(H2)7 solid,
N2(H2)2 has a remarkable host-guest structure containing N2 molecules
orientationally disordered with spherical, ellipsoidal and planar shapes. Above
50 GPa, N2(H2)2 was found to undergo a chemical reaction. The reaction products
were determined to be of the azane family, with NH3 as the main constituent,
along with molecular nitrogen. Upon pressure decrease, the reaction products are
found to react in such a way that below 10 GPa, hydrazine is the sole azane
detected. Observed down to the opening of the diamond anvil cell, the formation
of metastable hydrazine instead of the energetically favorable ammonia is
puzzling and remains to be elucidated. That could change the current view of
Jovian planets' atmospheres in which ammonia is assumed the only stable
hydronitrogen molecule.
PMID- 29354822
TI - Ab initio calculations of heavy-actinide hexahalide compounds: do these heavy
actinides behave like their isoelectronic lanthanide analogues?
AB - Research on heavy actinides has experienced an increased interest in the last few
years due to new synthetic techniques and recent technological advances that have
allowed for obtaining important information even from very small samples. This
area presents challenges not only from the experimental point of view but also
from the theoretical perspective. This work deals with a multiconfigurational
CASSCF and NEVPT2 benchmark study based on a two-step methodology that considers
first correlation effects and then the spin-orbit coupling applied to berkelium
(Bk), californium (Cf), einsteinium (Es) and fermium (Fm) hexahalides. Optical
properties, such as f -> d transitions and crystal-field parameters, have been
calculated and rationalized. The results for these trivalent actinides indicate
that the electronic structure of the low-lying states is reproduced accurately
with small basis sets. The ground-state multiplets are isolated, in the same
manner as their isoelectronic lanthanide counterparts. In the case of tetravalent
berkelium, the picture is different regarding the electronic structure where
crystal-field theory fails due to considerable ligand-to-metal charge transfer
contributions to the ground state.
PMID- 29354823
TI - A metal-calixarene coordination nanotube with 5-(pyrimidin-5-yl)isophthalic acid.
AB - A metal-organic nanotube (MONT) was assembled by bridging the truncated metal
calixarene octahedra with coordinating water molecules. Remarkably, the tubular
compound exhibited a much higher sorption capacity for C2H6 and C3H8 than for
CH4, and hence represents a promising material for separating these gases. The
addition of a little NiSO4 into the reaction system led to the formation of a 2D
metal-calixarene network.
PMID- 29354824
TI - A high-speed network of nanoswitches for on/off control of catalysis.
AB - NetState I of the communication-catalysis protocol is defined by a 1 : 1 mixture
of the nanoswitches [Cu(1)]+ and 2. Upon one-electron oxidation at the ferrocenyl
unit of the switch [Cu(1)]+, copper(i) ions are released that after translocation
toggle nanoswitch 2 -> [Cu(2)]+ (NetState II) within 4 min. NetState I was fully
reset within 1 min by reduction of 1+ -> 1. Running this redox-triggered
switching protocol in the presence of 4-nitrobenzaldehyde, diethyl malonate and
piperidine (catalyst) allows toggling of a catalyzed Knoevenagel addition from ON
to OFF and back to ON.
PMID- 29354825
TI - Linear humidity response of carbon dot-modified molybdenum disulfide.
AB - Molybdenum disulfide (MoS2)-based humidity sensors suffer from low sensitivity
and long response time. Herein, this problem has been effectively solved by
modifying MoS2 nanosheets using carbon dots (CDs) with abundant functional groups
via a convenient and facile hydrothermal method. The mechanism for the enhanced
humidity response of CD-modified MoS2 has been proposed through the
characterization of physical and chemical properties of the as-prepared
composites. The introduction of CDs is expected to enhance the adsorption of
water molecules by increasing the specific surface area and surface active sites
of the MoS2 nanosheets. Moreover, a three-dimensional conductive network is
jointly established by the chemisorbed water molecules, CDs, and MoS2 nanosheets,
which ensures continuous transmission of charges in a low humidity environment.
As a result, the response performance and the repeatability have been
significantly improved in CD-MoS2-based humidity sensors. The response curve
shows an excellent linear property in the range of 15-80% RH. This study
demonstrates the potential applications of CD-modified two-dimensional
nanomaterials with their improved performance towards humidity sensing.
PMID- 29354826
TI - An access to 1,3-azasiline-fused quinolinones via oxidative heteroannulation
involving silyl C(sp3)-H functionalization.
AB - A Mn-promoted intermolecular oxidative radical heteroannulation of N-(2
cyanoaryl)-acrylamides and tertiary silanes has been described, which provides an
efficient route to produce silicon/nitrogen heterocycles, sila-analogues of the
known carbon-based structural motifs prevalent in bioactive natural products,
pharmaceuticals and materials. The reaction enables Si-incorporation by
controlling accurately several chemical bond cleavage and formation processes.
Moreover, this reaction represents a new one-step construction of 1,3-azasiline
fused quinolinones that was achieved via silyl C(sp3)-H functionalization using
an oxidative radical strategy.
PMID- 29354827
TI - Intense excimer CPL of pyrenes linked to a quaternaphthyl.
AB - (R,R,R)-Quaternaphthyls possessing eight and six pyrenes (compounds 4 and 3)
displayed intense excimer-CPL. The glum values in solution and in the solid state
were +0.034-0.037 and +0.0053-0.0056, respectively. The glum values of 3 and 4
were indistinguishable, indicating that the pyrenes of 3 and 4 assumed the same
conformation, even in excited states. The intense CPL was caused by
conformationally rigid pyrenes arranged via the cumulative steric effects along
the quaternaphthyl axis.
PMID- 29354828
TI - Azaborines: synthesis and use in the generation of stabilized boron-substituted
carbocations.
AB - A formal N-heterocyclic carbene insertion into the B-H bond of 9-BBN followed by
a ring expansion reaction is reported. NHC-9-BBN adducts were reacted in one or
two steps to give the corresponding di- or triazaborines. Hydride abstraction of
selected species with [Ph3C]+ is facile, giving rise to 6pi-aromatic cations with
Lewis acidity comparable to Lewis acids commonly employed in frustrated Lewis
pairs.
PMID- 29354829
TI - A luminescent bimetallic iridium(iii) complex for ratiometric tracking
intracellular viscosity.
AB - A luminescent bimetallic iridium probe C10 was developed through a long soft
carbon chain linkage to achieve ratiometric detection of viscosity. C10 features
high sensitivity and selectivity for viscosity. More importantly, C10 is living
cell permeable and can be employed to distinguish cancer cells from normal cells
and track viscosity changes during MCF-7 cell apoptosis.
PMID- 29354830
TI - Dehydrohalogenation of proton responsive complexes: versatile aggregation via
pyrazolate pincer ligand arms.
AB - The behavior of the complex (H2L)CoCl2, where H2L is a bis-(pyrazol-3
yl)pyridine, towards Bronsted bases is studied, to evaluate peripheral NH
deprotonation as a route to a dianionic pincer ligand on a d7 center.
Deprotonation is found to also remove chloride from cobalt, and the decreased
metal coordination number is then satisfied by bimolecular reaction of the newly
formed peripheral deprotonated pyrazolate nitrogen, leading to Co2 units bridged
by some of the pyrazolates, in the analogous species [Co2(L)(LH)]2(L) and
[Co2(L)(HL)]2[Co(L)2], but also occasionally by chloride retention, in LiCo2L2Cl.
Reacting LiCo2L2Cl with tBuNC, yields monomeric LCo(tBuNC)2, shown to be a 17
valence electron species. Use of excess LiN(SiMe3)2 in deprotonation of
(H2L)CoCl2 leads to a product containing a Co[N(SiMe3)2]2 substructure, which
illustrates opening of the Co2L2 dimer in response to an attacking nucleophile.
PMID- 29354831
TI - Thermoelectric Bi2Te3-xSex alloys for efficient thermal to electrical energy
conversion.
AB - Eco-friendly renewable energy conversion methods are constantly investigated.
Thermoelectric devices, directly converting thermal into electrical energy, show
high potential for utilizing waste heat into useful electricity. Yet enhancing
their efficiency is a challenging task. Below 300 degrees C, Bi2TexSe3-x
thermoelectric alloys are considered to be the most efficient for such
applications. In the current research, by controlling the specific compositions
and the synthesis route, optimizing the electron doping level and minimizing the
lattice thermal conductivity values, very high thermoelectric figure of merit
values were obtained beyond ~170 degrees C. It is shown that upon combining the
currently reported Bi2Te2.4Se0.6 and Bi2Te1.5Se1.5 alloys with previously
reported highly efficient p- and n-type Bi2Te3 based compositions, a remarkable
thermoelectric efficiency of ~9.6% can be achieved for a relatively low
temperature difference of 250 degrees C.
PMID- 29354832
TI - Fluorescent squaramides as anion receptors and transmembrane anion transporters.
AB - A series of squaramide-based anion transporters functionalised with the 1,8
naphthalimide fluorophore has been developed for improved ionophoric activity and
fluorescent imaging in cells.
PMID- 29354833
TI - Empirical study of physicochemical and spectral properties of CuII-containing
chelate-based ionic liquids.
AB - The physicochemical properties including melting point, density, viscosity,
conductivity, and surface tension as well as spectral properties such as infrared
and EPR spectra of the chelate-based ILs [Cnmim][Cu(F6-acac)3] (n = 6, 8, 10, 12,
14) were studied as functions of temperature and chain length. The thermodynamic
properties such as the standard molar entropy and crystal energy were estimated
by Glasser's theory, the molar enthalpy of vaporization was calculated by Kabo's
method, and the ionicity was estimated by the Walden rule. Compared with the
common ILs, the chelate-based ILs have larger molecular volume, larger density,
smaller crystal energy, poorer ionicity and larger enthalpy of vaporization. The
infrared spectra data of the ILs showed a red shift of the C-H bond stretching
vibration of the alkyl chain in the cation and the EPR spectra showed that the
crystal field of Cu2+ was kept when the chain length was elongated, which
indicated the existence of microphase separation in the ILs. This work is helpful
in understanding the structure-property relations of chelate-based ILs for
further application.
PMID- 29354834
TI - Buckling vs. particle desorption in a particle-covered drop subject to
compressive surface stresses: a simulation study.
AB - Predicting the behaviour of particle-covered fluid interfaces under compression
has implications in several fields. The surface-tension driven adhesion of
particles to drops and bubbles is exploited for example to enhance the stability
of foams and emulsion and develop new generation materials. When a particle
covered fluid interface is compressed, one can observe either smooth buckling or
particle desorption from the interface. The microscopic mechanisms leading to the
buckling-to-desorption transition are not fully understood. In this paper we
simulate a spherical drop covered by a monolayer of spherical particles. The
particle-covered interface is subject to time-dependent compressive surface
stresses that mimic the slow deflation of the drop. The buckling-to-desorption
transition depends in a non-trivial way on three non-dimensional parameters: the
ratio Pis/gamma of particle-induced surface pressure and bare surface tension,
the ratio a/R of particle and drop radii, and the parameter f characterising the
strength of adhesion of each particle to the interface. Based on the insights
from the simulations, we propose a configuration diagram describing the effect of
these controlling parameters. We find that particle desorption is highly
correlated with a mechanical instability that produces small-scale undulations of
the monolayer of the order of the particle size that grow when the surface
pressure is sufficiently large. We argue that the large local curvature
associated with these small undulations can produce large normal forces,
enhancing the probability of desorption.
PMID- 29354835
TI - Guanidinium/ammonium competition and proton transfer in the interaction of the
amino acid arginine with the tetracarboxylic 18-crown-6 ionophore.
AB - The recognition of arginine plays a central role in modern proteomics and
genomics. Arginine is unique among natural amino acids due to the high basicity
of its guanidinium side chain, which sustains specific interactions and proton
exchange biochemical processes. The search for suitable macrocyclic ionophores
constitutes a promising route towards the development of arginine receptors. This
study evaluates the conformational features involved in the binding of free
arginine by the polyether macrocycle (18-crown-6)-tetracarboxylic acid. Infrared
action vibrational spectroscopy and quantum-chemical computations are combined to
characterize the complexes with net charges +1 and +2. The spectrum of the +1
complex can be explained in terms of a configuration predominantly stabilized by
a robust bidentate coordination of guanidinium with a carboxylate group formed
from the deprotonation of one side group of the crown ether. The released proton
is transferred to the amino terminus of arginine, which then coordinates with the
crown ether ring. In an alternative type of conformation, partly consistent with
experiment, the amino terminus is neutral and the guanidinium group inserts into
the crown ether cavity. In the +2 complexes, arginine is always doubly protonated
and the most stable conformations are characterized by a tripodal coordination of
the ammonium -NH3+ group of arginine with the oxygen atoms of the macrocycle
ring, while the interactions of the amino acid with the side carboxylic acid
groups of the crown ether acquire a remarkable lesser role.
PMID- 29354836
TI - An efficient exciton harvest route for high-performance OLEDs based on
aggregation-induced delayed fluorescence.
AB - We managed to integrate the features of aggregation-induced emission (AIE) and
thermally activated delayed fluorescence (TADF), by introducing a fluorine atom
into the quinoxaline system for highly efficient fluorescent OLEDs. With a
purposive design and well-controlled synthesis, two novel AIE-TADF compounds were
demonstrated and characterized. Monofluoro-substituted SFDBQPXZ exhibited high
efficiencies in a doped OLED with a maximum EQE of 23.5%, a maximum current
efficiency (CE) of 78.3 cd A-1 and a maximum power efficiency (PE) of 91.1 lm W
1. Noteworthily, by employing SFDBQPXZ as an orange emitter in a non-doped
device, we have realized a considerably high EQE over 10%. The high efficiency
and low roll-off in the doped or non-doped devices make our strategy promising
and meaningful for OLED applications.
PMID- 29354837
TI - A stable free tetragermacyclobutadiene incorporating fused-ring bulky EMind
groups.
AB - The first free cyclobutadiene (CBD) germanium analogue was obtained as room
temperature stable dark red crystals via the reaction of the bulky EMind
substituted 1,2-dichlorodigermene with lithium naphthalenide. The cyclic 4pi
electron antiaromaticity is essentially stabilized by the polar Jahn-Teller
distortion in the germanium CBD producing a planar rhombic-shaped charge
separated structure.
PMID- 29354838
TI - Remarkable hydrogen storage properties of MgH2 doped with VNbO5.
AB - The present work concerns the catalytic effect of VNbO5, a ternary oxide prepared
via a solid-state route, on the sorption performance of MgH2. Three doped
systems, namely 5, 10 and 15 wt% VNbO5-MgH2 have been prepared by ball milling
and thoroughly characterized. Hydrogen sorption, evaluated by temperature
programmed desorption experiments, revealed a significant reduction of the
desorption temperature from 330 degrees C for the un-doped sample (prepared and
tested for comparison) to 235 degrees C for the VNbO5-doped sample. Furthermore,
more than 5 wt% of hydrogen can be absorbed in 5 minutes at 160 degrees C under
20 bar of hydrogen, which is remarkable compared to the 0.7 wt% achieved for the
un-doped system. The sample doped with 15 wt% of additive, showed good
reversibility: over 5 wt% of hydrogen with negligible degradation even after 70
consecutive cycles at 275 degrees C and 50 cycles at 300 degrees C. The
kinetics analysis carried out by Kissinger's method exhibited a considerable
reduction of the activation energy for the desorption process. Finally, pressure
composition-isotherm experiments conducted at three different temperatures
allowed estimating the thermodynamic stability of the system and shed light on
the additive role of VNbO5.
PMID- 29354839
TI - Effects of adatom and gas molecule adsorption on the physical properties of
tellurene: a first principles investigation.
AB - Tellurene is a new member of the two-dimensional (2D) materials' family, whose
existence has been recently confirmed by first principles calculation and
experimental work. Tellurene is also the first 2D mono-elemental material of
group-VI predicted by scientists, and investigations of its basic properties are
still in their infancy. In this study, we use first principles calculation based
on density functional theory to investigate the adsorption of nineteen typical
adatoms (Li, Na, K, Ca, Fe, Co, Ni, Cu, Zn, Ag, Au, Pd, Pt, B, N, O, Si, Cl, and
Al), and five typical gas molecules (H2, O2, H2O, NO2, and NH3) on alpha-phase as
well as beta-phase tellurene sheets. Our calculations shows that most adatoms are
chemisorbed on tellurene sheets with large adsorption energies. Moreover, some of
the adatoms are observed to give rise to distinct structural deformations and
even local reconstructions. We report that a variety of electronic states are
induced by the adatoms, which implies that different electronic structures can be
engineered by the adsorption of adatoms. In fact, n-type doping, p-type doping,
half-metal, and spin-gapless semiconductor features can be acquired by doping
adatoms on tellurene sheets. Our calculations also show that the five gas
molecules are all physisorbed on tellurene sheets, and no splitting behaviors are
observed. Therefore, the adsorption of the five gas molecules has a weak effect
on the electronic properties of tellurene. To conclude, our results indicate that
adatom engineering may be used to greatly expand the potential applications of 2D
tellurene.
PMID- 29354840
TI - Electrochemical processes on solid shaped nanoparticles with defined facets.
AB - This 2007 Chemistry Nobel prize update covers scientific advances of the past
decade in our understanding of electrocatalytic processes on surfaces of
nanoscale shape-controlled polyhedral solids. It is argued that the field of
chemical reaction processes on solid surfaces has recently been paying increasing
attention to the fundamental understanding of electrified solid-liquid interfaces
and toward the operando study of the minute fraction of catalytically active,
structurally dynamic non-equilibrium Taylor-type surface sites. Meanwhile,
despite mounting evidence of acting as structural proxies in some cases, the
concept of catalytic structure sensitivity of well-defined nanoscale solid
surfaces continues to be a key organizing principle for the science of shape
controlled nanocrystals and, hence, constitutes a central recurring theme in this
review. After addressing key aspects and recent progress in the wet-chemical
synthesis of shaped nanocatalysts, three areas of electrocatalytic processes on
solid shape-controlled nanocrystals of current scientific priority are discussed
in more detail: the oxygen electroreduction on shape-controlled Pt-Ni polyhedra
with its technological relevance for low temperature fuel cells, the CO2
electroreduction to hydrocarbons on Cu polyhedra and the puzzling interplay
between chemical and structural effects, and the electrocatalytic oxygen
evolution reaction from water on shaped transition metal oxides. The review
closes with the conclusion that Surface Science and thermal catalysis, honored by
Ertl's Nobel prize a decade ago, continue to show major repercussions on the
emerging field of Interface Science.
PMID- 29354841
TI - Recent progress in the isolation, bioactivity, biosynthesis, and total synthesis
of natural spiroketals.
AB - Covering: 2011 to July 2017.Spiroketal (spiroacetal), a common moiety in numerous
natural products, drugs and functional molecules, has been a central topic in
organic chemistry for a long time. Owing to their structural diversity, important
bioactivity and functional irreplaceability, natural spiroketals have attracted
the interest of natural product chemists, medical chemists, biological chemists,
agricultural chemists, synthetic chemists, and chemical biologists. In this
review, we focus on the overview of the isolation, bioactivity, biosynthesis and
total synthesis of spiroketals from 2011 to July 2017.
PMID- 29354842
TI - A novel trigonal propeller-shaped hybrid tri-neodymium-polyoxometalate exhibiting
single-molecule magnet behavior.
AB - A trigonal propeller-shaped hybrid polyoxometalate (POM)
(NH2Me2)3{[Nd(Mo4O13)(DMF)4]3(BTC)2}.8DMF (1; BTC = 1,3,5-benzenetricarboxylate)
has been synthesized and structurally characterized. The planar {Mo4} segment is
tailored from the precursor Lindqvist polyoxoanion [Mo6O19]2- firstly, and plays
a key role in the reassembly of 1. Furthermore, the magnetic studies reveal that
1 shows single-molecule magnet (SMM) behavior.
PMID- 29354843
TI - A series of unprecedented triol-stabilized [H3MW6O24]n-: the missing piece
between A- and B-type Anderson-Evans polyoxometalates.
AB - Several novel triol-ligands functionalized Anderson-Evans type polyoxotungstates,
K3Na3[{HOCH2C(CH2O)3}AlO3W6O18], K3Na3[{HOCH2C(CH2O)3}CrO3W6O18],
K3Na3[{HOCH2C(CH2O)3}CoO3W6O18], and K3Na3[{H3CC(CH2O)3}CoO3W6O18], were
successfully synthesized and characterized, in which some of the central metal
ions have never been found in the Anderson-type polyoxotungstates. The single
crystal X-ray diffraction analysis indicated that the clusters were on a status,
in which only one-side MU3-O was protonated. This indicates that the three
protonated cluster is a common type of Anderson cluster aside zero-protonated (A
type) and six-protonated (B-type), which could be named as the T-type.
PMID- 29354844
TI - Cobalt(ii)-catalyzed chelation-assisted C-H iodination of aromatic amides with
I2.
AB - The cobalt-catalyzed chelation-assisted iodination of aromatic amides using
molecular I2 as an iodinating reagent is reported. 8-Amino-5-chloroquinoline
functions as an efficient directing group. This mild and air stable catalytic
system shows a wide functional group tolerance and improved synthetic
accessibility.
PMID- 29354845
TI - An injectable supramolecular hydrogel hybridized with silver nanoparticles for
antibacterial application.
AB - Silver nanoparticles (AgNPs) show long-lasting and broad-spectrum antibacterial
activity. Herein, PEGylated AgNPs were prepared in situ by complexing AgNO3 with
the random copolymer of poly(ethylene glycol) methyl ether methacrylate (PEGMA)
and polyacrylic acid via electrostatic interaction followed by in situ reduction.
AgNP hybrid supramolecular hydrogels were thus prepared through host-guest
inclusion between PEGMA side chains and alpha-cyclodextrins in aqueous solution.
The hydrogels were physically cross-linked by both pseudopolyrotaxane
crystallization and AgNPs, which showed temperature responsiveness and self
healing properties. By hybridizing AgNPs, the hydrogels showed excellent
antibacterial properties against S. aureus and E. coli bacteria as well as low
cytotoxicity and have potential applications as injectable antibacterial
materials.
PMID- 29354846
TI - Nickel-catalyzed cross-coupling of beta-carbonyl alkenyl pivalates with arylzinc
chlorides.
AB - The nickel-catalyzed cross-coupling reaction of beta-carbonyl alkenyl pivalates
with arylzinc reagents generates 3-aryl-substituted alpha,beta-unsaturated
carbonyl compounds via C-O bond cleavage. The reaction features mild reaction
conditions, a wide scope of substrates, and good functional group tolerance.
PMID- 29354847
TI - Dopamine functionalization of BaTiO3: an effective strategy for the enhancement
of electrical, magnetoelectric and thermal properties of BaTiO3-PVDF-TrFE
nanocomposites.
AB - Electro-active polymer-ceramic composite systems are emerging materials in the
fields of nanoelectronic, microelectromechanical and macroelectronic device
applications. Still more precise and concise research studies have yet to come in
the areas of energy storage, harvesting, energy conversion, etc. In line with
this, we have synthesized and analyzed PVDF-TrFE based nanocomposites of both
functionalized and non-functionalized BaTiO3 (BTO). All the samples were prepared
as free standing films by employing a solvent cast method. A systematic study of
structural, morphological, thermal, dielectric, ferroelectric, piezoelectric and
magnetoelectric (ME) properties has been carried out. It has been reported that
the addition of BTO nanoparticles (with and without functionalization) into a
polymer matrix substantially improved the properties of the nanocomposite. By
performing the above mentioned characterization, it could be proved that dopamine
functionalized BTO (DBTO) samples are better choices for the above mentioned
applications including magnetoelectric applications, than the non-functionalized
ones.
PMID- 29354848
TI - The role of polyethylenimine in enhancing the efficiency of quantum dot light
emitting devices.
AB - Although the use of polyethylenimine (PEI) in quantum dot light-emitting devices
(QDLEDs) has recently been found to improve efficiency, the mechanism behind this
increase has been disputed in the literature. In this work, we conduct
investigations to elucidate the role of PEI in enhancing QDLED efficiency.
Spectroscopic studies of devices with a phosphorescent marking layer reveal that
the PEI layer increases, rather than decreases, the generation of excitons within
the hole transporting layer indicative of increased electron injection. Delayed
electroluminescence measurements corroborate these findings as devices with a PEI
interlayer exhibit a greater concentration of excess mobile and trapped
electrons. We attribute the improvement in efficiency despite the ensuing
increased charge imbalance within the devices to the passivation of exciton
quenching at the ZnO/QD interface. The increase in efficiency predominantly
occurs over low driving currents which is particularly attractive for the
brightness targets of display applications. Furthermore, despite the increased
charge imbalance, the PEI passivation layer appears to have little effect on
QDLED stability. This shows that excess electrons and Auger quenching by
unneutralized electrons are not detrimental to QDLED stability.
PMID- 29354849
TI - Low risk of intra-abdominal infections in rectal cancer patients treated with
Hartmann's procedure: a report from a national registry.
AB - PURPOSE: To describe the postoperative surgical complications in patients with
rectal cancer undergoing Hartmann's procedure (HP). METHODS: Data were retrieved
from the Swedish Colorectal Cancer Registry for all patients with rectal cancer
undergoing HP in 2007-2014. A retrospective analysis was performed using
prospectively recorded data. Characteristics of patients and risk factors for
intra-abdominal infection and re-laparotomy were analysed. RESULTS: Of 10,940
patients resected for rectal cancer, 1452 (13%) underwent HP (median age, 77
years). The American Society of Anesthesiologists (ASA) score was 3-4 in 43% of
patients; 15% had distant metastases and 62% underwent a low HP. The intra
abdominal infection rate was 8% and re-laparotomy rate was 10%. Multivariable
logistic regression analysis identified preoperative radiotherapy (OR, 1.78; 95%
CI, 1.14-2.77), intra-operative bowel perforation (OR, 1.99; 95% CI, 1.08-3.67),
T4 tumours (OR, 1.68; 95% CI 1.04-2.69) and female gender (OR, 1.73; 95% CI, 1.15
2.61) as risk factors for intra-abdominal infection. ASA score 3-4 (OR, 1.62; 95%
CI, 1.12-2.34), elevated BMI (OR, 1.05; 95% CI, 1.02-1.09) and female gender (OR,
2.06; CI, 1.41-3.00) were risk factors for re-laparotomy after HP. The rate of
intra-abdominal infection was not increased after a low HP. CONCLUSIONS: Despite
older age and co-morbidities including more advanced cancer, patients undergoing
Hartmann's procedure had low rates of serious postoperative complications and re
laparotomy. A low HP was not associated with a higher rate of intra-abdominal
infection. HP seems to be appropriate for old and frail patients with rectal
cancer.
PMID- 29354850
TI - C11orf95-RELA fusions and upregulated NF-KB signalling characterise a subset of
aggressive supratentorial ependymomas that express L1CAM and nestin.
AB - Ependymomas (EPN) show site specific genetic alterations and a recent DNA
methylation profiling study identified nine molecular subgroups. C11orf95-RELA
and YAP1 fusions characterise the RELA and YAP1 molecular subgroups,
respectively, of supratentorial (ST)-EPNs. Current guidelines recommend molecular
subgrouping over histological grade for accurate prognostication.
Clinicopathological features of ST-EPNs in correlation with C11orf95-RELA and
YAP1 fusions have been assessed in only few studies. We aimed to study these
fusions in EPNs, and identify diagnostic and prognostic markers. qRT-PCR and
Sanger Sequencing for the detection of C11orf95-RELA, YAP1-MAMLD1 and YAP1
FAM118B fusion transcripts, gene expression analysis for NFKB1, and
immunohistochemistry for p53, MIB-1, nestin, VEGF, and L1CAM were performed. 88
EPNs (10-Grade I and 78-Grade II/III) from all sites were included. RELA fusions
were unique to Grade II/III ST-EPNs, detected in 81.4% (22/27) and 18.5% (5/27)
of pediatric and adult ST-EPNs respectively. ST-EPNs harbouring RELA fusions
showed frequent grade III histology (81.5%), clear cell morphology (70.3%),
upregulated NFKB1 expression, MIB-1 labelling indices (LI) >= 10% (77.8%), and
immunopositivity for nestin (95.7%), VEGF (72%), L1CAM (79%), and p53 (64%).
Presence of RELA fusions, L1CAM immunopositivity and MIB-1 LI >= 10% associated
with poor outcome. L1CAM showed 81% concordance with RELA fusions. YAP1-MAMLD1
fusion was identified in a single RELA fusion negative adult anaplastic ST-EPN.
RELA fusions are frequent in ST-EPNs and associate with poor outcome. L1CAM is a
surrogate immunohistochemical marker. RELA fusion positive ST-EPNs strongly
express nestin indicating increased stemness. Further evaluation of the
interactions between NFKB and stem cell pathways is warranted.
PMID- 29354851
TI - Correction to: Biomonitoring of Trace Metals in the Keban Dam Reservoir (Turkey)
Using Mussels (Unio elongatulus eucirrus) and Crayfish (Astacus leptodactylus).
AB - The original version of this article contained mistakes: the units mg/kg-1 and
MUg/kg-1 found on tables 1, 2, 4, 5 and 6 should be mg kg-1 and MUg kg-1,
respectively.
PMID- 29354852
TI - Cadmium exposure triggers genotype-dependent changes in seed vigor and
germination of tomato offspring.
AB - Although negative effects on the offspring fitness can be triggered by the mother
plant exposure to environmental stresses, some plants are able to "remember" past
incidents and enhance the progeny tolerance. Here, the mineral profile,
cytogenetic modifications, and physiological potential of seeds from two tomato
cultivars, with contrasting tolerance degrees to cadmium (Cd) toxicity, were
evaluated after plant exposure to this metal. Both cultivars exhibited high Cd
translocation to the seeds; however, the tolerant tomato accumulated more Cd than
did the sensitive one. As a consequence of the Cd accumulation, reductions in the
Mn concentration in Cd-challenged plants were detected. Surprisingly, seed
germination and vigor were increased in the tolerant tomato cultivar after Cd
exposure, despite increases in the chromosomal abnormalities. By contrast, seeds
from the sensitive cultivar exhibited no changes in their physiological potential
after Cd exposure, despite Cd-induced reductions in the mitotic index. Moreover,
bunch position exerted effects on the vigor and type of chromosomal abnormality.
The results show that maternal plant exposure to Cd can affect tomato offspring
by changing the seed physiological potential, and such effect can be partially
explained by alterations in the seed-derived elements (essential and non
essential) and genotype-dependent tolerance mechanisms.
PMID- 29354853
TI - Lead-resistant bacteria from Saint Clair River sediments and Pb removal in
aqueous solutions.
AB - Lead is an extensive contaminant. Pb-resistant bacterial strains were isolated
from Saint Clair River sediments on two enrichment media with increasing
concentrations of Pb (NO3)2. Bacterial strains that grew at 1.25 or 1.5 g L-1 of
Pb (NO3)2 L-1) were purified and selected for further study. Ninety-seven Pb
resistant strains were screened for the ability to produce bioflocculants. The
majority of the Pb-resistant strains demonstrated moderate to high flocculation
activity. Metal removal assays demonstrated that the higher is the flocculation
activity, the higher is the efficiency of metal removal. In the multi-metal
solutions, the bacterial strain with the highest flocculation activity (R19) had
the highest metal removing capability (six out of eight metals) and the highest
metal removal efficiency. The highly selective affinity towards Pb2+ observed for
strain R19 suggests its use for the recovery of Pb2+ from multiple metal
solutions. Because they are well adapted to unfavorable conditions due to their
resistance to metals (e.g., Pb) and antibiotics, these characteristics may help
in developing an effective process for wastewater treatment using these strains.
PMID- 29354854
TI - Immunological features and efficacy of the recombinant subunit vaccine LTB-EMY162
against Echinococcus multilocularis metacestode.
AB - Alveolar echinococcosis is a zoonotic disease caused by the infection of the
larval stage Echinococcus multilocularis with worldwide distribution especially
in the northwest China. It is important to develop a well-tolerated
immunoprophylaxis against E. multilocularis for alveolar echinococcosis control.
In this study, a prokaryotic expression system for recombinant immunogen LTB
EMY162 was established, and the immunological features, sensitized lymphocyte, IL
4/IFN-gamma secreted, prophylactic effect, and therapeutic effect were also
evaluated. Arctic Express (DE3) system, Ni2+-charged and molecular sieve
chromatography were used to obtain a high-purity 29 kDa protein. The ELISA and
lymphocyte proliferation assay showed that LTB-EMY162 induced high-titer specific
IgG against EMY162 and E. multilocularis protoscoleces protein in BALB/c mice and
promoted sensitized T lymphocyte cell proliferation, and LTB-EMY162 stimulated Th
cell to secrete IL-4 and IFN-gamma and induced a Th1/Th2 mixed type immunological
response. We also found that LTB-EMY162 significantly inhibited the cysts
formation by challenging with 1000 E. multilocularis protoscoleces. The growth of
protoscoleces and cysts were also significantly decreased by treating with LTB
EMY162 in 1000 protoscoleces intraperitoneal injection therapeutic mice model. In
conclusion, we have constructed a subunit vaccine LTB-EMY162 which has prevention
and therapeutic effect against E. multilocularis infection.
PMID- 29354856
TI - Is trade openness good for environment in South Korea? The role of non-fossil
electricity consumption.
AB - The paper investigates the linkage of carbon dioxide (CO2) emissions, per capita
real output, share of non-fossil electricity consumption, and trade openness in
South Korea from 1971 to 2013. The empirical results indicate that the
environmental Kuznets curve (EKC) is supported by autoregressive distributed lag
(ARDL) test. Both short- and long-run estimates indicate that increasing non
fossil electricity consumption can mitigate environmental degradation, and
increasing trade aggravates carbon dioxide emissions. By Granger causality, long
run causalities are found in both equations of CO2 emissions and trade openness,
as well as exports and imports. In the short-run, evidence indicates feedback
linkage between output and trade, unidirectional linkages from trade to
emissions, from emissions to output, and from output to non-fossil electricity
use. Therefore, South Korea should strengthen the sustainable economy, consume
clean energy, and develop green trade.
PMID- 29354855
TI - Combined treatment of contaminated soil with a bacterial Stenotrophomonas strain
DXZ9 and ryegrass (Lolium perenne) enhances DDT and DDE remediation.
AB - Bioremediation of contaminated soils by a combinational approach using specific
bacterial species together with ryegrass is a promising strategy, resulting in
potentially highly efficient degradation of organic contaminants. The present
study tested the combination of strain DXZ9 of Stenotrophomonas sp. with ryegrass
to remove DDT and DDE contaminants from soil under natural conditions in a pot
experiment. The strain DXZ9 was successfully colonized in the natural soil,
resulting in removal rates of approximately 77% for DDT, 52% for DDE, and 65% for
the two pollutants combined after 210 days. Treatment with ryegrass alone
resulted in slightly lower removal rates (72 and 48%, respectively, 61% for both
combined), while the combination of strain DXZ9 and ryegrass significantly (p <
0.05) improved the removal rates to 81% for DDT and 55% for DDE (69% for both).
The half-life of the contaminants was significantly shorter in combined treatment
with DXZ9 and ryegrass compared to the control. The remediation was mostly due to
degradation of the contaminants, as the net uptake of DDT and DDE by the ryegrass
accounted for less than 3% of the total amount in the soil. DDT is reductively
dechlorinated to DDD and dehydrochlorinated to DDE in the soil; the metabolites
of DDE and DDD were multiple undefined substances. The toxicity of the soil was
significantly reduced as a result of the treatment. The present study
demonstrates that the bioremediation of soil contaminated with DDT and DDE by
means of specific bacteria combined with ryegrass is feasible.
PMID- 29354857
TI - Analytical characterization of products obtained from slow pyrolysis of
Calophyllum inophyllum seed cake: study on performance and emission
characteristics of direct injection diesel engine fuelled with bio-oil blends.
AB - This paper aims to analyse the characteristics and properties of the fractions
obtained from slow pyrolysis of non-edible seed cake of Calophyllum inophyllum
(CI). The gas, bio-oil and biochar obtained from the pyrolysis carried out at 500
degrees C in a fixed bed batch type reactor at a heating rate of 30 degrees
C/min were characterized by various analytical techniques. Owing to the high
volatile content of CI biomass (72.61%), it was selected as the raw material in
this present investigation. GC-MS and FT-IR analysis of bio-oil showed the
presence of higher amount of oxygenated compounds, phenol derivatives, esters,
acid and furans. The physicochemical properties of the bio-oil were tested as per
ASTM norms which imply that bio-oil is a highly viscous liquid with lower heating
value as compared to that of diesel fuel. The chemical composition of evolved gas
was analysed by using GC testing which revealed the presence of combustible
components. The FT-IR characterization of biochar showed the presence of
aliphatic and aromatic hydrocarbons whereas the elevated amount of carbon in
biochar indicates its potential to be used as solid fuel. The performance and
emission characteristics of CI engine were assessed with different CI bio-oil
blends and compared with baseline diesel fuel. The results showed that addition
of bio-oil leads to decreased brake thermal efficiency and increased brake
specific energy consumption. Meanwhile, increase in blend ratio reduces harmful
pollutants such as oxides of nitrogen and smoke in the exhaust. From the engine
testing, it is suggested to employ 20% of CI bio-oil blends in CI engine to
obtain better operation.
PMID- 29354858
TI - Oil mixing behavior after an oil spill: identification conflicts of different
fingerprints.
AB - Clearing up whether spilled oil is mixed or not can strengthen the accuracy of
oil spill identification. In the present study, the biomarkers in spilled oil
samples were detected. The weathering modes of different types of diagnostic
ratio and carbon isotope values of individual n-alkanes were also analyzed. The
results showed that the diagnostic ratios of steroids, terpenes and aromatics,
and weathering characteristics of carbon isotope composition (delta13C) of
individual n-alkanes supported the idea that Dalian oil spill emerged from a
single oil source. Furthermore, commonly used diagnostic ratios of n-alkanes
indicated that the Dalian oil spill had undergone the oil mixing process. The
different identifying outcomes indicate that some kinds of n-alkane-rich
substance (such as oil dispersants) were mixed in the Dalian spilled oil and
interfered with the routine diagnosis ratios of n-alkanes.
PMID- 29354861
TI - Access to and Use of Psychiatric Services by Migrants Resettled in Northern
Italy.
AB - The present study was conducted to describe access to and use of psychiatric
services by migrants resettled in a large and well-defined catchment area. The
study was conducted in a catchment area of 459,536 inhabitants in Verona, a city
located in the Northeast of Italy. Using a psychiatric case register, all native
and migrant individuals with a first ever psychiatric contact from 2000 to 2015
were identified. Service use data during the 12 months following first contact
were collected. During the study period a total of 2610 migrants and 28,860
natives had at least one psychiatric contact. A progressive rise in the
proportion of migrants seeking psychiatric care was observed, from 2.5% in 2000
to more than 14% in 2015. During the 12 months following first contact, the
proportion of patients with a single consultation did not differ between
resettled migrants and natives. However, migrants were more often marked users or
heavy users of psychiatric services. Multivariate linear regression analyses
showed that younger male individuals with psychotic disorders experienced higher
psychiatric services use regardless their native or migrant condition. In a large
catchment area with a well-developed community-based system of mental health care
a progressive rise in the number of migrants seeking psychiatric care was
observed. The pattern of service use during the 12 months after first contact was
not related to nationality, suggesting the capacity of community psychiatric
services to retain people in care. These findings call for the development of
culturally and linguistically appropriate community psychiatric services.
PMID- 29354862
TI - Luigi Porta's contribution to anesthesia in Europe in the 1800s.
AB - Luigi Porta (1800-1875), an Italian physician who was well known in the field of
surgery, played an important role in spreading ethereal anesthesia in Europe.
Moreover, he proposed an original method to administer ethereal anesthesia, the
Italian method "of the bladder of pig". This paper reminds us of the important
role that this physician played in Anesthesiology.
PMID- 29354863
TI - A minimal mechanics model for mechanosensing of substrate rigidity gradient in
durotaxis.
AB - Durotaxis refers to the phenomenon in which cells can sense the spatial gradient
of the substrate rigidity in the process of cell migration. A conceptual two-part
theory consisting of the focal adhesion force generation and mechanotransduction
has been proposed previously by Lo et al. to explain the mechanism underlying
durotaxis. In the present work, we are concerned with the first part of the
theory: how exactly is the larger focal adhesion force generated in the part of
the cell adhering to the stiffer region of the substrate? Using a simple
elasticity model and by assuming the cell adheres to the substrate continuously
underneath the whole cell body, we show that the mechanics principle of static
equilibrium alone is sufficient to account for the generation of the larger
traction stress on the stiffer region of the substrate. We believe that our model
presents a simple mechanistic understanding of mechanosensing of substrate
stiffness gradient at the cellular scale, which can be incorporated in more
sophisticated mechanobiochemical models to address complex problems in
mechanobiology and bioengineering.
PMID- 29354864
TI - Effect of the carbon dioxide 10,600-nm laser and topical fluoride gel application
on enamel microstructure and microhardness after acid challenge: an in vitro
study.
AB - The aim of this in-vitro study was to evaluate positive effects of the carbon
dioxide laser (CO2, 10,600 nm) with acidulated phosphate fluoride (APF) gel on
enamel acid resistance. Twenty extracted human third molars (40 surfaces) were
randomly assigned into four groups: group C, untreated control; group L, CO2
laser alone group; group F, APF 1.23% fluoride gel; and group FL, APF 1.23% gel
and laser. Samples from group L were irradiated with a CO2 laser for 30s. The
parameter settings used were average power, 0.73 W; time on, 100 MUs; time off,
40 ms; tip-to-tissue distance, 20 mm; tip diameter 700 MUm; and energy density
with movements, 5 J/cm2. Samples from group F were treated with the APF gel for 4
min, and the gel was washed off with distilled water. The enamel samples from
group FL were treated with APF gel for 4 min and then irradiated with the CO2
laser for 30s without removing the gel. Each enamel sample was placed in 50 ml
soft drink (pH = 2.75) for 10 min then rinsed with deionized water and stored in
artificial saliva at 37 degrees C for 1 h. Samples were assessed for Vickers
hardness number (VHN) before and after treatments and subjected to SEM analysis.
Data were analyzed using a one-way analysis of variance (ANOVA) and Tukey's test
(alpha < 0.05). After the acid challenge, the untreated C group was demineralized
to a great extent and the enamel surface was with the lowest mean score of
microhardness. The observed VHN in the control (C group) had a mean value of
176.13, the scores in the CO2 laser group (L group) were with mean value of
238.40, the F group with a mean value of 218.45, and the fluoride-treated and
laser-irradiated FL group-with a mean of 268.28 VHN. Paired t test performed to
compare groups C, L, F, and FL has shown that group FL has greater resistance to
decrease in microhardness of dental enamel (P <= 0.05) on exposure to acidic
protocol. After the acid challenge, the fluoride-treated and laser-irradiated
samples (group FL) showed the least diminution in enamel surface microhardness.
The sub-ablative carbon dioxide laser irradiation in combination with fluoride
treatment is more effective in protecting enamel surface and resisting
demineralization than CO2 laser irradiation or fluoride alone.
PMID- 29354865
TI - Age-, gender- and Arbeitsgemeinschaft fur Osteosynthesefragen type-specific
clinical characters of adult tibial plateau fractures in eighty three hospitals
in China.
AB - OBJECTIVE: The purpose of this study was to verify the age-, gender- and
Arbeitsgemeinschaft fur Osteosynthesefragen (AO) type-specific clinical
characters of adult tibial plateau fractures in 83 hospitals in China and to
investigate whether altitude has potential relationships with adult tibial
plateau fractures. METHODS: A retrospective investigation was performed on
consecutive patients with tibial plateau fractures treated in 83 hospitals in
China between January 2010 and December 2011, data including age, gender and
imaging were collected retrospectively through the PACS system and case reports
checking system, imaging were classified into six types under fully qualified
estimation based on AO classification. To further investigate imparities among
different altitudes in China, all data were classified into four groups according
to the centre altitudes of each city, G1 = plains group (<500 m), G2 = hills
group (500-1000 m), G3 = mountain areas group (1000-2000 m), and G4 = plateau
group (>2000 m). Comparison of gender distribution, age distribution and AO type
were done. RESULTS: A total of 6,227 adult tibial plateau fractures were
included. Men in the age range of 40-44 years were the most affected patients,
and the overall high-risk injury type was 44-B. In four groups, the same peak age
showed, namely, 40-44 years in males and 55-59 years in females. Age distribution
showed no statistically significant difference in four groups (P > 0.05), while
sex distribution and AO type indicated statistically significant differences (P <
0.05). Note an inversion of sex ratio among people over 60 years. CONCLUSION: Our
study showed that men in the 40-44 year age range are the most affected patients,
and different sex distribution as well as injury type of adult tibial plateau
fractures differed with various altitudes in China.
PMID- 29354866
TI - Congenital anomalies of the limbs in mythology and antiquity.
AB - Congenital anomalies of the limbs have been observed since ancient human
civilizations, capturing the imagination of ancient physicians and people. The
knowledge of the era could not possibly theorize on the biologic aspects of these
anomalies; however, from the very beginning of civilization the spiritual status
of people attempted to find a logical explanation for the existence of such
cases. The next logical step of the spiritual and religious system of the
ancients was to correlate these anomalies with the Gods and to attribute them to
a different level of existence in order to rationalize their existence. In these
settings, the mythology and religious beliefs of ancient civilizations comprised
several creatures that were related to the observed congenital anomalies in
humans. The purpose of this historic review is to summarize the depiction of
congenital anomalies of the limbs in mythology and antiquity, to present several
mythological creatures with resemblance to humans with congenital anomalies of
the limbs, to present the atmosphere of the era concerning the congenital
anomalies, and to theorize on the anomaly and medical explanation upon which such
creatures were depicted. Our aim is to put historic information in one place,
creating a comprehensive review that the curious reader would find interesting
and enjoyable.
PMID- 29354867
TI - Short- and long-term outcomes of surgical management of peptic ulcer
complications in the era of proton pump inhibitors.
AB - PURPOSE: We evaluated the short-term and long-term outcomes of emergency
operations for peptic ulcer (PUD) complications in a period of time in which the
need for surgery is infrequent. METHODS: Retrospective review of operated
patients (2007-2015) in one medical center. RESULTS: 81 patients were included
(8.9 patients/year): 70 (86.4%) male; 11 (13.6%) female. Indications for
operation were hemorrhage in 18 (22.2%), perforation in 62 (76.5%) and gastric
outlet obstruction in one (1.2%). Only 16 (19.8%) operations included a procedure
to reduce gastric acid secretion. Six (7.4%) patients had a second operation for
recurrent or persistent complication. Of these, two had a procedure to reduce
gastric acid secretion in their first operation. 16 (19.8%) patients died during
the index hospitalization. Three (3.7%) patients were rehospitalized for a PUD
complication following 3-24 months. One patient, who had surgery for a second
perforation 3 months following the first operation, was treated empirically for
Helicobacter Pylori (HP) between the two operations. In comparison to
perforation, patients with hemorrhage were older (69.9 +/- 20.3 vs. 52.1 +/- 19.9
years; p = 0.0015), more commonly had a history of PUD or treatment by
nonsteroidal anti-inflammotry drugs (55.6 vs. 19.4%; p = 0.0054), more commonly
had a procedure to reduce gastric acid secretion during their index operation
(61.1 vs. 6.5%; p < 0.0001), and had a higher mortality (38.9 vs. 14.5%; p =
0.0406). CONCLUSIONS: Mortality is high following surgery for the complications
of PUD, moreso in patients undergoing surgery for hemorrhage. Reoperations and
repeated hospitalizations for complications are not uncommon, even in patients
who have had procedures to reduce gastric acid secretion and HP eradication.
PMID- 29354869
TI - Organ donor pancreases for the study of human islet cell histology and
pathophysiology: a precious and valuable resource.
AB - Direct in vivo assessment of pancreatic islet-cells for the study of the
pathophysiology of diabetes in humans is hampered by anatomical and technological
hurdles. To date, most of the information that has been generated is derived from
histological studies performed on pancreatic tissue from autopsy, surgery, in
vivo biopsy or organ donation. Each approach has its advantages and disadvantages
(as summarised in this commentary); however, in this edition of Diabetologia,
Kusmartseva et al ( https://doi.org/10.1007/s00125-017-4494-x ) provide further
evidence to support the use of organ donor pancreases for the study of human
diabetes. They show that length of terminal hospitalisation of organ donors prior
to death does not seem to influence the frequency of inflammatory cells
infiltrating the pancreas and the replication of beta cells. These findings are
reassuring, demonstrating the reliability of this precious and valuable resource
for human islet cells research.
PMID- 29354870
TI - Knowledge and Practice of Oral Cancer Screening in Teaching Faculty-Comparison of
Specialty and Year of Clinical Experience.
AB - The purpose of this study was to assess the knowledge, practice, confidence, and
perceived barriers to oral cancer screening among teaching faculty in Japan.
Results were compared by specialist as well as years of clinical experience. A 25
question survey was used to assess the oral cancer screening practices of faculty
dentists at Iwate Medical University, School of Dental Medicine, the only dental
school located in the northeast (Tohoku) region of Japan. The study was approved
by the Institutional Review Board of Iwate Medical University. The response rate
was 83% (n = 110, 71.8% were male). This survey revealed that only 43.6% of the
dentists performed oral cancer screening frequently (always or usually) at the
initial appointment, and there was no significant differences between specialists
and clinical experience. Visual inspection of the oral cavity was the primary
screening method, but the frequency and content of the examination (TMJ and
tonsil) was significantly different between specialties. A history of cancer and
tobacco use motivated providers to perform an examination and was significantly
different between various specialists and clinically experienced providers. In
contrast, HPV and alcohol consumption were a weak motivator. The confidence level
of providers on their examination knowledge/skills was poor, especially among
junior faculty. More than 80% of junior faculty indicated a lack of
knowledge/skills as a major barrier.
PMID- 29354872
TI - Self-administered nicotine increases fat metabolism and suppresses weight gain in
male rats.
AB - RATIONALE: The ability of nicotine to suppress body weight is cited as a factor
impacting smoking initiation and the failure to quit. Self-administered nicotine
in male rats suppresses weight independent of food intake, suggesting that
nicotine increases energy expenditure. OBJECTIVE: The current experiment
evaluated the impact of self-administered nicotine on metabolism in rats using
indirect calorimetry and body composition analysis. METHODS: Adult male rats with
ad libitum access to powdered standard rodent chow self-administered intravenous
infusions of nicotine (60 MUg/kg/infusion or saline control) in daily 1-h
sessions in the last hour of the light cycle. Indirect calorimetry measured
respiratory exchange ratio (RER), energy expenditure, motor activity, and food
and water consumption for 22.5 h between select self-administration sessions.
RESULTS: Self-administered nicotine suppressed weight gain and reduced the
percent of body fat without altering the percent of lean mass, as measured by
Echo MRI. Nicotine reduced RER, indicating increased fat utilization; this effect
was observed prior to weight suppression. Moreover, nicotine intake did not
affect motor activity or energy expenditure. Daily food intake was not altered by
nicotine self-administration; however, a trend in suppression of meal size, a
transient suppression of water intake, and an increase in meal frequency was
observed. CONCLUSION: These data provide evidence that self-administered nicotine
suppresses body weight via increased fat metabolism, independent of significant
changes in feeding, activity, or energy expenditure.
PMID- 29354871
TI - Assessing uncertainty in annual nitrogen, phosphorus, and suspended sediment load
estimates in three agricultural streams using a 21-year dataset.
AB - Accurate estimation of constituent loads is important for studies of ecosystem
mass balance or total maximum daily loads. In response, there has been an effort
to develop methods to increase both accuracy and precision of constituent load
estimates. The relationship between constituent concentration and stream
discharge is often complicated, potentially leading to high uncertainty in load
estimates for certain constituents, especially at longer-term (annual) scales. We
used the loadflex R package to compare uncertainty in annual load estimates from
concentration vs. discharge relationships in constituents of interest in
agricultural systems, including ammonium as nitrogen (NH4-N), nitrate as nitrogen
(NO3-N), soluble reactive phosphorus (SRP), and suspended sediments (SS). We
predicted that uncertainty would be greatest in NO3-N and SS due to complex
relationships between constituent concentration and discharge. We also predicted
lower uncertainty with a composite method compared to regression or interpolation
methods. Contrary to predictions, we observed the lowest uncertainty in annual
NO3-N load estimates (relative error 1.5-23%); however, uncertainty was greatest
in SS load estimates, consistent with predictions (relative error 19-96%). For
all constituents, we also generally observed reductions in uncertainty by up to
34% using the composite method compared to regression and interpolation
approaches, as predicted. These results highlight differences in uncertainty
among different constituents and will aid in model selection for future studies
requiring accurate and precise estimates of constituent load.
PMID- 29354875
TI - A parsimonious model of brightness induction.
AB - We present a parsimonious model of brightness induction which can account for
various brightness illusions of both brightness-contrast and brightness
assimilation types. Our model is based on a difference of difference-of-Gaussian
filter and a two-pass model of attentive vision based on the parallel channels in
the central visual pathway. It overcomes some of the problems that could not be
addressed by the well-known oriented difference of Gaussian model like those
associated with Mach band and checkerboard illusions. This model attempts to
provide insight to the mechanism of attention in brightness perception through
the two major complimentary visual channels, viz. the magnocellular and the
parvocellular.
PMID- 29354873
TI - Clinical characteristics associated with subcutaneous tophi formation in Chinese
gout patients: a retrospective study.
AB - The objective of this study is to analyze clinical characteristics associated
with the formation of subcutaneous tophi among Chinese gout patients. It was a
retrospective outpatient cohort study. Five thousand six hundred ninety-three
gout patients treated at the Affiliated Hospital of Qingdao University from March
2011 to February 2016 were included and divided into the tophus group and non
tophus group according to the presence of megascopic tophus. Relevant clinical
information and biochemical parameters were analyzed to identify potential risk
factors for the incidence of subcutaneous tophi. There are significant difference
(P < 0.05) between the tophus and non-tophus groups in gender, family history,
exercise, incidence of obesity, hypertension, renal dysfunction, kidney stone,
coronary heart disease, and upper limb joint involvement. Between the two groups,
significant difference (P < 0.01) was detected in the onset age (43.80 +/- 13.82
years vs. 45.40 +/- 13.77 years), duration of disease (10.28 +/- 7.54 years vs.
5.11 +/- 6.06 years), number of joint involved (3.11 +/- 2.15 vs. 1.81 +/- 1.35),
systolic pressure (138.53 +/- 19.46 mmHg vs. 133.87 +/- 17.93 mmHg), diastolic
pressure (89.55 +/- 12.73 mmHg vs. 87.48 +/- 11.77 mmHg), serum uric acid (487.15
+/- 120.13 MUmol/L vs. 458.89 +/- 119.04 MUmol/L), creatinine (93.87 +/- 54.19
MUmol/L vs. 85.51 +/- 37.71 MUmol/L), and creatinine clearance rate (Ccr) (93.05
+/- 48.7 mL/min vs. 106.61 +/- 51.76 mL/min). Logistic regression analysis
suggests that duration of disease, number of joints involved, involvement of
upper limb joints, kidney stones, diastolic pressure, and serum uric acid are
associated with the subcutaneous tophi formation, while exercise and obesity are
protective factors. The present study has identified several clinical parameters
(such as duration of disease, involvement of upper limb joints, involved joints,
kidney stone, hypertension) as risk factors for the incidence of subcutaneous
tophi, which provides insights into the treatment and prevention of tophus.
PMID- 29354876
TI - Evaluating Treatment Patterns for Small Cell Carcinoma of the Colon Using the
National Cancer Database (NCDB).
AB - OBJECTIVE(S): The objective of this study was to characterize the
clinicopathological prognostic factors and treatment patterns for small cell
carcinoma (SCC) of the colon, a rare disease without standard treatment
guidelines. METHODS: We analyzed clinicopathological and treatment variables for
503 cases of histologically proven SCC colon entered into the National Cancer
Database (NCDB) between 2004 and 2013. Survival curves were generated using
Kaplan-Meier and compared by the log-rank test. Cox proportional hazard
regression was used to control for covariates and evaluate the effect of
different treatment modalities on overall survival. RESULTS: Four hundred seventy
two (93.8%) patients had complete clinical staging information and were therefore
included in our analysis. Of these patients, 149 (31.5%) had limited stage
disease (LD) and 323 (68.4%) had extensive stage disease (ED) at presentation.
Median overall survival (OS) for patients with ED was significantly lower than
for those with LD (4.04 months vs. 21.82 months; p < 0.001). Multivariate Cox
regression analysis showed administration of chemotherapy was associated with
improved survival in patients with LD and ED (p = 0.026, p < 0.001) while surgery
was not associated with improved survival in patients with LD or ED (p = 0.943, p
= 0.630). Radiation therapy was associated with improved survival in patients
with ED (p = 0.044). CONCLUSIONS: SCC of the colon carries a poor prognosis,
especially in patients presenting with metastatic disease. Surgery and
chemotherapy are administered more frequently than radiation, and chemotherapy is
associated with improved survival, unlike surgery.
PMID- 29354877
TI - Outcomes of Radioembolization in Patients with Chemorefractory Colorectal Cancer
Liver Metastasis: a Single-Center Experience.
AB - PURPOSE: We aimed to evaluate the efficacy and outcomes of radioembolization with
Yttrium-90 (Y-90) microspheres in patients with unresectable and chemorefractory
colorectal cancer liver metastasis (CRCLM). METHODS: This single-center study
included 43 patients (34 male, 9 female) who underwent radioembolization with Y
90 for unresectable, chemorefractory CRCLM between September 2008 and July 2014.
Overall survival (OS), liver progression-free survival (LPFS), overall response
rate (ORR), local disease control rate (LDCR), and relations of these parameters
with patient disease characteristics were evaluated. OS and LPFS rates were
compared according to microspheres. Survival rates were calculated with Kaplan
Meier method, and potential prognostic variables were evaluated on univariate
analyses. RESULTS: Post-procedural median OS was 12.8 months. LPFS was 5.6
months. ORR was 33%, LDCR was 67% on 3rd month follow-up. Low tumor burden (<
25%) was associated with higher median OS after radioembolization (< 25 vs > 25
50% p < 0.0001 and < 25 vs > 50% p = 0.005). Patients with left colon tumors
exhibited significantly longer median OS after metastasis than right colon tumors
(p = 0.046). Extrahepatic disease and synchronicity showed poorer survival
parameters; however, the difference was not significant (p = 0.1 and p = 0.3,
respectively). In subgroup analyses, the distribution of patient number and
characteristics showed heterogeneity as number of patients with low tumor burden
was higher in resin Y-90 group. Resin Y-90 group exhibited significantly higher
median OS and LPFS compared to glass Y-90 group (16.5 vs. 7 months, p = 0.001;
6.73 vs. 3.38 months, p = 0.023, respectively). CONCLUSION: Radioembolization is
a safe local-regional treatment option in chemorefractory, inoperable CRCLM.
Radioembolization at earlier stages may lead to more favorable results especially
with lower tumor burden patients.
PMID- 29354878
TI - Relative effects of genetic variation sensu lato and sexual dimorphism on plant
traits and associated arthropod communities.
AB - Intraspecific plant trait variation can have cascading effects on plant
associated biotic communities. Sexual dimorphism is an important axis of genetic
variation in dioecious plants, but the strength of such effects and the
underlying mechanisms relative to genetic variation are unknown. We established a
common garden with 39 genotypes of Baccharis salicifolia sampled from a single
population that included male and female genotypes and measured plant traits and
quantified associated arthropod communities. Genetic variation sensu lato
(genotypic variation) had strong effects on most plant traits (flower number,
relative growth rate, specific leaf area, percent water content, carbon-nitrogen
ratio, monoterpene but not sesquiterpene concentrations) and on herbivore and
predator density, and on arthropod community composition (relative abundance of
14 orders). In contrast, sexual dimorphism had weaker effects on only a few plant
traits (flower number and relative growth rate), on predator density, and on
arthropod community composition, but had no effect on herbivore density.
Variation in flower number drove genetic variation sensu lato and sex dimorphism
in predator density and arthropod community composition. There was unique genetic
variation sensu lato in herbivore density (positively) associated with
monoterpene concentration and in arthropod community composition associated with
specific leaf area and carbon-nitrogen ratio. There was unique sexual dimorphism
in arthropod community composition associated with plant relative growth rate.
Together, these results demonstrate that genetic variation sensu lato and sexual
dimorphism can shape plant-associated arthropod communities via both parallel and
unique mechanisms, with greater overall effects of the former.
PMID- 29354879
TI - Metagenomic Signatures of Microbial Communities in Deep-Sea Hydrothermal
Sediments of Azores Vent Fields.
AB - The organisms inhabiting the deep-seafloor are known to play a crucial role in
global biogeochemical cycles. Chemolithoautotrophic prokaryotes, which produce
biomass from single carbon molecules, constitute the primary source of nutrition
for the higher organisms, being critical for the sustainability of food webs and
overall life in the deep-sea hydrothermal ecosystems. The present study
investigates the metabolic profiles of chemolithoautotrophs inhabiting the
sediments of Menez Gwen and Rainbow deep-sea vent fields, in the Mid-Atlantic
Ridge. Differences in the microbial community structure might be reflecting the
distinct depth, geology, and distance from vent of the studied sediments. A
metagenomic sequencing approach was conducted to characterize the microbiome of
the deep-sea hydrothermal sediments and the relevant metabolic pathways used by
microbes. Both Menez Gwen and Rainbow metagenomes contained a significant number
of genes involved in carbon fixation, revealing the largely autotrophic
communities thriving in both sites. Carbon fixation at Menez Gwen site was
predicted to occur mainly via the reductive tricarboxylic acid cycle, likely
reflecting the dominance of sulfur-oxidizing Epsilonproteobacteria at this site,
while different autotrophic pathways were identified at Rainbow site, in
particular the Calvin-Benson-Bassham cycle. Chemolithotrophy appeared to be
primarily driven by the oxidation of reduced sulfur compounds, whether through
the SOX-dependent pathway at Menez Gwen site or through reverse sulfate reduction
at Rainbow site. Other energy-yielding processes, such as methane, nitrite, or
ammonia oxidation, were also detected but presumably contributing less to
chemolithoautotrophy. This work furthers our knowledge of the microbial ecology
of deep-sea hydrothermal sediments and represents an important repository of
novel genes with potential biotechnological interest.
PMID- 29354880
TI - Endothelin-1-induced hypertrophic alterations and heme oxygenase-1 expression in
cardiomyoblasts are counteracted by beta estradiol: in vitro and in vivo studies.
AB - Endothelin-1 (ET-1), a potent vasoconstrictor normally active in maintaining
vascular tone, may mediate significant pathogenic effects, contributing to
several serious diseases when aberrantly expressed or regulated. The present
study evaluates the capacity of ET-1 to affect endothelin-1-associated
hypertrophic activity and decreased expression of heme oxygenase-1 by H9c2 rat
cardiomyoblasts in vitro, corresponding to in vivo processes underlying
cardiovascular diseases (CVDs). Beta estradiol (beta-E) is tested for its
capacity to alter the effects of ET-1. H9c2 cells, cultured 48 h, were stimulated
with 100-10,000 nM of ET-1 and evaluated for changes in cell size, cell
viability, and expression of the cytoprotective heat shock protein heme oxygenase
1 (HO-1), with 200 nM of beta-E included in selected cultures to evaluate its
effect on ET-1-mediated changes. The application of 100 to 10,000 nM of ET-1
resulted in a significant increase in average cell size and decreases in both
cell viability and HO-1 protein content (p < 0.05). Moreover, 200 nM of beta-E
was observed to significantly counteract these effects by cardiomyoblasts
stimulated with 1000 nM of ET-1 (p < 0.05). Sprague-Dawley rats treated
intravenously with 1000 ng/kg of ET-1 demonstrated reduced HO-1 expression in
peripheral blood and left ventricular tissue, which was counteracted by injection
of 200 ng/kg beta-E-demonstrating a possible correspondence between in vitro and
in vivo effects. An outcome of particular value for clinical use of beta-E, in
the management of cardiac hypertrophy, is the observed capacity of the drug to
abate ET-1-mediated suppression of HO-1 expression. It has been previously
demonstrated that HO-1 inducers exhibit potent cardioprotective properties, thus
offering the promise of combining them with beta-E, allowing lower effective
dosage of the drug and concomitantly lower adverse side effects associated with
its clinical use. Major findings of this investigation are that pretreatment of
cardiomyoblasts with beta-E inhibited their hypertrophic response to ET-1 and
counteracts the decrease of cell viability. These effects were associated with a
restoration of HO-1 protein expression in both under in vitro and in vivo
conditions.
PMID- 29354881
TI - Cell shape can be uncoupled from formononetin induction in a novel cell line from
Callerya speciosa.
AB - KEY MESSAGE: It is the first time that formononetin produced by cell culture and
its accumulation was shown to be triggered by specific stress signalling linked
jasmonate pathway. Callerya speciosa, an endangered traditional Chinese medicine
plant, is intensively used in traditional folk medicine. To develop sustainable
alternatives for the overexploitation of natural resources, a suspension cell
line was created from C. speciosa. Ingredients of C. speciosa, for instance the
isoflavone formononetin, are formed during a peculiar swelling response of the
root, which is considered as a quality trait for commercial application. A cell
strain with elongated cells was obtained by using synthetic cytokinin 6
benzylaminopurine (6-BA) and synthetic auxin picloram. Both, picloram and 6-BA,
promote cell division, whereas picloram was shown to be crucial for the
maintenance of axial cell expansion. We addressed the question, whether the loss
of axiality observed in the maturating root is necessary and sufficient for the
accumulation of formononetin. While we were able to mimic a loss of axiality for
cell expansion, either by specific combinations of 6-BA and picloram, or by
treatment with the anti-microtubular compound oryzalin, formononetin was not
detectable. However, formononetin could be induced by the stress hormone methyl
jasmonate (MeJA), as well as by the bacterial elicitor flagellin peptide (flg22),
but not by a necrosis inducing protein. Combined the fact that none of these
treatments induced the loss of axiality, we conclude that formononetin
accumulates in response to basal defence and unrelated with cell swelling.
PMID- 29354882
TI - Correction to: Simultaneous detection of periodontal pathogens in subgingival
plaque and placenta of women with hypertension in pregnancy.
AB - The original version of this article unfortunately contained a mistake. Ambika
Devi K was not listed among the authors. The corrected authorship is given below.
PMID- 29354883
TI - Prevalence of technical errors and periapical lesions in a sample of
endodontically treated teeth: a CBCT analysis.
AB - OBJECTIVES: The aims of this study are to identify the most frequent technical
errors in endodontically treated teeth and to determine which root canals were
most often associated with those errors, as well as to relate endodontic
technical errors and the presence of coronal restorations with periapical status
by means of cone-beam computed tomography images. METHODS: Six hundred eighteen
endodontically treated teeth (1146 root canals) were evaluated for the quality of
their endodontic treatment and for the presence of coronal restorations and
periapical lesions. Each root canal was classified according to dental groups,
and the endodontic technical errors were recorded. Chi-square's test and
descriptive analyses were performed. RESULTS: Six hundred eighty root canals
(59.3%) had periapical lesions. Maxillary molars and anterior teeth showed higher
prevalence of periapical lesions (p < 0.05). Endodontic treatment quality and
coronal restoration were associated with periapical status (p < 0.05).
Underfilling was the most frequent technical error in all root canals, except for
the second mesiobuccal root canal of maxillary molars and the distobuccal root
canal of mandibular molars, which were non-filled in 78.4 and 30% of the cases,
respectively. CONCLUSIONS: There is a high prevalence of apical radiolucencies,
which increased in the presence of poor coronal restorations, endodontic
technical errors, and when both conditions were concomitant. Underfilling was the
most frequent technical error, followed by non-homogeneous and non-filled canals.
CLINICAL RELEVANCE: Evaluation of endodontic treatment quality that considers
every single root canal aims on warning dental practitioners of the prevalence of
technical errors that could be avoided with careful treatment planning and
execution.
PMID- 29354884
TI - Quantitative evaluation of dental anxiety indicators in the serum and saliva
samples of children treated under general anesthesia.
AB - OBJECTIVES: Dental anxiety level has been previously associated with oral health
status. Since general anesthesia (GA) allows dental treatments to be performed in
anxious children with a high number of caries, we aimed to evaluate the dental
anxiety levels of these children before and after dental treatments performed
under GA. MATERIALS AND METHODS: Thirty-eight children with high anxiety aged
between 35 and 72 months who required multiple dental treatments to be performed
under GA were included in the study. Frankl's Behavior Rating Scale, sitting
patterns, Facial Image Scale, and Children's Fear Survey Schedule-Dental Subscale
(completed by the children's parents) were recorded before and after the dental
treatments performed under GA. Pre-treatment and post-treatment biochemical
parameters, including cortisol, alpha-amylase, and chromogranin A levels, in
saliva and serum samples were also evaluated. RESULTS: The psychometric scales
showed a statistically significant reduction in the post-treatment dental anxiety
levels of the children compared with the pre-treatment anxiety levels.
Additionally, the biochemical parameters measured in the serum and whole saliva
samples showed a decrease after treatments performed under GA. CONCLUSIONS: Our
study results suggest that performing dental treatments under GA can reduce the
dental anxiety levels of children with a high number of caries.
PMID- 29354885
TI - Neuronal loss and gliosis in the rat striatum subjected to 15 and 30 minutes of
middle cerebral artery occlusion.
AB - Selective neuronal death or loss in certain brain regions has been well
characterized in animal models of transient global cerebral ischemia. However,
selective neuronal death in transient focal cerebral ischemia needs more
investigation. Therefore, in this study, we studied selective neuronal death in
the striatum (caudate putamen) of rats subjected to 15 or 30 min middle cerebral
artery occlusion (MCAO). Neuronal death occurred in the dorsolateral field, not
in the medial field in 30 min, not 15 min, MCAO-operated rats 5 days after MCAO
using neuronal nuclear antigen immunohistochemistry and Fluoro-Jade B
histofluorescence staining. In this group, immunoreactivity of glial fibrillary
acidic protein in astrocytes was hardly shown in the dorsolateral field, although
the immunoreactivity increased in the medial field. In addition, immunoreactivity
of ionized calcium binding adapter molecule 1 in microglia was dramatically
increased in the dorsolateral, not in the medial, field only in 30 min MCAO
operated rats. Briefly, these results show that at least 30 min of MCAO can evoke
selective neuronal death, astrocytic dysfunction and microglial activation in the
dorsolateral field of the rat striatum and suggest that a rat model of 30 min
MCAO can be used to investigate mechanisms of neuronal death and gliosis
following brief transient focal cerebral ischemic events for acute transient
ischemic attack.
PMID- 29354886
TI - Growth performance, body composition, and digestive functionality of Senegalese
sole (Solea senegalensis Kaup, 1858) juveniles fed diets including microalgae
freeze-dried biomass.
AB - Senegalese sole is one of the most promising fish species cultivated in the
Southern European countries. This study was aimed at assessing the effects of
microalgae biomass added to diets for Senegalese sole juveniles on fish growing
and condition status. Three isoproteic (52%) and isolipidic (10%) were formulated
containing 15% Tisochrysis lutea (TISO), Nannochloropsis gaditana (NAN), or
Scenedesmus almeriensis (SCE) biomass, respectively. An experimental microalgae
free diet (CT) and a commercial diet (COM) were used as controls. Fish were fed
at 3% of their body weight for 85 days. Final body weight of fish fed microalgae
supplemented diets did not differ from group fed CT diet. Fish-fed CT, TISO, NAN,
and SCE showed higher growth performance and nutrient utilization figures than
specimen-fed COM diet. The highest carcass lipid content was found in COM group
(141 g kg-1), and no differences were observed in body protein content. Ash was
significantly higher in TISO, NAN, and SCE groups compared to fish-fed CT. Muscle
EPA and DHA contents were not modified owing to the different dietary treatments.
The n3/n6 and EPA/DHA ratios in muscle were similar in all the experimental
groups. The quantification of digestive proteolytic activities did not differ
among experimental groups, although differences in the protease pattern in
digestive extracts by zymography were revealed in those fish fed on COM diet.
Both alpha-amylase activity in the intestinal lumen and leucine aminopeptidase in
the intestinal tissue were significantly lower in COM fish. Specimens fed on SCE
diet showed a higher leucine aminopeptidase activity associated to the intestinal
tissue compared to NAN-fed fish (0.40 and 0.25 U g tissue-1, respectively). The
ultrastructural study revealed that the dietary inclusion of algal biomass,
especially T. lutea and N. gaditana, had a positive impact on the absorptive
capacity of the intestinal mucosa. The highest values for the parameters
microvilli length and microvilli absorption surface were observed in fish fed on
NAN diet (1.99 MUm and 45.93 MUm2, respectively). Even though further studies
aimed at optimizing commercial formulas for Senegalese sole are required prior to
any large-scale practical utilization, the results obtained clearly suggest the
potential of microalgae as dietary ingredients for this fish species.
PMID- 29354887
TI - Delayed Recanalization Promotes Functional Recovery in Rats Following Permanent
Middle Cerebral Artery Occlusion.
AB - Most large vessel stroke patients have permanent occlusion, for which there are
no current treatment options. Recent case studies have indicated delayed
recanalization, that is recanalization outside of the 6-h treatment window, may
lead to improved outcome. We hypothesized that delayed recanalization will
restore cerebral blood flow, leading to improved function in rats. Male SD rats
were subjected to pMCAO or sham surgery. Delayed recanalization was performed on
either day 3, 7, or 14 after pMCAO in a subset of animals. Cerebral blood flow
was monitored during suture insertion, during recanalization, and then at
sacrifice. Neurological function was evaluated for 1 week after delayed
recanalization and at 4 weeks post-ictus. After sacrifice, cerebral morphology
was measured. Compared to no treatment, delayed recanalization restored cerebral
blood flow, leading to sensorimotor recovery, improved learning and memory,
reduced infarct volume, and increased neural stem/progenitor cells within the
infarction. The data indicate that earlier delayed recanalization leads to better
functional and histological recovery. Yet, even restoring cerebral blood flow 14
days after pMCAO allows for rats to regain sensorimotor function. This
exploratory study suggests that delayed recanalization may be a viable option for
treatment of permanent large vessel stroke.
PMID- 29354888
TI - Point-of-care hemoglobin testing for postmortem diagnosis of anemia.
AB - An autopsy involves examination of a body using invasive methods such as
dissection, and includes various tests using samples procured during dissection.
During medicolegal autopsies, the blood carboxyhemoglobin concentration is
commonly measured using the AVOXimeter(r) 4000 as a point-of-care test. When
evaluating the body following hypovolemic shock, characteristics such as reduced
livor mortis or an anemic appearance of the viscera can be identified, but these
observations arequite subjective. Thus, a more objective test is required for the
postmortem diagnosis of anemia. In the present study, the AVOXimeter(r) 4000 was
used to investigate the utility of point-of-care hemoglobin testing. Hemoglobin
tests were performed in 93 autopsy cases. The AVOXimeter(r) 4000 and the BC-2800
Auto Hematology Analyzer were used to test identical samples in 29 of these
cases. The results of hemoglobin tests performed with these two devices were
statistically similar (r = 0.969). The results of hemoglobin tests using
postmortem blood were compared with antemortem test results from medical records
from 31 cases, and these results were similar. In 13 of 17 cases of death from
internal hemorrhage, hemoglobin levels were lower in the cardiac blood than in
blood from the affected body cavity, likely due to compensatory changes induced
by antemortem hemorrhage. It is concluded that blood hemoglobin testing may be
useful as a point-of-care test for diagnosing postmortem anemia.
PMID- 29354889
TI - "My Invisalign experience": content, metrics and comment sentiment analysis of
the most popular patient testimonials on YouTube.
AB - BACKGROUND: The aim of the study was to investigate the popularity, content of
Invisalign patient testimonials on YouTube, as well as the sentiment of the
related comments. METHODS: Using the term "Invisalign experience," the top 100
results on YouTube by view count were screened for English spoken patient videos
that attracted comments. Video information (time since video upload,
sponsorship), engagement metrics (comments, likes, dislikes, subscriptions), and
views were collected. Videos were rated for information completeness (ICS), and
comments were classified by origin and content. The emotional loading of the
comments was measured using automated sentiment analysis. RESULTS: The 40
reviewed testimonials scored an average ICS of 3.78 (SD 0.97). ICS, time since
upload, and video duration did not appear to significantly influence the number
of views, subscriptions, likes, dislikes, and comments. There was a statistically
significant difference (P = 0.03) between mean positive (2.01, SD 0.95) and
negative sentiment scores (- 1.90, SD 1.14). Commenter's status and overall
comment on video were significantly associated with positive sentiment scores.
There was a significant association between sponsorship, commenter's status,
overall comment on video, focus of concern, perceived Invisalign's disadvantages,
and increased negative sentiment scores. CONCLUSIONS: Engagement of audience and
views of the most popular Invisalign patient testimonials were not significantly
influenced by completeness of information, video duration, and lifespan. The
sentiment of viewers' comments about Invisalign treatment was significantly more
positive and was significantly associated with their status, content, and
sponsorship of videos. Orthodontic trends on YouTube need to be cautiously
monitored for planning interventions that improve patients' knowledge about
orthodontics.
PMID- 29354890
TI - Angular relational signature-based chest radiograph image view classification.
AB - In a computer-aided diagnosis (CAD) system, especially for chest radiograph or
chest X-ray (CXR) screening, CXR image view information is required.
Automatically separating CXR image view, frontal and lateral can ease subsequent
CXR screening process, since the techniques may not equally work for both views.
We present a novel technique to classify frontal and lateral CXR images, where we
introduce angular relational signature through force histogram to extract
features and apply three different state-of-the-art classifiers: multi-layer
perceptron, random forest, and support vector machine to make a decision. We
validated our fully automatic technique on a set of 8100 images hosted by the
U.S. National Library of Medicine (NLM), National Institutes of Health (NIH), and
achieved an accuracy close to 100%. Our method outperforms the state-of-the-art
methods in terms of processing time (less than or close to 2 s for the whole test
data) while the accuracies can be compared, and therefore, it justifies its
practicality. Graphical Abstract Interpreting chest X-ray (CXR) through the
angular relational signature.
PMID- 29354891
TI - [2107 hotspots review and future prospects in colorectal cancer].
AB - Radical surgeries and(neo) adjuvant therapies have significantly prolonged
survival of patients with colorectal cancer. Microinvasive surgery and function
preservation become more important with the prerequisite of R0 resection. As for
colorectal cancer, long term survival after laparoscopic curative surgery is
proved non-inferior to its open counterpart. The new transanal approach of total
mesorectal excision, while avoiding abdominal incision for extraction, may as
well improve surgical quality. Local excision and the "watch and wait" strategy,
though attractive for organ-preservation, are undermined by suboptimal clinical
and radiographic assessment of lymph node and treatment response, respectively.
Meanwhile, fresh changes are challenging long-established perioperative
regiments. Shortening of adjuvant chemotherapy to 3 months reduces adverse events
without compromising survival. Moving part of, or all, adjuvant courses to before
surgery may improve response, thereby omitting protectomy or radiotherapy. To
summarize, function preservation and quality of life has, together with survival,
increasingly become the ultimate aim of colorectal cancer treatment, a field of
constant evolvement.
PMID- 29354892
TI - [2017 hotspots review and outlook on gastric cancer surgery in China].
AB - The 12th International Gastric Cancer Congress (12th IGCC ) was successfully held
in Beijing, China on 20th-23rd April, 2017, which gave Chinese surgeons a great
opportunity to share clinical progress and experience in the surgical treatment
of gastric cancer with colleagues from different countries. At the end of 2017,
this article hereby reviews briefly the achievements of surgical work of gastric
cancer, which was done by our Chinese surgeons in this year. Some aspects will be
reviewed, aiming at summarizing experience, affirming achievement, finding out
the gap and promoting improvement in the future. These aspects include the
conversion therapy for stageIIII( gastric cancer; the minimally invasive surgery
for gastric cancer; the alimentary tract reconstruction after gastrectomy;
enhanced recovery after radical gastrectomy and surgery quality control and
patient's quality of life after surgery. In 2017, the gastric cancer surgery in
China has made some new progress in many fields, and some achievements have
reached or are close to international advanced level. However, looking ahead to
the coming year and the future, there are still some important works to be done
in the field of clinical surgery for gastric cancer in China, which include (1)
strengthening big clinical data in gastric cancer collection and analysis
platform construction for the promotion of scientific decision in therapeutic
strategy; (2) further participating in international academic exchange and
cooperation with taking advantages of our big number of gastric cancer cases,
great amount of bio-tissue samples and big number of professional surgeons to
actively join the international clinical research and expand our academic
influence in the world;(3)developing more multicentre prospective randomized
controlled trials in order to further improve the scientific significance in
Chinese clinical guideline, norms and expert consensus in surgical treatment for
gastric cancer; (4) further improving effectiveness of the multidisciplinary team
(MDT) model in order to truly play the role of each subject in resolving some
difficult cases; (5) in terms of surgical treatment for patients, it should be
continue to keep going on minimally invasive operation, rational perioperative
treatment, refinement technique, radical cure resection, and to further improve
operation quality control system, aiming at realizing both goals simultaneously
in prolonging survival time and improving quality of life for the patients with
gastric cancer.
PMID- 29354893
TI - [Review of clinical investigation in minimally invasive gastrointestinal surgery
in 2017 and future prospects].
AB - Clinical investigation in minimally invasive gastrointestinal surgery has been
developed by leaps and bounds in 2017. Standardizations of port placement,
surgical approaches, lymphadenectomy, reconstruction, total laparoscopic surgery,
incision-free technique, 3D laparoscope, as well as robotic surgeries, have been
established. In the treatment for gastric cancer, the first-hand data of the
laparoscopic technique for advanced gastric cancer will be released soon.
Therefore, the research hotspots have been moved forward to lymphadenectomy,
resection range, and reconstruction in laparoscopic advanced gastric cancer
surgery. In the treatment for colorectal cancer, the lymphadenectomy range
(complete colorectal excision and D3) and the effect of various of surgical
approaches persistently become the research areas in laparoscopic colon cancer
surgery. While the research interest of laparoscopic rectal cancer surgery still
focus on the oncological effect evaluation of laparoscopic technique, duo to the
recent evidence-based data challenging the usage of laparoscopic technique in
rectal cancer treatment. What worth to notice is that trans-anal total mesorectal
excision, as a new surgical approach, has undoubtedly become the most popular
research field in 2017. Last but not least, the domestication of 3D instrument,
laparoscope positioning robot, and surgical robot are the pop areas in the
surgical instrument and equipment innovation area. In a word, with the 30-year
development of minimally invasive surgery, represented by laparoscopic technique,
it has become a mature technology, but still with some burning questions. The
future trends of clinical investigations will focus on standardization of
traditional laparoscopic techniques, development of new laparoscopic techniques,
as well as the development of innovative digital equipments and instruments.
PMID- 29354894
TI - [2017 hotspots review and future prospects of abdominal wall and hernia surgery].
AB - Hernia and abdominal surgery keeps moving forward rapidly In 2017, lots of
progress were achieved in etiology, material, and surgical technique. In
etiology, TTN gene missense mutation was found in family members of indirect
inguinal hernia. In material, a long-term slow-absorptive patch was present
leading to a good choice of hernia therapy; application of 3D print for
individual patch repair was expected. In surgical technique, efficacy of
laparoscopic minimal invasive procedure or MILOS and eMILOS procedures was
satisfactory in the treatment of complicated incisional hernia; tissue
separation, patch placement and abdominal wall reconstruction by robotic surgery
resulted in bigger operative space, faster postoperative recovery and lower
morbidity of infection and seroma at operative site. In addition, there were more
and more evidences to support that prophylactic use of patch in enterostomy can
prevent the occurrence of postoperative parastomal hernia and incisional hernia.
Domestic surgeons contributed mainly to the standardization of hernia surgery in
2017. This article reviews the hotspots of hernia and abdominal wall surgery in
2017, in the meantime, we prospect the progress in the near future.
PMID- 29354895
TI - [Therapeutic evaluation and surgical strategy after neoadjuvant chemoradiotherapy
for rectal cancer].
AB - Neoadjuvant chemoradiotherapy for rectal cancer could significantly reduce the
tumor stage, improve the radical resection and increase the overall survival rate
of the patients. Recently researches reported that patients who acquired complete
response after neoadjuvant chemoradiotherapy might accept "wait and see" strategy
or local excision and could earn promising long-term outcomes as those accepted
radical surgery. The diagnostic criteria and efficacy of tumor response for
chemoradiotherapy are insufficient and controversial. Moreover, clinical practice
showed that chemoradiotherapy-related surgical complications, including
anastomotic leakage, incision complications and colon stricture, remarkably
increased, so the benefits and risks of patients should be reconsidered further
in choosing the surgical strategies after neoadjuvant chemoradiotherapy.
PMID- 29354896
TI - [10-year series studies of chronic radiation proctopathy from The Sixth
Affiliated Hospital of Sun Yat-sen University].
AB - Chronic radiation proctopathy (CRP) is the delayed adverse effect after
radiotherapy for pelvic malignancies. It is characterized as progressive fibrosis
in pathology. The symptoms are usually unspecific which include rectal bleeding,
perianal pain, tenesmus, stool frequencies and so on. The course of CRP can
present from months to years after radiation. Severe complications can occur in
the late stage and will greatly affect the quality of life. Currently, there is
no standard guides for diagnosis and treatment of CRP. For advancing the
knowledge and proposing new treatment modalities of CRP, this article summarizes
our 10-year experience as follows: (1) Except the existence of vessel damage,
interstitial fibrosis, mucous ulcer, edema and inflammatory cell infiltration in
the radiation-injury area, the capillary count was obviously reduced in mucous
substratum and angiostatin expression up-regulated remarkably in above area,
resulting in the continuous development of compensatory capillary expansion and
interstitial fibrosis in mucous layer. (2) Rectal ultrasound examination was used
the first time to diagnose CRP. According to thickness change of rectal wall
layer and increase of blood signal, severity of CRP activity was evaluated with
good diagnostic value. (3) For slight-moderate rectal bleeding, moderate-heavy
bleeding and heavy bleeding, application of retention enema with compound
preparation based on mucoprotective agents, regional perfusion hemostasis with
formaldehyde and proximal colostomy gained satisfactory efficacy. (4) Improved
Parks operation should be recommended for CRP patients with advanced
complications. (5) For rectal cancer patients receiving neoadjuvant
chemoradiotherapy, "proximal extended excision" should be performed innovatively
to decrease the risk of anastomotic complications (Tianhe Procedure).
PMID- 29354897
TI - [Trend analysis of morbidity and mortality of colorectal cancer in China from
1988 to 2009].
AB - OBJECTIVE: To explore the trend change of the morbidity and mortality of
colorectal cancer in China in order to provide reference to the prevention and
control of colorectal cancer. METHODS: According to the 1-3 volumes of
"Pathogenesis and death of malignancies in pilot program city and county of
China", "Pathogenesis and death of cancer in China"(2003-2007) and "Registration
annual report of tumor in China" published in 2011 and 2012, data of pathogenesis
and death of colorectal cancer from 10 tumor registration spots, including
Beijing urban, Shanghai urban, Wuhan urban, Harbin urban (defined as city urban),
and Hebei Ci County, Jiangsu Qidong District, Zhejiang Jiashan District, Guangxi
Fusui County, Fujian Changle District, Henan Lin County (defined as rural
district), between 1988 and 2009 were collected. The morbidity and mortality were
elucidated with world population standardized rate. Ratio of pathogenesis to
death was calculated with crude rate of morbidity and mortality. Data of 22 years
were enrolled into the linear regression analysis to calculate the annual change
rate of morbidity and mortality statistically. RESULTS: (1) Colon cancer:
morbidity presented increasing trend; male morbidity in city urban increased
faster; mortality presented increasing trend as well; no significant difference
of increasing velocity was observed between city urban and rural district;
morbidity and mortality in city urban were higher compared to rural district;
morbidity and mortality of males were higher compared to females; except stable
Fujian Changle District, ratio of pathogenesis to death presented decreased trend
in Shanghai urban and Hebei Ci County, and increased trend in other 7 spots (all
P<0.05). (2) Rectal cancer: morbidity presented increasing trend, and its
increasing velocity of city urban was faster compared to rural district;
mortality presented decreased trend, especially in females, and this trend in
rural district was worse compared to city urban; morbidity and mortality of males
were higher compared to females, while no significant difference was observed
between city urban and rural district; morbidity and mortality of males and
females in Zhejiang Jiashan District were all decreased (all P<0.05); except
stable Harbin city, ratio of pathogenesis to death presented increased trend in
other 9 spots (all P<0.05). (3) Ratio analysis of morbidity and mortality showed
that percentage of colon cancer increased gradually in all 10 spots between 1988
2009. CONCLUSIONS: In the past 2 decades, the overall morbidity and mortality of
colorectal cancer are higher in city urban and in male as compared with rural
district and female. Colon cancer has higher morbidity than rectal cancer and its
morbidity and mortality present increased trend, while morbidity of rectal cancer
presents increased trend but its mortality presents decreased trend.
PMID- 29354898
TI - [Clinicopathological characteristics and prognosis analysis of colorectal
synchronous multiple primary cancer].
AB - OBJECTIVE: To investigate the clinicopathological features and prognosis of
colorectal synchronous multiple primary cancer(SMPC). METHODS: From January 2008
to June 2011, 51 patients diagnosed with colorectal SMPC underwent surgery at
Department of General Surgery of Peking University First Hospital. Their
clinicopathological features, diagnosis, treatment and prognosis were summarized
and analyzed. SMPC was diagnosed according to the following criteria: each tumor
must have a definite pathologic picture of malignancy; metastasis or recurrence
from another colorectal cancer was excluded; tumors must be distinctly separated
by at least 5 cm of all intact bowel wall from each other; SMPC has abnormal
cells between tumor and normal mucosa and abnormal gland of transitional zone;
each cancer is infiltrating carcinoma except the carcinoma in situ; all the
cancers are detected at the same time or within 6 months. Multiple primary
colorectal cancer originated from familial colonic polyposis or ulcerative
colitis was excluded. RESULTS: These 51 colorectal SMPC patients accounted for
3.5% of 1 452 colorectal cancer patients in the same period at our hospital, with
32 males and 19 females, and mean age of (63+/-13)(29 to 82) years. Of 51 cases,
46(90.2%) had 2 original carcinoma, 3(5.9%) had 3 original carcinoma and 2(3.9%)
had 4 carcinoma; 23(45.1%) complicated with colon polyps, 4(7.8%) complicated
with malignancy outside the colorectum. In TNM staging, 7(13.7%), 15(29.4%),
24(47.1%) and 5(9.8%) patients were stage I(, II(, III( and IIII( respectively.
Among 51 patients undergoing surgery by different procedures, 16 were subtotal
colon resection, 8 were extended right colon resection, 5 were extended left
hemicolon resection, 8 were right hemicolon resection plus Dixon procedure, 10
were Dixon, and 4 were right hemicolon resection plus sigmoid colon resection.
Adjuvant chemotherapy and support treatment were given according to the condition
after operation. A total of 105 tumors were found, including 25(23.8%) tumors in
sigmoid colon, 24(22.9%) in rectum, 22(21.0%) in ascending colon and 4 in organs
outside the colorectum. Tubular adenocarcinoma (86/105, 81.9%) was the main
pathological type in these colorectal SMPC patients. During the follow-up of
median 43.5 months, 10 cases presented local recurrence and 6 cases had liver
metastasis. Multivariable analysis showed that <=65 years old (OR=22.757, 95%CI:
1.562-331.543, P=0.002),undifferentiated carcinoma or mucous adenocarcinoma
(OR=27.174, 95%CI: 2.834-260.512, P=0.004), stage III(-IIII( (OR=29.626, 95%CI:
3.216-272.884, P=0.003) were independent risk factors of postoperative 5-year
recurrence and metastasis, but the number of SMPC lesions and the surgical method
were not associated with postoperative 5-year recurrence and metastasis (P=0.564,
P=0.513). The 3-year and 5-year survival rates of colorectal SMPC patients were
76.5% and 64.7%. CONCLUSION: Two-original carcinoma is the most common in
colorectal SMPC patients, which mainly distributes in sigmoid colon and rectum.
Postoperative monitoring should be strengthened for those patients with younger
age, poor pathological types and advanced staging to prevent recurrence and
metastasis.
PMID- 29354899
TI - [Accurate low ligation of inferior mesenteric artery and root lymph node
dissection according to different vascular typing in laparoscopic radical
resection of rectal cancer].
AB - OBJECTIVE: To explore the feasibility and clinical significance of precision low
inferior mesenteric artery (IMA) ligation with the left colonic artery (LCA)
preservation and root lymph node dissection in laparoscopic radical resection for
rectal cancer, according to the inferior mesenteric artery (IMA) types. METHODS:
One Hundred and fore cases of rectal cancer patients who underwent laparoscopic
resection in The Sixth Affiliated Hospital of Sun Yat-sen University from October
2015 to June 2016 were selected and divided into study group and control group
according to different surgical methods. The study group (52 cases) accepted
precision low IMA ligation with the LCA preservation and root lymph node (No.253)
dissection, according to the IMA types and length examined by preoperative
computed tomography angiography (CTA) reconstruction. The control group (52
cases) accepted the traditional high IMA ligation. The perioperative efficacy
indexes and postoperative recovery situation of the two groups were compared.
RESULTS: The IMA types, IMA length and preoperative clinical stages were not
significantly different between the two groups (all P>0.05). The surgery was
completed smoothly for patients in both groups, with no conversion to open
surgery. But two patients in the study group underwent left colonic artery
ligation for intra-operative need. There were no significant differences in the
operative time, intra-operative blood lose, the rate of protective ileostomy and
post-operative pathological stages between the two groups (all P>0.05). More
total lymph nodes [(24.9+/-5.7) vs. (16.9+/-4.2), P=0.001] and No.253 lymph nodes
[(2.4+/-1.1) vs. (1.5+/-0.8), P=0.001] were harvested in study group as compared
to control group. However, the positive rate of total harvested lymph nodes and
No.253 lymph nodes between the two groups were not significantly different
(P>0.05). There were no significant differences between the two groups in
postoperative first anal exhaust time, postoperative hospital stay, total volume
of postoperative intraperitoneal drainage, postoperative abdominal drainage tube
retention time, postoperative anal drainage tube retention time and postoperative
catheter retention time (All P>0.05). There were 2 cases of postoperative dysuria
and 1 case of anastomotic bleeding in study group. There were 3 cases of
postoperative dysuria and 2 cases of anastomotic leak in control group. Less
postoperative complications (5.8% vs. 9.6%, P<0.05) in study group as compared to
control group. There was no rehospitalization or death case in two groups within
30 days after operation. CONCLUSIONS: In the laparoscopic radical resection of
rectal cancer, preserving LCA and cleaning the root lymph nodes according to IMA
types, which could increase the number of harvested lymph nodes and reduce the
postoperative complications was safe and effective.
PMID- 29354900
TI - [Analysis of risk factor of perioperative complications in patients with radical
gastrectomy for gastric cancer and its influence on prognosis].
AB - OBJECTIVE: To study the risk factor of perioperative complication in gastric
cancer patients with radical therapy and its influence on prognosis. METHODS:
Clinical, pathological and follow-up data of 1 148 gastric cancer patients
undergoing radical gastrectomy at Tianjin Medical University Affiliated Tumor
Hospital between January 2009 and August 2011 were retrospectively collected.
Pearson 2 test and Logistic regression analysis were used to analyze the risk
factor of perioperative complication. Cox regression analysis was used to
evaluate the influence of perioperative complications on the prognosis in
patients after radical gastrectomy. Kaplan-Meier survival curve was applied to
calculate the survival. RESULTS: Of 1 148 patients, 851 were male, 297 were
female, age ranged from 19 to 89 (average 59.9) years. Perioperative complication
occurred in 312 cases (27.2%), including 140 cases of pulmonary infection and 53
cases of abdominal infection. Multivariate Logistic regression analysis showed
that >=65 years old (OR:0.736, 95%CI: 0.558 to 0.971, P=0.030), serum albumin
less than 35 g/L(OR:2.626, 95%CI: 1.479 to 4.665, P=0.001), Borrmann type
IIII((OR: 0.748, 95%CI: 0.610 to 0.917, P=0.005), tumor site at upper 1/3 of
stomach (OR:1.326, 95%CI:1.167 to 1.506, P=0.000), combined organ
resection(OR:0.624, 95%CI:0.428 to 0.909, P=0.014) were independent risk factors
of perioperative complication. Tumor site at upper 1/3 of stomach (OR:1.649,
95%CI: 1.368 to 1.988, P=0.000), >=65 years old (OR:0.548, 95%CI:0.379 to 0.792,
P=0.001), without intraoperative chemotherapy (OR:1.671, 95%CI:1.146 to 2.437,
P=0.008) were independent risk factors of perioperative pulmonary infection;
Borrmann type IIII((OR:0.576, 95%CI:0.369 to 0.900, P=0.015), with intraoperative
chemotherapy (OR:0.431, 95%CI:0.230 to 0.810, P=0.009), intraoperative blood loss
>=400 ml(OR:0.411, 95%CI:0.176 to 0.959, P=0.040) and combined organ resection
(OR:0.412, 95%CI:0.215 to 0.789, P=0.008) were independent risk factors of
perioperative intraperitoneal infection. Cox regression analysis revealed that
without intraoperative chemotherapy, proximal subtotal or total gastrectomy, TNM
stage III(, N3 stage lymph node metastasis, positive soft tissue outside lymph
node, combined organ resection and organ failure were independent risk factors
affecting the prognosis of gastric cancer patients after radical resection (all
P<0.05), however the perioperative complication was not independent risk factor
affecting the prognosis (P=0.259). The median survival time was 35 months, and 5
year survival rate was around 38.7%. The median survival time of gastric cancer
patients with operative complications and without complications were 28.0 and
36.5 months, and the 5-year survival rates were 37.2% and 39.3%, whose difference
was not statistically significant (P=0.259). CONCLUSION: There is a higher risk
of perioperative complication in those gastric cancer patients with old age,
preoperative low serum albumin level, tumor site at upper 1/3 of stomach,
Borrmann type IIII(, intraoperative combined organ resection, while the
perioperative complication has no significant effects on the long-term survival.
PMID- 29354901
TI - [Effects of laparoscopic sleeve gastrectomy on insulin secretion patterns in
morbidly obese patients].
AB - OBJECTIVE: To assess the effects of laparoscopic sleeve gastrectomy (LSG) on
insulin secretion mode and metabolism of glucose and lipid in morbidly obese
patients. METHODS: Clinical data of 65 morbidly obese patients [body mass index
(BMI) >=30 kg/m2] undergoing LSG at Shanghai 10th People's Hospital from August
2012 to December 2016 were retrospectively analyzed. According to the result of
OGTT, these obese patients were divided into three groups: normal glucose
tolerance (NGT, 23 cases), impaired glucose tolerance (IGT, 22 cases) and type 2
diabetes mellitus (DM, 20 cases) groups. Twenty-two healthy people [BMI (23.1+/
1.4) kg/m2] were used as control group. The anthropometries parameters [weight,
BMI, waist circumference, body fat percentage, excess weight loss(%EWL)], glucose
metabolic indices [fasting plasma glucose (FPG), fasting insulin (FINS),
glycosylated hemoglobin (HbA1c), homeostasis model assessment-insulin resistance
index (HOMA-IR)], lipid profile (TC, TG, HDL-C, LDL-C) and inflammatory factor
(UA, TNF-alpha) of 3 groups were detected before operation and at postoperative 1
, 3-, 6-month. These variables were analyzed among morbidly obese groups before
and after surgery and compared to control group. Clinical registration number of
this study was ChiCTROCSl2002381. RESULTS: Body weight, waist circumference and
BMI of morbidly obese patients all decreased at postoperative 1-, 3-, 6-month.
Postoperative %EWL increased obviously to (71.5+/-24.7)% with the highest range
in DM group. Percentage of successful weight loss (%EWL>50%) in NGT, IGT and DM
groups was 63.6%, 83.9% and 90.0% at postoperative 6-month respectively, and DM
group was also the highest. At postoperative 6-month, HbA1c of 3 morbidly obese
groups became normal; FPG and postprandial 2-hour glucose of IGT and DM group
decreased to normal level; insulin level of 3 morbidly obese groups decreased
obviously compared to pre-operation (all P<0.05), especially FINS and
postprandial 2-hour insulin became normal without significant difference of
control group (P>0.05), while postprandial 30-minute and 60-minute insulin levels
in 3 groups were still higher as compared to control group. The insulin secretion
curves of morbidly obese groups showed hyperinsulinemia before surgery. The peak
of insulin secretion curve in IGT and DM group moved back to postprandial 120
minute before operation, and returned to 60-minute after operation, with basic
normal rhythm of secretion curve. Preoperative HOMA-IR in all 3 morbidly obese
groups was higher than that in control group (all P<0.05) and remarkably lower at
postoperative 6-month compared to pre-operation(P<0.05). In 3 morbidly obese
groups after operation, TG decreased, HDL-C increased, UA and TNF-alpha decreased
significantly compared to before operation (all P<0.05). At postoperative 6
month, the HOMA-IR of DM group was positively correlated with BMI (r=0.236,
P=0.004) and TNF-alpha (r=0.228, P=0.033), and was not correlated with HDL
C(P>0.05). CONCLUSIONS: LSG can effectively ameliorate hyperinsulinemia and
insulin secretion curve, and improve metabolic disorder and insulin resistance of
different stage in obesity patients with glucose metabolic disorder. Insulin
resistance is correlated with body weight and inflammatory factors.
PMID- 29354902
TI - [Comparison of laparoscopic-assisted anorectoplasty and posterior sagittal
anorectoplasty for high and intermediate anorectal malformations].
AB - OBJECTIVE: To compare the efficacy of laparoscopic-assisted anorectoplasty
(LAARP) and posterior sagittal anorectoplasty (PSARP) for high and intermediate
anorectal malformation (ARM). METHODS: Clinical data 60 children with high and
intermediate ARM who underwent LAARP (40 cases of three-port laparoscopy, 20
cases of transumbilical single incision laparoscopy ) between January 2010 to
December 2014 were retrospectively analyzed. Clinical data of 36 children with
high and intermediate ARM who underwent PSARP between January 1992 to December
2002 were used as control. The duration of operation, blood loss, hospital stay,
postoperative complications and long-term anal function were compared between two
groups. Krickenbeck classification was applied to assess the anorectal function.
RESULTS: Baseline data, such as gender, age at operation, sacral index and
proctatresia site, were not significantly different between two groups. As
compared to PSARP group, LAARP group presented shorter operative time [(113+/-13)
min vs. (132+/-10) min, P=0.01], less operative blood loss [(11.5+/-1.6) ml vs.
(14.3+/-1.7) ml, P=0.03], shorter postoperative hospital stay [(6.9+/-0.7) d vs.
(8.2+/-0.8) d, P=0.02] and lower morbidity of postoperative complication [11.7%
(7/60) vs. 27.8% (10/36), P=0.02]. Postoperative defecation evaluation showed
that LAPPR group had lower percentage of 2-3 grade constipation than PSARP group
[0% vs. 22.2%(8/36), P=0.00]. While percentage of 2-3 grade voluntary bowel
movement [5.9% (3/51) vs. 16.7% (6/36), P=0.20] and percentage of 2-3 grade
soiling [5.9%(3/51) vs. 16.7%(6/36), P=0.20] were not significantly different
between two groups. CONCLUSIONS: LAARP is safe and effective in the treatment of
high and intermediate ARM. Compared with PSARP, LAARP has some advantages, such
as better cosmetic results and faster recovery.
PMID- 29354903
TI - [The value of MRI with CUBE sequence in early evaluation of the efficacy of
neoadjuvant therapy for locally advanced rectal cancer].
AB - OBJECTIVE: To investigate the value of MRI with CUBE sequence in early evaluation
of the efficacy of neoadjuvant therapy (NAT) for locally advanced rectal cancer.
METHODS: Inclusion criteria: (1) rectal cancer proven by biopsy; (2) locally
advanced rectal cancer (T3-4 or positive lymph nodes) with distance from lower
edge of tumor to anal verge within 12 cm diagnosed by MRI before NAT; (3)
acceptance of NAT treatment regulations and completion of NAT; (4) completion of
routine MRI scan and CUBE scan before and after 2-course NAT chemotherapy
(including new neoadjuvant chemotherapy and chemoradiotherapy); (5) completion of
surgery 6-8 weeks after NAT; (6) exclusion of any previous NAT due to rectal
malignant tumor or other tumors; (7) exclusion of poor image quality of
preoperative routine MRI insufficient for rectal cancer staging or of CUBE image
insufficient for tumor volume measurement. Fifty patients with advanced local
rectal cancer were recruited in our hospital from February 2014 to January 2016.
All the patients underwent MRI before and after 2-course neoadjuvent therapy.
Tumor volume on CUBE were measured and the volume changes were calculated: volume
difference= pre-treatment volume-post-treatment volume, volume change rate= (pre
treatment volume-post-treatment volume)/ pre-treatment volume. All the patients
were categorized into sensitive and non-sensitive group according to postsurgical
pathology. Comparisons were made between both groups before and after therapy.
ROC curve was used to evaluate the value of CUBE-associated parameters in
predicting the efficacy of rectal cancer. RESULTS: Among enrolled 50 patients
with rectal cancer, 31 were male and 19 were female, with mean age of 49.1 years
(range 21 to 70 years). T-staging by MRI before NAT was T2N1-2 in 1 case, T3 in
43 cases, T4 in 6 cases. The number of patients after NAT from tumor regression
grading (TRG) 0 to TRG3 was 14, 13, 18, 5, respectively. The sensitive group and
insensitive group were 45 cases and 5 cases. Mean tumor volume before and after
preoperative 2-course NAT was 18.70 (4.14 to 91.77) cm3 and 9.26 (1.02 to 52.58)
cm3, respectively, whose difference was significant (U=-5.826, P<0.001). Both
measure values of overall tumor volume before and after preoperative NAT between
sensitive group and insensitive group did not show significant differences(all
P>0.05). While sensitivity group had significantly higher volume difference and
change rate compared to insensitive group [ (11.90+/-10.01) cm3 vs. (0.65+/-3.93)
cm3, P=0.005; 0.45+/-0.28 vs. 0.09+/-0.36, P=0.010]. ROC curve revealed that the
optimal cutoff value of accurate identification of patients with NAT sensitive
was 1.96 cm3 for volume difference with sensitivity 86.7% and specificity 80%,
and 0.06 for volume change rate with sensitivity 93.3% and specificity 60%.
CONCLUSION: MRI CUBE can predict the efficacy of NAT for early rectal cancer
patients accurately and sensitively through the detection of tumor volume change
before and after NAT.
PMID- 29354904
TI - [Clinical application of pelvic floor reconstruction in extralevator
abdominoperineal excision for low rectal cancer].
AB - OBJECTIVE: To investigate the safety and clinical significance of pelvic floor
reconstruction in extralevator abdominoperineal excision(ELAPE) for advanced low
rectal cancer. METHODS: The clinical efficacy was retrospectively analyzed in 30
patients with low rectal cancer who underwent ELAPE from January 2013 to December
2016 in Nanjing Drum Tower Hospital, the affiliated Hospital of Nanjing
University Medical School. There were 21 male patients and 9 female, with an
average age of 61.7 years old. We used 13*15 cm Biodesign biologic meshes(Cook,
China) for the reconstruction and the procedure involved soaking in saline
solution for 5 minutes and fixation of the mesh to the cut edges of the levators
by non-absorbable 2-0 sutures. A perineal drain was used and was removed when
drainage was minimal. Potassium permanganate was used for hip bath after removing
the stitches. The surgical procedure, postoperative complications, prognosis and
follow-up of all these patients were documented. RESULTS: The operations of all
patients were completed successfully. ELAPE could remove more para cancer tissues
in the distant rectum. There was no rectum perforation, and the circumferential
resection margins of all specimens were proved to be negative. During the follow
up of 21 months, only 2 patients suffered incision infection and healed
uneventfully after strengthening the dressing. No one developed perineal
breakdown, bulge or intestinal obstruction, as well as local recurrence and
pelvic floor hernia. There was also no complication related to mesh. The average
hospitalization time was 10 days (9-15 days). CONCLUSIONS: The ELAPE could render
a low occurrence of intraoperative perforations and circumferential resection
margins. Reconstruction of pelvic floor with biologic meshmight lower the
complication incidences associated with the perineal region.
PMID- 29354905
TI - [Advancement of minimally invasive esophagectomy].
AB - Esophageal cancer is one of the most common malignant digestive system cancers in
China, which has high incidence and mortality. Nowadays, surgery remains the most
important part of the comprehensive treatments. Conventional open esophagectomies
are highly traumatic with high morbidity, while minimally invasive esophagectomy
has been increasingly used with the development of surgical instruments and
techniques in recent years. Compared with conventional open esophagectomy(OE),
what are the advantages of minimally invasive esophagectomy (MIE) and which is
preferable? This review briefly introduces the developing history of MIE and
common procedures. Then we review large amounts of relevant literatures,
comparing MIE with OE in perioperative rehabilitation, lymph node dissection and
long-term survival. Results showed that compared with OE, MIE had less blood loss
during operation, less postoperative pulmonary complications, shorter hospital
stay and ICU time, meanwhile, there were no significant differences in the
incidence of anastomotic leak, perioperative mortality, R0 resection and total
number of resected lymph nodes. However, there are still no clear results of
comparisons on operative time, recurrent laryngeal nerve palsy, and long-term
survival, thus, more supportive evidences from prospective large-sample
observation studies or randomized controlled trials are still needed.
Furthermore, this review also presents the application and the progress of
robotic-assisted MIE(RAMIE), demonstrates the current use of robotic technology
during esophagectomies, meanwhile forecasts the future advancements of RAMIE. For
the moment, the limitations of RAMIE to be widely used mainly include the cost
and controlling of surgical indications, and we hope these can be settled in the
coming years.
PMID- 29354908
TI - Erratum.
PMID- 29354906
TI - Baseline and postoperative levels of C-reactive protein and interleukins as
inflammatory predictors of atrial fibrillation following cardiac surgery: a
systematic review and meta-analysis.
AB - BACKGROUND: Postoperative atrial fibrillation (POAF) is a leading arrhythmia with
high incidence and serious clinical implications after cardiac surgery. Cardiac
surgery is associated with systemic inflammatory response including increase in
cytokines and activation of endothelial and leukocyte responses. AIM: This
systematic review and meta-analysis aimed to determine the strength of evidence
for evaluating the association of inflammatory markers, such as C-reactive
protein (CRP) and interleukins (IL), with POAF following isolated coronary artery
bypass grafting (CABG), isolated valvular surgery, or a combination of these
procedures. METHODS: We conducted a meta-analysis of studies evaluating measured
baseline (from one week before surgical procedures) and postoperative levels
(until one week after surgical procedures) of inflammatory markers in patients
with POAF. A compre-hensive search was performed in electronic medical databases
(Medline/PubMed, Web of Science, Embase, Science Direct, and Google Scholar) from
their inception through May 2017 to identify relevant studies. A comprehensive
subgroup analysis was performed to explore potential sources of heterogeneity.
RESULTS: A literature search of all major databases retrieved 1014 studies. After
screening, 42 studies were analysed including a total of 8398 patients. Pooled
analysis showed baseline levels of CRP (standard mean difference [SMD] 0.457
mg/L, p < 0.001), baseline levels of IL-6 (SMD 0.398 pg/mL, p < 0.001),
postoperative levels of CRP (SMD 0.576 mg/L, p < 0.001), postoperative levels of
IL-6 (SMD 1.66 pg/mL, p < 0.001), postoperative levels of IL-8 (SMD 0.839 pg/mL,
p < 0.001), and postoperative levels of IL-10 (SMD 0.590 pg/mL, p < 0.001) to be
relevant inflammatory parameters significantly associated with POAF. CONCLUSIONS:
Perioperative inflammation is proposed to be involved in the pathogenesis of
POAF. Therefore, perioperative assessment of CRP, IL-6, IL-8, and IL-10 can help
clinicians in terms of predicting and monitoring for POAF.
PMID- 29354909
TI - [Post-stroke unilateral spatial neglect treated with acupuncture and
rehabilitation: a randomized controlled trial].
AB - OBJECTIVE: To observe the impacts on post-stroke unilateral spatial neglect
treated with acupuncture for "regaining consciousness, benefiting marrow and
opening orifices" and rehabilitation. METHODS: Forty patients of post-stroke
unilateral spatial neglect were randomized into an observation group and a
control group, 20 cases in each one. In the observation group, acupuncture was
applied to the acupoints for "regaining consciousness, benefiting marrow and
opening orifices", named Baihui (GV 20), Sishencong (EX-HN 1), Benshen (GB 13),
Shenting (GV 24), and the bilateral yuan-primary points and luo-connecting points
of the heart meridian, pericardium meridian and kidney meridian, as well as the
acupoints along the affected meridians. Additionally, the rehabilitation was
provided. In the control group, acupuncture at the acupoints along the affected
meridians and rehabilitation were adopted. The treatment was given once a day, 5
times a week. After 8 weeks of treatment the evaluation was made. the indexes of
unilateral spatial neglect such as line bisection test, the score of the mini
mental state examination (MMSE), the score of simple Fugl-Meyer motor function
assessment and the modified Barthel indexes were adopted for the assessment of
the severity of unilateral spatial neglect, cognitive function, motor function,
and the activities of daily living. RESULTS: After treatment, the indexes of
unilateral spatial neglect (line bisection test, line cancellation test, clock
drawing test and copying drawing test), MMSE score, the simple Fugl-Meyer motor
function assessment and modified Barthel indexes were all improved as compared
with those before treatment in the two groups (all P<0.01). The improvements in
the observation group were more obvious than those in the control group (P<0.05,
P<0.01). CONCLUSION: The combination of acupuncture treatment for "regaining
consciousness, benefiting marrow and opening orifices" and rehabilitation much
more effectively alleviates the severity of post-stroke unilateral spatial
neglect and improves the motor function and the activities of daily living in the
patients.
PMID- 29354910
TI - [Individualized scalp acupuncture for motor dysfunction in stroke: a randomized
controlled trial].
AB - OBJECTIVE: To evaluate the effect of individualized scalp acupuncture base on
location of brain function for motor dysfunction in stroke patients. METHODS: A
total of 180 patients were randomly assigned into an individualized scalp
acupuncture (ISA) group, a conventional scalp acupuncture (CSA) group and a
rehabilitation group, 60 cases in each one. In the ISA group, we stimulated
Sishencong (EX-HN 1), motor area and balance area, matched with pre-motor area
for higher muscle tension, application area and NIE 's three-needle for
involuntary motion, application area for poor motor coordination, forehead five
needle for cognitive disorder, sensory area for sensory disturbance. In the CSA
group, the affected Dingnieqianxiexian (MS 6), Dingniehouxiexian (MS 7) and
Zhenxiapangxian (MS 14) were selected. Rehabilitation was used during needle
retained in the two groups. Simple rehabilitation was used in the rehabilitation
group. All the treatment was given from Monday to Friday for 4 weeks, once a day
for 20 times. Eight-week follow-up was applied. The Fugl-Meyer assessment (FMA)
for motor function, modified Barthel Index (MBI) were used to evaluate clinical
effect. RESULTS: After treatment and at follow-up, FMA and MBI scores increased
compared with those before treatment in the three groups(all P<0.01), with
significant differences among the three groups (all P<0.000 1) and better results
in the ISA group compared with those in the other two groups (P<0.05, P<0.01) at
the two time points. The FMA and MBI scores in the CSA group were higher than
those in the rehabilitation group after treatment and at follow-up (all P<0.05).
CONCLUSION: The individualized scalp acupuncture can improve motor dysfunction
and self-care ability of daily life for stroke patients.
PMID- 29354911
TI - [Clinical efficacy of electroacupuncture combined with motor imagery therapy on
hemiplegic cerebral infarction].
AB - OBJECTIVE: To explore the effects of electroacupuncture (EA) combined with motor
imagery therapy on motor function and activity of daily living in patients with
hemiplegic cerebral infarction. METHODS: Ninety patients with hemiplegic cerebral
infarction were randomly divided into a rehabilitation group, an EA group and a
comprehensive group, 30 patients in each one. The patients in the rehabilitation
group were treated with regular care, medication and rehabilitation training;
based on the rehabilitation group, the patients in the EA group were treated
mainly with electroacupuncture at Baihui (GV 20), Dingnieqianxiexian (MS 6),
Dingniehouxiexian (MS 7), Jianyu (LI 15), Waiguan (TE 5), Fengshi (GB 31) and
Sanyinjiao (SP 6); with the arrival of qi. EA device was connected for 30 min.
The patients in the comprehensive group were treated with EA as the EA group and
motor imagery therapy, 20 min per treatment. The treatment was given once a day,
five treatments per week, and totally 4-week treatment was performed. The Barthel
index and Brunnstrom score before and after treatment were observed in the three
groups. RESULTS: Three cases did not finish the trial and finally 87 cases were
included into analysis, including 30 cases in the rehabilitation group, 29 cases
in the EA group and 28 cases in the comprehensive group. Compared before
treatment, the Barthel index and Brunnstrom score were significantly improved
after treatment in the three groups (all P<0.01); after treatment, the Barthel
index in the EA group and comprehensive group was significantly higher than that
in the rehabilitation group (both P<0.01); the lower extremity score of
Brunnstrom score in the comprehensive group was better than those in the EA group
and rehabilitation group (both P<0.05). CONCLUSION: EA combined with motor
imagery therapy and rehabilitation can significantly improve the motor function
and activity of daily living in patients with hemiplegic cerebral infarction,
which is superior to rehabilitation alone or EA alone.
PMID- 29354912
TI - [Clinical observation of acupuncture plus electroacupuncture for hand spasm in
stroke patients].
AB - OBJECTIVE: To observe the effect difference between acupuncture combined with
electroacupuncture (EA) and simple acupuncture for hand spasm in stroke patients.
METHODS: Sixty patients were randomly assigned into an acupuncture group and a
combination group, 30 cases in each one. Patients in the two groups were treated
with acupuncture at the affected Jianyu (LI 15), Binao (LI 14), Jianliao (TE 14),
Quchi (LI 11), Shousanli (LI 10), Waiguan (TE 5), Futu (ST 32), Liangqiu (ST 34),
Xuehai (SP 10), Zusanli (ST 36), Shangjuxu (ST 37), Xiajuxu (ST 39), Yinlingquan
(SP 9), Sanyinjiao (SP 6), Taixi (KI 3), Taichong (LR 3), and the points at the
middle of all the dorsal muscles between metacarpal bones. EA with discontinuous
wave was used in the combination group at Waiguan (TE 5) and the middle point of
the dorsal muscle between the second and the third metacarpal bones. The
treatment was given for 40 min, once a day for 3 courses, five treatment per
week, 4 weeks as a course. The modified Ashworth scale (MAS), the Fugl-Meyer
finger motor function rating scale and the modified Barthel index (BI) were
observed before and after treatment in the two group. RESULTS: The MAS scores
after treatment significantly decreased compared with those before treatment in
the two groups (both P<0.05), with lower score in the combination group (P<0.05).
The Fugl-Meyer scores and BI scores after treatment increased in the two groups
(all P<0.05), with higher scores in the combination group (both P<0.05).
CONCLUSION: EA combined with acupuncture can more apparently alleviate hand
spasm, promote the recovery of hand function, improve the quality of life for
stroke patients than simple acupuncture.
PMID- 29354913
TI - [Effects of auricular plaster therapy on quality of life in uremia patients after
parathyroidectomy plus autograft].
AB - OBJECTIVE: To observe the effects of auricular plaster therapy on quality of life
in uremia patients after parathyroidectomy plus autograft (PTX+AT). METHODS: A
total of 34 uremia patients complicated with secondary hyperparathyroidism (SHPT)
who received PTX+AT were randomly divided into an observation group and a control
group, 17 cases in each one. The patients in the control group were treated with
calcium supplementation after surgery, 1 to 2 mg/kg an hour; one day after
surgery, the patients were treated with oral administration of calcium carbonate
before meals, 1.5 g, three times per day, and calcitriol (0.5 to 4 MUg/d) was
added if necessary. None-heparin hemodialysis was performed for one week after
surgery. Besides calcium supplementation, patients in the observation group were
treated with auricular plaster therapy at Shenmen (TF4), Jiaogan (AH6a), Neifenmi
(CO18) and Shen (CO10). The laboratory indexes, including immunoreactive
parathyroid hormone (iPTH), calcium, phosphorus, and SF-36 questionnaire,
including 8 dimensions of physical function (PF), role-physical (RP), bodily pain
(BP), general health (GH), vitality (VT), social function (SF), role-emotional
(RE) and emotional well-being (EB), were observed before surgery and 1 week, 2
weeks, 4 weeks and 8 weeks after surgery in the two groups. RESULTS: The iPTH in
the two groups was significantly decreased 1 week, 2 weeks, 4 weeks and 8 weeks
after surgery, and the serum calcium and phosphorus were also improved to a
certain degree (all P<0.05); however, the differences of iPTH, calcium and
phosphorus between the two groups were not significant at each time point after
surgery (all P>0.05).The PF, RP, BP, GH, VT, SF, RE and EB of SF-36 in the two
groups before surgery were lower than the normal score; after surgery, each
dimension of SF-36 were improved to some extent in the two groups (all P<0.05).
Eight weeks after surgery, the improvement of PF, RP, BP, GH and EB in the
observation group was superior to that in the control group (all P<0.05);
however, in terms of VT, SF and RE, no significant difference was observed
between the two groups (all P>0.05). CONCLUSION: The auricular plaster therapy
can improve the physical and mental health, relieve pain and improve quality of
life in patients with uremia after PTX+AT, which is superior to calcium carbonate
alone.
PMID- 29354914
TI - [Effect difference between acupuncture and moxibustion for functional dyspepsia:
a randomized controlled trial].
AB - OBJECTIVE: To investigate the effect difference between acupuncture and
moxibustion for functional dyspepsia (FD). METHODS: Sixty patients with FD were
randomized into an acupuncture group (29 cases with 1 rejecting) and a
moxibustion group (27 cases with 3 dropping). The corresponding manipulations for
4 courses were applied at Zhongwan (CV 12) and Zusanli (ST 36) in the two groups,
continuous 5 times as 1 course with 2 days between two courses, once a day and
total 20 times. Leed's dyspepsia questionnaire (LDQ) and Nepean dyspepsia index
(NDI) were tested before and after treatment, and safety was evaluated. RESULTS:
After treatment, the total LDQ scores decreased in the two groups (both P<0.01),
with statistical different value between the two groups (P<0.05). Upper abdominal
pain, early satiety, epigastric burning in the acupuncture group were improved
more obviously compared with those in the moxibustion group (all P<0.01). The NDI
scores in the two groups increased after treatment (both P<0.01), without
statistical different value before and after treatment (P>0.05). CONCLUSION:
Acupuncture and moxibustion are effective for FD and acupuncture shows
superiority.
PMID- 29354915
TI - [Clinical study of the combination of acupoint catgut-embedding therapy and
auricular point pressure in the treatment of insomnia of spleen and stomach
disharmony pattern].
AB - OBJECTIVE: To observe the differences in the clinical therapeutic effects on
insomnia of spleen and stomach disharmony pattern in comparison of the
combination of acupoint catgut-embedding therapy and auricular point pressure
with the simple application of auricular point pressure. METHODS: One hundred and
eighty patients of insomnia of spleen and stomach disharmony pattern were
randomized into an observation group (93 cases) and a control group (87 cases).
In the observation group, the combination of acupoint catgut-embedding therapy
and auricular point pressure was adopted. The acupoint catgut-embedding therapy
was applied at Zhongwan (CV 12), Anmian (Extra), Xinshu (BL 15), Pishu (BL 20),
Weishu (BL 21), Zusanli (ST 36), Yinlingquan (SP 9) and Sanyinjiao (SP 6), once
every two weeks. The auricular point pressure was applied to Shenmen (TF4),
Pizhixia (AT4), Xin (CO15), Pi (CO13), Wei (CO4) on both sides alternatively,
twice a week. The duration of treatment was 2 months for the two groups. In the
control group, the auricular point pressure was simply used with the same points,
manipulation and treatment duration as the observation group. After treatment,
the clinical therapeutic effects were observed in the patients of the two groups.
The Pittsburgh sleep quality index (PSQI) score was compared before and after
treatment in the two groups. The recurrence was followed up in 3 months after the
end of treatment. RESULTS: It was observed that 2 cases were dropped out in the
observation group, 4 cases in the control group. The dropped out cases were
considered to be ineffective. After treatment, the total effective rate was 92.5%
(86/93) in the observation group and was 80.5% (70/87) in the control group. The
result in the observation group was better than that in the control group
(P<0.05). After treatment, PSQI scores were all reduced apparently in the two
groups as compared with those before treatment (both P<0.05). The score after
treatment and the different value before and after treatment in the observation
group were lower than those in the control group (both P<0.05). In 3-month follow
up after treatment, the recurrence rate was 3.2% (3/93) in the observation group,
which was lower than 13.8% (12/87) in the control group (P<0.05). CONCLUSION: In
the treatment of insomnia of spleen and stomach disharmony pattern, the acupoint
catgut-embedding therapy combined with auricular point pressure are superior to
the simple application of auricular point pressure in the clinical therapeutic
effects, improving sleep quality and reducing the recurrence rate.
PMID- 29354916
TI - [Clinical observation of fast acupuncture for cervical type of cervical
spondylosis].
AB - OBJECTIVE: To compare the effects between fast acupuncture and retaining
acupuncture for the cervical type of cervical spondylosis. METHODS: Sixty
patients were randomized into a fast acupuncture group and a retaining needle
group, 30 cases in each one. The acupoints in the two groups were Fengchi (GB
20), Jiaji (EX-B 2, C2, C4, C6) and Jianjing (GB 21), Jianjing 1 (Extra) and
Jianjing 2 (Extra). The needles in the fast acupuncture group were out after qi
arrival, while those in the retaining needle group were retained for 30 min,
three times a week, once the other day. One week was seen as a course and the
treatment was given for 2 courses. The indices were observed before and after
treatment, including Northwick Park neck pain questionnaire (NPQ), short-form
McGill pain questionnaire (SF-MPQ) [pain rating index (PRI), visual analogue
scale (VAS) and present pain intensity (PPI)]. The effects were compared in the
two groups. RESULTS: After treatment, the NPQ score was lower than that before
treatment in the two groups (both P<0.01), and that in the fast acupuncture group
was better (P<0.01). All the items of SF-MPQ decreased compared with those before
treatment in the two groups (all P<0.01), with the better results of PRI
sensation score and PRI total score in the fast acupuncture group (both P<0.05).
The PRI feeling score, VAS score, and PPI score were not significantly different
after treatment between the two groups (all P>0.05). The total effective rate in
the fast acupuncture group was 83.3% (25/30), not significantly different from
76.7% (23/30) in the retaining needle group (P>0.05). CONCLUSION: Fast
acupuncture and retaining needle are both effective for the cervical type of
cervical spondylosis, which can apparently improve the clinical symptoms. Fast
acupuncture is superior to retaining acupuncture.
PMID- 29354917
TI - [Clinical research for rehabilitation training combined with modified shu
acupuncture for joint dysfunction after meniscal suture surgery].
AB - OBJECTIVE: To compare the effects on joint dysfunction after meniscal suture
surgery between rehabilitation training combined with modified shu-acupuncture
and simple rehabilitation training. METHODS: Seventy-one patients with meniscal
suture surgery were randomized into an observation group (n=36) and a control
group (n=35). Patients in the observation group received modified shu-acupuncture
combined with rehabilitation training. Acupuncture for 8 weeks were at Zutonggu
(BL 65), Shugu (BL 66), Neiting (ST 44), Xiangu (ST 43), Xiaxi (GB 43), Zulinqi
(GB 41), Dadu (SP 2), Taibai (SP 3), Xingjian (LR 2), and Taichong (LR 3), once a
day for continuous 6 days with 1 day for rest. Patients in the control group
received simple rehabilitation training for continuous 8 weeks. The training
included quadriceps femoris, range of knee joint motion and motion and limb
walking on the affected side. The effect score for meniscus injury after
treatment from Japanese Orthopaedics Association (JOA) and visual analogue scale
(VAS) score were recorded before and after treatment. The effects were compared
in the two groups. RESULTS: After treatment, the VAS and JOA scores were improved
in the two groups (all P<0.05), with better results in the observation group
(both P<0.05). The effective rate was 91.7% (33/36) in the observation group,
which was better than 80.0% (28/35) in the control group (P<0.05). CONCLUSION:
Rehabilitation training combined with shu-acupuncture achieve better effect than
simple rehabilitation training for joint dysfunction after meniscal suture
surgery.
PMID- 29354918
TI - [Distribution of algesia sensitized acupoints in the patients of intestinal
cancer].
AB - OBJECTIVE: To judge whether algesia sensitization of some acupoints is existed
and whether the acupoint algesia sensitization area is expanded in the patients
of intestinal cancer. METHODS: Totally, 30 patients of intestinal cancer and 30
healthy subjects were included. The electronic Von Fray was used to determine the
pressure-pain thresholds at 13 acupoints relevant with gastrointestinal disorders
and the reference points at the sites 1 cun and 2 cun lateral to those points as
well as the sites at the corresponding nerve segments. Compared with the pressure
pain thresholds at the reference points of the different segments, the relative
value was calculated. The changes were analyzed in the pressure-pain thresholds
at the relevant acupoints on the body surface in the patients of intestinal
cancer as compared with the relative pressure-pain thresholds in the healthy
volunteers. RESULTS: The pressure-pain thresholds at Zusanli (ST 36), Shangjuxu
(ST 37), Xiajuxu (ST 39), Quchi (LI 11) and Dachangshu (BL 25) in the patients of
intestinal cancer were all significantly reduced as compared with those of the
healthy subjects (P<0.05, P<0.01, P<0.001). At the non-acupoint sites 1 cun and 2
cun lateral to those acupoints as well as at the sites of the same segments, the
pressure-pain thresholds were reduced significantly as compared with the control
group (P<0.05, P<0.01, P<0.001). Particularly, the sensitization zone of
Yinlingquan (SP 9) focused on the acupoint, the site 1 cun lateral to it as well
as the non-acupoint sites of the same segments (P<0.01, P<0.001). CONCLUSION: The
acupoint sensitization is displayed at Zusanli (ST 36), Shangjuxu (ST 37),
Xiajuxu (ST 39), Quchi (LI 11), Dachangshu (BL 25) and Yinlingquan (SP 9) and the
sensitization area is expended in the patients of intestinal cancer.
PMID- 29354919
TI - [Effects of electroacupuncture at "Weizhong" (BL 40) on morphology and expression
of CK and IL-17 in rats with bupivacaine-induced multifidus muscle injury].
AB - OBJECTIVE: To observe effects of electroacupuncture (EA) at "Weizhong" (BL 40) on
morphology and expression of creatine kinase (CK) and interleukin-17 (IL-17) in
rats with bupivacaine-induced multifidus muscle injury. METHODS: A total of 32
male SD rats were randomly divided into a control group, a model group, a
Weizhong group and a Shenshu group, 8 rats in each one. The rats in the model
group, Weizhong group and Shenshu group were treated with intramuscular injection
of 0.5% bupivacaine to establish the model of multifidus muscle injury; the rats
in the control group were injected with 0.9% sodium chloride solution. The rats
in the Weizhong group and Shenshu group were treated with EA (2 Hz/10 Hz in
frequency, 1~2 mA in intensity) at "Weizhong" (BL 40) and "Shenshu" (BL 23), 20
min per treatment. No treatment was given in the control group and model group.
After 14-day treatment of EA, the inflammatory cell count, scar tissues area and
muscle fiber cross sectional area of multifidus muscle were observed with HE and
Masson staining method. The activity of CK and serum content of IL-17 were test
with enzyme-linked immunosorbent assay (ELISA) method; the expression of IL-17 in
multifidus muscle was measured with immunohistochcmical method. RESULTS: After
intervention, the inflammatory cell count and scar tissues area in the model
group, Weizhong group and Shenshu group were higher than those in the control
group (all P<0.01), but the muscle fiber cross sectional area was significantly
reduced (all P<0.01); the inflammatory cell count and scar tissues area in the
Weizhong group and Shenshu group were lower than those in the model group (all
P<0.01), and the muscle fiber cross sectional area was significantly increased
(P<0.01, P<0.05). After intervention, the expression of IL-17 in multifidus
muscle, serum content of IL-7 and activity of CK in the model group, Weizhong
group and Shenshu group were higher than those in the control group (all P<0.01);
the expression of IL-17 in multifidus muscle, serum content of IL-7 and activity
of CK in the Weizhong group and Shenshu group were lower than those in the model
group (P<0.01, P<0.05); compared with the Shenshu group, the down-regulation of
IL-17 was more obvisous in the Weizhong group (P<0.01). CONCLUSION: EA at
"Weizhong" (BL 40) can down-regulate the overexpression of serum CK and IL-17,
alleviate inflammation reaction and improve the repair of multifidus muscle.
PMID- 29354920
TI - [Effect of heat-reinforcing needling on serum metabolite profiles in rheumatoid
arthritis rabbits with cold syndrome].
AB - OBJECTIVE: To explore heat-reinforcing needling for the metabolite profiling
changes in serum of rheumatoid arthritis (RA) rabbits with liquid chromatograph
mass spectrometer (LC-MS) technique, and to investigate its mechanisms. METHODS:
Forty clean purple blue rabbits were randomized into a normal group, a model
group, a reinforcing-reducing needling (RRN) group, a twirling-reinforcing
needling (TRN) group, and a heat-reinforcing needling (HRN) group, 8 cases in
each group. RA rabbits with cold syndrome were made with ovalbumin and freezing
except those in the normal group. No treatment was given in the normal and model
groups. The corresponding manipulations for 7 days were applied at "Zusanli" (ST
36) in the three acupuncture groups, 30 min a time, once a day. After
intervention the pain threshold and the local skin temperature of each group were
observed. Fresh serum from heart was collected for metabonomics detection.
Principal component analysis (PCA) and partial least squares-discriminant
analysis (PLS-DA) were adopted. Several metabolites were screened by the variable
importance in the projection values (VIP>1) and P value (P<0.05). RESULTS: The
pain threshold and the local skin temperature in the model group were lower than
those in the normal group (both P<0.05). The pain threshold and the local skin
temperature in the three acupuncture groups were higher than those in the model
group after intervention (all P<0.05), which were better in the HRN group than
those in the RRN and TRN groups (all P<0.05). The serum metabolites of carnitine,
LysoPC (14?0), LysoPC (18?3), LysoPE (0?0/20?5), LysoPE (0?0/22?1), decylic acid,
stearic acid and lactic acid in the model group increased compared with those in
the normal group, and other metabolites decreased, including leucine, valine,
glutamine, pyroglutamic acid, alpha-ketoglutaric acid, succinic acid, fumaric
acid, malic acid, galactose, mannose. Those metabolites were correlated fatty
acid, amino acid, citric acid cycle, and glucose metabolism. The metabolites
above-mentioned in the three acupuncture groups were regulated in various degrees
(all P<0.05). Lactic acid decreased and succinic acid, fumaric acid, malic acid,
galactose, mannose increased more obviously in the HRN group than those in the
RRN and TRN groups. CONCLUSION: The specificity of heat-reinforcing needling for
RA presents the regulation for citric acid cycle and glucose metabolism.
PMID- 29354922
TI - [Explanation on Evidence-based Guidelines of Clinical Practice with Acupuncture
and Moxibustion: Periarthritis of Shoulder].
AB - The Evidence-based Guidelines of Clinical Practice with Acupuncture and
Moxibustion: Periarthritis of Shoulder (Guidelines for short) was officially
published as a standard of China Association of Acupuncture and Moxibustion in
2015. From the purpose, methodology, scope, indication, recommended protocol,
etc., this was explained to provide convenience for clinical use of
acupuncturists. This Guidelines was developed based on Guidelines comprehensive
search of literature regarding acupuncture for periarthritis of shoulder, the
adoption of best evidence, expert experience, patient value across the world,
methods of evidence quality and GRADE, references of clinical experience of
famous acupuncturists in the ancient and modern time and expert consensus in the
national level, which was hoped to provide solid evidence of acupuncture clinical
treatment for periarthritis of shoulder to ensure the safety and effectiveness.
In this Guidelines, the stage-by-stage treatment principle of acupuncture for
periarthritis of shoulder was recommended. In the acute stage, the treatment aim
was to relieve the pain, and distal acupoints along the meridians were selected
with strong stimulation; the local acupoints were combined. In the chronic stage
and rehabilitation stage, the treatment aim was to improve the dysfunction of
shoulder joint, and acupuncture treatment was based on syndrome differentiation
of etiology and meridian; the local acupoints were mainly selected, combined with
acupoints based on etiology and acupoints along meridians.
PMID- 29354921
TI - [Clinical therapeutic effects of scraping therapy on allergic rhinitis of
different syndromes].
AB - OBJECTIVE: To compare the differences in the therapeutic effects on allergic
rhinitis between scraping therapy and cetirizine and observe the differences in
the clinical therapeutic effects of scraping therapy on the disease of different
differentiated patterns/syndromes. METHODS: The included participants of allergic
rhinitis were randomized into a western medication group and three scraping
therapy groups, named a lung qi deficiency and cold group, a spleen qi deficiency
group and a kidney yang deficiency group, 20 cases in each one. In the western
medication group, cetirizine was prescribed for oral administration, 10 mg, once
a day, totally for 4 weeks. In the scraping therapy groups, the scraping therapy
was applied to the running courses of the large intestine meridian of hand
yangming, the governor vessel and the bladder meridian of foot-taiyang, focusing
on Yingxiang (LI 20), Yintang (GV29), Hegu (LI 4) and Fengmen (BL 12). In the
lung qi deficiency and cold group, the scraping focused on the lung meridian of
hand-taiyin, Feishu (BL 13), Taiyuan (LU 9), Fengchi (GB 20) and Lieque (LU 7).
In the spleen qi deficiency group, the scraping focused on the spleen meridian of
foot-taiyin, Pishu (BL 20) and Zusanli (ST 36). In the kidney yang deficiency,
the scraping focused on the kidney meridian of foot-shaoyin, Mingmen (GV 4) and
Shenshu (BL 23). The scraping therapy was given once a week, 4 treatments as one
session and 1 session required (4 weeks). Separately before treatment, after
treatment and in 3-month follow-up visit, the total score of the main symptoms of
allergic rhinitis (sneezing, runny nose, nasal obstruction and nasal itch) was
observed and the clinical therapeutic effects were evaluated. RESULTS: The total
symptom scores in the patients of the 4 groups after treatment were all reduced
as compared with those before treatment (all P<0.05). After treatment and in the
follow-up visit, the total symptom scores of the 3 scraping therapy groups were
lower than those in the western medication group (all P<0.05), and the score in
the lung qi deficiency and cold group was lower than those in the spleen qi
deficiency group and the kidney yang deficiency group (all P<0.05). After
treatment and in the follow-up visit, the therapeutic effects in the 3 scraping
therapy groups were better than those in the western medication group (all
P<0.05). CONCLUSION: The scraping therapy on the basis of the meridians and
acupoints selection achieves the definite therapeutic effects on allergic
rhinitis of different differentiated patterns/syndromes, which is better than
cetirizine. This therapy achieves the much significant short-term and long-term
therapeutic effects on allergic rhinitis differentiated as lung qi deficiency and
cold.
PMID- 29354923
TI - [New opinion on the mechanism of Dazhu (BL 11) for gonalgia with flexion
extension dysfunction].
AB - The effect of Dazhu (BL 11) for gonalgia with flexion-extension dysfunction is
remarkable, and its mechanism of action is interpreted as the influential point
of bone treating bone diseases. However, through the exploration of a variety of
literature, the relevant classical research, the views of senior experts, and
combined with the author's experience, we put forward some new ideas and views
for reference. Through in-depth analysis about pathogenesis of gonalgia with
flexion-extension dysfunction and the functional attributes of Dazhu (BL 11),
combining meridian tendons differentiation and myofascial meridians, we consider
that Dazhu (BL 11), with its unique "location" advantage, plays the role of
regulating multiple viscera, meridians, meridian tendons and myofascial
meridians, which is the mechanism for gonalgia with flexion-extension
dysfunction.
PMID- 29354924
TI - [Discussion on the clinical treatment of infantile cerebral palsy with the
differentiated intervention of meridian points on the spine and back].
AB - In view of the literature study and clinical practice, the rules of the
distribution, the general function and the indication of the meridians points on
the spine and back were explored. The TCM mechanism on the rehabilitation of
infantile cerebral palsy was studied. The rules of acupoint combination on the
spine and back were summarized with meridians, main points and supplementary
points involved in the TCM rehabilitation of infantile cerebral palsy. In view of
the theoretic study, the advantages on the early infantile motor function, such
as body turning, sitting, standing and walking, were analyzed with the long-term
intervention of meridian points on the spine and back. It is showed in the
rehabilitation of infantile cerebral palsy that the intervention of meridian
points on the spine and back improves the motor function on the back and relieves
the incoordination in the development between each system and enhances the
rehabilitation mechanism of the integration of Chinese and western medicine for
the functional development of core stability, which provides much more effective
and more precise approach to the diagnosis and treatment of infantile cerebral
palsy in clinical practice.
PMID- 29354925
TI - [Characteristics of acupuncture textbooks on editing mode and content in the
Republic of China].
AB - Sixty-seven textbooks in the Republic of China have been collected and divided
into three stages according to their editing modes, named the early stage (1912
1927), the middle stage (1928-1939) and the late stage (1940-1949). The
traditional teaching material of acupuncture was predominated at the early stage
in the textbook compilation; meanwhile the editing mode was simple, and the
content of it focuses on meridians, collaterals and acupoints and the modern
scientific theories have been preliminarily introduced. The textbooks at the
middle stage were edited as two modes, "western medicine+acupuncture" and
"general introduction->meridian points->manipulation (techniques of acupuncture
and moxibustion)->treatment". The knowledge in anatomy, physiology and pathology
of western medicine has been involved in content, giving the great consideration
to the clinical practicability. However, a part of textbooks still followed the
traditional theory of acupuncture at the middle stage. At the late stage, the
textbooks were edited align with "acupuncture science, moxibustion science,
meridian point science and treatment science"; or in supplementation of the
"diagnosis" on the base of "general introduction-> meridian points->techniques of
acupuncture->treatment", or edited as "general introduction->points->treatment".
The compilation of the textbooks at this stage not only followed but also
improved the integration of western and Chinese medicine as that at the middle
stage, which turned to be more scientific. Additionally, in the teaching content,
the acupoint was much more considerable as compared with pulse and the section of
diagnosis has been involved. As a result, a framework of acupuncture discipline
has been established preliminarily by taking acupuncture technique, moxibustion
technique, acupoints and treatment as the core. The development of the editing
mode and content of textbooks played a great impact on the unified compilation of
the acupuncture textbooks after the foundation of China.
PMID- 29354927
TI - [Startup mechanism of moxibustion warming and dredging function].
AB - With "moxibustion" and "warm stimulation" as the keywords, the literature on
moxibustion mechanism of warming and dredging from June 1st, 1995 to June 1st,
2016 was collected from PubMed, China National Knowledge Infrastructure (CNKI)
and Wanfang database. The startup mechanism of moxibustion warming and dredging
function was analyzed in terms of moxibustion warming stimulation. The results
were found that moxibustion was based on local rising temperature of acupoint. It
activated local specific receptors, heat sensitive immune cells, heat shock
proteins and so on to start the warming and dredging function and produce various
local effects. The warming stimulation signals as well as subsequent effects
through nerve and body fluid pathways induced the effects of further specific
target organs and body systems.
PMID- 29354926
TI - [Effects of needling depth on clinical efficacy of lumbar disc herniation: a
systematic review].
AB - OBJECTIVE: To evaluate the effects of needling depth on clinical efficacy of
lumbar disc herniation (LDH). METHODS: Ten electronic databases, including China
National Knowledge Infrastructure (CNKI), VIP Database, Chinese Biomedical
Literature Database (CBM), Wanfang Database, MEDLINE, CENTRAL, CINAHL PLUS, AMED,
Embase, PsycINFO, and 6 registry platforms of clinical trials were searched. All
randomized controlled trials (RCTs) that compared the effect of needling depth on
clinical efficacy of LDH were collected; in addition, the reference lists of the
studies included were hand searched. Data were extracted independently by two
reviewers. RevMan 5.3 was applied to carry out statistical analysis. RESULTS:
Totally 8 716 articles were retrieved, and 10 RCTs were included after screening,
involving 1 116 patients. The results showed the effects of deep acupuncture
onrelievingpain, reducing the Oswestry disability index (ODI), improving total
effective rate and Japanese Orthopaedic Association (JOA) were superior to those
of shallow acupuncture in patients with LDH. CONCLUSION: It is preliminarily
indicated that deep acupuncture is helpful to improve the therapeutic effect of
LDH; however, due to the low research quality and small sample size, the evidence
is insufficient, and more high-quality researches are needed to further confirm
the results.
PMID- 29354928
TI - [Moxibustion combined with highly active antiretroviral therapy for CD4+ and
gamma chain cytokines of HIV infected patients].
AB - OBJECTIVE: To compare the effects of moxibustion combined with highly active
antiretroviral therapy (HAART) and simple HAART for human immunodeficiency virus
(HIV) infected patients. METHODS: A total of 100 patients with HIV receiving
HAART were randomized into an observation group and a control group, 50 cases in
each one. In the observation group, moxibustion was used at Zusanli (ST 36),
Guanyuan (CV 4) and Sanyinjiao (SP 6), etc. combined with HAART of zidovudine,
lamivudine, nevirapine and efavirenzone, etc. Simple HAART was used in the
control group. The patients were observed for 18 months. The indexes were CD4+,
CD4+/CD8+, interleukin 2 (IL-2), interleukin 7 (IL-7), the incidence of side
effects and the score of quality of life. RESULTS: After treatment, CD4+,
CD4+/CD8+, serum IL-2 and the scores of quality of life (physiological,
psychological, social relation fields and comprehensive score) increased and
serum IL-7 decreased compared with those before treatment in the two groups
(P<0.01, P<0.05), with better results except CD4+ in the observation group
(P<0.01, P<0.05). The incidences of gastrointestinal side effects and total side
effects in the observation group were lower than those in the control group (14%
(7/50) vs 32% (16/50), 58% (29/50) vs 80% (40/50), both P<0.05). CONCLUSION:
Moxibustion combined with HAART for HIV infected patients could reduce the
incidence of side effects, improve medication compliance, CD4+/CD8+, IL-2, IL-7
and the quality of life.
PMID- 29354929
TI - [Comparison between "five needles therapy" and conventional acupuncture for
individual symptoms and signs of asthma of latent cold phlegm-fluid in the lung].
AB - OBJECTIVE: To observe the effect difference between acupuncture of "five needles
therapy" and conventional acupuncture for asthma of latent cold phlegm-fluid in
the lung. METHODS: Two hundred and ten cases were randomly assigned into an
observation group and a control group, 105 cases in each one. Finally 7 cases
were dropped out in the observation group; 6 cases in the control group. Feishu
(BL 13), Dazhui (GV 14), Fengmen (BL 12) were used in the observation group;
conventional acupuncture was used in the control group, and the main acupoints
were Feishu (BL 13), Zhongfu (LU 1), Tiantu (CV 22), Danzhong (CV 17), Kongzui
(LU 6), Dingchuan (EX-B 1), Fenglong (ST 40), Fengmen (BL 12), Taiyuan (LU 9).
The needles were retained for 30 min each time, once a day for continuous 12
days. The scores of the individual symptoms and signs were observed before
treatment and on the 3rd, 6th, 9th, 12th days, including pant, cough, cough up
phlegm, fullness and oppression in the chest and diaphragm, wheezing rale and
shortness of breath. The clinical effects were compared between the two groups.
RESULTS: The scores of six individual symptoms and signs on the 3rd, 6th, 9th,
and 12th days in the two groups were lower than those before treatment (all
P<0.05), except the score of wheezing rale in the control group on the 3rd day
(P>0.05). The scores of pant, wheezing rale, cough on the 3rd, 6th, 9th, and 12th
days in the observation group were lower than those in the control group (all
P<0.05), except the score of wheezing rale score on the 3rd day (P>0.05). There
were no significant difference between the two groups about the scores of cough
up phlegm, fullness and oppression in the chest and diaphragm and shortness of
breath on the 3rd, 6th, 9th, and 12th days (all P>0.05), except the score of
fullness and oppression in the chest and diaphragm in the observation group was
lower than that in the control group on the 12th day (P<0.05). 46 cases were
clinical cured, 39 cases were markedly effective, 10 cases were effective and 3
cases were ineffective in the observation group with the total effective rate of
96.9%. 23 cases were clinical cured, 43 cases were markedly effective, 24 cases
were effective and 9 cases were ineffective in the control group with the total
effective rate of 90.9%. The difference was statistical (P<0.05). CONCLUSION:
"Five needles therapy" has significant therapeutic effect for asthma of latent
cold phlegm-fluid in the lung, which is better than conventional acupuncture.
PMID- 29354930
TI - [Skin needle embedding for obese impaired glucose tolerance].
AB - OBJECTIVE: To explore the effect difference between the skin needle embedding
therapy and western medication for obese impaired glucose tolerance (IGT).
METHODS: A total of 300 cases of obese IGT were assigned into an embedding group
and a western medication group by random number table, 150 cases in each one.
Standardized diagnosis and treatment programs were applied to reduce blood
pressure, lipid, weight, and exercise and scientific diet management were used.
0.25 g oral deltamine was prescribed three times a day in the western medication
group. Thumb-tack needle for subcutaneous embedding was at bilateral Weiwanxiashu
(EX-B 3), Ganshu (BL 18), Pishu (BL 20), Tianshu (ST 25) and Zusanli (ST 36) for
36 to 48 hours on Monday and Thursday, 3 months as a session, with other
acupoints differentiated. All the treatment was given for 2 years. The indexes
included the blood sugar indexes [fasting plasma glucose (FPG), 2 h postprandial
blood glucose (2 h PG), glycosylated hemoglobin (HbA1c)], incidence of
hypoglycemia, obesity indexes [waist circumference, body mass index (BMI)], blood
lipid indexes [serum total cholesterol (TC), serum triacylglycerol (TG), low
density lipoprotein cholesterol (LDL-C), high density lipoprotein cholesterol
(HDL-C)], liver and kidney function indexes [serum creatinine (Scr), blood urea
nitrogen (BUN) and blood uric acid (UA), glutamic-pyruvic transaminase (ALT)] and
TCM symptom score. The effects and the incidence of type 2 diabetes were
evaluated. RESULTS: After treatment, 2 h PG and HbA1c reduced in the two groups
(P<0.01, P<0.05) and the results in the embedding group were better (both
P<0.05). After treatment, the incidence of hypoglycemia in the embedding group
was 0.7% (1/150), and that in the western medication group was 1.3% (2/150),
without statistical difference (P>0.05). After treatment, waist circumference and
BMI reduced in the two groups (both P<0.01) and the improvements in the embedding
group were better (both P<0.05). TC, TG and LDL-C after treatment were lower than
those before treatment, and HDL-C were higher in the two groups (all P<0.05),
without statistical different values before and after treatment between the two
groups (all P>0.05). Scr, BUN, UA and ALT before and after treatment in the two
groups had no statistical difference (all P>0.05), without statistical difference
after treatment between the two groups (all P>0.05). The TCM score after
treatment was lower than that before treatment in the embedding group (P<0.05),
and the difference was not statistical in the western medication group (P>0.05).
The different value of TCM score in the embedding group was better than that in
the western medication group (P<0.01). The total effective rate in the embedding
group was 98.0% (147/150), which was superior to 92.7% (139/150) in the western
medication group (P<0.05). The incidence of type 2 diabetes was 2.0% (3/150) in
the embedding group, and that was better than 7.3% (11/150) in the western
medication group (P<0.05). CONCLUSION: Thumb-tack needle for subcutaneous
embedding for 2 years could apparent improve the indexes of IGT, which is better
than western medication, without liver and kidney damage.
PMID- 29354931
TI - [Shoulder joint pain of rotator cuff injury treated with electroacupuncture and
Mulligan's mobilization: a randomized controlled trial].
AB - OBJECTIVE: To verify the clinical therapeutic effects on shoulder joint pain of
rotator cuff injury treated with electroacupuncture (EA) and Mulligan's
mobilization. METHODS: A total of 120 patients of shoulder joint pain of rotator
cuff injury were randomized into an EA group, a rehabilitation group and a
combined therapy group, 40 cases in each one. In the EA group, EA was applied to
Jianzhen (SI 9), Jianliao (TE 14), Jianyu (LI 15), Tianzong (SI 11), Jianqian
(extra) and Binao (LI 14) in the affected side. Of these acupoints, Jianliao (TE
14) and Jianyu (LI 15), Jianzhen (SI 9) and Tianzong (SI 11) were stimulated with
Han's electric apparatus. In the rehabilitation group, Mulligan's mobilization
was used, including scapular mobilization, static joint mobilization and dynamic
joint mobilization. In the combined therapy group, EA was used in combination
with Mulligan mobilization. The treatment was given once a day in each group, 5
sessions a week, totally for 6 weeks. The pain intensity of shoulder joint (VAS),
the University of California at Los Angeles shoulder rating scale (UCLA) and the
range of motion (ROM) of shoulder joint were evaluated before and 6 weeks after
treatment separately. The adverse reactions were recorded in each group. RESULTS:
VAS scores were all reduced, UCLA scores increased and ROM improved after
treatment as compared with those before treatment in the patients of the three
groups (all P<0.05). After treatment, VAS score, UCLA score and ROM in the
combined therapy group were remarkably improved as compared with those in the EA
group and the rehabilitation group (all P<0.05). Regarding the improvements of
VAS and UCLA scores, the results in the EA group were better than those in the
rehabilitation group (both P<0.05). Regarding ROM improvement, the results in the
rehabilitation group were superior to those in the EA group (all P<0.05). There
was no adverse reaction in the two groups. CONCLUSION: The combined therapy of EA
and Mulligan's mobilization relieves shoulder joint pain of rotator cuff injury,
better than the simple application of either EA or Mulligan's mobilization.
PMID- 29354932
TI - [Effects of the combined therapy of heat sensitive moxibustion and acupoint
injection on endometrial receptivity of hypdrosalphinx infertility in the
patients after hysteroscopy and laparoscopy].
AB - OBJECTIVE: To explore the effects of the combined therapy of heat sensitive
moxibustion and acupoint injection on endometrial receptivity of hypdrosalphinx
infertility in the patients after hysteroscopy and laparoscopy on the base of
routine post-operative anti-inflammation. METHODS: A total of 210 patients of
hypdrosalphinx infertility after hysteroscopy and laparoscopy were divided into a
combined therapy group, a heat sensitive moxibustion group and a control group,
70 cases in each one according to the random number table. In the control group,
the intravenous drip of cefoxitin sodium was adopted, and the anti-inflammation
treatment was given for 1 week after operation. In the heat sensitive moxibustion
group, on the basis of the treatment as the control group, the heat sensitive
moxibustion was applied after vaginal bleeding stopped. The acupoints were
Yaoyangguan (GV 3), Guanyuan (CV 4), Qihai (CV 6), Shenshu (BL 23), Sanyinjiao
(SP 6), Yinlingquan (SP 9) and Zigong (EX-CA1). The acupoints were modified
according to the different syndromes. In the combined therapy group, on the basis
of the regimen as the heat sensitive moxibustion group, after vaginal bleeding
stopped, the acupoint injection was given alternatively at bilateral Tiangong
(extra, 1.0 cm inferior and bilateral to the cervix) with lidocaine 1 mL,
amikacin 2 mL and salvia injection 2 mL. The treatment was given once every day,
the treatment for 10 times as one course and a total of 3 courses were required.
The endometrial type, thickness, uterine arterial plusatility index (PI) and
resistance index (RI) were observed in the patients of each group. RESULTS: After
treatment, the numbers of A-type endometrial type in the combined therapy group
and the heat sensitive moxibustion group were remarkably higher than those of the
control group [57.1% (40/7) vs 31.4% (22/70), 50.0% (35/70) vs 31.4% (22/70),
both P<0.05]. The endometrial thickness after treatment was all increased as
compared with that before treatment in each group (all P<0.05). The increasing
degree in the combined therapy group was better than either the heat sensitive
moxibustion group or the control group (both P<0.05). The improvement in the heat
sensitive moxibustion group was superior to the control group (P<0.05). PI and RI
in the combination group and PI in the control group were decreased after
treatment (all P<0.05). The improvements of PI and RI in the combination group
were better than those in the heat moxibustion group (both P<0.05), which were
superior to those in the control group (all P<0.05). CONCLUSION: In the patients
of hypdrosalphinx infertility after hysteroscopy and laparoscopy, the combined
therapy of heat sensitive moxibustion and acupoint injection increases
endometrial thickness, reduces uterine arterial resistance and improves
endometrial receptivity.
PMID- 29354933
TI - [Clinical therapeutic effects of acupuncture combined with Chinese herbal
medicine on infertility of polycystic ovary syndrome in the patients with
ovulation induction with letrozole].
AB - OBJECTIVE: To evaluate the clinical therapeutic effects and safety on infertility
of polycystic ovary syndrome (PCOS) in the patients with ovulation induction with
letrozole in the treatment with the formula for regulating menstruation and
removing phlegm and electroacupuncture (EA). METHODS: A total of 120 patients of
PCOS infertility were randomized into 3 groups, 40 cases in each one. In the
group A, diane-35 was prescribed for oral administration (one tablet a day since
the 5th day of menstruation, continuously for 21 days). After 1 course of
treatment (3 months), letrozole was used (one tablet a day since the 5th day of
menstruation, continuously for 5 days) for ovulation induction for another 1
course (3 months). In the group B, on the basis of the treatment as the group A,
since the 5th day of menstruation, the Chinese herbal formula was combined to
regulate menstruation and remove phlegm, one dose a day and discontinued during
menstruation. In the group C, on the basis of the treatment as the group B, EA
was added since the 5th day of menstruation. The main acupoints were Guanyuan (CV
4), Zusanli (ST 36) and Fenglong (ST 40), etc. EA was applied once every 2 days
and discontinued during menstruation. In all of the 3 groups, the treatment for 3
months was as 1 course and the 2 courses were required continuously. Before and
after treatment, the menstruation improvements, body weight, body mass index
(BMI), serum sex hormones [luteal production hormone (LH), follicle stimulating
hormone (FSH), LH/FSH, total testosterone (T) and estradiol (E2)] were observed
in the patients of each group. The enzyme linked immunosorbent assay was adopted
to determine the content of anti-mullerian hormone (AMH) and inhibin B (IHNB).
The therapeutic effects, safety, ovulation rate and pregnancy rate were compared
among the 3 groups. RESULTS: (1) The differences were significant statistically
in the total effective rate, ovulation rate and pregnancy rate in comparison of
the 3 groups (all P<0.05). The results in the group C were the best and those in
the group B were the better in the comparison of the 3 groups. (2) After
treatment, the menstrual cycle was remarkably shortened in the 3 groups (all
P<0.05). The result in the group C was better than that in the group A (P<0.05).
After treatment, the body weight in the group B and group C was all reduced (both
P<0.05). The reducing degree in the group C was better than that in the group A
(P<0.05). The differences in BMI were not significant statistically before and
after treatment in each group as well as in comparison among the groups (all
P>0.05). (3) After treatment, the levels of LH and LH/FSH were all reduced
remarkably in the 3 groups (all P<0.05). The differences were not significant
statistically in comparison among the three groups (all P>0.05). After treatment,
in the group B and group C, the levels of T and AMH were all reduced remarkably
(all P<0.05), in which, T value in the group C was lower than that in the group A
and group B, that in the group B was lower than the group A (all P<0.05). AMH
value in the group C was lower than that in the group A (P<0.05). The differences
were not significant statistically in FSH, E2 and IHNB before and after treatment
in each group as well as in comparison among the 3 groups (all P>0.05). (4) The
luteinized unreuptured follicle syndrome (LUFS) did not happen in the group C.
There were 3 cases of LUFS (7.5%) in the group B and 5 cases (12.5%) in the group
A. CONCLUSION: For PCOS infertility patients receiving ovulation induction with
letrozole, the combined treatment with the Chinese herbal formula for regulating
menstruation and removing phlegm and EA remarkably improves the menstrual cycle,
reduces body weight and the levels of LH, LH/FSH, T and AMH, improves ovulation
and pregnancy rates. This therapy does not induce adverse reactions and the
therapeutic effects are better than the simple application of letrozole or the
combined therapy of letrozole and Chinese herbal medicine.
PMID- 29354934
TI - [Application of acupoint massage in postpartum hypogalactia in puerpera].
AB - OBJECTIVE: To evaluate the effects of acupoint massage for postpartum
hypogalactia in puerpera. METHODS: A total of 60 puerpera of cesarean section
were randomized into an observation group and a control group, 30 cases in each
one. In the observation group, 2 cases were dropped out. Finally, there were 28
cases in the observation group and 30 cases in the control group. In the control
group, the routine nursing after operation in obstetrics department was given,
guiding maternal breastfeeding and postpartum health education. In the
observation group, on the basis of the treatment as the control group, the
corresponding acupoints were selected from the breast related meridians for
massage. Acupoint massage was given since the 3rd day after operation, 8:00 am to
9:00 am, once a day, 1 min at one acupoint, continuously for 5 days. The
lactation volume, breast filling, galactostasis and milk viscosity were compared
in the parturient of the two groups. The clinical therapeutic effects were
compared between the two groups. RESULTS: From the 1st to the 2nd days, the
differences were not significant in lactation volume, breast filling and
galactostasis score between the two groups (all P>0.05). From the 3rd to 5th
days, the lactation volume, breast filling and galactostasis score in the
observation group were all lower than those in the control group (all P<0.01).
The difference in milk viscosity was not significant in the first 4 days between
the two groups (all P>0.05). On the 5th day, the score of milk viscosity in the
observation group was lower than that in the control group (P<0.01). The cured
rate was 64.3% (18/28) in the observation group, which was better than 13.3%
(4/30) in the control group (P<0.05). CONCLUSION: Massage at the acupoints from
the breast related meridians effectively promotes the lactation secretion in
puerpera of postpartum hypogalactia and alleviates breast distention.
PMID- 29354935
TI - [Efficacy of auricular point sticking therapy for abnormal blink in children and
impact on the breakup time of tear film].
AB - OBJECTIVE: To observe the efficacy of auricular point sticking therapy for
abnormal blink in children and the impact on the breakup time of tear film.
METHODS: A total of 123 cases (246 eyes) with abnormal blink were randomly
assigned into two groups, 62 cases (124 eyes) to an observation group, 61 cases
(122 eyes) to a control group. The observation group received auricular point
sticking therapy with western medicine; the treatment was given 6 d per week; the
next day received no treatment and changed dressing. The auricular points were
yan (LO5), pingjianqian (TG2i), pingjianhou (AT1 i), gan (CO12), pi (CO13), wei
(CO4). The western medicine pateints took 1 oral multivitamins tablets per day,
and received sodium hyaluronate eye drops and tobramycin eye drops in turn, 3
times per day, 7 day as a course; treatment was lasting 3 courses. Patients in
the control group only received western medicine. The blink frequency score and
breakup time of tear film of children were observed, the curative effect of the
two groups was compared. RESULTS: After treatment, the blink frequency score was
lower significantly (P<0.01), and lower significantly in subjects in the
observation group compared to those in the control group (P<0.01). After
treatment, less than 10 s were 51 eyes (41.8%) and greater than 10 s (including
10 s) were 71 eyes (58.2%) in the 122 eyes from the control group; in the
observation group, less than 10 s were 13 eyes (10.5%) and greater than 10 s
(including 10 s) were 111 eyes (89.5%); there was significant difference between
the two groups (P<0.01). The effective rate of the observation group was 91.9%
(114/124), which was higher than the control group 65.6% (80/122); the difference
was statistically significant (P<0.01). CONCLUSION: The efficacy of auricular
point sticking therapy combine western medicine is better in treating children
with abnormal blink compared to western medicine by reducing the breakup time of
tear film. This suggests that reduction of tear film stability is one of the main
reasons which causes frequent eye blink, and breakup time of tear film can be
used as the testing standard.
PMID- 29354936
TI - [Aconite cake-separated moxibustion for knee osteoarthritis with kidney-marrow
deficiency].
AB - OBJECTIVE: To observe the effects among aconite cake-separated moxibustion,
moxibustion and acupuncture for knee osteoarthritis (KOA) with kidney-marrow
deficiency and to explore the feasibility of cake-separated moxibustion as a home
remedy solution. METHODS: Ninety patients were randomized into an aconite cake
separated moxibustion group, a moxibustion group and an acupuncture group, 30
cases in each one. The acupoints in the three groups were Neixiyan (EX-LE 4),
Dubi (ST 35) in the affected side, and bilateral Xuehai (SP 10), Liangqiu (ST
34), Heding (EX-LE 2), Shenshu (BL 23) and Zusanli (ST 36). All the treatment was
given for 3 sessions, 10 days as a session with 2 to 3 days between 2 sessions,
and once a day. The first 2 courses of aconite cake-separated moxibustion was
applied in the hospital and the other 1 session was used at home guided by
officer physician. Symptoms and physical signs classification score and life
quality scores were recorded before and after treatment and 6 months after
treatment, including walking pain, knee pain in stoop and squat, knee discomfort
in stair activity and daily discomfort. The effects were evaluated. RESULTS: The
symptoms and physical signs classification scores in the three groups after
treatment and at follow-up were lower than those before treatment (P<0.01,
P<0.05), and the scores in the aconite cake-separated moxibustion group were
better than those in the moxibustion group and acupuncture group (all P<0.01).
The scores of walking pain, knee pain in stoop and squat, knee discomfort in
stair activity and daily discomfort were lower in the three groups after
treatment and 6 months after treatment (P<0.01, P<0.05), and the scores of
walking pain and daily discomfort in the aconite cake-separated moxibustion group
were lower than those in the moxibustion group and acupuncture group (P<0.01,
P<0.05). After treatments, the cured and markedly effective rate in the aconite
cake-separated moxibustion group was 63.3% (19/30); that in the moxibustion group
was 50.0% (15/30) and one in the acupuncture group was 43.3% (13/30). The cured
and markedly effective rate of aconite cake-separated moxibustion group was more
promising than those in the other two groups (both P<0.05). At follow-up, the
cured and markedly effective rate in the aconite cake-separated moxibustion group
was 56.7% (17/30), which was better than 36.7% (11/30) in the moxibustion group
and 40.0% (12/30) in the acupuncture group (both P<0.05). CONCLUSION: Aconite
cake-separated moxibustion can be used for KOA patients with kidney-marrow
deficiency, which can improve patients' life quality and is better than
moxibustion and acupuncture. The method is feasible as a home remedy solution.
PMID- 29354937
TI - [Therapeutic effects on cerebral white matter injury of premature infants treated
with acupuncture for promoting the governor vessel and tranquilizing the mind].
AB - OBJECTIVE: To explore the repair effects of acupuncture for promoting the
governor vessel and tranquilizing the mind (acupuncture technique) on cerebral
white matter injury of premature infants. METHODS: A total of 56 cases of
cerebral whiter matter injury of premature infants, the fetal age less than 35
weeks were selected and randomized into an observation group (27 cases) and a
control group (29 cases). The routine basic rehabilitation therapy was used in
the two groups. Additionally, in the observation group, the acupuncture technique
was added, once a day and the treatment for 15 days was as 1 course. Totally, 3
courses of treatment were required. Before and after treatment, the cranial
magnetic resonance imaging (MRI) and the diffusion tensor imaging (DTI) were
adopted to observe the location and severity of cerebral white matter injury. The
Gesell developmental scale was used to assess the nerve motor development.
RESULTS: After treatment, the difference was not significant statistically in the
severity of cerebral white matter injury in the infants between the two groups
(P>0.05). The FA value of cerebral white matter in the interesting zone was
increased as compared with that before treatment in the infants of the two groups
(both P<0.05). The result in the observation group was higher than that in the
control groups (P<0.05). After treatment, DQ value of each function zone in
Gesell scale was all increased as compared with that before treatment in the two
groups (all P<0.05). After treatment, the DQ values of gross motor, fine motor
and social adaptability in the observation group were higher than those in the
control group (all P<0.05). After treatment, the difference was not significant
in DQ value of individual-social and speech behaviors between the two groups
(both P>0.05). CONCLUSION: Acupuncture technique for promoting the governor
vessel and tranquilizing the mind promotes the repair of the function in the
premature infants with cerebral white matter injury and further benefits the
promotion of the intelligence.
PMID- 29354938
TI - [Effects of acupuncture at opposite acupoints on expression of hepatocyte growth
factor in rats with skeletal muscle contusion].
AB - OBJECTIVE: To observe the effects of acupuncture at opposite acupoints on
expression of hepatocyte growth factor (HGF) in rats with skeletal muscle
contusion, and to explore the mechanism of acupuncture at opposite acupoints on
skeletal muscle contusion. METHODS: Fifty-four Sprague Dawley (SD) rats were
randomly divided into a blank group (6 rats), a model group (24 rats) and an
opposing needling group (24 rats). The model group and opposing needling group
were further divided into 1-day subgroup, 3-day subgroup, 5-day subgroup and 7
day subgroup, 6 rats in each one. No intervention was given in the blank group,
while the model of skeletal muscle contusion was established in the model group
and opposing needling group by self-made contusion device. 24 hours after
contusion, electroacupuncture (EA) was applied at "Zusanli" (ST 36) and the
corresponding points of ashi points at health side for 15 min, once a day. The
subgroups of opposing needling group were treated for 1 day, 3 days, 5 days and 7
days, respectively. No treatment was given in the model group. Samples were
collected in the subgroups 1 day, 3 days, 5 days and 7 days after treatment. The
morphological change of injured gastrocnemius muscle was observed by using
microscope after HE staining. The positive cell rate of proliferating cell
nuclear antigen (PCNA) was detected by immunohistochemistry. The expression
levels of HGF protein and PCNA protein were observed by Western blot. RESULTS: 1
The results of HE staining showed that, 1 day after contusion, the inflammatory
cells of gastrocnemius muscle in the opposing needling group were less than those
in the model group; 3 days and 5 days after contusion, myoblasts and myotubes in
the opposing needling group were more than those in the model group; 7 days after
contusion, the neonatal muscle cells in the opposing needling group were more
than those in the model group. 2 The results of immunohistochemistry showed that,
1 day, 3 days and 5 days after contusion, the positive cell rate of PCNA in the
opposing needling group was significantly higher than that in the model group
(all P<0.001); 7 days after contusion, the positive cell rate of PCNA in the
opposing needling group was significantly less than that in the model group
(P<0.001). 3 The results of Western blot showed that, 1 day, 3 days and 5 days
after contusion, the expression of HGF protein and PCNA protein in the opposing
needling group was significantly higher than that in the model group (all
P<0.05); 7 days after contusion, the expression of HGF protein and PCNA protein
in the opposing needling group was significantly lower than that in the model
group (all P<0.05). CONCLUSION: Acupuncture at opposite acupoints could regulate
the expression of HGF and promote the activation, proliferation, migration and
differentiation of muscle satellite cells in rats with skeletal muscle contusion,
which could speed up the process of skeletal muscle injury repair.
PMID- 29354939
TI - [Electroacupuncture at intercostal nerve for mammary gland hyperplasia in rats].
AB - OBJECTIVE: To explore the correlation between efficacy of electroacupuncture (EA)
on mammary gland hyperplasia (MGH) and the regulatory pathway of intercostal
nerve. METHODS: Fifty female SD rats were randomly divided into a blank group
(group A, 12 rats), a model group (group B, 12 rats), an EA group (group C, 13
rats) and an intercostal nerve transection group (group D, 13 rats). The rats in
the group B, group C and group D were prepared into MGH model; after model was
successfully prepared, the 7th intercostal nerve was cut off in the group D. EA
was applied at back acupoints including bilateral "Tianzong" (SI 11), "Ganshu"
(BL 18) and "Shenshu" (BL 23) as well as chest acupoints including bilateral
"Wuyi" (ST 15), "Hegu" (LI 4) and "Danzhong" (CV 17) in the group C and D. The
two groups of acupoints were selected alternately. EA was given for 20 min, once
a day; 5-day treatment was taken as one course; there was an interval of 2 days
between course; totally 20 treatments were given. After treatment, the height and
diameter of papilla were observed; the contents of serum estradiol (E2) and
progestin (P), the expression of estrogen receptor alpha (ERalpha) and
progestrone receptor (PR) in mammary gland were measured. RESULTS: (1) The height
and diameter of papilla: after treatment, the height and diameter of papilla in
the group C were significantly smaller than those in the group B (both P<0.05);
the height and diameter of left-side papilla in the group D were significantly
bigger than those in the group C (both P<0.05). (2) Serum E2 and P: after
treatment, compared with the group B, the contents of E2 and E2/P were reduced
and the content of P was increased in the group C and group D (all P<0.05).
Compared with the group C, the contents of E2 and E2/P were increased and the
content of P was reduced in the group D (all P<0.05). (3) ERalpha and PR in
mammary gland: compared with the group B, the content of ERalphawas decreased and
the content of PR was increased in the group C (both P<0.05). Compared with the
group C, the content of ERalphawas increased and the content of PR was decreased
in the group D ((both P<0.05). CONCLUSION: The efficacy mechanism of EA for MGH
is likely to be related with the pathway of intercostal nerve; the mechanism may
be acupuncture regulating the contents of serum E2 and P as well as contents of
ERalpha and PR in mammary gland.
PMID- 29354940
TI - [JI Laixi's experience of acupuncture and moxibustion for knee osteoarthritis].
AB - In theory of TCM, the root cause of knee osteoarthritis (xibi) is the deficiency
of liver and kidney, while the symptoms are involved with multiple damages of
muscles, tendons and joints. The exogenous evil of wind, coldness, dampness and
heat as well as the blood stasis usually block the meridians and collaterals,
which cause the knee osteoarthritis. Professor JI Laixi selects acupoints based
on syndrome differentiation, and treats both root cause and symptoms. He attaches
importance to the ashi points, and takes pain as acupoints. He also treats the
lower part of body using the upper acupoints, and treats knees through
stimulating the low back. The basic acupoints include the affected Dubi (ST 35),
Yinlingquan (SP 9), Yanglingquan (GB 34), Liangqiu (ST 34), Xuehai (SP 10), Xixia
point (extral) and ashi point in the knees and waist. Fengchi (GB 20) and Geshu
(BL 17) are added for the symptom of wind; Guanyuan (CV 4) is added for the
symptom of coldness; Zusanli (ST 36) is added for the symptom of dampness; Quchi
(LI 11) is added for the symptom of heat; Fenglong (ST 40) and Sanyinjiao (SP 6)
are added for the symptom of phlegm-stasis; Ganshu (BL 18) and Shenshu (BL 23)
are added for the deficiency of the liver and kidney. The technical combination
of acupuncture, including needle-knife, fire needle, bloodletting, regular
acupuncture, TDP, are applied to regulate the patients' deficiency and excess
using the nine-six reinforcing and reducing methods, and pay high attention to
the mind regulation. Using this method, the efficacy is significant.
PMID- 29354941
TI - [New thoughts in mechanism research of acupuncture for myocardial stunning from
kappa-opioid receptor signaling pathway].
AB - By reviewing the literature regarding the development mechanism of myocardial
stunning, effects of acupuncture on myocardial ischemic injury, and correlation
between acupuncture and kappa-opioid receptor, it was suggested that acupuncture
was highly likely to act on kappa-opioid receptor in myocardial cells, and
directly treated myocardial malfunction induced by myocardial stunning through
kappa-opioid receptor and its signaling pathway. In addition, acupuncture could
inhabit the signaling pathway of adrenoceptor beta1, one of the main functional
receptors, to indirectly improve myocardial ischemic injury. From kappa-opioid
receptor signaling pathway, the action mechanism of acupuncture for prevention
and treatment of myocardial stunning was discussed in this paper, hoping to
provide new ideas for possible mechanism of acupuncture for myocardial ischemic
injury.
PMID- 29354942
TI - [Confounding factors in research for characteristics of acupoint electrical
impedance].
AB - The literature regarding the electrical characteristics of acupoints was
reviewed, and the confounding factors (indoor temperature and humidity, criteria
of acupoint selection, use of electrical resistance instruments) were summarized
and analyzed. It was proposed that during the measurement, the indoor temperature
should be constant and in the state of heat adaptation as much as possible; the
effects of humidity on resistance value should be reduced to stabilize the heat
loss of skin through evaporation; the acupoint selection and manipulation should
be in accordance with agreed standard; attention should be paid to the operation
and instruction of the instrument, in combination with experiment purpose. As a
result, the repeatability and reliability of research results of electrical
characteristics of acupoints could be improved.
PMID- 29354943
TI - [Analysis on the concepts related to adverse events and adverse reactions of
acupuncture].
AB - With the increasing popularity of acupuncture therapy in the world, the safety of
acupuncture has attracted more attention. The objective and impartial assessment
of the safety of acupuncture becomes very important. The "adverse events of
acupuncture" and "adverse reactions of acupuncture" are the common concepts in
the research of acupuncture safety. In the paper, on the basis of the conceptual
characteristics of "adverse events" and "adverse reactions" and in combination
with the particular characteristics of acupuncture itself, the connation and
features of the concepts of the "adverse events of acupuncture" and "adverse
reactions of acupuncture" are analyzed. The interaction and differences are
summarized. Both "adverse events of acupuncture" and "adverse reactions of
acupuncture" are in the category of adverse medical events. The "adverse events
of acupuncture" includes the damages induced by acupuncture therapy and also
those without relationship with acupuncture therapy. The "adverse reactions of
acupuncture" refers to the adverse outcomes induced by acupuncture therapy
specially. It has the definite relationship with acupuncture therapy. Hence, the
application of "adverse reactions of acupuncture" is more objective and accurate
in the assessment of acupuncture safety.
PMID- 29354944
TI - [Application of classic Chinese medicine theory in acupuncture CBL teaching
rounds].
AB - Teaching rounds is an important part of clinical teaching. It bright the theory
guide to clinical practice. The classical Chinese medicine theory plays an
essential role in teaching and clinical practice. During the CBL (case-based
learning) teaching rounds of acupuncture and moxibustion, by selecting typical
cases, in combination with directional problem settings, the classical Chinese
medicine theory is run through the whole process to motivate students'
initiative, improve teaching quality and promote inheritance and development of
TCM.
PMID- 29354945
TI - [Study on the bamboo slips of Maishu in the Han Dynasty excavated in
Laoguanshan].
AB - The bamboo slips of medicine, from No. 361 to No. 628, unearthed in Laoguanshan
are of the same specification, which shall be the same one as Maishu (<<>>) of
the Han Dynasty from Zhangjiashan in terms of the basic constitution and style.
Hence, the medical slips, from No. 361 to No. 628, unearthed in Laoguanshan shall
be titled as Maishu of Laoguanshan version, in which, the text on the "twelve
meridians" is the combination and adaption from Yinyang Shiyi Mai Jiujiang
(<<>>Moxibustion Therapy on the Eleven Meridians of Yin and Yang) and Zubi
Shiyimai Jiujing (<<>>Moxibustion Therapy on the Eleven Meridians of Legs and
Arms) in the slips of Maishu of the Han Dynasty from Zhangjiashan. The two
chapters on "derived meridians" have compiled the names, running courses and
differentiated disorders of the 12 meridians in the different eras at the early
stage, and in the 9 of these 12 meridians, the prescriptions for moxibustion
therapy have been attached for the treatment of the differentiated disorders,
which reflexes the characteristics of mai (meridian) at different evolution
stages before the formation of the concept of meridian. Regarding the
descriptions on "pulse diagnostic method", two different methods are included,
one is relevant with the decision of survival span, and another is with the
localization of disorders.
PMID- 29354946
TI - [Mechanisms of acupuncture for non-alcoholic fatty liver disease: researches
progress and prospects].
AB - Literature regarding the pathogenesis of non-alcoholic fatty liver disease
(NAFLD) and the mechanisms of acupuncture for NAFLD published between January of
2006 to December of 2016 was searched in CBM database, Wanfang database, CNKI
database and PubMed. After analysis, the possible mechanisms of acupuncture for
NAFLD were summarized from 5 following aspects: acupuncture regulating lipid
metabolism and participating lipid metabolism-related signaling pathways,
acupuncture improving insulin resistance, acupuncture increasing the antioxidant
levels of liver tissue to improve lipid peroxidation, acupuncture inhabiting the
expression of inflammatory cytokines, and acupuncture improving the morphology
and structure of hepatocyte. Based on the characteristics of acupuncture, the
suggestions and prospects of future research were proposed from the 4 following
aspects: the specificity and compatibility of acupoint, the treatment timing of
acupuncture, the stimulus parameter of acupuncture, and the intervention of
acupuncture combined with dietary control.
PMID- 29354947
TI - [Discussion on ideological concept implied in traditional reinforcing and
reducing method of acupuncture].
AB - The forming and development of traditional reinforcing and reducing method of
acupuncture was rooted in traditional culture of China, and was based on the
ancients' special understanding of nature, life and diseases, therefore its
principle and methods were inevitably influenced by philosophy culture and
medicine concept at that time. With deep study on Inner Canon of Huangdi and
representative reinforcing and reducing method of acupuncture, the implied
ideological concept, including contradiction view and profit-loss view in ancient
dialectic, yin-yang balance theory, concept of life flow, monophyletic theory of
qi , theory of existence of disease-evil, yin-yang astrology theory, theory of
inter-promotion of five elements, were summarized and analyzed. The clarified and
systematic understanding on guiding ideology of reinforcing and reducing method
of acupuncture could significantly promote the understanding on principle,
method, content and manipulation.
PMID- 29354948
TI - [Elongated needle for post-stroke indigestion].
AB - OBJECTIVE: To observe the clinical efficacy difference among elongated needle,
filiform needle and medication for post-stroke indigestion. METHODS: Sixty cases
of post-stroke indigestion were randomly assigned into an elongated needle group,
a filiform needle group and a medication group, 20 cases in each one. Acupuncture
of restoring consciousness and inducing resuscitation and conventional medical
treatment were applied in the three groups. 125 mm elongated needles were
perpendicularly inserted at Zhongwan (CV 12), Liangmen (ST 21) and Tianshu (ST
25) in the elongated needle group; 20 to 30 mm perpendicular filiform at the same
points in the filiform needle group. All the treatment was given for 4 weeks, 6
times a week, 1 time a day. Domperidone was prescribed orally in the medication
group for 4 weeks, 3 times a day and once 10 mg. The indexes were dyspepsia TCM
symptom score, Liz dyspepsia questionnaire (LDQ), Nepean Dyspepsia Index of
quality of life (NDLQI), Safety Data Sheet (SDS) self rating scale and side
effect scale (TESS). The effects were evaluated. RESULTS: The scores of TCM
symptom, LDQ, SDS scores in the three groups decreased and NDLQI increased after
7-day, 14-day, and 28-day treatment as compared with those before treatment
(P<0.05, P<0.01). The TCM symptom score and LDQ score in the elongated needle
group after 28-day treatment were lower than those in the filiform needle and
medication groups (P<0.05, P<0.01). The SDS score in the medication group was
lower than that in the elongated needle and filiform needle group (both P<0.05).
There were no significant statistical difference for NDLQI score in the three
groups (P>0.05). The total effective rate was 90% (18/20) in the elongated needle
group; those in the filiform needle group and medication group were 70% (14/20)
and 75% (15/20) respectively, indicating statistical significance (P<0.01).
CONCLUSION: Acupuncture with elongated needle can obviously improve symptoms in
the patients with post-stroke indigestion, which is better than filiform needle
and medication.
PMID- 29354949
TI - [TIE's flying acupuncture for acute cerebral infarction hemiplegia: a randomized
controlled trial].
AB - OBJECTIVE: To compare the efficacy difference between TIE 's flying acupuncture
combined with conventional treatment and conventional treatment alone on acute
cerebral infarction hemiplegia. METHODS: A total of 120 patients were randomly
divided into an observation group and a control group, 60 cases in each one. The
control group was treated with conventional treatment, including anti-platelet
aggregation, lipid-lowering, formula of traditional Chinese medicine which could
promote circulation and remove stasis, neurotrophic medication and symptomatic
treatment; mannitol was used for cerebral infarction with large area or increased
intracranial pressure. Based on the conventional treatment applied in the control
group, the observation group was treated with flying acupuncture at the affected
Jianyu (LI 15), Quchi (LI 11), Shousanli (LI 10), Waiguan (TE 5), Hegu (LI 4),
Huantiao (GB 30), Biguan (ST 31), Futu (ST 32), Zusanli (ST 36), etc. The
treatment was given once a day, six days per week, for totally 2 weeks. The
simplified Fugl-Meyer score, National Institute of Health Stroke Scale (NIHSS)
and ADL-Bathel index (BI) score were evaluated before and after treatment in the
two groups. RESULTS: After the treatment, the simplified Fugl-Meyer and BI were
significantly increased in both groups (all P<0.05), which was significantly
higher in the observation group (both P<0.05); after the treatment, the NIHSS was
significantly lowered in both groups (both P<0.05), which was significantly lower
in the observation group (P<0.05). CONCLUSION: TIE 's flying acupuncture combined
with conventional treatment were effective for acute cerebral infarction
hemiplegia, which have better efficacy than conventional treatment on improving
motor function, neurological deficit and daily living ability, and the pain is
mild.
PMID- 29354950
TI - [Effects of acupuncture on circadian rhythm of blood pressure in patients with
essential hypertension].
AB - OBJECTIVE: To observe the effects of acupuncture combined with medication on
circadian rhythm of blood pressure in patients with essential hypertension.
METHODS: Sixty-four patients of essential hypertension were randomly divided into
an observation group and a control group, 32 cases in each group. All the
patients maintained original treatment (taking antihypertensive medication); the
patients in the observation group were treated with acupuncture method of "Huoxue
Sanfeng, Shugan Jianpi", once a day, five times per week, for totally 6 weeks (30
times). The circadian rhythm of blood pressure and related dynamic parameters
were observed before and after treatment in the two groups. RESULTS: (1) The
differences of daytime average systolic blood pressure (dASBP), daytime average
diastolic blood pressure (dADBP), nighttime average systolic blood pressure
(nASBP) and circadian rhythm of systolic blood pressure before and after
treatment were significant in the observation group (all P<0.05); the differences
of circadian rhythm of blood pressure and related dynamic parameters before and
after treatment were insignificant in the control group (all P>0.05). The nASBP
and circadian rhythm of systolic blood pressure in the observation group were
significantly different from those in the control group (all P<0.05). (2) After
the treatment, the spoon-shaped rate of circadian rhythm of blood pressure in the
observation group was higher than that in the control group (P<0.05). CONCLUSION:
The acupuncture combined with medication could effectively improve the circadian
rhythm of blood pressure and related dynamic parameters in patients with
essential hypertension.
PMID- 29354951
TI - [Acupuncture and Chinese medicine of artificial cycle therapy for insulin
resistance of polycystic ovary syndrome with phlegm damp type and its mechanism].
AB - OBJECTIVE: To explore the effect difference between acupuncture combined with
Chinese medicine of artificial cycle therapy on the basis of western medication
and simple western medication for polycystic ovarian syndrome (PCOS) of phlegm
damp type with insulin resistance, and to explore its mechanism. METHODS: Sixty
patients were randomly assigned into an observation group and a control group, 30
cases in each group. Patients of the control group began to take letrozole and
metformin orally on the third menstrual day. Patients of the observation group
were treated with acupuncture combined with Chinese medicine of artificial cycle
therapy on the basis of the treatment of the control group. The main acupoints
were Guanyuan (CV 4), Qihai (CV 6) and bilateral Zigong (EX-CA 1), Luanchao
(Extra), Fenglong (ST 40), Yinlingquan (SP 9), Zusanli (ST 36), Sanyinjiao (SP
6). Dahe (KI 12) was matched in the follicular phase, and warm acupuncture was
applied at Guanyuan (CV 4) and Qihai (CV 6); Zhongji (CV 3) and Xuehai (SP 10)
were matched in the ovulatory phase, and electroacupuncture were used at Qihai
(CV 6) and Zhongji (CV 3), Xuehai (SP 10) and Yinlingquan (SP 9), 2 Hz and
continuous wave; The acupoints in the luteal phase were the above main acupoints,
at the same time, moxibustion was used at Guanyuan (CV 4) and Qihai (CV 6), the
treatment was given once every other day, 30 min a time. There was no treatment
in the menstrual phase. Chinese medicine was applied for tonifying kidney and
nourishing blood, strengthening spleen and eliminating phlegm. The patients of
the two groups were treated for continuous three menstrual cycles. The indexes
before and after treatment were detected, including serum microRNA-29 (miR-29)
expression, TCM symptom score, insulin (INS), blood-sugar content and homeostasis
model assessment-insulin resistance (HOMA-IR) index, the endocrine hormone values
of follicle stimulating hormone (FSH), luteinizing hormone (LH), testosterone
(T), LH/FSH ratio, prolactin (PRL). The pregnancy rates of the two groups were
compared. RESULTS: After treatment the expressions of miR-29 and TCM symptom
scores of the two groups were lower than those before treatment (P<0.01, P<0.05),
with better results in the observation group (P<0.01, P<0.05). The levels of LH
LH/FSH and T of the observation group were lower than those before treatment
(P<0.01, P<0.05), and the T value in the control group decreased after treatment
(P<0.05). The changes of LH and LH/FSH in the observation group were better than
those in the control group (both P<0.05). After treatment, The INS, blood-sugar
content and HOMA-IR were lower than those before treatment in the two groups
(P<0.05, P<0.01), with better results for INS and HOMA-IR improvements in the
observation group (both P<0.05). The pregnancy rate in the observation group was
56.7% (17/30), which was significantly higher than 30.0% (9/30) in the control
group (P<0.05). CONCLUSION: Acupuncture combined with Chinese medicine of
artificial cycle therapy can improve the endocrine levels and insulin resistance
of PCOS with phlegm damp type. At the same time, the pregnancy rate is improved.
By the view of molecular biology, there may be a pathway trough changing serum
miR-29 expression.
PMID- 29354952
TI - [Effects of autologous blood injection at Zusanli (ST 36) on ovarian function in
patients with primary ovarian insufficiency].
AB - OBJECTIVE: To observe the effects of autologous blood injection and 0.9% NaCl at
Zusanli (ST 36) on ovarian function in patients with primary ovarian
insufficiency. METHODS: Sixty patients with primary ovarian insufficiency were
randomly divided into an observation group and a control group, 30 cases in each
one. The patients in the observation group were treated with injection of
autologous blood at Zusanli (ST 36); the patients in the control group were
treated with 0.9% NaCl with identical volume at Zusanli (ST 36). Both the
treatments were given once a week for 3 months. The ovarian function, including
follicle stimulating hormone (FSH), luteinizing hormone (LH) and estradiol (E2)
were tested before treatment, 1 month, 2 months and 3 months after first acupoint
injection; the endometrial thickness before and after treatment and clinical
efficacy were compared in the two groups. RESULTS: Compared before treatment, FSH
was lowered in the observation group after 1-month treatment (P<0.05), while FSH
and LH were lowered and E2 was increased after 2-month treatment and 3-month
treatment (all P<0.05). Compared with 1-month treatment, FSH and LH were lowered
and E2 was increased in the observation group after 2-month treatment and 3-month
treatment (all P<0.05). Compared with 2-month treatment, FSH was lowered and E2
was increased in the observation group after 3-month treatment (both P<0.05). The
differences of all serum tests before and after treatment were insignificant in
the control group (all P>0.05). The FSH after 1-month treatment, and FSH, LH and
E2 after 2-month treatment and 3-month treatment in the observation group were
significantly different from those in the control group (all P<0.05). The
endometrial thickness after treatment in the observation group was higher than
that before treatment (P<0.05), while the endometrial thickness after treatment
in the control group was similar to that before treatment (P>0.05); the
difference of endometrial thickness before and after treatment in the observation
group was higher than that in the control group (P<0.05). The clinical effective
rate was 83.3% (25/30) in the observation group, which was superior to 46.7%
(14/30) in the control group (P<0.05). CONCLUSION: The autologous blood injection
at Zusanli (ST 36) can significantly improve ovarian function, promote
endometrial growth in patients with primary ovarian insufficiency.
PMID- 29354953
TI - [Clinical observation of wrist-ankle needle therapy combined with patient
controlled intravenous analgesia for pain after laparoscopic surgery for
eccyesis].
AB - OBJECTIVE: To observe the effect difference between wrist-ankle needle therapy
combined with patient controlled intravenous analgesia (PCIA) and simple PCIA for
pain after laparoscopic surgery for eccyesis. METHODS: Ninety-eight patients were
assigned into an observation group and a control group by random number table, 49
cases in each one. General static inhalation combined anesthesia was used in the
two groups. Simple PCIA for pain was applied in the control group. Wrist-ankle
needle therapy at bilateral ankle area 1 and 2 combined with PCIA were
implemented in the observation group. The pain state of cut was recorded by
visual analogue scale (VAS) 1 h, 2 h, 6 h, 12 h, 24 h, 36 h and 48 h after
surgery. The total effective rates and adverse reaction rates within 48 h after
surgery were compared between the two groups. RESULTS: The VAS scores 6 h, 12 h
and 24 h after surgery in the observation group were lower than those in the
control group (all P<0.01), and the scores in the other time points were not
statistically different (all P>0.05). The total effective rate of the observation
group was 98.0% (48/49), which was better than 83.7% (41/49) of the control group
(P<0.05). The adverse reaction rate of the observation group was 12.2% (6/49),
and that of the control group was 69.4% (34/49), with statistical difference
(P<0.01). CONCLUSION: Wrist-ankle needle therapy combined with PCIA can
effectively relieve pain after laparoscopic surgery for eccyesis, and reduce
adverse reaction rate after surgery.
PMID- 29354954
TI - [Moderate and severe persistent allergic rhinitis treated with acupoint
application therapy of the different intensity: a randomized controlled trial].
AB - OBJECTIVE: To evaluate the clinical therapeutic effects and safety on moderate
and severe persistent allergic rhinitis treated with acupoint application therapy
of the different intensity during the dog days. METHODS: One hundred and sixty
patients of moderate and severe persistent allergic rhinitis were randomized into
a No.1 treatment group, a No.2 treatment group, a No.3 treatment group and a
placebo group, 40 cases in each one. The same acupoints were used in the four
groups, named Dazhui (GV 14), Dingchuan (EX-B1), Feishu (BL 13), Pishu (BL 20),
Mingmen (GV 4), Gaohuang (BL 43), Shenshu (BL 23) and Qihai (CV 6). In the three
treatment groups, the fine powder of the ingredients (semen brassicae, radix
angeliceae, asarum sieboldii, rhizome corydalis) of compound baijiezi formula was
used. In the No.1 treatment group, the herbal paste (ginger-prepared paste) was
prepared with ginger juice and the above herbal powder. In the No.2 and No.3
treatment groups, the herbal paste (honey-prepared paste) was prepared with honey
with the above herbal powder. In the placebo group, the pseudo-herbal paste of
the same appearance was prepared with millet powder and distilled water. The
acupoint application was given for 2 h in the No.1 and No.2 groups and was for 6
h in the No.3 treatment group and the placebo group. The acupoint application
therapy was given once every week during the dogdays, continuously for 5 weeks.
The total nasal symptom score (TNSS), the score of the rhinoconjunctivitis
quality of life questionnaire (RQLQ) and the count of blood eosinophils (EOS)
were observed in the patients of the 4 groups before and after treatment. The
clinical therapeutic effects were compared among the 4 groups. The incidences of
the skin adverse reactions were observed in each treatment group. RESULTS: After
treatment, the scores of TNSS and RQLQ were all reduced as compared with those
before treatment in the three treatment groups (P<0.05, P<0.01), in which, the
improvements in the No.3 treatment group were better than those in the No.1
treatment group and the No.2 treatment group (both P<0.05). After treatment, the
count of EOS was all reduced as compared with that before treatment in the three
treatment groups (all P<0.05). The differences were not significant statistically
among the three treatment groups (all P>0.05). The total effective rate was 85.0%
(34/40) in the No.3 treatment group, better than 76.3% (29/38) in the No.1
treatment group, 71.8% (28/39) in the No.2 treatment group and 5.0% (2/40) in the
placebo group (P<0.05, P<0.01). The incidences of the skin adverse reaction in
the No.3 treatment group and the No.2 treatment group were lower than those in
the No.1 treatment group (both P<0.01). CONCLUSION: The acupoint application of
the different intensity relieves the symptoms and improves the living quality in
the patients of moderate and severe persistent allergic rhinitis. The stimulation
of the ginger-prepared herbal paste is strong and induces skin blisters after 2 h
herbal application. The stimulation of the honey-prepared herbal paste is
moderate and does not induce blisters. The 6 h stimulation of the honey-prepared
herbal paste is mild and the therapeutic effect is optimal.
PMID- 29354955
TI - [Acupuncture mainly with "guoyanre" manipulation for amblyopia in children].
AB - OBJECTIVE: To observe the effect difference between reinforcing-reducing
manipulation and "guoyanre" manipulation for amblyopia in children. METHODS: A
total of 68 children patients with amblyopia were assigned into an observation
group and a control group by random number table, 34 cases (68 eyes) in each one.
In the observation group, reinforcing-reducing manipulation was used at Yuyao (EX
HN 4), Taiyang (EX-HN 5), Tongziliao (GB 1), Jingming (BL 1), Cuanzhu (BL 2), and
Chengqi (ST 1); twirling-reinforcing method was applied at Ganshu (BL 18),
Shenshu (BL 23), and Guangming (GB 37);"guoyanre"manipulation was applied at
bilateral Fengchi (GB 20). The acupoints and manipulations in the control group
were the same as those in the observation group, except Fengchi (GB 20) with
reinforcing-reducing method. All the treatment was given for 4 courses, 5 times
as a course and once a day. The vision improvement was observed half a year after
treatment. RESULTS: The effective rates for ametropic amblyopia in the
observation and control groups were respectively 92.0% (23/25) and 70.4% (19/27);
anisometropic amblyopia, 85.7% (18/21) and 55.0% (11/20); strabismic amblyopia,
66.7% (12/18) and 29.4% (5/17). The effect of each type in the observation group
was better than that in the control group (all P<0.05). CONCLUSION:
"Guoyanre"manipulation for amblyopia is superior to reinforcing-reducing method
and can obviously improve the vision.
PMID- 29354956
TI - [Chaihu Longgu Muli decoction combined with acupuncture at back-shu points for
chronic fatigue syndrome].
AB - OBJECTIVE: To observe the effect difference between Chaihu Longgu Muli decoction
combined with acupuncture at back-shu points and simple Chaihu Longgu Muli
decoction for chronic fatigue syndrome. METHODS: Sixty patients were randomly
assigned into an herbal group and a combination group, 30 cases in each one.
Simple Chaihu Longgu Muli decoction was used in the herbal group for continuous
one month, one decoction a day. Based on that in the herbal group, 30 min
acupuncture was used in the combination group at bilateral Xinshu (BL 15), Feishu
(BL 13), Pishu (BL 20), Ganshu (BL 18) and Shenshu (BL 23), with acupoints
according to syndrome differentiation. Acupuncture was given for 3 courses, 10
times as a course with 3 days between two courses, once a day. Fatigue status was
evaluated before and after treatment by fatigue scale 14 (FS-14) and self-rating
anxiety scale (SAS). RESULTS: The FS-14 scores, including body fatigue scores,
mental fatigue scores and total scores, and SAS scores after treatment were lower
than those before treatment in the two groups (all P<0.01), with better
improvements in the combination group (all P<0.01). CONCLUSION: Chaihu Longgu
Muli decoction combined with acupuncture at back-shu points can improve chronic
fatigue syndrome, which are better than simple Chaihu Longgu Muli decoction.
PMID- 29354957
TI - [Effects of fire needle on quality of life in patients with chemotherapy of non
small cell lung cancer].
AB - OBJECTIVE: To observe the effect difference between fire needle combined with
chemotherapy and fire needle on quality of life in patients with chemotherapy of
non-small cell lung cancer (NSCLC). METHODS: With randomized controlled method, a
total of 60 patients with chemotherapy of NSCLC were divided into an observation
group (fire-needle plus chemotherapy group) and a control group (chemotherapy
group), 30 cases in each one. The observation group was treated with fire needle
at Sihua points which consisted of Geshu (BL 17) and Danshu (BL 19), combined
with chemotherapy selected from TP (paclitaxel+cisplatin)/GP
(gemcitabine+cisplatin)/DP (docetaxel+cisplatin)/NP (vinorelbine+cisplatin) by
the oncologist according to patients' condition. The fire needle was given once a
day for 7 days, and chemotherapy was given for 21 days. The control group was
treated with chemotherapy alone for 21 days. Before and after treatment, response
evaluation criteria in solid tumors (RECIST) was applied for evaluation, and
Karnofsky performance status (KPS) and functional assessment of cancer therapy
lung (FACT-L) were applied for evaluation of quality of life in patients with
chemotherapy of NSCLC. RESULTS: The effective rate was 20.0% (6/30) and the
stability rate was 73.3% (22/30) in the observation group, which were
insignificantly higher than 16.7% (5/30) and 63.3% (19/30) in the control group,
respectively (both P>0.05). The KPS after treatment was lower than that before
treatment in the control group (P<0.05); the KPS after treatment was similar to
that before treatment in the observation group (P>0.05); the KPS in the
observation group after treatment was higher than that in the control group
(P<0.05). The total score and each item score of FACT-L after treatment were
higher than those before treatment in the observation group (all P<0.05); the
physical score and emotional score of FACT-L after treatment were higher than
those before treatment in the control group (both P<0.05); the total score,
physical score, functional score and subscale score in the observation group were
significantly higher than those in the control group after treatment (all
P<0.05), while the social/family score and emotional score in the observation
group were insignificantly higher than those in the control group (both P>0.05).
The differences of KPS total score before and after treatment in the two groups
had moderate positive correlation with differences of FACT-L total score
(P<0.01). CONCLUSION: Fire needle can improve quality of life in patients of
NSCLC chemotherapy.
PMID- 29354958
TI - [Action mechanism of acupuncture along meridians on improving energy metabolism
in cardiomyocytes].
AB - OBJECTIVE: To explore the protective effect of acupuncture along meridians on
rats with myocardial ischemia and its effect and action mechanism on
cardiomyocyte energy metabolism. METHODS: A total of 104 healthy 12-week-old SD
rats were fed adaptively for 1 week and included into study with no disease
symptoms observed. Of them, 24 SD rats were selected regardless of gender, and
were randomly divided into a blank group and a sham operation group, 12 rats in
each one. The remaining 80 SD rats were treated with ligation of left anterior
descending coronary artery to establish the model of myocardial ischemia. The
successful rate of model establishment was 60%, and 48 rats survived. They were
randomly divided into a model group, an acupuncture along meridian group, an
acupuncture along another-meridian group and a non-acupoint group, 12 rats in
each one. The blank group was not treated with operation, but only bundle
fixation. The sham operation group was treated with sham operation (coronary
artery was not ligatured). The model group bundle fixation. The acupuncture along
meridian group were treated with electroacupuncture (EA) at "Neiguan" (PC 6), the
acupuncture along another-meridian group were treated with EA at "Hegu" (LI 4),
and the non-acupoints group were treated at a non-acupoint which located in the
hollow of the 3rd and 4th metatarsal bones of the dorsal foot of fore rate limb.
Each bundle fixation or EA was given for 30 min, once a day for consecutive 5
days. The electrocardiogram was tested in all groups; the apoptosis rate of
cardiomyocytes was detected by Tunel; the contents of ATP, ADP and AMP in
myocardium were detected by high performance liquid chromatography. RESULTS: The
ST segment voltage after model establishment was higher than that before modeling
(all P<0.05). Compared with the model group after intervention, the ST segment
was elevated in the acupuncture along meridian group, acupuncture along another
meridian group and non-acupoint group (P<0.01, P<0.05), but the apoptosis rate of
cardiomyocytes was significantly reduced (all P<0.01). Compared with the
acupuncture along another-meridian group and non-acupoint group, the apoptosis
rate of cardiomyocytes in the acupuncture along meridian group was significantly
decreased (both P<0.01). Compared with the model group after intervention, the
content of ATP was increased in acupuncture along meridian group (P<0.05);
compared with the non-acupoint group, the content of ATP was increased in the
acupuncture along meridian group (P<0.05); compared with the model group, the
contents of ADP and AMP were reduced in the acupuncture along meridian group,
acupuncture along another-meridian group and non-acupoint group (all P<0.05); the
energy charge EC in the acupuncture along meridian group was higher than that in
the model group (P<0.05). CONCLUSION: Acupuncture along meridians can effectively
relieve the damage of cardiac muscle tissue; the possible mechanism is to
increase ATP and reduce ADP, AMP of cardiomyocytes, so EC level is elevated and
myocardial cell apoptosis is inhibited, leading to protective effect on cardiac
muscle tissue and cells.
PMID- 29354959
TI - [Effect of acupuncture on macrophage polarization of white adipose tissue in
obese mice induced by high-fat diet].
AB - OBJECTIVE: To observe the effect of acupuncture at acupoints and non-acupoints on
macrophage polarization of white adipose tissue in obese mice induced by high-fat
diet(HFD). METHODS: Fifty male C57BL/6 mice were randomly divided into a control
group (n=10), and other mice were fed with HFD for 16 weeks to establish model.
Thirty model mice, which were successfully established and continuously fed with
HFD for 8 weeks, were randomized into a model group, a non-acupoint group and an
acupuncture group (n=10/group). The mice in the control group were continuously
fed with common food for 8 weeks. From the second day after model established,
the mice of the acupuncture group were treated with acupuncture at "Guanyuan" (CV
4), "Zusanli" (ST 36) and "Weiwanxiashu" (EX-B 3); the mice of the non-acupoint
group were treated with acupuncture at two non-acupoints in tail, 15 min a time,
once a day for 8 weeks. After model establishment and on the second day after the
last acupuncture treatment, the body weight was recorded. The level of serum
triglyceride (TG), total cholesterol (TC) in eye socket blood were measured. The
morphological structure of epididymis white adipose tissue (eWAT) in mice was
observed by hematoxylin and eosin (HE) staining. The mRNA expressions of
interleukin-6 (IL-6), monocyte chemotactic protein 1 (MCP-1), tumor necrosis
factor alpha (TNF-alpha), IL-10, inducible nitric oxide synthesis (iNOS), CD206
in eWAT of mice were determined with real-time quantitative PCR (RT-qPCR). The
protein expressions of iNOS and CD206 in eWAT were determined with
immunohistochemistry staining (IHC). RESULTS: Compared with the control group,
the body weight in the model, non-acupoint and acupuncture groups increased at
the 16th and 24th weeks (all P<0.05). Compared with the model group, the body
weight of the acupuncture group at the 24th week decreased (P<0.05). The serum TG
and TC in the model group increased compared with those of the control group
(both P<0.05). The TC in the non-acupoint group decreased (P<0.05), and the TG
and TC in the acupuncture group decreased compared with those in the model group
(both P<0.05). Meanwhile, the mRNA expressions of MCP-1, TNF-alpha, IL-6 and iNOS
in the model and non-acupoint groups increased compared with those in the control
group (all P<0.05), and the mRNA expressions of IL-10 and CD206 decreased (all
P<0.05). The mRNA expressions of MCP-1, TNF-alpha, IL-6 and iNOS in the
acupuncture group were lower than those in the model group (all P<0.05), and the
mRNA expressions of IL-10 and CD206 were higher (both P<0.05). HE staining showed
alveolate adipose tissue in the control group with numbers of unilocular adipose
cells, vacuolar polygon or circle. The adipose cells in the model group were
larger and irregular with larger intercellular space. The adipose cells in the
acupuncture group were minified with smaller intercellular space. Compared with
those in the control group, the protein expressions of iNOS increased (both
P<0.05) and those of CD206 decreased (both P<0.05) in the model and non-acupoint
groups. Compared with those in the model group, the protein expression of iNOS
decreased (P<0.05) and that of CD206 increased (P<0.05) in the acupuncture group.
CONCLUSION: Acupuncture can improve inflammation of obese mice through affecting
macrophage polarization of white adipose tissue.
PMID- 29354960
TI - [Role of pressing hand in the clinical practice of acupuncture].
AB - The importance of pressing hand in clinical practice of acupuncture was explored
in this paper. Through literature review and clinical experience, the role of
pressing hand before, during and after acupuncture was summarized and analyzed.
Before acupuncture, the pressing hand helped to diagnose diseases, examine pulse
and locate acupoints; during acupuncture, it participated in needle insertion and
reinforcing-reducing manipulation; after acupuncture, it played a role in needle
withdrawal and regulate human body. It is indicated the pressing hand is a key
role not only during acupuncture or reinforcing-reducing manipulation, but also
participates in the overall process of acupuncture, which has great significance
in guiding clinical treatment and enhancing practice level.
PMID- 29354961
TI - [Relationship between needling layers and needling sensations in acupuncture].
AB - Based on the sancai (literally three talents referring to "earth", "human" and
"heaven") and wuti (literally five organs referring to skin, muscle, vessel,
tendon and bone, which correspond to five internal organs: lungs, spleen, heart,
liver and kidney respectively) in Neijing (Internal Classic), as well as the
tissue structure theory of modern anatomy, and in combination with the clinical
practice of acupuncture by the author in the past over 30 years, it is proposed
that four layers are divided in needling depth of acupuncture. The different
needling sensations are induced at different layers. The first layer is the skin.
When the needle is inserted into the skin, the main sensation is "pain". The
second layer is the subcutaneous layer (superficial fascia). The needle tip at
this layer generates a strong "swelling" sensation, which radiates along a
certain direction. The third layer is the deep fascia and muscle layer. If the
needle tip touches the deep fascia, there is a "heavy" feeling. If the needle tip
goes into the muscle, an "acid" sensation will occur. The fourth layer is the
periosteum and bone layer, which produces a strong sensation of "pain" when
touched by the needle tip. In addition, each layer has blood vessels and nerve
distribution. When the needle tip touches the blood vessels, a sense of "pain"
happens. If the needle tip touches the nerve, there will be a sensation of
numbness, which spreads along the direction of nerve conduction. On the contrary,
through the patient's needling sensations, we can roughly know which layer and
direction the needle tip goes. Better understanding of the relationship between
the needling layers and needling sensations contributes to the further study of
needling techniques, therapeutic effects of acupuncture and patient's sensations.
It is significant for the regulations of needling sensations in patients.
PMID- 29354962
TI - [Professor WANG Fuchun's experience in the acupoint selection of clinical
treatment with acupuncture and moxibustion].
AB - Professor WANG Fuchun's experience in the acupoint selection of clinical
treatment with acupuncture and moxibustion was summarized. The main acupoints are
selected by focusing on the chief symptoms of disease, the supplementary points
are selected by differentiating the disorders. The acupoints are modified in
terms of the changes of sickness. The effective acupoints are selected flexibly
in accordance with the specific effects of points. The summary on the acupoint
selection reflects professor WANG Fuchun's academic thoughts and clinical
experience and effectively instructs the clinical practice of acupuncture and
moxibustion.
PMID- 29354963
TI - [Quantitative study of meridian topology model based on acupoint-symptom
relationship].
AB - Meridian theory plays an important role in the guidance of clinical practice of
acupuncture and moxibustion. Since the publication of Zhenjiu Jiayi Jing (A-B
Classic of Acupuncture and Moxibustion), the meridian theory has been developed.
In the paper, in view of complex science, the topological properties of acupoint
symptom network were analyzed quantitatively by taking acupoint as node and
indication as the connection, such as high clustering coefficient and the small
world effect. It was the first time to give the abstraction for the topological
proof of the high efficiency information transmission property of acupoint
symptom network meridian system at different times. Its quantitative and
digitalized significance was analyzed on the development of meridian theory under
the complex scientific background so as to provide a new thought and method for
the study of meridian theory and acupuncture modernization.
PMID- 29354964
TI - [Discussion on quantum entanglement theory and acupuncture].
AB - The quantum entanglement is a new discovery of modern physics and has drawn a
widely attention in the world. After learning the quantum entanglement, the
authors have found that many characteristics of quantum are reflected in TCM,
acupuncture theory and clinical practice. For example, the quantum entanglement
phenomenon is mutually verified with the holism, yinyang doctrine, the theory of
primary, secondary, root and knot in TCM, etc. It can be applied to interpret the
clinical situations which is difficult to be explained in clinical practice, such
as the instant effect of acupuncture, multi-point stimulation in one disorder and
the points with specific effects. On the basis of the discovery above, the
quantum entanglement theory achieved the mutual treatment among the relatives in
acupuncture clinical practice and the therapeutic effects were significant. The
results suggest that the coupling relationship in quantum entanglement presents
between the diseases and the acupoints in the direct relative. The authors
believe that the discovery in this study contributes to the exploration on the
approaches to the acupuncture treatment in clinical practice and enrich the ideas
on the disease prevention.
PMID- 29354965
TI - [Comments on "Effect of acupuncture and clomiphene in Chinese women with
polycystic ovary syndrome: a randomized clinical trial" published in Journal of
the American Medical Association].
AB - In recent years, more and more patients of polycystic ovary syndrome (PCOS) have
strong desire to be treated with acupuncture. In "Effect of acupuncture and
clomiphene in Chinese women with polycystic ovary syndrome: a randomized clinical
trial" published in Journal of the American Medical Association (JAMA) on June
27, 2017, the finding of this research does not support acupuncture for such
infertility women. The questions and doubts are proposed in the paper from the
regimen of acupuncture treatment, the determination of primary outcome and the
explanation of the results. It is found by comparison that the trigger point
treatment in the article is different from traditional acupuncture, there is
doubt in live birth rate as the primary outcome, 4-month treatment is not enough
for the change of live birth rate, difference without statistic significance
between acupuncture and control acupuncture does not mean invalid acupuncture
because the control acupuncture is not invalid, the research and statistic method
are not those planned. As a result, we consider that the conclusion is
unreasonable.
PMID- 29354966
TI - [Evolvement of the expression of fourteen-meridian-acupoint verse and its
content].
AB - Through summarizing the meridian verses and acupoint verses of fourteen
meridians, in views of the expression mode and content evolvement, the academic
characteristics of fourteen-meridian-acupoint verse were discussed. Regarding the
expression mode, three are the meridian based verse and the location-meridian
based verse. Regarding the content evolvement, the changes in the basic content,
the numbers of meridian points and the sequence of points distribution were
reflected at different stages during the development of meridian and point
theory. The fourteen-meridian-acupoint verse is easily to be recited due to its
language characteristics. It plays an active role in the promotion of the verse
during the development of meridian and point theory and provides the reference to
the study on the meridian and point theory and acupuncture education.
PMID- 29354967
TI - [Research status of the effect mechanism on catgut-point embedding therapy].
AB - This paper aims to review the research status of the effect mechanism of catgut
embedding therapy. The literature was retrieved from China Academic Journal
Network Publishing Database with the keywords as "catgut-point embedding"
"embedding", dated from the database establishment to December 2015. Totally,
over 5 000 papers had been collected. It was summarized that TCM effect mechanism
of catgut-point embedding therapy includes the effects of needle retaining and
embedding, harmonizing yin and yang, balancing zangfu organs, promoting meridian
qi, regulating qi and blood, tonifying for the deficiency and reducing for the
excess, strengthening the antipathogenic qi and eliminating pathogens. From the
point of view of western medicine, the effect mechanism of catgut-embedding
therapy refers to recovering nerve function, regulating neural reflex, increasing
human immunity, improving local circulation, inhibiting the release of
inflammatory factors, reducing apoptosis, regulating cellular factor and
improving body metabolism. It was found that the effects of catgut-embedding
therapy were not only related to the effects induced by common acupuncture, but
also to the persistent treatment through prolonged stimulation duration,
especially in the treatment of chronic diseases with many systems involved. This
therapy reduces or complements to the use of western medicine to certain extent.
However, the longitude theoretic study on the catgut-embedding therapy is
limited; the specific statement is less predominant and the clinical controlled
trial is insufficient at present. Hence, further studies are requested.
PMID- 29354968
TI - [Kinetic mechanism of electroacupuncture for stair climbing in knee
osteoarthritis patients].
AB - OBJECTIVE: To observe the kinetic change that reflects joint loading in different
planes during stair climbing in knee osteoarthritis (KOA) after
electroacupuncture (EA) by three-dimensional motion analysis, so as to provide
reference for its biomechanical mechanism treated with acupuncture. METHODS:
Forty KOA patients, in accordance with the random number table, were assigned
into an observation group and a control group, 20 cases in each one and finally
18 cases completed. Acupoints in the observation group were Neixiyan (EX-LE 4),
Dubi (ST 35), Yanglingquan (GB 34), Yinlingquan (SP 9), Xuehai (SP 10), Liangqiu
(ST 34) and Zusanli (ST 36); points in the control groups were located about 2 cm
next to the above acupoints with shallow acupuncture. EA was connected at
Neixiyan (EX-LE 4) and Yinlingquan (SP 9), Liangqiu (ST 34) and Yanglingquan (GB
34). The frequency was 2 Hz with continuous wave in the observation group and
there was no current in the control group for the corresponding points. All the
treatment was given for 3 weeks, totally 11 times. Climbing stairs gait was
measured before and after treatment. Velocities and kinetic parameters during
ascending and descending stairs were analyzed, including flexion and extension
peak torques of hip, knee, ankle on the vertical plane, external knee adduction
moment on the coronal plane. RESULTS: After treatment in the observation group,
velocities during ascending and descending stairs significantly increased
(P<0.05, P<0.01); maximal ankle plantar flexor moments during ascending and
descending stairs and the second peak external knee adduction moment (PEKAM2)
during ascending stairs significantly increased (P<0.05, P<0.01). After treatment
in the control group, the first peak external knee adduction moment (PEKAM1) and
PEKAM2 during descending stairs were less than those before treatment (P<0.05,
P<0.01). In the observation group, the difference value (DV) of velocity before
and after treatment was positively correlated to DV in the torque of ankle
plantar flexors during ascending stairs in the observation group
(r=0.598,P<0.01). Excluding the impact of velocity, the DV of the maximal torque
of ankle plantar flexors during ascending stairs didn't show difference in the
observation group (P>0.05). CONCLUSION: EA can increase the velocities of
ascending and descending stairs of KOA patients. It improves the loading capacity
of knee joint on both sagittal and coronary planes. But its effect during
ascending may be correlated with the increase of velocity. The mechanism of
different effects between EA and minimal acupuncture on joint moments is still
unclear and warrants further study.
PMID- 29354969
TI - [Therapeutic effect observation of post-stroke shoulder pain treated with the
touching-periosteum needling technique of the meridian muscle region theory].
AB - OBJECTIVE: To evaluate the pain severity, the motor function of the upper limb
and the quality of life in the patients of post-stroke shoulder pain treated with
the touching-periosteum needling technique of the meridian muscle region theory.
METHODS: One hundred and six cases of post-stroke shoulder pain were randomized
into an observation group and a control group, 53 cases in each one. In the
observation group, the touching-periosteum needle technique and the
rehabilitation training were used on the bases of the theory of meridian muscle
region. The points were Jianyuci, Binaoci, Jianliaoci, Jianqianci, Quchi (LI 11),
Shousanli (LI 10) and Waiguan (TE 5) on the affected side. The needles were
inserted obliquely, at 45 degrees degrees at those extra points to the tendon
knots till the needle tips touching periosteum. The needles were manipulated to
ensure the qi arrival and then retained for 30 min. The rehabilitation was
applied, such as the anti-spasmodic posture, bridge-style movement on the bed,
weight transition and balance training, joint activity maintenance training,
passive and active movement of shoulder joint, the training for activities of
daily living (ADL) and Bobath technique. In the control group, the routine
acupuncture and the rehabilitation training were used. The acupints were Jianyu
(LI 15), Binao (LI 14), Jianliao (TE 14), Jianqian (Extra), Quchi (LI 11),
Shousanli (LI 10) and Waiguan (TE 5). The rehabilitation training was the same as
the observation group. The treatment was given once every day, 6 treatments a
week. After 20 treatments, the visual analogue scale (VAS), Fugl-Meyer (FMA)
score and Barthel (MBI) score were adopted to evaluate the pain severity, the
motor function of the upper limb and the ADL. The clinical therapeutic effects
were evaluated in the two groups. RESULTS: The VAS scores were reduced after
treatment as compared with those before treatment in the two groups, and FMA and
MBI scores were all increased as compared with those before treatment, indicating
the significant difference statistically (P<0.05, P<0.01). After treatment, the
improvements in the observation group were better than those in the control group
(all P<0.05). The curative and remarkably effective rate was 69.8% (37/53) in the
observation group, better than 47.2% (25/53) in the control group (P<0.05).
CONCLUSION: The touching-periosteum needling technique of the meridian muscle
region theory obviously improves the pain severity, the motor function of the
upper limb and the quality of life in patients of post-stroke shoulder pain. The
therapeutic effects are better than the routine acupuncture.
PMID- 29354970
TI - [Clinical study on post-stroke urinary retention treated with acupuncture at the
twelve jing-well points and bladder function training].
AB - OBJECTIVE: To explore the methods for the therapeutic effect improvement in the
treatment of post-stroke urinary retention. METHODS: Sixty-three patients of post
stroke urinary retention were randomized into an observation group (32 cases) and
a control group (31 cases). The routine clinical medication of neurology and
basic rehabilitation were adopted in the two groups. Additionally, in the control
group, the intermittent urinary catheterization and bladder function training
were applied. The duration and frequency of catheterization were determined by
the autonomic urination and residual urine volume every day. In the observation
group, on the basis of the treatment as the control group, acupuncture was
applied to the twelve jing-well points in the sequence of qi flowing among the
twelve meridians [Shaoshang (LU 11), Shangyang (LI 1), Lidui (ST 45), Yinbai (SP
1), Shaochong (HT 9), Shaoze (SI 1), Zhiyin (BL 67), Yongquan (KI 1), Zhongchong
(PC 9), Guanchong (TE 1), Zuqiaoyin (GB 44) and Dadun (LR 1)]. Acupuncture was
given once a day, 20 treatments were required. In 20 treatments, the clinical
therapeutic effects and the residual urine volume were observed. RESULTS: The
total effective rate was 90.6% (29/32) in the observation group, better than
67.7% (21/31) in the control group (P<0.01)). After treatment, the residue urine
volume was all reduced apparently in the patients of the two groups (both
P<0.01). The result of the residue urine volume in the observation group was
lower apparently than that in the control group (P<0.01). CONCLUSION: Acupuncture
at the jing-well points in the sequence of qi flowing among meridians combined
with bladder function training achieve the apparent therapeutic effects on post
stroke urinary retention. The results are better than those achieved by the
routine western medicine with bladder function training involved.
PMID- 29354971
TI - [Flipping moxibustion of Hui medicine combined with western medication for
rheumatoid arthritis with cold dampness bi syndrome].
AB - OBJECTIVE: To compare the effects of flipping moxibustion of Hui medicine
combined with western medication and simple western medication for rheumatoid
arthritis with cold dampness bi syndrome. METHODS: Eighty patients were randomly
assigned into an observation group and a control group, 40 cases in each group.
Oral methotrexate (1 time a week) and sulfasalazine (twice a day except the day
for methotrexate) were used in the two groups. Patients in the observation group
were treated with flipping moxibustion of Hui medicine, twice a week. The main
acupoints were Shenshu (BL 23), Guanyuan (CV 4), Zusanli (ST 36), Yinlingquan (SP
9), and the matching acupoints were in the meridians related to the disease
location. All the treatment was given for continuous 4 weeks. The TCM symptom
score, visual analogue scale (VAS) score, blood sedimentation (ESR), rheumatoid
factor (RF) and C-reactive protein (CRP) were observed before and after
treatment. The effect was evaluated. RESULTS: The total effect rate in the
observation group was 95.0% (38/40), which was better than 77.5% (31/40) in the
control group (P<0.05). After treatment, the VAS score, TCM symptom score, RF,
ESR, CRP levels decreased in the two groups (all P<0.05), with better effects in
the observation group (all P<0.05). The adverse reactions in the observation
group were lower than those in the control group. CONCLUSION: Flipping
moxibustion of Hui medicine combined with western medication for rheumatoid
arthritis with cold dampness bi syndrome are better than simple western
medication, which are safer and more effective.
PMID- 29354972
TI - [Therapeutic effect observation of chronic knee joint pain assisted with the
central-square needling technique of the thumb-tack needles].
AB - OBJECTIVE: To compare the differences in the clinical therapeutic effects on
chronic knee joint pain between the combination of the central-square needling
technique of thumb-tack needles with the routine therapy of acupuncture,
moxibustion and tuina and the routine therapy of acupuncture, moxibustion and
tuina. METHODS: One hundred and twenty patients of chronic knee joint pain were
randomized into an observation group and a control group, 60 cases in each one.
In the control group, the routine therapy of acupuncture, moxibustion and tuina
was adopted. In the observation group, at the end of treatment with the routine
therapy of acupuncture, moxibustion and tuina, the subcutaneous embedding therapy
was followed with four thumb-tack needles at the sites 1 to 1.5 cm above, below
and bilateral to the main point (ashi point) separately, and the needles were
retained for 24 h to 48 h. The treatment was given once every two days, three
times a week, totally 6 times in two weeks; and the follow-up visit was done for
3 months in patients of the two groups. The visual analogue scale (VAS) score
before and after each treatment, Lequesne index score before treatment and at the
end of follow-up and the case numbers of proactive use of painkillers or
receiving acupuncture treatment in the follow-up stage were compared and observed
in the patients of the two groups. RESULTS: The VAS score was reduced gradually
after treatment in the patients of the two groups. The differences were
significant statistically after the second treatment as compared with those
before the treatment in the two groups (all P<0.05), in which, the improvements
in VAS scores after the third treatment in the observation group were more
obvious than those in the control group (all P<0.05). At the end of follow-up
visit, Lequesne index scores were all improved as compared with those before
treatment in the two groups (both P<0.05) and the improvements were similar
between the two groups (P>0.05). In the follow-up stage, there were 0 case in the
observation group and 9 cases in the control group in terms of proactive use of
painkillers (P<0.05). There were 5 cases in the observation group and 1 case in
the control group receiving acupuncture treatment again (P>0.05). CONCLUSION: The
acupuncture scheme in assistance with the central-square needling technique of
thumb-tack needles obviously relieves chronic knee joint pain, much better
sustains the analgesic effects of acupuncture and improves patient compliance.
PMID- 29354973
TI - [Effects of ginger-separated moxibustion at Baliao points combined with Bushen
Huoxue formula on patients with decreased ovarian reserve function].
AB - OBJECTIVE: To compare the efficacy differences between ginger-separated
moxibustion at Baliao points combined with Bushen Huoxue formula and Bushen
Huoxue formula alone on patients with decreased ovarian reserve function.
METHODS: Fifty patients of decreased ovarian reserve function were randomly
divided into an observation group and a control group, 25 cases in each one. The
patients in the observation group were treated with ginger-separated moxibustion
at Baliao points combined with Bushen Huoxue formula; the moxibustion was given
for 1.5 h, once every seven days, and no treatment was given during menstrual
period. The patients in the control group were treated with Bushen Huoxue
formula. One-month treatment was taken as one treatment course, and totally three
courses were given. The change of follicle-stimulating hormone (FSH), luteinizing
hormone (LH), estradiol (E2), anti-mullerian hormone (AMH), antral follicle count
(AFC), peak systolic velocity (PSV), resistance index (RI) were observed before
and after treatment in the two groups. RESULTS: After treatment, the FSH, FSH/LH
and RI were significantly lowered, but the E2, AFC, PSV were significantly
increased in the two groups (all P<0.05); the FSH, FSH/LH and E2 in the
observation group were lower and AFC was higher than those in the control group
(all P<0.05). CONCLUSION: The ginger-separated moxibustion at Baliao points
combined with Bushen Huoxue formula are superior to Bushen Huoxue formula alone
in improving ovarian reserve function.
PMID- 29354974
TI - [Acupuncture with regulating menstruation to promote pregnancy for diminished
ovarian reverse: a prospective case series study].
AB - OBJECTIVE: To investigate the effect and safety of acupuncture with regulating
menstruation to promote pregnancy for diminished ovarian reverse (DOR). METHODS:
According to prospective case series, 46 patients were observed and finally 40
cases were included. The acupoints were 1 Baihui (GV 20), Shenting (GV 24),
Guanyuan (CV 4) and bilateral Benshen (GB 13), Huangshu (KI 16), Dahe (KI 12),
Luanchao (Extra), Zusanli (ST 36), Sanyinjiao (SP 6), Taixi (KI 3), Taichong (LR
3) and 2 bilateral Shenshu (BL 23) and Ciliao (BL 32). The points in the two
groups were used alternately. Acupuncture was given for 3 courses, 12 times as a
course and 3 times a week. Before and after treatment, and 3 months after
treatment, follicle-stimulating hormone (FSH), follicle-stimulating
hormone/luteinizing hormone (FSH/LH), estradiol (E2), antral follicle count (AFC)
and TCM symptom score were observed. The safety was evaluated. RESULTS: Compared
with before treatment, the levels of FSH, FSH/LH decreased, and the levels of E2
and AFC increased after treatment and at follow-up (all P<0.05). And the TCM
symptom scores were significantly lower than those before treatment (both
P<0.05). The rate of pregnancy after treatment was 15% (6/40). There was no
infection and organ injury. CONCLUSION: Acupuncture with regulating menstruation
to promote pregnancy can safely improve the ovarian reserve of patients with DOR.
PMID- 29354975
TI - [Comparison effects of acupuncture at distal acupoints and local acupoints on
treatment of xerophthalmia].
AB - OBJECTIVE: To compare the clinical efficacy differences between acupuncture at
distal acupoints and local acupoints on treatment of xerophthalmia. METHODS: A
total of 40 patients of xerophthalmia were randomly divided into a distal group
and a local group, 20 cases in each one. One patient in local group lost contact,
and finally 20 cases in the distal group and 19 cases in the local group finished
treatment. The patients in the distal group were treated with acupuncture at
Guangming (GB 37) and Diwuhui (GB 42), while those in the local group were
treated with acupuncture at Cuanzhu (BL 2), Tongziliao (GB 1) and Taiyang (EX-HN
5). Patients in both groups were treated once a day, five times per week, and
totally 2-week treatment were given. The subjective symptom based on visual
analogue scale (VAS), tear secretion, ocular surface disease index (OSDI) and
breakup time (BUT) were evaluated before treatment, after two-week treatment (end
of treatment) and two weeks after end of treatment (follow-up visit) in the two
groups. RESULTS: After treatment and follow-up visit, the VAS, tear secretion,
OSDI and BUT were all improved (P<0.05, P<0.01), but the differences of VAS and
OSDI between the two groups were not significant (both P>0.05). At follow-up
visit, the differences of tear secretion and BUT between the two groups were
significant (both P<0.05). CONCLUSION: The acupuncture at distal acupoints could
relieve xerophthalmia symptoms, improve quality of life, increase tear secretion
and prolong BUT, which is superior to local acupoints in long term.
PMID- 29354976
TI - [Relationship between opposite acupoints and acupoint effect from acupoint effect
of Sanyinjiao (SP 6)-Xuanzhong (GB 39)].
AB - The acupoint effect of Sanyinjiao (SP 6) and Xuanzhong (GB 39) as well as the
internal relation of Sanyinjiao (SP 6)-Xuanzhong (GB 39) were analyzed in this
paper to explore the relationship between opposite acupoints and acupoint effect.
It was found both Sanyinjiao (SP 6) and Xuanzhong (GB 39) had acupoint effects,
and the two acupoints had close relationship in acupoint effects (specificity
along meridian and specificity of acupoints), acupoint-meridian relationship
(anatomical locations, meridians and organs, indications). It is indicated the
opposite acupoint of Sanyinjiao (SP 6)-Xuanzhong (GB 39) had related aspects in
acupoint effects, which is related with acupoint effect through specificity and
relationship of acupoint-meridian.
PMID- 29354977
TI - [Ancient identification and modern categorization of the governor vessel
disorders].
AB - The ancient and modern literature relevant with the governor vessel disorders is
collected systematically and their connotation is reconstructed. After the
collection and analysis on the ancient and modern medical books and literature
relevant with the governor vessel disorders, it is discovered that the governor
vessel disorders in the ancient time are relevant with the running course of the
governor vessel, organs and dysfunction. While the governor vessel disorders in
modern time are involved with the spine, nerve, respiration, digestion, urinary
reproduction, head, face and five sensory organs, etc. No matter in the ancient
times or in the modern times, the recognition on the connotation and
categorization of the governor vessel are highly similar. In comparison between
the governor vessel disorders and the spinal disorders, it is believed that they
are possibly the two concepts with the high similarity between the different
medical backgrounds of the East and the West.
PMID- 29354978
TI - [Effects of moxibustion on Treg/Th17 cell and its signal pathway in mice with
rheumatoid arthritis].
AB - OBJECTIVE: To observe the effects of moxibustion on Treg/Th17 imbalance and
related signal pathway in mice with rheumatoid arthritis (RA), so as to explore
the action mechanism of moxibustion on RA. METHODS: Twenty-four DBA/1J male mice
were randomly divided into a normal group, a model group, a sham moxibustion
group and a moxibustion group, 6 mice in each one. RA model was induced by
subcutaneous injection of typeIIcollagen and adjuvant at tail in mice other than
the normal group. The mice in the moxibustion group were treated with moxibustion
at"Zusanli" (ST 36) and "Shenshu" (BL 23), 1 mg per cone, 6 cones per acupoint.
The consecutive 6-day treatment was taken as one course, and totally 2 courses
were given with an interval of 2 d between courses. The mice in the sham
moxibustion group were treated with immobilization as the moxibustion group. The
effects of moxibustion on joint swelling was evaluated by RA scale of collagen
induced arthritis (CIA); the pathological changes of joint inflammation were
observed by HE staining; the cell count of Th17 and Treg in spleen was analyzed
by flow cytometry; the content of cytokine IL-1beta, IL-6, IL-10, IL-17, IL-23,
TGF-beta and Galectin-9 were analyzed by ELISA; the mRNA and protein expression
of Foxp3, Galectin-9, RORgammat, CARMA1, NF-kappaB were analyzed by Real-time PCR
and Western Blotting method. RESULTS: Ten to 12 d after the secondary immune, red
and swelling of ankle joint, feet and toe joint were observed, indicating
successful establishment of RA model. 15 d into moxibustion treatment, the joint
swelling was improved in the moxibustion group and the sham moxibustion group,
which was superior in the moxibustion group (P<0.05). As for pathological
changes, compare with the normal group, the articular surface was rougher and
synovial layer thinner in the model group, which was recovered to a certain
extent in the sham moxibustion group; the articular surface was smooth and
synovial layer was thicker in the moxibustion group, which was similar to the
normal group. The results of flow cytometry test indicated the cell count of Treg
in the model group was reduced but that of Th17 was increased than the normal
group (both P<0.01); the moxibustion could increase significantly the cell count
of Treg (P<0.05), but no effect was observed on Th17 (P>0.05). The results of
ELISA test indicated the differences of increasing of IL-1beta, IL-6, IL-17, IL
23, TGF-betaas well as the reducing of IL-10 were not significant between the
sham moxibustion group and the moxibustion group (all P>0.05); moxibustion
treatment could increase the content of Galectin-9 which was reduced in RA mice
(P<0.05). The results of RT-PCR and Western blotting test indicated the mRNA and
protein expression of Foxp3, Galectin-9 were reduced in the model group (all
P<0.01), which could be up-regulated by moxibustion treatment (P<0.05, P<0.01);
the mRNA and protein expression of RORgammat, CARMA1, NF-kappaB was increased
(all P<0.01), which could be down-regulated by moxibustion treatment (P<0.05,
P<0.01). CONCLUSION: Moxibustion could improve the swelling of joint and
inflammatory reaction of joint synovial in RA mice; the mechanism may be related
to the regulation of Treg cells number in spleen and the expression of Foxp3,
Galectin-9, RORgammat, CARMA1, NF-kappaB, mRNA and protein expression.
PMID- 29354979
TI - [Effects of electroacupuncture preconditioning on cerebral infarct volume and
contents of TNF-alpha, IL-10 in serum of rats after cerebral ischemia-reperfusion
injury].
AB - OBJECTIVE: To explore the effect of electroacupuncture(EA) preconditioning on
cerebral infarct volume and the contents of TNF-alpha, IL-10 in serum of rats
with cerebral ischemia-reperfusion injury. METHODS: Thirty-six rats were randomly
divided into a sham operation group, a model group and an EA preconditioning
group, 12 rats in each group, which were further divided into 12 h and 24 h after
reperfusion subgroups, 6 rats in each one. EA was used before model establishment
for 2 weeks in the EA preconditioning group. The model of cerebral ischemia
reperfusion injury in rats was established with modified Longa suture method. 12
h and 24 h after reperfusion, the degree of neurological deficit was assessed by
the modified behavioral scoring scale; the cerebral infarct volume was measured
by TTC method and the contents of TNF-alpha, IL-10 in serum were detected by
ELISA method. RESULTS: Compared with the model group, the neurological severity
scores in the EA preconditioning group significantly reduced 12 h and 24 h after
reperfusion (both P<0.05), the cerebral infarct volume in the EA preconditioning
group significantly reduced 12 h and 24 h after reperfusion (both P<0.05).
Compared with the sham operation group, the serum TNF-alpha, IL-10 contents in
the model group increased 12 h and 24 h after reperfusion (both P<0.05). Compared
with the model group, the serum TNF-alpha content reduced, while the serum IL-10
content increased in the EA preconditioning group 12 h after reperfusion (both
P<0.05). Compared with the model group, the serum TNF-alpha, IL-10 contents
reduced in the EA preconditioning group 24 h after reperfusion (both P<0.05).
CONCLUSION: EA preconditioning can improve neurological deficit, reduce cerebral
infarct volume after cerebral ischemia-reperfusion injury in rats. The mechanism
may be related to the regulation of EA on the dynamic balance between pro
inflammatory cytokine TNF-alpha and anti-inflammatory cytokine IL-10 in
peripheral blood of cerebral ischemia-reperfusion injury in acute phase, thus
alleviate acute cerebral ischemia-reperfusion inflammatory response.
PMID- 29354980
TI - [Tinnitus caused by heart disease and healed from heart].
AB - Tinnitus is recognized as a refractory disease, which is common in clinic, and
always treated from the liver and kidney. We treat tinnitus mainly by heart based
on syndrome differentiation. It often works well when the left cukou pulse is
abnormal. Referring to ancient literature, we find that the heart is related to
ears by meridians, and the kidney and heart govern ears. The normal function of
heart and kidney means normal hearing. The heart secretes atrial natriuretic
polypeptins (ANP), whose receptor is widely distributed in ears and can increase
the cochlear blood flow. In this paper we explore the relationship between the
heart and the ears so as to provide theories of treatment by heart for tinnitus.
PMID- 29354981
TI - [Acupuncture-moxibustion syndrome differentiation based on differences between
jing-jin diseases and zangfu diseases].
AB - The clinical syndrome differentiation system of acupuncture-moxibustion was
proposed in this paper, which should reflect the core of acupuncture theory and
characteristics of treatment, and take syndrome differentiation of meridian as
key component. In case of meridian syndrome differentiation guided by syndrome
differentiation of eight principles, extra emphasis should be placed on the
differences of jing-jin diseases and zangfu diseases. Differentiating location
should be key in jing-jin diseases; the acupoints should be selected according to
location, and appropriate technique should be applied according to symptoms.
Zangfu diseases should based on syndrome differentiation of meridian and assisted
by syndrome differentiation of zangfu; the key of zangfu diseases treatment was
meridian-based acupoint selection, assisted by location-based acupoint selection;
the emphasis should be placed on special acupoints, and reinforcing and reducing
technique was selected according to cold-heat and deficiency-excess.
PMID- 29354982
TI - [Discussion on the acupuncture and moxibustion thoughts of diagnosis and
treatment for secondary dysmenorrhea of adenomyosis on the basis of disease
location and pathogenesis].
AB - To discuss the acupuncture and moxibustion thoughts of diagnosis and treatment
for secondary dysmenorrhea of adenomyosis on the basis of disease location and
pathogenesis. In clinic, we take the "principle, method, prescription, acupoint
and technic" as the outline, paying attention to identify disease location and
establishing the method of "promoting blood to remove stasis, regulating
thoroughfare vessel and conception vessel" on the basis of the pathogenesis of
"stasis obstructing uterus, disharmony of thoroughfare vessel and conception
vessel". The prescription combines "dredging" with "conditioning", and the
emphasis should be different in different periods. In menstrual period, we put
emphasis on activating the circulation of qi and blood as well as clearing
meridians to relieve pain and choose the acupoints on the spleen meridian of foot
taiyin and experimental points, such as Diji (SP 8), Sanyinjiao (SP 6), Ciliao
(BL 32), Shiqizhui (EX-B 8). In the intermenstrual period, we regulate the qi and
blood of thoroughfare vessel and conception vessel, and the function of viscera.
The acupoints for the disease root are mainly at spleen meridian of foot-taiyin
and conception vessel, such as Sanyinjiao (SP 6), Guanyuan (CV 4), Zigong (EX-CA
1), Zusanli (ST 36). And the reinforcing and reducing technic are applied
accordingly.
PMID- 29354983
TI - [Interpretation of acupuncture theory from acupuncture application].
AB - The relationship between acupuncture theory and clinical practice is getting
problematic with the development of acupuncture clinical practice. In the paper,
in view of the theoretic study itself, the reasons and the approaches to the
recognition are explored. The characteristics of acupuncture therapeutic methods
include the site for application and the technique method in generally. Their
theoretical construction is relatively limited in the system category of
acupuncture. The sites of acupuncture application are various, including vessels,
the muscle regions of meridians, the intersection of skin and muscle,
corresponding points and others. All of those lead to the variety of acupuncture
in compliance with the acupuncture technique methods. The nearby action of
acupoint is also the basic function of acupuncture stimulation, which is much
related with the variety of acupuncture. Hence, it is believed that the full
dimensional recognition of acupoints and the relevant theories of meridians is
the foundation to ensure their significance in clinical guidance and the
theoretic supports in the innovative methods.
PMID- 29354984
TI - [Electroacupuncture for post stroke cognitive impairment: a systematic review and
Meta-analyses].
AB - OBJECTIVE: To systematically evaluate the efficacy and safety of
electroacupuncture (EA) for post stroke cognitive impairment (PSCI). METHODS: The
randomized clinical trials (RCTs) regarding EA for PSCI published before October
of 2016 were researched in China National Knowledge Infrastructure (CNKI),
Chinese Biomedical Database (CBM), WanFang database, VIP medicine information
system, PubMed and Cochrane Library. The literature screening and information
extraction was conducted by two independent reviewers. The quality assessment was
performed based on the guidance of the Cochrane Reviewers' Handbook, and Meta
analyses was performed by using RevMan 5.3 software. RESULTS: Totally 14 RCTs
were included, involving 896 PSCI patients. The results of Meta-analyses showed
the EA group was superior to the control group in improving the MMSE [MD =1.78,
95% CI (0.24, 3.32), P =0.02], the MoCA [MD=1.92, 95% CI (0.96, 2.88), P<0.000
1], P300 latency [MD =-11.01, 95% CI (-18.91, -3.11), P =0.000 6], P300 amplitude
[MD=1.56, 95% CI (1.14, 1.98), P<0.000 01], FMA score [MD =10.74, 95% CI (2.67,
18.82), P =0.009] and the clinical effective rate [RR =1.37, 95% CI (0.98, 1.91),
P =0.06]. However, the comparison of BI score in both group had no significant
differences [MD =6.38, 95% CI (-2.41, 15.18), P =0.15]. CONCLUSION: This Meta
analyses confirmed EA is effective and safe for PSCI, which could improve
cognitive function and motor function. However, because of low quality of the
included studies, more well-designed multicenter RCTs are needed.
PMID- 29354985
TI - [Exploration and construction of the full-text database of acupuncture literature
in the Republic of China].
AB - The ALIPORC full-text database is targeted at a specific full-text database of
acupuncture literature in the Republic of China. Starting in 2015, till now, the
database has been getting completed, focusing on books relevant with acupuncture,
articles and advertising documents, accomplished or published in the Republic of
China. The construction of this database aims to achieve the source sharing of
acupuncture medical literature in the Republic of China through the retrieval
approaches to diversity and accurate content presentation, contributes to the
exchange of scholars, reduces the paper damage caused by paging and simplify the
retrieval of the rare literature. The writers have made the explanation of the
database in light of sources, characteristics and current situation of
construction; and have discussed on improving the efficiency and integrity of the
database and deepening the development of acupuncture literature in the Republic
of China.
PMID- 29354986
TI - [Mechanism of neural plasticity of acupuncture on chronic migraine].
AB - Chronic migraine is one of neurological disorders with high rate of disability,
but sufficient attention has not been paid in this field. A large number of
clinical studies have shown traditional Chinese acupuncture is a kind of
effective treatment with less side effects. Through the analysis of literature
regarding acupuncture and migraine published from 1981 to 2017 in CNKI and PubMed
databases, the mechanism of neural plasticity of acupuncture on chronic migraine
was explored. It was believed the progress of chronic migraine involved the
changes of neural plasticity in neural structure and function, and the neural
plasticity related with neural sensitization during the process of chronic
migraine was discussed from three aspects of electrophysiology, molecular
chemistry and radiography. Acupuncture could treat and prevent chronic migraine
via the mechanism of neural plasticity, but there was no related literature,
hindering the further spreading and development of acupuncture for chronic
migraine.
PMID- 29354987
TI - [Clinical trial of gastroesophageal reflux disease with the disharmony between
liver and stomach syndrome treated with acupuncture regulating qi based on the
compatibility of the five meridians].
AB - OBJECTIVE: To observe the clinical effects of the acupuncture regulating qi based
on the compatibility of the five meridians and common western medication for
gastroesophageal reflux disease with the disharmony between liver and stomach.
METHODS: Sixty patients were divided into two groups according to non-erosive
reflux disease (NERD) and reflux esophagitis (RE). Those in the two groups were
randomly assigned into an observation group and a control group. There were 57
cases included (28 in the observation group with 2 dropping, 29 in the control
group with 1 dropping). Based on the life care, patients in the observation group
were treated with 30 min acupuncture regulating qi based on the compatibility of
the five meridians at Zusanli (ST 36), Chongyang (ST 42), Weishu (BL 21),
Zhongwan (CV 12), Xingjian (LR 2), Shaofu (HT 8), Dadun (LR 1), Taichong (LR 3),
Ganshu (BL 18), Qimen (LR 14), Danzhong (CV 17), Zhongting (CV 16), three times a
week. Patients in the control group were treated with rabeprazole orally. All the
treatment was given for consecutive 8 weeks. The clinical symptom score was
observed before and after treatment and 4 weeks after treatment. The effects were
evaluated from 3 aspects, including the main symptoms, esophagitis improvement
under gastroscope and total clinical symptoms. RESULTS: After treatment and at
follow-up, the symptom scores decreased in the two groups compared with those
before treatment (all P<0.05), with better improvement in the observation group
at follow-up (P<0.05). The symptom scores after treatment of the two types in the
two groups decreased compared with those before treatment (all P<0.05). The total
effective rate of heartburn after treatment in the observation group was 82.1%
(23/28), which was lower than 93.1% (27/29) of the control group (P<0.05). The
total effective rate of belching after treatment in the observation group was
94.1% (16/17), which was better than 75.0% (15/20) in the control group (P<0.05).
The total effective rate of the esophagitis improvement under gastroscope after
treatment in the observation group was 75.0% (12/16), and that in the control
group was 82.4% (14/17), without statistical significance (P>0.05). The total
effective rate of the clinical symptoms after treatment in the observation group
was 82.1% (23/28), and that in the control group was 86.2% (25/29), without
statistical significance (P>0.05). CONCLUSION: Acupuncture regulating qi based on
compatibility of the five meridians can effectively improve clinical symptoms and
inflammation under gastroscope for gastroesophageal reflux disease with the
disharmony between liver and stomach. This treatment has a longer curative effect
and a greater alleviation of belching.
PMID- 29354988
TI - [Effect of transcutaneous electrical acupoint stimulation on gastric emptying in
patients undergoing surgery].
AB - OBJECTIVE: To observe the effects of transcutaneous electrical acupoint
stimulation (TEAS) on gastric emptying in patients undergoing selective surgery
based on velocity of gastric emptying by ultrasonography. METHODS: A total of 75
patients with selective operation of subarachnoid block at lower limb in the
afternoon were randomly assigned to a TEAS group, a sham group and a control
group, 25 patients in each one. All the patients were provided with semi-fluid
diet at 8 a.m. The TEAS group was treated with TEAS 5 min after semi-fluid diets
at bilateral Zusanli (ST 36) and Neiguan (PC 6) for 30 min, with frequency of 5
Hz and intensity which was 1 mA lower than the tolerance threshold. The sham
group patients were stimulated at the same acupoints with current intensity which
was 1 mA lower than the sensory threshold. The control group received no
treatment. On the day of operation, and ultrasonography was given at time of
empty stomach (T0), immediately after the semi-fluid diets (T1), and every 30 min
after diets (T2-T6), respectively, to measure the gastric content and emptying
time at semire-clining position and right lateral position. RESULTS: The volume
of gastric content in the three groups at T3-T6 was significantly less than that
at T1 (all P<0.05). The volume of gastric content at T4-T6 at semire-clining
position in the TEAS group was significantly less than that in the control group
and sham group (all P<0.05). The volume of gastric content at T5-T6 at right
lateral position in the TEAS group was significantly less than that in the
control group and sham group (all P<0.05). The gastric emptying time in the TEAS
group was significantly less than that in the control group and sham group (both
P<0.05). CONCLUSION: The gastric emptying velocity could be evaluated by
ultrasonography. TEAS could improve the velocity of gastric emptying and reduce
the gastric emptying time.
PMID- 29354989
TI - [Clinical effect of abdominal acupuncture for diarrhea irritable bowel syndrome].
AB - OBJECTIVE: To compare the efficacy differences between abdominal acupuncture and
western medication for diarrhea irritable bowel syndrome (IBS-D). METHODS: Sixty
one patients with IBS-D were randomly assigned into an acupuncture group (30
cases after 1 dropping) and a western medication group (28 cases after 2
dropping). Acupuncture was used at Yinqiguiyuan points [Zhongwan (CV 12), Xiawan
(CV 10), Qihai (CV 6), Guanyuan (CV 4)], Fusiguan points [bilateral Huaroumen (ST
24), Wailing (ST 26)], Tiaopiqi point [bilateral Daheng (SP 15)], once every
other day, 3 times a week. Pinaverium bromide tablet was used orally in the
western medication group, 50 mg a time, 3 times a day. All the treatment was
given for 4 weeks in the two groups. IBS symptom severity score (IBS-SSS) and
clinical symptom scores for abdominal pain and distension, diarrhea, poor stool
output, defecation urgency and stool abnormality were observed before and after
the treatment as well as 3 months after treatment. Adverse reactions were
recorded and the effects were evaluated. RESULTS: 1After treatment and at follow
up, the IBS-SSS scores of the two groups were lower than those before treatment
(all P<0.01). Compared with the western medication group, the scores and the
improvements between the two time points and before treatment were better in the
acupuncture group (P<0.05, P<0.01). 2The symptom scores in the two groups after
treatment were lower than those before treatment including abdominal pain,
abdominal distension, diarrhea, poor stool output, defecation urgency and stool
abnormality (P<0.05, P<0.01), and the scores of abdominal pain, abdominal
distension, diarrhea, poor stool output and stool abnormality in the acupuncture
group were lower than those in the western medication group (P<0.05, P<0.01).
3The total effective rate and the cured and remarkable effective rate of the
acupuncture group were higher than those of the western medication group [(86.7%
(26/30) vs 64.3% (18/28), P<0.05; 70.0% (21/30) vs 35.7% (10/28), P<0.01)], and
the therapeutic efficacy of the acupuncture group was better than that of the
western medication group (P<0.05). There was no adverse reaction. CONCLUSION:
Abdominal acupuncture is more effective for IBS-D than western medication and can
relieve abdominal pain, abdominal distension, diarrhea, poor stool output, stool
abnormality, with long-term effect.
PMID- 29354990
TI - [Spasmodic hemiplegia after stroke treated with scalp acupuncture, music therapy
and rehabilitation: a randomized controlled trial].
AB - OBJECTIVE: To evaluate the differences in the clinical therapeutic effects on
spasmodic hemiplegia after stroke among the alliance therapy of scalp
acupuncture, music therapy combined with rehabilitation, the simple
rehabilitation therapy and the combination of music therapy and rehabilitation.
METHODS: A total of 76 patients of post-stroke spasmodic hemiplegia were
randomized into a rehabilitation group (25 cases), a combination group with music
therapy and rehabilitation (25 cases) and an alliance therapy group with scalp
acupuncture, music therapy and rehabilitation (26 cases). In the rehabilitation
group, the routine rehabilitation therapy was applied, including the removal of
various incentives that cause spasm, the correction of body position and the
physical therapy. In the combination group, the music therapy was added on the
basis of the treatment as the rehabilitation group. The music physician used the
rhythmic auditory stimulation, the patterned sensory enhancement and the
therapeutic instrumental music playing to set up the task in the treatment. In
the alliance therapy group, scalp acupuncture was added on the basis of the
treatment as the combination group. The anterior oblique line of vertex-tempora
(MS 6) and the posterior oblique line of vertex-tempora (MS 7) on the
contralateral side were selected and stimulated with penetrating needling
technique. The needles were retained. During the needling retaining, the needles
were rotated once every 10 min, for 2 min each time. The treatment was given one
session a day, totally for 5 sessions a week, continuously for 4 weeks. The Fugl
Meyer assessment (FMA), Barthel index (BI) and the modified Ashworth scale (MAS)
of the affected elbow and the passive knee movement at static condition were
observed in the patients before and after treatment. RESULTS: The results of FMA,
BI and MAS were not different before treatment in the patients among the three
groups (all P>0.05), indicating the comparability among groups. After treatment,
FMA and BI scores were all increased apparently in the three groups as compared
with those before treatment (all P<0.05). MAS grade was reduced remarkably as
compared with that before treatment (all P<0.05). After treatment, FMA and BI
scores in the alliance therapy group were higher than those in the combination
group and the rehabilitation group (all P<0.05). FMA and BI scores in the
combination group were higher than those in the rehabilitation group (both
P<0.05). MAS grade in the alliance therapy group was lower than those in the
combination group and the rehabilitation group (both P<0.05). MAS grade in the
combination group was lower than that in the rehabilitation group (P<0.05).
CONCLUSION: The alliance therapy with scalp acupuncture, music therapy and
rehabilitation achieve the remarkable clinical therapeutic effects on post-stroke
spasmodic hemiplegia as compared with the routine rehabilitation and the
combination of music therapy and rehabilitation.
PMID- 29354991
TI - [Chronic fatigue syndrome treated with transcutaneous electrical acupoint
stimulation: a randomized controlled trial].
AB - OBJECTIVE: To evaluate the clinical therapeutic effects and safety of chronic
fatigue syndrome treated with transcutaneous electrical acupoint stimulation
(TEAS) on the conception vessel and the governor vessel. METHODS: Eighty-nine
patients of chronic fatigue syndrome were randomized into an observation group
(46 cases) and a control group (43 cases). In the observation group, TEAS was
applied at Dazhui (GV 14) and Mingmen (GV 4), Shenque (CV 8) and Guanyuan (CV 4)
[the current intensity: (14+/-2) mA]. In the control group, the simulated TEAS
was applied at the same acupoints as the observation group (the current
intensity: 1 mA). The treatment was given for 30 min, once a day, 5 times a week
and the treatment of 4 weeks was as 1 session in the two groups. One session of
treatment was required. Before treatment and at the end of 1 session of
treatment, the fatigue severity scale (FSS) was adopted to evaluate the fatigue
symptoms and the somatic and psychological health report (SPHERE) was adopted to
evaluate the potential symptoms and observe the safety of TEAS therapy. RESULTS:
At the end of treatment, FSS score and SPHERE score in the control group were not
different significantly as compared with those before treatment (both P>0.05).
FSS score and SPHERE score in the observation group were reduced significantly as
compared with those before treatment (both P<0.01). FSS score and SPHERE score in
the observation group were reduced apparently as compared with those in the
control group (both P<0.001). In the entire process of treatment with TEAS, no
any adverse reaction occurred. CONCLUSION: TEAS on the conception vessel and the
governor vessel relieves fatigue symptoms and the potential symptoms in the
patients of chronic fatigue syndrome. It is a safe therapy.
PMID- 29354992
TI - [Moxibustion at Geshu (BL 17) for diabetic limb arterial obliteration at early
stage].
AB - OBJECTIVE: To compare the efficacy differences between moxibustion at Geshu (BL
17) and oral administration of cilostazol on diabetic limb arterial obliteration
(DLAO) at early stage as well as the impacts on hemorheology and arterial inner
dimension of lower extremity. METHODS: Seventy patients of DLAO at early stage
were randomly divided into an observation group and a control group, 35 cases in
each one. The two groups were treated with regular treatment of blood glucose and
blood lipid. The patients in the control group was treated with oral
administration of cilostazol, 50 mg, twice a day; the patients in the observation
group were treated with moxibustion at Geshu (BL 17), once a day. The consecution
treatment of two weeks constituted one session, and totally 4 sessions were
given. The total syndrome score, hemorheology index (including low and high shear
viscosity of blood, plasma viscosity, hematocrit and erythrocyte aggregation
index) and arterial inner dimension of lower extremity (including popliteal
artery, posterior tibial artery and dorsalis pedis artery) were compared before
and after treatment. RESULTS: Compared with those before treatment, the total
syndrome score, hemorheology index and arterial inner dimension of lower
extremity were significantly improved after treatment in the two groups (all
P<0.05). The total syndrome score, hemorheology index in the observation group
were superior to those in the control group (all P<0.05), but the improvement of
arterial inner dimension of lower extremity was not significantly different
between the two groups (P>0.05). After treatment, the total effective rate was
91.4% (32/35) in the observation group, which was significantly superior to 85.7%
(30/35) in the control group (P<0.05). CONCLUSION: Moxibustion at Geshu (BL 17)
is superior to oral administration of cilostazol for DLAO at early stage, which
could effectively improve the clinical symptoms, blood flow and blood vessel and
increase the blood flow of lower limb.
PMID- 29354993
TI - [Effect of joss stick moxibustion combined with pricking and cupping for acute
herpes zoster and its mechanism of analgesia].
AB - OBJECTIVE: To observe the effects of conventional western medication and joss
stick moxibustion combined with pricking and cupping for herpes zoster in acute
stage, and to explore its analgesic mechanism. METHODS: Seventy patients with
acute herpes zoster were randomized into an observation group (33 cases after 2
dropping) and a control group (34 cases after 1 dropping). Patients in the
observation group were treated with joss stick moxibustion combined with pricking
and cupping at local ashi points for 7 times, once every other day. Oral
acyclovir, vitamin B1 and mecobalamin tablets were applied in the control group
for continuous 14 days, and interferon injection was used for continuous 6 days,
etc. The herpes evaluation indexes of blister stopping time, scab time and
decrustation time as well as pain intensity were observed before and after
treatment. Peripheral serum substance P (SP) content of herpes local situation
was detected. The comprehensive effects were evaluated. RESULTS: The blister
stopping time, scab time and decrustation time in the observation group were
shorter than those in the control group (all P<0.05). There was no statistical
significance for pain relief degree between the two groups (P>0.05). The pain
beginning to ease time and duration time in the observation group were better
than those in the control group (both P<0.05). The contents of SP in the two
groups decreased after treatment (both P<0.01), and it was better in the
observation group (P<0.05). The total effective rate of the observation group
after treatment was 87.9% (29/33), and that of the control group was 85.3%
(29/34), which were not statistically significant (P>0.05). The cured rate of the
observation group was better than that of the control group [66.7% (22/33) vs
58.8% (20/34), P<0.05]. CONCLUSION: Joss stick moxibustion combined with pricking
and cupping are effective for herpes zoster, which have quicker and good
analgesic effects than conventional western medication. Its mechanism may be
related to reducing the content of SP more fast and to a larger degree.
PMID- 29354994
TI - [Early age-related macular degeneration treated with emayaoling acupuncture
technique: a randomized controlled trial].
AB - OBJECTIVE: To compare the differences in the clinical therapeutic effects on
early age-related macular degeneration (AMD) in the treatment between emayaoling
acupuncture technique and regular acupuncture. METHODS: A total of 110 patients
of AMD were randomized into an observation group (55 cases, 73 eyes) and a
control group (55 cases, 76 eyes). In the observation group, acupuncture was
applied at Cuanzhu (BL 2) and Yiming (EX-HN 14). The needles were retained for 30
min. Additionally, Ganshu (BL 18), Pishu (BL 20) and Shenshu (BL 23) were
stimulated with quick needling technique. Emayaoling needling technique was
applied. The needle was rotated with the thumb and index finger of the puncture
hand, forward and backward. The strong and large amplitude were given when the
thumb moved forward, and while, the week and small amplitude required when moving
backward. The gentle movement was required in the entire procedure. In the
control group, the point selection was same as the observation group. The points
were stimulated with regular technique. The needle retaining time was same as the
observation group. The treatment was given once every two days in the two groups
and for 3 months continuously. The visit was followed up for 3 months. Before and
after treatment, as well as at follow-up, the eyesight, the effect on macular
area and the macular retinal structure [the macular nerve fiber layer (MNFL),
retinal neurepithelium layer (RNL), pigment epithelium and choroid capillary
composite lay (PECCL)] were evaluated separately. RESULTS: 1. After treatment and
at follow-up, the eyesight was improved as compared with that before treatment in
the two groups, indicating the significant difference (both P<0.05). The
differences in comparison before and after treatment, and in comparison of the
follow-up with that before treatment, were improved in a larger amplitude in the
observation group as compared with the control group, indicating the significant
difference (both P<0.05). 2. Regarding the therapeutic effects on macular area,
after treatment and at follow-up, the total effective rates were 83.6% (61/73)
and 86.3% (63/73) in the observation group and were 63.2% (48/76) and 67.1%
(51/76) in the control group separately. The results in the observation group
were higher than those in the control group, indicating the significant
difference between the two groups (both P<0.05). 3. Regarding the macular retinal
structure, after treatment and at follow-up, the levels of MNFL, RNL and PECCL
were all reduced as compared with those before treatment, indicating the
significant difference (all P<0.05). After treatment and at follow-up, the levels
of MNFL, RNL and PECCL in the observation group were all lower than those in the
control group, indicating the significant difference (all P<0.05). CONCLUSION:
The emayaoling acupuncture technique achieves better clinical therapeutic effects
on treatment of early AMD as compared with the regular acupuncture.
PMID- 29354995
TI - [Correlation between eight extra meridians and viscera].
AB - Correlation between meridians and viscera is the core of meridian theory, and
eight extra meridians are a vital part of meridian system. Based on the running
courses, diseases and modern researches of eight extra meridians, we found that
governor and heel vessels were closely related to brain and kidney; conception
and thoroughfare vessels were related to kidney and uterus; there was no defined
relationship between link, belt vessels and viscera. The theory of eight extra
meridians belonging to liver and kidney, and the clinical application of the
eight confluence points provide evidence for the correlation between the eight
extra meridians and viscera. The correlation research can fill up current
meridian-viscus correlation theory and provide its new research thought.
PMID- 29354996
TI - [Analysis of specificity of Shenque (CV 8) based on vascular biology].
AB - To analyze the structural specificity of Shenque (CV 8) in terms of vascular
biology from the three aspects of structure, tissue and molecular anatomies. On
structural anatomy Shenque (CV 8) possessed defined vascular structure and was
the only acupoint directly affected vascular intima. The basis of the specific
therapeutic effects was owing to its relation with vessel and abundant
microcirculation. Endothelial cells and microvascular endothelial cells were the
tissue basis of the starting of therapeutic specificity. Molecular anatomy
involved in the functions of transient receptor potential vanilloid family (TRPV)
pathway and neuronal peptide secretion of endothelial cells in the structural
function of the point. The "restoring yang for collapse" effect of salt-partition
moxibustion at Shenque (CV 8) was analyzed based on the local biological
specificity of blood vessels. The mechanisms were concluded as effectively
targeting the dysfunction of microvascular endothelial cells and acquiring the
maximum quantity of moxibustion by repeated warm-heat stimulation. The vascular
biological structural features of Shenque (CV 8) may contribute to direct
therapeutic effects on endothelial cells by the point.
PMID- 29354997
TI - [Effects of acupuncture combined with Rule granule on breast tissue, prolactin
and receptor expression in rats with mammary gland hyperplasia].
AB - OBJECTIVE: To observe the effects of acupuncture combined with Rule granule on
breast tissue, prolactin(PRL) and prolactin receptor (PRLR) expression in rats
with mammary gland hyperplasia (MGH), and to explore its action mechanism to
provide reference for clinical treatment of MGH. METHODS: Fifty-five female SD
rats were randomly divided into a blank group, a model group, an acupuncture
group, a Rule granule group and a combination group. Except the blank group, the
rats in the remaining groups were treated with combined stimulation of estrogenic
and progestational hormone to establish MGH model. After model establishment, the
rats in the acupuncture group were treated with acupuncture at Plan A of
"Tianzong" (SI 11), "Ganshu" (BL 18), "Zusanli" (ST 36) and Plan B of "Wuyi" (ST
15), "Hegu" (LI 4), "Danzhong" (CV 17). Each plan was selected for one
acupuncture treatment, and two plans were used alternately. The rats in the Rule
granule group were treated with oral administration of granule, 3 mL per times.
The rats in the combination group were treated with the same Rule granule,
followed by acupuncture, once a day. After consecutive 30-day treatment, blood
sample was collected from abdominal aorta; ELISA method was applied to measure
the contents of PRL; the HE slice of mammary gland was observed under light
microscope; the SABC immunohistochemical method was applied to measure the
positive expression of PRLR. RESULTS: The morphology of breast tissue in the
model group was consistent with MGH. Compared with the blank group, the serum PRL
and the expression of PRLR were increased significantly in the model group (both
P<0.01). Compared with the model group, the hyperplasia of mammary gland in each
treatment group was improved, and serum PRL and expression of PRLR were
significantly reduced (P<0.05, P<0.01), which were more significant in the
combination group (both P<0.05). CONCLUSION: Acupuncture, Rule granule and its
combination could effectively treat MGH, which is likely to reduce the level of
serum PRL and inhibit the binding of PRL to PRLR, as a result, the level of E2 is
indirectly inhibited, and the hyperplastic mammary gland is recovered. Compared
with acupuncture or Rule granule, the combination of both has better overall
efficacy.
PMID- 29354998
TI - [Effects of heat-sensitive moxibustion on HPA axis in rats with irritable bowel
syndrome].
AB - OBJECTIVE: To observe the effects of heat-sensitive moxibustion on corticotropin
releasing hormone (CRH), adrenocorticotrophic hormone (ACTH) and corticosterone
(CORT) in rats with irritable bowel syndrome (IBS), and to explore the possible
mechanism of heat-sensitive moxibustion on IBS. METHODS: According to random
number table, 56 SD male rats were randomly divided into a blank group (n=8), a
model group (n=8), a moxibustion group (n=32), and a mifepristone group (RU-486
group, n=8). The rats in the blank group were treated with normal feeding; the
rats in the model group, RU-486 group and moxibustion group were treated with
chronic non-predictable stimulation for 21 days to establish IBS model. After
model establishment, the rats in the moxibustion group were treated with
moxibustion at "Mingmen" (GV 4) for 40 min, once a day for 14 days; the tail
temperature was recorded every 5 min; according to the change of tail
temperature, the rats were divided into a heat-sensitive moxibustion group and a
non-heat-sensitive moxibustion group, and 8 rats were randomly selected in the
two groups. The rats in the RU-486 group were treated with gastric administration
of RU-486 for 14 days, while the rats in the blank group, model group and
moxibustion groups were treated with identical volume of 0.9% NaCl. The rat
general condition, body mass, behavioristics, intestinal propulsive rate and
visceral sensitivity were observed in each group; ELISA method was used to detect
serum CRH, ACTH and CORT; optical microscope was applied to observe the
morphological changes of colon. RESULTS: (1) After model establishment, rats were
in rest state, fatigued, with withered hair and dim ear; the stool was dry or
watery; the body mass were slowly increased; the number of crossed grid and
standing frequency were significantly reduced; visceral sensitivity was increased
and intestinal propulsion rate was decreased; no obvious inflammatory cell
infiltration was observed under microscope. (2) After intervention, compared with
the blank group, the body mass and visceral sensitivity in the RU-486 group were
not significantly different (both P>0.05), but the intestinal propulsion rate was
decreased significantly (P<0.01). Compared with the blank group, the body mass of
heat-sensitive moxibustion group and non-heat-sensitive moxibustion group was
lower (both P<0.01), but the visceral sensitivity and intestinal propulsion rate
were similar (both P>0.05). Compared with the model group, the body mass and
visceral sensitivity were improved in the RU-486 group (P<0.05, P<0.01), but the
intestinal propulsion rate was similar (P>0.05). The body mass, visceral
sensitivity and intestinal propulsion rate of the heat-sensitive moxibustion
group and the non-heat-sensitive moxibustion group were superior to those of the
model group (P<0.05, P<0.01), and the body mass and intestinal propulsion rate of
heat-sensitive moxibustion group were superior to those of non-heat-sensitive
moxibustion group (both P<0.05). (3) After intervention, compared with the blank
group, the contents of CRH, ACTH and CORT in the model group were significantly
increased (P<0.05, P<0.01). Compared with the model group, the contents of CRH,
ACTH and CORT of the heat-sensitive moxibustion group were statistically reduced
(P<0.05, P<0.01), and the contents of CRH and ACTH in the non-heat-sensitive
moxibustion group were statistically reduced (P<0.05, P<0.01); the content of CRH
in the RU-486 group was reduced (P<0.05), but the contents of ACTH and CORT were
increased (P<0.05, P<0.01). Compared with the non-heat-sensitive moxibustion
group, the heat-sensitive moxibustion group was better in the improvement of CRH
(P<0.05), but there was no significant difference of ACTH and CORT between the
two groups (both P>0.05). CONCLUSION: Heat-sensitive moxibustion could reduce the
contents of CRH, ACTH and CORT through the HPA axis, and improve the function of
gastrointestinal motility to treat IBS.
PMID- 29354999
TI - [Origin and thought on the philosophical ideas of acupuncture in Chinese
medicine].
AB - Acupuncture in Chinese medicine has been a treasure in Chinese traditional
medicine for thousands of years. It is opposite to many basic theories in modern
medicine in dynasties. Different from the cross compatibility and interactions
among medical medicines, acupuncture in Chinese medicine has its own
consolidation and inherent philosophical ideas. In view of this, how to discuss
the philosophical ideas and its development of acupuncture in Chinese medicine
becomes of great importance. It is crucial to clearly answer the three
theoretical propositions in the development of acupuncture in Chinese medicine.
Firstly, the differences in acupuncture should be identified between the ancient
time and the modern time. The issues focus on the origin of Huangdi Neijing
(Yellow Emperor's Internal Medicine) and its philosophical divergence. Secondly,
the origin of acupuncture should be identified, whether it is from China or
India. Thirdly, the differences in acupuncture should be identified between China
and the west, focusing on the explanation and rectification of the
interrelationship between the acupuncture in Chinese medicine and the western
acupuncture. Hence, the basic features are discussed on the reality of
acupuncture in Chinese medicine as well as its diversity. Finally, the
proposition is extended on how to holistically grasp the philosophical foundation
of acupuncture in Chinese medicine and its future trend.
PMID- 29355000
TI - [The current situation of acupuncture definition in international organizations
and legislation of some countries].
AB - Acupuncture is developing rapidly in the world, and more attention is paid on
acupuncture in various countries. Because of the cultural differences, there are
different views on acupuncture between China and the west, which has brought
influence and challenge to the development of acupuncture in the world.
Acupuncture-related research is becoming increasingly extensive and complex, but
the definition of acupuncture is lack of unified standards. The definition of
acupuncture is in urgent need. Based on the analysis of acupuncture definition in
the 201 international organizations of 48 countries on five continents and
legislation of representative countries, this paper summarized the development
status of acupuncture in foreign countries, and put forward that the definition
of acupuncture should adopt the model of small connotation and large extension,
integrate discipline superiority, expand the scope of acupuncture, and focus on
the overall situation.
PMID- 29355001
TI - [Comments on the definition of "acupuncture science"].
AB - The experts in China believe that the substance of "dry needling" is in the
category of acupuncture therapy for the treatment by needle inserting the human
body. But, its recognition has not been implied from the definition of
"acupuncture science". Since 1970 s, the different definitions of it are closely
related to TCM theories, due to which, it has been limited. This flaw restricts
the development of acupuncture theory, narrows the connotation of acupuncture
science and goes against the communication of traditional Chinese acupuncture
theory. No matter regarding the theory or technique, the acupuncture therapy
nowadays changes greatly in its connotation. Rather than guided by TCM theories,
acupuncture therapy mainly includes the nerve trunk stimulation theory, the
cerebral function orientation therapy, biological holographic therapy, fascia
stimulation therapy and trigger therapy, etc. Expect that the medical devices
used in these therapies are same as the traditional acupuncture, these methods
cannot be regarded in the category of acupuncture science when the current
definition of it is considered. Hence, the writer is trying to define
"acupuncture science" as: acupuncture science refers to the science for the
methodology and mechanism of therapeutic devices, e.g. acupuncture therapy and
moxibustion therapy, for the prevention and treatment of disease by stimulating
the body, and its theory includes but not limits in traditional Chinese medical
theory.
PMID- 29355002
TI - [Is acupuncture ineffective in treating infertility associated with polycystic
ovary syndrome? A reanalysis of data on the clinical trial by WU Xiaoke's team
published on JAMA].
AB - WU Xiaoke, Stener-Victorin and Richard Legro's team published a randomized
clinical trial entitled Effect of acupuncture and clomiphene in Chinese women
with polycystic ovary syndrome: a randomized clinical trial in JAMA on June 27,
2017. Their conclusion is "this finding does not support acupuncture as an
infertility treatment in such women". We found that the trial design had serious
flaws and that the results could not lead to the conclusion. Based on the
reanalysis for the study data and those published in other medical journals
recently from the team, it was found that acupuncture plus clomiphene or control
acupuncture plus clomiphene had more excellent live birth rate, ovulation rate
and cumulative ovulation rate than clomiphene alone, with statistical and
clinical significance. The conclusion of the trial is potentially incorrect.
PMID- 29355003
TI - [Some issues from Effect of acupuncture and clomiphene in Chinese women with
polycystic ovary syndrome in JAMA].
AB - In order to avoid international experts and scholars questioning the clinical
effect of acupuncture, based on the traditional acupuncture theory and research
reports, some questions are proposed from the research design, acupuncture effect
and outcome explanation on the study of Effect of acupuncture and clomiphene in
Chinese women with polycystic ovary syndrome published in JAMA in June 2017. And
some thoughts and suggestions for the future development of the clinical
acupuncture study are showed.
PMID- 29355004
TI - [Exploration on the history of acupuncture in Chinese Buddhist Canon].
AB - It is believed that acupuncture science of Buddhist medicine has a long history
and great characteristics after the investigation, research and analysis on the
historic literature of acupuncture in Chinese Buddhist Canon. The writers tried
to give the statements from 4 aspects. 1. Science of acupuncture in the time of
Buddha. Firstly, the Buddhist acupuncture was mentioned in the time of Buddha,
including substantial acupuncture, finger acupuncture and mental acupuncture. The
substantial acupuncture refers to metal needle, plant needle, stone needle, bone
needle, etc. Finger acupuncture means taking finger as a needle. Mental
acupuncture implies that the Buddhism idea is considered in acupuncture.
Secondly, the Buddhist medicine is the combination of acupuncture and herbal
medicine. The needle box had become the necessity of the medical scholars in
traveling. 2. Achievements of medical Buddhists in dynasties. In the paper, 33
medical Buddhists were listed, such as AN Shigao, YU Fakai, etc. They made the
great contributions to the development of Buddhist medicine of acupuncture. 3.
The characteristics of Buddhist acupuncture in clinical diagnosis and treatment.
1) The combination of acupuncture and herbal medicine and this mutual
supplementation; 2) Mutual treatment of acupuncture and psychological therapy; 3)
Remarkable therapeutic effects of specific acupuncture techniques, such as Yan
needling technique and acupotomy; 4) Medical cases of Buddhist acupuncture; and
5) Precaution of misdiagnosis and prevention of mistreatment. 4. The penetrating
statement of acupuncture techniques by the Buddhists in dynasties. 1) The cause
effect theory is introduced in treatment and diagnosis by medical Buddhists. The
effectiveness is achieved through causality system. 2) Cataract is treated with
gold needle. 3) The medical master is not qualified if nothing to know on
acupuncture. The authors believe that the substantial acupuncture techniques need
to be further studied and the non-substantial one requests us to be perceived.
PMID- 29355005
TI - [Application of gene chip technology for acupuncture research over the past 15
years].
AB - To explore the application of gene chip technology in the acupuncture research so
as to provide evidences for the mechanism of acupuncture for regulating bodies.
The literature on the application of gene chip technology in the acupuncture
field from 2001 to 2016 was collected in PubMed, Springer, CNKI and WANFANG
databases, which was analyzed and summarized. There were some achievements of the
technology for acupuncture research, focusing on the five aspects, including the
study of the relationship between meridian-point and viscera, the influencing
factors of acupuncture effect, the effect and mechanism of acupuncture analgesia,
the mechanism of acupuncture anti-aging, the effect and mechanism of acupuncture
for diseases of each system. Gene chip technology plays an important role in
researching acupuncture mechanism. It is an important technology for genomics
study of acupuncture. However, there are also some disadvantages such as high
cost, deficient data mining, non-uniform observation objects, deficient
professionals, etc. All those need further resolution so as to promote the
application of this technology in the acupuncture researching field.
PMID- 29355007
TI - Potential Mechanisms for Enhanced Zika Epidemic and Disease.
AB - A number of mechanisms have driven the explosive epidemics and severe diseases of
Zika virus since 2007. Here, we comment on how herd immunity, heterologous
flavivirus preimmunity, and viral mutations could enhance the epidemic potential
and disease severity of Zika virus in humans.
PMID- 29355006
TI - [Economic and Health impact of influenza vaccination with adjuvant MF59 in
population over 64 years in Spain].
AB - OBJECTIVE: Influenza is an important health problem due to the mortality it can
cause directly or indirectly as well as the complications and the economic and
social costs it produces. Influenza epidemics are being addressed through
vaccination campaigns aimed at preventing cases and complications, and the
vaccine is officially recommended, as in the case of Spain, for certain risk
groups, such as older people, chronic diseases and institutionalized population.
The adjuvanted influenza vaccine with MF59, indicated for population over 65
years, has been shown to be more immunogenic than conventional influenza
vaccines. The objective of this study is to assess the impact on the national and
regional budget of the seasonal vaccination campaigns carried out in Spain using
the MF59 adjuvanted vaccine compared to a conventional vaccine in a population
older than 65 years. METHODS: We analyzed the budgetary impact of the use of the
MF59-adjuvanted vaccine in the national territory and by Autonomous Communities
through a modeling of two alternatives, conventional vaccination versus adjuvant
vaccination with MF59 in a population older than 65 years. The cases of avoided
influenza, avoided complications and avoided costs, as well as the economic
impact of the vaccination program have been calculated. RESULTS: With the
available information, the budgetary impact of using the influenza vaccine with
MF59 in all the over 65 years, amounts to 6,967,288.10 ?, avoiding for the
national set a cost of 89.5 million Euros, which represents a potential savings
of 82 million Euros and a cost-benefit ratio of 12.83. CONCLUSIONS: The use of
the influenza vaccine with the MF59 adjuvant to all those over 65 years would
mean an increase in the efficiency of the vaccination programs currently proposed
in all the Autonomous Communities and in the Spanish state.
PMID- 29355008
TI - Sensitive Photodetection with Photomultiplication Effect in an Interfacial
Eu2+/3+ Complex on a Mesoporous TiO2 Film.
AB - A simple device structure composed of an interfacial Eu2+/3+ complex on a
mesoporous TiO2 film is developed by a solution process and acts as the high
performance photodetector with photomultiplication phenomena. The electron
transfer from the photoexcited organic ligand, 2,2':6',2"-terpyridine (terpy), as
a photosensitizer to TiO2 is accelerated by the reduction level of Eu3+/2+ ions
chemically bonding among terpy and TiO2, resulting in the generation of a large
photocurrent. It is worth noting that its external quantum efficiency is in
excess of 105% under applied reverse bias. The corresponding responsivity of the
device is also determined to be 464 A/W at an irradiation light intensity of 0.7
mW/cm2 (365 nm), which is more than 3 orders of magnitude larger than those of
inorganic photodetectors. A dark current of the device can be reduced to 10-9
A/cm2 by introducing a Eu oxide thin-film layer as a carrier blocking layer at
the interface between transparent conducting oxide (TCO) and the TiO2 layer, and
the specific detectivity reaches 5.2 * 1015 jones at 365 nm with -3 V. The
performance of our organic-inorganic hybrid photodetector surpasses those of
existing ultraviolet photodetectors.
PMID- 29355009
TI - Supramolecular Chemotherapy: Carboxylated Pillar[6]arene for Decreasing
Cytotoxicity of Oxaliplatin to Normal Cells and Improving Its Anticancer
Bioactivity Against Colorectal Cancer.
AB - We have successfully demonstrated that the host-guest complex of carboxylated
pillar[6]arene with oxaliplatin (OxPt) exhibits low cytotoxicity toward normal
cells and displays higher anticancer bioactivity against colorectal cancer cells
than OxPt itself. Owing to higher binding affinity of carboxylated pillar[6]arene
with spermine (SPM) than that with OxPt, the encapsulated OxPt can be thoroughly
released from its host-guest complex by the competitive replacement with SPM.
This supramolecular chemotherapy works well both in vitro and in vivo for SPM
overexpressed cancers, such as colorectal cancer. Compared to OxPt itself, the
anticancer bioactivity of this host-guest complex is further improved by about
20%. Such an improvement results from the combined effect of controlled release
of OxPt from its host-guest complex and simultaneous consumption of SPM by
carboxylated pillar[6]arene. It is anticipated that this supramolecular strategy
may be extended to other clinical anticancer drugs for decreasing their severe
side effects and improving their anticancer bioactivity, thus enriching the realm
of supramolecular chemotherapy.
PMID- 29355010
TI - Sustainable Radical Cascades to Synthesize Difluoroalkylated Pyrrolo[1,2
a]indoles.
AB - We disclose herein a photocatalytic difluoroalkylation and cyclization cascade
reaction of N-(but-2-enoyl)indoles with broad substrate scopes in up to 90%
isolated yield. This method provides sustainable and efficient access to
synthesize difluoroalkylated pyrrolo[1,2-a]indoles with a quaternary carbon
center under mild conditions.
PMID- 29355011
TI - Inhibitors of Influenza A Virus Polymerase.
AB - The propensity of influenza virus to develop resistance to commonly prescribed
drugs highlights the need for continuing development of new therapeutics.
Biological and structural investigations of the enzymatic and interaction domains
among influenza A virus polymerase subunits have broadened the target reservoir
for drug screening. With the wealth of knowledge from these studies,
identification of small-molecule and peptidic inhibitors that specifically
abrogate polymerase activity or disrupt the polymerase assembly has emerged as an
innovative and promising approach. Importantly, those domains are highly
conserved among influenza subtypes and thus minimize the emergence of drug
resistant mutants. An overview of the reported enzymatic inhibitors and protein
protein disruptors has been provided, in our effort to facilitate the development
of next-generation anti-influenza therapeutics.
PMID- 29355012
TI - Evaluation of Transport Parameters in MoS2/Graphene Junction Devices Fabricated
by Chemical Vapor Deposition.
AB - We demonstrated imaging of the depletion layer in a MoS2/graphene heterojunction
fabricated by chemical vapor deposition and obtained their transport parameters
such as diffusion length, lifetime, and mobility by using scanning photocurrent
microscopy (SPCM). The device exhibited a n-type operation, which was determined
by the MoS2 layer with a lower mobility. The SPCM revealed the presence of the
depletion layer at the heterojunction, whereas graphene provided an excellent
electrical contact for the MoS2 layer without resulting in a rectifying behavior,
even if they were anchored within a very short range. The polarity of the
photocurrent signal switched when we applied a drain-source bias voltage, from
which we extracted the potential barrier at the junction. More importantly, a
bias-dependent SPCM allowed us to simultaneously record the diffusion lengths of
both majority and minority carriers for the respective MoS2 and graphene layers.
By combining the diffusion lengths with the lifetimes measured by femtosecond
SPCM, we determined the electron and hole mobilities in each layer, from which we
found that the electron mobility (160 cm2 V-1 s-1) was higher than the hole
mobility (80 cm2 V-1 s-1) in MoS2, whereas the hole mobility (15 000 cm2 V-1 s-1)
was relatively higher in graphene.
PMID- 29355014
TI - Smooth Interfacial Scavenging for Resistive Switching Oxide via the Formation of
Highly Uniform Layers of Amorphous TaOx.
AB - We demonstrate that the inclusion of a Ta interfacial layer is a remarkably
effective strategy for forming interfacial oxygen defects at metal/oxide
junctions. The insertion of an interfacial layer of a reactive metal, that is, a
"scavenging" layer, has been recently proposed as a way to create a high
concentration of oxygen defects at an interface in redox-based resistive
switching devices, and growing interest has been given to the underlying
mechanism. Through structural and chemical analyses of Pt/metal/SrTiO3/Pt
structures, we reveal that the rate and amount of oxygen scavenging are not
directly determined by the formation free energies in the oxidation reactions of
the scavenging metal and unveil the important roles of oxygen diffusibility.
Active oxygen scavenging and highly uniform oxidation via scavenging are revealed
for a Ta interfacial layer with high oxygen diffusibility. In addition, the Ta
scavenging layer is shown to exhibit a highly uniform structure and to form a
very flat interface with SrTiO3, which are advantageous for the fabrication of a
steep metal/oxide contact.
PMID- 29355013
TI - Interaction between Ester-Type Tea Catechins and Neutrophil Gelatinase-Associated
Lipocalin: Inhibitory Mechanism.
AB - Tea is thought to alleviate neurotoxicity due to the antioxidative effect of
ester-type tea catechins (ETC). Neutrophil gelatinase-associated lipocalin (NGAL)
can sensitize beta-amyloid (Abeta) induced neurotoxicity, and inhibitors of NGAL
may relieve associated symptoms. As such, the interactions of ETC with NGAL were
investigated by fluorescence spectrometry and molecular simulation. NGAL
fluorescence is quenched regularly when being added with six processing types of
tea infusion (SPTT) and ETC. Thermodynamic analyses suggest that ETC with more
catechol moieties has a stronger binding capacity with NGAL especially in the
presence of Fe3+. (-)-Epicatechin 3-O-caffeoate (ECC), a natural product isolated
from Zijuan green tea, shows the strongest binding ability with NGAL (Kd = 15.21
+/- 8.68 nM in the presence of Fe3+). All ETC are effective in protecting nerve
cells against H2O2 or Abeta1-42 induced injury. The inhibitory mechanism of ETC
against NGAL supports its potential use in attenuation of neurotoxicity.
PMID- 29355015
TI - Spatial Atmospheric Pressure Atomic Layer Deposition of Tin Oxide as an
Impermeable Electron Extraction Layer for Perovskite Solar Cells with Enhanced
Thermal Stability.
AB - Despite the notable success of hybrid halide perovskite-based solar cells, their
long-term stability is still a key-issue. Aside from optimizing the photoactive
perovskite, the cell design states a powerful lever to improve stability under
various stress conditions. Dedicated electrically conductive diffusion barriers
inside the cell stack, that counteract the ingress of moisture and prevent the
migration of corrosive halogen species, can substantially improve ambient and
thermal stability. Although atomic layer deposition (ALD) is excellently suited
to prepare such functional layers, ALD suffers from the requirement of vacuum and
only allows for a very limited throughput. Here, we demonstrate for the first
time spatial ALD-grown SnOx at atmospheric pressure as impermeable electron
extraction layers for perovskite solar cells. We achieve optical transmittance
and electrical conductivity similar to those in SnOx grown by conventional vacuum
based ALD. A low deposition temperature of 80 degrees C and a high substrate
speed of 2.4 m min-1 yield SnOx layers with a low water vapor transmission rate
of ~10-4 gm-2 day-1 (at 60 degrees C/60% RH). Thereby, in perovskite solar
cells, dense hybrid Al:ZnO/SnOx electron extraction layers are created that are
the key for stable cell characteristics beyond 1000 h in ambient air and over
3000 h at 60 degrees C. Most notably, our work of introducing spatial ALD at
atmospheric pressure paves the way to the future roll-to-roll manufacturing of
stable perovskite solar cells.
PMID- 29355016
TI - Anisotropic Ion Diffusion and Electrochemically Driven Transport in
Nanostructured Block Copolymer Electrolytes.
AB - Nanostructured block copolymer electrolytes have the potential to enable solid
state batteries with lithium metal anodes. We present complete continuum
characterization of ion transport in a lamellar polystyrene-b-poly(ethylene
oxide) copolymer/lithium bis(trifluoromethanesulfonyl)imide (LiTFSI) electrolyte
as a function of salt concentration. Electrochemical measurements are used to
determine the Stefan-Maxwell salt diffusion coefficients [Formula: see text],
[Formula: see text], and [Formula: see text]. Individual self-diffusion
coefficients of the lithium- and TFSI-containing species were measured by pulsed
field gradient NMR (PFG-NMR). The NMR data indicate that salt diffusion is
locally anisotropic, and this enables determination of a diffusion coefficient
parallel to the lamellae, D?, and a diffusion coefficient through defects in the
lamellae, D?. We quantify anisotropic diffusion by defining an NMR morphology
factor and demonstrate that it is correlated to defect density seen by
transmission electron microscopy. We find agreement between the electrochemically
determined Stefan-Maxwell diffusion coefficients and the diffusion coefficient D?
determined by PFG-NMR. Our work indicates that the performance of nanostructured
block copolymer electrolytes in batteries is strongly influenced by ion transport
through defects.
PMID- 29355017
TI - Redox-Responsive Core-Cross-Linked Block Copolymer Micelles for Overcoming
Multidrug Resistance in Cancer Cells.
AB - Success of chemotherapy as a treatment for cancer has been often inhibited by
multidrug resistance (MDR) of the cancer cells. There is a clear need to generate
strategies to overcome this resistance. In this work, we have developed redox
responsive and core-cross-linked micellar nanocarriers using poly(ethylene
glycol)-block-poly(2-(methacryloyloxy)ethyl 5-(1,2-dithiolan-3-yl)pentanoate)
diblock copolymers (PEG-b-PLAHEMA) with tunable swelling properties for the
delivery of drugs toward drug-sensitive MDA-MB-231 and drug-resistant MDA-MB-231
(231R) cancer cells. PEG-b-PLAHEMA containing varying number of 2
(methacryloyloxy)ethyl 5-(1,2-dithiolan-3-yl)pentanoate (LAHEMA) units were
synthesized by employing the reversible addition-fragmentation chain transfer
polymerization technique. The block copolymer self-assembly, cross-linking
induced by reduction, and de-cross-linking triggered time-dependent controlled
swelling of micelles were studied using dynamic light scattering, fluorescence
spectroscopy, and transmission electron microscopy. In vitro cytotoxicity,
cellular uptake efficiency, and glutathione-responsive anticancer activity of
doxorubicin (DOX) encapsulated in core-cross-linked block copolymer micelles
(CCMs) toward both drug-sensitive and drug-resistant cancer cell lines were
evaluated. Significant reduction in IC50 was observed by DOX-loaded CCMs toward
drug-resistant 231R cancer cell lines, which was further improved by
coencapsulating DOX and verapamil (a P-glycoprotein inhibitor) in CCMs. Thus,
these reduction-sensitive biocompatible CCMs with tunable swelling property are
very promising in overcoming MDR in cancer cells.
PMID- 29355018
TI - Evidence of Tailoring the Interfacial Chemical Composition in Normal Structure
Hybrid Organohalide Perovskites by a Self-Assembled Monolayer.
AB - Current-voltage hysteresis is a major issue for normal architecture organo-halide
perovskite solar cells. In this manuscript we reveal a several-angstrom thick
methylammonium iodide-rich interface between the perovskite and the metal oxide.
Surface functionalization via self-assembled monolayers allowed us to control the
composition of the interface monolayer from Pb poor to Pb rich, which, in
parallel, suppresses hysteresis in perovskite solar cells. The bulk of the
perovskite films is not affected by the interface engineering and remains highly
crystalline in the surface-normal direction over the whole film thickness. The
subnanometer structural modifications of the buried interface were revealed by X
ray reflectivity, which is most sensitive to monitor changes in the mass density
of only several-angstrom thin interfacial layers as a function of substrate
functionalization. From Kelvin probe force microscopy study on a solar cell cross
section, we further demonstrate local variations of the potential on different
electron-transporting layers within a solar cell. On the basis of these findings,
we present a unifying model explaining hysteresis in perovskite solar cells,
giving an insight into one crucial aspect of hysteresis for the first time and
paving way for new strategies in the field of perovskite-based opto-electronic
devices.
PMID- 29355019
TI - Photoreaction Dynamics of LOV1 and LOV2 of Phototropin from Chlamydomonas
reinhardtii.
AB - Phototropin is a blue light sensor protein found in higher plants and green
algae. Photochemical reactions of a variety of differently truncated constructs
of a phototropin from Chlamydomonas reinhardtii (Cr) (LOV1, LOV1-hinge, LOV2,
LOV2-linker, and hinge-LOV2) are investigated. In the dark state, LOV1 is in
dynamic equilibrium between the monomer and dimer, and the main photochemical
reaction is dimerization of the monomer and dissociation of the dimer. On the
other hand, LOV1-hinge exists as the monomer and the photochemical reaction is
the dimerization reaction associated with the unfolding of the helix of the hinge
domain. LOV2 in the dark state is monomeric. The conformation changes after the
photoexcitation of LOV2 and LOV2-linker are minor, which differs notably from the
reaction of LOV2-Jalpha and LOV2-linker from Arabidopsis thaliana (At). The
linker region, including the Jalpha helix, is rather stable upon photoexcitation.
The helix of the hinge domain of hinge-LOV2 is slightly unfolded in the dark
state, and the major photoreaction is the dimerization event. The dark recovery
rate of LOV2 was found to decrease significantly in the presence of the hinge
domain. These photochemical properties of Cr phot are considerably different from
those of At phot regarding conformational changes and their kinetics, although Cr
phot has been reported to rescue the phot function in At. The differences and the
diversity of phots are discussed.
PMID- 29355020
TI - In Situ Generation of Cyclopentadienol Intermediates from 2,4-Dienals.
Application to the Synthesis of Spirooxindoles via a Domino Polycyclization.
AB - An efficient domino polycyclization combining different classes of pericyclic
reactions leads to complex spiroxindoles under mild conditions. This domino
process represents a rare example of an in situ formation of cyclopentadienol
derivatives from an interrupted iso-Nazarov electrocyclization of 2,4-dienals and
their use in [4 + 2] cycloaddition reactions. According to the reaction
conditions, different polycyclic architectures are obtained in good yields and
excellent diastereoselectivities.
PMID- 29355021
TI - Pd(0)-Catalyzed Intermolecular Dearomatizing [3 + 2] Spiroannulation of Phenol
Based Biaryls and Allenes.
AB - Readily available phenol-derived biaryls reacted with allenes under palladium
catalysis to provide a variety of highly valuable spiro[cyclohexane-1,1'-indene]
2,5-dien-4-ones. This new catalytic process, involving a key step of
regioselective allylative dearomatization of phenol, proceeded efficiently
through a [3 + 2] spiroannulation pathway by overcoming undesired beta-hydride
elimination. Preliminary asymmetric studies showed that high enantioselectivity
could be realized by using a commercially available PHOX ligand. Moreover, the
potential application of this method was exemplified by several further
transformations.
PMID- 29355022
TI - Probing the Conformation of an IgG1 Monoclonal Antibody in Lyophilized Solids
Using Solid-State Hydrogen-Deuterium Exchange with Mass Spectrometric Analysis
(ssHDX-MS).
AB - Therapeutic proteins are often formulated as lyophilized products to improve
their stability and prolong shelf life. The stability of proteins in the solid
state has been correlated with preservation of native higher order structure
and/or molecular mobility in the solid matrix, with varying success. In the
studies reported here, we used solid-state hydrogen-deuterium exchange with mass
spectrometric analysis (ssHDX-MS) to study the conformation of an IgG1 monoclonal
antibody (mAb) in lyophilized solids and related the extent of ssHDX to
aggregation during storage in the solid phase. The results demonstrate that the
extent of ssHDX correlated better with aggregation rate during storage than did
solid-state Fourier-transform infrared (ssFTIR) spectroscopic measurements.
Interestingly, adding histidine to sucrose at different formulation pH conditions
decreased aggregation of the mAb, an effect that did not correlate with
structural or conformational changes as measured by ssFTIR or ssHDX-MS. Moreover,
peptide-level ssHDX-MS analysis in four selected formulations demonstrated global
changes across the structure of the mAb when lyophilized with sucrose, trehalose,
or mannitol, whereas site-specific changes were observed when lyophilized with
histidine as the sole excipient.
PMID- 29355023
TI - PSO-Assisted Development of New Transferable Coarse-Grained Water Models.
AB - We have employed two-to-one mapping scheme to develop three coarse-grained (CG)
water models, namely, 1-, 2-, and 3-site CG models. Here, for the first time,
particle swarm optimization (PSO) and gradient descent methods were coupled to
optimize the force-field parameters of the CG models to reproduce the density,
self-diffusion coefficient, and dielectric constant of real water at 300 K. The
CG MD simulations of these new models conducted with various timesteps, for
different system sizes, and at a range of different temperatures are able to
predict the density, self-diffusion coefficient, dielectric constant, surface
tension, heat of vaporization, hydration free energy, and isothermal
compressibility of real water with excellent accuracy. The 1-site model is ~3 and
~4.5 times computationally more efficient than 2- and 3-site models,
respectively. To utilize the speed of 1-site model and electrostatic interactions
offered by 2- and 3-site models, CG MD simulations of 1:1 combination of 1- and 2
/3-site models were performed at 300 K. These mixture simulations could also
predict the properties of real water with good accuracy. Two new CG models of
benzene, consisting of beads with and without partial charges, were developed.
All three water models showed good capacity to solvate these benzene models.
PMID- 29355024
TI - The transition of adolescents with juvenile idiopathic arthritis or epilepsy from
paediatric health-care services to adult health-care services: A scoping review
of the literature and a synthesis of the evidence.
AB - Young people with long-term health conditions (LTCs) can face challenges when
making the transition to adult health services. This paper sought to identify
studies that assess and explore transitional care for young people with LTCs. Two
conditions were used as exemplars: juvenile idiopathic arthritis (JIA) and
epilepsy. A scoping review of the literature was conducted by using search terms
to search for papers in English between 2001 and 2016 concerning transitional
care on four databases. Qualitative papers were reviewed and synthesized using
thematic analysis. Quantitative papers using health outcomes were also
synthesized. Twenty-eight papers were selected for review. Despite the wealth of
literature concerning aspects of transitional care that are key to a successful
transition for young people with JIA or epilepsy, there is a paucity of outcomes
that define 'successful' transition and consequently a lack of reliable research
evaluating the effectiveness of transitional care interventions to support young
people moving to adult health services.
PMID- 29355025
TI - Holding children for procedures: An international survey of health professionals.
AB - Children undergoing clinical procedures can experience pain and/or anxiety. This
may result in them being unwilling to cooperate and being held still by parents
or health professionals. This study aimed to capture an international perspective
of health professionals' reported practices of holding children still for
clinical procedures. An online questionnaire was distributed through network
sampling to health professionals working with children aged under 16 years of
age. A total of 872 responses were obtained from Australia ( n = 477), New
Zealand ( n = 237) and the United Kingdom ( n = 158). Responses were from nurses
( n = 651), doctors ( n = 159) and other professionals ( n = 53). Health
professionals reported children as held still for clinical procedures quite often
(48%) or very often (33%). Levels of holding varied significantly according to
country of practice, profession, student status, length of time working within a
clinical setting, training received and the availability of resources in the
workplace. Health professionals who gained permissions (assent from children
and/or consent from parents) before procedures were less likely to hold children
still for a clinical procedure than those who did not. Holding children still for
procedures is an international practice, which is influenced by training, access
to guidance, country of practice and profession. Children's permission and
parental consent is often not sought before a child is held for a procedure to be
completed.
PMID- 29355026
TI - Efficacy Outcomes of Endovascular Versus Surgical Revascularization in Critical
Limb Ischemia: Results From a Prospective Cohort Study.
AB - Data on efficacy outcomes of endovascular versus surgical revascularization in
patients with critical limb ischemia (CLI) in contemporary practice are limited.
In this prospective cohort study, 353 consecutive patients with CLI were enrolled
and allocated to endovascular (PTA [percutaneous transluminal angioplasty]),
surgical (SURG), or no revascularization (No REVASC) after interdisciplinary
consensus. Outcome measures were sustained primary clinical success (sPCS;
survival without major amputation, repeated target extremity revascularization,
and freedom from CLI), limb salvage, and amputation-free survival. Propensity
matched Kaplan-Meier analyses and stratified log-rank tests were performed. The
PTA, SURG, and No REVASC groups consisted of 264, 62, and 27 patients,
respectively. Compared to SURG patients, PTA patients were significantly older,
had more risk factors, and more often had ischemic lesions. Propensity score
adjusted analyses showed no significant differences: sPCS was 51.3%/52.2%, limb
salvage rate 91.5%/93.7%, and major amputation-free survival 90.5%/87.2% at 12
months for PTA and SURG, respectively. Amputation-free survival for the No REVASC
group was 69% at 12 months. In conclusion, endovascular and surgical
revascularization in CLI has comparable efficacy outcomes after 12 months.
Contemporary overall outcome of patients with CLI is considerably better compared
to earlier studies.
PMID- 29355027
TI - Leisure-Time Physical Activity, Subjective Age, and Self-Rated Memory in Middle
Aged and Older Adults.
AB - Memory concerns are common in middle-aged and older adults. This study
investigated the relation of leisure-time physical activity to self-rated memory
and the possible mediating role of subjective age in this relationship in middle
aged and older adults. Cross-sectional analyses were conducted with a sample of
1,608 middle-aged and older adults from the second wave of the National Survey of
Midlife Development in the United States (MIDUS2). In a path analysis conducted
with Mplus, a higher level of leisure-time physical activity was associated with
a more positive appraisal of memory compared to others of one's age; younger
subjective age partially mediated this relationship. Neither gender nor age-group
moderated the association. Age, race, education, marital status, health status,
and negative affect were controlled for in the analyses. These findings suggest a
possible role of physical activity in countering the effects of age stereotypes
on perceived memory.
PMID- 29355028
TI - Impact of change over time in self-reported discrimination on blood pressure:
implications for inequities in cardiovascular risk for a multi-racial urban
community.
AB - OBJECTIVES: The 21st century has seen a rise in racism and xenophobia in the
United States. Few studies have examined the health implications of heightened
institutional and interpersonal racism. This study examines changes in reported
discrimination and associations with blood pressure over time among non-Latino
Blacks (NLBs), Latinos, and non-Latino Whites (NLWs) in an urban area, and
variations by nativity among Latinos. DESIGN: Data from a probability sample of
NLB, Latino, and NLW Detroit, Michigan residents were collected in 2002-2003,
with follow-up at the same addresses in 2007-2008. Surveys were completed at 80%
of eligible housing units in 2008 (n = 460). Of those, 219 participants were
interviewed at both time points and were thus included in this analysis.
Discrimination patterns across racial/ethnic groups and associations with blood
pressure were examined using generalized estimating equations. RESULTS: From 2002
to 2008, NLBs and Latinos reported heightened interpersonal and institutional
discrimination, respectively, compared with NLWs. There were no differences in
associations between interpersonal discrimination and blood pressure. Increased
institutional discrimination was associated with stronger increases in systolic
and diastolic blood pressure for NLBs than NLWs, with no differences between
Latinos and NLWs. Latino immigrants experienced greater increases in blood
pressure with increased interpersonal and institutional discrimination compared
to US-born Latinos. CONCLUSIONS: Together, these findings suggest that NLBs and
Latinos experienced heightened discrimination from 2002 to 2008, and that
increases in institutional discrimination were more strongly associated with
blood pressure elevation among NLBs and Latino immigrants compared to NLWs and US
born Latinos, respectively. These findings suggest recent increases in
discrimination experienced by NLBs and Latinos, and that these increases may
exacerbate racial/ethnic health inequities.
PMID- 29355029
TI - Utility of cardiac MRI in determining percutaneous versus surgical post
infarction ventricular septal defect repair.
AB - AIM: Postmyocardial infarction ventricular septal defect (VSD) is a rare
complication that can lead to rapid hemodynamic patient decompensation. The type
of VSD repair relies on several factors including: size, location, timing and
surgical expertise. CASE: A 63-year-old man with a ST-elevation myocardial
infarction underwent percutaneous coronary intervention of the right coronary
artery. A holosystolic murmur was notable postcatheterization, and transthoracic
echocardiogram confirmed a VSD. To characterize the VSD, a cardiac MRI
demonstrated a large, serpiginous VSD and longitudinal septal tear. Given the
anatomic complexity and stable hemodynamics, a surgical trans-left ventricular
patch repair was performed. CONCLUSION: We emphasize the importance of cardiac
magnetic resonance as a decision-making tool, utilizing imaging to ascertain the
anatomy combined with hemodynamics to determine optimal individualized therapy.
PMID- 29355031
TI - A new coumarin from stem bark of Calophyllum wallichianum.
AB - A phytochemical study carried out on the plant, Calophyllum wallichianum has led
to the isolation of a new coumarin, wallimarin T (1) and a known coumarin,
calanolide E (2) along with two common triterpenes, friedelin (3) and
stigmasterol (4). The structures of these compounds were elucidated with the aid
of spectroscopic analyses such as FT-IR, GC-MS, and NMR. MIC assay against the
Bacillus bacteria were conducted on the extracts and this gave MIC values ranging
from 0.313 to 1.25 mg/mL. Compound 2 was weakly inhibitory towards the Bacilli
strains with MIC values ranging from 0.25-0.50 mg/mL. Wallimarin T (1) was not
active towards all four bacteria. Overall, the extracts exhibited weak
bactericidal properties whereas compound 2 was not bactericidal on the tested
bacteria. The hexane and chloroform extracts of the plant were found to be
inhibitors to the growth of Bacillus megaterium, Bacillus cereus, Bacillus
pumilus and Bacillus subtilis.
PMID- 29355032
TI - A thoracic extradural chordoid meningioma: a unique case report and literature
review.
AB - We describe the unique case of a patient being diagnosed with a thoracic
extradural chordoid meningioma following her presentation with mild lower limb
pyramidal weakness and a T8 sensory level. This is the first report of an
extradural chordoid meningioma being identified in the thoracic spine. The tumour
was successfully resected through a posterior thoracic laminectomy approach. Post
operatively, her neurological deficit resolved and to date she has not
experienced a radiological recurrence. In this report, we review the literature
and discuss this unusual tumour's characteristics and prognostic significance.
PMID- 29355030
TI - Allosteric modulators of cannabinoid receptor 1: developing compounds for
improved specificity.
AB - The cannabinoid receptor 1 (CB1) is a G protein-coupled receptor (GPCR) that is
located primarily in the central nervous system. CB1 is a therapeutic target
which may impact pathways to mediate pain, neurodegenerative disorders, hunger,
and drug-seeking behavior. Despite these benefits, development of orthosteric
therapeutic compounds, which target the endogenous ligand-binding site of CB1,
has been challenging due to detrimental side effects including psychoactivity,
depression, and suicidal thoughts. However, CB1 also has an allosteric binding
site(s), which is topographically distinct from the orthosteric site. Allosteric
modulation of CB1 has a number of potential advantages including providing a
mechanism for more precise control of downstream pathways and circumventing these
side effects. In this review, we summarize the concept of allosteric modulation
and focus on the structure-activity relationship studies of the well
characterized allosteric modulators, ORG27569 and PSNCBAM-1 and their
derivatives, and a few other recent modulators. We review studies on the
properties of these modulators on CB1 signaling in cells and their effects in
vivo. While many current allosteric modulators also produce complex outcomes,
they provide new advances for the design of CB1 centered therapeutics.
PMID- 29355033
TI - The Impact of Operating Room Layout on Circulating Nurse's Work Patterns and Flow
Disruptions: A Behavioral Mapping Study.
AB - AIM: To assess how the adjacencies of functionally different areas within
operating rooms (ORs) can influence the circulating nurse's (CN) workflow
patterns and disruptions. BACKGROUND: The CN plays a significant role in
promoting patient safety during surgical procedures by observing, monitoring, and
managing potential threats at and around the surgical field. Their work requires
constant movement to different parts of the OR to support team members. The
layout of the OR and crowded and cluttered environment might impact the CN's
workflow and cause disruptions during the surgery. METHOD: A convenience sample
of 25 surgeries were video recorded and thematically coded for CN's activities,
locations, and flow disruptions. The OR layout was categorized into transitional
zones and functional zones (workstations, supply zones, support zones, and
sterile areas around the surgical table). CN's activities were classified into
patient-, equipment-, material-, and information-related activities. Flow
disruptions included those related to environmental hazards and layout. RESULTS:
The CN traveled through multiple zones during 91% of the activities. The CN's
workstation acted as a main hub from which the CN made frequent trips to both
sides of the surgical table, the foot of the OR table, supply zones, and support
zones. Transitional zones accounted for 58.3% of all flow disruption that the CN
was involved in whereas 28% occurred in areas surrounding the OR bed. CONCLUSION:
The similarity of the movement and flow disruption patterns, despite variations
in OR layout, highlighted the adjacencies required between major zones that CNs
regularly visit. These optimum adjacencies should be considered while designing
ORs such that they are more efficient and safer.
PMID- 29355034
TI - Further spectral and chromatographic studies of ambergris.
AB - Jetsam ambergris, found washed ashore on beaches, is an environmentally modified
form of a natural product of Sperm whales which sometimes develops a pleasant
odour. Odorous samples have proved valuable in perfumery. Identification of
jetsam ambergris by analysis of organic-soluble extracts by Fourier transform
infra-red spectroscopy (FTIR) and of derivatised samples by gas chromatography
mass spectrometry (GC-MS) has already been shown. Here, we describe a different
method, in which characteristic alkenic protons and carbon atoms of the major
constituent ambrein, were identified in whole extracts using nuclear magnetic
resonance spectroscopy (NMR). The advantages of employing NMR spectroscopy
included rapidity, reduced losses of volatiles compared to GC-MS and detection of
non-GC amenable constituents. However, the identities and quantities of co
occurring individual components (e.g. steroids) could not easily be assigned in
the unfractionated extracts by NMR spectroscopy, whereas they were by GC-MS, so
an approach combining FTIR, GC-MS and NMR spectroscopic methods is advocated.
PMID- 29355036
TI - Communication aid provision and use among children and adolescents developing
aided communication: an international survey.
AB - A fundamental requirement of a supportive language development for young children
who need aided communication is that an aided communication system is made
available and its use is supported. There is limited information about the age at
which children are typically provided with a communication aid or about how aided
communication is used in everyday situations. Using questionnaire-based interview
data, this study investigated (a) the pattern of provision of communication aids
to 84 children and adolescents, (b) parents' and professionals' evaluation of the
quality of communication across contexts, and (c) availability and use of aided
communication in these contexts. The age at which the participants received their
first aided system varied considerably across the group; however, most were
considerably older than the age at which children with typical development
usually begin to speak. Parents and professionals rated most everyday situations
as good communication situations but reported that the participants did not have
their main form of expressive language available in many of these situations, or
did not use it much. Parents rated their child's education in relation to aided
language positively, but many professionals indicated that they had limited
knowledge about the participant's use of aided communication outside of the
school environment, or about the parents' attitudes. The study gives insights
into the language learning situation of children and adolescents who develop
aided communication.
PMID- 29355035
TI - Simultaneous targeting therapy for lung metastasis and breast tumor by blocking
the NF-kappaB signaling pathway using Celastrol-loaded micelles.
AB - Metastasis is one of the major obstacles for successful therapy of breast tumor.
To inhibit the metastasis and growth of breast tumor simultaneously, a Celastrol
(Cela) loaded glucolipid-like conjugates (CSOSA/Cela) with alphavbeta3-ligand
Tetraiodothyroacetic acid (TET) modification (TET-CSOSA/Cela) were established to
block nuclear factor-kappa B (NF-kappaB) signaling pathway. The distribution of
TET-CSOSA was remarkably increased in lung metastasis and primary tumor of 4T1
tumor-bearing mice by means of alphavbeta3 receptor-mediated interaction. The
results demonstrated that TET-CSOSA/Cela significantly suppressed Bcl-2
activation of lung metastatic cells and reduced MMP-9 expression of 4T1 breast
tumor cells by blocking NF-kappaB. The inhibitory rates of TET-CSOSA/Cela against
lung metastasis and primary tumor were raised to 90.72 and 81.15%, compared to
those of Celastrol (72.15 and 46.40%), respectively. All results demonstrated the
alphavbeta3 receptor targeted TET-CSOSA/Cela micelles exhibited great potential
in treating lung metastasis and primary tumor simultaneously via blocking NF
kappaB signaling pathway.
PMID- 29355038
TI - The future of type 1 cannabinoid receptor allosteric ligands.
AB - Allosteric modulation of the type 1 cannabinoid receptor (CB1R) holds great
therapeutic potential. This is because allosteric modulators do not possess
intrinsic efficacy, but instead augment (positive allosteric modulation) or
diminish (negative allosteric modulation) the receptor's response to endogenous
ligand. Consequently, CB1R allosteric modulators have an effect ceiling which
allows for the tempering of CB1R signaling without the desensitization,
tolerance, dependence, and psychoactivity associated with orthosteric compounds.
Pain, movement disorders, epilepsy, obesity are all potential therapeutic targets
for CB1R allosteric modulation. Several challenges exist for the development of
CB1R allosteric modulators, such as receptor subtype specificity, translation to
in vivo systems, and mixed allosteric/agonist/inverse agonist activity. Despite
these challenges, elucidation of crystal structures of CB1R and compound design
based on structure-activity relationships will advance the field. In this review,
we will cover recent progress for CB1R allosteric modulators and discuss the
future promise of this research.
PMID- 29355037
TI - Neoadjuvant and adjuvant treatment in high-risk prostate cancer.
AB - INTRODUCTION: High-risk prostate cancer (HRPCa) represents a heterogeneous
disease with potential risk for local and distant progression. In these patients,
a multi-modal approach consisting of neoadjuvant and/or adjuvant systemic
therapies has been proposed. The aim of this review is to summarize the emerging
roles of neoadjuvant and adjuvant therapies in HRPCa patients. Areas covered:
This review collects the most relevant phase III randomized controlled trials
(RCTs) testing the effect of neoadjuvant and adjuvant systemic therapies in
combination with radical prostatectomy (RP) or radiotherapy (RT) for HRPCa
patients. Specifically, the review examines the benefit provided by androgen
deprivation therapy (ADT), chemotherapy (CHT), and novel antiandrogen agents in
this setting. A search of bibliographic databases for peer-reviewed literature
was conducted. Expert commentary: Three decades of RCTs demonstrated that
adjuvant ADT is fundamental in HRPCa treated with RT. Conversely, ADT and CHT did
not improve the survival of HRPCa patients managed with RP. The recent
introduction of novel antiandrogen agents combined with an appropriated selection
of patients at risk of cancer progression, may ultimately extend the indication
of neoadjuvant and adjuvant therapy in surgical- and radio-treated patients.
PMID- 29355039
TI - Protective effects of 3beta-angeloyloxy-8beta, 10beta-dihydroxyeremophila-7(11)
en-12, 8alpha-lactone on paraquat-induced oxidative injury in SH-SY5Y cells.
AB - 3beta-Angeloyloxy-8beta,10beta-dihydroxyeremophila-7(11)-en-12,8alpha-lactone
(FJ1) inhibited effectively paraquat (PQ)-induced injury in SH-SY5Y cells. In
this way, FJ1 was shown to reverse the PQ-induced activation of caspase-9 and
caspase-3, the increase in Bax/Bcl-2 ratio, and the release of cytochrome c. The
mechanism was associated with a reduction of oxidative stress, including the
decrease in the levels of ROS and MDA and maintaining the activity of SOD and
GSH. Taken together, findings revealed that FJ1 had protective effects against PQ
induced injury via attenuating the oxidative stress in SH-SY5Y cells, which
suggested that FJ1 might be a candidate for further evaluation against
neurodegeneration in Parkinson's disease.
PMID- 29355040
TI - Depression and anxiety in patients with chronic heart failure.
PMID- 29355041
TI - Solving the problem of dose optimization of children's medicines.
PMID- 29355042
TI - One new indolocarbazole alkaloid from the Streptomyces sp. A22.
AB - One new indolocarbazole alkaloid, 12-N-methyl-k252c, together with eight known
indolocarbazoles were isolated from the rice solid fermentation of the marine
derived Streptomyces sp. A22. Their structures were elucidated on the basis of
spectroscopic methods (UV, IR, HRESITOF MS, 1D NMR and 2D NMR). All of these
compounds were evaluated for bromodomain-containing protein 4 (BRD4) inhibitory
activities and cytotoxic activity assay, respectively. Compounds 4 and 5 showed
moderate cytotoxic activity with an IC50 value of 3.52 and 3.93 MUM,
respectively. Additionally, compound 1 also was tested for enzyme inhibition
activities of protein kinases and showed moderate activity with IC50 values of
0.91-1.84 MUM.
PMID- 29355043
TI - Combination of separation and spectroscopic analytical techniques: application to
compositional analysis of a minor citrus species.
AB - The composition of juice and essential oil of Citrus limetta Risso was
investigated. Multidimensional and enantio-gas chromatography were used for the
elucidation of the volatile profile and the assessment of enantiomeric
distribution. Predominant compounds were linalyl acetate (13.06 g/100 g), beta
pinene (6.79 g/100 g), myrcene (1.40 g/100 g) and sabinene (1.05 g/100 g).
Through a beta-cyclodextrin column eight chiral pairs were separated, equally
distributed between laevorotatory and dextrorotatory enantiomers. Liquid
chromatography with triple quadrupole ESI-MS and PDA detection showed the
presence of flavonoids and phenolic compounds (791.34 +/- 36.83 and 32.97 +/-
1.92 mg L-1, respectively) in the juice. Sugars (i.e. glucose 202.23 +/- 26.81
and fructose 146.73 +/- 3.17 mM, respectively), aminoacids (i.e. proline 29.40 +/
3.78 and GABA 8.90 +/- 0.95 mM) and organic acids (i.e. citrate 4.68 +/- 0.81
mM) were determined in juice by means of 1H NMR spectroscopy.
PMID- 29355044
TI - Introduction to the special issue on aided language processes, development, and
use: an international perspective.
AB - This introduction to the Special Issue discusses current theoretical approaches
to language development and their application to aided language development. It
also discusses some conceptual issues and aspects of aided language development
that are relevant for the articles, and gives a short overview of the articles.
PMID- 29355045
TI - Cardio-oncology: an evolving hybrid subspecialty.
PMID- 29355046
TI - Feasibility and Preliminary Efficacy of Tailored Yoga in Survivors of Head and
Neck Cancer: A Pilot Study.
AB - PURPOSE: Treatment for head and neck cancer (HNC) results in long-term toxicities
and increased physical and psychosocial survivor burden. There are a limited
number of treatments for these late effects. Yoga postures, breath work,
relaxation, and meditation, may improve these late effects. The purpose of this
study was to examine the feasibility of a tailored yoga program in HNC survivors
and obtain preliminary efficacy data. METHODS: This was a randomized wait-list
control study of yoga-naive HNC survivors who were >3 months post-cancer
treatment. Baseline data were collected. Participants were randomized to either
an 8-week hatha yoga intervention group or a wait-list group. Feasibility and
efficacy data were collected. At 4 and 8 weeks, patients underwent a repeat
assessment of health. Wait-list control group participants were offered the yoga
program after data collection. Descriptive statistics evaluated feasibility.
Mixed effects general linear models were used to generate estimates of the
efficacy outcomes. RESULTS: Seventy-three individuals were screened and 40 were
eligible. All eligible individuals consented and enrolled. Five of the
intervention group discontinued early and none in the wait-list control group.
Feasibility was affirmed as participants were recruited and retained in the
study, there were no adverse events, fidelity to protocol was demonstrated, and
satisfaction rates were high. Efficacy measures indicated potential benefit for
shoulder range of motion ( d = 0.57-0.86, P < .05), pain ( d = 0.67-0.90, P <=
.005), and anxiety ( d = 0.59, P = .015). CONCLUSION: A tailored hatha yoga
program is feasible and potentially efficacious for HNC survivors. Preliminary
data supports further investigation of yoga in this population is needed.
PMID- 29355047
TI - Type 1 long QT syndrome and psychological stress in a laboratory setting.
AB - Trait-like sensitivity to stress in long QT syndrome patients has been documented
previously. In addition, mental stress has been associated with symptomatic
status of long QT syndrome. We examined whether the symptomatic type 1 long QT
syndrome patients would be more sensitive to mental stress compared to
asymptomatic patients and whether there would be differences in task-related
physiological stress reactions between type 1 long QT syndrome patients and
healthy individuals. The study population consisted of 21 symptomatic and 23
asymptomatic molecularly defined KCNQ1 mutation carriers, their 32 non-carrier
relatives and 46 non-related healthy controls, with mean ages of 37, 39, 35 and
23 years, respectively. Electrocardiography was utilised to calculate inter-beat
interval and high frequency and low frequency heart rate variability. Blood
pressure was measured and mean arterial pressure and pulse pressure were
calculated. Stress was induced using three different tasks: mental arithmetic,
reaction time and public speech. Stress responses of symptomatic and asymptomatic
type 1 long QT syndrome patients were not statistically different in any of the
stress tasks. Short-term physiological stress reactivity of symptomatic type 1
long QT syndrome patients appears to be normal and does not enhance the risk
assessment of asymptomatic mutation carriers.
PMID- 29355049
TI - Applying the Common Sense Model to predicting quality of life in alopecia areata:
The role of illness perceptions and coping strategies.
AB - Applying the Common Sense Model, this cross-sectional study examines associations
between illness perceptions and quality of life and the mediating role of coping
in 243 adults with alopecia areata, a chronic dermatological condition. At least
some QoL impairment was reported by 84 percent of participants, with 31 percent
reporting very to extremely large impairment. Stronger perceptions of
consequences, emotional representations, identity, and lower attribution to
chance were related to more impairment, with avoidant coping acting as (partial)
mediator. Illness perceptions and avoidant coping seem to play an important role
in QoL and are relevant intervention targets in alopecia areata.
PMID- 29355048
TI - Making meaning of cancer: A qualitative analysis of oral-digestive cancer
survivors' reflections.
AB - This qualitative study aimed to confirm and extend research on meaning making
after cancer. In all, 119 adults aged 41 to 88 years ( M = 65.50 years and
standard deviation = 9.16 years) were interviewed 12 months after diagnosis of
oral-digestive cancers. About half tried to understand why they got cancer (43%)
and said that cancer changed their view of life (53%). Most (75%) reported that
previous life experiences helped them cope with cancer. Cancer survivors made
meanings in the areas of existential, social, and personal domains with both
positive and negative content. Practitioners may wish to examine meaning making
in these areas for those in distress after cancer.
PMID- 29355050
TI - Big Five personality and health in adults with and without cancer.
AB - Personality is associated with health, but examinations in patients with
illnesses are lacking. We aimed to determine whether personality-physical health
associations differed between community and cancer samples. This cross-sectional
study involved 168 participants without cancer, 212 men with prostate cancer, and
55 women with breast cancer. We examined whether the Big Five personality
dimensions were associated with health behaviors and multiple health indicators.
Higher conscientiousness and lower neuroticism were associated with better health
behaviors and health ( rmax = .31), with few differences between community and
cancer samples. Findings call for research on the implications of personality in
patients with serious illnesses.
PMID- 29355051
TI - Fraction From Lycium barbarum Polysaccharides Reduces Immunotoxicity and Enhances
Antitumor Activity of Doxorubicin in Mice.
AB - The aim of the present study was to investigate whether fraction from Lycium
barbarum polysaccharide (LBP) could reduce immunotoxicity and enhance antitumor
activity of doxorubicin (Dox) in mice. A water-soluble LBP fraction, designated
LBP3, was isolated from edible Chinese herbal Lycium barbarum and used in this
study. To investigate the effect of LBP3 on Dox-induced immunotoxicity, tumor
free mice were used and treated with either normal saline, Dox, or Dox plus LBP3.
To investigate the effect of LBP3 on antitumor activity of Dox, H22 tumor-bearing
mice were used and treated with either normal saline, Dox, LBP3, or Dox plus
LBP3. The results showed that LBP3 did not protect against the body weight loss
caused by Dox, but it promoted the recovery of body weight starting at day 5
after Dox treatment in tumor-free mice. LBP3 also improved peripheral blood
lymphocyte counts, promoted cell cycle recovery in bone marrow cells, and
restored the cytotoxicity of natural killer cells. Furthermore, in H22 tumor
bearing mice, LBP3 enhanced antitumor activity of Dox and improved peripheral
blood lymphocyte counts and the cytotoxicity of splenocytes. In brief, our
results demonstrated that LBP3 could reduce the immunotoxicity and enhance
antitumor activity of Dox.
PMID- 29355052
TI - Injectable calcium phosphate scaffold with iron oxide nanoparticles to enhance
osteogenesis via dental pulp stem cells.
AB - Literature search revealed no systematic report on iron oxide nanoparticle
incorporating calcium phosphate cement scaffolds (IONP-CPC). The objectives of
this study were to: (1) use gammaFe2O3 nanoparticles (gammaIONPs) and alphaFe2O3
nanoparticles (alphaIONPs) to develop novel IONP-CPC scaffolds, and (2)
investigate human dental pulp stem cells (hDPSCs) seeding on IONP-CPC for bone
tissue engineering for the first time. IONP-CPC scaffolds were fabricated.
Physiochemical properties of IONP-CPC scaffolds were characterized. hDPSC seeding
on scaffolds, cell proliferation, osteogenic differentiation and bone matrix
mineral synthesis by cells were measured. Our data demonstrated that the
osteogenic differentiation of hDPSCs was markedly enhanced via IONP incorporation
into CPC. Substantial increases (about three folds) in ALP activity and
osteogenic gene expressions were achieved over those without IONPs. Bone matrix
mineral synthesis by the cells was increased by two- to three folds over that
without IONPs. The enhanced cellular osteogenesis was attributed to: (1) the
surface nanotopography of IONP-CPC scaffold, and (2) the cell internalization of
IONPs released from IONP-CPC scaffold. Our results demonstrate that the novel CPC
functionalized with IONPs is promising to promote osteoinduction and bone
regeneration. In conclusion, it is highly promising to incorporate gammaIONPs and
alphaIONPs into CPC scaffold for bone tissue engineering, yielding substantially
better stem cell attachment, spreading and osteogenic differentiation, and much
greater bone mineral synthesis by the seeded cells. Therefore, novel CPC
scaffolds containing gammaIONPs and alphaIONPs are promising for dental,
craniofacial and orthopaedic applications to substantially enhance bone
regeneration.
PMID- 29355053
TI - Harpagoside-induced anaphylactic reaction in an IgE-independent manner both in
vitro and in vivo.
AB - BACKGROUND: Harpagoside (HAR) is an active component of Scrophularia ningpoensis
(SN), which has anti-inflammatory and anti-immune effects. SN is used widely in
China to treat various diseases. Recently, SN has been used as a traditional
Chinese medicine injection and used clinically. However, allergic responses to
these injections are frequently reported. AIM: We examined whether the main
component of SN, HAR, is associated with the allergic reaction to SN. METHODS:
This study assessed the effects of HAR in mice and mast cell activation to
characterize its anaphylactic effects and underlying mechanisms. Mice hindpaw
swelling, serum allergy factor detection, enzyme-linked immunosorbent assays, and
degranulation assays were performed to measure allergic mediators both in vivo
and in vitro. RESULTS: The present study indicated that HAR induced paw swelling,
interleukin-6, inositol triphosphate, tumor necrosis factor-alpha, and histamine
increases in mice. Our in vitro data also showed that HAR induced beta
hexosaminidase, inositol triphosphate, and interleukin-6 release, leading to mast
cell degranulation. In contrast, neither C48/80 nor HAR induced local anaphylaxis
in STOCK KitW-sh/HNihrJaeBsmJNju mice. CONCLUSIONS: HAR is a potential
sensitization compound in SN, and these results provide information for the safe
clinical use of SN.
PMID- 29355055
TI - Recruiting ENT and Audiology patients into pharmaceutical trials: evaluating the
multi-centre experience in the UK and USA.
AB - OBJECTIVE: Recruiting into clinical trials on time and on target is a major
challenge and yet often goes unreported. This study evaluated the adjustment to
procedures, recruitment and screening methods in two multi-centre pharmaceutical
randomised controlled trials (RCTs) for hearing-related problems in adults.
DESIGN: Recruitment monitoring and subsequent adjustment of various study
procedures (e.g. eligibility criteria, increasing recruiting sites and
recruitment methods) are reported. Participants were recruited through eight
overarching methods: trial registration, posters/flyers, print publications,
Internet, social media, radio, databases and referrals. The efficiency of the
recruitment was measured by determining the number of people: (1) eligible for
screening as a percentage of those who underwent telephone pre-screening and (2)
randomised as a percentage of those screened. STUDY SAMPLE: A total of 584
participants completed the pre-screening steps, 491 screened and 169 participants
were randomised. RESULTS: Both RCTs completed adjustments to the participant
eligibility, added new study sites and additional recruitment methods. No single
recruitment method was efficient enough to serve as the only route to enrolment.
CONCLUSION: A diverse portfolio of methods, continuous monitoring, mitigation
strategy and adequate resourcing were essential for achieving our recruitment
goals.
PMID- 29355056
TI - Anti-inflammatory effects of trans-cinnamaldehyde on lipopolysaccharide
stimulated macrophage activation via MAPKs pathway regulation.
AB - OBJECTIVES: Inflammation is a primary response of the innate immune system
against various infections. Macrophages are a type of immune cell that have a
critical role in the inflammation. Recent studies reported that various natural
compounds could regulate immune responses such as inflammation. Trans
cinnamaldehyde (TCA) is a natural compound from cinnamon, especially abundant in
cinnamon bark. Previous studies reported that TCA has anti-biofilm, anti
microbial, and anti-cancer activities. However, the anti-inflammatory effects and
the mechanism of TCA on macrophages are still unknown. MATERIALS AND METHODS: Raw
264.7 murine macrophage cells were used in this study. Major assays were MTT,
Griess assay, Western blot, enzyme-linked immunosorbent assay (ELISA) and reverse
transcription (RT)-PCR analysis. RESULTS: In this study, we investigated the anti
inflammatory effects of TCA on the RAW 264.7 murine macrophage cell line. TCA
significantly decreased lipopolysaccharide (LPS)-induced nitric oxide (NO)
production in a dose-dependent manner. Moreover, TCA treatment significantly
reduced mRNA expression and protein expression of inducible NO synthase (iNOS) in
LPS-stimulated macrophages in a dose-dependent manner. TCA treatment also
diminished the mRNA expression level and secretion of IL-1beta, IL-6 and TNF
alpha in LPS-activated macrophages. TCA elicited the anti-inflammatory effects by
inhibiting ERK, JNK and p38 MPAKs phosphorylation in the cells. DISCUSSION AND
CONCLUSION: TCA elicits the anti-inflammatory effects on LPS-stimulated
macrophage activation via suppression of MAPKs phosphorylation, and pro
inflammatory gene expression. Therefore, this study provides important
information regarding the use of TCA as a candidate therapeutic agent against
inflammation.
PMID- 29355057
TI - Comparison of laser ablation using multidirectional and forward-firing fibers in
breast cancer.
AB - BACKGROUND: The aim of this study was to compare the therapeutic effect of laser
ablation using the forward-firing fiber and the multidirectional-firing fiber for
breast cancer treatment with pathologic results. MATERIAL AND METHODS: An ex vivo
study of laser ablation was conducted using normal breast and breast cancer
tissue. Each ablated area was demarcated into three zones, and the temperature
was measured. Laser ablations using multidirectional and forward-firing types of
fiber were compared regarding the shape, diameter and aspect ratio of the ablated
lesions. RESULTS: The ablated lesions were classified into three zones: a
carbonized zone with complete tissue loss; a coagulated zone with no viable
cells; and a non-damaged zone. The shape of the ablated lesion was elliptical
using the forward-firing fiber and round using the multidirectional-firing fiber.
Compared with normal breast tissue, breast cancer tissue required a more powerful
setting for laser ablation to achieve necrosis, and the aspect ratio of the
thermal lesion was higher for laser ablation using the multidirectional-firing
fiber. CONCLUSIONS: The experimental results on breast tissue have shown that
multidirectional-firing fiber is more effective than using forward-firing fibers
and that this may prove to be another feasible therapeutic option for management
of breast cancer.
PMID- 29355058
TI - The relative predictive value of undergraduate versus graduate selection tools in
two Australian medical schools.
AB - CONTEXT: Monash University and the University of Western Australia admit both
school-leavers and graduates into their Bachelor of Medicine and Bachelor of
Surgery (MBBS) courses. The Undergraduate Medicine and Health Sciences Admission
Test (UMAT) and the Graduate Medical Schools Admissions Test (GAMSAT) are used
for selection, along with an academic score and an interview score. The aim of
this study was to compare the relative predictive validity of the selected
components in the two entry streams, particularly UMAT versus GAMSAT. METHODS:
Aggregated scores for course outcomes were calculated in the categories of
knowledge, clinical and total scores, at four-time points. A path analysis was
conducted based on multivariate regressions with model constraint parameters
defined across the outcome variables to investigate change over time. RESULTS:
Academic scores were the strongest predictors of knowledge scores and end of
course results. Interview scores had a small positive increasing effect, being
stronger for clinical than knowledge outcomes. The effect size for GAMSAT was
greater than for UMAT. CONCLUSIONS: Aptitude tests and interview scores added
small but significant incremental predictive value to previous academic
achievement. GAMSAT showed larger predictive value on outcomes than UMAT, for
which one section (UMAT 3) had a negative effect.
PMID- 29355059
TI - The choice of stimulation strategy affects the ability to detect pure tone inter
aural time differences in children with early bilateral cochlear implantation.
AB - OBJECTIVES: To investigate if the interaural time difference (ITD) ability is
dependent of stimulation strategy. To examine the correlation between ITD,
interaural level differences (ILD) and the ability to localize different sounds.
METHODS: Thirty subjects aged 8-13 who were implanted bilaterally before 3 years
of age were tested. Twenty of the subjects used processors programmed with fine
structure (FS) strategy on both sides. ITD and ILD just noticeable difference
(JND) of a 250 Hz pure tone was measured using their clinical processors.
Furthermore, their ability to localize sound in the horizontal plane was measured
using eye tracking. RESULTS: Ten of the 20 subjects with FS obtained an ITD
threshold compared to none in the group without FS (0/10). ILD JND was correlated
to localization ability of the broadband (BB) sound. Mean absolute error of the
localization of a low-frequency (LF) sound was larger than that of a BB sound.
CONCLUSIONS: The ability to detect ITD was present only when the cochlear implant
stimulation had FS. The LF sound was more difficult to localize than the BB sound
and ITD ability of FS strategies did not affect the localization ability of
either sound. A low ILD seems necessary to improve the localization ability.
PMID- 29355060
TI - Investigating superiority of novel bilosomes over niosomes in the transdermal
delivery of diacerein: in vitro characterization, ex vivo permeation and in vivo
skin deposition study.
AB - Skin is considered the most accessible organ of the body because of its
underlying capillary network. However, stratum corneum (SC), the upper most layer
of skin, represents major diffusional barrier for most drugs. Hence, the use of
edge activators (EAs) in designing novel elastic vesicles is hypothesized to
impart their lipid bilayer with ultra-flexibility to trespass SC by high self
optimizing deformability. To confirm this hypothesis, this work aimed at
developing novel bilosomes by modulating conventional niosomal composition using
different bile salts as EAs and investigating their superiority over niosomes for
transdermal delivery of diacerein (DCN), as model drug. Bilosomes were prepared
by thin film hydration (TFH) technique according to full 31.22 factorial design
to select the optimal formulation using Design-Expert(r) software. The optimal
bilosomes (B6) showed nanosized vesicles (301.65 +/- 17.32 nm) and 100.00 +/-
0.00 % entrapment efficiency. Ex vivo permeation studies and in vivo evaluation
revealed that B6 exhibited superior permeation and drug retention capacity
compared to the conventional niosomal formulation and drug suspension.
Furthermore, B6 was subjected to in vivo histopathological study using male
Wistar rats which ensured its safety for topical application. Overall, the
results confirmed the hypothesized superiority of bilosomes over niosomes for
enhancing DCN flux across the skin.
PMID- 29355061
TI - The impact of maternal body mass index on external cephalic version success.
AB - OBJECTIVE: The purpose of this study is to determine the association between body
mass index (BMI) and success of ECV. METHODS: This is a cross-sectional analysis
of singleton live births in the USA from 2010 to 2014 using birth certificate
data. Patients were assigned a BMI category according to standard WHO
classification. Comparisons of success of ECV between the BMI categories were
made using chi-square analysis with normal BMI as the reference group. Cochran
Armitage test was performed to look for a trend of decreasing success of ECV as
BMI increased. The odds for successful ECV were estimated using multivariate
logistic regression analysis, adjusting for possible confounders. RESULTS: A
total of 51,002 patients with documented ECV were available for analysis. There
was a decreased success rate for ECV as BMI increased (p < .01). Women with a BMI
of 40 kg/m2 or greater had a 58.5% success rate of ECV; women with a normal BMI
had 65.0% success rate of ECV. Multivariate analyses demonstrated significant
decrease in success of ECV in women with BMI of 40 kg/m2 or greater (OR 0.621, CI
0.542-0.712). Among women with BMI of 40 kg/m2 or greater with successful ECV,
59.5% delivered vaginally. In contrast, 81.0% of women with normal BMI and
successful ECV delivered vaginally. CONCLUSIONS: Morbidly obese women have
decreased success rate of ECV as BMI increases and decreased vaginal delivery
rates after successful ECV.
PMID- 29355062
TI - Effects of gestational and pregestational diabetes mellitus on the foetal heart:
a cross-sectional study.
AB - We examined the foetal cardiac structural and functional characteristics in
diabetic pregnancies versus non-diabetic, healthy pregnancies. Between August
2015 and April 2016, 32 pregnant women with pregestational diabetes, 36 pregnant
women with gestational diabetes, and 42 healthy pregnant women were scheduled to
have foetal echocardiograms to assess cardiac structure and function. In the
diabetic groups, the foetal interventricular septum (IVS) thickness was
significantly greater than in non-diabetics (p < .05) but none had an IVS >2 SD
from normal. The peak velocity of tricuspid E, and the E/A ratio were
significantly lower in the diabetic groups (p < .05). Tricuspid valve Ea values
and the Ea/Aa ratio were lower in the diabetic group than in the control group (p
< .05) but there was no significant difference between the pre-GDM and GDM groups
(p > .05). Interventricular septal hypertrophy is the most common structural
abnormality in diabetic pregnancies. These changes do not pose a risk to the
foetal unless they cause functional impairment. Thus, we believe that it is
important for diabetic pregnant women to be monitored for foetal cardiac
diastolic dysfunction. Impact statement What is already known on this subject?
Pregestational insulin-dependent diabetes mellitus is a relatively common
condition in pregnancy, affecting up to 0.5% of the pregnant population. Foetuses
of diabetic mothers are at an increased risk of perinatal morbidity and death.
Gestational diabetes mellitus is under-recognised and affects up to 4% of
pregnancies. Although diabetes mellitus is known to increase the risk of
cardiovascular defects and structural changes (myocardial hypertrophy and
diastolic dysfunction) due to foetal hyperglycaemia and hyperinsulinism, similar
data in women with gestational diabetes is scarce. Moreover, the effect of
maternal hyperglycaemia on foetal cardiac structure and function is unclear
because of discordant results from previous studies. What do the results of this
study add? In this study, we have used foetal echocardiography, two-dimensional
US, pulsed wave Doppler and TDI to characterise the foetal cardiac structure and
function in normal pregnancies as well as in the pregnancies complicated by GDM,
and pregestational DM. Interventricular septum thickness is increased in women
with pregestational diabetes mellitus and impaired diastolic function. The
dominant right ventricle of the foetal circulation was affected earlier than the
left ventricle. What are the implications of these findings for clinical practice
and/or further research? Large population-based studies are required to establish
the absolute risk of congenital heart defects in patients with pregestational
diabetes and pregestational diabetes in the utility of routine screening.
PMID- 29355063
TI - Using traditional or flipped classrooms to teach "Geriatrics and Gerontology"?
Investigating the impact of active learning on medical students' competences.
AB - BACKGROUND: The present study aims to investigate the effect of two educational
strategies to teach geriatrics (flipped classroom-FL and traditional lectures-TR)
in relation to a control group (no intervention) on students' competences.
METHOD: An intervention study was conducted during the third year of medicine.
Two different educational strategies (flipped classroom and traditional lectures)
were incorporated into a theoretical-practical discipline of geriatrics. Students
were evaluated about their attitudes towards older persons (Maxwell-Sullivan,
UCLA geriatric attitudes), empathy (Maxwell-Sullivan), knowledge (Palmore and
cognitive knowledge), skills (standardized patient assessment), and satisfaction
with the activities. RESULTS: A total of 243 students were assessed. The FL group
demonstrated greater gains in knowledge among students and improved attitude
compared to the TR. We found no differences in the skills using a standardized
patient. In addition, students exposed to FL felt more prepared to treat older
people, believed they had more knowledge, were more satisfied, and evaluated the
discipline's format better in relation to the traditional group. CONCLUSIONS:
Strategies in teaching geriatrics can impact students' knowledge, attitudes, and
satisfaction with the course. We found that the way this teaching is delivered
can influence students' learning, since there were differences between active and
traditional strategies.
PMID- 29355064
TI - How learners learn: A new microanalytic assessment method to mapdecision-making.
AB - BACKGROUND: Microanalytic techniques have shown considerable potential as avenues
for understanding learning in a range of learning contexts. If a microanalytic
approach is to be tested for utility, a suitable learning context is required. We
chose problem-based learning (PBL) tutorials as our context. AIMS: We sought to
determine if a new microanalytic approach is suitable for investigating the
learning decisions made by students during PBL and what this form of
microanalysis reveals. METHODS: Stimulated recall interviews were used to
question 17 first year graduate-entry medical students regarding the conscious
decisions behind their actions during one PBL case. Responses were categorized
and used to construct process maps to illustrate the students' decision-making.
These maps and the decisions within them were analyzed focusing on how learners
learn. RESULTS: Stimulated recall interviewing (SRI) was conducted, during which
students could articulate the conscious decisions they made during PBL. The data
collected were used to construct 191 process maps and 802 categorized decisions
for analysis. Students' decisions became increasingly self-centered as the case
progressed while maintaining an awareness of group dynamics. CONCLUSIONS: The
microanalytic approach employed in this study is a suitable tool for
understanding the nature of learning in this, and other environments.
PMID- 29355065
TI - Effect of combination of vitamin E and umbilical cord-derived mesenchymal stem
cells on inflammation in mice with acute kidney injury.
AB - BACKGROUND: The objective of this study is to investigate the effect of
combination of umbilical cord-derived mesenchymal stem cell (UC-MSC) and vitamin
E (VitE) on inflammation in mice with acute kidney injury (AKI). METHODS: UC-MSCs
were isolated from pregnant wistar mice and cultured. A total of 90 female wistar
mice were randomly divided into control group, AKI group, AKI + VitE group, AKI +
UC-MSC group, and AKI + VitE + UC-MSC group (18 mice in each group) which were
given no treatment, normal saline, VitE, UC-MSC, and VitE + UC-MSC, respectively.
The renal pedicles on both sides were clipped for 50 min with micro-artery clips
to induce AKI. Six mice were sacrificed at days 1, 3, and 7, while blood and
kidney tissues were collected to detect levels of blood urea nitrogen (BUN) and
creatinine (Scr). Kidney tissues were stained by HE staining to observe
pathological changes; levels of interleukin-lbeta, TNF-alpha, interleukin-10, and
beta-FGF were measured by ELISA. RESULTS: Compared with the control group, AKI
mice showed higher levels of serum BUN and Scr, tubular swelling and necrosis
suggesting that AKI model was successfully established. Mice in AKI + VitE group,
AKI + UC-MSC group, and AKI + VitE + UC-MSC presented better renal function than
mice of AKI group. Mice from AKI + VitE + UC-MSC group showed the best renal
function with the least renal tubular injury (p < .05). ELISA detection revealed
that pro-inflammatory cytokines were significantly increased and anti
inflammatory cytokine levels were significantly decreased in all time points (p <
.05). VitE, UC-MSC, and VitE + UC-MSC resulted in the increase of anti
inflammatory cytokine levels and reduction of pro-inflammatory cytokine levels
and the combination of VitE and UC-MSC performed favorable effect in the
suppression of inflammation in AKI mice (p < .05). CONCLUSIONS: Combination of UC
MSC and VitE significantly inhibited inflammatory reaction in kidney through the
regulation of inflammatory cytokines in the microenvironment of kidney with AKI.
Combination of UC-MSC and VitE presented therapeutic effect on AKI than the
single use of UC-MSC or VitE.
PMID- 29355066
TI - Circadian- and Light-driven Metabolic Rhythms in Drosophila melanogaster.
AB - Complex interactions of environmental cues and transcriptional clocks drive
rhythmicity in organismal physiology. Light directly affects the circadian clock;
however, little is known about its relative role in controlling metabolic
variations in vivo. Here we used high time-resolution sampling in Drosophila at
every 2 h to measure metabolite outputs using a liquid-chromatography tandem mass
spectrometry (LC-MS/MS) approach. Over 14% of detected metabolites oscillated
with circadian periodicity under light-dark (LD) cycles. Many metabolites peaked
shortly after lights-on, suggesting responsiveness to feeding and/or activity
rather than the preactivity anticipation, as observed in previous transcriptomics
analyses. Roughly 9% of measured metabolites uniquely oscillated under constant
darkness (DD), suggesting that metabolite rhythms are associated with the
transcriptional clock machinery. Strikingly, metabolome differences between LD
and constant darkness were observed only during the light phase, highlighting the
importance of photic input. Clock mutant flies exhibited strong 12-h ultradian
rhythms, including 4 carbohydrate species with circadian periods in wild-type
flies, but lacked 24-h circadian metabolic oscillations. A meta-analysis of these
results with previous circadian metabolomics experiments uncovered the
possibility of conserved rhythms in amino acids, keto-acids, and sugars across
flies, mice, and humans and provides a basis for exploring the chrono-metabolic
connection with powerful genetic tools in Drosophila.
PMID- 29355067
TI - An attempt to measure the diametric relationship between slow and quick phases of
nystagmus.
AB - OBJECTIVE: To investigate whether our original method can precisely evaluate the
angle between slow and quick phases of nystagmus (vector angle) and to determine
whether vector angle analysis is helpful in differentiating between horizontal
nystagmus and mixed nystagmus with horizontal and vertical components. METHODS:
We included 20 healthy volunteers, 17 patients with horizontal nystagmus, and 15
patients with mixed nystagmus. Caloric nystagmus was recorded in healthy
volunteers; positional nystagmus was recorded in each patient. We extracted the
velocity of nystagmus from eye movement of each subject and analysed the vector
angle. RESULTS: In caloric nystagmus, the vector angle approached 180 degrees as
slow-phase velocity increased, suggesting that our vector angle measurement is
more reliable with faster nystagmus. Importantly, in horizontal nystagmus from
peripheral vestibular disease, the vector angle similarly approached 180 degrees
as slow-phase velocity increased; in contrast, the vector angle in cases of mixed
nystagmus from vertebrobasilar insufficiency or spinocerebellar degeneration
significantly differed from the angle of caloric nystagmus. CONCLUSIONS: Vector
angle analysis using our original algorithm can precisely evaluate the diametric
relationship in vestibular nystagmus; it may be helpful in diagnosis of non
peripheral vestibular disorders.
PMID- 29355068
TI - Predicting performance of junior doctors: Association of workplace based
assessment with demographic characteristics, emotional intelligence, selection
scores, and undergraduate academic performance.
AB - INTRODUCTION: Predicting workplace performance of junior doctors from before
entry or during medical school is difficult and has limited available evidence.
This study explored the association between selected predictor variables and
workplace based performance in junior doctors during their first postgraduate
year. METHODS: Two cohorts of medical students (n = 200) from one university in
Western Australia participated in the longitudinal study. Pearson correlation
coefficients and multivariate analyses utilizing linear regression were used to
assess the relationships between performance on the Junior Doctor Assessment Tool
(JDAT) and its sub-components with demographic characteristics, selection scores
for medical school entry, emotional intelligence, and undergraduate academic
performance. RESULTS: Grade Point Average (GPA) at the completion of
undergraduate studies had the most significant association with better
performance on the overall JDAT and each subscale. Increased age was a negative
predictor for junior doctor performance on the Clinical management subscale and
understanding emotion was a predictor for the JDAT Communication subscale.
Secondary school performance measured by Tertiary Entry Rank on entry to medical
school score predicted GPA but not junior doctor performance. DISCUSSION: The GPA
as a composite measure of ability and performance in medical school is associated
with junior doctor assessment scores. Using this variable to identify students at
risk of difficulty could assist planning for appropriate supervision, support,
and training for medical graduates transitioning to the workplace.
PMID- 29355069
TI - Hearing results after type III tympanoplasty: incus transposition versus PORP. A
systematic review.
AB - OBJECTIVE: The objective of this study is to compare hearing improvements in the
air-bone gap (ABG) after type III tympanoplasties, comparing between incus
transposition (IT) and partial ossicular replacement prosthesis (PORP). MATERIALS
AND METHODS: Publications in English were searched in PUBMED database and were
systematically reviewed. A total of 14 articles were included, obtaining 1055
patients, 614 for the IT group and 441 for the PORP group. Preoperative ABG,
postoperative ABG, dB gain and ABG closure rate were compared. RESULTS: IT group:
preoperative ABG of 31.74 dB (SD 10.51); postoperative ABG of 18.97 dB (SD 10.6);
dB gain of 12.76 dB (SD 14.97); and ABG closure rate of 64.48%. PORP group:
preoperative ABG of 28.02 dB (SD 10.47); postoperative ABG of 16.27 dB (SD
10.45); dB gain of 11.75 (SD 15.02); and ABG closure rate of 71.32%. No
significant statistical difference was found in dB mean gain between groups (p >
.05), although a difference was found in the ABG closure rate between groups
favouring PORP series (p < .05). CONCLUSION: An improvement in hearing results
was observed within both groups after type III tympanoplasty. There is no
difference in decibels gained between both ossiculoplasty materials, but a better
closure rate (%) was observed in the PORP group.
PMID- 29355070
TI - Engaging the Underrepresented Sex: Male Participation in Chronic Disease Self
Management Education (CDSME) Programs.
AB - Females are more likely than males to participate in evidence-based health
promotion and disease prevention programs targeted for middle-aged and older
adults. Despite the availability and benefits of Stanford's Chronic Disease Self
Management Education (CDSME) programs, male participation remains low. This study
identifies personal characteristics of males who attended CDSME program workshops
and identifies factors associated with successful intervention completion. Data
were analyzed from 45,375 male CDSME program participants nationwide. Logistic
regression was performed to examine factors associated with workshop attendance.
Males who were aged 65-79 (OR = 1.27, p < .001), Hispanic (OR = 1.22, p < .001),
African American (OR = 1.13, p < .001), Asian/Pacific Islander (OR = 1.26, p <
.001), Native Hawaiian (OR = 3.14, p < .001), and residing in nonmetro areas (OR
= 1.26, p < .001) were more likely to complete the intervention. Participants
with 3+ chronic conditions were less likely to complete the intervention (OR =
0.87, p < .001). Compared to health-care organization participants, participants
who attended workshops at senior centers (OR = 1.38, p < .001),
community/multipurpose facilities (OR = 1.21, p < .001), and faith-based
organizations (OR = 1.37, p < .001) were more likely to complete the
intervention. Men who participated in workshops with more men were more likely to
complete the intervention (OR = 2.14, p < .001). Once enrolled, a large
proportion of males obtained an adequate intervention dose. Findings highlight
potential strategies to retain men in CDSME programs, which include diversifying
workshop locations, incorporating Session Zero before CDSME workshops, and using
alternative delivery modalities (e.g., online).
PMID- 29355071
TI - Gay and Bisexual Men's Perceptions of HIV Risk in Various Relationships.
AB - Men who have sex with men (MSM) bear a disproportionate burden of HIV incidence
in the United States. Previous study of sexual decision-making and HIV risk among
MSM has not accounted for relationship dynamics. Further research must examine
this connection between relationship dynamics and sexual decision-making,
especially regarding condomless anal intercourse. This study analyzes data
gathered from gay and bisexual men regarding their sexual partners and sexual
decision-making over a 10-week period through personal relationship diaries
(PRDs) and a follow-up in-depth interview (IDI). Through coding and extraction of
relationship dynamics, key patterns of participants' sexual decision-making
processes were examined based on relationship type, which was categorized by
commitment, formality, and sexual agreement. Participants' sexual relationships
can be divided into five categories: (a) Uncommitted, one time, (b) Uncommitted,
ongoing, (c) Transitioning or unknown commitment, (d) Committed, nonmonogamous,
and (e) Committed, monogamous. These five categories correspond to patterns in
sexual decision making and consequent sexual risk-taking behaviors. Each of these
influence HIV risk within male-male sexual encounters in a particular manner, and
understanding these is important for appropriately tailored HIV prevention
interventions for MSM. Recommendations are included for interventions seeking to
address HIV risk across a wide variety of MSM sexual relationships.
PMID- 29355072
TI - Topiramate-Induced Chest Pain: A Case Report.
AB - BACKGROUND: Topiramate, an anticonvulsant used for prophylaxis of migraines and
epilepsy, is commonly associated with adverse effects of cognitive dulling and
fatigue. Chest pain is a potential adverse effect that to our knowledge has not
been reported with the use of topiramate. CASE PRESENTATION: We present the case
of a 38-year-old female with a seizure disorder who experienced chest pain after
the first dose of topiramate. On day 1, she presented to the emergency
department, was admitted, and over the course of 3 days had a chest X-ray,
electrocardiogram (ECG), and echocardiogram, and her vitals, basic metabolic
panel, complete blood counts, troponin, and d-dimer levels were monitored. The
chest pain improved when the topiramate was held. No identifiable causes of chest
pain were apparent, other than the topiramate. DISCUSSION: The Naranjo
probability scale was utilized to determine the causality of topiramate. The
resulting score of 3 indicates that it is possible that the chest pain was due to
the topiramate. CONCLUSION: This report demonstrates an example of a patient who
experienced chest pain possibly caused by the initiation of topiramate. The
objective of this case report is to increase the awareness of chest pain as an
adverse effect of topiramate.
PMID- 29355073
TI - Estimating causal log-odds ratio using the case-control sample and its
application in the pharmaco-epidemiology study.
AB - One important goal in pharmaco-epidemiology studies is to understand the causal
relationship between drug exposures and their clinical outcomes, including
adverse drug events. In order to achieve this goal, however, we need to resolve
several challenges. Most of pharmaco-epidemiology data are observational and
confounding is largely present due to many co-medications. The pharmaco
epidemiology study data set is often sampled from large medical record databases
using a matched case-control design, and it may not be representative of the
original patient population in the medical record databases. Data analysis method
needs to handle a large sample size that cannot be handled using existing
statistical analysis packages. In this paper, we tackle these challenges both
methodologically and computationally. We propose a conditional causal log-odds
ratio (OR) definition to characterize causal effects of drug exposures on a
binary adverse drug event adjusting for individual level confounders. Using a
case-control design, we present a propensity score estimation using only case
samples and we provide sufficient conditions for the consistency of the
estimation of the causal log-odds ratio using case-based propensity scores.
Computationally, we implement a principle component analysis to reduce high
dimensional confounders. Extensive simulation studies are performed to
demonstrate superior performance of our method to existing methods. Finally, we
apply the proposed method to analyze drug-induced myopathy data sampled from a de
identified subset of medical record database (close to 5 million patient
records), The Indiana Network for Patient Care. Our method identified 70 drug
induced myopathy ( p < 0.05) out 72 drugs, which have myoathy side effects on
their FDA drug labels. These 70 drugs include three statins who are known for
their myopathy side effects.
PMID- 29355074
TI - Medical student psychological distress and academic performance.
AB - INTRODUCTION: The impact of medical student psychological distress on academic
performance has not been systematically examined. This study provided an
opportunity to closely examine the potential impacts of workplace and study
related stress factors on student's psychological distress and their academic
performance during their first clinical year. METHODS: This one-year prospective
cohort study was performed at a tertiary hospital based medical school in
Melbourne, Australia. Students completed a questionnaire at three time points
during the year. The questionnaire included the validated Kessler psychological
distress scale (K10) and the General Health Questionnaire-28 (GHQ-28), as well as
items about sources of workplace stress. Academic outcome scores were aggregated
and correlated with questionnaire results. RESULTS: One hundred and twenty six
students participated; 126 (94.7%), 102 (76.7%), and 99 (74.4%) at time points
one, two, and three, respectively. 33.1% reported psychological distress at time
point one, increasing to 47.4% at time point three. There was no correlation
between the K10 scores and academic performance. There was weak negative
correlation between the GHQ-28 at time point three and academic performance.
Keeping up to date with knowledge, need to do well and fear of negative feedback
were the most common workplace stress factors. CONCLUSIONS: Poor correlation was
noted between psychological distress and academic performance.
PMID- 29355075
TI - Durable Clinical Benefit With Nivolumab Plus Ipilimumab in DNA Mismatch Repair
Deficient/Microsatellite Instability-High Metastatic Colorectal Cancer.
AB - Purpose Nivolumab provides clinical benefit (objective response rate [ORR], 31%;
95% CI, 20.8 to 42.9; disease control rate, 69%; 12-month overall survival [OS],
73%) in previously treated patients with DNA mismatch repair-deficient
(dMMR)/microsatellite instability-high (MSI-H) metastatic colorectal cancer
(mCRC); nivolumab plus ipilimumab may improve these outcomes. Efficacy and safety
results for the nivolumab plus ipilimumab cohort of CheckMate-142, the largest
single-study report of an immunotherapy combination in dMMR/MSI-H mCRC, are
reported. Patients and Methods Patients received nivolumab 3 mg/kg plus
ipilimumab 1 mg/kg once every 3 weeks (four doses) followed by nivolumab 3 mg/kg
once every 2 weeks. Primary end point was investigator-assessed ORR. Results Of
119 patients, 76% had received >= two prior systemic therapies. At median follow
up of 13.4 months, investigator-assessed ORR was 55% (95% CI, 45.2 to 63.8), and
disease control rate for >= 12 weeks was 80%. Median duration of response was not
reached; most responses (94%) were ongoing at data cutoff. Progression-free
survival rates were 76% (9 months) and 71% (12 months); respective OS rates were
87% and 85%. Statistically significant and clinically meaningful improvements
were observed in patient-reported outcomes, including functioning, symptoms, and
quality of life. Grade 3 to 4 treatment-related adverse events (AEs) occurred in
32% of patients and were manageable. Patients (13%) who discontinued treatment
because of study drug-related AEs had an ORR (63%) consistent with that of the
overall population. Conclusion Nivolumab plus ipilimumab demonstrated high
response rates, encouraging progression-free survival and OS at 12 months,
manageable safety, and meaningful improvements in key patient-reported outcomes.
Indirect comparisons suggest combination therapy provides improved efficacy
relative to anti-programmed death-1 monotherapy and has a favorable benefit-risk
profile. Nivolumab plus ipilimumab provides a promising new treatment option for
patients with dMMR/MSI-H mCRC.
PMID- 29355076
TI - [Trauma First - an Outpatient, Cognitive-Behavioural Intervention for Children
and Adolescents With Trauma-Related Disorders: a Pilot Study].
AB - Objective: Although traumatic experiences are one of the most important causes
for psychiatric disorders in childhood and adulthood, trauma-specific
intervention approaches are rarely applied in German outpatient clinics. The aim
of the present pilot study was to evaluate a manualized, outpatient, cognitive
behavioural intervention programme ("Trauma First") for children and youths with
trauma and stress-related disorders. We hypothesized that there would be specific
improvements in PTSD symptoms as well as in depression, anxiety, and behavioural
problems following the structured intervention Programme. Method: The pilot study
consisted of a pre-post-test design without a control group. Symptom severity of
33 children and youths (age: 10-15 years; 54.5 % female) with traumatic
experiences was assessed by self-ratings and parent-ratings before and after the
treatment. Results: After the treatment, improvements were found in children's
PTSD symptoms, depression, anxiety, and behavioural problems (Cohen's d: 0.51
1.49). A trend was found showing improvement of children's life satisfaction.
Effect sizes were comparable with results from meta-analyses. Conclusions: The
pilot study provided first evidence for the effectiveness of "Trauma First" under
ecologically valid conditions. This study is a first step in the evaluation
process of psychotherapeutic effectiveness, which should be continued by
conducting a randomized-controlled study design.
PMID- 29355078
TI - Therapists' and clients' ratings of real relationship, attachment, therapist self
disclosure, and treatment progress.
AB - OBJECTIVE: While there has been much research on the role of the working alliance
in psychotherapy, researchers only recently began investigating the role of the
real relationship in treatment. METHODS: In the current study on therapist and
client dyads, we used actor-partner interdependence modeling (APIM) to examine
associations between therapists' and clients' ratings of the real relationship,
therapist self-disclosure, attachment, and treatment progress. APIM analyses
allowed for an examination into how therapists' and clients' views of a
particular phenomenon might affect their own ratings (actor), as well as the
others' (partner) ratings of that same phenomenon. RESULTS: Significant negative
associations between therapist self-reported attachment anxiety and avoidance and
therapist-rated real relationship and treatment progress. Significant positive
associations were found between client-rated real relationship and client-rated
treatment progress. These results and others are discussed in the context of the
literature along with implications for future research in this area. Clinical or
methodological significance of this article: The current study has uncovered
evidence that therapists' ratings of their attachment anxiety and avoidance are
negatively and significantly associated with their ratings of the real
relationship and of treatment progress. The results also indicate that both
therapists' and clients' ratings of the real relationship were positively and
significantly associated with their ratings of clients' treatment progress.
PMID- 29355077
TI - The COP9 signalosome inhibits Cullin-RING E3 ubiquitin ligases independently of
its deneddylase activity.
AB - The COP9 signalosome inhibits the activity of Cullin-RING E3 ubiquitin ligases by
removing Nedd8 modifications from their Cullin subunits. Neddylation renders
these complexes catalytically active, but deneddylation is also necessary for
them to exchange adaptor subunits and avoid auto-ubiquitination. Although
deneddylation is thought to be the primary function of the COP9 signalosome,
additional activities have been ascribed to some of its subunits. We recently
showed that COP9 subunits protect the transcriptional repressor and tumor
suppressor Capicua from two distinct modes of degradation. Deneddylation by the
COP9 signalosome inactivates a Cullin 1 complex that ubiquitinates Capicua
following its phosphorylation by MAP kinase in response to Epidermal Growth
Factor Receptor signaling. The CSN1b subunit also stabilizes unphosphorylated
Capicua to control its basal level, independently of the deneddylase function of
the complex. Here we further examine the importance of deneddylation for COP9
functions in vivo. We use an uncleavable form of Nedd8 to show that preventing
deneddylation does not reproduce the effects of loss of COP9. In contrast, in the
presence of COP9, conjugation to uncleavable Nedd8 renders Cullins unable to
promote the degradation of their substrates. Our results suggest that
irreversible neddylation prolongs COP9 binding to and inhibition of Cullin-based
ubiquitin ligases.
PMID- 29355079
TI - "I Don't Know That I've Ever Felt Like I Got the Full Story": A Qualitative Study
of Courtroom Interactions Between Judges and Litigants in Domestic Violence
Protective Order Cases.
AB - One in three U.S. women has experienced intimate partner violence (IPV) and many
seek domestic violence protective orders (DVPOs) for secondary IPV prevention.
Because judges have considerable autonomy making DVPO decisions, there is a need
to describe how courtroom interactions and information available to judges may
influence DVPO dispositions. We conducted DVPO hearing observations and phone
interviews with District Court Judges. Qualitative themes emerged that may
influence judges' decision making in DVPO hearings: case information
availability, judge engagement level, and litigant credibility. Recommendations
include more time for judges to review case files, IPV-related training for
judges, and increased court advocate use.
PMID- 29355080
TI - Gathering, strategizing, motivating and celebrating: the team huddle in a
teaching general practice.
AB - OBJECTIVES: To understand how implementing a daily team huddle affected the
function of a complex interprofessional team including learners. DESIGN: A
qualitative descriptive study using semi-structured interviews in focus groups.
SETTING: An academic general practice teaching practice. PARTICIPANTS: All
members of one interprofessional team, including nurses, general practitioners,
junior doctors, and support staff. METHOD: Focus group interviews using semi
structured guidance were transcribed and the results analysed using qualitative
content analysis. MAIN FINDINGS: Four interrelated themes were identified:
communication and knowledge sharing; efficiency of care; relationship and team
building; and shared responsibility for team function. The implementation of the
daily team huddle was seen by participants to enhance the collaboration within
the team and to contribute to work life enjoyment. Participants perceived that
problems were anticipated and solved quickly. Clinical updates and information
about patients benefited the team including learners. Junior doctors quickly
understood the scope of practice of other team members, but some felt reluctant
to offer clinical opinions. CONCLUSION: The implementation of a daily team huddle
was viewed as worthwhile by this large interprofessional general practice team.
The delivery of patient care was more efficient, knowledge was readily
distributed, and problem solving was shared across the team, including junior
doctors.
PMID- 29355082
TI - Use of interval estimations in design and evaluation of multiregional clinical
trials with continuous outcomes.
AB - Multiregional clinical trials have been accepted in recent years as a useful
means of accelerating the development of new drugs and abridging their approval
time. The statistical properties of multiregional clinical trials are being
widely discussed. In practice, variance of a continuous response may be different
from region to region, but it leads to the assessment of the efficacy response
falling into a Behrens-Fisher problem-there is no exact testing or interval
estimator for mean difference with unequal variances. As a solution, this study
applies interval estimations of the efficacy response based on Howe's, Cochran
Cox's, and Satterthwaite's approximations, which have been shown to have well
controlled type I error rates. However, the traditional sample size determination
cannot be applied to the interval estimators. The sample size determination to
achieve a desired power based on these interval estimators is then presented.
Moreover, the consistency criteria suggested by the Japanese Ministry of Health,
Labour and Welfare guidance to decide whether the overall results from the
multiregional clinical trial obtained via the proposed interval estimation were
also applied. A real example is used to illustrate the proposed method. The
results of simulation studies indicate that the proposed method can correctly
determine the required sample size and evaluate the assurance probability of the
consistency criteria.
PMID- 29355081
TI - Defining the relationship between COPD and CVD: what are the implications for
clinical practice?
AB - Cardiovascular diseases (CVDs) are arguably the most important comorbidities in
chronic obstructive pulmonary disease (COPD). CVDs are common in people with
COPD, and their presence is associated with increased risk for hospitalization,
longer length of stay and all-cause and CVD-related mortality. The economic
burden associated with CVD in this population is considerable and the cumulative
cost of treating comorbidities may even exceed that of treating COPD itself. Our
understanding of the biological mechanisms that link COPD and various forms of
CVD has improved significantly over the past decade. But despite broad acceptance
of the prognostic significance of CVDs in COPD, there remains widespread under
recognition and undertreatment of comorbid CVD in this population. The reasons
for this are unclear; however institutional barriers and a lack of evidence-based
guidelines for the management of CVD in people with COPD may be contributory
factors. In this review, we summarize current knowledge relating to the
prevalence and incidence of CVD in people with COPD and the mechanisms that
underlie their coexistence. We discuss the implications for clinical practice and
highlight opportunities for improved prevention and treatment of CVD in people
with COPD. While we advocate more active assessment for signs of cardiovascular
conditions across all age groups and all stages of COPD severity, we suggest
targeting those aged under 65 years. Evidence indicates that the increased risks
for CVD are particularly pronounced in COPD patients in mid-to-late-middle-age
and thus it is in this age group that the benefits of early intervention may
prove to be the most effective.
PMID- 29355083
TI - Editorial.
PMID- 29355084
TI - Retraction.
PMID- 29355085
TI - Concurrent criterion validity of a novel portable motion analysis system for
assessing the landing error scoring system (LESS) test.
AB - The landing error scoring system (LESS) assesses the quality of a landing after a
jump. The quality of the jump is usually evaluated using a three-dimensional (3
D) motion analysis system or a two-dimensional (2-D) video analysis visually
rated by a clinician. However, both methods have disadvantages. The aim of this
study was to examine the concurrent validity of a novel portable motion analysis
system ('PhysiMax System') in assessing the LESS score by comparing it to video
analysis. The study population included 48 healthy participants (28.45 +/- 5.61
years), each performing the LESS test while two video cameras and the 'PhysiMax'
simultaneously recorded the jump. The 'Physimax' system automatically evaluated
the LESS. Subsequently, the examiners scored the test by viewing the video
recordings, blinded to the 'PhysiMax' results. The mean LESS score, using the
video recordings and the 'PhysiMax' was 4.77 (+/-2.29) and 5.15 (+/-2.58),
respectively, (ICC = 0.80, 95% confidence intervals 0.65-0.87), mean absolute
differences 1.13 (95% confidence intervals; 0.79-1.46). The results indicate a
high consensus between the methods of measurement. The 'Physimax' system's main
advantages are portability, objective evaluation and immediate availability of
results. The system can be used by athletic trainers and physiotherapists in the
clinic and in the field for jumping assessment.
PMID- 29355086
TI - A Trauma-Informed Analysis of the Violence Against Women Act's Provisions for
Undocumented Immigrant Women.
AB - Immigrant women in the United States are among the groups disproportionately
affected by intimate partner violence (IPV). Undocumented immigrants generally
have fewer resources for coping with violence and may experience a range of
personal, cultural, and immigration status-related barriers to reporting violence
and accessing help. Thus, undocumented immigrant victims of IPV could benefit
significantly from policies that promote access to trauma-informed services and
legal options. This article applies a trauma-informed policy analysis framework
to the Violence Against Women Act's immigration protections to demonstrate how
the Act's U-Visa provisions and implementation practices could be improved by
incorporating trauma-informed principles of trustworthiness and transparency,
empowerment, choice, safety, collaboration, and intersectionality.
PMID- 29355089
TI - The 2018 SLAS Technology Ten: Translating Life Sciences Innovation.
PMID- 29355087
TI - Fast and Label-Free Isolation of Circulating Tumor Cells from Blood: From a
Research Microfluidic Platform to an Automated Fluidic Instrument, VTX-1 Liquid
Biopsy System.
AB - Tumor tissue biopsies are invasive, costly, and collect a limited cell population
not completely reflective of patient cancer cell diversity. Circulating tumor
cells (CTCs) can be isolated from a simple blood draw and may be representative
of the diverse biology from multiple tumor sites. The VTX-1 Liquid Biopsy System
was designed to automate the isolation of clinically relevant CTC populations,
making the CTCs available for easy analysis. We present here the transition from
a cutting-edge microfluidic innovation in the lab to a commercial, automated
system for isolating CTCs directly from whole blood. As the technology evolved
into a commercial system, flexible polydimethylsiloxane microfluidic chips were
replaced by rigid poly(methyl methacrylate) chips for a 2.2-fold increase in cell
recovery. Automating the fluidic processing with the VTX-1 further improved
cancer cell recovery by nearly 1.4-fold, with a 2.8-fold decrease in
contaminating white blood cells and overall improved reproducibility. Two
isolation protocols were optimized that favor either the cancer cell recovery (up
to 71.6% recovery) or sample purity (<=100 white blood cells/mL). The VTX-1's
performance was further tested with three different spiked breast or lung cancer
cell lines, with 69.0% to 79.5% cell recovery. Finally, several cancer research
applications are presented using the commercial VTX-1 system.
PMID- 29355090
TI - Combining morphology and molecular data to improve Drosophila paulistorum
(Diptera, Drosophilidae) taxonomic status.
AB - The willistoni species subgroup has been the subject of several studies since the
latter half of the past century and is considered a Neotropical model for
evolutionary studies, given the many levels of reproductive isolation and
different evolutionary stages occurring within them. Here we present for the
first time a phylogenetic reconstruction combining morphological characters and
molecular data obtained from 8 gene fragments (COI, COII, Cytb, Adh, Ddc, Hb, kl
3 and per). Some relationships were incongruent when comparing morphological and
molecular data. Also, morphological data presented some unresolved polytomies,
which could reflect the very recent divergence of the subgroup. The total
evidence phylogenetic reconstruction presented well-supported relationships and
summarized the results of all analyses. The diversification of the willistoni
subgroup began about 7.3 Ma with the split of D. insularis while D.paulistorum
complex has a much more recent diversification history, which began about 2.1 Ma
and apparently has not completed the speciation process, since the average time
to sister species separation is one million years, and some entities of the D.
paulistorum complex diverge between 0.3 and 1 Ma. Based on the obtained data, we
propose the categorization of the former "semispecies" of D. paulistorum as a
subspecies and describe the subspecies D. paulistorum amazonian, D. paulistorum
andeanbrazilian, D. paulistorum centroamerican, D. paulistorum interior, D.
paulistorum orinocan and D. paulistorum transitional.
PMID- 29355091
TI - The relationship between meeting of recommendations on physical activity for
health and perceived work ability among white-collar workers.
AB - OBJECTIVE: The aim of this study was to evaluate the association between meeting
recommendations on physical activity for health in leisure-time and the ability
to work among Polish white-collar workers. METHODS: The study used a cross
sectional design with a convenience sample of 360 white-collar workers.
Participants recorded 7-day physical activity logs, including form, duration and
intensity of leisure-time physical activities. The results were compared to
health recommendations. A standardized Work Ability Index (WAI) questionnaire was
used in assessing the ability to work. RESULTS: Participants who met health
recommendations achieved higher scores of the WAI (mean score = 41.93) in
comparison to those who were not sufficiently physically active (mean score =
39.35) (p < .001). The results of binary logistic regression show that meeting
health-related physical activity recommendations almost double the odds of
reaching at least good work ability (OR = 1.94, 95% CI = 1.12-3.36). CONCLUSIONS:
Meeting leisure-time physical activity recommendations (especially the criterion
of vigorous physical activity) is significantly related to higher self-assessed
ability to work among white-collar workers.
PMID- 29355092
TI - Sodium bicarbonate ingestion increases glycolytic contribution and improves
performance during simulated taekwondo combat.
AB - PURPOSE: To investigate the effect of sodium bicarbonate (NaHCO3) on performance
and estimated energy system contribution during simulated taekwondo combat.
METHODS: Nine taekwondo athletes completed two experimental sessions separated by
at least 48 h. Athletes consumed 300 mg/kg body mass of NaHCO3 or placebo (CaCO3)
90 min before the combat simulation (three rounds of 2 min separated by 1 min
passive recovery), in a double-blind, randomized, repeated-measures crossover
design. All simulated combat was filmed to quantify the time spent fighting in
each round. Lactate concentration [La-] and rating of perceived exertion (RPE)
were measured before and after each round, whereas heart rate (HR) and the
estimated contribution of the oxidative (WOXI), ATP (adenosine triphosphate)
phosphocreatine (PCr) (WPCR), and glycolytic (W[La-]) systems were calculated
during the combat simulation. RESULTS: [La-] increased significantly after NaHCO3
ingestion, when compared with the placebo condition (+14%, P = 0.04, d = 3.70).
NaHCO3 ingestion resulted in greater estimated glycolytic energy contribution in
the first round when compared with the placebo condition (+31%, P = 0.01, d =
3.48). Total attack time was significantly greater after NaHCO3 when compared
with placebo (+13%, P = 0.05, d = 1.15). WOXI, WPCR, VO2, HR and RPE were not
different between conditions (P > 0.05). CONCLUSION: NaHCO3 ingestion was able to
increase the contribution of glycolytic metabolism and, therefore, improve
performance during simulated taekwondo combat.
PMID- 29355093
TI - Dietary fat intake associated with bone mineral density among visfatin genotype
in obese people.
AB - Osteoporosis and adipose tissue are closely related with many contradictions.
Visfatin is an adipokine that is related to osteoporosis and adiposity. This
nutrigenomics study examined the interaction between visfatin genotypes and
dietary fat intake, with regard to bone mineral density (BMD) among an obese
population. In this cross-sectional study, 336 subjects were enrolled; the mean
age was 38.25 (sd 11.69) years and the mean BMI was 31.79 (sd 4.77) kg/m2.
Laboratory measurements were lipid profile, insulin and fasting blood sugar. Bone
density measurements were assessed by dual-energy X-ray absorptiometry. Dietary
data were collected through a 3-d 24-h dietary recall. Genotyping for visfatin
gene SNP (rs2110385) was performed by the PCR-restriction fragment length
polymorphism method. The frequency of GG, GT and TT genotypes were 33.92 48.51
and 17.54 %, respectively, and 86.6 % of participants were women. The results
showed that subjects with TT genotypes had significantly higher lumbar BMD, T
score and z score (P<0.0001). After categorisation by percentage of fat intake
(30 % of total energy content as a cut-off point), no interaction was found, but
when categorised by fat types, we found an interaction between visfatin genotypes
and dietary PUFA intake in terms of the hip T score and z score (P=0.043, B=
0.08; P=0.04, B= -0.078, respectively). There was a significant relationship
between high PUFA intake and lower energy and protein intake. When participants
were categorised by median PUFA intake (22.8 g), it was concluded that subjects
with GG genotype who had high PUFA-intake diets had lower hip z scores and T
scores, unlike the other genotypes.
PMID- 29355094
TI - The association and dose-response relationship between dietary intake of alpha
linolenic acid and risk of CHD: a systematic review and meta-analysis of cohort
studies.
AB - Previous studies show inconsistent associations between alpha-linolenic acid
(ALA) and risk of CHD. We aimed to examine an aggregate association between ALA
intake and risk of CHD, and assess for any dose-response relationship. We
searched the PubMed, EMBASE and Web of Science databases for prospective cohort
studies examining associations between ALA intake and CHD, including composite
CHD and fatal CHD. Data were pooled using random-effects meta-analysis models,
comparing the highest category of ALA intake with the lowest across studies.
Subgroup analysis was conducted based on study design, geographic region, age and
sex. For dose-response analyses, we used two-stage random-effects dose-response
models. In all, fourteen studies of thirteen cohorts were identified and included
in the meta-analysis. The pooled results showed that higher ALA intake was
associated with modest reduced risk of composite CHD (risk ratios (RR)=0.91; 95 %
CI 0.85, 0.97) and fatal CHD (RR=0.85; 95 % CI 0.75, 0.96). The analysis showed a
J-shaped relationship between ALA intake and relative risk of composite CHD (chi
2=21.95, P<0.001). Compared with people without ALA intake, only people with ALA
intake <1.4 g/d showed reduced risk of composite CHD. ALA intake was linearly
associated with fatal CHD - every 1 g/d increase in ALA intake was associated
with a 12 % decrease in fatal CHD risk (95 % CI -0.21, -0.04). Though a higher
dietary ALA intake was associated with reduced risk of composite and fatal CHD,
the excess composite CHD risk at higher ALA intakes warrants further
investigation, especially through randomised controlled trials.
PMID- 29355096
TI - Invited commentary in response to: usual nutrient intake adequacy among young,
rural Zambian children.
PMID- 29355095
TI - Beneficial effects of consumption of acerola, cashew or guava processing by
products on intestinal health and lipid metabolism in dyslipidaemic female Wistar
rats.
AB - This study assessed the effects of diet supplementation with industrial
processing by-products of acerola (Malpighia emarginata D.C.), cashew (Anacardium
occidentale L.) and guava (Psidium guajava L.) fruit on the intestinal health and
lipid metabolism of female Wistar rats with diet-induced dyslipidaemia. Female
rats were randomly divided into five groups: healthy control, dyslipidaemic
control and dyslipidaemic experimental receiving acerola, cashew or guava
processing by-products. Fruit processing by-products were administered (400 mg/kg
body weight) via orogastric administration for 28 consecutive days. Acerola,
cashew and guava by-products caused body weight reduction (3.42, 3.08 and 5.20 %,
respectively) in dyslipidaemic female rats. Dyslipidaemic female rats receiving
fruit by-products, especially from acerola, presented decreased faecal pH,
visceral fat, liver fat and serum lipid levels, as well as increased faecal
moisture, faecal fat excretion, faecal Bifidobacterium spp. and Lactobacillus
spp. counts and amounts of organic acids in faeces. Administration of the tested
fruit processing by-products protected colon and liver from tissue damage (e.g.
destruction of liver and colon cells and increased fat deposition in hepatocytes)
induced by dyslipidaemic diet. Dietary fibres and phenolic compounds in tested
fruit by-products may be associated with these positive effects. The industrial
fruit processing by-products studied, mainly from acerola, exert functional
properties that could enable their use to protect the harmful effects on
intestinal health and lipid metabolism caused by dyslipidaemic diet.
PMID- 29355098
TI - Perceptions of political leaders.
AB - Partisan identification is a fundamental force in individual and mass political
behavior around the world. Informed by scholarship on human sociality,
coalitional psychology, and group behavior, this research argues that partisan
identification, like many other group-based behaviors, is influenced by forces of
evolution. If correct, then party identifiers should exhibit adaptive behaviors
when making group-related political decisions. The authors test this assertion
with citizen assessments of the relative physical formidability of competing
leaders, an important adaptive factor in leader evaluations. Using original and
novel data collected during the contextually different 2008 and 2012 U.S.
presidential elections, as well as two distinct measures obtained during both
elections, this article presents evidence that partisans overestimate the
physical stature of the presidential candidate of their own party compared with
the stature of the candidate of the opposition party. These findings suggest that
the power of party identification on political behavior may be attributable to
the fact that modern political parties address problems similar to the problems
groups faced in human ancestral times.
PMID- 29355097
TI - Usual nutrient intake adequacy among young, rural Zambian children.
AB - Inadequate nutrient intakes put children at risk for impaired growth and
development. We described diet, usual intakes of energy and macro- and
micronutrients and prevalence of nutrient intake adequacies among 4-8-year-old
Zambian children. Children not yet in school and living in Mkushi District,
Central Province, Zambia were enrolled into an efficacy trial of pro-vitamin A
biofortified maize. Children in the non-intervened arm were included in this
analysis (n 202). Dietary intake data were collected by tablet-based 24-h recall
on a monthly basis over the 6-month trial. Observed nutrient intakes were derived
from reported food quantities, standard recipes and food composition tables.
Usual nutrient intake distributions were modelled based on observed intakes.
Prevalence of inadequacy was estimated by comparing the usual nutrient intake
distribution to the nutrient requirement distribution. Frequency and quantity of
consumption of commonly reported foods were described and key sources of energy
and nutrients were identified. Median usual energy intake was 6422 kJ/d (1535
kcal/d). Most childrens' macronutrient intakes fell within recommended ranges (74
98 %). Estimated prevalences of inadequate intakes of Fe, folate, vitamin B12 and
Ca were 25, 57, 76 and >99 %, respectively. Estimated prevalences of inadequacy
for other micronutrients were low (0.1-2.2 %). Commonly consumed foods included
maize, vegetable oil, tomatoes, rape leaves and small fish (>0.6 servings/d),
whereas meat, eggs or dairy were rarely eaten (<0.2 servings/d). These findings
suggest that the heavily plant-based diet of rural Zambian children provides
inadequate Ca, folate, vitamin B12 and Fe to meet recommended nutrient intakes.
PMID- 29355099
TI - Speaking through the body.
AB - When people speak, they gesture. However, is the audience watching a speaker who
is sensitive to this link? We translated the body movements of politicians into
stick-figure animations and separated the visual from the audio channel. We then
asked participants to match a selection of five audio tracks (including the
correct one) with the stick-figure animations. The participants made correct
decisions in 65% of all cases (chance level of 20%). Matching voices with
animations was less difficult when politicians showed expansive movements and
spoke with a loud voice. Thus, people are sensitive to the link between motion
cues and vocal cues, and this link appears to become even more apparent when a
speaker shows expressive behaviors. Future work will have to refine and validate
the methods applied and investigate how mismatches between communication channels
affect the impressions that people form of politicians.
PMID- 29355100
TI - Effects of disease salience and xenophobia on support for humanitarian aid.
AB - This article examines how disease salience influences attitudes toward two types
of humanitarian aid: sending foreign aid and housing refugees. Some have argued
that disease salience increases levels of out-group prejudice through what is
referred to as the behavioral immune system (BIS), and this increase in out-group
prejudice works to shape policy attitudes. However, an alternative mechanism that
may explain the effects of disease salience is contamination fear, which would
suggest there is no group bias in the effects of disease threat. Existing work
largely interprets opposition to policies that assist out-groups as evidence of
out-group prejudice. We suggest it is necessary to separate measures of out-group
animosity from opinions toward specific policies to determine whether increased
out-group prejudice rather than fear of contamination is the mechanism by which
disease salience impacts policy attitudes. Across two experiments, disease
salience is shown to significantly decrease support for humanitarian aid, but
only in the form of refugee support. Furthermore, there is converging evidence to
suggest that any influence of disease salience on aid attitudes is not caused by
a corresponding increase in xenophobia. We suggest that the mechanism by which
disease threat influences policy attitudes is a general fear of contamination
rather than xenophobia. These findings go against an important hypothesized
mechanism of the BIS and have critical implications for the relationship between
disease salience and attitudes toward transnational policies involving
humanitarian aid.
PMID- 29355101
TI - Displays of emotion and citizen support for Merkel and Gysi.
AB - Emotional appeals have always been an important instrument in the mobilization of
political support in modern societies. As found in several experimental studies
from the United States, the emotions displayed by leading politicians in their
televised public appearances have an impact on the political attitudes and
behaviors of the public. Positive emotions such as joy or happiness, pride, and
amusement elicit a more positive assessment of politicians, whereas showing
negative emotions such as anger or outrage often diminishes the public's support.
This transfer of emotions from sender to recipient has been described as
"emotional contagion." However, under specific circumstances, emotions expressed
by politicians can result in counter-empathic reactions among recipients. To
examine the role of emotions between political leaders and the public in an
institutional and cultural setting outside the United States, this article
presents experimental findings on the impact of emotions expressed by two leading
German politicians on the German public. The study used emotional displays by
Chancellor Angela Merkel and former parliamentary leader of the Left Party,
Gregor Gysi, observing how their assessments by the German public changed in
response to these displays. Consistent with existing research, we discovered
positive effects on the evaluation of both politicians when they displayed
positive emotions. However, the impact of negative emotions is different for
Merkel and Gysi and can be described as contagion in the former and counter
contagion in the latter case. Furthermore, we found that individual recognition
of the expressed emotions modified the effect they had on the evaluation of some
leadership characteristics.
PMID- 29355103
TI - Conservatism and liberalism predict performance in two nonideological cognitive
tasks.
AB - Intuitive thinking would argue that political or ideological orientation does not
correlate with nonpolitical decisions, and certainly not with nonideological
cognitive tasks. However, that is what happens in some cases. Previous
neuropolitics studies have found that liberals are more adept at dealing with
novel information than conservatives. This finding suggests that conservatives
and liberals possess different cognitive skills. For the purposes of this
article, two studies were executed to test whether this difference remained in
alternative environmental settings. To this end, two novel cognitive tasks were
designed in which one type of ideology or another was privileged according to the
cognitive environment created by the tasks. Experimental findings indicate that
liberals committed fewer errors than conservatives in one kind of cognitive
environment, while conservatives scored higher in another.
PMID- 29355102
TI - The biological roots of political extremism.
AB - Recent research has revealed the complex origins of political identification and
the possible effects of this identification on social and political behavior.
This article reports the results of a structural equation analysis of national
survey data that attempts to replicate the finding that an individual's
negativity bias predicts conservative ideology. The analysis employs the
Motivational Activation Measure (MAM) as an index of an individual's positivity
offset and negativity bias. In addition, information-seeking behavior is assessed
in relation to traditional and interactive media sources of political
information. Results show that although MAM does not consistently predict
political identification, it can be used to predict extremeness of political
views. Specifically, high negativity bias was associated with extreme
conservatism, whereas low negativity bias was associated with extreme liberalism.
In addition, political identification was found to moderate the relationship
between motivational traits and information-seeking behavior.
PMID- 29355104
TI - Effects of physical attractiveness on political beliefs.
AB - Physical attractiveness is an important social factor in our daily interactions.
Scholars in social psychology provide evidence that attractiveness stereotypes
and the "halo effect" are prominent in affecting the traits we attribute to
others. However, the interest in attractiveness has not directly filtered down to
questions of political behavior beyond candidates and elites. Utilizing measures
of attractiveness across multiple surveys, we examine the relationship between
attractiveness and political beliefs. Controlling for socioeconomic status, we
find that more attractive individuals are more likely to report higher levels of
political efficacy, identify as conservative, and identify as Republican. These
findings suggest an additional mechanism for political socialization that has
further implications for understanding how the body intertwines with the social
nature of politics.
PMID- 29355105
TI - Neuropolitics: Twenty years later.
AB - Neuropolitics is the intersection of neuroscience and political science, and it
has the interdisciplinary goal of transforming both disciplines. This article
reviews the past 20 years of work in the field, identifying its roots, some
overarching themes-reactions to political attitudinal questions and candidates
faces, identification of political ideology based on brain structure or
reactivity to nonpolitical stimuli, and racial attitudes-and obstacles to its
progress. I then explore the methodological and analytical advances that point
the way forward for the future of neuropolitics. Although the field has been slow
to develop compared with neurolaw and neuroeconomics, innovations look ripe for
dramatically improving our ability to model political behaviors and attitudes in
individuals and predict political choices in mass publics. The coming
advancements, however, pose risks to our current norms of democratic
deliberation, and academics need to anticipate and mitigate these risks.
PMID- 29355106
TI - Shedding light on spawning in jellyfish.
AB - An opsin receptor has a central role in the production and release of eggs by
female jellyfish.
PMID- 29355107
TI - Magnetic and electronic properties of the Cu-substituted Weyl semimetal candidate
ZrCo2Sn.
AB - We report that the partial substitution of Cu for Co has a significant impact on
the magnetic properties of the Heusler-phase Weyl fermion candidate ZrCo2Sn.
Polycrystalline samples of ZrCo2-x Cu x Sn (x = 0.0-1.0) exhibited a linearly
decreasing ferromagnetic transition temperature and similarly decreasing
saturated magnetic moment on increasing Cu substitution x. Materials with Cu
contents near x = 1 and several other quaternary materials synthesized at the
same x (ZrCoT'Sn (T' = Rh, Pd, Ni)) display what appears to be non
ferromagnetic magnetization behavior with spin glass characteristics. Electronic
structure calculations suggest that the half-metallic nature of unsubstituted
ZrCo2Sn is disrupted significantly by the Cu substitutions, leading to the
breakdown of the magnetization versus electron count guidelines usually followed
by Heusler phases, and a more typical metallic non-spin-polarized electronic
structure at high x.
PMID- 29355108
TI - A review of theoretical study of graphene chemical vapor deposition synthesis on
metals: nucleation, growth, and the role of hydrogen and oxygen.
AB - Graphene has attracted intense research interest due to its extraordinary
properties and great application potential. Various methods have been proposed
for the synthesis of graphene, among which chemical vapor deposition has drawn a
great deal of attention for synthesizing large-area and high-quality graphene.
Theoretical understanding of the synthesis mechanism is crucial for optimizing
the experimental design for desired graphene production. In this review, we
discuss the three fundamental steps of graphene synthesis in details, i.e. (1)
decomposition of carbon feedstocks and formation of various active carbon
species, (2) nucleation, and (3) attachment and extension. We provide a complete
scenario of graphene synthesis on metal surfaces at atomistic level by means of
density functional theory, molecular dynamics (MD), Monte Carlo (MC) and their
combination and interface with other simulation methods such as quantum
mechanical molecular dynamics, density functional tight binding molecular
dynamics, and combination of MD and MC. We also address the latest investigation
of the influences of the hydrogen and oxygen on the synthesis and the quality of
the synthesized graphene.
PMID- 29355109
TI - Model-based observer and feedback control design for a rigid Joukowski foil in a
Karman vortex street.
AB - Obstacles and swimming fish in flow create a wake with an alternating left/right
vortex pattern known as a Karman vortex street and reverse Karman vortex street,
respectively. An energy-efficient fish behavior resembling slaloming through the
vortex street is called Karman gaiting. This paper describes the use of a
bioinspired array of pressure sensors on a Joukowski foil to estimate and control
flow-relative position in a Karman vortex street using potential flow theory,
recursive Bayesian filtering, and trajectory-tracking feedback control. The
Joukowski foil is fixed in downstream position in a flowing water channel and
free to move on air bearings in the cross-stream direction by controlling its
angle of attack to generate lift. Inspired by the lateral-line neuromasts found
in fish, the sensing and control scheme is validated using off-the-shelf pressure
sensors in an experimental testbed that includes a flapping device to create
vortices. We derive a potential flow model that describes the flow over a
Joukowski foil in a Karman vortex street and identify an optimal path through a
Karman vortex street using empirical observability. The optimally observable
trajectory is one that passes through each vortex in the street. The estimated
vorticity and location of the Karman vortex street are used in a closed-loop
control to track either the optimally observable path or the energetically
efficient gait exhibited by fish. Results from the closed-loop control
experiments in the flow tank show that the artificial lateral line in conjunction
with a potential flow model and Bayesian estimator allow the robot to perform
fish-like slaloming behavior in a Karman vortex street. This work is a precursor
to an autonomous robotic fish sensing the wake of another fish and/or performing
pursuit and schooling behavior.
PMID- 29355110
TI - Superconducting properties and MUSR study of the noncentrosymmetric
superconductor Nb0.5Os0.5.
AB - The properties of the noncentrosymmetric superconductor (alpha-[Formula: see
text] structure) Nb0.5Os0.5 have been investigated using resistivity,
magnetization, specific heat, and muon spin relaxation and rotation (MUSR)
measurements. These measurements suggest that Nb0.5Os0.5 is a weakly coupled
([Formula: see text]) type-II superconductor ([Formula: see text]), having a bulk
superconducting transition temperature T c = 3.07 K. The specific heat data
fits well with the single-gap BCS model indicating nodeless s-wave
superconductivity in Nb0.5Os0.5. The MUSR measurements also confirm [Formula: see
text]-wave superconductivity with the preserved time-reversal symmetry.
PMID- 29355111
TI - Dynamics of a quasiparticle in the alpha-T3 model: role of pseudospin
polarization and transverse magnetic field on zitterbewegung.
AB - We consider the alpha-T 3 model which provides a smooth crossover between the
honeycomb lattice with pseudospin 1/2 and the dice lattice with pseudospin 1
through the variation of a parameter alpha. We study the dynamics of a wave
packet representing a quasiparticle in the alpha-T3 model with zero and finite
transverse magnetic field. For zero field, it is shown that the wave packet
undergoes a transient zitterbewegung (ZB). Various features of ZB depending on
the initial pseudospin polarization of the wave packet have been revealed. For an
intermediate value of the parameter alpha i.e. for [Formula: see text] the
resulting ZB consists of two distinct frequencies when the wave packet was
located initially in rim site. However, the wave packet exhibits single frequency
ZB for [Formula: see text] and [Formula: see text]. It is also unveiled that the
frequency of ZB corresponding to [Formula: see text] gets exactly half of that
corresponding to the [Formula: see text] case. On the other hand, when the
initial wave packet was in hub site, the ZB consists of only one frequency for
all values of alpha. Using stationary phase approximation, we find analytical
expression of velocity average which can be used to extract the associated
timescale over which the transient nature of ZB persists. On the contrary, the
wave packet undergoes permanent ZB in presence of a transverse magnetic field.
Due to the presence of a large number of Landau energy levels, the oscillations
in ZB appear to be much more complicated. The oscillation pattern depends
significantly on the initial pseudospin polarization of the wave packet.
Furthermore, it is revealed that the number of the frequency components involved
in ZB depends on the parameter alpha.
PMID- 29355112
TI - In vitro measurement of platelet adhesion to intact endothelial cells under low
shear conditions.
AB - BACKGROUND: Prediction of thrombus formation at intact arterial walls under low
shear flow conditions is clinically important particularly for better prognoses
of embolisation in cerebral aneurysms. Although a new mathematical model for this
purpose is necessary, little quantitative information has been known about
platelet adhesion to intact endothelial cells. OBJECTIVE: The objective of this
study is to measure the number of platelets adhering to intact endothelial cells
with a focus upon the influence of the shear rate. METHODS: Endothelial cells
disseminated in MU-slides were exposed to swine whole blood at different shear
rates. Adenosine diphosphate (ADP) was used as an agonist. Adherent platelets
were counted by means of scanning electron microscopy. RESULTS: At an ADP
concentration of 1 uM, 20.8 +/- 3.1 platelets per 900 um2 were observed after 30
minute perfusion at a shear rate of 0.8 s-1 whereas only 3.0 +/- 1.4 per 900 um2
at 16.8 s-1. CONCLUSIONS: The number of adherent platelets is determined by a
balance between the shear and the degree of stimulation by the agonist. At an ADP
concentration of 1 uM, a limit to the shear rate at which platelets can adhere to
intact endothelial cells is considered to be slightly higher than 16.8 s-1.
PMID- 29355113
TI - miR-34 increases in vitro PANC-1 cell sensitivity to gemcitabine via targeting
Slug/PUMA.
AB - miR-34 was deregulated in tumor tissues compared with corresponding noncancerous
tissue samples. Furthermore, miR-34 may contribute to cancer-stromal interaction
associated with cancer progression. However, whether miR-34 could decrease
chemoresistance of cancer cells to chemotherapeutic agent remains unclear. In our
study, we examined whether overexpression of miR-34 could sensitize gemcitabine
mediated apoptosis in human pancreatic cancer PANC-1 cells. We found that miR-34
markedly induced gemcitabine -mediated apoptosis in PANC-1 cells. miR-34 induced
down-regulation of Slug expression and upregulation of p53 up-regulated modulator
of apoptosis (PUMA) expression. The over-expression of Slug or downregulation of
PUMA by Slug cDNA or PUMA siRNA transfection markedly blocked miR-34-induced
gemcitabine sensitization. Furthermore, miR-34 induced PUMA expression by
downregulation of Slug. Taken together, our study demonstrates that miR-34
enhances sensitization against gemcitabine-mediated apoptosis through the down
regulation of Slug expression, and up-regulation of Slug-dependent PUMA
expression.
PMID- 29355114
TI - Synergistic role of Caspase-8 and Caspase-3 expressions: Prognostic and
predictive biomarkers in colorectal cancer.
AB - BACKGROUND: Expressions of Caspase-8 and Caspase-3 have been identified as
important markers in many malignant tumors, but their roles in colorectal cancer
(CRC) have not been confirmed. The purpose of this study was to investigate the
role of Caspase-8 and Caspase-3 in CRC. METHODS: We enrolled 470 CRC patients in
this study. Archival paraffin-embedded CRC tissue samples were used to construct
tissue microarray (TMA), expressions of Caspase-8 and Caspase-3 that were stained
by immunohistochemistry. Prognostic and predictive role of Caspase-8 and Caspase
3 expressions, alone or united, were evaluated by univariate and multivariate
analysis respectively. RESULTS: In comparison with adjacent normal tissues,
Caspase-8 and Caspase-3 protein levels were upregulated in CRC tissues
significantly, furthermore, high expressions of Caspase-8 and Caspase-3 were
correlated with decreased overall survival (OS) (p< 0.05), and also with
unfavorable clinicopathologic characteristics. Cox regression analysis showed
that high Caspase-8 and Caspase-3 expressions were independent negative markers
of OS. CONCLUSION: Caspase-8 and Caspase-3 expressions in tumor tissues are novel
candidate prognostic markers for CRC patients. It was the first time to be
identified that Caspase-8 and Caspase-3 expressions had synergistic role as
efficient prognostic indicators for CRC patients.
PMID- 29355115
TI - A Clinically-Translatable Machine Learning Algorithm for the Prediction of
Alzheimer's Disease Conversion in Individuals with Mild and Premild Cognitive
Impairment.
AB - BACKGROUND: Available therapies for Alzheimer's disease (AD) can only alleviate
and delay the advance of symptoms, with the greatest impact eventually achieved
when provided at an early stage. Thus, early identification of which subjects at
high risk, e.g., with MCI, will later develop AD is of key importance. Currently
available machine learning algorithms achieve only limited predictive accuracy or
they are based on expensive and hard-to-collect information. OBJECTIVE: The
current study aims to develop an algorithm for a 3-year prediction of conversion
to AD in MCI and PreMCI subjects based only on non-invasively and effectively
collectable predictors. METHODS: A dataset of 123 MCI/PreMCI subjects was used to
train different machine learning techniques. Baseline information regarding
sociodemographic characteristics, clinical and neuropsychological test scores,
cardiovascular risk indexes, and a visual rating scale for brain atrophy was used
to extract 36 predictors. Leave-pair-out-cross-validation was employed as
validation strategy and a recursive feature elimination procedure was applied to
identify a relevant subset of predictors. RESULTS: 16 predictors were selected
from all domains excluding sociodemographic information. The best model resulted
a support vector machine with radial-basis function kernel (whole sample: AUC =
0.962, best balanced accuracy = 0.913; MCI sub-group alone: AUC = 0.914, best
balanced accuracy = 0.874). CONCLUSIONS: Our algorithm shows very high cross
validated performances that outperform the vast majority of the currently
available algorithms, and all those which use only non-invasive and effectively
assessable predictors. Further testing and optimization in independent samples
will warrant its application in both clinical practice and clinical trials.
PMID- 29355116
TI - Focusing on employment in primary mental health care: A scoping review.
AB - BACKGROUND: People with mental health issues usually access primary health care
services but employment status and/or return to work is not typically an explicit
focus of a general practice consultation. OBJECTIVE: This scoping review aims to
investigate the broad range of interventions used in primary health care to
effectively support people with mental health issues to choose, get, or keep
employment. METHODS: A literature search was conducted using five electronic
databases. Peer reviewed research articles published between 1996 and February
2016 were included in the review. RESULTS: Twelve studies explored work related
interventions for people with mental health issues in the primary health care
context. The most commonly used intervention was sickness certification, other
interventions that show promise were identified but there is limited empirical
evidence to show their effectiveness in relation to improving employment
outcomes. General practitioners identified a range of barriers in terms of
focusing on employment outcomes for patients including knowledge and confidence
in understanding the impact of work on symptoms and limited access to advice or
services to refer patients to. CONCLUSIONS: This review suggests that work
focused interventions situated in primary health care settings appear to be a
promising approach for people with mental health issues. However, various
barriers impact the implementation of an employment approach, with limited
comparisons between different effective interventions. In the context of
increasing numbers of people presenting with mental health issues, future
research should address the implementation and effectiveness of work-focused
interventions based in primary health care.
PMID- 29355117
TI - Quality evaluation of official accident reports conducted by Labour Authorities
in Andalusia (Spain).
AB - BACKGROUND: A public accident investigation is carried out when the consequences
of the incident are significant or the accident has occurred in unusual
circumstances. OBJECTIVE: We evaluated the quality of the official accident
investigations being conducted by Safety Specialists of the Labour Authorities in
Andalusia. METHODS: To achieve this objective, we analysed 98 occupational
accident investigations conducted by the Labour Authorities in Andalusia in the
last quarter of 2014. Various phases in the accident investigation process were
examined, such as the use of the Eurostat variables within European Statistics on
Accidents at Work (ESAW), detection of causes, determination of preventive
measures, cost analysis of the accidents, identification of noncompliance with
legal requirements or the investigation method used. RESULTS: The results of this
study show that 77% of the official occupational accident investigation reports
analysed were conducted in accordance with all the quality criteria recommended
in the literature. CONCLUSIONS: To enhance glogal learning, and optimize
allocation of resources, we propose the development of a harmonized European
model for the public investigation of occupational accidents. Further it would be
advisable to create a common classification and coding system for the causes of
accidents for all European Union Member States.
PMID- 29355118
TI - Should I stay or should I go? A prospective investigation examining individual
factors impacting employment status among individuals with multiple sclerosis
(MS).
AB - BACKGROUND: Rates of unemployment among individuals with multiple sclerosis (MS)
are as high as 80%. While several factors for such high rates of unemployment
have been identified, they do not account for the majority of the variance.
OBJECTIVE: This study examines person-specific factors such as personality and
coping, which may better account for individuals leaving the workforce. METHOD:
Forty individuals with MS (20 considering reducing work hours or leaving the
workforce and 20 remaining employed) were matched on age, gender, education,
disease duration, and disease course, and administered a comprehensive survey of
factors purported to be related to employment status. RESULTS: Based on multiple,
logistic regression analyses certain disease factors and person-specific factors
differentiate those who are considering leaving work or reducing work hours and
those staying employed. In particular, those expressing the need to reduce work
hours or leaving the workforce reported more fatigue, anxiety, depression, and
use of behavioral disengagement as a means of coping. In contrast, those staying
employed reported greater levels of extraversion, self-efficacy, and use of humor
as a means of coping. Together, fatigue, use of humor, and use of behavioral
disengagement as a means of coping were the most significant factors, accounting
for 44% of the variance. CONCLUSIONS: Findings suggest that greater consideration
be given to these factors and that interventions tailored to address these
factors may assist individuals with MS staying employed and/or making appropriate
accommodations.
PMID- 29355119
TI - Comparing two methods to record maximal voluntary contractions and different
electrode positions in recordings of forearm extensor muscle activity: Refining
risk assessments for work-related wrist disorders.
AB - BACKGROUND: Wrist disorders are common in force demanding industrial repetitive
work. Visual assessment of force demands have a low reliability, instead surface
electromyography (EMG) may be used as part of a risk assessment for work-related
wrist disorders. For normalization of EMG recordings, a power grip (hand grip) is
often used as maximal voluntary contraction (MVC) of the forearm extensor
muscles. However, the test-retest reproducibility is poor and EMG amplitudes
exceeding 100% have occasionally been recorded during work. An alternative MVC is
resisted wrist extension, which may be more reliable. OBJECTIVE: To compare hand
grip and resisted wrist extension MVCs, in terms of amplitude and
reproducibility, and to examine the effect of electrode positioning. METHODS:
Twelve subjects participated. EMG from right forearm extensors, from four
electrode pairs, was recorded during MVCs, on three separate occasions. RESULTS:
The group mean EMG amplitudes for resisted wrist extension were 1.2-1.7 times
greater than those for hand grip. Resisted wrist extension showed better
reproducibility than hand grip. CONCLUSIONS: The results indicate that the use of
resisted wrist extension is a more accurate measurement of maximal effort of
wrist extensor contractions than using hand grip and should increase the
precision in EMG recordings from forearm extensor muscles, which in turn will
increase the quality of risk assessments that are based on these.
PMID- 29355121
TI - Validity and reliability of the Turkish version of the Physical Workload
Questionnaire.
AB - BACKGROUND: Although it is necessary to regularly record and assess physical
workloads in a workplace to prevent work-related musculoskeletal disorders, there
is no easy to use, valid and reliable questionnaire such as the Physical Workload
Questionnaire (PWQ) in Turkish. OBJECTIVE: To linguistically and culturally adapt
the PWQ into Turkish, and to examine the validity and reliability of this adapted
version. METHODS: One hundred twenty-six participants were recruited. All
participants filled in the Oswestry Disability Index (ODI) and the Nordic
Musculoskeletal Questionnaire. To determine test-retest reliability, all
participants filled in the PWQ after a time interval of one week. RESULTS: There
was a significant difference in the PWQ indices between the participants with an
occupation requires less vs. higher workload (p < 0.05). The PWQ index was
significantly correlated with the proximal musculoskeletal symptoms (rho= 0.301,
p < 0.05), but not significantly correlated with distal musculoskeletal symptoms
(rho= 0.121, p > 0.05). The PWQ index was significantly correlated with the ODI
(rho= 0.193, p < 0.05). The internal consistency of the PWQ was excellent (alpha=
0.865) and item-total correlations were acceptable. Test-retest reliability was
high (ICC = 0.865). CONCLUSIONS: The PWQ is the unique valid and reliable
questionnaire available in Turkish for assessing physical workload due to body
posture and strenuous effort during work.
PMID- 29355120
TI - Task rotation in an underground coal mine: A pilot study.
AB - BACKGROUND: Task rotation is used to decrease the risk of workplace injuries and
improve work satisfaction. OBJECTIVE: To investigate the feasibility, benefits
and challenges of implementing a task rotation schedule within an underground
coalmine in NSW, Australia. METHODS: A mixed method case control pilot study with
the development and implementation of a task rotation schedule for 6 months with
two work crews. A questionnaire including The Nordic Musculoskeletal
Questionnaire, The Need for Recovery after Work Scale, and The Australian WHOQOL-
BREF Australian Edition was used to survey workers at baseline, 3 and 6 months. A
focus group was completed with the intervention crew and management at the
completion of the study. RESULTS: In total, twenty-seven participants completed
the survey. Significant improvements in the psychological and environmental
domains of the WHOQOL-BREF questionnaire were found in the intervention crew.
Musculoskeletal pain was highest in the elbow, lower back and knee, and fatigue
scores improved, across both groups. The intervention crew felt 'mentally
fresher', 'didn't do the same task twice in a row', and 'had more task variety
which made the shift go quickly'. CONCLUSION: Task rotation was positively
regarded, with psychological benefits identified. Three rotations during a 9-hour
shift were feasible and practical in this environment.
PMID- 29355122
TI - Foot placement strategy in pushing and pulling.
AB - BACKGROUND: Pushing and pulling tasks are very common in daily and industrial
workplaces. They are one major source of musculoskeletal complaints. OBJECTIVE:
This study aimed to examine the foot placement strategy while pushing and
pulling. PARTICIPANTS: Thirteen young males and ten young females were recruited
as participants. METHODS: A two (pushing and pulling) by four (48 cm, 84 cm, 120
cm, and 156 cm) factorial design was used. RESULTS: Exertion direction and
exertion height significantly affected foot placement strategy. Pushing task
needed more anteroposterior space than pulling task. The percentages of
female/male for trailing foot position ranged from 77% to 90% (pushing) and from
80% to 93% (pulling) across the exertion heights. CONCLUSION: Practitioners
should provide an anteroposterior space approximately to 70% body stature for
workers to exert their maximum pulling and pushing strengths.
PMID- 29355123
TI - Mental disorder sick leave in Sweden: A population study.
AB - BACKGROUND: The inability to perform productive work due to mental disorders is a
growing concern in advanced societies. OBJECTIVE: To investigate medically
certified mental disorder and all-cause sick leave in a working population using
demographic, socioeconomic and occupational predictors. METHODS: The study
population was the entire Swedish work force aged 16-64 years in December 31st
2011. The outcome was sick leave exceeding 14 days in 2012 with adjustment for 13
confounders. RESULTS: The risk of sick leave with a mental disorder is higher
among women compared to men, among persons aged 30-39 and among parents in
families with underage children. Employees in welfare service occupations within
health care, education and social services have an elevated risk of mental
disorder sick leave and constitute a large proportion of the workforce.
CONCLUSION: The results support the need for improving early detection and
prevention of mental disorders in the workforce. Improvements in psychosocial
work environments are essential, where the higher risk in female dominated
welfare occupations particularly, have repercussions on the quality of the
welfare services provided for vulnerable groups in society. Better work-life
balance in families with younger children could also mitigate the effects of a
high total workload in that particular phase of life.
PMID- 29355124
TI - Employed and expecting in Germany: A qualitative investigation into pregnancy
related occupational stress and coping behavior.
AB - BACKGROUND: Previous studies demonstrate a higher risk for pregnant women to
experience stressors within the work context and therefore suggest a higher risk
for occupational stress. However, the interaction between work and pregnancy in
Germany is currently an area without much active research, even though it is a
common occurrence. OBJECTIVE: This qualitative study explores stressors, coping
behaviors and related consequences for pregnant employees in Germany.
Furthermore, the study researches intervention possibilities for the purpose to
prevent the target group from negative health outcomes. METHODS: Thirteen semi
standardized interviews with employed pregnant women living and working in
Germany were conducted. The interviews were recorded and verbatim transcribed.
Data was analyzed by Mayring's structuring qualitative content analysis. RESULTS:
Compounding the results, the interviewed women noted most frequently stressors in
relation to their employer/line manager due to their pregnant condition. Even
though the women showed various adaptive coping behaviors, the identified
negative consequences, mainly on their emotional wellbeing. Various intervention
possibilities to improve the pregnant employee's situation were mentioned.
CONCLUSIONS: This study was able to explore broad insights into the topic of
pregnant employees in Germany. Therefore, this study underlines the actuality and
relevance of the topic and is able to contribute to a scientific discussion about
pregnancy at the workplace.
PMID- 29355125
TI - The experiences and perceptions of persons with disabilities regarding work
skills development in sheltered and protective workshops.
AB - BACKGROUND: Protective workshops and sheltered employment settings have been
instrumental in developing the work skills of people with disabilities, however
there has been a void in the literature about its influence on the ability of
individuals to find employment in the open labor market. OBJECTIVE: The aim of
the study is to explore the experiences and perceptions of people with
disabilities about the development of their work skills for transitioning into
the open labor market. PARTICIPANTS: Five individuals with various types of
disabilities and two key informants participated in the study. METHODS: The
research study was positioned within the qualitative paradigm specifically
utilizing an exploratory and descriptive research design. In order to gather data
from the participants, semi structured interviews were used. RESULTS: Three
themes emerged from the findings of the study. Theme one, designated as "Reaching
a ceiling", reflected the barriers that the participants experienced regarding
work skills development. Theme two, designated as "Enablers for growth within the
workplace", related to the enabling factors related to development of the work
skills of persons with a disability (PWD). The final theme related to the meaning
that PWD associated to their worker role and was designated as "A sense of
universality". CONCLUSION: The participants highlighted that they felt their
coworkers in the workshops were "like family" to them and thoroughly enjoyed the
work tasks and work environment, expressing specific support from their fellow
workers. Through reaching their goals, engaging in their work tasks and having
the sense of universality in the workplace, the workers felt that the work they
participated in gave them meaning to their life. The findings of the study
indicated that managers of protective workshops and sheltered employment settings
should consider selecting work tasks that enable the development of skills needed
in the open labour market. A work skills development system whereby PWD in these
workshops could determine their own career progression is advocated.
PMID- 29355126
TI - Lessons learned from women in leadership positions.
AB - BACKGROUND: Eileen Elias has decades of experience in leadership positions within
government and nongovernmental organizations. As the first female Commissioner
for Mental Health in the Commonwealth of Massachusetts and the US in the early
1990s, Elias gained experience on navigating gender-based challenges to attain
recognized performance outcomes. OBJECTIVE: From lessons learned from women
leaders, educate young women entering their careers on attaining leadership
positions. METHODS: Comprehensive research of literature from 2012 through 2017
and interviews with women leaders representing non-Fortune 500 companies
including academia, research, non-profit, for-profit, and primary and secondary
education. Interviewees included:1.Gail Bassin, Co-Chief Executive Officer and
Treasurer, JBS International Inc.2.Jeri Epstein, Executive Director, The Ambit
Foundation3.Valerie Fletcher, Executive Director, Institute for Human Centered
Design4.Christine James-Brown, President and CEO, Child Welfare League of
America5.Daria Mochly-Rosen, PhD, Professor and Fellow, Chemical and Systems
Biology, Stanford University School of Medicine6.Eileen O'Keefe, MD, MPH,
Clinical Associate Professor and Director, Boston University Health
Sciences7.Jeri Shaw, President and Co-Chief Executive Officer, JBS International
Inc. RESULTS/CONCLUSIONS: A comprehensive understanding of key women leaders'
lessons learned and recommendations targeting young women as they assess
leadership opportunities in the public or private sectors.
PMID- 29355127
TI - Bringing a structural perspective to work: Framing occupational safety and health
disparities for nursing assistants with work-related musculoskeletal disorders.
AB - BACKGROUND: Certified Nursing Assistants (CNAs) experience a high risk of work
related musculoskeletal disorders (WMSDs) and are further made vulnerable by
their situation in low levels of workplace and societal hierarchies of power and
privilege. OBJECTIVE: This study applies structural vulnerability theory to CNA
WMSD experiences in order to identify structural factors that may influence such
injuries. METHODS: A sample of CNAs (n = 26) working in Nursing and Residential
Care Facilities (NRCFs) was selected from workers who filed a claim during 2011
2014 for a WMSD of the back, shoulder, knee, or hand/wrist in the Washington
State Department of Labor & Industries workers' compensation system. Interviews
included questions about workers' injury experiences and work contexts.
Qualitative data was analyzed for themes related to structural vulnerability
theory and occupational safety and health (OSH) models. RESULTS: Themes
illustrate a work environment in NRCFs with major organizational deficiencies for
CNA safety and a broader structural environment that appears to mediate them.
CNAs described policies and practices that result from management priorities
being diverted away from worker safety. These difficulties are compounded by
several aspects of CNAs' socio-economic vulnerability. CONCLUSIONS: This study
demonstrates the utility of a structural perspective for OSH disparities research
and points to the need for occupational health intervention on a structural
level.
PMID- 29355128
TI - The effect of load on biomechanics of the back and upper limb in a bench to
shoulder lift during the WorkHab Functional Capacity Evaluation.
AB - BACKGROUND: Limited literature exists investigating biomechanical changes during
a Functional Capacity Evaluation (FCE). OBJECTIVE: To determine change in joint
angle measurement between minimum load to safe maximum load in the bench to
shoulder lift of the WorkHab FCE. METHODS: Dartfish ProSuite was used to analyse
bench to shoulder lift video from 28 subjects. Measurements of joint angle at
lumbar spine, thoracic spine, elbow and shoulder at four points in the ascending
and descending lift phases (0/3, 1/3, 2/3, 3/3) in the minimum load and safe
maximum lift were collected. Paired t-tests were used to analyse differences in
joint angles between lifts. RESULTS: Significant differences in joint angles were
identified in the thoracic spine, elbow and shoulder at maximal weight. Increased
extension occurred: Thoracic spine at 3/3 ascending [2.922, 95% CI 0.8, 3.8, p =
0.004]. Increased flexion occurred: elbow ascending [1/3:6.405, 95% CI -11.8,
1.8, p = 0.008],[3/3:15.575, 95% CI 4.2, 27.0, p = 0.009]; elbow descending
[0/3:18.446, 95% CI 9.5, 27.4, p = 0.000]; shoulder ascending [3/3:16.785, 95% CI
11.8, 21.8, p < 0.001]; shoulder descending [0/3:16.647, 95% CI 10.6, 22.7, p <
0.001]. CONCLUSIONS: This study provides insight into the biomechanical changes
during a bench to shoulder lift and support observations and clinical reasoning
used in determining the safe maximal lift.
PMID- 29355129
TI - A scoping review on smart mobile devices and physical strain.
AB - BACKGROUND: Smart mobile devices gain increasing importance at work. Integrating
these smart mobile devices into the workplace creates new opportunities and
challenges for occupational health and safety. OBJECTIVES: Therefore the aim of
the following scoping review was to identify ergonomic challenges with the use of
smart mobile devices at work with respect to physical problems. METHOD: A review
of 36 papers based on literature including January 2016 was conducted. RESULTS:
Biomechanical measures in the reviewed studies demonstrated i.e., head flexion
angles exceeding 20 degrees in 20 out of 26 different conditions described.
Furthermore, laterally deviated wrists were frequently noted and thumb and finger
flexor muscle activities generally greater than 5% MVC were reported. CONCLUSION:
The reviewed literature indicated an elevated biomechanical risk, especially for
the neck, the wrists and thumb. This was due to poor posture, ongoing and
intermitted muscle tension, and/or repetitive movements. Papers addressing
specific risks for smartphone and tablet use in different work environments are
scarce. As the technology, as well as the use of smart mobile devices is rapidly
changing, further research, especially for prolonged periods in the workplace is
needed.
PMID- 29355132
TI - Using motion capture technology to measure the effects of magnification loupes on
dental operator posture: A pilot study.
AB - BACKGROUND: Motion analysis has great potential for quantitatively evaluating
dental operator posture and the impact of interventions such as magnification
loupes on posture and subsequent development of musculoskeletal disorders.
OBJECTIVE: This study sought to determine the feasibility of motion capture
technology for measurement of dental operator posture and examine the impact that
different styles of magnification loupes had on dental operator posture. METHODS:
Forward and lateral head flexion were measured for two different operators while
completing a periodontal probing procedure. Each was measured while wearing
magnification loupes (flip up-FL and through the lens-TTL) and basic safety
lenses. RESULTS: Operators both exhibited reduced forward flexion range of motion
(ROM) when using loupes (TTL or FL) compared to a baseline lens (BL). In contrast
to forward flexion, no consistent trends were observed for lateral flexion
between subjects. CONCLUSIONS: The researchers can report that it is possible to
measure dental operator posture using motion capture technology. More study is
needed to determine which type of magnification loupes (FL or TTL) are superior
in improving dental operator posture. Some evidence was found supporting that the
quality of operator posture may more likely be related to the use of
magnification loupes, rather than the specific type of lenses worn.
PMID- 29355130
TI - Strategies of employees in the construction industry to increase their
sustainable employability.
AB - BACKGROUND: The aging work force makes sustainable employability (SE) of workers
a priority. However, it is unknown to what extent employees use implemented SE
measures. OBJECTIVE: To determine the utilization of 1) SE measures offered by
employers, 2) employee SE strategies, and 3) to identify barriers and
facilitators of SE strategies. METHODS: Survey data were collected among 731 blue
collar and 879 white collar workers to determine the utilization of employer SE
measures. Focus groups were held with 16 blue collar and 17 white collar workers
to identify employee SE strategies and their barriers and facilitators. RESULTS:
Utilization of employer SE measures was highest for personal development
measures. Strategies applied by blue collar workers included using equipment,
suggesting improvements of their working conditions, and seeking promotion to a
less physically demanding job. White collar workers named engaging in leisure
time physical activity and seeking an adequate work-life balance. Implementation
of these strategies was influenced by employee awareness and self-efficacy, the
accessibility and costs and benefits of the strategy, management support and
company culture. CONCLUSION: Usage of employer SE measures was generally low and
recommendations are given for both blue and white collar workers to improve SE
strategies.
PMID- 29355133
TI - Atherosclerosis & ischaemic heart disease: Here to stay or gone tomorrow.
PMID- 29355134
TI - Molecular interphase between extracellular matrix & cancer cells.
PMID- 29355135
TI - Shorter & cheaper regimen to treat multidrug-resistant tuberculosis: A new hope.
PMID- 29355136
TI - Evolution of technology for molecular genotyping in blood group systems.
AB - The molecular basis of the blood group antigens was identified first in the 1980s
and 1990s. Since then the importance of molecular biology in transfusion medicine
has been described extensively by several investigators. Molecular genotyping of
blood group antigens is one of the important aspects and is successfully making
its way into transfusion medicine. Low-, medium- and high-throughput techniques
have been developed for this purpose. Depending on the requirement of the centre
like screening for high- or low-prevalence antigens where antisera are not
available, correct typing of multiple transfused patients, screening for antigen
negative donor units to reduce the rate of alloimmunization, etc. a suitable
technique can be selected. The present review discusses the evolution of
different techniques to detect molecular genotypes of blood group systems and how
these approaches can be used in transfusion medicine where haemagglutination is
of limited value. Currently, this technology is being used in only a few blood
banks in India. Hence, there is a need for understanding this technology with all
its variations.
PMID- 29355138
TI - Management of malaria in pregnancy.
AB - Pregnant women are especially susceptible to malaria infection. Without existing
immunity, severe malaria can develop requiring emergency treatment, and pregnancy
loss is common. In semi-immune women, consequences of malaria for the mother
include anaemia while stillbirth, premature delivery and foetal growth
restriction affect the developing foetus. Preventive measures include insecticide
treated nets and (in some African settings) intermittent preventive treatment.
Prompt management of maternal infection is key, using parenteral artemisinins for
severe malaria, and artemisinin combination treatments (ACTs) in the second and
third trimesters of pregnancy. ACTs may soon also be recommended as an
alternative to quinine as a treatment in the first trimester of pregnancy.
Monitoring the safety of antimalarials and understanding their pharmacokinetics
is particularly important in pregnancy with the altered maternal physiology and
the risks to the developing foetus. As increasing numbers of countries embrace
malaria elimination as a goal, the special needs of the vulnerable group of
pregnant women and their infants should not be overlooked.
PMID- 29355137
TI - Interplay of cytokines in preterm birth.
AB - Preterm infants (i.e., born before <37 wk of gestation) are at increased risk of
morbidity and mortality and long-term disabilities. Global prevalence of preterm
birth (PTB) varies from 5 to 18 per cent. There are multiple aetiological causes
and factors associated with PTB. Intrapartum infections are conventionally
associated with PTB. However, maternal genotype modulates response to these
infections. This review highlights the association of cytokine gene polymorphisms
and their levels with PTB. Varying PTB rates across the different ethnic groups
may be as a result of genetically mediated varying cytokines response to
infections. Studies on genetic variations in tumour necrosis factor-alpha,
interleukin-1 alpha (IL-1alpha), IL-1beta, IL-6, IL-10 and toll-like receptor-4
genes and their association with PTB, have been reviewed. No single polymorphism
of the studied genes was found to be associated with PTB. However, increased
maternal levels of IL-1beta and IL-6 and low levels of IL-10 have been found to
be associated with PTB.
PMID- 29355139
TI - Prognostic significance of plasma matrix metalloprotease-2 in pancreatic cancer
patients.
AB - BACKGROUND & OBJECTIVES: Pancreatic cancer has a propensity for wide stromal
invasion. Matrix metalloprotease-2 (MMP-2) is a protease that degrades the peri
tumoural tissue and helps in tumour dissemination. Thus, this study was aimed to
assess any association of plasma MMP-2 levels with clinicopathological parameters
and survival of patients with pancreatic cancer. METHODS: Plasma samples from 127
pancreatic cancer patients were analyzed for MMP-2 levels by ELISA. Survival and
other clinicopathological parameters of patients were analyzed for any
correlation with plasma MMP-2 levels. RESULTS: The mean MMP-2 levels in
pancreatic cancer patients were 560.3+/-222.0 ng/ml which were significantly
elevated compared to chronic pancreatitis patients (P<0.001) and healthy
individuals (P<0.05). The plasma levels of MMP-2 significantly correlated with
tissue expression of this protease (P=0.004). However, MMP-2 levels did not
exhibit any association either with clinicopathological parameters or with
survival. INTERPRETATION & CONCLUSIONS: Elevated MMP-2 levels were observed in
blood of pancreatic cancer patients which correlated with its tissue expression.
However, these levels did not associate with survival or any clinicopathological
parameters of patients. Further studies need to be done to confirm the
prognostic/ clinical significance of MMP-2 in cancer patients before and after
surgery.
PMID- 29355140
TI - Impact of embryo co-culture with cumulus cells on pregnancy & implantation rate
in patients undergoing in vitro fertilization using donor oocyte.
AB - BACKGROUND & OBJECTIVES: Cumulus cell co-culture of embryo had been found to be
beneficial for achieving better pregnancy and implantation rate (IR). The present
study was aimed to evaluate efficiency of cumulus co-culture technique over
simple culture of embryo in terms of pregnancy rate (PR) and IR in patients
undergoing treatment for infertility using donor oocytes fertilized by
intracytoplasmic sperm injection. METHODS: This was a quasi-experimental study
between control and study groups. The primary endpoint was achievement of
pregnancy. Control group included 508 women who underwent embryo development
without cumulus cell co-culture and study group included 394 women who underwent
embryo development with cumulus cell co-culture using donor's cumulus cells.
RESULTS: The present study demonstrated a significant increase in the IR (37.2 vs
24.2%, P<0.001) and in PR (45.7 vs 37.8%, P<0.05) in study group than in control
group. The PR and IR were found to be higher in study group, among all groups of
women, grouped on the basis of different indications for use of donor oocytes.
INTERPRETATION & CONCLUSIONS: Cumulus cell co-culture technique was found to be
more effective than simple culture technique for embryo development in women
undergoing treatment for infertility using donor oocytes fertilized by
intracytoplasmic sperm injection.
PMID- 29355141
TI - An observational study of incidence, risk factors & outcome of systemic
inflammatory response & organ dysfunction following major trauma.
AB - BACKGROUND & OBJECTIVES: Trauma is known to lead to systemic inflammatory
response syndrome (SIRS) and multiple organ dysfunction syndrome (MODS), which is
often a cause of late deaths after injury. SIRS and MODS have been objectively
measured using scoring systems. This prospective observational study was carried
out in a tertiary care hospital in India to evaluate SIRS and MODS following
trauma in terms of their incidence, the associated risk factors and the effect on
the outcome. METHODS: All adult patients with major life- and limb-threatening
trauma were included. Patients who died within 24 h, those with severe head
injury, known comorbidity, immunocompromised state, on immunosuppressants or
pregnancy were excluded. SIRS and MODS scores were recorded after initial
management (baseline score), on days 3 and 6 of admission. SIRS was defined as
SIRS score of >=2 and MODS was defined as MODS score of >=1. RESULTS: Two hundred
patients were enrolled. SIRS was noted in 156 patients (78%). MODS was noted in
145 (72.5%) patients. Overall mortality was 39 (19.5%). Both SIRS and MODS scores
were significantly associated with age >60 yr, blunt injury, (lower) revised
trauma score hypotension on admission and (higher) injury severity score, but not
with gender, pre-hospital time or operative treatment. INTERPRETATION &
CONCLUSIONS: Both SIRS and MODS scores were associated with longer Intensive Care
Unit (ICU) stay, more ICU interventions and higher mortality. Incidence of MODS
was significantly higher in patients with SIRS. Both scores showed rising trend
with time in non-survivors and a decreasing trend in survivors. The serial
assessment of scores can help prognosticate outcome and also allocate appropriate
critical care resources to patients with rising scores.
PMID- 29355142
TI - Cost of delivering secondary-level health care services through public sector
district hospitals in India.
AB - BACKGROUND & OBJECTIVES: Despite an impetus for strengthening public sector
district hospitals for provision of secondary health care in India, there is lack
of robust evidence on cost of services provided through these district hospitals.
In this study, an attempt was made to determine the unit cost of an outpatient
visit consultation, inpatient bed-day of hospitalization, surgical procedure and
overall per-capita cost of providing secondary care through district hospitals.
METHODS: Economic costing of five randomly selected district hospitals in two
north Indian States - Haryana and Punjab, was undertaken. Cost analysis was done
using a health system perspective and employing bottom-up costing methodology.
Quantity of all resources - capital or recurrent, used for delivering services
was measured and valued. Median unit costs were estimated along with their 95 per
cent confidence intervals. Sensitivity analysis was undertaken to assess the
effect of uncertainties in prices and other assumptions; and to generalize the
findings for Indian set-up. RESULTS: The overall annual cost of delivering
secondary-level health care services through a public sector district hospital in
north India was ' 11,44,13,282 [US Dollars (USD) 2,103,185]. Human resources
accounted for 53 per cent of the overall cost. The unit cost of an inpatient bed
day, surgical procedure and outpatient consultation was ' 844 (USD 15.5), ' 3481
(USD 64) and ' 170 (USD 3.1), respectively. With the current set of resource
allocation, per-capita cost of providing health care through district hospitals
in north India was ' 139 (USD 2.5). INTERPRETATION & CONCLUSIONS: The estimates
obtained in our study can be used for Fiscal planning of scaling up secondary
level health services. Further, these may be particularly useful for future
research such as benefit-incidence analysis, cost-effectiveness analysis and
national health accounts including disease-specific accounts in India.
PMID- 29355143
TI - Value of CD16/CD66b/CD45 in comparison to CD55/CD59/CD45 in diagnosis of
paroxysmal nocturnal haemoglobinuria: An Indian experience.
AB - BACKGROUND & OBJECTIVES: Diagnosis of paroxysmal nocturnal haemoglobinuria (PNH),
a rare haematopoietic stem cell disorder, is challenging in patients with bone
marrow failure (BMF) syndrome like aplastic anaemia (AA). This study was
conducted with the aim to test the efficacy of the newly recommended markers viz.
anti-CD16 and CD66b antibody over the existing anti-CD55 and CD59 antibody for
PNH diagnosis in India. METHODS: This study was conducted on 193 suspected cases
of PNH by flow cytometry using lyse wash technique to stain the granulocytes with
CD16/CD66b and CD55/CD59. RESULTS: Of the 193 suspected cases, 62 patients showed
the presence of PNH clone. Forty six patients were detected by CD55/CD59/CD45,
whereas 61 were detected by CD16/CD66b/CD45. CD16/CD66b detected 16 (25.8%)
additional patients over CD55/CD59 (P<0.05) and was more sensitive in detecting
the PNH clone with higher negative predictive value. Most of the patients (11/16)
who were picked up by CD16/CD66b were of AA who had small clone sizes. Further,
the PNH clones were more discreetly identified in CD16/CD66b plots than by
CD55/CD59. Clone size assessed by CD16/CD66b which reflects the clinical severity
of classical PNH (thrombosis/haemolysis), was more representative of the
underlying clinical condition than CD55/59. INTERPRETATION & CONCLUSIONS: In our
experience of 62 patients of PNH, CD16/CD66b proved to be more efficacious in
detecting PNH. The new panel was especially useful in monitoring PNH associated
with BMF which had small clone sizes.
PMID- 29355144
TI - Ethyl pyruvate improves skin flap survival after ischaemia reperfusion injury.
AB - BACKGROUND & OBJECTIVES: Reperfusion after ischaemia is detrimental to the
tissues. The oxidative stress created and cytokines released are mostly
responsible in this process. In this study, ethyl pyruvate, a known agent for its
anti-inflammatory and antioxidant properties, was used to investigate the effects
on ischaemia/reperfusion injury on skin island flaps in rats. METHODS: Sixty rats
were randomly distributed in three groups (non-ischaemic, ischaemic and
medication groups). Ethyl pyruvate was administered in the medication group with
a dose of 50 mg/kg. After 24 h and one week, the animals were sacrificed, and the
flaps were analyzed macroscopically, histopathologically, biochemically (total
nitrite, malondialdehyde and myeloperoxidase). RESULTS: Biochemical markers
indicating oxidative stress, were found elevated in ischaemic group, whereas
medication with ethyl pyruvate significantly reduced these values. There was a
significant reduction (P<0.05) in the levels of these markers between ischaemic
and medication groups. Ethyl pyruvate improved all the parameters significantly.
INTERPRETATION & CONCLUSION: Ethyl pyruvate showed strong scavenger activity
against reactive oxygen species. It could be a potential candidate to improve the
flap viability in reconstructive microsurgery, especially in free tissue
transfers. However, more studies are warranted in experimental models to confirm
these findings.
PMID- 29355145
TI - Molecular characterization of Plasmodium falciparum in Arunachal Pradesh from
Northeast India based on merozoite surface protein 1 & glutamate-rich protein.
AB - BACKGROUND & OBJECTIVES: Northeast (NE) India is one of the high endemic regions
for malaria with a preponderance of Plasmodium falciparum, resulting in high
morbidity and mortality. The P. falciparum parasite of this region showed high
polymorphism in drug-resistant molecular biomarkers. However, there is a paucity
of information related to merozoite surface protein 1 (msp-1) and glutamate-rich
protein (glurp) which have been extensively studied in various parts of the
world. The present study was, therefore, aimed at investigating the genetic
diversity of P. falciparum based on msp-1 and glurp in Arunachal Pradesh, a State
in NE India. METHODS: Two hundred and forty nine patients with fever were
screened for malaria, of whom 75 were positive for P. falciparum. Blood samples
were collected from each microscopically confirmed patient. The DNA was
extracted; nested polymerase chain reaction and sequencing were performed to
study the genetic diversity of msp-1 (block 2) and glurp. RESULTS: The block 2 of
msp-1 gene was found to be highly polymorphic, and overall allelic distribution
showed that RO33 was the dominant allele (63%), followed by MAD20 (29%) and K1
(8%) alleles. However, an extensive diversity (9 alleles and 4 genotypes) and 6
10 repeat regions exclusively of R2 type were observed in glurp. INTERPRETATION &
CONCLUSIONS: The P. falciparum population of NE India was diverse which might be
responsible for higher plasticity leading to the survival of the parasite and in
turn to the higher endemicity of falciparum malaria of this region.
PMID- 29355146
TI - Development & standardization of an in-house IgM indirect ELISA for the detection
of parvovirus B19 infections.
AB - BACKGROUND & OBJECTIVES: Parvovirus B19 infections occur worldwide; the infection
is acquired early in childhood but could occur later. B19 is reported to cause
infection in childhood febrile illnesses, and arthropathies in adults and
children and in end-stage renal disease (ESRD) seen in adults. This study was
designed to develop an in-house IgM indirect ELISA for serological screening
among patients and controls, and to compare ELISA results with those of nested
polymerase chain reaction (nPCR) assay. METHODS: An in-house IgM indirect ELISA
was standardized using peptide sequence of VP1/VP2 region of parvovirus B19. A
total of 201 children and adult with febrile illnesses, 216 individuals with non
traumatic arthropathies, 201 cases of chronic anaemia associated with ESRD and
100 healthy controls were tested. Serum was separated from the blood and
subsequently used for DNA extraction. The nested polymerase chain reaction (nPCR)
for the detection of B19V DNA was performed using primers targeting the
overlapping region of VP1/VP2 capsid protein genes. RESULTS: A total of 618
samples were tested for parvovirus B19 by an in-house IgM indirect ELISA. Among
these samples, six were positive by in-house ELISA. The inter-rater agreement
between ELISA and PCR assays was calculated using kappa coefficient analysis. The
value of kappa was 0.77 and the strength of agreement was 'good' (P<0.001).
INTERPRETATION & CONCLUSIONS: The in-house IgM indirect ELISA was found to be
simple with high sensitivity and specificity when compared with nPCR and could be
used as an alternative to expensive commercial kits in resource-poor settings.
PMID- 29355148
TI - Multiplex polymerase chain reaction of genetic markers for detection of
potentially pathogenic environmental Legionella pneumophila isolates.
AB - BACKGROUND & OBJECTIVES: Genomic constitution of the bacterium Legionella
pneumophila plays an important role in providing them a pathogenic potential.
Here, we report the standardization and application of multiplex polymerase chain
reaction (PCR) for the detection of molecular markers of pathogenic potential in
L. pneumophila in hospital environment. METHODS: Culture of the standard strains
of L. pneumophila was performed in buffered charcoal-yeast extract agar with L
cysteine at p H 6.9. Primers were designed for multiplex PCR, and standardization
for the detection of five markers annotated to L. pneumophila plasmid pLPP
(11A2), lipopolysaccharide synthesis (19H4), CMP-N-acetylneuraminic acid
synthetase (10B12), conjugative coupling factor (24B1) and hypothetical protein
(8D6) was done. A total of 195 water samples and 200 swabs were collected from
the hospital environment. The bacterium was isolated from the hospital
environment by culture and confirmed by 16S rRNA gene PCR and restriction enzyme
analysis. A total of 45 L. pneumophila isolates were studied using the
standardized multiplex PCR. RESULTS: The PCR was sensitive to detect 0.1 ng/MUl
DNA and specific for the two standard strains used in the study. Of the 45
hospital isolates tested, 11 isolates had four markers, 12 isolates had three
markers, 10 isolates had two markers, nine isolates had one marker and three
isolates had none of the markers. None of the isolates had all the five markers.
INTERPRETATION & CONCLUSIONS: The findings of this study showed the presence of
gene markers of pathogenic potential of the bacterium L. pneumophila. However,
the genomic constitution of the environmental isolates should be correlated with
clinical isolates to prove their pathogenic potential. Rapid diagnostic methods
such as multiplex PCR reported here, for elucidating gene markers, could help in
future epidemiological studies of bacterium L. pneumophila.
PMID- 29355147
TI - Unreliability of three commercial Coxiella burnetii phase II IgM ELISA kits for
the seroscreening of acute Q fever in human cases.
AB - BACKGROUND & OBJECTIVES: Seroprevalence of Q fever (QF) caused by Coxiella
burnetii has been reported from different parts of India. Usually
serological/molecular tests are employed for detection of infection. The present
study was undertaken to verify the validity of three different QF phase II IgM
ELISA kits for acute QF diagnosis by comparing with the gold standard indirect
fluorescent antibody assay (IFA). METHODS: Fifty eight serum samples collected
from 42 patients (26 patients provided acute sample only and 16 both acute and
convalescent samples) which were examined by all three commercial kits, were
cross-checked with QF Phase II IgM IFA for confirmation. RESULTS: Eleven patients
were positive for C. burnetii antibodies by IFA in acute and/or convalescent
serum samples. Taking IFA as a reference, percentages of sensitivity,
specificity, positive predictive value and negative predictive value for Virion
Serion/Vircell/NovaTec were 36.36, 61.29, 25.00, 73.08; 81.82, 35.48, 31.03,
84.62 and 100, 25.81, 32.35, 100 per cent, respectively. INTERPRETATION &
CONCLUSIONS: The three different ELISA kits exhibited poor agreement amongst them
and unacceptable level of false positivity. IFA remains to be the only option for
diagnosing acute QF. Discrepancy between the clinical findings and IFA/ELISA
results needs confirmation by C. burnetii DNA detection in real-time polymerase
chain reaction.
PMID- 29355150
TI - Evaluation of casein & whey protein hydrolysates as well as milk fermentates from
Lactobacillus helveticus for expression of gut hormones.
AB - BACKGROUND & OBJECTIVES: Milk proteins play a beneficial role in the regulation
of food intake, postprandial glycaemia and enteroendocrine hormone secretions and
thus are receiving considerable attention for the management of metabolic
inflammatory disorders such as type 2 diabetes mellitus (T2DM). The objective of
this study was to evaluate the efficacy of peptide/s obtained from milk proteins
(casein and whey) as well as from the milk fermented with Lactobacillus
helveticus as secretagogues for gut hormones and to purify and characterize the
active peptides. METHODS: Effect of hydrolysates of casein protein (CP) and whey
protein (WP) and L. helveticus fermented milk on the expression of proglucagon,
pro-gastric inhibitory peptide (GIP) and cholecystokinin (CCK) genes was
monitored by real-time quantitative polymerase chain reaction. The active
glucagon-like peptide-1 (GLP-1) secretion was also quantitatively measured using
ELISA. RESULTS: Hydrolysates of CP and WP as well as fermentates of L. helveticus
induced the proglucagon, pro-GIP and CCK expression and secretion of GLP-1 in STC
1 (pGIP/Neo) cells. However, intact casein exhibited maximum GLP-1 secretion and
proglucagon expression. Two active peptides (F5 and F7) derived from CP1 and WP3
hydrolysates having the ability to upregulate the GLP-1 secretion by 1.6 and 1.8
folds were obtained, and the mass was found to be 786 and 824 Da, respectively,
as determined by electrospray ionization-mass spectrometry. However, no single
active peptide from L. helveticus fermented milk could be obtained.
INTERPRETATION & CONCLUSIONS: Casein as well as fermentates obtained from L.
helveticus fermented milk showed higher potential for GLP-1 induction. These can
be explored as novel therapeutics to T2DM effectively after demonstrating their
in vivo efficacy in appropriate animal models.
PMID- 29355149
TI - Prevalence of Vibrio cholerae O1 serogroup in Assam, India: A hospital-based
study.
AB - BACKGROUND & OBJECTIVES: Although cholera remains to be an important public
health problem, studies on reliable population-based estimates of laboratory
confirmed cholera in endemic areas are limited worldwide. The aim of this
hospital-based study was to evaluate the prevalence of Vibrio cholerae serogroup
in Assam, India, during 2003-2013. METHODS: Stool samples/rectal swabs were
collected from acute watery diarrhoea (AWD) cases during 2003-2013 and processed
by standard microbiological procedures. Antibiotic sensitivity test was done
following the Clinical and Laboratory Standards Institute guidelines. Year-wise
epidemiological trend of cholera was analyzed. RESULTS: Cholera contributed to
3.93 per cent of AWD cases. In Assam, cholera was found to be more prevalent in
the rural areas (6.7%) followed by the tea gardens (5.06%), urban slum (1.9%) and
urban areas (1.4%). Highest proportion of cholera (13.7%) was observed in 0-10 yr
age group. Of them, 11.5 per cent belonged to 0-5 yr age group. V. cholerae O1 El
Tor serotype Ogawa was the predominant isolate. Multiple drug-resistant isolates
of V. cholerae O1 Ogawa were reported in the study. INTERPRETATION & CONCLUSIONS:
Emergence of resistance amongst V. cholerae towards many antibiotics is a matter
of concern. Hence, continuous surveillance for diarrhoeal disorders is necessary
to control the future outbreaks of cholera in this region.
PMID- 29355151
TI - Staphylococcus hominis subsp. novobiosepticus, an emerging multidrug-resistant
bacterium, as a causative agent of septicaemia in cancer patients.
AB - Staphylococcus hominis subsp. novobiosepticus is a new sub-species of S. hominis,
thus dividing S. hominis into subsp. hominis and novobiosepticus. This study was
designed to identify subsp. novobiosepticus isolates amongst the S. hominis
isolated from blood samples of patients with malignancy and septicaemia and to
study their resistance profile. The identification was performed by using three
simple tests which differentiated between the two sub-species. It was found that
22.8 per cent of S. hominis isolates belonged to subsp. novobiosepticus.
PMID- 29355152
TI - Out-of-pocket expenditure due to hepatitis A disease: A study from Kollam
district, Kerala, India.
PMID- 29355153
TI - Ocular lesions from copper deficiency.
PMID- 29355154
TI - Authors' Response.
PMID- 29355155
TI - Blast effect of shotgun injury in kidney mimicking avulsion of the low pole.
PMID- 29355156
TI - Relationship between foetal haemoglobin and haematological indices in children
with sickle cell anaemia from South Western Nigeria.
AB - BACKGROUND: Foetal haemoglobin (HbF, alpha2gamma2) retards polymerisation of
haemoglobin (Hb) in sickle cell anaemia (SCA). In Nigeria, studies on the levels
of HbF and its relationship with haematological indices are scanty. This study
evaluated HbF concentrations of children with SCA from Southwestern Nigeria and
correlated the levels with various haematological indices. MATERIALS AND METHODS:
HbF levels were quantified by high-performance liquid chromatography and
haematological parameters determined with automated haemoanalyser. The
relationship between steady-state HbF levels and blood parameters were assessed
by statistical analyses. RESULTS: The mean HbF of the 91 children with SCA (9.6%
+/- 5.9%) was significantly higher than 0.5 +/- 0.7% for the 91 age- and sex
matched controls, P < 0.001. About two-third of children with SCA, sixty (65.9%)
had low HbF levels (HbF of < 10%) whereas about one-third, 31 (34.1%) had high
HbF level (HbF of >= 10%). The mean Hb concentration, haematocrit (Hct) and total
red blood cell count were significantly lower amongst children with SCA, whereas
the total white blood cell (WBC) counts, neutrophils, monocyte and lymphocyte
percent, platelet counts, mean corpuscular Hb (MCH) and MCH concentration were
significantly higher. HbF had a positive but weak correlation with Hct (r = 0.24,
P = 0.014), Hb concentration (r = 0.21, P = 0.047) and red cell distribution
width (r = 0.25, P = 0.015) and an inverse correlation with WBC count (r = -0.23,
P = 0.038). CONCLUSION: Children with SCA had higher levels of HbF than matched
controls. HbF had an inverse correlation with the WBC count and direct
relationship with Hct and Hb concentration. It is recommended that routine
determination of HbF and its induction are essential to maintain optimal
haematological state of patients with SCD.
PMID- 29355157
TI - Urinary schistosomiasis in school children of a southern nigerian community 8
years after the provision of potable water.
AB - BACKGROUND: Urinary schistosomiasis, one of the neglected tropical diseases, is a
major infection of public health importance in Nigeria. Control measures include
the provision of potable water as the main strategy, population-based
chemotherapy and health education. AIMS AND OBJECTIVES: The aim of this study was
to determine the effect of the provision of potable water on the prevalence and
intensity of infection with Schistosoma haematobium in Adim community, Cross
River State, Nigeria. SUBJECTS AND METHODS: A cross-sectional survey was carried
out among school and children aged 5-14 years in Adim community in Cross River
State using the polyamide millipore filter technique and ova detection and count
compared with the situation that obtained 8 years earlier before the provision of
potable water. The prevalence and intensity of haematuria and proteinuria by
reagent strips were also compared between the two eras. RESULTS: The prevalence
of schistosomiasis was 14.5% compared to 51% in the prepotable water era (P =
0.001). The intensity of the infection was also significantly reduced between the
two eras with 1.3% of the children having a severe intensity compared to 4.5% in
the prepotable water era. CONCLUSIONS/RECOMMENDATIONS: The prevalence and
intensity of S. haematobium have significantly reduced in this community though
not yet eliminated. More boreholes need to be provided to make the water more
accessible. This could be combined with other measures to eradicate S.
haematobium from this community.
PMID- 29355158
TI - Histological types of soft-tissue sarcomas at the lagos university teaching
hospital.
AB - OBJECTIVE: There is scanty data on histologically diagnosed soft-tissue sarcomas
in the Nigerian literature. This is due to paucity of facilities for ancillary
testing as well as a dearth of specialist soft tissue pathologists. Knowledge
however of the common soft-tissue sarcomas is vital for the establishment of an
effective sarcoma service. The aim of this study, therefore, was to determine the
histological spectrum of soft-tissue sarcomas in Lagos, Nigeria. MATERIALS AND
METHODS: Archival haematoxylin and eosin (H and E)-stained slides were retrieved
and reviewed by a team of soft-tissue pathologists at the Royal National
Orthopaedic Hospital, London, UK. Immunohistochemistry and fluorescent in situ
hybridisation studies were performed on cases without definitive diagnosis on
routine H and E. RESULTS: Fifty-two cases were studied. The male-to-female ratio
was 1:1.3, with a median age of 33 years. Most sarcomas (57.5%) were of
intermediate malignant potential according to 2013 World Health Organization
classification. Kaposi sarcoma (37.5%), undifferentiated sarcomas (22.5%),
dermatofibrosarcoma protuberans (15%) and myxofibrosarcomas (7.5%) were the most
common sarcomas seen in adults. There was no case of liposarcoma. Sarcomas in the
younger age group (<20 years) accounted for 23.1% of cases with embryonal
rhabdomyosarcoma accounting for the majority. CONCLUSION: Soft-tissue sarcomas in
adults in Lagos Nigeria show a different morphologic spectrum than those reported
in Western countries.
PMID- 29355159
TI - Colour doppler sonography of the penis in the evaluation of erectile dysfunction:
Our experience in Abuja, Nigeria.
AB - BACKGROUND: Erectile dysfunction (ED) is an inability to achieve and maintain
erectile rigidity sufficient for satisfactory sexual performance. It is either
organic or psychogenic in origin. This study was aimed at establishing
vasculogenic causes among patients being evaluated for ED using triplex Doppler
Ultrasound. PATIENTS AND METHODS: This study was conducted at the Department of
Radiology, Federal Medical Centre, Abuja, Nigeria from July 2015 to January 2017.
Thirty-five consecutive patients with the clinical diagnosis of ED were evaluated
with colour Doppler ultrasound scan using a high-frequency linear transducer. The
penile scan was done before and after intracavernosal injection of 10-20 MUg
prostaglandin E1. The waveforms of cavernosal arteries (CAs) were obtained
alternately using angle of inclination <=60 degrees . The spectral waveforms and
peak systolic velocities (PSV) of the CA were documented at 5-min intervals, from
5 to 50 min. RESULTS: PSV of CA varied between 19.5 and 104.4 cm/s (mean: 42.4 +/
17.6) among the entire patients and between 19.5 and 24.7 cm/s (mean: 21.9 +/-
1.7) among patients with arteriogenic ED. Arteriogenic ED was found in six
patients (17%), while venogenic ED was observed in ten patients, which
constituted 29% of the entire participants. None had combined arteriogenic and
venogenic ED. Peyronie's disease was observed in seven patients, and none of
these had vasculogenic ED. CONCLUSION: About 46% of the patients had vasculogenic
ED. It is therefore imperative that patients with ED benefit from this safe,
cheap and non-ionising diagnostic modality before initiating therapy as ED
treatment is cause specific.
PMID- 29355160
TI - Predictive factors of management outcome in adult patients with mechanical
intestinal obstruction.
AB - BACKGROUND: Mechanical intestinal obstruction (MIO) is a common and potentially
fatal surgical emergency, which constitutes about 20% of all admissions to the
surgical emergency departments. OBJECTIVE: To determine the predictive factors of
morbidity and mortality in patients undergoing treatment for MIO at our tertiary
hospital. PATIENTS AND METHODS: This was a prospective study of consecutive
patients, 18 years and above, that presented with features of MIO during a 1-year
period (May 2014 to April 2015). Each patient had resuscitation, comprehensive
clinical evaluation, appropriate investigations and definitive treatment. The
data were analysed using SPSS version 22. RESULTS: One hundred and five patients
were studied. The age range was 18-86 years with a mean (standard deviation) of
45.6 (14.8) years. There were 54 males with a male to female ratio of 1.1-1. The
common causes of MIO were post-operative adhesion (48.6%), tumour (25.7%),
external hernia (15.2%) and volvulus (5.7%). Eighty-four patients (80%) had
operative intervention while 21 patients (20%) had conservative management.
Univariate analysis showed that dehydration, tachycardia (>90 bpm), pyrexia,
abnormal levels of potassium, urea and creatinine, leucocytosis, American Society
of Anesthesiologists (ASA) status >IIIE, bowel resection, intraoperative blood
loss >500 ml and duration of surgery >2 h were significant predictors of
mortality (P < 0.05). Multivariate analysis showed that elevated serum urea at
hospital presentation and ASA status greater than IIIE were the independent
predictors of mortality, but none of the factors could independently predict
morbidity. The most common post-operative complication and cause of death were
wound infection (29.6%) and sepsis (66.7%). The mortality rate was 14.3%.
CONCLUSION: The most common cause of MIO was post-operative adhesion. Elevated
serum urea and ASA status greater than IIIE were the independent predictors of
mortality.
PMID- 29355161
TI - Pre- and Post-ductal oxygen saturation among apparently healthy low birth weight
neonates.
AB - INTRODUCTION: Reference values of oxygen saturation (SpO2) to guide care of low
birth weight neonates have been obtained mainly from Caucasians. Data from
African newborns are lacking. To determine the pre- and post-ductal SpO2values of
low birth weight neonates within the first 72 h of life, compare SpO2values of
moderate-late preterm and term low birth weight neonates and determine how mode
of delivery affected SpO2in the first 24 h of life. METHODOLOGY: An observational
descriptive study was carried out on apparently healthy low birth weight newborns
weighing 1500 to <=2499 g. Pre and post ductal SpO2values were recorded at the
following hours of life: 10-24 h, >24-48 h and >48-72 h using a NONIN(r) pulse
oximeter. RESULTS: The ranges of pre- and post-ductal SpO2in the study were
similar for both preterm and term neonates in the study (89%-100%). The mean
(standard deviation [SD]) pre-ductal SpO2was 95.9% (2.3) and the mean (SD) post
ductal SpO2was 95.9% (2.1). There was a significant increase in pre-ductal
SpO2from 10 to 24 h through >48-72 h of life (P = 0.027). The mode of delivery
did not affect SpO2values within 10-24 h of life. CONCLUSION: The present study
documented daily single pre- and post-ductal SpO2 values for preterm and term low
birth weight neonates weighing 1500 g to <2500 g during the first 72 h of life.
The overall range and mean pre- and post-ductal SpO2 were similar for both
categories of stable low birth weight neonates in the study. There was no
significant difference between SpO2ranges for late preterm compared to term low
birth weight neonates. The results obtained could serve as guide in assessing
SpO2of low birth weight neonates weighing between 1500 and 2499 g in the first 72
h of life.
PMID- 29355162
TI - Effects of low-dose intravenous dexamethasone combined with caudal analgesia on
post-herniotomy pain.
AB - BACKGROUND: Caudal analgesia for postoperative pain relief in paediatric day-case
surgery has been found to be of short duration, hence the need for addition of
adjuncts to prolong the analgesia. OBJECTIVE: The objective of the study was to
compare the analgesic effects of caudal block with or without low-dose
intravenous dexamethasone in children undergoing day-case herniotomy. PATIENTS
AND METHODS: This was a prospective randomised controlled study conducted in male
patients, aged between 1 and 7 years scheduled for herniotomy. A total of 94
patients were randomised into two groups. Group A received intravenous 0.25 mg/kg
dexamethasone in 5 ml solution, whereas Group B received equivalent volume of
intravenous normal saline. All the patients had a caudal block. Post-operative
pain was assessed and recorded in post-anaesthesia care unit (PACU) using
objective pain scale. Time to first analgesia request (TFA), pain scores and
complications were documented. Data were analysed using Statistical Package for
the Social Sciences version 21.0. RESULTS: A total of 94 patients were analysed
with a mean age of 3.30 +/- 1.67 and 3.06 +/- 1.50 years for Groups A and B,
respectively. The TFA request was 654.18 +/- 31.56 and 261.50 +/- 10.82 min in
Groups A and B, respectively, P = 0.0001. Postoperatively, in the PACU, there was
statistically significant difference in pain score between the two groups at 0,
30, 60, 120, 180 and 240 min (P = 0.0001) all through. CONCLUSION: The use of low
dose intravenous dexamethasone (0.25 mg/kg) in combination with caudal block
prolonged duration of analgesia, reduced pain scores and analgesic consumption
postoperatively, in children undergoing day-case herniotomy.
PMID- 29355163
TI - The role of transrectal ultrasound-guided fine-needle aspiration biopsy in the
diagnosis of prostate cancer: Sextant versus extended protocol.
AB - AIM AND OBJECTIVES: The aim of this study is to compare the prostate cancer
detection rates of sextant and extended transrectal ultrasound (TRUS)-guided fine
needle aspiration biopsy (FNAB) protocols. MATERIALS AND METHODS: This was a
prospective study of 96 patients investigated for prostate cancer. An extended 10
aspiration TRUS-guided FNAB using a 22G Echotip Chiba needle was performed.
Inclusion criteria included the presence of one or more of the following:
Abnormal digital rectal examination (DRE) findings, persistently elevated
prostate specific antigen, and abnormal prostatic imaging. A set of traditional
sextant aspirations were carried out as well as four laterally guided aspirations
taken from the middle base of the peripheral zone on either side. The cancer
detection rates of sextant and extended (combination of sextant and lateral) FNAB
protocols were determined and compared. The value of P < 0.05 was considered
statistically significant. RESULTS: The overall cancer detection rate was 24%.
Benign cases were reported in 71.8% of patients and 4.2% reported as suspicious.
Of the 23 patients' aspirations positive for malignancy, 16 (69.6%) were
detectable by the sextant protocol while the lateral protocol detected 21
(91.3%). Two cancers were detected by the sextant protocol only (where the
lateral technique was negative for malignancy), 7 cancers were detected by the
lateral protocol only while 14 cancers were positive in both the sextant and
lateral protocols. The extended protocol showed a statistically significant 30.4%
increase in cancer detection over the traditional sextant (P = 0.007).
CONCLUSION: The extended protocol rather than the sextant protocol should be
offered to patients who require FNAB of the prostate as the optimum FNAB
protocol.
PMID- 29355164
TI - Serum level of prostate-specific antigen in diabetic patients in Basrah, Iraq.
AB - OBJECTIVES: The aim of this study was to determine the effect of type 2 diabetes
mellitus (DM) on the serum level of prostate-specific antigen (PSA) in men in
Basrah, Iraq. PATIENTS AND METHODS: A case-control study was done including 70
confirmed type 2 diabetic patients and 70 non-diabetic persons. Data about age
and family history of diabetes were collected. For diabetic patients, data
related to disease history were also enquired about. Weight and height were
measured and body mass index (BMI) was calculated. Blood examination was done to
estimate fasting plasma glucose and PSA. RESULTS: The mean ages of diabetic and
non-diabetic patients were 55.2 +/- 10.5 and 55.9 +/- 10.9 years, respectively.
The mean total serum PSA was significantly lower among diabetic than non-diabetic
men (1.97 +/- 1.05 ng/ml vs. 2.60 +/- 1.22 ng/ml, respectively, P = 0.001). The
multivariate linear regression analysis showed that age, DM and BMI were
independent predictors of serum PSA variation. Age was significantly related to
PSA in non-diabetics, but not in diabetic patients. CONCLUSION: Serum PSA level
is significantly lower and less age dependent in type 2 diabetic patients than in
non-diabetics. Therefore, DM should be considered in setting of PSA threshold
when screening for prostate cancer.
PMID- 29355165
TI - Comparison of efficacy of cell block versus conventional smear study in exudative
fluids.
AB - INTRODUCTION: Cytological examination of serous effusions helps in staging,
prognostication and management of patients with malignancy. The method has
disadvantage of lower sensitivity in differentiating reactive atypical
mesothelial cells from malignant cells. AIM: The aim of this study is to compare
the cytological features of pleural and peritoneal exudative fluids by
conventional smear (CS) method and cell block (CB) method and also to assess the
utility of a combined approach for cytodiagnosis of these effusions. MATERIALS
AND METHODS: One hundred and fifty-three pleural and peritoneal exudative fluid
samples were subjected to evaluation by both CS and CB methods over a period of 2
years. Cellularity, architecture patterns, morphological features and yield for
malignancy were compared, using the two methods. Sensitivity, specificity,
positive predictive value (PPV), negative predictive value (NPV) and accuracy for
diagnosing malignancy were calculated by both methods, using histology as a gold
standard. RESULTS: CB method provided higher cellularity, better architectural
patterns and additional yield for malignancy as compared to CS method (P <
0.005). Sensitivity, specificity, PPV, NPV, and accuracy by CS method were 69.2%,
95%, 56.25%, 97.08% and 92.8%, while by CB method were 92.30%, 99.2%, 92.30%,
99.28% and 98.6%. CONCLUSION: The present study shows that it is advisable to
routinely make CBs before discarding specimens that are suspicious for malignancy
by smear examination.
PMID- 29355166
TI - Giant cell tumour of the clavicle: A rare presentation of a locally aggressive
tumour.
AB - We report a case of a 28-year-old female who presents with painless,
progressively increasing left upper chest swelling of 6 months duration.
Examination revealed a 20 by 10 cm firm mass arising from the clavicle. She was
investigated and a diagnosis established based on the histological report. The
tumour was excised with a wide margin and reconstruction done with an autogenous
fibula graft. Full functional ability was restored in limb with the radiological
union. After 5 years of regular follow-up, there was no evidence of recurrence
and shoulder function remained excellent. The successful management of this
patient shows wide local excision and reconstruction as an excellent surgical
option in treating giant cell tumour of the clavicle.
PMID- 29355167
TI - Preoperative bowel preparation complicated by lethal hypermagnesaemia and acute
nephropathy.
AB - Hypermagnesaemia is an uncommon but serious disorder. An elderly woman presented
with severe cardiovascular collapse, neurologic depression and acute nephropathy,
following bowel preparation. Urgent laboratory tests revealed serum magnesium
level of 7.5 mmol/L (normal = 0.75-1.05 mmol/L). Prompt resuscitation and
treatment of the hypermagnesaemia included intravenous calcium chloride as a
physiological antagonist, fluid infusion and frusemide to aid renal excretion of
magnesium. There are few case reports of patients who survived hypermagnesaemia
levels >7 mmol/L. This is a case report of near-fatal hypermagnesaemia which
resolved following early diagnosis and treatment. Hypermagnesaemia may be
difficult to diagnose because serum magnesium is not checked routinely and many
clinicians are unfamiliar with this uncommon condition. It is a diagnosis of
exclusion and may not be recognised as a cause of neurologic or cardiorespiratory
depression. Hypermagnesaemia should be considered as a possible diagnosis in
elderly or high-risk patients presenting with such symptomatology.
PMID- 29355170
TI - Renal physiology: TRPC5 inhibition to treat progressive kidney disease.
PMID- 29355171
TI - Kidney cancer: PBRM1 loss promotes tumour response to immunotherapy.
PMID- 29355168
TI - Reproductive health and pregnancy in women with chronic kidney disease.
AB - Chronic kidney disease (CKD) is associated with reduced fertility and an
increased risk of adverse pregnancy outcomes. Rates of pre-eclampsia, fetal
growth restriction and preterm delivery increase incrementally with the severity
of CKD and proteinuria. Pre-pregnancy counselling can facilitate informed
decision-making. Safe and effective contraception is required for women who wish
to delay or avoid pregnancy. Pregnancy planning for women who wish to conceive
involves appropriate substitution of known teratogens - including mycophenolate
mofetil, angiotensin blockers and cyclophosphamide - and can aid optimization of
disease control. However, pregnancy, which can occur in women with any stage of
CKD, can exacerbate comorbidities such as anaemia, vitamin D deficiency and
hypertension. Increased haemodialysis provision is associated with improved
pregnancy outcomes for women on dialysis. Diagnosis of pre-eclampsia in women
with CKD is complicated in patients with pre-existing hypertension and
proteinuria but can be improved by the use of vasoactive biomarkers as well as
placental and fetal Doppler ultrasound. Pregnancy data for newer drugs used in
CKD are limited as pregnancy and CKD are common exclusion criteria for drug and
intervention trials. Although prospective data may be available for older drugs,
the use of most drugs in pregnancy is based on retrospective data and expert
consensus.
PMID- 29355172
TI - Acute kidney injury: Macrophage extracellular traps in rhabdomyolysis-induced
AKI.
PMID- 29355169
TI - Sex and gender disparities in the epidemiology and outcomes of chronic kidney
disease.
AB - Improved understanding of sex and gender-specific differences in the aetiology,
mechanisms and epidemiology of chronic kidney disease (CKD) could help
nephrologists better address the needs of their patients. Population-based
studies indicate that CKD epidemiology differs by sex, affecting more women than
men, especially with regard to stage G3 CKD. The effects of longer life
expectancy on the natural decline of glomerular filtration rate (GFR) with age,
as well as potential overdiagnosis of CKD through the inappropriate use of GFR
equations, might be in part responsible for the greater prevalence of CKD in
women. Somewhat paradoxically, there seems to be a preponderance of men among
patients starting renal replacement therapy (RRT); the protective effects of
oestrogens in women and/or the damaging effects of testosterone, together with
unhealthier lifestyles, might cause kidney function to decline faster in men than
in women. Additionally, elderly women seem to be more inclined to choose
conservative care instead of RRT. Dissimilarities between the sexes are also
apparent in the outcomes of CKD. In patients with predialysis CKD, mortality is
higher in men than women; however, this difference disappears for patients on
RRT. Although access to living donor kidneys among men and women seems equal,
women have reduced access to deceased donor transplantation. Lastly, health
related quality of life while on RRT is poorer in women than men, and women
report a higher burden of symptoms. These findings provide insights into
differences in the underlying pathophysiology of disease as well as societal
factors that can be addressed to reduce disparities in access to care and
outcomes for patients with CKD.
PMID- 29355174
TI - Acute kidney injury: Antioxidants do not PRESERVE kidney function after contrast
exposure.
PMID- 29355173
TI - Paradigms of acute kidney injury in the intensive care setting.
AB - Acute kidney injury (AKI) is a heterogeneous clinical syndrome that has multiple
aetiologies, variable pathogenesis and diverse outcomes. However, these
heterogeneities are not reflected in current approaches to the diagnosis and, to
some degree, treatment of AKI. For example, congestive heart failure and
dehydration can produce identical changes in serum creatinine level and urine
output (parameters that are used to define AKI); however, they differ vastly in
their physiological contexts and demand completely opposite treatments. AKI is
often still considered to be a homogeneous clinical entity, which implies a
uniform pathogenesis and a well-defined prognosis. As a consequence, efforts to
find effective AKI treatments have been hampered by a lack of clear clinical
classifications for various types of AKI. In addition, subclassification of AKI
into subclinical phenotypes - for example, on the basis of protein biomarkers and
other in vitro diagnostics that take into account disease aetiology and
underlying pathogenesis - might be necessary to develop therapeutic approaches
that effectively target the widely differing pathomechanisms of AKI. In this
Review, we discuss the major subtypes of AKI that are associated with sepsis,
major surgery, renal hypoperfusion and nephrotoxin exposure -situations that are
typically seen in the intensive care setting. We consider differences and
similarities in their phenotype, pathogenesis and outcomes and how this
information might be used to guide treatment.
PMID- 29355175
TI - Chronic kidney disease: Key role of exosomes in albumin-induced inflammation.
PMID- 29355176
TI - Leadership in dentistry: findings from new tool to measure clinical leadership.
AB - Background: In England, the recent reorganization of the National Health Service
has led to the development of local dental networks and an emerging narrative on
the importance of clinical leadership in dentistry. Analogous to clinical
commissioning groups, local dental networks ensure general dental practitioners
(GDPs) influence the delivery of local services. However, little is known about
what GDPs think clinical leadership is and whether the construct has meaning. The
aim of this study was to explore the structure of a pilot questionnaire to
determine the qualities that GDPs deem are important and to use a data reduction
methodology to produce a tool to measure clinical leadership. Methods: A 61-item
questionnaire was distributed to GDPs across the North West of England. GDPs were
asked to rate the level of importance of each item using a 7-point Likert scale.
Principal component analysis and direct oblimin rotation was used to examine for
factor loadings within the questionnaire. Internal validity was tested by
Cronbach's alpha. Results: Two principle factors emerged: "how to lead" and "how
not to lead". Individually, the item "I think it is important to have integrity"
was rated as the most important. Conclusion: The study developed a refined
questionnaire that captures the important qualities of clinical leadership in
dentistry. This is the first questionnaire that has been developed to capture
important leadership attributes for GDPs.
PMID- 29355177
TI - Facilitating the implementation of evidence- based practice through contextual
support and nursing leadership.
AB - Background/purpose: Nurse managers (NMs) play an important role promoting
evidence-based practice (EBP) on clinical units within hospitals. However, there
is a dearth of research focused on NM perspectives about institutional contextual
factors to support the goal of EBP on the clinical unit. The purpose of this
article is to identify contextual factors described by NMs to drive change and
facilitate EBP at the unit level, comparing and contrasting these perspectives
across nursing units. Methods: This study employed a qualitative descriptive
design using interviews with nine NMs who were participating in a large
effectiveness study. To stratify the sample, NMs were selected from nursing units
designated as high or low performing based on implementation of EBP
interventions, scores on the Meyer and Goes research use scale, and fall rates.
Descriptive content analysis was used to identify themes that reflect the complex
nature of infrastructure described by NMs and contextual influences that
supported or hindered their promotion of EBP on the clinical unit. Results: NMs
perceived workplace culture, structure, and resources as facilitators or barriers
to empowering nurses under their supervision to use EBP and drive change. A
workplace culture that provides clear communication of EBP goals or regulatory
changes, direct contact with CEOs, and clear expectations supported NMs in their
promotion of EBP on their units. High-performing unit NMs described a structure
that included nursing-specific committees, allowing nurses to drive change and
EBP from within the unit. NMs from high-performing units were more likely to
articulate internal resources, such as quality-monitoring departments, as
critical to the implementation of EBP on their units. This study contributes to a
deeper understanding of institutional contextual factors that can be used to
support NMs in their efforts to drive EBP changes at the unit level.
PMID- 29355178
TI - A review of family carers' experiences of hospital discharge for people with
dementia, and the rationale for involving service users in health research.
AB - : In the UK, service user involvement is an important factor in health policy,
and obtaining research funding. It may be helpful in expanding our knowledge in
areas where research evidence is poor such as experiences of hospital discharge
planning for the family carers of people with dementia. Methods: A rapid review.
All study designs published in the English language were eligible for inclusion.
Databases included: Medline, Embase, CINAHL, PsycInfo, Cochrane library and Web
of Knowledge. A qualitative analysis was undertaken. Results: Four themes were
identified: preparation for hospital discharge - dissatisfaction with being kept
informed, discharge arrangements and management of conditions; little time to
prepare. Communication between staff and families at discharge - insufficient
communication regarding services, not being listened to and being undervalued as
a resource could compromise post-discharge care. Support services post discharge
carers need help negotiating, and working with, services with regard to timing,
and meeting requirements. Coping post hospital discharge - inadequate
understanding about ability to cope, and patient's impairment, and family
conflict over care may lead to unnecessary re-admission to hospital, or long term
care. Evidence of specialist dementia models at discharge is described.
Discussion: Carers are not always involved in hospital discharge planning as well
as they might be. Issues are complex and depend on a number of factors. Poor
communication can be overcome and carers can be better supported to cope post
discharge as illustrated in the dementia models. The evidence base is weak but
the absence of evidence does not indicate an absence of good practice.
Conclusion: Carers who may feel their world is far removed from the academic
world may not ordinarily participate in research studies. Service users, as co
researchers, may be able to improve trust and rapport between research and
communities, collect fresh insights and gain deeper and more insightful data from
participants.
PMID- 29355179
TI - Clinical leadership development and education for nurses: prospects and
opportunities.
AB - With the implementation of the Affordable Care Act, elevated roles for nurses of
care coordinator, clinical nurse leader, and advanced practice registered nurse
have come to the forefront. Because change occurs so fast, matching development
and education to job requirements is a challenging forecasting endeavor. The
purpose of this article is to envision clinical leadership development and
education opportunities for three emerging roles. The adoption of a common
framework for intentional leadership development is proposed for clinical
leadership development across the continuum of care. Solutions of innovation and
interdependency are framed as core concepts that serve as an opportunity to
better inform clinical leadership development and education. Additionally,
strategies are proposed to advance knowledge, skills, and abilities for crucial
implementation of improvements and new solutions at the point of care.
PMID- 29355180
TI - The Nursing Leadership Institute program evaluation: a critique.
AB - A theory-driven program evaluation was conducted for a nursing leadership
program, as a collaborative project between university faculty, the nurses'
union, the provincial Ministry of Health, and its chief nursing officers. A
collaborative logic model process was used to engage stakeholders, and mixed
methods approaches were used to answer evaluation questions. Despite
demonstrated, successful outcomes, the leadership program was not supported with
continued funding. This paper examines what happened during the evaluation
process: What factors failed to sustain this program?
PMID- 29355181
TI - Deliberative democracy in health care: current challenges and future prospects.
AB - Background: There is a vast body of literature on deliberative, participative, or
engaged democracy. In the area of health care there is a rapidly expanding
literature on deliberative democracy as embodied in various notions of public
engagement, shared decision-making (SDM), patient-centered care, and patient/care
provider autonomy over the past few decades. It is useful to review such
literature to get a sense of the challenges and prospects of introducing
deliberative democracy in health care. Objective: This paper reviews the key
literature on deliberative democracy and SDM in health care settings with a focus
on identifying the main challenges of promoting this approach in health care, and
recognizing its progress so far for mapping out its future prospects in the
context of advanced countries. Method: Several databases were searched to
identify the literature pertinent to the subject of this study. A total of 56 key
studies in English were identified and reviewed carefully for indications and
evidence of challenges and/or promising avenues of promoting deliberative
democracy in health care. Results: Time pressure, lack of financial motivation,
entrenched professional interests, informational imbalance, practical
feasibility, cost, diversity of decisions, and contextual factors are noted as
the main challenges. As for the prospects, greater clarity on conception of
public engagement and policy objectives, real commitment of the authorities to
public input, documenting evidence of the effectiveness of public involvement,
development of patient decision supports, training of health professionals in
SDM, and use of multiple and flexible methods of engagement leadership suited to
specific contexts are the main findings in the reviewed literature. Conclusion:
Seeking deliberative democracy in health care is both challenging and rewarding.
The challenges have been more or less identified. However, its prospects are
potentially significant. Such prospects are more likely to materialize if
deliberative democracy is pursued more systematically in the broader
sociopolitical domains.
PMID- 29355182
TI - Assessment of the management factors that influence the development of preventive
care in the New South Wales public dental service.
AB - Background: Oral diseases, particularly dental caries, remain one of the most
common chronic health problems for adolescents, and are a major public health
concern. Public dental services in New South Wales, Australia offer free clinical
care and preventive advice to all adolescents under 18 years of age, particularly
those from disadvantaged backgrounds. This care is provided by dental therapists
and oral health therapists (therapists). It is incumbent upon clinical directors
(CDs) and health service managers (HSMs) to ensure that the appropriate clinical
preventive care is offered by clinicians to all their patients. The aims of this
study were to 1) explore CDs' and HSMs' perceptions of the factors that could
support the delivery of preventive care to adolescents, and to 2) record the
strategies they have utilized to help therapists provide preventive care to
adolescents. Subjects and methods: In-depth, semistructured interviews were
undertaken with 19 CDs and HSMs from across NSW local health districts. A
framework matrix was used to systematically code data and enable key themes to be
identified for analysis. Results: The 19 CDs and HSMs reported that fiscal
accountability and meeting performance targets impacted on the levels and types
of preventive care provided by therapists. Participants suggested that
professional clinical structures for continuous quality improvement should be
implemented and monitored, and that an adequate workforce mix and more resources
for preventive dental care activities would enhance therapists' ability to
provide appropriate levels of preventive care. CDs and HSMs stated that
capitalizing on the strengths of visiting pediatric dental specialists and
working with local health district clinical leaders would be a practical way to
improve models of preventive oral health care for adolescents. Conclusion: The
main issue raised in this study is that preventive dentistry per se lacks strong
support from the central funding agency, and that increasing prevention
activities is not a simple task of changing regulations or increasing
professional education.
PMID- 29355183
TI - Use of CAHPS(r) patient experience survey data as part of a patient-centered
medical home quality improvement initiative.
AB - Objective: To describe how practice leaders used Consumer Assessment of
Healthcare Providers and Systems (CAHPS(r)) Clinician and Group (CG-CAHPS) data
in transitioning toward a patient-centered medical home (PCMH). Study design:
Interviews conducted at 14 primary care practices within a large urban Federally
Qualified Health Center in California. Participants: Thirty-eight interviews were
conducted with lead physicians (n=13), site clinic administrators (n=13), nurse
supervisors (n=10), and executive leadership (n=2). Results: Seven themes were
identified on how practice leaders used CG-CAHPS data for PCMH transformation.
CAHPS(r) was used: 1) for quality improvement (QI) and focusing changes for PCMH
transformation; 2) to maintain focus on patient experience; 3) alongside other
data; 4) for monitoring site-level trends and changes; 5) to identify, analyze,
and monitor areas for improvement; 6) for provider-level performance monitoring
and individual coaching within a transparent environment of accountability; and
7) for PCMH transformation, but changes to instrument length, reading level, and
the wording of specific items were suggested. Conclusion: Practice leaders used
CG-CAHPS data to implement QI, develop a shared vision, and coach providers and
staff on performance. They described how CAHPS(r) helped to improve the patient
experience in the PCMH model, including access to routine and urgent care, wait
times, provider spending enough time and listening carefully, and courteousness
of staff. Regular reporting, reviewing, and discussing of patient-experience data
alongside other clinical quality and productivity measures at multilevels of the
organization was critical in maximizing the use of CAHPS(r) data as PCMH changes
were made. In sum, this study found that a system-wide accountability and data
monitoring structure relying on a standardized and actionable patient-experience
survey, such as CG-CAHPS, is key to supporting the continuous QI needed for
moving beyond formal PCMH recognition to maximizing primary care medical home
transformation.
PMID- 29355184
TI - Clinical leadership development in postgraduate medical education and training:
policy, strategy, and delivery in the UK National Health Service.
AB - Achieving high quality health care against a background of continual change,
increasing demand, and shrinking financial resource is a major challenge.
However, there is significant international evidence that when clinicians use
their voices and values to engage with system delivery, operational efficiency
and care outcomes are improved. In the UK National Health Service, the
traditional divide between doctors and managers is being bridged, as clinical
leadership is now foregrounded as an important organizational priority. There are
60,000 doctors in postgraduate training (junior doctors) in the UK who provide
the majority of front-line patient care and form an "operating core" of most
health care organizations. This group of doctors is therefore seen as an
important resource in initiating, championing, and delivering improvement in the
quality of patient care. This paper provides a brief overview of leadership
theories and constructs that have been used to develop a raft of interventions to
develop leadership capability among junior doctors. We explore some of the
approaches used, including competency frameworks, talent management, shared
learning, clinical fellowships, and quality improvement. A new paradigm is
identified as necessary to make a difference at a local level, which moves
learning and leadership away from developing "leaders", to a more inclusive model
of developing relationships between individuals within organizations. This shifts
the emphasis from the development of a "heroic" individual leader to a more
distributed model, where organizations are "leader-ful" and not just "well led"
and leadership is centered on a shared vision owned by whole teams working on the
frontline.
PMID- 29355186
TI - Design, implementation, and demographic differences of HEAL: a self-report health
care leadership instrument.
AB - The medical community has recognized the importance of leadership skills among
its members. While numerous leadership assessment tools exist at present, few are
specifically tailored to the unique health care environment. The study team
designed a 24-item survey (Healthcare Evaluation & Assessment of Leadership
[HEAL]) to measure leadership competency based on the core competencies and core
principles of the Duke Healthcare Leadership Model. A novel digital platform was
created for use on handheld devices to facilitate its distribution and
completion. This pilot phase involved 126 health care professionals self
assessing their leadership abilities. The study aimed to determine both the
content validity of the survey and the feasibility of its implementation and use.
The digital platform for survey implementation was easy to complete, and there
were no technical problems with survey use or data collection. With regard to
reliability, initial survey results revealed that each core leadership tenet met
or exceeded the reliability cutoff of 0.7. In self-assessment of leadership,
women scored themselves higher than men in questions related to patient
centeredness (P=0.016). When stratified by age, younger providers rated
themselves lower with regard to emotional intelligence and integrity. There were
no differences in self-assessment when stratified by medical specialty. While
only a pilot study, initial data suggest that HEAL is a reliable and easy-to
administer survey for health care leadership assessment. Differences in responses
by sex and age with respect to patient centeredness, integrity, and emotional
intelligence raise questions about how providers view themselves amid complex
medical teams. As the survey is refined and further administered, HEAL will be
used not only as a self-assessment tool but also in "360" evaluation formats.
PMID- 29355187
TI - Health care leadership development and training: progress and pitfalls.
AB - Formal training in the multifaceted components of leadership is now accepted as
highly desirable for health care leaders. Despite natural leadership instincts,
some core leadership competencies ("differentiating competencies") must be
formally taught or refined. Leadership development may begin at an early career
stage. Despite the recognized need, the number of comprehensive leadership
development opportunities is still limited. Leadership training programs in
health care were started primarily as internal institutional curricula, with a
limited scope, for the development of faculty or practitioners. More
comprehensive national leadership programs were developed in response to the
needs of specific cohorts of individuals, such as programs for women, which are
designed to increase the ranks of senior women leaders in the health sciences. As
some programs reach their 20th year of existence, outcomes research has shown
that health care leadership training is most effective when it takes place over
time, is comprehensive and interdisciplinary, and incorporates
individual/institutional projects allowing participants immediate practical
application of their newly acquired skills. The training should envelop all the
traditional health care domains of clinical practice, education, and research, so
the leader may understand all the activities taking place under his/her
leadership. Early career leadership training helps to develop a pipeline of
leaders for the future, setting the foundation for further development of those
who may chose to pursue significant leadership opportunities later in their
career. A combination of early and mid-to-late career development may represent
the optimal training for effective leaders. More training programs are needed to
make comprehensive leadership development widely accessible to a greater number
of potential health care leaders. This paper addresses the skills that health
care leaders should develop, the optimal leadership development concepts that
must be acquired to succeed as a health care leader today, some resources for
where such training may be obtained, and what gaps are still present in today's
system.
PMID- 29355188
TI - Decisions and the involvement of cancer patient survivors: a moral imperative.
AB - Purpose: The aim of this study was to review the experiences of direct
involvement in patient survivorship for treatment and research. Methods: This is
a narrative-focused review of the following two recent experiences of patient
involvement: the Chordoma Foundation and the Triple Negative Breast Cancer
Foundation. Results: These two examples represent concrete experiences that
patients have built to favor a real involvement in the care and treatment of
tumors. These experiences are profoundly modifying how cancer research is
conducted and draw attention to the psychosocial dimensions of health care.
Conclusion: These examples represent the new scenario in which modern medicine
faces completely new challenges, copes with new needs, and cooperates with new
health care professionals. Implications: Involving patients in a new perspective
raises practical and ethical challenges for organizations to work together, for
health providers to be professionally skilled and for the government to promote
safeguarding policies.
PMID- 29355190
TI - An efficient method for link prediction in weighted multiplex networks.
AB - Background: A great variety of artificial and natural systems can be abstracted
into a set of entities interacting with each other. Such abstractions can very
well represent the underlying dynamics of the system when modeled as the network
of vertices coupled by edges. Prediction of dynamics in these structures based on
topological attribute or dependency relations is an important task. Link
Prediction in such complex networks is regarded useful in almost all types of
networks as it can be used to extract missing information, identify spurious
interactions, and evaluate network evolving mechanisms. Various similarity and
likelihood-based indices have been employed to infer different topological and
relation-based information to form a link prediction algorithm. These algorithms,
however, are too specific to the domain and do not encapsulate the generic nature
of the real-world information. In most natural and engineered systems, the
entities are linked with multiple types of associations and relations which play
a factor in the dynamics of the network. It forms multiple subsystems or multiple
layers of networked information. These networks are regarded as Multiplex
Networks. Methods: This work presents an approach for link prediction in
Multiplex networks where the associations are learned from the multiple layers of
networks for link prediction purposes. Most of the real-world networks are
represented as weighted networks. Weight prediction coupled with Link Prediction
can be of great use. Link scores are received using various similarity measures
and used to predict weights. This work further proposes and testifies a strategy
for weight prediction. Results and Conclusions: This work successfully proposes
an algorithm for Weight Prediction using Link similarity measures on multiplex
networks. The predicted weights show very less deviation from their actual
weights. In comparison to other indices, the proposed method has a far low error
rate and outperforms them concerning the metric performance NRMSE.
PMID- 29355189
TI - Regulatory mechanisms for absenteeism in the health sector: a systematic review
of strategies and their implementation.
AB - Background: A systematic review was undertaken to identify regulatory mechanisms
aimed at mitigating health care worker absenteeism, to describe where and how
they have been implemented as well as their possible effects. The goal was to
propose potential policy options for managing the problem of absenteeism among
human resources for health in low- and middle-income countries. Mechanisms
described in this review are at the local workplace and broader national policy
level. Methods: A comprehensive online search was conducted on EMBASE, CINAHL,
PubMed, Google Scholar, Google, and Social Science Citation Index using MEDLINE
search terms. Retrieved studies were uploaded onto reference manager and screened
by two independent reviewers. Only publications in English were included. Data
were extracted and synthesized according to the objectives of the review.
Results: Twenty six of the 4,975 published articles retrieved were included. All
were from high-income countries and covered all cadres of health workers. The
regulatory mechanisms and possible effects include 1) organizational-level
mechanisms being reported as effective in curbing absenteeism in low- and middle
income countries (LMICs); 2) prohibition of private sector activities in LMICs
offering benefits but presenting a challenge for the government to monitor the
health workforce; 3) contractual changes from temporary to fixed posts having
been associated with no reduction in absenteeism and not being appropriate for
LMICs; 4) multifaceted work interventions being implemented in most settings; 5)
the possibility of using financial and incentive regulatory mechanisms in LMICs;
6) health intervention mechanisms reducing absenteeism when integrated with
exercise programs; and 7) attendance by legislation during emergencies being
criticized for violating human rights in the United States and not being
effective in curbing absenteeism. Conclusion: Most countries have applied
multiple strategies to mitigate health care worker absenteeism. The success of
these interventions is heavily influenced by the context within which they are
applied.
PMID- 29355191
TI - Financial incentive schemes in primary care.
AB - Pay-for-performance (P4P) schemes have become increasingly common in primary
care, and this article reviews their impact. It is based primarily on existing
systematic reviews. The evidence suggests that P4P schemes can change health
professionals' behavior and improve recorded disease management of those clinical
processes that are incentivized. P4P may narrow inequalities in performance
comparing deprived with nondeprived areas. However, such schemes have unintended
consequences. Whether P4P improves the patient experience, the outcomes of care
or population health is less clear. These practical uncertainties mirror the
ethical concerns of many clinicians that a reductionist approach to managing
markers of chronic disease runs counter to the humanitarian values of family
practice. The variation in P4P schemes between countries reflects different
historical and organizational contexts. With so much uncertainty regarding the
effects of P4P, policy makers are well advised to proceed carefully with the
implementation of such schemes until and unless clearer evidence for their cost
benefit emerges.
PMID- 29355192
TI - A silent shift? The precarisation of the Dutch rental housing market.
AB - The traditional Dutch rental contract is permanent (i.e. time unlimited), but
there are indications that in recent years the number of temporary rental
contracts has increased considerably. Dutch housing policy appears to be
responding to this by pursuing deregulation of the conditions under which
temporary rent is permitted. It is in this regard startling that there is no
reliable data available about the size or character of the temporary sector, and
it has thus far not attracted any scholarly attention. Given that temporary rent
can be viewed as a form of precarisation, a transfer of risk to citizens, with
corresponding negative effects on the lives of those involved, it is imperative
to close this knowledge gap. This paper is a first attempt to do this. Firstly, I
systematically review the scarce evidence that is currently available, and
secondly, I explore why the rise of temporary rent has thus far failed to
stimulate any social debate; it appears to constitute a silent precarisation that
contrasts with the politically sensitive issue of labour precarisation. In doing
so, I will identify the research questions that must be answered if the
significance of this process for both tenants and wider welfare-state
restructuring is to be fully understood.
PMID- 29355193
TI - Improving surgeon utilization in an orthopedic department using simulation
modeling.
AB - Purpose: Worldwide more than two billion people lack appropriate access to
surgical services due to mismatch between existing human resource and patient
demands. Improving utilization of existing workforce capacity can reduce the
existing gap between surgical demand and available workforce capacity. In this
paper, the authors use discrete event simulation to explore the care process at
an orthopedic department. Our main focus is improving utilization of surgeons
while minimizing patient wait time. Methods: The authors collaborated with
orthopedic department personnel to map the current operations of orthopedic care
process in order to identify factors that influence poor surgeons utilization and
high patient waiting time. The authors used an observational approach to collect
data. The developed model was validated by comparing the simulation output with
the actual patient data that were collected from the studied orthopedic care
process. The authors developed a proposal scenario to show how to improve surgeon
utilization. Results: The simulation results showed that if ancillary services
could be performed before the start of clinic examination services, the
orthopedic care process could be highly improved. That is, improved surgeon
utilization and reduced patient waiting time. Simulation results demonstrate that
with improved surgeon utilizations, up to 55% increase of future demand can be
accommodated without patients reaching current waiting time at this clinic, thus,
improving patient access to health care services. Conclusion: This study shows
how simulation modeling can be used to improve health care processes. This study
was limited to a single care process; however the findings can be applied to
improve other orthopedic care process with similar operational characteristics.
PMID- 29355194
TI - Closure of a local public hospital in Korea: focusing on the organizational life
cycle.
AB - Just as living organisms have a creation-maintenance-extinction life cycle,
organizations also have a life cycle. Private organizations will not survive if
they fail to acquire necessary resources through market competition. Public
organizations, however, continue to survive because the government has provided
financial support in order to enhance public interest. Only a few public
organizations in Korea have closed. With the introduction of new public
management since the economic crisis in 1997, however, public organizations have
had to compete with private organizations. Public hospitals are not free to open
or close their business. They are also controlled by the government in terms of
their prices, management, budgets, and operations. As they pursue public interest
by fulfilling the government's order such as providing free or lower-priced care
to the vulnerable population, they tend to provide a lower quality of care and
suffer a financial burden. Employing a case study analysis, this study attempts
to understand the external environment that local public hospitals face. The
fundamental problem of local public hospitals in Korea is the value conflict
between public interest and profitability. Local public hospitals are required to
pursue public interest by assignment of a public mission including building a
medical safety net for low-income patients and managing nonprofitable medical
facilities and emergent health care situations. At the same time, they are
required to pursue profitability by achieving high-quality care through
competition and the operation of an independent, self-supporting system according
to private business logic. Under such paradoxical situations, a political
decision may cause an unexpected result.
PMID- 29355195
TI - The relationship between leadership and physician well-being: a scoping review.
AB - To date, research has established the individual and organizational factors that
impair well-being. Thus, we are aware of the organizational "cogs and wheels"
that drive well-being, and there is a sense that we can potentially utilize
effective leadership to push and pull these in the appropriate directions.
However, reviews of leadership in health care point to the lack of academic rigor
and difficulty in reaching solid conclusions. Conversely, there is an accepted
belief that the most important determinant of the development and maintenance of
cultures is current - and future - leadership. Thus, leadership is assumed to be
an important element of organizational functioning without the requisite evidence
base. Medicine is a unique organizational environment in which the health of
physicians may be a significant risk factor for inadequate patient safety and
suboptimal care. Globally, physicians are reporting increasing levels of job
burnout, especially among younger physicians in training. Not surprisingly,
higher levels of physician burnout are associated with suboptimal care for
patients and medical error, as well as maladaptive coping strategies among
physicians that serve to exacerbate the former. This review is a scoping analysis
of the existing literature to address the central question: is there a
relationship between organizational leadership and physician well-being? The
objectives of the review are as follows: 1) identify the degree to which
physician health is under threat; 2) evaluate the evidence linking leadership
with physician well-being; 3) identify alternative ways to approach the problem;
and 4) outline avenues for future research. Finally, enhancing progress in the
field is discussed in the contexts of theory, methodology, and impact.
PMID- 29355196
TI - The association between neighbourhoods and educational achievement, a systematic
review and meta-analysis.
AB - Many studies have examined the effects of neighbourhoods on educational outcomes.
The results of these studies are often conflicting, even if the same independent
variables (such as poverty, educational climate, social disorganisation, or
ethnic composition) are used. A systematic meta-analysis may help to resolve this
lack of external validity. We identified 5516 articles from which we selected 88
that met all of the inclusion criteria. Using meta-regression, we found that the
relation between neighbourhoods and individual educational outcomes is a function
of neighbourhood poverty, the neighbourhood's educational climate, the proportion
of ethnic/migrant groups, and social disorganisation in the neighbourhood. The
variance in the findings from different studies can partly be explained by the
sampling design and the type of model used in each study. More important is the
use of control variables (school, family SES, and parenting variables) in
explaining the variation in the strength of neighbourhood effects.
PMID- 29355197
TI - Improving health care quality and safety: the role of collective learning.
AB - Despite decades of effort to improve quality and safety in health care, this goal
feels increasingly elusive. Successful examples of improvement are infrequently
replicated. This scoping review synthesizes 76 empirical or conceptual studies
(out of 1208 originally screened) addressing learning in quality or safety
improvement, that were published in selected health care and management journals
between January 2000 and December 2014 to deepen understanding of the role that
collective learning plays in quality and safety improvement. We categorize
learning activities using a theoretical model that shows how leadership and
environmental factors support collective learning processes and practices, and in
turn team and organizational improvement outcomes. By focusing on quality and
safety improvement, our review elaborates the premise of learning theory that
leadership, environment, and processes combine to create conditions that promote
learning. Specifically, we found that learning for quality and safety improvement
includes experimentation (including deliberate experimentation, improvisation,
learning from failures, exploration, and exploitation), internal and external
knowledge acquisition, performance monitoring and comparison, and training.
Supportive learning environments are characterized by team characteristics like
psychological safety, appreciation of differences, openness to new ideas social
motivation, and team autonomy; team contextual factors including learning
resources like time for reflection, access to knowledge, organizational
capabilities; incentives; and organizational culture, strategy, and structure;
and external environmental factors including institutional pressures,
environmental dynamism and competitiveness and learning collaboratives. Lastly
learning in the context of quality and safety improvement requires leadership
that reinforces learning through actions and behaviors that affect people, such
as coaching and trust building, and through influencing contextual factors,
including providing resources, developing culture, and taking strategic actions
that support improvement. Our review highlights the importance of leadership in
both promoting a supportive learning environment and implementing learning
processes.
PMID- 29355198
TI - Overcoming difficult conversations in clinical supervision.
AB - Background: Clinical supervisors are responsible for managing many facets of
clinical learning and face a range of challenges when the need for "difficult"
conversations arises, including the need to manage conflict and relationships.
Methods: Spotlight on Conversations Workshop was developed to improve the
capacity of clinical supervisors to engage in difficult conversations. They were
designed to challenge the mindset of clinical supervisors about difficult
conversations with students, the consequences of avoiding difficult
conversations, and to offer activities for practicing difficult conversations.
Preworkshop, postworkshop, and 4-month follow-up evaluations assessed
improvements in knowledge, intent to improve, and confidence along with workshop
satisfaction. Results: Nine workshops were delivered in a range of locations
across Victoria, Australia, involving a total of 117 clinical supervisors.
Preworkshop evaluations illustrated that more than half of the participants had
avoided up to two difficult conversations in the last month in their workplace.
Postworkshop evaluation at 4 months showed very high levels of satisfaction with
the workshop's relevancy, content, and training, as well as participants'
intention to apply knowledge and skills. Also shown were significant changes in
participants' confidence to have difficult conversations not only with students
but also with other peers and colleagues. In follow-up in-depth interviews with
20 of the 117 participants, 75% said they had made definite changes in their
practice because of what they learned in the workshop and another 10% said they
would make changes to their practice, but had not had the opportunity yet to do
so. Conclusion: We conclude that the Spotlight on Conversations Workshop can
improve the clinical supervisor-student relationship as well as build general
difficult conversation capacity for a range of stakeholders in clinical settings.
PMID- 29355199
TI - Innovative physical therapy practice: a qualitative verification of factors that
support diffusion of innovation in outpatient physical therapy practice.
AB - Background and purpose: New ideas, methods, and technologies spread through
cultures through typical patterns described by diffusion of innovation (DOI)
theory. Professional cultures, including the physical therapy profession, have
distinctive features and traditions that determine the adoption of practice
innovation. The Consolidated Framework for Implementation Research (CFIR)
proposes a framework of innovation implementation specific to health care
services. While the CFIR has been applied to medical and nursing practice, it has
not been extended to rehabilitation professions. The purpose of this qualitative
study was to verify the CFIR factors in outpatient physical therapy practice.
Design: Through a nomination process of area rehabilitation managers and area
directors of clinical education, 2 exemplar, outpatient, privately owned physical
therapy clinics were identified as innovation practices. A total of 18 physical
therapists (PTs), including 3 owners and a manager, participated in the study.
Methods: The 2 clinics served as case studies within a qualitative approach of
directed content analysis. Data were collected through observation, spontaneous,
unstructured questioning, workflow analysis, structured focus group sessions, and
artifact analysis including clinical documents. Focus group data were
transcribed. All the data were analyzed and coded among 4 investigators. Results:
Through data analysis and alignment with literature in DOI theory in health care
practice, the factors that determine innovation adoption were verified. The
phenomena of implementation in PT practice are largely consistent with models of
implementation in health care service. Within the outpatient practices studied,
patient-centered care and collaborative learning were foundational elements to
diffusion of an innovation. Conclusion: Innovation in outpatient physical therapy
practice can be understood as a social process situated within the culture of the
physical therapy professional that follows predictable patterns that strongly
align with DOI theory and the CFIR.
PMID- 29355200
TI - Developing compassionate leadership in health care: an integrative review.
AB - Compassionate health care is universally valued as a social and moral good to be
upheld and sustained. Leadership is considered pivotal for enabling the
development and preservation of compassionate health care organizations.
Strategies for developing compassionate health care leadership in the complex,
fast-moving world of today will require a paradigm shift from the prevalent
dehumanizing model of the organization as machine to one of the organizations as
a living complex adaptive system. It will also require the abandonment of
individualistic, heroic models of leadership to one of shared, distributive, and
adaptive leadership. "Command and control" leadership, accompanied by stifling
regulation, rigid prescriptions, coercive punishments, and/or extrinsic rewards,
infuses fear into the system with consequent disempowerment and disunity within
the workforce, and the attrition of innovation and compassion. It must be
eschewed. Instead, leadership should be developed throughout the organization
with collective holistic learning strategies combined with high levels of staff
support and engagement. Culture and leadership are interdependent and
synergistic; their codevelopment needs to be grounded in a sophisticated,
scientifically based account of human nature held within a coherent philosophical
framework reflected by modern organizational and leadership theories. Developing
leadership for compassionate care requires acknowledging and making provision for
the difficulties and challenges of working in an anxiety-laden context. This
means providing appropriate training and well-being programs, sustaining high
levels of trust and mutually supportive interpersonal connections, and fostering
the sharing of knowledge, skills, and workload across silos. It requires enabling
people to experiment without fear of reprisal, to reflect on their work, and to
view errors as opportunities for learning and improvement. Tasks and relational
care need to be integrated into a coherent unity, creating space for real dialog
between patients, clinicians, and managers, so that together they can cocreate
ways to flourish in the context of illness and dying.
PMID- 29355202
TI - Why continuous discussion can promote the consensus of opinions?
AB - Why group opinions tend to be converged through continued communication,
discussion and interactions? Under the framework of the social influence network
model, we rigorously prove that the group consensus is almost surely within
finite steps. This is a quite certain result, and reflects the real-world common
phenomenon. In addition, we give a convergence time lower bound. Although our
explanations are purely based on mathematic deduction, it shows that the latent
social influence structure is the key factor for the persistence of disagreement
and formation of opinions convergence or consensus in the real world social
system.
PMID- 29355201
TI - Public health policies to encourage healthy eating habits: recent perspectives.
AB - There is an urgent need to address unhealthy dietary patterns at the population
level. Poor diet and physical inactivity are key drivers of the obesity pandemic,
and they are among the leading causes of preventable death and disability in
nearly every country in the world. As countries grapple with the growing obesity
prevalence, many innovative policy options to reduce overeating and improve diet
quality remain largely unexplored. We describe recent trends in eating habits and
consequences for public health, vulnerabilities to unhealthy eating, and the role
for public health policies. We reviewed recent public health policies to promote
healthier diet patterns, including mandates, restrictions, economic incentives,
marketing limits, information provision, and environmental defaults.
PMID- 29355203
TI - Barriers and facilitators of Canadian quality and safety teams: a mixed-methods
study exploring the views of health care leaders.
AB - Background: Health care organizations are utilizing quality and safety (QS) teams
as a mechanism to optimize care. However, there is a lack of evidence-informed
best practices for creating and sustaining successful QS teams. This study aimed
to understand what health care leaders viewed as barriers and facilitators to
establishing/implementing and measuring the impact of Canadian acute care QS
teams. Methods: Organizational senior leaders (SLs) and QS team leaders (TLs)
participated. A mixed-methods sequential explanatory design included surveys
(n=249) and interviews (n=89). Chi-squared and Fisher's exact tests were used to
compare categorical variables for region, organization size, and leader position.
Interviews were digitally recorded and transcribed for constant comparison
analysis. Results: Five qualitative themes overlapped with quantitative data: (1)
resources, time, and capacity; (2) data availability and information technology;
(3) leadership; (4) organizational plan and culture; and (5) team composition and
processes. Leaders from larger organizations more often reported that clear
objectives and physician champions facilitated QS teams (p<0.01). Fewer Eastern
respondents viewed board/senior leadership as a facilitator (p<0.001), and fewer
Ontario respondents viewed geography as a barrier to measurement (p<0.001). TLs
and SLs differed on several factors, including time to meet with the team, data
availability, leadership, and culture. Conclusion: QS teams need strong,
committed leaders who align initiatives to strategic directions of the
organization, foster a quality culture, and provide tools teams require for their
work. There are excellent opportunities to create synergy across the country to
address each organization's quality agenda.
PMID- 29355204
TI - What are the effective ways to translate clinical leadership into health care
quality improvement?
AB - The presence and/or absence of effective leaders in health care can have a stark
consequence on the quality and outcomes of care. The delivery of safe, quality,
compassionate health care is dependent on having effective clinical leaders at
the frontline. In light of the Kirkup and Francis reports, this article explores
some ways of translating clinical leadership into health care quality
improvement. This is achieved by exploring what is clinical leadership and why
and how this is important to health care quality improvement, clinical
leadership, and a duty of candor, along with the importance clinical leadership
plays in the provision of quality care improvement and outcomes. Clinical leaders
are not predefined roles but emerge from the complex clinical setting by gaining
an acquired expertise and from how they then internalize this to develop and
facilitate sound relationships within a team. Clinical leaders are effective in
facilitating innovation and change through improvement. This is achieved by
recognizing, influencing, and empowering individuals through effective
communication in order to share and learn from and with each other in practice.
The challenge for health care organizations in regard to creating organizational
cultures where a duty of candor exists is not to reinvent the wheel by turning
something that is simple into something complex, which can become confusing to
health care workers, patients, and the public. By focusing on the clinical
leader's role and responsibilities we would argue they play a crucial and pivotal
role in influencing, facilitating, supporting, and monitoring that this duty of
candor happens in practice. This may be possible by highlighting where and how
the duty of candor can be aligned within existing clinical governance frameworks.
PMID- 29355205
TI - An adverse event in a well-established cervical cancer screening program: an
observational study of 19,000 females unsubscribed to the program.
AB - Introduction: In Denmark, an organized approach to cervical cancer screening has
had national coverage since 1998. However, in 2013, it was discovered that 19,000
females had been unsubscribed from the Danish National Cervical Cancer Screening
Program and had thus not received invitations or reminders as recommended by the
health authorities. The study aims to report the essence of this adverse event
and describe the outcomes of reestablishing invitations in terms of participation
rates and screening results. Furthermore, patient compensations to affected
females diagnosed with cervical cancer and coverage in the mass media was
reported. Methods: An observational study based on information from the Danish
Pathology Databank, the Department of Public Health Programs, and Infomedia (a
Danish database of media coverage) was carried out. Results: A total of 19,106
females were affected. Of those still in the screening age, 37.7% had been tested
within 3 years or 5 years despite not receiving any invitation. A total of 21.6%
reconfirmed their status as unsubscribed. Of the remaining females, 55.6% were
tested within a year, and 94.6% of these test results were normal. Among females
aged >64 years, 12.7% accepted the offer of a final screening test. Totally, 90%
of these tests were normal. Nineteen females diagnosed with cervical cancer were
compensated by the Danish Patient Compensation Association with a total of
?693,000, ranging from ?8,900 to ?239,700. Coverage of cervical cancer screening
in the mass media increased from 25 items in the 3 months prior to this adverse
event to 590 items in the month when it became public. Conclusion: Even though
more than one-third of the affected females were tested despite not receiving
regular invitations to participate in the screening program, lacking invitations
were ranked alongside other adverse events in the health care system if cancer
diagnoses were delayed.
PMID- 29355206
TI - Detection of strong attractors in social media networks.
AB - Background: Detection of influential actors in social media such as Twitter or
Facebook plays an important role for improving the quality and efficiency of work
and services in many fields such as education and marketing. Methods: The work
described here aims to introduce a new approach that characterizes the influence
of actors by the strength of attracting new active members into a networked
community. We present a model of influence of an actor that is based on the
attractiveness of the actor in terms of the number of other new actors with which
he or she has established relations over time. Results: We have used this concept
and measure of influence to determine optimal seeds in a simulation of influence
maximization using two empirically collected social networks for the underlying
graphs. Conclusions: Our empirical results on the datasets demonstrate that our
measure stands out as a useful measure to define the attractors comparing to the
other influence measures.
PMID- 29355207
TI - Text normalization for named entity recognition in Vietnamese tweets.
AB - Background: Named entity recognition (NER) is a task of detecting named entities
in documents and categorizing them to predefined classes, such as person,
location, and organization. This paper focuses on tweets posted on Twitter. Since
tweets are noisy, irregular, brief, and include acronyms and spelling errors, NER
in those tweets is a challenging task. Many approaches have been proposed to deal
with this problem in tweets written in English, Germany, Chinese, etc., but none
for Vietnamese tweets. Methods: We propose a method that normalizes a tweet
before taking as an input of a learning model for NER in Vietnamese tweets. The
normalization step detects spelling errors in a tweet and corrects them using an
improved Dice's coefficient or n-grams. A Support Vector Machine learning
algorithm is employed to learn a classifier using six different types of
features. Results and Conclusion: We train our method on a training set
consisting of more than 40,000 named entities and evaluate it on a testing set
consisting of 3,186 named entities. The experimental results showed that our
system achieves state-of-the-art performance with F1 score of 82.13%.
PMID- 29355209
TI - Tenure mix: apart or together? Home-making practices and belonging in a Dutch
street.
AB - This paper discusses home-making practices and senses of belonging in a street in
a disadvantaged neighbourhood in the south of the Netherlands. The local tenure
mix of tenants and owner-occupiers offers insight into the role class and
ethnicity play in social mixing. Therefore, attention is paid to narratives and
the informal organisation of different living spaces and territory-making
practices. Here, the domestic space could be experienced as a vehicle of intimacy
and sociability, or conversely as encouraging alienation. Such practices, in
combination with length of stay result in mechanisms of inclusion and exclusion.
The insights derived from this study will contribute to the theoretical
discussion on home-making practices and belonging.
PMID- 29355210
TI - Buildings behaving badly: a behavioral experiment on how different motivational
frames influence residential energy label adoption in the Netherlands.
AB - Heating buildings contributes to approximately 36 % of Europe's energy demand and
several EU member states have adopted mandatory energy labels to improve energy
efficiency by promoting home weatherization investments. This paper focuses on
the perception of the energy label for residential buildings in the Netherlands
and the role of different frames (egoistic, biospheric and social norms and
neutral frames) in motivating adoption of energy labels for housing. We used a
behavioral email experiment and an online survey to investigate these
motivational factors. We find that biospheric frames are weaker than the other
three motivational frames in terms of engaging interest in the energy label, but
that the biospheric frame results in higher willingness to pay (WTP) for the
energy label. We also find that age (rather than income) correlates with higher
WTP for home energy labels.
PMID- 29355211
TI - Real-time topic-aware influence maximization using preprocessing.
AB - Background: Influence maximization is the task of finding a set of seed nodes in
a social network such that the influence spread of these seed nodes based on
certain influence diffusion model is maximized. Topic-aware influence diffusion
models have been recently proposed to address the issue that influence between a
pair of users are often topic-dependent and information, ideas, innovations etc.
being propagated in networks are typically mixtures of topics. Methods: In this
paper, we focus on the topic-aware influence maximization task. In particular, we
study preprocessing methods to avoid redoing influence maximization for each
mixture from scratch. Results: We explore two preprocessing algorithms with
theoretical justifications. Conclusions: Our empirical results on data obtained
in a couple of existing studies demonstrate that one of our algorithms stands out
as a strong candidate providing microsecond online response time and competitive
influence spread, with reasonable preprocessing effort.
PMID- 29355212
TI - Ottawa Model of Implementation Leadership and Implementation Leadership Scale:
mapping concepts for developing and evaluating theory-based leadership
interventions.
AB - Purpose: Leadership in health care is instrumental to creating a supportive
organizational environment and positive staff attitudes for implementing evidence
based practices to improve patient care and outcomes. The purpose of this study
is to demonstrate the alignment of the Ottawa Model of Implementation Leadership
(O-MILe), a theoretical model for developing implementation leadership, with the
Implementation Leadership Scale (ILS), an empirically validated tool for
measuring implementation leadership. A secondary objective is to describe the
methodological process for aligning concepts of a theoretical model with an
independently established measurement tool for evaluating theory-based
interventions. Methods: Modified template analysis was conducted to deductively
map items of the ILS onto concepts of the O-MILe. An iterative process was used
in which the model and scale developers (n=5) appraised the relevance, conceptual
clarity, and fit of each ILS items with the O-MILe concepts through individual
feedback and group discussions until consensus was reached. Results: All 12 items
of the ILS correspond to at least one O-MILe concept, demonstrating compatibility
of the ILS as a measurement tool for the O-MILe theoretical constructs.
Conclusion: The O-MILe provides a theoretical basis for developing implementation
leadership, and the ILS is a compatible tool for measuring leadership based on
the O-MILe. Used together, the O-MILe and ILS provide an evidence- and theory
based approach for developing and measuring leadership for implementing evidence
based practices in health care. Template analysis offers a convenient approach
for determining the compatibility of independently developed evaluation tools to
test theoretical models.
PMID- 29355213
TI - Correction: Therapeutic potential of omega-3 fatty acids supplementation in a
mouse model of dry macular degeneration.
AB - [This corrects the article DOI: 10.1136/bmjophth-2016-000056.][This corrects the
article DOI: 10.1136/bmjophth-2016-000056.].
PMID- 29355214
TI - Informed consent in field trials of gene-drive mosquitoes.
AB - The US National Academies' (NAS) recent report 'Gene Drives on the Horizon:
Advancing Science, Navigating Uncertainty, and Aligning Research with Public
Values' examines the requirements of responsible conduct in research involving
gene drives in non-human organisms. Many of the complex ethical issues raised by
the introduction of gene drive technologies for mosquito population control have
been anticipated during the development and field-testing of earlier-generation
genetic engineering approaches with mosquitoes. One issue-the requirement for
informed consent in field trials-is not addressed explicitly in the NAS' report.
Some commentators have presumed that informed consent should play a role as a
protection for research participants in studies of genetically modified
mosquitoes. Others have argued that there are no human subjects of field trials,
so the informed consent requirement does not apply. It is both ethically and
practically important that these presumptions are adequately scrutinized to
ensure that any applications of informed consent in these trials are properly
justified. We argue that informed consent from individual research participants
in gene drive trials may be required: (1) when blood and other forms of clinical
data are collected from them, as will likely be the case in some studies
involving epidemiological endpoints, such as the incidence of new infections with
dengue and malaria; (2) when they participate in social science and/or behavioral
research involving the completion of surveys and questionnaires; or (3) when
their home or property is accessed and the location recorded as a spatial
variable for the release or collection of mosquitoes because the precise location
of the household is important for entomological reasons and these data constitute
identifiable private information at the household level. Importantly, most
regulations and guidelines allow these requirements to be waived or modified, to
various degrees, according to the judgment of Institutional Review Boards.
PMID- 29355215
TI - Advancing a conceptual model to improve maternal health quality: The Person
Centered Care Framework for Reproductive Health Equity.
AB - Background: Globally, substantial health inequities exist with regard to
maternal, newborn and reproductive health. Lack of access to good quality care
across its many dimensions-is a key factor driving these inequities. Significant
global efforts have been made towards improving the quality of care within
facilities for maternal and reproductive health. However, one critically
overlooked aspect of quality improvement activities is person-centered care. Main
body: The objective of this paper is to review existing literature and theories
related to person-centered reproductive health care to develop a framework for
improving the quality of reproductive health, particularly in low and middle
income countries. This paper proposes the Person-Centered Care Framework for
Reproductive Health Equity, which describes three levels of interdependent
contexts for women's reproductive health: societal and community determinants of
health equity, women's health-seeking behaviors, and the quality of care within
the walls of the facility. It lays out eight domains of person-centered care for
maternal and reproductive health. Conclusions: Person-centered care has been
shown to improve outcomes; yet, there is no consensus on definitions and measures
in the area of women's reproductive health care. The proposed Framework reviews
essential aspects of person-centered reproductive health care.
PMID- 29355216
TI - Clustering 1-dimensional periodic network using betweenness centrality.
AB - Background: While the temporal networks have a wide range of applications such as
opportunistic communication, there are not many clustering algorithms
specifically proposed for them. Methods: Based on betweenness centrality for
periodic graphs, we give a clustering pseudo-polynomial time algorithm for
temporal networks, in which the transit value is always positive and the least
common multiple of all transit values is bounded. Results: Our experimental
results show that the centrality of networks with 125 nodes and 455 edges can be
efficiently computed in 3.2 s. Not only the clustering results using the infinite
betweenness centrality for this kind of networks are better, but also the nodes
with biggest influences are more precisely detected when the betweenness
centrality is computed over the periodic graph. Conclusion: The algorithm
provides a better result for temporal social networks with an acceptable running
time.
PMID- 29355218
TI - Connecting physical and social dimensions of place attachment: What can we learn
from attachment to urban recreational spaces?
AB - This paper is concerned with the ways in which people form attachments to
recreational spaces. More specifically it examines the relationship between
recreational spaces associated with sporting activity in urban neighbourhoods and
place attachment. The focus is on the ways in which changes to these spaces
exposes the affective bonds between people and their surroundings. The paper
applies a qualitative methodology, namely focus groups and photo elicitation, to
the case study of Parkhead, a neighbourhood in the East End of Glasgow. Parkhead
has historically been subjected to successive waves of redevelopment as a result
of deindustrialization in the late twentieth century. More recently redevelopment
associated with the 2014 Commonwealth Games involved further changes to
neighbourhood recreational spaces, including refurbishing of existing sports
facilities and building new ones. This paper reflects on the cumulative impacts
of this redevelopment to conclude (a) that recreational sports spaces provoke
multi-layered and complex attachments that are inextricably connected to both
temporal and spatial narratives and (b) that research on neighbourhood
recreational spaces can develop our understanding of the intricate relationship
between the social and physical dimensions of place attachment.
PMID- 29355219
TI - The continued retreat of non-profit housing providers in the Netherlands.
AB - After the abolishment of object subsidies for housing construction and renovation
in the mid 1990s, Dutch housing associations, the main non-profit housing
providers in the country, heavily relied on market activities, such as selling
homes to owner occupiers, to generate income for their social activities and to
contribute to urban development policies. This worked well, which was one of the
main reasons that these housing providers could adopt a wide field of operations,
including not only the management and development of affordable housing for low
income groups, but also housing in other market segments, plus activities
regarding care, welfare, local economy, employment and education. Recent economic
and political developments, however, have caused housing associations to return
on this path. Central in this paper is a research among Dutch housing
associations about their values, strategic positioning and strategies. The
research was executed in two waves (conducted in 2010/2011 and in 2013/2014,
respectively), each consisting of a panel survey and interviews with selected
panellists. This paper presents the results of the second wave. It is expected
that after the first wave of the research, new regulations, such as the national
implementation of European rules on state support and the introduction of a new
property tax, have resulted in a further retreat from non-social housing
activities. The analysis shows that this is indeed the case, but that the main
shifts in priorities have not taken place directly after the credit crunch, but
in later years.
PMID- 29355220
TI - The imperative of culture: a quantitative analysis of the impact of culture on
workforce engagement, patient experience, physician engagement, value-based
purchasing, and turnover.
AB - Current uncertainty for the future of the health care landscape is placing an
increasing amount of pressure on leadership teams to be prepared to steer their
organization forward in a number of potential directions. It is commonly
recognized among health care leaders that culture will either enable or disable
organizational success. However, very few studies empirically link culture to
health care-specific performance outcomes. Nearly every health care organization
in the US specifies its cultural aspirations through mission and vision
statements and values. Ambitions of patient-centeredness, care for the community,
workplace of choice, and world-class quality are frequently cited; yet, little
definitive research exists to quantify the importance of building high-performing
cultures. Our study examined the impact of cultural attributes defined by a
culture index (Cronbach's alpha = 0.88) on corresponding performance with key
health care measures. We mapped results of the culture index across data sets,
compared results, and evaluated variations in performance among key indicators
for leaders. Organizations that perform in the top quartile for our culture index
statistically significantly outperformed those in the bottom quartile on all but
one key performance indicator tested. The culture top quartile organizations
outperformed every domain for employee engagement, physician engagement, patient
experience, and overall value-based purchasing performance with statistical
significance. Culture index top quartile performers also had a 3.4% lower
turnover rate than the bottom quartile performers. Finally, culture index top
quartile performers earned an additional 1% on value-based purchasing. Our
findings demonstrate a meaningful connection between performance in the culture
index and organizational performance. To best impact these key performance
outcomes, health care leaders should pay attention to culture and actively steer
workforce engagement in attributes that represent the culture index, such as
treating patients as valued customers, having congruency between employee and
organizational values, promoting employee pride, and encouraging the feeling that
being a member of the organization is rewarding, in order to leverage culture as
a competitive advantage.
PMID- 29355222
TI - Building an urban 'renaissance': fragmented services and the production of
inequality in Greater Downtown Detroit.
AB - Downtown Detroit has been undergoing a renaissance in recent years which is in
stark contrast to the economic and social situation in much of the rest of the
city. This renaissance has been taking place despite the city's ability to
provide good municipal services such as streetlights, security, public space and
transport. This article focuses on how four areas which constitute part of
Greater Downtown Detroit have relied on different combinations of actors to
create and provide the services and amenities deemed necessary for capital
investment and middle-class consumption. Each area has its own initiatives and
actors who implement them, further fragmenting the city between its core and
periphery. Renewed public spaces, private police forces and resident initiatives
in middle-class neighborhoods have been created to serve specific needs of the
small areas they serve. Rather than being unique, Detroit is an extreme example
of fragmented and polarized urbanism which is part and parcel of contemporary
cities. We argue that rather than passively reflecting existing socio-spatial
divides, these private initiatives in Greater Downtown Detroit actively
contribute to the production of sociospatial inequalities across the city.
PMID- 29355223
TI - A hashtag recommendation system for twitter data streams.
AB - Background: Twitter has evolved into a powerful communication and information
sharing tool used by millions of people around the world to post what is
happening now. A hashtag, a keyword prefixed with a hash symbol (#), is a feature
in Twitter to organize tweets and facilitate effective search among a massive
volume of data. In this paper, we propose an automatic hashtag recommendation
system that helps users find new hashtags related to their interests on-demand.
Methods: For hashtag ranking, we propose the Hashtag Frequency-Inverse Hashtag
Ubiquity (HF-IHU) ranking scheme, which is a variation of the well-known TF-IDF,
that considers hashtag relevancy, as well as data sparseness which is one of the
key challenges in analyzing microblog data. Our system is built on top of Hadoop,
a leading platform for distributed computing, to provide scalable performance
using Map-Reduce. Experiments on a large Twitter data set demonstrate that our
method successfully yields relevant hashtags for user's interest and that
recommendations are more stable and reliable than ranking tags based on tweet
content similarity. Results and conclusions: Our results show that HF-IHU can
achieve over 30 % hashtag recall when asked to identify the top 10 relevant
hashtags for a particular tweet. Furthermore, our method out-performs kNN, k
popularity, and Naive Bayes by 69, 54, and 17 %, respectively, on recall of the
top 200 hashtags.
PMID- 29355224
TI - Rationale and design of a multi-center, open-label, randomised clinical trial
comparing HIV incidence and contraceptive benefits in women using three commonly
used contraceptive methods (the ECHO study).
AB - BACKGROUND: In vitro, animal, biological and observational clinical studies
suggest that some hormonal methods, particularly depot medroxyprogesterone
acetate - DMPA, may increase women's risk of HIV acquisition. DMPA is the most
common contraceptive used in many countries worst affected by the HIV epidemic.
To provide robust evidence for contraceptive decision-making among women,
clinicians and planners, we are conducting the Evidence for Contraceptive Options
and HIV Outcomes (ECHO) study in four countries with high HIV incidence and DMPA
use: Kenya, South Africa, Swaziland, and Zambia (Clinical Trials.gov identifier
NCT02550067). STUDY DESIGN: We randomized HIV negative, sexually active women 16
35 years old requesting effective contraception and agreeing to participate to
either DMPA, the copper T 380A intrauterine device or levonorgestrel implant.
Participants attend a contraception support visit after 1 month and quarterly
visits thereafter for 12 to 18 months. Participants receive a standard HIV
prevention package and contraceptive side-effect management at each visit. The
primary outcome is HIV seroconversion. Secondary outcomes include pregnancy,
serious adverse events and method discontinuation. The sample size of 7800 women
provides 80% power to detect a 50% difference in HIV risk between any of the
three method pairs, assuming 250 incident infections per comparison. Ethical
considerations: Several WHO consultations have concluded that current evidence on
HIV risk associated with DMPA is inconclusive and that a randomized trial is
needed to guide policy, counselling and choice. Previous studies suggest that
women without a specific contraceptive preference are willing to accept
randomization to different contraceptive methods. Stringent performance standards
are monitored by an independent data and safety monitoring board approximately
every 6 months. The study has been conducted with extensive stakeholder
engagement. CONCLUSIONS: The ECHO study is designed to provide robust evidence on
the relative risks (HIV acquisition) and benefits (pregnancy prevention) between
three effective contraceptive methods.
PMID- 29355226
TI - Corrigendum: Correction of the IRB Approval Number. Accuracy of Preoperative
Local Staging of Primary Colorectal Cancer by Using Computed Tomography:
Reappraisal Based on Data Collected at a Highly Organized Cancer Center.
AB - [This corrects the article on p. 192 in vol. 33, PMID: 29159167.].
PMID- 29355225
TI - Detection of Mycobacterium tuberculosis bacilli in bio-aerosols from untreated TB
patients.
AB - Background: Tuberculosis (TB) is predominantly an airborne disease. However,
quantitative and qualitative analysis of bio-aerosols containing the aetiological
agent, Mycobacterium tuberculosis (Mtb), has proven very challenging. Our
objective is to sample bio-aerosols from newly diagnosed TB patients for
detection and enumeration of Mtb bacilli. Methods: We monitored each of 35 newly
diagnosed, GeneXpert sputum-positive, TB patients during 1 hour confinement in a
custom-built Respiratory Aerosol Sampling Chamber (RASC). The RASC (a small clean
room of 1.4m ) incorporates aerodynamic particle size detection, viable and non
viable sampling devices, real-time CO 2 monitoring, and cough sound-recording.
Microbiological culture and droplet digital polymerase chain reaction (ddPCR)
were used to detect Mtb in each of the bio-aerosol collection devices. Results:
Mtb was detected in 27/35 (77.1%) of aerosol samples; 15/35 (42.8%) samples were
positive by mycobacterial culture and 25/27 (92.96%) were positive by ddPCR.
Culturability of collected bacilli was not predicted by radiographic evidence of
pulmonary cavitation, sputum smear positivity, or cough rate. Mtb was detected on
all viable cascade impactor stages with a peak at aerosol sizes 2.0-3.5MUm. This
suggests a median of 0.09 CFU/litre of exhaled air (IQR: 0.07 to 0.3 CFU/l) for
the aerosol culture positives and an estimated median concentration of 4.5x10
CFU/ml (IQR: 2.9x10 -5.6x10 ) of exhaled particulate bio-aerosol. Conclusions:
Mtb was identified in bio-aerosols exhaled by the majority of untreated TB
patients using the RASC. Molecular detection was more sensitive than
mycobacterial culture on solid media, suggesting that further studies are
required to determine whether this reflects a significant proportion of
differentially detectable bacilli in these samples.
PMID- 29355227
TI - Syphilis screening and treatment in pregnant women in Kinshasa, Democratic
Republic of the Congo and in Lusaka, Zambia: a cross-sectional study.
AB - Background: Congenital syphilis is associated with perinatal deaths, preterm
births and congenital malformations. Low rates of syphilis screening during
pregnancy and treatment of those found seropositive have been reported in the
Democratic Republic of the Congo (DRC) and Zambia. We report the rates on
antenatal syphilis screening, the seroprevalence of syphilis infection, and the
frequency of antibiotic treatment in pregnant women screened positive for
syphilis during their attendance at antenatal care (ANC) clinics in Kinshasa, DRC
and Lusaka, Zambia. Methods: Women attending their first ANC were enrolled
consecutively during a 9-month period in 16 and 13 ANC clinics in Kinshasa and
Lusaka respectively, in the context of the baseline period of a cluster trial.
Study personnel collected data on women's characteristics, the syphilis screening
practices, the test results, and the frequency of treatment, that were done under
routine ANC conditions and registered in the clinic records. Results 4,153 women
in Kinshasa and 18,097 women in Lusaka were enrolled. The frequency of screening
at the first visit was 59.7% (n= 2,479) in Kinshasa, and 27.8% (n=5,025) in
Lusaka. Screening test availability varied. In the periods in which tests were
available the screening rates were 92.8% in Kinshasa and 52.0% in Lusaka. The
frequency of women screened seropositive was 0.4% (n=10) in Kinshasa and 2.2%
(n=109) in Lusaka. Respectively, 10% (n=1) and 11.9% (n= 13) among seropositive
women received treatment at the first visit. Conclusions: The results of the
study show that screening for syphilis in pregnancy is not universal even when
supplies are available. Our ongoing trial will evaluate the impact of a
behavioral intervention on changing health providers' practices to increase
screening and treatment rates when supplies are available.
PMID- 29355228
TI - The maximum contraceptive prevalence 'demand curve': guiding discussions on
programmatic investments.
AB - Most frameworks for family planning include both access and demand interventions.
Understanding how these two are linked and when each should be prioritized is
difficult. The maximum contraceptive prevalence 'demand curve' was created based
on a relationship between the modern contraceptive prevalence rate (mCPR) and
mean ideal number of children to allow for a quantitative assessment of the
balance between access and demand interventions. The curve represents the maximum
mCPR that is likely to be seen given fertility intentions and related norms and
constructs that influence contraceptive use. The gap between a country's mCPR and
this maximum is referred to as the 'potential use gap.' This concept can be used
by countries to prioritize access investments where the gap is large, and discuss
implications for future contraceptive use where the gap is small. It is also used
within the FP Goals model to ensure mCPR growth from access interventions does
not exceed available demand.
PMID- 29355229
TI - Cascade source inference in networks: a Markov chain Monte Carlo approach.
AB - Cascades of information, ideas, rumors, and viruses spread through networks.
Sometimes, it is desirable to find the source of a cascade given a snapshot of
it. In this paper, source inference problem is tackled under Independent Cascade
(IC) model. First, the #P-completeness of source inference problem is proven.
Then, a Markov chain Monte Carlo algorithm is proposed to find a solution. It is
worth noting that our algorithm is designed to handle large networks. In
addition, the algorithm does not rely on prior knowledge of when the cascade
started. Finally, experiments on real social network are conducted to evaluate
the performance. Under all experimental settings, our algorithm identified the
true source with high probability.
PMID- 29355230
TI - Information fusion-based approach for studying influence on Twitter using belief
theory.
AB - Influence in Twitter has become recently a hot research topic, since this micro
blogging service is widely used to share and disseminate information. Some users
are more able than others to influence and persuade peers. Thus, studying most
influential users leads to reach a large-scale information diffusion area,
something very useful in marketing or political campaigns. In this study, we
propose a new approach for multi-level influence assessment on multi-relational
networks, such as Twitter. We define a social graph to model the relationships
between users as a multiplex graph where users are represented by nodes, and
links model the different relations between them (e.g., retweets, mentions, and
replies). We explore how relations between nodes in this graph could reveal about
the influence degree and propose a generic computational model to assess
influence degree of a certain node. This is based on the conjunctive combination
rule from the belief functions theory to combine different types of relations. We
experiment the proposed method on a large amount of data gathered from Twitter
during the European Elections 2014 and deduce top influential candidates. The
results show that our model is flexible enough to to consider multiple
interactions combination according to social scientists needs or requirements and
that the numerical results of the belief theory are accurate. We also evaluate
the approach over the CLEF RepLab 2014 data set and show that our approach leads
to quite interesting results.
PMID- 29355232
TI - A game theory-based trust measurement model for social networks.
AB - Background: In social networks, trust is a complex social network. Participants
in online social networks want to share information and experiences with as many
reliable users as possible. However, the modeling of trust is complicated and
application dependent. Modeling trust needs to consider interaction history,
recommendation, user behaviors and so on. Therefore, modeling trust is an
important focus for online social networks. Methods: We propose a game theory
based trust measurement model for social networks. The trust degree is calculated
from three aspects, service reliability, feedback effectiveness, recommendation
credibility, to get more accurate result. In addition, to alleviate the free
riding problem, we propose a game theory-based punishment mechanism for specific
trust and global trust, respectively. Results and conclusions: We prove that the
proposed trust measurement model is effective. The free-riding problem can be
resolved effectively through adding the proposed punishment mechanism.
PMID- 29355231
TI - Pre-exposure prophylaxis for HIV-negative persons with partners living with HIV:
uptake, use, and effectiveness in an open-label demonstration project in East
Africa.
AB - Introduction: Pre-exposure prophylaxis (PrEP) can provide high protection against
HIV infection and is a recommended intervention for HIV-negative persons with
substantial HIV risk, such as individuals with a partner living with HIV.
Demonstration projects of PrEP have been conducted in diverse settings worldwide
to illustrate practical examples of how PrEP can be delivered. Methods: We
evaluated delivery of PrEP for HIV-negative partners within heterosexual HIV
serodiscordant couples in an open-label demonstration project in East Africa.
The delivery model integrated PrEP into HIV treatment services, prioritizing PrEP
for HIV-negative partners within serodiscordant couples prior to and during the
first 6 months after the partner living with HIV initiated antiretroviral therapy
(ART). We measured adherence to PrEP through medication event monitoring system
(MEMS) bottle caps and quantification of tenofovir in plasma among a random
sample of participants. We estimated HIV infections prevented using a
counterfactual cohort simulated from the placebo arm of a previous PrEP clinical
trial. Results: We enrolled 1,010 HIV serodiscordant couples that were naive to
ART and PrEP. Ninety-seven percent (97%) of HIV-negative partners initiated
PrEP, and when PrEP was dispensed, objective measures suggest high adherence: 71%
of HIV-negative participants took >=80% of expected doses, as recorded via MEMS,
and 81% of plasma samples had tenofovir detected. A total of 4 incident HIV
infections were observed (incidence rate=0.24 per 100 person-years), a 95%
reduction (95% CI 86-98%, p<0.0001) in HIV incidence, relative to estimated HIV
incidence for the population in the absence of PrEP integrated into HIV treatment
services. Conclusions: PrEP uptake and adherence were high and incident HIV was
rare in this PrEP demonstration project for African HIV-negative individuals
whose partners were known to be living with HIV. Delivery of PrEP to HIV
negative partners within HIV serodiscordant couples was feasible and should be
prioritized for wide-scale implementation.
PMID- 29355233
TI - Erratum: Ottawa Model of Implementation Leadership and Implementation Leadership
Scale: mapping concepts for developing and evaluating theory-based leadership
interventions [Corrigendum].
AB - [This corrects the article on p. 15 in vol. 9.].
PMID- 29355234
TI - Factorization threshold models for scale-free networks generation.
AB - Background: Several models for producing scale-free networks have been suggested;
most of them are based on the preferential attachment approach. In this article,
we suggest a new approach for generating scale-free networks with an alternative
source of the power-law degree distribution. Methods: The model derives from
matrix factorization methods and geographical threshold models that were recently
proven to show good results in generating scale-free networks. We associate each
node with a vector having latent features distributed over a unit sphere and with
a weight variable sampled from a Pareto distribution. We join two nodes by an
edge if they are spatially close and/or have large weights. Results and
conclusion: The network produced by this approach is scale free and has a power
law degree distribution with an exponent of 2. In addition, we propose an
extension of the model that allows us to generate directed networks with tunable
power-law exponents.
PMID- 29355235
TI - Optimization problems in correlated networks.
AB - Background: Solving the shortest path and min-cut problems are key in achieving
high-performance and robust communication networks. Those problems have often
been studied in deterministic and uncorrelated networks both in their original
formulations as well as in several constrained variants. However, in real-world
networks, link weights (e.g., delay, bandwidth, failure probability) are often
correlated due to spatial or temporal reasons, and these correlated link weights
together behave in a different manner and are not always additive, as commonly
assumed. Methods: In this paper, we first propose two correlated link weight
models, namely (1) the deterministic correlated model and (2) the (log-concave)
stochastic correlated model. Subsequently, we study the shortest path problem and
the min-cut problem under these two correlated models. Results and Conclusions:
We prove that these two problems are NP-hard under the deterministic correlated
model, and even cannot be approximated to arbitrary degree in polynomial time.
However, these two problems are solvable in polynomial time under the
(constrained) nodal deterministic correlated model, and can be solved by convex
optimization under the (log-concave) stochastic correlated model.
PMID- 29355236
TI - Analysis and control of information diffusion dictated by user interest in
generalized networks.
AB - The diffusion of useful information in generalized networks, such as those
consisting of wireless physical substrates and social network overlays is very
important for theoretical and practical applications. Contrary to previous works,
we focus on the impact of user interest and its features (e.g., interest
periodicity) on the dynamics and control of diffusion of useful information
within such complex wireless-social systems. By considering the impact of
temporal and topical variations of users interests, e.g., seasonal periodicity of
interest in summer vacation advertisements which spread more effectively during
Spring-Summer months, we develop an epidemic-based mathematical framework for
modeling and analyzing such information dissemination processes and use three
indicative operational scenarios to demonstrate the solutions and results that
can be obtained by the corresponding differential equation-based formalism. We
then develop an optimal control framework subject to the above information
diffusion modeling that allows controlling the trade-off between information
propagation efficiency and the associated cost, by considering and leveraging on
the impact that user interests have on the diffusion processes. By analysis and
extensive simulations, significant outcomes are obtained on the impact of each
network layer and the associated interest parameters on the dynamics of useful
information diffusion. Furthermore, several behavioral properties of the optimal
control of the useful information diffusion with respect to the number of
infected/informed nodes and the evolving user interest are shown through analysis
and verified via simulations. Specifically, a key finding is that low interest
related diffusion can be aided by utilizing proper optimal controls. Our work in
this paper paves the way towards this user-centered information diffusion
framework.
PMID- 29355238
TI - Lay health advisers: scoping the role and intervention landscape.
AB - The use of lay health advisers has become an established approach within public
health, in particular for impact on health inequalities and engaging socially
excluded groups. Evidence on how differences in terms of the multiple role
dimensions impact the outcomes of programs is limited. This creates ambiguity for
decision makers on which roles should be implemented in different contexts for
different needs. This paper applies realist logic to an inquiry to explore the
mechanisms that may operate in lay-led intervention models and understand how,
why, and in what respect these lead to particular outcomes. It draws on a project
focusing on health-related lifestyle advisers and further insights gained from a
subsequent related project about outreach with traveler communities. Analysis
highlights multiple and potentially interacting aspects of lay health-adviser
roles that may influence their success, including characteristics of lay health
advisers, characteristics of target populations, purpose or intent of
interventions, and how advice is given. A model is proposed from which to examine
the contexts and mechanisms of lay health advisers that may impact outcomes, and
is subsequently applied to two examples of reported lay health-adviser
interventions. The combination of skills and characteristics of lay health
advisers must be considered when planning which interventions might be
appropriate when targeting specific needs or target populations. Focus only on
the peer/layperson distinction may overlook other potentially important skills
and mechanisms of action integral to lay health-adviser roles.
PMID- 29355237
TI - Erratum: Author Correction: Successful amplification of DNA aboard the
International Space Station.
AB - [This corrects the article DOI: 10.1038/s41526-017-0033-9.].
PMID- 29355239
TI - Collaborative public-private initiatives targeting multidrug-resistant
tuberculosis (MDR-TB) supported by the Lilly MDR-TB Partnership: experiences in
2012-2016.
AB - Since 2003, the Lilly Foundation has supported the noncommercial Lilly MDR-TB
Partnership, which involves more than 45 local, national, global, and
nongovernmental organizations and governments. The aim of the Lilly MDR-TB
Partnership is to achieve significant global impact on multidrug-resistant
tuberculosis (MDR-TB) by addressing a series of important local health care needs
in highly affected countries: China, India, Russia, and South Africa. The main
focus of activities during 2012-2016 was on community needs in primary care.
Supported projects seek to make meaningful and measurable progress toward global
and national TB objectives. The partnership programs share an overall conceptual
approach known as "research, report, advocate", based on the piloting of novel
approaches on a small scale, with outcomes assessed at early stages. The results
are analyzed and communicated to governments, health-policy experts, and local
and national stakeholders, including those in other countries facing similar MDR
TB challenges. For successful, cost-effective initiatives, the analysis is used
as support when advocating for the scaling up of initiatives to regional or
national levels. This article discusses representative examples of projects
supported by the Lilly MDR-TB Partnership in the time period 2012-2016. The
examples illustrate the potential for globally informed, locally designed primary
care collaborations to strengthen health care systems and support TB policies and
offer observations to inform future health care public-private partnerships.
PMID- 29355240
TI - Leadership requirements for Lean versus servant leadership in health care: a
systematic review of the literature.
AB - As health care organizations face pressures to improve quality and efficiency
while reducing costs, leaders are adopting management techniques and tools used
in manufacturing and other industries, especially Lean. Successful Lean leaders
appear to use a coaching leadership style that shares underlying principles with
servant leadership. There is little information about specific similarities and
differences between Lean and servant leaderships. We systematically reviewed the
literature on Lean leadership, servant leadership, and health care and performed
a comparative analysis of attributes using Russell and Stone's leadership
framework. We found significant overlap between the two leadership styles,
although there were notable differences in origins, philosophy, characteristics
and behaviors, and tools. We conclude that both Lean and servant leaderships are
promising models that can contribute to the delivery of patient-centered, high
value care. Servant leadership may provide the means to engage and develop
employees to become successful Lean leaders in health care organizations.
PMID- 29355241
TI - Response to Comment on "Turning Vulcanized Natural Rubber into a Self-Healing
Polymer: Effect of the Disulfide/Polysulfide Ratio".
PMID- 29355242
TI - A novel basolateral type IV secretion model for the CagA oncoprotein of
Helicobacter pylori.
AB - Intercellular junctions are crucial structural elements for the formation and
maintenance of epithelial barrier functions to control homeostasis or protect
against intruding pathogens in humans. Alterations in these complexes represent
key events in the development and progression of numerous cancers as well as
multiple infectious diseases. Many bacterial pathogens harbor type IV secretion
systems (T4SSs), which translocate virulence factors into host cells to hijack
cellular processes. The pathology of the gastric pathogen and type-I carcinogen
Helicobacter pylori strongly depends on a T4SS encoded by the cag pathogenicity
island (cagPAI). This T4SS forms a needle-like pilus and its activity is
accomplished by the pilus-associated factors CagL, CagI and CagY which target the
host integrin-beta1 receptor followed by injection of the CagA oncoprotein into
non-polarized AGS gastric epithelial cells. The finding of a T4SS receptor,
however, suggested the presence of a sophisticated control mechanism for the
injection of CagA. In fact, integrins constitute a group of basolateral
receptors, which are normally absent at apical surfaces of the polarized
epithelium in vivo. Our new results demonstrate that T4SS-pilus formation during
H. pylori infection of polarized epithelial cells occurs preferentially at
basolateral sites, and not at apical membranes (Tegtmeyer et al., 2017). We
propose a stepwise process how H. pylori interacts with components of
intercellular tight junctions (TJs) and adherens junctions (AJs), followed by
contacting integrin-based focal adhesions to disrupt and transform the epithelial
cell layer in the human stomach. The possible impact of this novel signaling
cascade on pathogenesis during infection is reviewed.
PMID- 29355243
TI - Satisfaction among early and mid-career dentists in a metropolitan dental
hospital in China.
AB - A growing body of research has examined career satisfaction among dentists using
a standardized instrument, dentist satisfaction survey (DSS). This project
examined career satisfaction of early to mid-career dentists in China, a
population whose career satisfaction, heretofore, has not been studied. This is
an especially critical time to examine career satisfaction because of health care
reform measures being implemented in China. A culturally sensitive Chinese
language version of the DSS (CDSS) was developed and electronically administered
to 367 early and mid-career dentists in a tertiary dental hospital in Beijing,
China. One hundred and seventy respondents completed the survey. The average
total career score was 123, with a range of 82-157. Data analysis showed some
significant differences in total career score and several subscales based on
gender, working hours per week, and years in practice. A stepwise regression
model revealed that two variables predicted total career score: working hours per
week and gender. Stepwise regression also demonstrated that four subscales
significantly predicted the overall professional satisfaction subscale score:
respect, delivery of care, income and patient relations. Implications of these
results are discussed in light of the health care delivery system and dentist
career paths in China.
PMID- 29355245
TI - The Obstacles to Current Extracellular Vesicle-Mediated Drug Delivery Research.
AB - Extracellular Vesicles (EVs) are nanometer-sized cell-derived membrane vesicles
that are released by donor cells and play an important role in intercellular
communication. In this short communication, we discuss the obstacles currently
faced in EV-mediated drug delivery research. The commonly used vehicle for drug
delivery in prevalent practice are liposome's which are synthetic vesicles, these
vesicles commonly interact with serum proteins, macrophages and other innate
immune response molecules and may be destroyed before they can deliver the drug.
EVs however have the same membrane compositions and similar cell surface markers
as the cells from which they are derived which thus prevents interactions or
provocations of an immune response. In addition, EVs have been used to deliver
molecules across tight cellular junctions such as the blood brain barrier. This
has led to an interest in using EVs as a novel method for drug delivery. We
hereby discuss the potential pitfalls and difficulties that need to be addressed
before EVs can be used as drug delivery vehicles in pharmacological research.
PMID- 29355246
TI - Rediscovering Don Swanson: the Past, Present and Future of Literature-Based
Discovery.
AB - The late Don R. Swanson was well appreciated during his lifetime as Dean of the
Graduate Library School at University of Chicago, as winner of the American
Society for Information Science Award of Merit for 2000, and as author of many
seminal articles. In this informal essay, I will give my personal perspective on
Don's contributions to science, and outline some current and future directions in
literature-based discovery that are rooted in concepts that he developed.
PMID- 29355244
TI - A game of substrates: replication fork remodeling and its roles in genome
stability and chemo-resistance.
AB - During the hours that human cells spend in the DNA synthesis (S) phase of the
cell cycle, they may encounter adversities such as DNA damage or shortage of
nucleotides. Under these stresses, replication forks in DNA may experience
slowing, stalling, and breakage. Fork remodeling mechanisms, which stabilize slow
or stalled replication forks and ensure their ability to continue or resume
replication, protect cells from genomic instability and carcinogenesis. Fork
remodeling includes DNA strand exchanges that result in annealing of newly
synthesized strands (fork reversal), controlled DNA resection, and cleavage of
DNA strands. Defects in major tumor suppressor genes BRCA1 and BRCA2, and a
subset of the Fanconi Anemia genes have been shown to result in deregulation in
fork remodeling, and most prominently, loss of kilobases of nascent DNA from
stalled replication forks. This phenomenon has recently gained spotlight as a
potential marker and mediator of chemo-sensitivity in cancer cells and,
conversely, its suppression - as a hallmark of acquired chemo-resistance.
Moreover, nascent strand degradation at forks is now known to also trigger innate
immune response to self-DNA. An increasingly sophisticated molecular description
of these events now points at a combination of unbalanced fork reversal and end
resection as a root cause, yet also reveals the multi-layered complexity and
heterogeneity of the underlying processes in normal and cancer cells.
PMID- 29355247
TI - A lentiviral system for efficient knockdown of proteins in neuronal cultures
[version 1; referees: 2 approved].
AB - We have devised a protocol for highly efficient and specific knockdown of
proteins in neuronal cultures. Small hairpin RNAs (shRNAs) are embedded into a
microRNA (miRNA) context by oligo annealing to create shRNAmiRs, which are
expressed from within the 3'-UTR of a reporter protein. This reporter
protein/synthetic miRNA cassette is transferred to a targeting vector and
lentivirus is produced in HEK-293-T cells following co-transfection of the
targeting vector with three additional vectors encoding essential lentiviral
proteins. Mature virus is harvested by collecting culture medium from transfected
HEK-293-T cells, the virus is purified by centrifugation, and virus titers are
determined prior to addition to neuronal cultures. Near 100% transduction
efficiency of cultured hippocampal neurons is routinely observed and allows for
the population-wide inhibition of target protein expression and the simultaneous
knockdown of multiple proteins with little or no toxicity. The lentivirus
generated can be used for protein knockdown in multiple neuronal culture models
and at a variety of developmental stages. The steps from shRNAmiR design to ready
to-use virus stocks can be completed in as little as two weeks.
PMID- 29355248
TI - FAMILY INTERACTION AND SOCIAL STIGMATIZATION OF PEOPLE LIVING WITH HIV AND AIDS
IN PUERTO RICO.
AB - This article aims to describe the manifestation of HIV stigma in the family
context and how this could impact the life of people living with HIV (PLWH). The
data derive from a larger phenomenological study addressing manifestations of
stigma in the lives of PLWH when interacting with the health sector. Nine focus
groups were carried out in 2011 with PLWH (N=67). Eight themes emerged from the
qualitative analysis. For the purpose of this article, we focus on the categories
related to family dynamics: the negotiation of disclosure and non-disclosure,
fear of the HIV virus and family dynamics, and life as a couple. Socio
demographic information showed that 53% were between 44 to 54 years old, 80% were
single, 51% were male, 42% did not complete a high school diploma, 82% were
unemployed. Also, 82% described themselves as religious persons and 41% had lived
with HIV for 10 years or less. Qualitative results show stigma is still present
in the family context. PLWH experience fear of disclosure, discrimination, avoid
initiating families or couple relationships, experience physical and verbal abuse
from relatives, and even separation from other family members. After more than 30
years of the ongoing HIV epidemic, stigma is still manifested by family members
with detrimental social and medical implications for PLWH. Research and
educational efforts should continue addressing manifestations of stigma among
family members of PLWH.
PMID- 29355249
TI - Developing a model for effective leadership in healthcare: a concept mapping
approach.
AB - Purpose: Despite increasing awareness of the importance of leadership in
healthcare, our understanding of the competencies of effective leadership remains
limited. We used a concept mapping approach (a blend of qualitative and
quantitative analysis of group processes to produce a visual composite of the
group's ideas) to identify stakeholders' mental model of effective healthcare
leadership, clarifying the underlying structure and importance of leadership
competencies. Methods: Literature review, focus groups, and consensus meetings
were used to derive a representative set of healthcare leadership competency
statements. Study participants subsequently sorted and rank-ordered these
statements based on their perceived importance in contributing to effective
healthcare leadership in real-world settings. Hierarchical cluster analysis of
individual sortings was used to develop a coherent model of effective leadership
in healthcare. Results: A diverse group of 92 faculty and trainees individually
rank-sorted 33 leadership competency statements. The highest rated statements
were "Acting with Personal Integrity", "Communicating Effectively", "Acting with
Professional Ethical Values", "Pursuing Excellence", "Building and Maintaining
Relationships", and "Thinking Critically". Combining the results from
hierarchical cluster analysis with our qualitative data led to a healthcare
leadership model based on the core principle of Patient Centeredness and the core
competencies of Integrity, Teamwork, Critical Thinking, Emotional Intelligence,
and Selfless Service. Conclusion: Using a mixed qualitative-quantitative
approach, we developed a graphical representation of a shared leadership model
derived in the healthcare setting. This model may enhance learning, teaching, and
patient care in this important area, as well as guide future research.
PMID- 29355250
TI - Conceptualizations of clinical leadership: a review of the literature.
AB - Introduction: Poor patient outcomes in South African maternal health settings
have been associated with inadequately performing health care providers and poor
clinical leadership at the point of care. While skill deficiencies among health
care providers have been largely addressed, the provision of clinical leadership
has been neglected. In order to develop and implement initiatives to ensure
clinical leadership among frontline health care providers, a need was identified
to understand the ways in which clinical leadership is conceptualized in the
literature. Design: Using the systematic quantitative literature review, papers
published between 2004 and 2016 were obtained from search engines (Google Scholar
and EBSCOhost). Electronic databases (CINHAL, PubMed, Medline, Academic Search
Complete, Health Source: Consumer, Health Source: Nursing/Academic, ScienceDirect
and Ovid(r)) and electronic journals (Contemporary Nurse, Journal of Research in
Nursing, Australian Journal of Nursing and Midwifery, International Journal of
Clinical Leadership) were also searched. Results: Using preselected inclusion
criteria, 7256 citations were identified. After screening 230 potentially
relevant full-text papers for eligibility, 222 papers were excluded because they
explored health care leadership or clinical leadership among health care
providers other than frontline health care providers. Eight papers met the
inclusion criteria for the review. Most studies were conducted in high-income
settings. Conceptualizations of clinical leadership share similarities with the
conceptualizations of service leadership but differ in focus, with the intent of
improving direct patient care. Clinical leadership can be a shared
responsibility, performed by every competent frontline health care provider,
regardless of the position in the health care system. Conclusion:
Conceptualizations of clinical leadership among frontline health care providers
arise mainly from high-income settings. Understanding the influence of context on
conceptualizations of clinical leadership in middle- and low-income settings may
be required.
PMID- 29355251
TI - Synthesis, characterization and Pd(ii)-coordination chemistry of the ligand
tris(quinolin-8-yl)phosphite. Application in the catalytic aerobic oxidation of
amines.
AB - The synthesis and characterization of the ligand tris(quinolin-8-yl)phosphite,
(P(Oquin)3), are described and its coordination chemistry toward the metal
precursor [Pd(COD)Cl2] (COD = 1,5-cyclooctadiene, C8H12) is reported. A new
Pd(ii)-P(Oquin)3 metal complex was isolated and fully characterized
([Pd{P(Oquin)3}Cl2]), and its X-ray diffraction analysis shows that the phosphite
ligand coordinates as a bidentate P-N chelate. This complex is an efficient
catalyst for the solvent-free mild oxidative coupling of primary amines to imines
using air as an oxidant, obtaining moderate to good yields (up to 99%) and
turnover numbers (TONs up to 230). This catalyst can be recovered from the
reaction mixture and reused in a subsequent run without a significant loss of
activity. Kinetic measurements of the oxidation of benzylamine suggest that the
rate law is r = kapp[BnNH2][cat] ([BnNH2] = molar concentration of benzylamine,
[cat] = molar concentration of [Pd{P(Oquin)3}Cl2], kapp = k[O2]c = 0.756 L mol-1
h-1 = apparent rate constant). In situ NMR tests were performed to gain some
insight into the reactivity of the Pd(ii)-P(Oquin)3 complex toward benzylamine.
PMID- 29355252
TI - Friction induced structural transformations of water monolayers at graphene/Cu
interfaces.
AB - Tribological and structural properties of water monolayers confined at interfaces
between graphene and Cu substrates at cryogenic and room temperatures are
extensively studied using molecular dynamics simulations and first-principles
calculations. The frictions caused by the sliding of graphene sheets and
increasing temperature will reduce the interfacial density of water molecules and
lead to structural transformations of water monolayers and direct contacts of
graphene with the underlying Cu substrates. Such changes in water structures give
rise to higher friction forces and shear strengths at the graphene/Cu interfaces.
Depending on the water coverage density and temperature, the motions of graphene
on monolayer water covered Cu exhibit stick-slip and continuous slipping
behaviors. The strong association of friction characteristics with structural
transformations of water molecules could be used to unveil interfacial
information of graphene on water adsorbed metal surfaces.
PMID- 29355253
TI - On the physics of both surface overcharging and charge reversal at heterophase
interfaces.
AB - The conventional paradigm for characterizing surface overcharging and charge
reversal is based on the so-called Stern layer, in which surface dissociation
reaction and specific chemical adsorption are assumed to take place. In this
article, a series of Monte Carlo simulations have been applied to obtain useful
insights into the underlying physics responsible for these two kinds of anomalous
phenomena at the interface of two dielectrics, with special emphasis on the case
of divalent counterions that are more relevant in natural and biological
environments. At a weakly charged surface, it is found that independent of the
type of surface charge distribution and the dielectric response of the solution,
the overcharging event is universally driven by the ion size-asymmetric effect.
Exceptionally, the overcharging still persists when the surface is highly charged
but is only restricted to the case of discrete surface charge in a relatively low
dielectric medium. As compared to the adsorption onto the homogeneously smeared
charge surface that has the same average affinity for counterions, on the other
hand, charge reversal under the action of a dielectric response can be
substantially enhanced in the discrete surface charge representation due to
strong association of counterions with interfacial groups, and the degree of
enhancement depends in a nontrivial way on the reduction of the medium dielectric
constant and the steric effects of finite ion size. Rather interestingly, the
charge reversal is of high relevance to the overcharging of interfaces because
the overwhelming interfacial association forces the coions closer to the surface
due to their smaller size than the counterions. Upon the addition of a monovalent
salt to the solution, the interfacial association with divalent counterions makes
surface overcharging and charge reversal widely unaffected, in contrast to the
prevailing notion that screening of surface charge of a homogeneous nature is
determined by the competitive effects between size-exclusion effects and
energetic contributions. Overall, the present work highlights that the complex
interplay between the electrostatic and steric interactions should be coupled to
the realistic character of surface charge to establish a faithful description of
the overcharging and charge reversal at heterophase interfaces.
PMID- 29355254
TI - Transition states of spin-forbidden reactions.
AB - Spin-orbit coupling plays an important role in determining the mechanisms and
kinetics of spin-forbidden reactions and many reactions exhibiting two-state
reactivity. Spin-orbit coupling can allow the system to change its spin state,
especially when potential energy surfaces (PESs) of two spin states approach each
other. Here, we introduce a convenient new approximation method for locating
stationary points on the lowest mixed-spin potential energy surface along a
reaction pathway by using density functional calculations. The mixing of
different spin states is achieved by introducing the spin-orbit coupling into the
electronic Hamiltonian using a pre-defined coupling constant. Two examples are
given using the new methodology: (a) a CO association reaction with the
coordinatively unsaturated Fe(CO)4 complex and (b) an alpha-H elimination
reaction of a model complex containing W. We computed a Gibbs free energy of
activation of 2.8 kcal mol-1 for the CO association reaction, which is reasonably
consistent with the experimentally measured reaction rate. For the H elimination
reaction, the spin change occurs at a relatively low energy, and the present
treatment allows one conclude that kinetics of the reaction can be reasonably
well described without spin-orbit coupling.
PMID- 29355255
TI - Sensitized near infrared emission through supramolecular d -> f energy transfer
within an ionic Ru(ii)-Er(iii) pair.
AB - The newly synthesized ionic triple salt Ru-Er,
{[RuII(bpy)2(dbim)][ErIII(hfac)4][CF3COO].H2O} (bpy = 2,2'-bipyridine; hfac- =
hexafluoroacetylacetonate; dbim = 2,2'-dibenzimidazole) exhibits near-infrared
(NIR) emission at 1535 nm by intermolecular Ru -> Er (d -> f) energy transfer
across supramolecular interactions when pumped within the Ru(ii) 3MLCT band. It
is the first such observation for a transition metal-lanthanide ionic pair.
PMID- 29355256
TI - Heterometallic hexanuclear Ni4M2 (M = Dy, Y) complexes: structure and single
molecule magnet for the Dy(iii) derivative.
AB - To achieve a heterometallic single-molecule magnet with novel topologies, two
isostructural hexanuclear clusters [Ni4Ln2(MU3-OH)2L4(OAc)8].H2O [Ln = Dy, 1; Y,
2] were prepared from the reactions of 1,3-diamine-2-propanol (HL) with MCl3.6H2O
(M = Dy, Y) and Ni(OAc)2.4H2O in acetonitrile, in which the studies for 2 with
the diamagnetic metal ion of Y(iii) are just for further understanding of the
magnetic properties of 1. Single-crystal X-ray diffraction measurements indicate
that both heterometallic hexanuclear complexes possess an S-shaped double-pocket
skeleton with the two M(iii) ions separated by a chair-like Ni4O4 moiety. The
temperature- and frequency-dependent alternating-current (ac) susceptibility
measurements under zero dc fields revealed a single-molecule magnet for 1. Its
magnetic properties can be further understood based on the magnetic analysis of
2.
PMID- 29355257
TI - Humidity-responsive phase transition and on-demand UV-curing in a hygroscopic
polysiloxane-surfactant nanohybrid film.
AB - We developed a surfactant-directed dynamic hybrid film system containing a
cationic hygroscopic polysiloxane whose mesostructure is rapidly altered between
lamellar and hexagonal phases by the change in surrounding humidity.
Immobilization of one phase is successfully achieved by UV-curing through a
photoinitiated thiol-ene reaction. This strategy enables the instant activation
of a curable LLC mesostructure on-demand by humidification from a dormant state
in a dry atmosphere.
PMID- 29355258
TI - Analyzing the structure of macromolecules in their native cellular environment
using hydroxyl radical footprinting.
AB - Hydroxyl radical footprinting (HRF) has been successfully used to study the
structure of both nucleic acids and proteins. The method utilizes hydroxyl
radicals to oxidize solvent accessible sites in macromolecules. In recent years,
the method has shown some utility for live cell analysis. In this review, we will
survey the current state of the field for footprinting macromolecules in living
cells. The field is relatively new, particularly for protein studies, with only a
few publications on the development and application of HRF on live cells. DNA
protein interaction sites and information on the secondary and tertiary structure
of RNA has been characterized. In addition, the conformational changes of
membrane-spanning channels upon opening and activation have also been studied by
in-cell HRF. In this review, we highlight examples of these applications.
PMID- 29355259
TI - Porous CoP nanosheets converted from layered double hydroxides with superior
electrochemical activity for hydrogen evolution reactions at wide pH ranges.
AB - Porous materials are widely studied in many applications due to their high
surface area and rich edge sites. Here, for the first time, we reported a simple
and convenient method to synthesize porous nanosheets of metal hydroxides by
selectively etching amphoteric Al in CoAl layered double hydroxides on carbon
paper (CoAl-LDH/CP), and then convert them into porous cobalt phosphide
nanosheets on carbon paper (p-CoP/CP) via low temperature phosphidation. In
contrast to pristine cobalt phosphide nanosheets on carbon paper (CoP/CP), p
CoP/CP has lower overpotential, Tafel slope and charge transfer resistance as
well as higher electrochemically active surface area. The excellent
electrochemical characteristics make it have outstanding catalytic activity and
stability over the whole pH range. In 1.0 M KOH, the p-CoP/CP only requires an
overpotential of 57 mV to drive 10 mA cm-2. To achieve the same current density,
it only needs overpotentials of 39 and 60 mV in 1.0 M H2SO4 and 1.0 M PBS,
respectively. To our knowledge, it is the best among those nonprecious
electrocatalysts used for hydrogen evolution in neutral solution. Moreover, this
catalyst offers good durability over the whole pH range.
PMID- 29355260
TI - Synthesis of bench-stable solid triorganoindium reagents and reactivity in
palladium-catalyzed cross-coupling reactions.
AB - Bench-stable solid triorganoindium compounds have been prepared by coordination
with 4-(dimethylamino)pyridine (DMAP). The solid R3In(DMAP) complexes are
obtained from the corresponding solution of R3In in quantitative yield and can be
stored for up to several weeks. These reagents show excellent reactivity in
palladium-catalyzed cross-coupling reactions with organic electrophiles.
PMID- 29355261
TI - 3D printing of graphene-doped target for "matrix-free" laser
desorption/ionization mass spectrometry.
AB - We report a graphene-doped resin target fabricated via a 3D printing technique
for laser desorption/ionization mass spectrometry analysis. The graphene doped in
the target acts as an inherent laser absorber and ionization promoter, thus
permitting the direct analysis of samples without adding matrix. This work
reveals a new strategy for easy designing and fabrication of functional mass
spectrometry devices.
PMID- 29355263
TI - Layered gadolinium hydroxides for simultaneous drug delivery and imaging.
AB - The potential of the layered gadolinium hydroxide (LGdH) [Gd2(OH)5]Cl.yH2O (LGdH
Cl) for simultaneous drug delivery and magnetic resonance imaging was explored in
this work. Three non-steroidal anti-inflammatory drugs (diclofenac [dic],
ibuprofen [ibu], and naproxen [nap]) were intercalated into LGdH-Cl for the first
time, using three different routes (ion exchange intercalation, coprecipitation,
and exfoliation-self-assembly). X-ray diffraction, elemental microanalysis and IR
spectroscopy confirmed successful incorporation of the drug into the interlayer
spaces of the LGdH in all cases. From a comparison of the guest anion sizes and
interlayer spacings, the active ingredients are believed to adopt intertwined
bilayer configurations between the LGdH layers. The materials prepared by
coprecipitation in general have noticeably higher drug loadings than those
produced by ion exchange or self-assembly, as a result of the incorporation of
some neutral drug into the composites. The LGdH-drug intercalates are stable at
neutral pH, but rapidly degrade in acidic conditions to free Gd3+ into solution.
While LGdH-nap releases its drug loading into solution very rapidly (within ca.
1.5 h) at pH 7.4, LGdH-dic shows sustained release over 4 h, and LGdH-ibu extends
this to 24 h. The latter composites therefore can be incorporated into enteric
coated tablets to provide sustained release in the small intestine. The drug
intercalates are highly biocompatible and retain the proton relaxivity properties
of the parent LGdH-Cl, with the materials most promising for use as negative
contrast agents in MRI. Overall, the LGdH-drug intercalation compounds appear to
have great potential for use in theranostic applications.
PMID- 29355262
TI - The antibacterial activity of polyoxometalates: structures, antibiotic effects
and future perspectives.
AB - Polyoxometalates (POMs) are, mostly anionic, metal oxide compounds that span a
wide range of tunable physical and chemical features rendering them very
interesting for biological purposes, an continuously emerging but little explored
field. Due to their biological and biochemical effects, including antitumor,
viral and -bacterial properties, POMs and POM-based systems are considered as
promising future metallodrugs. In this article, we focus on the antibacterial
activity of POMs and their therapeutic potential in the battle against bacteria
and their increasing resistance against pharmaceuticals. Recent advances in the
synthesis of POMs are highlighted, with emphasis on the development and
properties of biologically active POM-based hybrid and nanocomposite structures.
By analysing the antibacterial activity and structure of POMs, putative mode of
actions are provided, including potential targets for POM-protein interactions,
and a structure-activity-relationship was established for a series of POMs
against two bacteria, namely Helicobacter pylori and Streptococcus pneumoniae.
PMID- 29355264
TI - First entry into nonmetal-centred porphycenes: synthesis of a phosphorus(v)
complex of octaethylporphycene.
AB - A phosphorus(v) complex of porphycene [P(OEPc)(OMe)2]PF6 (OEPc =
2,3,6,7,12,13,16,17-octaethylporphycenato dianion) has been synthesized and
structurally characterized as the first porphycene derivative incorporating
nonmetal elements in the macrocyclic cavity. An extremely low energy level of the
LUMO is observed, which is rationalized by the low-lying pi* orbital of the
porphycene ring coupled with the insertion of the highly-inductive pentavalent
phosphorus centre. The compound is luminescent in bright red with a quantum yield
of 22.7% in CH2Cl2 at 298 K.
PMID- 29355265
TI - Collection of amino acids and DNA from fingerprints using hydrogels.
AB - The amino acid profile obtained from a fingerprint may provide valuable
information on its donor. For forensic scientists, recovering evidence relating
to the amino acid profile of a suspect can potentially be valuable for
identification and exclusion purposes. Herein we detail the use of cross-linkable
solutions of dextran-methacrylate to form hydrogels capable of collecting amino
acids from surfaces followed by extraction and quantification with UPLC-MS. This
method allows for the amino acid profile analysis of fingerprints while allowing
for their increased visualisation at a later stage using the standard method of
cyanoacrylation. We will demonstrate this method to also be capable of collecting
DNA from fingerprints with a 20-60% yield in comparison to using a conventional
cotton swab.
PMID- 29355266
TI - Hydrogen bond-assisted homochiral lattice packing between inorganic helices built
from heterometallic units.
AB - Two enantiomorphic helical chains were constructed using heterometallic
{TiMn2(MU3-O)} building units, and their final homochiral lattice packing was
further transmitted by hydrogen bonding interactions between the chains with the
same handedness.
PMID- 29355267
TI - A fluorescent turn-on probe for cyanide anion detection based on an AIE active
cobalt(ii) complex.
AB - A new tetradentate polypyridine ligand that displays aggregation induced emission
(AIE) characteristics has been synthesized. A coordination complex with CoCl2 has
been prepared and characterized by X-ray crystallography. The Co(ii) complex
retains the AIE activity of the ligand in aqueous solution while also exhibiting
a selective turn-on fluorescence response in the presence of cyanide anion. A
complex : CN- binding stoichiometry of 1 : 2 was indicated via Job plot analysis
and the limit of detection for CN- was determined to be 0.59 MUM. The
fluorescence response is attributed to coordination of CN- by the AIE active
Co(ii) complex, resulting in decreased solubility in aqueous medium and
concomitant generation of larger nanoaggregates as revealed by dynamic light
scattering (DLS) measurements. The sensitivity and selectivity displayed by this
sensor for CN- over other anions renders it a candidate probe for CN- detection
in aqueous environments.
PMID- 29355268
TI - Remarkable increase in the rate of the catalytic epoxidation of electron
deficient styrenes through the addition of Sc(OTf)3 to the MnTMTACN catalyst.
AB - The effect of Lewis acids on the catalytic activity of [Mn2(MU
O)3(TMTACN)2](PF6)2 in the epoxidation of styrenes using hydrogen peroxide as the
oxidant has shown that the addition of Sc(OTf)3 at low catalytic loading results
in a very significant increase in the efficiency of the catalyst and a reduction
of the reaction time to only 3 minutes in most cases.
PMID- 29355269
TI - Correction: A pH responsive AIE probe for enzyme assays.
AB - Correction for 'A pH responsive AIE probe for enzyme assays' by Leilei Shi et
al., Analyst, 2018, DOI: 10.1039/c7an01710c.
PMID- 29355274
TI - Adaptation of Rhodopseudomonas acidophila strain 7050 to growth at different
light intensities: what are the benefits to changing the type of LH2?
AB - Typical purple bacterial photosynthetic units consist of light harvesting
one/reaction centre 'core' complexes surrounded by light harvesting two
complexes. Factors such as the number and size of photosynthetic units per cell,
as well as the type of light harvesting two complex that is produced, are
controlled by environmental factors. In this paper, the change in the type of LH2
present in the Rhodopsuedomonas acidophila strain 7050 is described when cells
are grown at a range of different light intensities. This species contains
multiple pucBA genes that encode the apoproteins that form light-harvesting
complex two, and a more complex mixture of spectroscopic forms of this complex
has been found than was previously thought to be the case. Femto-second time
resolved absorption has been used to investigate how the energy transfer
properties in the membranes of high-light and low-light adapted cells change as
the composition of the LH2 complexes varies.
PMID- 29355275
TI - Effects of volatile oil from ginger on the murine B16 melanoma cells and its
mechanism.
AB - In this study, the inhibitory effects of volatile oil from ginger on
melanogenesis and its antioxidant characteristics were investigated. The effects
of volatile oil from ginger on cell proliferation, melanin content and tyrosinase
activity were determined using a microplate reader. In addition, the expression
of melanogenesis-related protein was determined by western blotting. The results
indicate that the tested concentrates of volatile oil from ginger showed
inhibitory effects on cell proliferation and melanogenesis. This revealed that
volatile oil from ginger exhibited apparent capacities for scavenging ROS and
lipid peroxidation. Furthermore, volatile oil from ginger improved the activities
of GSH, SOD and CAT in B16 melanoma cells. These results demonstrated that
volatile oil from ginger suppressed melanin synthesis through its antioxidant
properties and the inhibitory effects on tyrosinase activity and melanogensis
related proteins. Hence, volatile oil from ginger could be used as an effective
skin-whitening agent applied in food industry.
PMID- 29355276
TI - 3D printed high density, reversible, chip-to-chip microfluidic interconnects.
AB - Our latest developments in miniaturizing 3D printed microfluidics [Gong et al.,
Lab Chip, 2016, 16, 2450; Gong et al., Lab Chip, 2017, 17, 2899] offer the
opportunity to fabricate highly integrated chips that measure only a few mm on a
side. For such small chips, an interconnection method is needed to provide the
necessary world-to-chip reagent and pneumatic connections. In this paper, we
introduce simple integrated microgaskets (SIMs) and controlled-compression
integrated microgaskets (CCIMs) to connect a small device chip to a larger
interface chip that implements world-to-chip connections. SIMs or CCIMs are
directly 3D printed as part of the device chip, and therefore no additional
materials or components are required to make the connection to the larger 3D
printed interface chip. We demonstrate 121 chip-to-chip interconnections in an 11
* 11 array for both SIMs and CCIMs with an areal density of 53 interconnections
per mm2 and show that they withstand fluid pressures of 50 psi. We further
demonstrate their reusability by testing the devices 100 times without seal
failure. Scaling experiments show that 20 * 20 interconnection arrays are
feasible and that the CCIM areal density can be increased to 88 interconnections
per mm2. We then show the utility of spatially distributed discrete CCIMs by
using an interconnection chip with 28 chip-to-world interconnects to test 45 3D
printed valves in a 9 * 5 array. Each valve is only 300 MUm in diameter (the
smallest yet reported for 3D printed valves). Every row of 5 valves is tested to
at least 10 000 actuations, with one row tested to 1 000 000 actuations. In all
cases, there is no sign of valve failure, and the CCIM interconnections prove an
effective means of using a single interface chip to test a series of valve array
chips.
PMID- 29355277
TI - Probiotic/prebiotic correction for adverse effects of iron fortification on
intestinal resistance to Salmonella infection in weaning mice.
AB - Iron fortification has been associated with a modest increase in diarrhea risk
among children. Herein, we investigate the correction for this unwanted side
effect with probiotic/prebiotic supplementation in weaning mice. Iron
fortification with 250 ppm and 500 ppm ferrous sulfate for 30 days significantly
increased the species richness of the mouse gut microbiota compared to controls.
The 500 ppm-FeSO4 diet caused a significantly decreased abundance of potentially
beneficial Lactobacillus. During infection with the foodborne pathogen Salmonella
enterica serovar Typhimurium (S. Typhimurium), mice on the 500 ppm-FeSO4 diet
showed earlier appearance of poisoning symptoms, higher rates of weight and
appetite loss, and lower survival rates, all of which were effectively reversed
by supplementation with a probiotic (Lactobacillus acidophilus) or a prebiotic
(inulin) for 7 days before infection. Iron fortification with 500 ppm ferrous
sulfate also increased fecal shedding and spleen and liver load of viable S.
Typhimurium, suggesting its promoting effect on pathogen colonization and
translocation, and this negative effect was found to be well corrected by
supplementation with Lactobacillus acidophilus or inulin. Light and transmission
electron microscopic observation on the ileal villus structure revealed the
histopathological impairment of the intestine by iron fortification with both 250
ppm and 500 ppm ferrous sulfate, and the intestinal lesions were markedly
alleviated by supplementation with Lactobacillus acidophilus or inulin. These
results provide experimental evidence for the increased diarrhea risk upon iron
fortification with high pathogen load, and demonstrate that probiotic or
prebiotic supplementation can be used to eliminate the potential harm of iron
fortification on gut health.
PMID- 29355278
TI - A metagenomics approach to the intestinal microbiome structure and function in
high fat diet-induced obesity mice fed with oolong tea polyphenols.
AB - To investigate the modulatory effect of oolong tea polyphenols (OTP) on
intestinal microbiota, OTP was prepared by column chromatography and its
influence on the gut flora structure was analyzed by high-throughput sequencing
with a human flora-associated high fat diet (HFD) induced obesity mouse model. We
observed a robust increase in bacterial biodiversity and the abundance of genera
known to be butyrate- and acetate-producing bacteria. A large increase in
Bacteroidetes with a decrease in Firmicutes was observed after the administration
of OTP for 4 weeks, and the corresponding decrease in the
Firmicutes/Bacteroidetes ratio reflected the positive modulatory effect of OTP on
the intestinal microbiota. In addition, KEGG pathways for the biosynthesis of
amino acids, carbon metabolism, and the ribosome were among the most
differentially expressed genes after OTP intervention. The current study revealed
that OTP rich in tea catechins, especially O-methylated derivatives, may have
prebiotic-like activity and can be used as a functional food component with
potential therapeutic utility to prevent obesity-related metabolic disorders by
manipulating the intestinal microbiota.
PMID- 29355280
TI - Effect of geometrical parameters on rebound of impacting droplets on leaky
superhydrophobic meshes.
AB - When a droplet impacts a superhydrophobic sieve, a part of the droplet penetrates
through it when the dynamic pressure (rhoU2) of the impinging droplet exceeds the
breakthrough pressure (gammaGamma/A). At higher impact velocities, the ejected
jet breaks and separates from the main droplet. The remaining part of the droplet
bounces off the surface showing different modes (normal bouncing as a vertically
elongated drop or pancake bouncing). In this work, we have studied the effect of
different geometrical parameters of superhydrophobic copper meshes on different
modes of droplet rebound. We observe three different effects in our study.
Firstly, we observe pancake like bouncing, which is attributed to the capillary
energy of the rebounding interface formed after the breaking of the ejected-jet.
Secondly, we observe leakage of the droplet volume and kinetic energy due to the
breaking of the ejected-jet, which leads to reduction in the contact times.
Finally, we observe that for flexible meshes, the transition to pancake type
bouncing is induced at lower Weber numbers. Flexibility also leads to a reduction
in the volume loss from the ejected-jet. This study will be helpful in the design
of superhydrophobic meshes for use under impact scenarios.
PMID- 29355281
TI - The End of Acquired Immunodeficiency Syndrome (AIDS) in Singapore - Are We There
Yet?
PMID- 29355282
TI - Malignant Hyperthermia and Ryanodine Receptor Type 1 Gene (RyR1) Mutation in a
Family in Singapore.
AB - INTRODUCTION: Sporadic clinical episodes of malignant hyperthermia (MH) that
develop during general anaesthesia (GA) have been reported in Singapore. However,
there is no published local report of a confirmed case of MH susceptibility (MHS)
by skeletal muscle contracture tests and/or molecular tests. MATERIALS AND
METHODS: We report 2 patients from an extended family who developed signs of
clinical MH while under GA. The MH episodes were successfully treated with
intravenous dantrolene sodium. Sequence analysis of the entire Ryanodine Receptor
Type 1 (RyR1) coding gene was carried out in an index patient. RESULTS: The index
patient was found to carry a c.7373G>A (p.Arg2458His) mutation in exon 46. This
particular mutation satisfies the criteria for a MHS causative mutation. Hence,
the index patient was considered to be MHS and did not need to undergo further
muscle contracture testing. The same mutation was also found in 3 other members
of his extended family. CONCLUSION: This is the first report of a Singaporean
family with at least 4 members carrying a MH-causative mutation in RyR1 gene.
This report serves to highlight the existence of the putative gene for MH in
Singapore, and the need for clinical vigilance during anaesthesia involving the
use of triggering agents.
PMID- 29355283
TI - Reliability and Validity of the English-, Chinese- and Malay-Language Versions of
the World Health Organization Quality of Life (WHOQOL-BREF) Questionnaire in
Singapore.
AB - INTRODUCTION: The World Health Organization Quality of Life (WHOQOL-BREF)
questionnaire is a 26-item questionnaire that evaluates 4 domains of quality of
life (QoL), namely Physical, Psychological, Social Relationships and Environment.
This study aimed to evaluate the validity and reliability of the WHOQOL-BREF
among Singapore residents aged 21 and above. MATERIALS AND METHODS: We recruited
participants from the general population by using multistage cluster sampling and
participants from 2 hospitals by using convenience sampling. Participants
completed either English, Chinese or Malay versions of the WHOQOL-BREF and the
EuroQoL 5 Dimension 5 Levels (EQ-5D-5L) questionnaires. Confirmatory factor
analysis, known-group validity, internal consistency (Cronbach's alpha) and test
retest reliability using the intraclass correlation coefficient (ICC) were
performed. RESULTS: Data from 1316 participants were analysed (Chinese: 46.9%,
Malay: 41.0% and Indian: 11.7%; 57.5% mean, mean standard deviation [SD, range]
age: 51.9 [15.68, 24 to 90] years); 154 participants took part in the retest in
various languages (English: 60, Chinese: 49 and Malay: 45). Tucker-Lewis Index
(TLI) was 0.919, 0.913 and 0.909 for the English, Chinese and Malay versions,
respectively. Cronbach's alpha exceeded 0.7 and ICC exceeded 0.4 for all domains
in all language versions. CONCLUSION: The WHOQOL-BREF is valid and reliable for
assessing QoL in Singapore. Model fit is reasonable with room for improvement.
PMID- 29355284
TI - Unusual Clinical Presentation of Nutcracker Phenomenon.
PMID- 29355285
TI - Subpleural Lung Cysts in Children with Trisomy 21.
PMID- 29355286
TI - An Audit of Critical Value Parameters at Two Regional Hospitals in Singapore.
PMID- 29355287
TI - Auto-Amputations.
PMID- 29355290
TI - Ergonomic interventions and prevention - a need for better understanding of
implementation.
PMID- 29355291
TI - Objectively measured physical activity of hospital shift workers.
AB - Objectives Shift work may alter workers' leisure-time and occupational physical
activity (PA) levels, which might be one of the potential underlying mechanisms
of the negative health effects of shift work. Therefore, we compared objectively
measured PA levels between hospital shift and non-shift workers. Methods Data
were used from Klokwerk+, a cohort study examining the health effects of shift
work among healthcare workers employed in hospitals. In total, 401 shift workers
and 78 non-shift workers were included, all of whom wore Actigraph GT3X
accelerometers for up to seven days. Time spent sedentary, standing, walking,
running, stairclimbing, and cycling during leisure time and at work was estimated
using Acti4 software. Linear regression was used to compare proportions of time
spent in these activities between hospital shift and non-shift workers. Results
Average accelerometer wear-time was 105.9 [standard deviation (SD) 14.0] waking
hours over an average of 6.9 (SD 0.6) days. No differences between hospital shift
and non-shift workers were found in leisure-time PA (P>0.05). At work, shift
workers were less sedentary [B=-10.6% (95% CI -14.3- -6.8)] and spent larger
proportions of time standing [B=9.5% (95% CI 6.4-12.6)] and walking [B=1.2% (95%
CI 0.1-2.2)] than non-shift workers. However, these differences in occupational
PA became smaller when the number of night shifts during accelerometer wear-time
increased. Conclusions Leisure-time PA levels of hospital shift workers were
similar to those of non-shift workers, but shift workers were less sedentary and
more physically active (ie, standing/walking) at work. Future research to the
role of occupational activities in the health effects of shift work is
recommended.
PMID- 29355292
TI - Effectiveness of ultrasound-guided vs direct approach corticosteroid injections
for carpal tunnel syndrome: A double-blind randomized controlled trial.
AB - OBJECTIVE: To compare the outcomes of ultrasound-guided vs direct approach
corticosteroid injection in patients with idiopathic carpal tunnel syndrome.
METHODS: A double-blind randomized controlled study. Wrists affected by carpal
tunnel syndrome were randomized to the ultrasound-guided (n = 22 wrists) or
direct approach injection group (n = 17 wrists) before receiving 1 ml
Betamethasone. Outcome measures were physical findings and electrodiagnostic
parameters assessed at 1, 3 and 6 months after injection. Complications were also
recorded. RESULTS: Both groups showed improvement through-out the follow-up
period after injections, in physical findings and in most electrodiagnostic
parameters (all p<0.05). The ultrasound-guided injection group showed greater
improvements in the Semmes-Weinstein Monofilament test result (p = 0.004),
sensory nerve conduction velocity (p = 0.038), and digit-4 comparison study
result (p = 0.046). Three wrists with weakness were found in the direct approach
injection group, yet none were noted in the ultrasound-guided injection group
(p=0.040). CONCLUSION: Both ultrasound-guided and direct approach corticosteroid
injection protocols improved clinical symptoms and signs, physical function, and
most electrodiagnostic parameters of patients with carpal tunnel syndrome
throughout the follow-up period. However, the ultrasound-guided injection group
showed greater improvements in the Semmes-Weinstein Monofilament test, sensory
nerve conduction velocity, and digit-4 comparison study.
PMID- 29355293
TI - Bladder irrigation with Chlorhexidine reduces bacteriuria in persons with spinal
cord injury.
AB - OBJECTIVE: To explore whether bladder irrigation with chlorhexidine: (i) can
reduce bacteriuria, and (ii) is a practically feasible option in subjects with
spinal cord injury practicing intermittent self-catheterization. DESIGN: A
prospective, non-controlled, open, multi-centre study. METHODS: Fifty patients
with spinal cord injury, practicing intermittent self-catheterization, with a
history of recurrent urinary tract infections were screened for bacteriuria at
follow-up visits to 4 spinal cord injury centres in Sweden. Twenty-three patients
had a positive urine culture (> 105 CFU/ml of > 1 bacterial species), of which 19
completed the study. Subjects proceeded with bladder irrigation, using 120 ml of
0.2% chlorhexidine solution twice daily for up to 7 days. Urine samples were
taken twice daily. Response to treatment was defined as reduction in bacterial
counts to < 103 CFU/ml. RESULTS: Fourteen of 19 subjects reduced their bacterial
counts to or below the set limit. Subsequent return of above-endpoint bacteriuria
was seen in most of the subjects. However, there were significantly fewer
subjects with bacteriuria after treatment (p <0.005). CONCLUSION: Bladder
irrigation with chlorhexidine, using intermittent self-catheterization, reduced
bacteriuria in the majority of subjects with spinal cord injury and bacteriuria.
The addition of bladder irrigation was practically feasible in the short time
frame of this study.
PMID- 29355294
TI - Long noncoding RNAs in respiratory diseases.
AB - Recently developed RNA microarrays and high-throughput sequencing techniques have
demonstrated that long non-coding RNAs (lncRNAs) play important roles in a wide
range of biological processes. Emerging evidence has confirmed the relevance of
lncRNAs to diverse types of human disease, including cancer and cardiovascular
disease. In this review, we discuss the important functions of lncRNAs in
respiratory diseases. Because the reviewed studies have mainly focused on non
small cell lung cancer, future work will need to extend the studies into other
respiratory diseases. From a clinical perspective, targeting lncRNAs as a novel
therapeutic strategy in respiratory diseases will require further study to
further clarify their biological functions.
PMID- 29355295
TI - Educational value of virtual reality for medical students: an interactive lecture
on carotid stenting.
PMID- 29355296
TI - Interventions for femoropopliteal disease: endo first, second and last?
PMID- 29355297
TI - Total endovascular arch repair is the procedure of the future.
AB - INTRODUCTION: This study evaluates the current experience on endovascular repair
of the aortic arch pathologies, the feasibility and safety of the procedure.
EVIDENCE ACQUISITION: A systematic review was performed. MEDLINE, CENTRAL, and
Cochrane databases were searched with PRISMA methodology for published studies
reporting on endovascular repair of aortic arch pathologies from 2000 to 2018.
EVIDENCE SYNTHESIS: Thirteen non-randomized retrospective studies (either single
or multicenter), two multicenter Registries and one multicenter non-randomized
interventional study were included in the systematic review. The total number of
patients who underwent total endovascular repair of the aortic arch pathology
with either fenestrated, branched, or a combination of those devices or chimney
technique was 952 patients (73%; 634/872 males, mean age ranging from 51 to 78
years). The technical success rate was 96.7% (921/952), while the 30-day
mortality rate was 3.3% (32/952). The most common adverse events were endoleak
type I (13.5%; 35/259), stroke (5.1%; 49/952), spinal cord ischemia (1.4%;
14/952) and retrograde dissection (1%; 8/952). During the follow-up period (mean
ranging: 16.9 to 41.4 months; median ranging: 9 to 44.8 months) the total number
of deaths was 31 (4.4%; 31/693). The total loss of supra-aortic vessel patency
rate was 1.7% (14/803) and a re-intervention was needed in 50 patients (9%;
50/559; 11 open conversion). CONCLUSIONS: Endovascular repair of aortic arch
pathologies is a feasible treatment option with good early and reasonable mid
term outcomes. This treatment modality approaches a level of maturity and may be
considered as a solid alternative method of treatment.
PMID- 29355298
TI - Substrate-Independent Epitaxial Growth of the Metal-Organic Framework MOF-508a.
AB - Plasmachemical deposition is a substrate-independent method for the conformal
surface functionalization of solid substrates. Structurally well-defined pulsed
plasma deposited poly(1-allylimidazole) layers provide surface imidazole linker
groups for the directed liquid-phase epitaxial (layer-by-layer) growth of metal
organic frameworks (MOFs) at room temperature. For the case of microporous [Zn
(benzene-1,4-dicarboxylate)-(4,4'-bipyridine)0.5] (MOF-508), the MOF-508a
polymorph containing two interpenetrating crystal lattice frameworks undergoes
orientated Volmer-Weber growth and displays CO2 gas capture behavior at
atmospheric concentrations in proportion to the number of epitaxially grown MOF
508 layers.
PMID- 29355299
TI - Enhancing the Performance of CdSe/CdS Dot-in-Rod Light-Emitting Diodes via
Surface Ligand Modification.
AB - The surface ligands on colloidal nanocrystals (NCs) play an important role in the
performance of NC-based optoelectronic devices such as photovoltaic cells,
photodetectors, and light-emitting diodes (LEDs). On one hand, the NC emission
depends critically on the passivation of the surface to minimize trap states that
can provide nonradiative recombination channels. On the other hand, the
electrical properties of NC films are dominated by the ligands that constitute
the barriers for charge transport from one NC to its neighbor. Therefore, surface
modifications via ligand exchange have been employed to improve the conductance
of NC films. However, in LEDs, such surface modifications are more critical
because of their possible detrimental effects on the emission properties. In this
work, we study the role of surface ligand modifications on the optical and
electrical properties of CdSe/CdS dot-in-rods (DiRs) in films and investigate
their performance in all-solution-processed LEDs. The DiR films maintain high
photoluminescence quantum yield, around 40-50%, and their electroluminescence in
the LED preserves the excellent color purity of the photoluminescence. In the
LEDs, the ligand exchange boosted the luminance, reaching a fourfold increase
from 2200 cd/m2 for native surfactants to 8500 cd/m2 for the exchanged
aminoethanethiol (AET) ligands. Moreover, the efficiency roll-off, operational
stability, and shelf life are significantly improved, and the external quantum
efficiency is modestly increased from 5.1 to 5.4%. We relate these improvements
to the increased conductivity of the emissive layer and to the better charge
balance of the electrically injected carriers. In this respect, we performed
ultraviolet photoelectron spectroscopy (UPS) to obtain a deeper insight into the
band alignment of the LED structure. The UPS data confirm similar flat-band
offsets of the emitting layer to the electron- and hole-transport layers in the
case of AET ligands, which translates to more symmetric barriers for charge
injection of electrons and holes. Furthermore, the change in solubility of the
NCs induced by the ligand exchange allows for a layer-by-layer deposition process
of the DiR films, which yields excellent homogeneity and good thickness control
and enables the fabrication of all the LED layers (except for cathode and anode)
by spin-coating.
PMID- 29355300
TI - Enhanced Piezoelectricity in a Robust and Harmonious Multilayer Assembly of
Electrospun Nanofiber Mats and Microbead-Based Electrodes.
AB - Here, we present a simple yet highly efficient method to enhance the output
performance of a piezoelectric device containing electrospun nanofiber mats.
Multiple nanofiber mats were assembled together to harness larger piezoelectric
sources in the as-spun fibers, thereby providing enhanced voltage and current
outputs compared to those of a single-mat device. In addition to the multilayer
assembly, microbead-based electrodes were integrated with the nanofiber mats to
deliver a complexed compression and tension force excitation to the piezoelectric
layers. A vacuum-packing process was performed to attain a tight and well
organized assembly of the device components even though the total thickness was
several millimeters. The integrated piezoelectric device exhibited a maximum
voltage and current of 10.4 V and 2.3 MUA, respectively. Furthermore, the robust
integrity of the device components could provide high-precision sensitivity to
perceive small pressures down to approximately 100 Pa while retaining a linear
input-output relationship.
PMID- 29355302
TI - Gate-Tunable WSe2/SnSe2 Backward Diode with Ultrahigh-Reverse Rectification
Ratio.
AB - Backward diodes conduct more efficiently in the reverse bias than in the forward
bias, providing superior high-frequency response, temperature stability,
radiation hardness, and 1/f noise performance than a conventional diode
conducting in the forward direction. Here, we demonstrate a van der Waals
material-based backward diode by exploiting the giant staggered band offsets of
WSe2/SnSe2 vertical heterojunction. The diode exhibits an ultrahigh-reverse
rectification ratio (R) of ~2.1 * 104, and the same is maintained up to an
unusually large bias of 1.5 V-outperforming existing backward diode reports using
conventional bulk semiconductors as well as one- and two-dimensional materials by
more than an order of magnitude while maintaining an impressive curvature
coefficient (gamma) of ~37 V-1. The transport mechanism in the diode is shown to
be efficiently tunable by external gate and drain bias, as well as by the
thickness of the WSe2 layer and the type of metal contacts used. These results
pave the way for practical electronic circuit applications using two-dimensional
materials and their heterojunctions.
PMID- 29355301
TI - Extraordinary Interfacial Stitching between Single All-Inorganic Perovskite
Nanocrystals.
AB - All-inorganic cesium lead halide perovskite nanocrystals are extensively studied
because of their outstanding optoelectronic properties. Being of a cubic shape
and typically featuring a narrow size distribution, CsPbX3 (X = Cl, Br, and I)
nanocrystals are the ideal starting material for the development of homogeneous
thin films as required for photovoltaic and optoelectronic applications. Recent
experiments reveal spontaneous merging of drop-casted CsPbBr3 nanocrystals, which
is promoted by humidity and mild-temperature treatments and arrested by electron
beam irradiation. Here, we make use of atom-resolved annular dark-field imaging
microscopy and valence electron energy loss spectroscopy in a state-of-the-art
low-voltage monochromatic scanning transmission electron microscope to
investigate the aggregation between individual nanocrystals at the atomic level.
We show that the merging process preserves the elemental composition and
electronic structure of CsPbBr3 and takes place between nanocrystals of different
sizes and orientations. In particular, we reveal seamless stitching for aligned
nanocrystals, similar to that reported in the past for graphene flakes. Because
the crystallographic alignment occurs naturally in drop-casted layers of CsPbX3
nanocrystals, our findings constitute the essential first step toward the
development of large-area nanosheets with band gap energies predesigned by the
nanocrystal choice-the gateway to large-scale photovoltaic applications of
inorganic perovskites.
PMID- 29355303
TI - Genuine Unilamellar Metal Oxide Nanosheets Confined in a Superlattice-like
Structure for Superior Energy Storage.
AB - Two-dimensional (2D) metal oxide nanosheets can exhibit exceptional
electrochemical performance owing to their shortened ion diffusion distances,
abundant active sites, and various valence states. Especially, genuine
unilamellar nanosheets with an atomic-scale thickness are expected to exhibit the
ultimate energy storage capability but have not yet achieved their potential.
Here, we demonstrate the utilization of genuine unilamellar MnO2 nanosheets for
high-performance Li and Na storage using an alternately stacked MnO2/graphene
superlattice-like structure. Different from previous reports, all unilamellar
MnO2 nanosheets are separated and stabilized between the graphene monolayers,
resulting in highly reversible 2D-confined conversion processes. As a
consequence, large specific capacities of 1325 and 795 mA h g-1 at 0.1 A g-1,
high-rate capacities of 370 and 245 mA h g-1 at 12.8 A g-1, and excellent cycling
stabilities after 5000 cycles with ~0.004% and 0.0078% capacity decay per cycle
were obtained for Li and Na storage, respectively, presenting the best reported
performance to date.
PMID- 29355304
TI - Computationally Assessing the Bioactivation of Drugs by N-Dealkylation.
AB - Cytochromes P450 (CYPs) oxidize alkylated amines commonly found in drugs and
other biologically active molecules, cleaving them into an amine and an aldehyde.
Metabolic studies usually neglect to report or investigate aldehydes, even though
they can be toxic. It is assumed that they are efficiently detoxified into
carboxylic acids and alcohols. Nevertheless, some aldehydes are reactive and
escape detoxification pathways to cause adverse events by forming DNA and protein
adducts. Herein, we modeled N-dealkylations that produce both amine and aldehyde
metabolites and then predicted the reactivity of the aldehyde. This model used a
deep learning approach previously developed by our group to predict other types
of drug metabolism. In this study, we trained the model to predict N-dealkylation
by human liver microsomes (HLM), finding that including isozyme-specific
metabolism data alongside HLM data significantly improved results. The final HLM
model accurately predicted the site of N-dealkylation within metabolized
substrates (97% top-two and 94% area under the ROC curve). Next, we combined the
metabolism, metabolite structure prediction, and previously published reactivity
models into a bioactivation model. This combined model predicted the structure of
the most likely reactive metabolite of a small validation set of drug-like
molecules known to be bioactivated by N-dealkylation. Applying this model to
approved and withdrawn medicines, we found that aldehyde metabolites produced
from N-dealkylation may explain the hepatotoxicity of several drugs: indinavir,
piperacillin, verapamil, and ziprasidone. Our results suggest that N-dealkylation
may be an under-appreciated bioactivation pathway, especially in clinical
contexts where aldehyde detoxification pathways are inhibited. Moreover, this is
the first report of a bioactivation model constructed by combining a metabolism
and reactivity model. These results raise hope that more comprehensive models of
bioactivation are possible. The model developed in this study is available at
http://swami.wustl.edu/xenosite/ .
PMID- 29355305
TI - Superrepression through Altered Corepressor-Activated Protein:Protein
Interactions.
AB - Small molecules regulate transcription in both eukaryotes and prokaryotes by
either enhancing or repressing assembly of transcription regulatory complexes.
For allosteric transcription repressors, superrepressor mutants can exhibit
increased sensitivity to small molecule corepressors. However, because many
transcription regulatory complexes assemble in multiple steps, the superrepressor
phenotype can reflect changes in any or all of the individual assembly steps.
Escherichia coli biotin operon repression complex assembly, which responds to
input biotin concentration, occurs via three coupled equilibria, including
corepressor binding, holorepressor dimerization, and binding of the dimer to DNA.
A genetic screen has yielded superrepressor mutants that repress biotin operon
transcription in vivo at biotin concentrations much lower than those required by
the wild type repressor. In this work, isothermal titration calorimetry and
sedimentation measurements were used to determine the superrepressor biotin
binding and homodimerization properties. The results indicate that, although all
variants exhibit biotin binding affinities similar to that measured for BirAwt,
five of the six superrepressors show altered homodimerization energetics.
Molecular dynamics simulations suggest that the altered dimerization results from
perturbation of an electrostatic network that contributes to allosteric
activation of BirA for dimerization. Modeling of the multistep repression complex
assembly for these proteins reveals that the altered sensitivity of the
transcription response to biotin concentration is readily explained solely by the
altered superrepressor homodimerization energetics. These results highlight how
coupled equilibria enable alterations in a transcription regulatory response to
input signal through an indirect mechanism.
PMID- 29355306
TI - Cu3Mo2O9 Nanosheet Array as a High-Efficiency Oxygen Evolution Electrode in
Alkaline Solution.
AB - Developing highly active and sustained oxygen evolution reaction (OER) catalysts
for energy-saving electrolytic hydrogen generation is highly attractive. In this
work, we report the development of a Cu3Mo2O9 nanosheet array on nickel foam
(Cu3Mo2O9/NF) as a highly active OER catalyst. Such Cu3Mo2O9/NF shows high
catalytic activity in 1.0 M KOH, passing 50 mA cm-2 at an overpotential of 325
mV. It also shows superior long-term durability more than 24 h with a turnover
frequency (TOF) of 0.09 mol O2 s-1 at overpotential of 400 mV.
PMID- 29355308
TI - High-Temperature, High-Pressure Hydrothermal Synthesis, Crystal Structure,
Thermal Stability, and Solid State NMR Spectroscopy of an Aluminum Borate,
Ba[AlB4O8(OH)].
AB - A new aluminum borate, Ba[AlB4O8(OH)], has been synthesized under high
temperature, high-pressure hydrothermal conditions at 550 degrees C and 1400 bar
and its structure characterized by single-crystal X-ray diffraction, IR, and MAS
11B, and 27Al NMR spectroscopy. It crystallizes in the monoclinic space group
P21/n with a = 7.0695(5) A, b = 15.108(1) A, c = 7.0746(5) A, beta = 93.593(2)
degrees , and Z = 4. Its 2D layer structure is formed of corner-sharing B4O8(OH)
clusters and AlO4 tetrahedra with the charge-compensating Ba2+ cations between
the layers. While the same in the framework composition, the title compound and
the hydrate, Ba[AlB4O8(OH)].H2O, differ greatly in structure. Although the title
compound contains an OH group, it is thermally stable up to 740 degrees C and
then decomposes into Ba2Al2B8O17, as indicated by high-temperature DSC/TG
analysis and powder X-ray diffraction.
PMID- 29355307
TI - Fluorescent Hydrogel Generated Conveniently from a Perylene Tetracarboxylate
Derivative of Titanium(IV) Alkoxide.
AB - Organic gelators and metal-coordination frameworks based on perylene derivatives
as functional materials have attracted great attention because of their intense
fluorescence emission as well as unique electronic and photonic properties. We
report here the structures and properties of a luminescent titanium(IV)
coordination compound of a perylene tetracarboxylate (PTC) derivative,
[Ti2(OiPr)6(L1)(phen)2] (1), along with its two naphthalene analogues,
[Ti2(OiPr)6(L2)(phen)2] (2) and [Ti2(OiPr)6(L2)(bpy)2] (3), where L1 = 3,9
dicarboxylate-(4,10-diisopropanolcarboxylate)perylene, phen = 1,10
phenanthroline, L2 = 1,5-dicarboxylate-(2,6-diisopropanolcarboxylate)naphthalene,
and bpy = 2,2'-bipyridine. Compound 1 is a rare early-transition-metal PTC
coordination compound that can be simply prepared in one pot as crystals by a low
heat synthesis. Unlike those of paramagnetic late-transition-metal PTC compounds,
compound 1 showed intense fluorescence emission. More remarkably, the crystals of
1 can be turned immediately to a fluorescent hydrogel just through a simple
procedure, putting the crystals in water and then treating with ultrasound. No
acid catalyst or pH adjustment is needed. Hydrolysis of the titanium isopropanol
group in water and pi-pi interaction of the perylene and phen play important
roles in the gelation process. The film prepared from the gel can be used as a
visual fluorescence sensor for aromatic amines and phenols, which are hazards for
the human and environment.
PMID- 29355309
TI - Zero-Order Release of Gossypol Improves Its Antifertility Effect and Reduces Its
Side Effects Simultaneously.
AB - Gossypol was considered a promising male contraceptive but finally failed due to
two side effects: hypokalemia and the irreversibility of its contraceptive
effect. Here we demonstrate that sustained zero-order release could be a solution
for these problems. The in vitro release of gossypol from gossypol/PEG layer-by
layer films follows a perfect zero-order kinetics. In vivo tests indicate that
the films can maintain the plasma drug concentration constant in male SD rats for
~20 days for a 30-bilayer film. The plasma drug concentration is 2 orders of
magnitude lower than the peak plasma drug concentration when administered orally
and the daily dose is >50-fold lower than the commonly used contraceptive oral
dose. However, significant antifertility effects were still observed.
Furthermore, hypokalemia was not observed, and the antifertility effects can be
reversed after a recovery period. The results suggest that zero-order release can
significantly improve the desired antifertility effect of gossypol and,
meanwhile, significantly reduce its side effects. We envision the drug could be
developed to be an effective, safe, and reversible male contraceptive by zero
order release.
PMID- 29355310
TI - Exploration of Hypervalent Lewis Acid/Base Interactions in 2-(2'-Thiazolyl)-3
thienylphosphanes.
AB - The synthesis of a series of conjugated organophosphorus materials with
intramolecular Lewis acid/base interactions and the exploration of the electronic
nature of the bonding around the resulting hypervalent phosphorus centers are
reported. To further establish the influence of increasing the size of the pi
conjugated backbone, two scaffolds, thiazolyl-thiophene and benzothiazolyl
thiophene, were included in this study. Single-crystal X-ray crystallography of
several of the compounds supports the hypervalent nature of the phosphorus center
in the new species. Surprisingly, altering the Lewis acidity of the phosphorus
center via oxidation or methylation impacts the coordinating mode of the
thiazolyl substituent, which also has considerable impact on the photophysical
and electrochemical properties of the pi-conjugated molecular scaffolds. Through
theoretical calculations involving natural bond orbital (NBO) analysis and atom
in-molecules (AIM) correlation, the existence and electronic nature of weak
hypervalent bonding interactions around the phosphorus center was solidified as
weak 3c-4e and/or sigma-hole bonds, depending on the coordination mode of the
peripheral thiazolyl substituent as well as the Lewis acidity of the phosphorus
center.
PMID- 29355311
TI - Unexpected Behavior of Np in Oxo-selenate/Oxo-selenite Systems.
AB - A study of neptunium (Np) chemistry in the complex oxo-selenium system has been
performed. Hereby, two sets of precipitation experiments were conducted,
investigating the influence of the initial oxidation state of selenium using
SeIVO2 and H2SeVIO4 with NpV in alkali nitrate solution, keeping the ratio of
Np/Se constant. Surprising results were observed. Five novel neptunium and
selenium bearing compounds have been obtained by slow evaporation from aqueous
solution. The novel NpIV phase K4-x[Np(SeO3)4-x(HSeO3)x].(H2O)1.5 (1)
crystallizes in green-colored, plate-shaped crystals and was obtained by adding
SeO2 and ANO3 to a NpV stock solution. Single-crystal X-ray diffraction reveals
one-dimensional chain structures composed of square antiprismatic NpO8 polyhedra
linked via four trigonal pyramidal SeO3 and HSeO3 units. Raman spectral analysis
supports the presence of both selenite and hydroselenite due to the presence of
corresponding modes within the spectra. The addition of selenic acid to a NpV
stock solution resulted in the precipitation of elongated rose prisms of
K2[(NpO2)2(SeO4)3(H2O)2].(H2O)1.5 (2), Rb2[(NpO2)2(SeO4)3(H2O)2].(H2O)2 (3) and
K9[(NpO2)9(SeO4)13.5(H2O)6].(H2O)12 (4) as well as light red plates of
Cs2[(NpO2)2(SeO4)3] (5). To our knowledge, this is the first report of NpVI
selenates. All four structures show two-dimensional layered structures with
alkali cations acting as charge balancing counter cations. Hereby the layers of
compounds 2 and 3 are found to be orientational geometric isomers. Distinctly
different phenomena are made responsible for the phase formation within these
systems. The kinetically driven process of NpV disproportionation led to the
formation of the NpIV selenites in the SeIV-based system, whereas the oxidation
of NpV by reduction of nitrate in acidic conditions is responsible for the
formation of the NpVI selenates in the SeVI system. The influence of air oxygen
is also discussed for the latter reaction.
PMID- 29355312
TI - A Copper(II) Nitrite That Exhibits Change of Nitrite Binding Mode and Formation
of Copper(II) Nitrosyl Prior to Nitric Oxide Evolution.
AB - The proton-coupled reduction of CuII-bound nitrite (NO2-) to nitric oxide (NO2- +
2H+ + e- -> NO(g) + H2O), such as occurs in the enzyme copper nitrite reductase,
is investigated in this work. Our studies focus on the copper(II/I) model
complexes [(L2)Cu(H2O)Cl] (1), [(L2)Cu(ONO)] (2), [(L2)Cu(CH3CO2)] (3), and
[Co(Cp)2][(L2)Cu(NO2)(CH3CN] (4), where HL2 = N-[2-(methylthio)ethyl]-2'
pyridinecarboxamide. Complex 1 readily reacts with a NO2- anion to form the
nitrito-O-bound copper(II) complex 2. Electrochemical reduction of CuII -> CuI
indicates coordination isomerization from asymmetric nitrito-kappa2-O,O to nitro
kappa1-N. Isolation and spectroscopic characterization of 4 support this notion
of nitrite coordination isomerization (nuCu-N ~ 460 cm-1). A reduction of 2,
followed by reaction with acetic acid, causes evolution of stoichiometric NO via
the transient copper(II) nitrosyl species and subsequent formation of the acetate
bound complex 3. The probable copper nitrosyl intermediate [(L2)Cu(NO)(CH3CN)]+
of the {CuNO}10 type is evident from low-temperature UV-vis absorption (lambdamax
= 722 nm) and electron paramagnetic resonance spectroscopy. A density functional
theory (DFT)-optimized model of [(L2)Cu(NO)(CH3CN)]+ shows end-on NO binding to
Cu with Cu-N(NO) and N-O distances of 1.989 and 1.140 A, respectively, and a Cu-N
O angle of 119.25 degrees , consistent with the formulation of CuII-NO*. A spin
state change that triggers NO release is observed. Considering singlet- and
triplet-state electronic configurations of this model, DFT-calculated nuNO values
of 1802 and 1904 cm-1, respectively, are obtained. We present here important
mechanistic aspects of the copper-mediated nitrite reduction pathway with the use
of model complexes employing the ligand HL2 and an analogous phenyl-based ligand,
N-[2-(methylthio)phenyl]-2'-pyridinecarboxamide (HL1).
PMID- 29355313
TI - Reversible Phase Transition with Ultralarge Dielectric Relaxation Behaviors in
Succinimide Lithium(I) Hybrids.
AB - Dielectric relaxations have widely applied on high permittivity capacitors,
dielectric switches, ferroelectrics, pyroelectrics, and electrical insulating
materials. However, few investigations of large dielectric relaxation behaviors
on organic-inorganic hybrid materials have been documented before. Here we
present a novel two-dimensional succinimide lithium(I) hybrid compound,
[Li(PDD)2ClO4]n, 1, (PDD = 2,5-pyrrolidinedione = succinimide) which shows
reversible phase transition behavior in the vicinity of 228 K accompanied by an
unusual symmetry breaking from I41/amd to C2/c. X-ray single crystal diffractions
analysis indicates the twist motion of pyrrolidine heterocycles, and order
disorder motion of ClO4- anions triggered the reversible phase transition. By
means of an intuitive crystallographic model (rattling ion model), we further
illustrated the mechanism of the interesting reversible phase transition.
Particularly, 1 shows ultralarge dielectric relaxation behavior in the vicinity
of the phase transition by its dielectric constant dependence on temperatures and
frequencies as well as its Cole-Cole relation.
PMID- 29355314
TI - N-Heterocyclic Carbenes as a Robust Platform for Surface-Enhanced Raman
Spectroscopy.
AB - Surface-enhanced Raman spectroscopy (SERS) underpins a wide range of commercial
and fundamental applications. SERS often relies on ligands, usually thiols, bound
to a noble metal surface. The difficulty of straightforward thiol synthesis
combined with their instability on surfaces highlights the need for alternative
ligand design. We present the first example of SERS utilizing N-heterocyclic
carbene ligands. A general three step synthesis is presented for functionalized
NHC-CO2 adducts. These ligands are deposited on SERS-active gold film-over
nanosphere substrates (AuFONs) in solvent-free and base-free conditions, which
prevents fouling. The resulting films are found to be robust and capable of
postsynthetic modifications.
PMID- 29355316
TI - Rotaxanes as Mechanochromic Fluorescent Force Transducers in Polymers.
AB - The integration of mechanophores, motifs that transduce mechanical forces into
chemical reactions, allows creating materials with stress-dependent properties.
Typical mechanophores are activated by cleaving weak covalent bonds, but these
reactions can also be triggered by other stimuli, and this renders the behavior
unspecific. Here we show that this problem can be overcome by extending the
molecular-shuttle function of rotaxanes to mechanical activation. A mechanically
interlocked mechanophore composed of a fluorophore-carrying macrocycle and a
dumbbell-shaped molecule containing a matching quencher was integrated into a
polyurethane elastomer. Deformation of this polymer causes a fluorescence turn
on, due to the spatial separation of fluorophore and quencher. This process is
specific, efficient, instantly reversible, and elicits an easily detectable
optical signal that correlates with the applied force.
PMID- 29355317
TI - Modular Synthesis of Dipyrroloquinolines: A Combined Synthetic and Mechanistic
Study.
AB - A straightforward synthesis of [1,2-a][3',2'-c]dipyrroloquinolines has been
developed generating up to eight new sigma-bonds and five new stereogenic centers
in a simple and modular one-pot operation. Generally good to excellent yields and
moderate to good stereoselectivities in favor of the all-cis stereoisomer were
observed. A detailed investigation combining synthetic studies, analytical
measurements, and theoretical calculations has been conducted to elucidate the
reaction mechanism using ESI- and liquid-beam IR-laser desorption mass
spectrometry as well as DFT calculations. Key steps of this sequential
transformation include a Lewis acid-catalyzed vinylogous Mukaiyama-Mannich
reaction of bis(silyl) dienediolate 1 and a Bronsted acid-promoted Mannich-Pictet
Spengler reaction cascade reaction to complete the synthesis of the
dipyrroloquinoline core of the target compounds.
PMID- 29355315
TI - Inhibition of Glucose Transport by Tomatoside A, a Tomato Seed Steroidal Saponin,
through the Suppression of GLUT2 Expression in Caco-2 Cells.
AB - We investigated whether tomatoside A (5alpha-furostane-3beta,22,26-triol-3-[O
beta-d-glucopyranosyl (1->2)-beta-d-glucopyranosyl (1->4)-beta-d
galactopyranoside] 26-O-beta-d-glucopyranoside), a tomato seed saponin, may play
a role in the regulation of intestinal glucose transport in human intestinal Caco
2 cells. Tomatoside A could not penetrate through Caco-2 cell monolayers, as
observed in the transport experiments using liquid chromatography-mass
spectrometry. The treatment of cells with 10 MUM tomatoside A for 3 h resulted in
a 46.0% reduction in glucose transport as compared to untreated cells. Western
blotting analyses revealed that tomatoside A significantly (p < 0.05) suppressed
the expression of glucose transporter 2 (GLUT2) in Caco-2 cells, while no change
in the expression of sodium-dependent glucose transporter 1 was observed. In
glucose transport experiments, the reduced glucose transport by tomatoside A was
ameliorated by a protein kinase C (PKC) inhibitor and a multidrug resistance
associated protein 2 (MRP2) inhibitor. The tomatoside A-induced reduction in
glucose transport was restored in cells treated with apical sodium-dependent bile
acid transporter (ASBT) siRNA or an ASBT antagonist. These findings demonstrated
for the first time that the nontransportable tomato seed steroidal saponin,
tomatoside A, suppressed GLUT2 expression via PKC signaling pathway during the
ASBT-influx/MRP2-efflux process in Caco-2 cells.
PMID- 29355318
TI - Design, Synthesis, and Antitobacco Mosaic Virus Activity of Water-Soluble Chiral
Quaternary Ammonium Salts of Phenanthroindolizidines Alkaloids.
AB - To study the influence of the substituent at the N-10 position on antiviral
activity, the chiral quaternary ammonium salt derivatives of R- and S-tylophorine
were designed, synthesized, and evaluated for antiviral activity against tobacco
mosaic virus (TMV). The bioassay results indicated that most of the designed
structural analogues showed good in vivo anti-TMV activity, among which propargyl
quaternary ammonium salt compound S-7b showed the best anti-TMV activities
(80.5%, 77.6%, 76.6%, 82.1%) at 500 MUg/mL both in vitro and in vivo in the
laboratory. In the field trials of antiviral efficacy against TMV, S-7b as well
exhibited better activities than control plant virus inhibitors. The stability of
compound S-7b was obviously increased, and its solubility was more than 500-times
higher than that of S-tylophorine. Therefore, chiral quaternary ammonium salt S
7b was expected to be developed as a promising candidate as an inhibitor of plant
virus.
PMID- 29355319
TI - Recurrent Neural Network Model for Constructive Peptide Design.
AB - We present a generative long short-term memory (LSTM) recurrent neural network
(RNN) for combinatorial de novo peptide design. RNN models capture patterns in
sequential data and generate new data instances from the learned context. Amino
acid sequences represent a suitable input for these machine-learning models.
Generative models trained on peptide sequences could therefore facilitate the
design of bespoke peptide libraries. We trained RNNs with LSTM units on pattern
recognition of helical antimicrobial peptides and used the resulting model for de
novo sequence generation. Of these sequences, 82% were predicted to be active
antimicrobial peptides compared to 65% of randomly sampled sequences with the
same amino acid distribution as the training set. The generated sequences also
lie closer to the training data than manually designed amphipathic helices. The
results of this study showcase the ability of LSTM RNNs to construct new amino
acid sequences within the applicability domain of the model and motivate their
prospective application to peptide and protein design without the need for the
exhaustive enumeration of sequence libraries.
PMID- 29355320
TI - Polyketides from the Deep-Sea-Derived Fungus Graphostroma sp. MCCC 3A00421 Showed
Potent Antifood Allergic Activities.
AB - To discover antifood allergic components from deep-sea-derived microorganisms, we
performed a systematic chemical investigation of the Atlantic hydrothermal fungus
Graphostroma sp. MCCC 3A00421. Consequently, nine new (1-9) and 19 known (10-28)
polyketides were isolated. The planar structures of the new compounds were
elucidated mainly by detailed analysis of their nuclear magnetic resonance and
high-resolution electrospray ionization mass spectrometry data, while the
absolute configurations were established using the modified Mosher's method in
association with electronic circular dichroism spectra. Graphostrin A (1) is a
novel chlorinated polyketide derivate bearing an oxazole moiety. All isolates
were tested for antifood allergic bioactivities in immunoglobulin E-mediated rat
basophilic leukemia-2H3 cells. Reticulol (10) significantly decreased the rates
of degranulation and histamine release with IC50 values of 13.5 and 13.7 MUM,
respectively, suggesting reticulol could be a potential antifood allergic
medicine.
PMID- 29355321
TI - Copper-Promoted Regioselective Synthesis of Polysubstituted Pyrroles from
Aldehydes, Amines, and Nitroalkenes via 1,2-Phenyl/Alkyl Migration.
AB - The facile copper-catalyzed synthesis of polysubstituted pyrroles from aldehydes,
amines, and beta-nitroalkenes is reported. Remarkably, the use of alpha-methyl
substituted aldehydes provides efficient access to a series of tetra- and
pentasubstituted pyrroles via an overwhelming 1,2-phenyl/alkyl migration. The
present methodology is also accessible to non alpha-substituted aldehydes,
yielding the corresponding trisubstituted pyrroles. On the contrary, the use of
ketones, in place of aldehydes, does not promote the organic transformation,
signifying the necessity of alpha-substituted aldehydes. The reaction proceeds
under mild catalytic conditions with low catalyst loading (0.3-1 mol %), a broad
scope, very good functional-group tolerance, and high yields and can be easily
scaled up to more than 3 mmol of product, thus highlighting a useful synthetic
application of the present catalytic protocol. Based on formal kinetic studies, a
possible radical pathway is proposed that involves the formation of an allylic
nitrogen radical intermediate, which in turn reacts with the nitroalkene to yield
the desired pyrrole framework via a radical 1,2-phenyl or alkyl migration.
PMID- 29355322
TI - Neuroprotective Dihydroagarofuran Sesquiterpene Derivatives from the Leaves of
Tripterygium wilfordii.
AB - Thirteen dihydroagarofuran derivatives, including 12 new sesquiterpenoid esters
and one known sesquiterpenoid alkaloid, were obtained from the leaves of
Tripterygium wilfordii. Spectroscopic techniques and the ECD method were used for
the structure elucidation of the compounds. The structures of compounds 1 and 8
were confirmed by single-crystal X-ray crystallographic analyses. Compounds 8, 9,
11, 12, and 13 increased cell viability of the okadaic acid treated PC12 cells
from 60.4 +/- 23.0% to 72.4 +/- 14.1, 71.5 +/- 11.5, 75.7 +/- 15.6, 81.2 +/-
13.1, and 86.2 +/- 25.5% at 10 MUM, respectively.
PMID- 29355323
TI - Salt Cluster Attachment to Crown Ether Decorated Phthalocyanines in the Gas
Phase.
AB - Crown ether decorated phthalocyanines were designed to form rigidly eclipsed
aggregates with metal ions being sandwiched between the molecules. We studied
tetra-[18]crown-6 ether functionalized zinc phthalocyanine (ZnPcTetCr) in the
presence of excess NaCl by electrospray ionization mass spectrometry. ZnPcTetCr
was found to form aggregates in the gas phase to which several neutral NaCl
molecules are attached. Collision-induced dissociation experiments revealed that
the ions observed in the positive- and negative-ion modes possess remarkably
different structures. Their fragmentation behavior indicates that the sodium ions
providing the charge of the positively charged aggregates are strongly bound
inside the crown ether moieties, while the neutral salt units are less strongly
attached. However, in the negatively charged ions, none of the sodium ions is
embedded in the crown ether moieties, and the NaCl molecules were found to be
attached as one large, weakly bound cluster.
PMID- 29355324
TI - Allylic C-S Bond Construction through Metal-Free Direct Nitroalkene Sulfonation.
AB - A metal-free, open-flask protocol was developed for the preparation of allylic
sulfones through direct condensation of sodium arylsulfinates and beta,beta
disubstituted nitroalkenes. The key step of this process was the Lewis base
promoted equilibrium between nitroalkenes and allylic nitro compounds. Through
this process, the readily available conjugated nitroalkenes can be easily
converted into allylic nitro compounds, which contain more reactive C?C bonds
toward the sulfonyl radical addition. As a result, allylic sulfones were prepared
in excellent yields with a broad substrate scope under mild conditions.
PMID- 29355325
TI - Copper-Catalyzed Diamination of Alkenes of Unsaturated Ketohydrazones with
Amines.
AB - A convenient copper-catalyzed intra-/intermolecular diamination of beta,gamma
unsaturated hydrazones has been developed with simple amines as external amine
sources. The protocol enables efficient access to various nitrogen-containing
pyrazolines under mild reaction conditions.
PMID- 29355326
TI - Photocatalytic Reductive Fluoroalkylation of Nitrones.
AB - A method for the addition of fluorinated groups to nitrones using an iridium
photocatalyst and ascorbic acid as a stoichiometric reducing agent is described.
The reaction proceeds through the generation of fluorinated radicals by single
electron reduction of fluorinated alkyl iodides with an iridium complex mediated
by visible light. Besides perfluorinated reagents, partially fluorinated alkyl
iodides can also be effectively used leading to the products, which cannot be
obtained by conventional nucleophilic addition reactions. The resulting
hydroxylamines can be readily converted to valuable fluorinated amines by
reduction with zinc.
PMID- 29355327
TI - Synthesis of Tellurabenziporphyrin and Its Pd(II) Complex.
AB - An unprecedented tellurabenziporphyrin containing C, N, and Te donor atoms was
synthesized by condensing benzitripyrrane and tellurophene diol under acid
catalyzed conditions. The tellurabenziporphyrin readily forms a Pd(II) complex
when treated with PdCl2 in CHCl3/CH3CN. The crystal structures of
tellurabenziporphyrin and its Pd(II) complex revealed that the benzene ring
hinders the pi-electron delocalization. An unusual five-membered ring formed
inside the macrocycle due to the strong interaction between "Te" and "N" in the
Pd(II) complex.
PMID- 29355328
TI - Cajanusflavanols A-C, Three Pairs of Flavonostilbene Enantiomers from Cajanus
cajan.
AB - Three pairs of new flavonostilbene enantiomers, cajanusflavanols A-C (1-3), along
with their putative biogenetic precursors 4-6, were isolated from Cajanus cajan.
Compound 1 possesses an unprecedented carbon skeleton featuring a unique highly
functionalized cyclopenta[1,2,3-de]isobenzopyran-1-one tricyclic core. Compounds
2 and 3 are the first examples of methylene-unit-linked flavonostilbenes. Their
structures with absolute configurations were elucidated by spectroscopic
analyses, X-ray diffraction, and computational calculations. Compounds 1 and 2
exhibited significant in vitro anti-inflammatory activities.
PMID- 29355329
TI - Remote Asymmetric Oxa-Diels-Alder Reaction of 5-Allylic Furfurals via
Dearomatizative Tetraenamine Catalysis.
AB - A previously unreported activation mode is developed through the generation of
dearomatizative tetraenamine species between 5-allylic furfurals and a
bifunctional amine-thiourea catalyst. The very remote zeta,eta-alkenes perform as
effective HOMO-raised dienophiles in inverse-electron-demand oxa-Diels-Alder
cycloadditions with isatin-derived oxadiene substrates, delivering
multifunctional spirocyclic oxindoles incorporating a dihydropyran skeleton in
moderate to high yields with good to excellent enantio- and diastereoselectivity.
PMID- 29355330
TI - Synthesis of Quinolizinium-Type Heteroaromatics via a Carbene Intermediate.
AB - An efficient synthesis of quinolizinium-type heteroaromatics by Pt(II)-catalyzed
cyclization of 2-arylpyridine propargyl alcohol has been developed. The presence
of a protic acid is crucial for the success of the reaction. Mechanistic studies
disclosed that the reaction proceeds via a platinum-carbene intermediate.
Additionally, the fluorescence properties of the synthesized heteroaromatics were
investigated to provide perspectives for potential applications.
PMID- 29355331
TI - A qualitative examination of contextual influences on negative alcohol
consequence evaluations among young adult drinkers.
AB - Alcohol misuse and associated negative consequences experienced by college
students persists as a public health concern. Quantitative studies demonstrate
variability in subjective evaluations of consequences, and how positively or
negatively consequences are evaluated is associated with drinking behavior.
Lacking is a qualitative exploration of how drinkers evaluate consequences and
what influences those evaluations. We conducted a series of single-gender focus
groups (13 groups; 3-7 per group; n = 62, 48% female) with college student
drinkers. Questions focused on: (a) types of negative and positive consequences
experienced (b) personal perceptions of negative consequences and (c) factors
influencing those perceptions. Verbatim transcripts were content analyzed using
applied thematic analysis with NVivo software. Several negative consequences not
included in current assessment tools emerged. Reactions to these "negative"
consequences of alcohol misuse were not labeled as uniformly negative by
participants. Contextual influences on reactions to consequences included: social
factors (e.g., normative perceptions, social context, discussions with friends),
level of intoxication, concurrent positive consequences, time, and alcohol as an
excuse. Future research should focus on consequence measure development and
examine interactions between contextual and individual influences on subjective
consequence evaluations. (PsycINFO Database Record
PMID- 29355332
TI - Delay discounting of losses and rewards in alcohol use disorder: The effect of
working memory load.
AB - Alcohol use disorder (AUD) has been consistently associated with elevated
discounting rates for delayed rewards. However, there are few studies of delay
discounting of losses in those with AUD even though their drinking behavior
suggests that they discount future negative consequences of excessive drinking.
The current study extends this literature by examining delay discounting of
rewards and losses in a sample of those with AUD (n = 78) and healthy controls (n
= 51) in 2 conditions: working memory (WM) load and no WM load. The AUD group
discounted both rewards and losses at higher rates than the control group. The WM
load increased discounting rates in the reward task but not in the loss task.
There was also a significant Group * WM load interaction; the WM load increased
discounting in control participants but not in AUD participants. These findings
suggest that AUD is associated with a general propensity to discount future
incentivized events regardless of nature of the incentive. (PsycINFO Database
Record
PMID- 29355333
TI - Acculturation and alcohol use among Asian Americans: A meta-analytic review.
AB - Acculturation has been considered a key sociocultural factor that helps explain
Asian American's mental health outcomes, including alcohol use. Yet, findings on
the degree to which acculturation is directly linked to alcohol use have been
mixed. The present meta-analysis reviewed original studies published since 1979,
and tested the association between acculturation and alcohol use outcomes among
Asian Americans across age groups. Analyses also examined the extent to which
participant and methodological variables moderated this relation. A systematic
literature review yielded 31 published research reports that were eligible for
the meta-analysis. Across 39 independent study samples (N = 28,028), analysis
with random-effects model estimated a small and statistically significant mean
weighted correlation between acculturation and alcohol use (r = .06, p < .05).
Acculturation appeared to be more robustly associated with alcohol consumption
and intensity of hazardous alcohol use, but not drinking-related problems. Most
studies examined acculturation as a unidimensional construct. Within study
samples that conceptualized acculturation as a bidimensional construct, alcohol
use was positively associated with acculturation (orientation to the mainstream
host culture) but negatively associated with enculturation (orientation to the
Asian heritage culture). Statistically significant between-study variability
(Q[38] = 876.62, p < .001, I2 = 95.67%) was accounted for by gender, age, and
geographical location. Limitations to the scope of this meta-analysis regarding
the observational nature of study effect sizes, sample-level analyses, and focus
on self-report survey data, as well as future research directions are discussed.
(PsycINFO Database Record
PMID- 29355334
TI - Schizophrenia, narrative, and neurocognition: The utility of life-stories in
understanding social problem-solving skills.
AB - OBJECTIVE: Schizophrenia researchers have focused on phenomenological aspects of
the disorder to better understand its underlying nature. In particular,
development of personal narratives-that is, the complexity with which people
form, organize, and articulate their "life stories"-has recently been
investigated in individuals with schizophrenia. However, less is known about how
aspects of narrative relate to indicators of neurocognitive and social
functioning. The objective of the present study was to investigate the
association of linguistic complexity of life-story narratives to measures of
cognitive and social problem-solving abilities among people with schizophrenia.
METHOD: Thirty-two individuals with a diagnosis of schizophrenia completed a
research battery consisting of clinical interviews, a life-story narrative,
neurocognitive testing, and a measure assessing multiple aspects of social
problem solving. Narrative interviews were assessed for linguistic complexity
using computerized technology. RESULTS: The results indicate differential
relationships of linguistic complexity and neurocognition to domains of social
problem-solving skills. More specifically, although neurocognition predicted how
well one could both describe and enact a solution to a social problem, linguistic
complexity alone was associated with accurately recognizing that a social problem
had occurred. In addition, linguistic complexity appears to be a cognitive factor
that is discernible from other broader measures of neurocognition. CONCLUSIONS
AND IMPLICATIONS FOR PRACTICE: Linguistic complexity may be more relevant in
understanding earlier steps of the social problem-solving process than more
traditional, broad measures of cognition, and thus is relevant in conceptualizing
treatment targets. These findings also support the relevance of developing
narrative-focused psychotherapies. (PsycINFO Database Record
PMID- 29355336
TI - Decreases in psychological well-being among American adolescents after 2012 and
links to screen time during the rise of smartphone technology.
AB - In nationally representative yearly surveys of United States 8th, 10th, and 12th
graders 1991-2016 (N = 1.1 million), psychological well-being (measured by self
esteem, life satisfaction, and happiness) suddenly decreased after 2012.
Adolescents who spent more time on electronic communication and screens (e.g.,
social media, the Internet, texting, gaming) and less time on nonscreen
activities (e.g., in-person social interaction, sports/exercise, homework,
attending religious services) had lower psychological well-being. Adolescents
spending a small amount of time on electronic communication were the happiest.
Psychological well-being was lower in years when adolescents spent more time on
screens and higher in years when they spent more time on nonscreen activities,
with changes in activities generally preceding declines in well-being. Cyclical
economic indicators such as unemployment were not significantly correlated with
well-being, suggesting that the Great Recession was not the cause of the decrease
in psychological well-being, which may instead be at least partially due to the
rapid adoption of smartphones and the subsequent shift in adolescents' time use.
(PsycINFO Database Record
PMID- 29355337
TI - Managing the harmful effects of unsupportive organizations during pregnancy.
AB - Unfortunately, not all organizations are supportive of employees' family lives.
Family unsupportive workplaces can be stressful for all employees and
particularly for pregnant women, who carry a physical reminder of their family
life. In the present study, we draw on conservation of resources (COR) theory
(Hobfoll, 1989, 2001) to investigate how women manage family unsupportive
organizational perceptions during pregnancy via social identity-based impression
management behaviors as well as how these strategies relate to changes in stress
and changes in conflict between work and family. Specifically, we find that image
maintenance strategies-impression management strategies aimed at maintaining
one's prepregnancy image-are associated with decreases in work stress and work
family conflict over the course of pregnancy, while decategorization-impression
management strategies aimed at avoiding negative outcomes by hiding the pregnancy
or dodging the issue-are related to increases in work-family conflict. These
results suggest strategies for both organizations and pregnant workers to
decrease stress during a time when health is vital for both mother and baby.
(PsycINFO Database Record
PMID- 29355335
TI - The neuroscience of cognitive-motivational styles: Sign- and goal-trackers as
animal models.
AB - Cognitive-motivational styles describe predominant patterns of processing or
biases that broadly influence human cognition and performance. Here we focus on
the impact of cognitive-motivational styles on the response to cues predicting
the availability of food or addictive drugs. An individual may preferably conduct
an analysis of the motivational significance of reward cues, with the result that
such cues per se are perceived as rewarding and worth approaching and working
for. Alternatively, a propensity for a "cold" analysis of the behavioral utility
of a reward cue may yield search behavior for food or drugs but not involve cue
approach. Animal models for studying the neuronal mechanisms mediating such
styles have originated from research concerning behavioral indices that predict
differential vulnerability to addiction-like behaviors. Rats classified as sign-
or goal-trackers (STs, GTs) were found to have opposed attentional biases (bottom
up or cue-driven attention vs. top-down or goal-driven attentional control) that
are mediated primarily via relatively unresponsive versus elevated levels of
cholinergic neuromodulation in the cortex. The capacity for cholinergic
neuromodulation in STs is limited by a neuronal choline transporter (CHT) that
fails to support increases in cholinergic activity. Moreover, in contrast to STs,
the frontal dopamine system in GTs does not respond to the presence of drug cues
and, thus, biases against cue-oriented behavior. The opponent cognitive
motivational styles that are indexed by sign- and goal-tracking bestow different
cognitive-behavioral vulnerabilities that may contribute to the manifestation of
a wide range of neuropsychiatric disorders. (PsycINFO Database Record
PMID- 29355338
TI - Understanding the dark and bright sides of anxiety: A theory of workplace
anxiety.
AB - Researchers have uncovered inconsistent relations between anxiety and
performance. Although the prominent view is a "dark side," where anxiety has a
negative relation with performance, a "bright side" of anxiety has also been
suggested. We reconcile past findings by presenting a comprehensive multilevel,
multiprocess model of workplace anxiety called the theory of workplace anxiety
(TWA). This model highlights the processes and conditions through which workplace
anxiety may lead to debilitative and facilitative job performance and includes 19
theoretical propositions. Drawing on past theories of anxiety, resource
depletion, cognitive-motivational processing, and performance, we uncover the
debilitative and facilitative nature of dispositional and situational workplace
anxiety by positioning emotional exhaustion, self-regulatory processing, and
cognitive interference as distinct contrasting processes underlying the
relationship between workplace anxiety and job performance. Extending our
theoretical model, we pinpoint motivation, ability, and emotional intelligence as
critical conditions that shape when workplace anxiety will debilitate and
facilitate job performance. We also identify the unique employee, job, and
situational characteristics that serve as antecedents of dispositional and
situational workplace anxiety. The TWA offers a nuanced perspective on workplace
anxiety and serves as a foundation for future work. (PsycINFO Database Record
PMID- 29355339
TI - The downside of downtime: The prevalence and work pacing consequences of idle
time at work.
AB - Although both media commentary and academic research have focused much attention
on the dilemma of employees being too busy, this paper presents evidence of the
opposite phenomenon, in which employees do not have enough work to fill their
time and are left with hours of meaningless idle time each week. We conducted six
studies that examine the prevalence and work pacing consequences of involuntary
idle time. In a nationally representative cross-occupational survey (Study 1), we
found that idle time occurs frequently across all occupational categories; we
estimate that employers in the United States pay roughly $100 billion in wages
for time that employees spend idle. Studies 2a-3b experimentally demonstrate that
there are also collateral consequences of idle time; when workers expect idle
time following a task, their work pace declines and their task completion time
increases. This decline reverses the well-documented deadline effect, producing a
deadtime effect, whereby workers slow down as a task progresses. Our analyses of
work pace patterns provide evidence for a time discounting mechanism: workers
discount idle time when it is relatively distant, but act to avoid it
increasingly as it becomes more proximate. Finally, Study 4 demonstrates that the
expectation of being able to engage in leisure activities during posttask free
time (e.g., surfing the Internet) can mitigate the collateral work pace losses
due to idle time. Through examination and discussion of the effects of idle time
at work, we broaden theory on work pacing. (PsycINFO Database Record
PMID- 29355341
TI - Toward customer-centric organizational science: A common language effect size
indicator for multiple linear regressions and regressions with higher-order
terms.
AB - To address a long-standing concern regarding a gap between organizational science
and practice, scholars called for more intuitive and meaningful ways of
communicating research results to users of academic research. In this article, we
develop a common language effect size index (CLbeta) that can help translate
research results to practice. We demonstrate how CLbeta can be computed and used
to interpret the effects of continuous and categorical predictors in multiple
linear regression models. We also elaborate on how the proposed CLbeta index is
computed and used to interpret interactions and nonlinear effects in regression
models. In addition, we test the robustness of the proposed index to violations
of normality and provide means for computing standard errors and constructing
confidence intervals around its estimates. (PsycINFO Database Record
PMID- 29355340
TI - Prosocial response to client-instigated victimization: The roles of forgiveness
and workgroup conflict.
AB - We investigate forgiveness as a human service employee coping response to client
instigated victimizations and further explore the role of workgroup conflict in
(a) facilitating this response, and (b) influencing the relationship between
victimization and workplace outcomes. Using the theoretical lens of Conservation
of Resources (Hobfoll, 1989), we propose that employees forgive clients
especially in the context of low workgroup conflict. From low to moderate levels
of client-instigated victimization, we suggest that victimization and forgiveness
are positively related; however, this positive relationship does not prevail when
individuals confront egregious levels of victimization (i.e., an inverted-U
shape). This curvilinear relationship holds under low but not under high
workgroup conflict. Extending this model to workplace outcomes, findings also
demonstrate that the indirect effects of victimization on job satisfaction,
burnout, and turnover intentions are mediated by forgiveness when workgroup
conflict is low. Experiment- and field-based studies provide evidence for the
theoretical model. (PsycINFO Database Record
PMID- 29355342
TI - "I don't care about you as a person": Sexual minority women objectified.
AB - This study investigates sexual minority women's experiences of objectification in
the United States. Data from 5 focus groups with 33 sexual minority women were
analyzed using thematic analysis (Braun & Clarke, 2006, 2012). Results revealed 6
themes and 34 subthemes grouped into "manifestations of objectification: general
and explicit intersections," "immediate context of relational and situational
characteristics," and "broader context of oppression and privilege along gender
and sexualities." First, sexual minority women's experiences of objectification
included both general manifestations described in prior research with
heterosexual women and manifestations of objectification that reflected
intersections of systems of inequality based on sexual orientation, gender
identity, race, ethnicity, culture, and age. Second, participants identified
novel relational and situational characteristics of objectification. Finally,
participants included experiences of stereotyping, discrimination, and
dehumanization in their conceptualizations of objectification, connecting their
experiences of objectification with broader dynamics of power related to gender
and sexuality. Centralizing sexual minority women's experiences, this study
produced a fuller understanding of objectification experiences in general and of
sexual minority women's experiences in particular. (PsycINFO Database Record
PMID- 29355343
TI - Predictors of science, technology, engineering, and mathematics choice options: A
meta-analytic path analysis of the social-cognitive choice model by gender and
race/ethnicity.
AB - We tested the interest and choice portion of social-cognitive career theory
(SCCT; Lent, Brown, & Hackett, 1994) in the context of science, technology,
engineering, and mathematics (STEM) domains. Data from 143 studies (including 196
independent samples) conducted over a 30-year period (1983 through 2013) were
subjected to meta-analytic path analyses. The interest/choice model was found to
fit the data well over all samples as well as within samples composed primarily
of women and men and racial/ethnic minority and majority persons. The model also
accounted for large portions of the variance in interests and choice goals within
each path analysis. Despite the general predictive utility of SCCT across gender
and racial/ethnic groups, we did find that several parameter estimates differed
by group. We present both the group similarities and differences and consider
their implications for future research, intervention, and theory refinement.
(PsycINFO Database Record
PMID- 29355345
TI - Attachment avoidance, alexithymia, and gender: Examining their associations with
distress disclosure tendencies and event-specific disclosure.
AB - Distress disclosure has been linked with reduced psychological distress,
increased wellbeing, and successful psychotherapeutic outcome. Because of the
importance of distress disclosure, researchers have worked to develop and improve
theoretical models of disclosure to facilitate counseling practices that reduce
impediments to disclosure. Presently, we conducted a 2-part study to investigate
distress disclosure's associations with attachment avoidance, gender, and
alexithymia-3 constructs frequently linked with disclosure. In Part 1, we
examined the extent to which attachment avoidance, alexithymia, and gender
predicted general disclosure tendencies. In Part 2, we examined the extent to
which attachment avoidance, alexithymia, and gender predicted event-specific
disclosure. Participants were recruited from a crowdsourcing website (N = 178 in
Part 1; N = 108 in Part 2). In Part 1, alexithymia partially mediated the
association between attachment avoidance and disclosure tendencies, and the link
between attachment avoidance and alexithymia was stronger for men than women. In
Part 2, the association between distress intensity and event-specific disclosure
was weaker for people with high levels of alexithymia. Implications for
counseling theory and practice are discussed. (PsycINFO Database Record
PMID- 29355344
TI - Emotional congruence between clients and therapists and its effect on treatment
outcome.
AB - The present study aimed to (a) explore 2 indices of emotional congruence-temporal
similarity and directional discrepancy-between clients' and therapists' ratings
of their emotions as they cofluctuate session-by-session; and (b) examine whether
client/therapist emotional congruence predicts clients' symptom relief and
improved functioning. The sample comprised 109 clients treated by 62 therapists
in a university setting. Clients and therapists self-reported their negative (NE)
and positive emotions (PE) after each session. Symptom severity and functioning
level were assessed at the beginning of each session using the clients' self
reports. To assess emotional congruence, an adaptation of West and Kenny's (2011)
Truth and Bias model was applied. To examine the consequences of emotional
congruence, polynomial regression, and response surface analyses were conducted
(Edwards & Parry, 1993). Clients and therapists were temporally similar in both
PE and NE. Therapists experienced less intense PE on average, but did not
experience more or less intense NE than their clients. Those therapists who
experienced more intense NE than their clients were more temporally similar in
their emotions to their clients. Therapist/client incongruence in both PE and NE
predicted poorer next-session symptomatology; incongruence in PE was also
associated with lower client next-session functioning. Session-level symptoms
were better when therapists experienced more intense emotions (both PE and NE)
than their clients. The findings highlight the importance of recognizing the
dynamic nature of emotions in client-therapist interactions and the contribution
of session-by-session emotional dynamics to outcomes. (PsycINFO Database Record
PMID- 29355346
TI - Mental Help Seeking Attitudes Scale (MHSAS): Development, reliability, validity,
and comparison with the ATSPPH-SF and IASMHS-PO.
AB - Attitudes is a key help-seeking construct that influences treatment seeking
behavior via intention to seek help, per the theory of planned behavior (TPB).
This article presents the development and psychometric evaluation of the Mental
Help Seeking Attitudes Scale (MHSAS), designed to measure respondents' overall
evaluation (unfavorable vs. favorable) of their seeking help from a mental health
professional. In Study 1 (N = 857 United States adults), exploratory factor
analysis (EFA), confirmatory factor analysis (CFA), and item response theory
(IRT) analysis were used to identify an optimal set of 9 items that demonstrated
initial evidence of internal consistency, unidimensionality, and strong
measurement equivalence/invariance (ME/I) across gender, past help-seeking
experience, and psychological distress. Initial convergent evidence of validity
was demonstrated via theoretically anticipated relationships between the MHSAS
and key variables in the help-seeking nomological network (e.g., subjective
norms, perceived behavioral control, intention, public stigma, self-stigma,
anticipated risks and benefits, gender, previous help seeking). Initial
incremental evidence of validity was demonstrated when the MHSAS demonstrated the
ability to account for unique variance in help-seeking intention, beyond that
accounted for by the Attitudes Toward Seeking Professional Psychological Help
Short Form scale (ATSPPH-SF) and the Psychological Openness subscale of the
Inventory of Attitudes Toward Seeking Mental Health Services (IASMHS-PO). Study 2
(N = 207 United States adults at Times 1 and 2) provided initial evidence of test
retest reliability over a 3-week period. The MHSAS offers mental health
professionals a new tool for measuring attitudes that may avoid limitations of
current help seeking-attitudes measures (e.g., construct-irrelevant variance).
(PsycINFO Database Record
PMID- 29355347
TI - Emotions in everyday life during social movements: Prospective predictions of
mental health.
AB - Emotion mobilizes and demobilizes a social movement while the movement itself
impacts people's emotions. An evidence base is needed for developing timely
emotion-focused counseling services for affected populations worldwide. This
experience sampling study investigated the impact of a social movement on
everyday emotional reactivity, variability, instability, and persistence, and
whether and how these predicted subsequent psychological distress and well-being
among 108 community-dwelling adults. Fifty-four participants reported momentary
events and emotions 5 times daily over 7 days during the Umbrella Movement in
Hong Kong. An age-matched, sex-matched comparison group (n = 54) participated
during a period without major social/political movement. Psychological distress
and well-being were assessed at baseline (Time 1 [T1]) and 3-month follow-up
(Time 2 [T2]). Hierarchical linear modeling revealed lower valence ratings of
positive events during the Umbrella Movement. Lower positive emotional reactivity
and higher negative emotional reactivity in positive events were reported during
the movement. Controlling for demographics and T1 scores, the positive
association between negative emotional reactivity in positive events and T2
depressive symptoms, and the inverse association between positive emotional
instability and T2 positive emotions, were significant only among the comparison
group. The positive association between positive emotions in positive events and
T2 life satisfaction was significant only among the Umbrella Movement group.
People could experience significant changes in their emotions in everyday life
during a social movement, even if it is short and relatively nonviolent like the
Umbrella Movement. Maximizing positive emotions in positive events during a
social movement can uniquely contribute to higher subsequent psychological well
being. (PsycINFO Database Record
PMID- 29355349
TI - Faster alcohol metabolism is associated with increased stimulation and within
session consumption.
AB - Variability in subjective response (SR) to alcohol predicts drinking and the
development of Alcohol Use Disorders (AUDs). Although both alcohol
pharmacokinetics (i.e., absorption and metabolism) and SR are impacted by aspects
of the drinking situation (e.g., rate of consumption), relations between
individual differences in pharmacokinetics and SR have received little attention.
The current study examined the extent to which alcohol pharmacokinetics impact SR
and drinking during a single alcohol administration session. A total of 119 (67%
male) social drinkers were administered a dose of alcohol with a target blood
alcohol concentration (BAC) of 0.08g%. The Biphasic Alcohol Effects Scale was
administered twice at matched ascending and descending limb BACs following
alcohol consumption to assess SR. Pharmacokinetic properties (absorption and
metabolism) were inferred using multiple BAC readings to calculate the area under
the curve during the ascending limb (absorption) and descending limb
(metabolism). Following completion of SR measures, an ad libitum taste rating
task utilizing nonalcoholic beer was implemented to assess voluntary 'alcohol'
consumption. Results indicated that participants who metabolized alcohol more
quickly maintained a greater level of subjective stimulation on the descending
limb. Faster metabolism was indirectly related to ad lib nonalcoholic beer
consumption through greater maintenance of stimulant effects. Absorption did not
significantly predict SR or within session drinking. The results increase
understanding of SR variability and suggest that heightened stimulation that is
sustained across limbs of the BAC curve may increase risk for excessive
consumption. Individual differences in alcohol metabolism may be an identifiable
biomarker of this high risk pattern of SR. (PsycINFO Database Record
PMID- 29355348
TI - Access to environmental reward mediates the relation between posttraumatic stress
symptoms and alcohol problems and craving.
AB - Symptoms of posttraumatic stress (PTS) show significant comorbidity with alcohol
use, but little is known about the mechanisms that might account for this
comorbidity. Deficits in reward functioning have long been implicated in alcohol
misuse and more recently in PTS reactions, but no study has examined whether
reward deprivation may serve as a transdiagnostic risk factor for comorbid PTS
alcohol misuse. The current cross-sectional study sought to test the behavioral
economic hypothesis that reward deprivation would be related to both PTS symptoms
and alcohol problems, and would mediate the relation between PTS symptoms and
alcohol problems in college students. We recruited a diverse sample of urban
college students (N = 203, Mage = 21.5 years, SD = 5.5; 79.5% female; 56.8%
White, 28.1% Black, .9% Asian, 9.8% Multiracial) who endorsed both alcohol use
and PTS symptoms. Reward deprivation (lack of access to, and ability to,
experience reward) was related to alcohol problems, and a lack of access to
reward was related to PTS symptoms. Furthermore, reward access mediated the
relation between PTS symptoms and alcohol problems and craving, after controlling
for alcohol use, age, gender, and race. These data provide preliminary support
for behavioral economic models of alcohol comorbidity and suggest that treatments
for combined PTS and alcohol misuse should attempt to reduce barriers to
accessing natural rewards. (PsycINFO Database Record
PMID- 29355350
TI - Allocating under the influence: Effects of alcohol intoxication and social
identification on in-group favoritism.
AB - The "social lubrication" function of alcohol during interpersonal interactions is
well documented. However, less is known about the effects of alcohol consumption
on group-level behavior. Empirical findings from social psychological literature
suggest that individuals tend to favor those who are considered as members of
their own social group. Not yet evaluated is how alcohol intoxication interacts
with this group-level bias. Therefore, the current study examined experimentally
the effects of intoxication on group bias. Ninety-four individuals (Mage = 20.18,
SD = 2.36, 55 women, 39 men) were randomly assigned to consume an alcoholic (n =
48) or a placebo (n = 46) drink before completing manipulated allocation
matrices, a task which measured the distribution of hypothetical monetary awards
based on social groups. Results point to an interaction between drink condition
and social group identification, whereby identification was significantly
associated with in-group favoritism among intoxicated individuals only. Following
alcohol consumption, participants with higher identification with their social
group were more likely to demonstrate allocation strategies that favored their
own group members. However, nonsignificant effects were observed for those in the
placebo condition. The findings highlight how alcohol intoxication may facilitate
group bias that results from social group identification. (PsycINFO Database
Record
PMID- 29355351
TI - Happy hour drink specials in the Alcohol Purchase Task.
AB - There is strong evidence to suggest that happy hour drink specials are associated
with undesirable outcomes such as increased amount of drinking, increased
likelihood of being highly intoxicated, and increased likelihood of experiencing
negative outcomes related to drinking (e.g., getting into fights). Public policy
efforts have been made to ban or at least restrict alcohol drink specials.
Research in behavioral economics-primarily demand curve analyses-has yielded
valuable insights into the role of environmental effects on reinforcer
consumption, especially within the context of alcohol reinforcement. The use of
the Alcohol Purchase Task (APT), which asks respondents to report how many
alcoholic drinks they would be willing to purchase at various prices, has
contributed greatly to these efforts. The purpose of the current experiment was
to determine whether self-reported consumption of alcohol on an APT changes when
participants imagine a hypothetical "happy hour" scenario, akin to drink specials
encountered in the real world. Results from the current experiment extend
previous literature on APT vignette manipulations and provide implications for
efforts to reduce problematic drinking. (PsycINFO Database Record
PMID- 29355353
TI - Do we overemphasize the role of culture in the behavior of racial/ethnic
minorities? Evidence of a cultural (mis)attribution bias in American psychology.
AB - Although culture influences all human beings, there is an assumption in American
psychology that culture matters more for members of certain groups. This article
identifies and provides evidence of the cultural (mis)attribution bias: a
tendency to overemphasize the role of culture in the behavior of racial/ethnic
minorities, and to underemphasize it in the behavior of Whites. Two studies
investigated the presence of this bias with an examination of a decade of peer
reviewed research conducted in the United States (N = 434 articles), and an
experiment and a survey with psychology professors in the United States (N = 361
psychologists). Archival analyses revealed differences in the composition of
samples used in studies examining cultural or noncultural psychological
phenomena. We also find evidence to suggest that psychologists in the United
States favor cultural explanations over psychological explanations when
considering the behavior and cognition of racial/ethnic minorities, whereas the
opposite pattern emerged in reference to Whites. The scientific ramifications of
this phenomenon, as well as alternatives to overcome it, are discussed in detail.
(PsycINFO Database Record
PMID- 29355352
TI - Community-based participatory research (CBPR): Towards equitable involvement of
community in psychology research.
AB - Community-based participatory research (CBPR) answers the call for more patient
centered, community-driven research approaches to address growing health
disparities. CBPR is a collaborative research approach that equitably involves
community members, researchers, and other stakeholders in the research process
and recognizes the unique strengths that each bring. The aim of CBPR is to
combine knowledge and action to create positive and lasting social change. With
its origins in psychology, sociology, and critical pedagogy, CBPR has become a
common research approach in the fields of public health, medicine, and nursing.
Although it is well aligned with psychology's ethical principles and research
aims, it has not been widely implemented in psychology research. The present
article introduces CBPR to a general psychology audience while considering the
unique aims of and challenges in conducting psychology research. In this article,
we define CBPR principles, differentiate it from a more traditional psychology
research approach, retrace its historical roots, provide concrete steps for its
implementation, discuss its potential benefits, and explore practical and ethical
challenges for its integration into psychology research. Finally, we provide a
case study of CBPR in psychology to illustrate its key constructs and
implementation. In sum, CBPR is a relevant, important, and promising research
framework that may guide the implementation of more effective, culturally
appropriate, socially just, and sustainable community-based psychology research.
(PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29355354
TI - Civilization and its discontented: Links between youth victimization, beliefs
about government, and political participation across seven American presidencies.
AB - Promoting trust in public officials and active political engagement is vital to
sustaining a well-functioning democracy. Developmental psychologists propose that
youths' beliefs about government and participation in politics are rooted in
personal experiences within their communities. Previous studies have focused on
how positive experiences within youths' families, schools, and communities
facilitate greater social trust and political participation. However, less is
known about how negative interpersonal experiences-such as criminal victimization
intersect with youths' beliefs about the trustworthiness, competence, and
knowledge of government officials, and their participation in political activity.
Using data from 39 waves of the Monitoring the Future study, the current study
examined associations among youth victimization, beliefs about government, and
participation in various political activities. Adolescents (N = 109,574; 50.9%
female) enrolled in 12th grade across the United States reported on whether they
had experienced various types of victimization during the previous year, their
beliefs about government, and their participation in multiple forms of political
activity. Adolescents who reported more frequent victimization experiences
endorsed significantly greater discontent with government and were significantly
more engaged in various forms of political activity. The magnitude and direction
of these effects were generally consistent across different types of
victimization, different demographic subgroups of youth, and different
sociohistorical periods. Findings are interpreted from a social contract theory
perspective, followed by a discussion of implications for building psychological
theory and informing public policy. (PsycINFO Database Record
PMID- 29355355
TI - Looking for intoolligence: A unified framework for the cognitive study of human
tool use and technology.
AB - Humans have considerably modified their environment by making and building a
number of tools, technologies, and constructions. This unique ability compared to
other animals is the focus of researchers in different fields of psychology.
However, there is confusion about the definitions proposed, generating
difficulties in making connections between those different fields. This article
presents the first unified framework (i.e., intoolligence) aiming to overcome
these issues by focusing on the cognitive processes involved in the different
forms taken by human tool use and technology, rather than on the overt behavior.
To lay the foundation for intoolligence, we first address a series of
epistemological misconceptions, which are the root cause for the current
confusion. Particularly, we discuss the limitations of the widespread idea that
tool use relies on specific cognitive skills, centered on the manipulative aspect
of tool use. We develop, based on this analysis, details concerning our
framework, which is based on the key principle that making and using are 2
independent cognitive steps. This distinction allows us to redefine tool use by
breaking it down into 3 modes: assistive tool use, arbitrary tool use, and free
tool use. This article opens a new chapter on the topic of human tool use and
technology. (PsycINFO Database Record
PMID- 29355356
TI - Compelled disclosure of college sexual assault.
AB - Sexual assault is a widespread problem on college campuses. In response, many
institutions are developing policies mandating that certain employees report any
student disclosure of sexual assault to university officials (and, in some cases,
to police), with or without the survivor's consent. These policies,
conceptualized here as compelled disclosure, have been prompted and shaped by
federal law and guidance, including Title IX and The Clery Act. Proponents of
compelled disclosure assert that it will increase reports-enabling universities
to investigate and remedy more cases of sexual assault-and will benefit sexual
assault survivors, university employees, and the institution. However, many
questions remain unanswered. How broad (or narrowly tailored) are contemporary
compelled disclosure mandates in higher education? Do any empirical data support
assumptions about the benefits of these policies? Are there alternative
approaches that should be considered, to provide rapid and appropriate responses
to sexual violence while minimizing harm to students? The current article begins
with an overview of federal law and guidance around compelled disclosure. Next, a
content analysis of a stratified random sample of 150 university policies
provides evidence that the great majority require most, if not all, employees to
report student sexual assault disclosures. A review of the literature then
suggests that these policies have been implemented despite limited evidence to
support assumptions regarding their benefits and effectiveness. In fact, some
findings suggest negative consequences for survivors, employees, and
institutions. The article concludes with a call for survivor-centered reforms in
institutional policies and practices surrounding sexual assault. (PsycINFO
Database Record
PMID- 29355357
TI - Callous-unemotional traits moderate the association between children's early
moral understanding and aggression: A short-term longitudinal study.
AB - Drawing on the framework of social domain theory, this multi-method, multi
informant longitudinal study examined whether callous-unemotional (CU) tendencies
moderated the association between U.S. 4 to 7 year olds' (n = 135; Mage = 5.65,
50% male; 75% White) ability to differentiate hypothetical, prototypical moral
and conventional transgressions along theoretical criteria and teacher (n = 49)
and parent (n = 128, 91% mothers) ratings of physical aggression. Deficits in
domain distinction ability were associated with greater teacher-reported
aggression both concurrently and 9 months later, but only for children high in CU
traits. No main effects or interactions were found for parent reports. These
findings build on a growing body of research demonstrating that children who use
aggression in a deliberate and callous manner show deficits in their basic
understanding of moral norms. (PsycINFO Database Record
PMID- 29355358
TI - Young children pause on phrase boundaries in self-paced music listening: The role
of harmonic cues.
AB - Proper segmentation of auditory streams is essential for understanding music.
Many cues, including meter, melodic contour, and harmony, influence adults'
perception of musical phrase boundaries. To date, no studies have examined young
children's musical grouping in a production task. We used a musical self-pacing
method to investigate (1) whether dwell times index young children's musical
phrase grouping and, if so, (2) whether children dwell longer on phrase
boundaries defined by harmonic cues specifically. In Experiment 1, we asked 3
year-old children to self-pace through chord progressions from Bach chorales
(sequences in which metrical, harmonic, and melodic contour grouping cues
aligned) by pressing a computer key to present each chord in the sequence.
Participants dwelled longer on chords in the 8th position, which corresponded to
phrase endings. In Experiment 2, we tested 3-, 4-, and 7-year-old children's
sensitivity to harmonic cues to phrase grouping when metrical regularity cues and
melodic contour cues were misaligned with the harmonic phrase boundaries. In this
case, 7 and 4 year olds but not 3 year olds dwelled longer on harmonic phrase
boundaries, suggesting that the influence of harmonic cues on phrase boundary
perception develops substantially between 3 and 4 years of age in Western
children. Overall, we show that the musical dwell time method is child-friendly
and can be used to investigate various aspects of young children's musical
understanding, including phrase grouping and harmonic knowledge. (PsycINFO
Database Record
PMID- 29355359
TI - Developmental processes across the first two years of parenthood: Stability and
change in adult attachment style.
AB - The first months after becoming a new parent are a unique and important period in
human development. Despite substantial research on the many social and biological
changes that occur during the first months of parenthood, little is known about
changes in mothers' attachment. The present study examines developmental
stability and change in first-time mothers' attachment style across the first 2
years of motherhood. At Time 1, 162 economically stressed primiparous mothers
(Mage = 23.98 years, SD = 5.18) completed measures of attachment anxiety and
avoidance at five time points: when their children were 0, 6, 12, 18, and 24
months of age. Converging results of stability functions and latent growth curve
models suggest that attachment styles were generally stable during the first 2
years of motherhood, even in this economically stressed sample. Furthermore,
model comparisons revealed that a prototype model better characterized the
developmental dynamics of mothers' attachment style than did a revisionist model,
consistent with previous studies of adults and adolescents. This suggests that a
relatively enduring prototype underlies mothers' attachment style and anchors the
extent to which mothers experience attachment-related changes following the birth
of their first child. Within this overall picture of continuity, however, some
mothers did show change over time, and specific factors emerged as moderators of
attachment stability, including maternal depressive symptoms and overall
psychological distress, as well as sensitive care from their own mothers.
Findings shed light on patterns of continuity and change in new parents'
development. (PsycINFO Database Record
PMID- 29355360
TI - New meanings of thin-skinned: The contrasting attentional profiles of typical 12
month-olds who show high, and low, stress reactivity.
AB - Previous research is inconsistent as to whether a more labile (faster-changing)
autonomic system confers performance advantages, or disadvantages, in infants and
children. To examine this, we presented a stimulus battery consisting of mixed
static and dynamic viewing materials to a cohort of 63 typical 12-month-old
infants. While viewing the battery, infants' spontaneous visual attention (looks
to and away from the screen) was measured. Concurrently, arousal was recorded via
heart rate (HR), electrodermal activity, head velocity, and peripheral movement
levels. In addition, stress reactivity was assessed using a mild behavioral
stressor (watching a video of another infant crying). We found that infants who
were generally more attentive showed smaller HR increases to the stressor.
However, they also showed greater phasic autonomic changes to attractive,
attention-getting stimulus events, a faster rate of change of both look duration
and of arousal, and more general oscillatory activity in arousal. Finally, 4
sessions of attention training were applied to a subset of the infants (24
trained, 24 active controls), which had the effect of increasing visual sustained
attention. No changes in HR responses to stressor were observed as a result of
training, but concomitant increases in arousal lability were observed. Our
results point to 2 contrasting autonomic profiles: infants with high autonomic
reactivity to stressors show short attention durations, whereas infants with
lower autonomic reactivity show longer attention durations and greater arousal
lability. (PsycINFO Database Record
PMID- 29355361
TI - Is more better? Examining whether enhanced consultation/coaching improves
implementation.
AB - It is extremely challenging to implement evidence-based interventions in
community-based agencies with sufficient quality, fidelity, and intensity to
produce desired changes in practice and outcomes. This is particularly difficult
to do within the confines of existing service providers' time, personnel, and
resource constraints. Over the past 15 years, Together Facing the Challenge
(TFTC) has been developed, tested, and disseminated in an effort to address this
set of issues to improve treatment foster care (TFC). Data from the initial
randomized trial showed improved practice and outcomes in TFTC compared to usual
TFC. These initial results came from study-led training and follow-up
consultation. Subsequent dissemination activities suggested potential need for
more intensive support for TFTC supervisors to produce more consistent and
sustained implementation of the model. The current randomized trial extends this
work by comparing the previously tested standard consultation versus enhanced
consultation that incorporated more of a coaching approach. Initial results
suggest that enhanced coaching/consultation was associated with improvements in
the small- to medium-effect size range. Results are promising, but require
additional work to more fully understand how and whether to enhance supports as
agencies implement new evidence-based approaches. (PsycINFO Database Record
PMID- 29355362
TI - Associations of Bullying, Victimization, and Daytime Sleepiness With Academic
Problems in Adolescents Attending an Alternative High School.
AB - Adolescents attending alternative high schools often present with high rates of
academic and behavior problems. They are also at increased risk of poor health
behaviors and engaging in physical violence compared with students in traditional
high school settings. To address the needs of students in these educational
settings, examining factors that influence academic problems in this population
is essential. Research has established that both bullying/victimization and sleep
problems increase adolescents' risk for academic problems. Little is known about
how these 2 factors together may exacerbate risk for academic problems among
students attending an alternative high school. The current study investigated the
interaction between teacher-reported bullying, victimization and daytime
sleepiness on academic concerns (attention and learning problems) among a sample
of 172 students (56% female; age M = 18.07 years, SD = 1.42) attending an
alternative high school in a large, Southeastern U.S. city. Findings from path
models indicated that daytime sleepiness, bullying, and victimization were
uniquely associated with attention and learning problems. Further, significant
interactions indicated that the association between victimization/bullying and
attention/learning problems weakened as levels of daytime sleepiness increased.
Results suggest the importance of assessing and addressing multiple contextual
risk factors in adolescents attending alternative high schools to provide
comprehensive intervention for students in these settings. (PsycINFO Database
Record
PMID- 29355363
TI - Medicaid waivers and public sector mental health service penetration rates for
youth.
AB - To assist families of youth with serious emotional disturbance in financing
youth's comprehensive care, some states have sought and received Medicaid
waivers. Medicaid waivers waive or relax the Medicaid means test for eligibility
to provide insurance coverage to nonpoor families for expensive, otherwise out-of
reach treatment for youth with Serious Emotional Disturbance (SED). Waivers
promote treatment access for the most troubled youth, and the present study
investigated whether any of several Medicaid waiver options-and those that
completely omit the means test in particular-are associated with higher state
wide public sector treatment penetration rates. The investigators obtained data
from the U.S. Census, SAMHSA's Uniform Reporting System, and the Centers for
Medicare and Medicaid Services. Analysis employed random intercept and random
slope linear regression models, controlling for a variety of state demographic
and fiscal variables, to determine whether a relationship between Medicaid waiver
policies and state-level public sector penetration rates could be observed.
Findings indicate that, whether relaxing or completely waiving Medicaid's
qualifying income limits, waivers increase public sector penetration rates,
particularly for youth under age 17. However, completely waiving Medicaid income
limits did not uniquely contribute to penetration rate increases. States offering
Medicaid waivers that either relax or completely waive Medicaid's means test to
qualify for health coverage present higher public sector treatment rates for
youth with behavioral health care needs. There is no evidence that restricting
the program to waiving the means test for accessing Medicaid would increase
treatment access. (PsycINFO Database Record
PMID- 29355365
TI - The importance of positive need fulfillment: Evidence from a sample of war
affected Sri Lankans.
AB - There has been growing interest in understanding the psychological and social
environmental factors that facilitate adaptive functioning in populations
affected by ethnopolitical warfare. In the current study, we tested the
hypothesis that a brief measure incorporating local idioms of positive need
fulfilment would predict functioning in a war-affected Sri Lankan population
above and beyond psychopathology when controlling for demographic variables and
current life stressors. A brief measure of positive need fulfillment was derived
from qualitative data and administered to a sample of 163 Sri Lankans affected by
the civil war. Positive need fulfillment was found to uniquely predict
functioning after controlling for age, war-related life problems, and
psychopathology as assessed by the Penn-RESIST-Peradeniya War Problems
Questionnaire. These findings highlight the importance of both basic need support
and the fostering of agency in addition to addressing mental health needs.
(PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29355364
TI - Anxiety sensitivity and rumination: Transdiagnostic factors involved in the
relation between subjective social status and anxiety and depressive symptoms and
disorders among economically disadvantaged Latinos in primary care.
AB - Latinos face striking physical and mental health disparities. One factor
associated with such disparities is subjective social status, reflecting
subjective ratings of social standing. Yet there is presently a lack of empirical
information about the mechanisms underlying relations between subjective social
status and anxiety and depressive symptoms and disorders among Latinos in
community medical services that serve as focal catchment areas for assessment and
intervention programming. The present investigation examined the unique
explanatory roles of 2 transdiagnostic factors, rumination and anxiety
sensitivity, in the relation between subjective social status and depressive,
suicidal, social anxiety, and anxious arousal symptoms as well as
anxiety/depressive disorders, among Latinos seeking health services at a primary
health care facility. Participants included 253 Latino adults with annual incomes
of less than $30,000 (M age = 39.1, SD = 11.1). Results indicated that rumination
and anxiety sensitivity each significantly (independently) mediated associations
between subjective social status and all dependent variables except suicidal
symptoms. For suicidal symptoms, only rumination was a mediator. The present
findings suggest that rumination and anxiety sensitivity may represent mechanisms
for associations between subjective social status and anxiety and depressive
symptoms and disorders among economically disadvantaged Latinos in primary care
settings. (PsycINFO Database Record
PMID- 29355366
TI - Obese and overweight youth: Risk for experiencing bullying victimization and
internalizing symptoms.
AB - Obese and overweight youth are at an increased risk for poor peer relations and
psychosocial adjustment. Of particular concern is the high rate of bullying
victimization experienced by obese and overweight youth. While it is known that
victimized youth are at an increased risk for internalizing symptoms, few studies
have examined if weight status exacerbates the association between victimization
and internalizing symptoms. The current study drew upon data from over 43,000
youth attending 107 middle and high schools. Multilevel results suggested that
compared with normal weight youth, both overweight and obese youth were at an
increased risk for experiencing relational, verbal, and cyber victimization, with
only obese youth being at an increased risk for experiencing physical
victimization. Notably, the odds for experiencing cyber victimization were higher
than the odds for experiencing other forms of victimization. Frequently
victimized obese youth, but not frequently victimized overweight youth, had
significantly higher levels of internalizing symptoms compared to their
frequently victimized, normal-weight peers. Together, these findings highlight
the increased risk for psychosocial adjustment problems among frequently
victimized overweight and obese youth, suggesting these youth may require
preventive interventions tailored to meet their unique needs. (PsycINFO Database
Record
PMID- 29355367
TI - Gendered race modification of the association between chronic stress and
depression among Black and White U.S. adults.
AB - Chronic stress stemming from social inequity has long been recognized as a risk
factor for poor physical and psychological health, yet challenges remain in
uncovering the mechanisms through which such exposures affect health outcomes and
lead to racial and gender health disparities. Examination of sociocultural
influences on group identity, coping, and the expression of stress may yield
relevant insight into potential pathways of inequity's effect on risk for chronic
disease. The objective of this study was to examine the relationship between
chronic stress as measured by allostatic load (AL) and depression by gendered
race group. Using National Health and Nutrition Examination Survey 2005-2010
data, we included Black and White U.S. adults aged 18-64 years (n = 6,431). AL
was calculated using 9 biomarkers; scores >=4 indicated high risk. Depression was
assessed using the Patient Health Questionnaire-9; scores >=10 indicated likely
clinical depression. Logistic models estimated odds of depression as a function
of AL for each gendered race group adjusting for age and family poverty-to-income
ratio. Effect modification was assessed by analysis of variance and relative
excess risk due to the interaction. We observed modification on the
multiplicative scale. High AL was more strongly associated with depression among
White women and Black men than among Black women or White men. In conclusion, a
potential manifestation of high chronic stress burden, depression, differs across
gendered race groups. These disparities may be due to group-specific coping
strategies that are shaped by unequal social contexts. (PsycINFO Database Record
PMID- 29355369
TI - Consequences for peers differentially bias computations about risk across
development.
AB - Adolescents routinely take risks that impact the well-being of the friends they
are with. However, it remains unclear when and how consequences for friends
factor into decisions to take risks. Here we used an economic decision-making
task to test whether risky choices are guided by the positive and negative
consequences they promise for peers. Across a large developmental sample of
participants ages 12-25, we show that risky decision computations increasingly
assimilate friends' outcomes throughout adolescence into early adulthood in an
asymmetric manner that overemphasizes protecting friends from incurring loss.
Whereas adults accommodated friend outcomes to a greater degree when the friend
was present and witnessing these choices, adolescents did so regardless of
whether a friend could witness their decisions, highlighting the fundamentality
of adolescent social motivations. By demonstrating that outcomes for another
individual can powerfully tune an actor's risk tolerance, these results identify
a key factor underlying peer-related motivations for risky behavior, with
implications for the law and risk-prevention. (PsycINFO Database Record
PMID- 29355370
TI - Perceptual but not complex moral judgments can be biased by exploiting the
dynamics of eye-gaze.
AB - Can judgments be biased via passive monitoring of eye-gaze? We examined this
question using a perceptual discrimination task (Experiment 1) and a complex
moral judgment task (Experiment 2). Information about the location of
participants' gaze at particular time-points in a trial was used to prompt
responses. When there was no objective perceptual information available to
decision-makers, the timing of the prompt had a small, but detectable effect on
judgments (Experiment 1). However, this small effect did not scale up to more
complex judgments about moral issues (Experiment 2). Our results are consistent
with the well-established idea that participants' judgments are reflected in
their eye-gaze, but do not support the recent bold claim of a causal link wherein
the timing of a gaze-contingent response-prompt influences complex judgments.
(PsycINFO Database Record
PMID- 29355368
TI - Profiles of minority stressors and identity centrality among sexual minority
Latinx youth.
AB - Few studies have examined how the amalgamation of minority stressors for youth
with multiple marginalized identities is associated with well-being.
Additionally, among youth with multiple marginalized identities, identity
centrality may clarify the associations between specific types of minority
stressors (i.e., bias-based peer victimization, perceived discrimination) and
adjustment. This study sought to identify intersectional profiles of perceived
peer victimization, perceived discrimination, and identity centrality, specific
to either Latinx ethnicity or sexual minority identity in the United States.
Demographic characteristics associated with each profile (i.e., age,
socioeconomic status, gender nonconformity, survey language, gender, rurality)
were examined, as well as associations between profiles and grade point average,
self-esteem, and depression. In a sample of 219 in-school Latinx sexual minority
youth (47% secondary, 53% postsecondary; Mage = 19 years, SD = 2.3), four
profiles of intersectional minority stress (perceived victimization,
discrimination) and identity centrality were identified: (a) low stress, low
centrality; (b) low stress, high centrality; (c) moderate stress, moderate
centrality, and (d) high stress, moderate centrality. Men, youth who were
relatively older, socioeconomically advantaged, gender nonconforming, and those
living in urban areas had higher probabilities of membership in profiles with
moderate and high stress. Compared to the low stress, low centrality profile,
profiles with higher levels of intersectional stress were associated with
maladjustment, whereas the profile characterized by low stress, high centrality
had higher levels of self-esteem. (PsycINFO Database Record
PMID- 29355371
TI - The awakening of the attention: Evidence for a link between the monitoring of
mind wandering and prospective goals.
AB - Across 2 independent samples, we examined the relation between individual
differences in rates of self-caught mind wandering and individual differences in
temporal monitoring of an unrelated response goal. Rates of self-caught mind
wandering were assessed during a commonly used sustained-attention task, and
temporal goal monitoring was indexed during a well-established prospective-memory
task. The results from both samples showed a positive relation between rates of
self-caught mind wandering during the sustained-attention task and rates of
checking a clock to monitor the amount of time remaining before a response was
required in the prospective-memory task. This relation held even when controlling
for overall propensity to mind-wander (indexed by intermittent thought probes)
and levels of motivation (indexed by subjective reports). These results suggest
the possibility that there is a common monitoring system that monitors the
contents of consciousness and the progress of ongoing goals and tasks. (PsycINFO
Database Record
PMID- 29355372
TI - M(4) muscarinic receptors and locomotor activity regulation.
AB - M(4) muscarinic receptors (M(4) MR) represent a subfamily of G-protein coupled
receptors serving a substantial role in spontaneous locomotor activity
regulation, cognition and modulation of cholinergic system. With increasing body
of literature discussing the role of M(4) MR some controversies arose. Thus, we
try here to summarize the current evidence regarding the M(4) MR, with the
special focus on their role in Locomotor activity control. We review the
molecular function of M(4) MR in specific brain areas implicated in locomotor
regulation, and shortly in other CNS processes that could be connected to
locomotor activity. We also focus on brain areas implicated in locomotor activity
biorhythm changes like suprachiasmatic nucleus, subparaventricular zone posterior
hypothalamic area, striatum and thalamus. Gender-related aspects and differences
in locomotor activity in males and females are discussed further.
PMID- 29355373
TI - Does prenatal methamphetamine exposure induce sensitization to drugs in
adulthood?
AB - Behavioral sensitization is defined as augmented psychomotor activity, which can
be observed after drug re-administration following withdrawal of repeated drug
exposure. It has been shown that abuse of one drug can lead to increased
sensitivity to certain other drugs. This effect of developed general drug
sensitivity is called cross-sensitization and has been reported between drugs
with similar as well as different mechanisms of action. There is growing evidence
that exposure to drugs in utero not only causes birth defects and delays in
infant development, but also impairs the neural reward pathways, in the brains of
developing offspring, in such a way that it can increase the tendency for drug
addiction later in life. This review summarizes the results of preclinical
studies that focused on testing behavioral cross-sensitization, after prenatal
Methamphetamine exposure, to drugs administered in adulthood, with both similar
and different mechanisms of action. Traditionally, behavioral sensitization has
been examined using the Open field or the Laboras Test to record locomotor
activity, and the Conditioned Place Preference and Self-administration test to
examine drug-seeking behavior. However, it seems that prenatal drug exposure can
sensitize animals not only to the locomotor-stimulating and conditioning effects
of drugs, but may also be responsible for modified responses to various drug
effects.
PMID- 29355374
TI - Olfactory bulbectomy in methamphetamine-treated rat mothers induces impairment in
somatic and functional development of their offspring.
AB - Olfactory bulbectomy in rodents is considered a putative model of depression.
Depression is often associated with drug addiction. Our previous studies
demonstrated that methamphetamine (MA) administration to rat mothers affects
both, mothers and their pups. The aim of the present study was to examine the
effect of bulbectomy, as a model of depression, and MA administration on behavior
of rat mothers and postnatal development of their pups. Adult female Wistar rats
were randomly divided into two groups: bulbectomized (OBX) and sham-operated
(SH). A period of 20 days was allowed for the development of the depressive-like
phenotype. Animals were tested in the motor activity test and 2 % sucrose
preference for anhedonia and hyperactive locomotor response to a novel
environment, respectively. After then females were impregnated. Pregnant females
were exposed to daily subcutaneous (s.c.) injection of MA (5 mg/kg) or saline
(SA) during the entire gestation period. Postnatally, maternal behavior and pup
development was examined. The effect of a challenge dose of MA (1 mg/kg, s.c.) on
behavior was further examined in adult male offspring. Our results showed no
differences in the maternal behavior as a matter of bulbectomy, only OBX rats
slept more than all the SH controls. Pups from OBX mothers were born with lower
birthweight and gained less weight during the postnatal development than pups
from SH controls. Both, bulbectomy and MA administration, delayed the eyes
opening. As a matter of functional development of the pups, maternal OBX
procedure impaired the performance in the Bar-holding test, but only in saline
group. OBX/SA group was the worst in the Bar-holding test relative to all the
other groups. In addition, pups from OBX mothers dropped more boluses during the
Bar-holding test, suggesting that they were more stressed. In adult male
offspring, bulbectomy increased immobility only in the SA/SA group. Prenatal MA
exposure increased locomotion, while decreasing immobility. In addition,
challenge dose of MA in adulthood increased distance traveled, locomotion,
rearing, and average and maximal velocity, while decreasing immobility and
grooming. In conclusion, our results suggest that depressive-like phenotype of
rat mothers induces impairment in somatic and functional development of their
male offspring.
PMID- 29355375
TI - Effects of perinatal stress and drug abuse on maternal behavior and sensorimotor
development of affected progeny.
AB - Methamphetamine (MA) is an addictive psychostimulant with significant potential
for abuse. Previous rat studies have demonstrated that MA use during pregnancy
impairs maternal behavior and induced delayed development of affected pups. The
offspring of drug-addictive mothers were often neglected and exposed to neonatal
stressors. The present study therefore examines the effect of perinatal stressors
combined with exposure to prenatal MA on the development of pups and maternal
behavior. Dams were divided into three groups according to drug treatment during
pregnancy: controls (C); saline (SA, s.c., 1 ml/kg); MA (s.c., 5 mg/ml/kg).
Litters were divided into four groups according to postnatal stressors: controls
(N); maternal separation (S); maternal cold-water stress (W); maternal separation
plus cold-water stress (SW). The pup-retrieval test showed differences among
postnatally stressed mothers and non-stressed controls. The righting reflex on a
surface revealed delayed development of pups prenatally exposed to MA/SA and
postnatal stress. Negative geotaxis and Rotarod results confirmed that the MA
group was the most affected. Overall, our data suggests that a combination of
perinatal stress and prenatal MA can have a detrimental effect on maternal
behavior as well as on the sensorimotor development of pups. However, MA exposure
during pregnancy seems to be the decisive factor for impairment.
PMID- 29355376
TI - Do multiple body modifications alter pain threshold?
AB - In recent years, epidemiological data has shown an increasing number of young
people who deliberately self-injure. There have also been parallel increases in
the number of people with tattoos and those who voluntarily undergo painful
procedures associated with piercing, scarification, and tattooing. People with
self-injury behaviors often say that they do not feel the pain. However, there is
no information regarding pain perception in those that visit tattoo parlors and
piercing studios compared to those who don't. The aim of this study was to
compare nociceptive sensitivity in four groups of subjects (n=105, mean age 26
years, 48 women and 57 men) with different motivations to experience pain (i.e.,
with and without multiple body modifications) in two different situations; (1) in
controlled, emotionally neutral conditions, and (2) at a "Hell Party" (HP), an
event organized by a piercing and tattoo parlor, with a main event featuring a
public demonstration of painful techniques (burn scars, hanging on hooks, etc.).
Pain thresholds of the fingers of the hand were measured using a thermal
stimulator and mechanical algometer. In HP participants, information about
alcohol intake, self-harming behavior, and psychiatric history were used in the
analysis as intervening variables. Individuals with body modifications as well as
without body modifications had higher thermal pain thresholds at Hell Party,
compared to thresholds measured at control neutral conditions. No such
differences were found relative to mechanical pain thresholds. Increased pain
threshold in all HP participants, irrespectively of body modification, cannot be
simply explained by a decrease in the sensory component of pain; instead, we
found that the environment significantly influenced the cognitive and affective
component of pain.
PMID- 29355377
TI - Prefrontal cortex and dorsomedial hypothalamus mediate food reward-induced
effects via npas2 and egr1 expression in rat.
AB - The effects of food reward on circadian system function were investigated in the
hypothalamic nuclei, prefrontal cortex and liver. Food rewards of small hedonic
and caloric value were provided for 16 days 3 h after light phase onset to male
Wistar rats. The daily pattern of locomotor activity was monitored. Gene
expression profiling performed in the dorsomedial hypothalamus (DMH) and liver at
the time of reward delivery indicated transcriptional factors egr1 and npas2 as
possible mediators of food reward effects. Candidate genes were measured in the
suprachiasmatic nuclei (SCN), DMH, arcuate nucleus (ARC), prefrontal cortex (PFC)
and liver along with per2 expression. A daily pattern in glycemia and per2
expression in the SCN was emphasized by food reward. The expression of egr1 was
rhythmic in the SCN, DMH, PFC and liver and food reward weakened or diminished
this rhythm. The expression of npas2 was rhythmic in all tissues except for the
PFC where food reward induced rhythm in npas2 expression. Food reward induced
npas2 and egr1 expression in the DMH at the time of reward delivery. We suppose
that the DMH and PFC participate in the adjustment of the circadian system to
utilize food reward-induced input via egr1 and npas2 expression.
PMID- 29355378
TI - Methylprednisolone modulates intracranial pressure in the brain cellular edema
induced by water intoxication.
AB - Continuous monitoring of the intracranial pressure (ICP) detects impending
intracranial hypertension resulting from the impaired intracranial volume
homeostasis, when expanding volume generates pressure increase. In this study,
cellular brain edema (CE) was induced in rats by water intoxication (WI).
Methylprednisolone (MP) was administered intraperitoneally (i.p.) before the
start of CE induction, during the induction and after the induction. ICP was
monitored for 60 min within 20 h after the completion of the CE induction by
fibreoptic pressure transmitter. In rats with induced CE, ICP was increased
(Mean+/-SEM: 14.25+/-2.12) as well as in rats with MP administration before the
start of CE induction (10.55+/-1.27). In control rats without CE induction
(4.62+/-0.24) as well as in rats with MP applied during CE induction (5.52+/
1.32) and in rats with MP applied after the end of CE induction (6.23+/-0.73) ICP
was normal. In the last two groups of rats, though the CE was induced,
intracranial volume homeostasis was not impaired, intracranial volume as well as
ICP were not increased. It is possible to conclude that methylprednisolone
significantly influenced intracranial homeostasis and thus also the ICP values in
the model of cellular brain edema.
PMID- 29355379
TI - Fecal calprotectin levels correlate with main domains of the autism diagnostic
interview-revised (ADI-R) in a sample of individuals with autism spectrum
disorders from Slovakia.
AB - Autism spectrum disorders (ASD) are neurodevelopmental disorders characterized by
impaired social interaction and communication, as well as repetitive behavior and
restricted interests. There is convincing evidence that the intestinal
inflammation is involved in etiology of ASD. Increased levels of inflammatory
markers were shown to be associated with more aberrant behaviors and
communication of subjects with ASD. Calprotectin in the feces is produced by
activated neutrophils and epithelial cells of the gut mucosa, and its levels
reflect local inflammation of the gastrointestinal tract. Concentration of fecal
calprotectin was determined by ELISA method in 87 individuals with ASD and 51
controls, of that 29 siblings of children with ASD and 22 non-related controls.
In non-relatives significantly lower values of fecal calprotectin were observed
than in both subjects with ASD and their siblings. In the group with ASD
significant correlations of fecal calprotectin with all domains of the ADI-R
diagnostic tool were found: qualitative abnormalities in reciprocal social
interaction and communication, restrictive and repetitive patterns of behavior.
Results suggest that low grade intestinal inflammation may be one of factors
implicated in the pathophysiology of ASD.
PMID- 29355380
TI - Electrocardiographic outcome of resynchronization therapy.
AB - Cardiac resynchronization therapy (CRT) has proven efficacious in reducing or
even eliminating cardiac dyssynchrony and thus improving heart failure symptoms.
However, quantification of mechanical dyssynchrony is still difficult and
identification of CRT candidates is currently based just on the morphology and
width of the QRS complex. As standard 12-lead ECG brings only limited information
about the pattern of ventricular activation, we aimed to study changes produced
by different pacing modes on the body surface potential maps (BSPM). Total of 12
CRT recipients with symptomatic heart failure (NYHA II-IV), sinus rhythm and QRS
width >/=120 ms and 12 healthy controls were studied. Mapping system Biosemi (123
unipolar electrodes) was used for BSPM acquisition. Maximum QRS duration, longest
and shortest activation times (ATmax and ATmin) and dispersion of QT interval
(QTd) were measured and/or calculated during spontaneous rhythm, single-site
right- and left-ventricular pacing and biventricular pacing with ECHO-optimized
AV delay. Moreover we studied the impact of CRT on the locations of the early and
late activated regions of the heart. The average values during the spontaneous
rhythm in the group of patients with dyssynchrony (QRS 140.5+/-10.6 ms, ATmax
128.1+/-10.1 ms, ATmin 31.8+/-6.7 ms and QTd 104.3+/-24.7 ms) significantly
differed from those measured in the control group (QRS 93.0+/-10.0 ms, ATmax
79.1+/-3.2 ms, ATmin 24.4+/-1.6 ms and QTd 43.6+/-10.7 ms). Right ventricular
pacing (RVP) improved significantly only ATmax [111.2+/-10.6 ms (p<0.05)] but no
other measured parameters. Left ventricular pacing (LVP) succeeded in improvement
of all parameters [QRS 105.1+/-8.0 ms (p<0.01), ATmax 103.7+/-7.1 ms (p<0.01),
ATmin 20.2+/-3.7 ms (p<0.01) and QTd 52.0+/-9.4 ms (p<0.01)]. Biventricular
pacing (BVP) showed also a beneficial effect in all parameters [QRS 121.3+/-8.9
ms (p<0.05), ATmax 114.3+/-8.2 ms (p<0.05), ATmin 22.0+/-4.1 ms (p<0.01) and QTd
49.8+/-10.0 ms (p<0.01)]. Our results proved beneficial outcome of LVP and BVP in
evaluated parameters (what seems to be important particularly in the case of
activation times) and revealed a complete return of activation times to normal
distribution when using these CRT modalities.
PMID- 29355381
TI - Detection of microembolic signals in the common carotid artery using Doppler
sonography in the porcine model of acute heart failure treated by veno-arterial
extracorporeal membrane oxygenation.
AB - Veno-arterial extracorporeal membrane oxygenation (VA-ECMO) is a method used for
the treatment most severe cases of decompensated heart failure. The purpose of
this study was to evaluate the risk of the formation of microembolisms during VA
ECMO-based therapy. Heart failure was induced with simultaneous detection of
microembolisms and the measurement of blood flow rate in the common carotid
artery (CCA) without VA-ECMO (0 l/min) and at the VA-ECMO blood flow rate of 1,
2, 3 and 4 l/min. If embolisms for VA-ECMO 0 l/min and the individual regimes for
VA-ECMO 1, 2, 3, 4 l/min are compared, a higher VA-ECMO flow rate is accompanied
by a higher number of microembolisms. The final microembolism value at 16 min was
for the VA-ECMO flow rate of 0 l/min 0.0 (0, 1), VA-ECMO l/min 7.5 (4, 19), VA
ECMO 2 l/min 12.5 (4, 26), VA-ECMO 3 l/min, 21.0 (18, 57) and VA-ECMO 4 l/min,
27.5 (21, 64). Such a comparison is statistically significant if VA-ECMO 0 vs. 4
l/min p<0.0001, 0 vs. 3 l/min p<0.01 and 1 vs. 4 l/min p<0.01 are compared. The
results confirm that high VA-ECMO flow rates pose a risk with regards to the
formation of a significantly higher number of microemboli in the blood
circulation and that an increase in blood flow rates in the CCA corresponds to
changes in the VA-ECMO flow rates.
PMID- 29355382
TI - Effect of perivascular adipose tissue on arterial adrenergic contractions in
normotensive and hypertensive rats with high fructose intake.
AB - The aim of this study was to investigate the effect of high fructose intake
associated with moderate increase in adiposity on rat arterial adrenergic
responses and their modulation by perivascular adipose tissue (PVAT). After eight
week-lasting substitution of drinking water with 10 % fructose solution in adult
normotensive Wistar-Kyoto rats (WKY) and spontaneously hypertensive rats (SHR),
their systolic blood pressure, plasma triglycerides, and relative liver weight
were elevated when compared to their respective control groups. Moreover, in SHR,
body weight and relative heart weight were increased after treatment with
fructose. In superior mesenteric arteries, PVAT exerted inhibitory influence on
adrenergic contractile responses and this effect was markedly stronger in control
WKY than in SHR. In fructose-administered WKY, arterial adrenergic contractions
were substantially reduced in comparison with the control group; this was caused
mainly by enhancement of anticontractile action of PVAT. The diminution of the
mesenteric arterial contractions was not observed after fructose treatment in
SHR. We conclude that the increase in body adiposity due to fructose overfeeding
in rats might have prehypertensive effect. However, in WKY it might cause PVAT
dependent and independent reduction in arterial contractile responses to
adrenergic stimuli, which could attenuate the pathological elevation in vascular
tone.
PMID- 29355383
TI - Changes of cortical perfusion in the early phase of subarachnoid bleeding in a
rat model and the role of intracranial hypertension.
AB - Brain perfusion is reduced early after subarachnoid hemorrhage (SAH) due to
intracranial hypertension and early vasospasm. The contribution of these two
mechanisms is unknown. By performing a prophylactic decompressive craniectomy
(DC) in a rat model of SAH we aimed to study brain perfusion after the component
of intracranial hypertension has been eliminated. We used 2x2 factorial design,
where rats received either decompressive craniectomy or sham operation followed
by injection of 250 microl of blood or normal saline into prechiasmatic cistern.
The cortical perfusion has been continually measured by laser speckle-contrast
analysis for 30 min. Injection of blood caused a sudden increase of intracranial
pressure (ICP) and drop of cerebral perfusion, which returned to baseline within
6 min. DC effectively prevented the rise of ICP, but brain perfusion after SAH
was significantly lower and took longer to normalize compared to non-DC animals
due to increased cerebral vascular resistance, which lasted throughout 30 min
experimental period. Our findings suggest that intracranial hypertension plays
dominant role in the very early hypoperfusion after SAH whilst the role of early
vasospasm is only minor. Prophylactic DC effectively maintained cerebral
perfusion pressure, but worsened cerebral perfusion by increased vascular
resistance.
PMID- 29355384
TI - Non-invasive assessment of vascular system function and damage induced by
anthracycline treatment in the pediatric cancer survivors.
AB - Anthracyclines represent one of the important classes of anti-cancer drugs;
however, their major disadvantage is their profound cardiovascular toxicity. This
study aimed to evaluate influence of anthracyclines on cardiovascular stiffness
parameters estimated from pulse wave (PW). PW was measured in 59 cancer survivors
treated with anthracyclines in childhood and in 248 healthy age-matched controls.
Both patients and controls were divided into three age groups (13 - 15, 16 - 18
and 19 - 24 years). Central PW augmentation index (C-AI75) and augmentation
pressure (C-AP75), both normalized to heart rate 75 bpm, were calculated as
parameters of arterial wall stiffness. Central Buckberg sub-endocardial viability
ratio (SEVR) was calculated as a parameter of diastolic function. Patients and
controls were compared in each age group. C-AI75 and C-AP75 were significantly
increased in patients in age groups 16 - 18 and 19 - 24 years. SEVR was decreased
in patients in the oldest age group. Our results suggest that although toxic
influence of anthracyclines to arterial wall and heart are developing during
childhood and puberty, they can be detected rather in the adulthood. These
changes are yet subclinical; however, their presence indicates potentially
increased cardiovascular risk in childhood cancer survivors treated with
anthracyclines during childhood.
PMID- 29355386
TI - Effect of essential oils on enzymatic activities in the intestinal apparatus and
growth ability of laboratory mice.
AB - The influence of essential oils (EOs) from medicinal and aromatic plants from
sage (SA), cinnamon (CN), thyme (TH) and oregano (OR) on the amylolytic,
proteolytic and cellulolytic activities in chyme of the duodenum (DU), the small
(SI) and large intestine (LI), the caecum (CE) and the rectum (RE) as well as on
the growth ability of laboratory ICR outbred mice were compared in four feeding
trials. The negative control was present in the each trial. EOs were mixed into a
feed mixture (crude protein (CP) 170.0, fibre 115.0, fat 27.0, lysine 7.0,
methionine and cysteine 6.7, Ca 9.0, P 6.0 g.kg(-1) dry matter (DM), metabolic
energy (ME) 10 MJ.kg(-1) DM) of experimental group as follows: 1) 6 groups (n=36,
age 63 days, period 14 days) SA, CN, TH, OR, the blend of SA with OR, the dosages
of EOs 0.42 except OR 0.21 ml.100 g(-1) feed, 2) 2 groups (n=12, age 28 days,
period 30 days) blend of SA 0.42 with OR 0.21 ml.100 g(-1) feed, 3) 3 groups
(n=18, age 28 days, period 58 days) CN and TH, both 0.5 ml.100 g(-1) feed, 4) 2
groups (n=12, age 28 days, period 8 days) the blend of CN with TH 0.42 ml.100 g(
1) feed. The peroral intake of blend of EOs from OR with SA increased the weight
gains by 25 %. Additionally, it stimulated the activities of digestive enzymes in
the chyme of intestinal apparatus of laboratory mice in the experimental group
compared to control as follows: amylolytic by 4,138 micromol.s(-1).g(-1) and
proteolytic by 282.2 mg azoalbumin.min(-1).g(-1) in SI (p<0.01), cellulolytic by
23.58 in LI and by 34.87 mmol glucose.min(-1).g(-1) in CE (p<0.01).
PMID- 29355385
TI - Nitric oxide elevation in polytrauma is driven by oxygen radicals.
AB - A common problem in management of polytrauma - a simultaneous injury to more than
one organ or organ system, at least one of them lethal without intervention - is
a discrepancy between a relatively good initial state and a serious subsequent
development. Since nitric oxide (NO) is produced in high quantities during tissue
injury, we assumed that serum levels of NO (and its oxidation products, NOx)
might serve as a prognostic marker of polytrauma severity. However, we found
recently that NOx was increased in polytrauma, but not in the most severe cases.
The present study was undertaken to test the hypothesis that serum NOx is reduced
in severe polytrauma by concomitant overproduction of reactive oxygen species
(ROS). Polytrauma was induced in rats under anesthesia by bilateral fracture of
femurs and tibiae plus incision of the right liver lobe through laparotomy. Serum
NOx was measured by chemiluminescence after hot acidic reduction. The role of ROS
was assessed by treatment with an antioxidant, N-acetyl-L-cysteine (NAC).
Experimental polytrauma elevated NOx from 11.0+/-0.7 to 23.8+/-4.5 ppb. This was
completely prevented by NAC treatment (9.1+/-2.2 ppb). Serum NOx is elevated in
severe polytrauma, and this is not reduced by ROS. On the contrary, ROS are
necessary for the NOx elevation, probably because ROS produced by inflammatory
cells activated by the polytrauma induce massive NO production.
PMID- 29355387
TI - Gender-dependent expression of leading and passenger strand of miR-21 and miR-16
in human colorectal cancer and adjacent colonic tissues.
AB - miRNAs are small regulatory RNA molecules involved in posttranscriptional gene
silencing. Their biosynthesis results in the formation of duplex consisting of a
leading and a passenger strand of mature miRNA. The leading strand exhibits the
main activity but recent findings indicate a certain role of the passenger strand
as well. Deregulated levels of miRNA were found in many types of cancers
including colorectal cancer. miR-21 and miR-16 were indicated as possible markers
of colorectal cancer, however, small attention to gender differences in their
expression was paid so far. Therefore, the aim of our study was to investigate
the expression of miR-21-5p, miR-21-3p, miR-16-5p and miR-16-3p in human
colorectal cancer tissue and compare it to the adjacent tissues taken during
surgery in men and women separately. Our results showed an up-regulation of all
measured miRNAs in tumor tissue compared to adjacent tissues. As expected, tumors
and adjacent tissues exhibited a significantly higher expression of leading
miRNAs compared to passenger strand of miR-21 and miR-16. The expression of
leading and passenger strand of miR-21 and miR-16 positively correlated
exhibiting the highest correlation coefficient in the distal tissue. The
expression pattern showed gender-dependent differences, with higher levels of
miRNA in men than in women. Our findings indicate a gender-related expression
pattern of miRNA, which should be considered as an important factor in generating
new prognostic or diagnostic biomarkers.
PMID- 29355388
TI - Muscle structure and gene expression in pectoralis major muscle in response to
deep pectoral myopathy induction in fast- and slow-growing commercial broilers.
AB - 1. The objective of this study was to determine muscle structure and gene
expression in pectoralis major (p. major) muscle of broilers in response to deep
pectoral myopathy (DPM) induction. 2. A total of 160 chickens from slow- and fast
growing broilers were raised under same conditions. Half of the broilers from
each strain were encouraged to wing flap when they reached 2800 g body weight.
Pectoralis minor (p. minor) muscle of the broilers was inspected for the
occurrence of DPM and p. major samples were collected from broilers with or
without DPM. The muscle fibre area and number, capillary number and the
signalling pathways of vascular development (vascular endothelial growth factor
A, VEGFA) and muscle contraction regulation (actin alpha 1, ACTA1; myosin light
chain kinase 2, MYLK2 and ATPase Ca+2 transporting gene 1, ATP2A1) were studied
in p. major muscle. 3. DPM induction increased fibre area of p. major muscle with
a greater rate in the slow-growing strain compared with fast-growing line.
Although the capillary number was higher in slow-growing compared with fast
growing broilers, in the case of DPM induction, the number of capillaries was
similar between strains. 4. Expression of VEGFA, MYLK2 and ATP2A1 was greater in
slow- than in fast-growing broilers. DPM induction increased expression of ACTA1,
VEGFA and ATP2A1 in p. major muscle of broilers from both strains; however, MYLK2
expression was downregulated. 5. Changes in capillary density and expression of
VEGFA found in the p. major muscle of broilers with DPM suggest increased blood
flow to increase oxygen availability. The upregulation of ATP2A1 by DPM induction
could be attributable to alterations in calcium ion transportation from the
cytoplasm into the sarcoplasmic reticulum. 6. The results are evidence of changes
in muscle structure and gene expression pathways in p. major muscle of broilers
with DPM.
PMID- 29355389
TI - Attentional dysfunction and recovery in concussion: effects on the P300m and
contingent magnetic variation.
AB - PRIMARY OBJECTIVE: To examine the effect of concussion on indices of attention
using magnetoencephalography. METHODS AND PROCEDURES: Thirteen patients were
recruited from the emergency department and scanned within 3-6 days of injury.
Five returned for follow-up scans one and three months post-injury. Thirteen
healthy controls also completed testing. During MEG acquisition, participants
performed the Attention Network Test (ANT). Cognitive evoked responses to this
task include a cue-evoked P300m, a contingent magnetic variation (CMV) and a
target-evoked P300m. The Rivermead Postconcussion Symptom Questionnaire and Sport
Concussion Assessment Tool (SCAT3) were administered in all sessions. RESULTS:
Patients suffering from concussion had slower response times and benefitted more
from spatial cues than did controls. Global activation for all three evoked
responses was lower for patients than controls. In a small sample of patients who
returned for follow-up, the CMV and target P300m improved with recovery.
CONCLUSIONS: MEG-evoked responses to the ANT reveal neurophysiological evidence
of attentional dysfunction within days of injury. A pattern of improvement was
also observed over the course of three months for the P300m, while behavioural
performance did not change significantly. Further development of this method may
yield a useful adjunct to neurological examination for concussion diagnosis and
monitoring.
PMID- 29355390
TI - The International Communication Project: Raising global awareness of
communication as a human right.
AB - Communication as a human right is embedded within Article 19 of the Universal
Declaration of Human Rights; however, there is a need to raise global awareness
of the communication needs of those with communication disorders. In 2014, the
six national speech-language and audiology professional bodies that comprise the
Mutual Recognition Agreement (MRA) launched the International Communication
Project (ICP) to help raise awareness of communication disorders around the
world. Since its inception, the project has engaged close to 50 organisations
from diverse regions, and has undertaken a number of initiatives, including
development of the Universal Declaration of Communication Rights. A consultancy
report was commissioned to inform ICP efforts to influence international policy
bodies. As a result, the current focus of the ICP is to identify opportunities to
influence the policies of organisations such as the World Health Organization,
the United Nations and World Bank to more explicitly acknowledge and address
communication as a human right. This commentary paper describes the work of the
ICP to date, with an emphasis on the place of communication disorders in current
international policy and potential pathways for advocacy.
PMID- 29355391
TI - Updated Molecular Testing Guideline for the Selection of Lung Cancer Patients for
Treatment With Targeted Tyrosine Kinase Inhibitors: Guideline From the College of
American Pathologists, the International Association for the Study of Lung
Cancer, and the Association for Molecular Pathology.
AB - CONTEXT: - In 2013, an evidence-based guideline was published by the College of
American Pathologists, the International Association for the Study of Lung
Cancer, and the Association for Molecular Pathology to set standards for the
molecular analysis of lung cancers to guide treatment decisions with targeted
inhibitors. New evidence has prompted an evaluation of additional laboratory
technologies, targetable genes, patient populations, and tumor types for testing.
OBJECTIVE: - To systematically review and update the 2013 guideline to affirm its
validity; to assess the evidence of new genetic discoveries, technologies, and
therapies; and to issue an evidence-based update. DESIGN: - The College of
American Pathologists, the International Association for the Study of Lung
Cancer, and the Association for Molecular Pathology convened an expert panel to
develop an evidence-based guideline to help define the key questions and
literature search terms, review abstracts and full articles, and draft
recommendations. RESULTS: - Eighteen new recommendations were drafted. The panel
also updated 3 recommendations from the 2013 guideline. CONCLUSIONS: - The 2013
guideline was largely reaffirmed with updated recommendations to allow testing of
cytology samples, require improved assay sensitivity, and recommend against the
use of immunohistochemistry for EGFR testing. Key new recommendations include
ROS1 testing for all adenocarcinoma patients; the inclusion of additional genes (
ERBB2, MET, BRAF, KRAS, and RET) for laboratories that perform next-generation
sequencing panels; immunohistochemistry as an alternative to fluorescence in situ
hybridization for ALK and/or ROS1 testing; use of 5% sensitivity assays for EGFR
T790M mutations in patients with secondary resistance to EGFR inhibitors; and the
use of cell-free DNA to "rule in" targetable mutations when tissue is limited or
hard to obtain.
PMID- 29355392
TI - Factor structure of the Rivermead Post-Concussion Symptoms Questionnaire over the
first year following mild traumatic brain injury.
AB - BACKGROUND: We examined the factor structure of the Rivermead Post-Concussion
Symptom Questionnaire (RPQ), the most commonly used measure of post-concussive
symptoms, over the first year post-injury. METHOD: Factor analysis (orthogonal
rotation) was used to examine the RPQ items that form coherent subsets/factors
within 2-weeks, and 1, 6, and 12-months post-mTBI in 527 adults (age >16 years).
RESULTS: At baseline, three factors accounted for 63.95% of the variance; factor
1 reflected cognitive and physiological disturbances; factor 2 included items
reflecting mood, sleep, and nausea/vomiting; and factor 3 included
visual/auditory disturbances, dizziness, and headaches. At 1 month, three factors
were again extracted (63.26% variance). Factor 1 reflected cognitive and mood
symptoms, factor 2 reflected mood with headache, nausea, and dizziness; and
factor 3 reflected visual disturbances. Two factors were obtained at 6 and 12
months (63.7% and 63.38% of variance): factor 1 included mood/cognitive items,
restlessness, sleep disturbance, and noise sensitivity; whereas, factor 2
included physiological symptoms. CONCLUSIONS: The factor structure of the RPQ
changes over time; however, it was relatively stable from 6 to 12-months post
injury. Subject to further evaluation, assessments conducted from 6 months could
consider using these two factors as subscales. Changing the factor structure of
RPQ before 6 months suggests that timing of assessment should be considered in
applying these factors.
PMID- 29355394
TI - The Investigation of the Cox-2 Selective Inhibitor Parecoxib Effects in Spinal
Cord Injury in Rat.
AB - AIM: Today, spinal cord injury (SCI) can be rehabilitated but cannot be treated
adequately. This experimental study was conducted to investigate possible
beneficial effects of methylprednisolone and parecoxib in treatment of SCI.
MATERIALS AND METHODS: Forty-eight male Wistar albino rats were assigned into
CONTROL, acute (MP-A, PX-A, and PXMP-A), and subacute (MP-S, PX-S, and PXMP-S)
stage groups. Then, to induce SCI, a temporary aneurysm clip was applied to the
spinal cord following T7-8 laminectomy, except in the CONTROL group. Four hours
later parecoxib, methylprednisolone, or their combination was administered to
rats intraperitoneally except CONTROL, SHAM-A, and SHAM-S groups. Rats in the
acute stage group were sacrificed 72 h later, and whereas rats in the subacute
stage were sacrificed 7 days later for histopathological and biochemical
investigation and for gene-expression analyses. RESULTS: Parecoxib and
methylprednisolone and their combination could not improve histopathological
grades in any stage. They also could not decrease malondialdehyde or caspase-3,
myeloperoxidase levels in any stage. Parecoxib and methylprednisolone could
decrease the TNF-alpha gene expression in subacute stage. Methylprednisolone
could increase TGF-1beta gene-expression level in acute stage. CONCLUSION:
Neither of the experimental drugs, either alone or in combination, did not show
any beneficial effects in SCI model in rats.
PMID- 29355393
TI - Burden and Management of Noncommunicable Diseases After Earthquakes and Tsunamis.
AB - This integrative review examines extant literature assessing the burden and
management of noncommunicable diseases 6 months or more after earthquakes and
tsunamis. We conducted an integrative review to identify and characterize the
strength of published studies about noncommunicable disease-specific outcomes and
interventions at least 6 months after an earthquake and/or tsunami. We included
disasters that occurred from 2004 to 2016. We focused primarily on the World
Health Organization noncommunicable disease designations to define chronic
disease, but we also included chronic renal disease, risk factors for
noncommunicable diseases, and other chronic diseases or symptoms. After removing
duplicates, our search yielded 6,188 articles. Twenty-five articles met our
inclusion criteria, some discussing multiple noncommunicable diseases. Results
demonstrate that existing medical conditions may worsen and subsequently improve,
new diseases may develop, and risk factors, such as weight and cholesterol
levels, may increase for several years after an earthquake and/or tsunami. We
make 3 recommendations for practitioners and researchers: (1) plan for
noncommunicable disease management further into the recovery period of disaster;
(2) increase research on the burden of noncommunicable diseases, the treatment
modalities employed, resulting population-level outcomes in the postdisaster
setting, and existing models to improve stakeholder coordination and action
regarding noncommunicable diseases after disasters; and (3) coordinate with
preexisting provision networks, especially primary care.
PMID- 29355395
TI - Common structural and pharmacophoric features of mPGES-1 and LTC4S.
AB - Prostaglandins and leukotrienes are produced in the COX and 5-LOX pathways of the
inflammatory process. The current drugs target the upstream enzymes of either of
the two pathways, leading to side effects. We have attempted to target the
downstream enzymes simultaneously. Two compounds 2 and 3 (10 MUM), identified by
virtual screening, inhibited mPGES-1 activity by 53.4 +/- 4.0 and 53.9 +/- 8.1%,
respectively. Structural and pharmacophore studies revealed a set of common
residues between LTC4S and mPGES-1 as well as four-point pharmacophore mapping
onto the inhibitors of both these enzymes as well as 2 and 3. These structural
and pharmacophoric features may be exploited for ligand- and structure-based
screening of inhibitors and designing of dual inhibitors.
PMID- 29355396
TI - Male perception about the inconveniences associated with monthly bleeding for
their partner - an international survey.
AB - OBJECTIVE: To assess men's perceptions about monthly bleeding and associated
inconveniences for their partner, as well as men's attitudes regarding the
desired menstruation frequency for their partner and knowledge about hormonal
contraceptives. METHODS: A 15 min quantitative online survey was conducted among
5044 men aged 18-45 years, who had been in a relationship for more than 6 months,
across 13 European countries (Austria, Belgium, Czech Republic, France, Germany,
Hungary, Italy, Latvia, The Netherlands, Poland, Portugal, Spain and
Switzerland). Responses were compared to those obtained in a similar study among
European women. RESULTS: Most men perceived that their partner considered her
menstrual flow as moderate, lasting an average of 5.2 d, slightly longer than
previously reported by women. Almost all men reported that their partners
experience menstruation-related symptoms. However, prevalence of mood-related
symptoms was perceived to be more frequent and physical symptoms less frequent,
relative to women's self-reported symptoms. Given the option, 71% of men would
choose longer intervals between their partner's periods. Maintaining the couple's
sex life, social life and relationship quality were key factors cited in their
preference. Overall, 42% of respondents stated that women taking hormonal
contraceptives needed to have monthly periods. CONCLUSIONS: Men's perception
regarding their partner's periods was generally consistent with that previously
reported by women. Most men would prefer less frequent bleeding episodes for
their partners. Although, the present data suggest that couples are discussing
periods, knowledge about contraception could be improved. Health care
professionals should intensify counselling to better inform both partners about
their contraceptive options.
PMID- 29355397
TI - Validation of S100B use in a cohort of Spanish patients with mild traumatic brain
injury: a multicentre study.
AB - INTRODUCTION: The aim of this study was to validate the S100B protein as a
diagnostic tool for ruling out the presence of intracranial lesion (IL) after
mild traumatic brain injury (mTBI). Subjects with a Glasgow Coma Scale (GCS)
score of 15 and at least one neurological symptom post-trauma were selected from
a large Spanish cohort. METHODS: A number of 260 patients with mTBI were
enrolled. Blood samples were extracted within 6 h and CT scan performed within 24
h post-injury. Blood samples were also drawn from 18 healthy subjects. RESULTS:
CT scan revealed the presence of IL in 22 patients (8.5%). Patients with mTBI had
higher S100B serum levels (p = 0.008) than the healthy subjects (p < 0.001). The
ROC analysis of S100B discriminated between patients with and without IL (AUC:
0.671; 95%CI: 0.574-0.769; p = 0.008). The multivariate analysis identified male
gender (OR: 5.39; 95%CI: 1.45-20.10; p = 0.012), age > 65 (OR: 2.97; 95%CI: 1.04
8.44; p = 0.041) and S100B level >0.10 ug/L (OR: 7.93; 95%CI: 1.03-60.76; p =
0.046) as independent risk factors for IL in patients with mTBI. CONCLUSION:
Measurement of S100B within 6 h of mTBI accurately predicts risk of IL in
patients with a GCS score of 15 and at least one neurological symptom.
PMID- 29355398
TI - Using Twitter to access the human right of communication for people who use
Augmentative and Alternative Communication (AAC).
AB - PURPOSE: Articles 19, 26 and 27 of the Universal Declaration of Human Rights and
Articles 4, 9 and 21 of the Convention on the Rights of Persons with Disabilities
promote the human rights of communication, education, use of technology and
access to information. Social media is an important form of online communication,
and Twitter increases users' visibility, influence and reach online. The aim of
this sociotechnical research was to determine the impact of teaching three people
who use Augmentative and Alternative Communication (AAC) to use Twitter. METHOD:
Three participants were trained in ways of using Twitter strategically. Data
collected from participants' Twitter profiles were examined to determine the
impact of training on Twitter follower count, frequency of tweeting, tweet
content and the development of social networks. Data were also examined using (1)
KH Coder software analysis and visualisation of co-occurring networks in the text
data, based on word frequencies; and (2) Gephi software analysis to show the
Twitter network for each participant. RESULT: Two participants showed an
improvement in Twitter skills and strategies. CONCLUSIONS: Twitter can be used to
improve social connectedness of people who use AAC, and should not be overlooked
in relation to communication rights.
PMID- 29355399
TI - Helmet use in preventing acute concussive symptoms in recreational vehicle
related head trauma.
AB - OBJECTIVES: Helmets use has proved effective in reducing head trauma (HT)
severity in children riding non-motorised recreational vehicles. Scant data are
available on their role in reducing concussive symptoms in children with HT while
riding non-motorised recreational vehicles such as bicycles, push scooters and
skateboards (BSS). We aimed to investigate whether helmet use is associated with
a reduction in acute concussive symptoms in children with BSS-related-HT.
METHODS: Prospective study of children <18 years who presented with a BSS related
HT between April 2011 and January 2014 at a tertiary Paediatric Emergency
Department (ED). RESULTS: We included 190 patients. Median age 9.4 years (IQR 4.8
13.8). 66% were riding a bicycle, 23% a push scooter, and 11% a skateboard. 62%
were wearing a helmet and 62% had at least one concussive symptom. Multivariate
logistic regression analysis adjusting for age, gender, and type of vehicle
showed that patients without a helmet presented more likely with headache
(adjusted odds-ratio (aOR) 2.54, 95% CI 1.27-5.06), vomiting (aOR 2.16, 95% CI
1.00-4.66), abnormal behaviour (aOR 2.34, 95% CI 1.08-5.06), or the presence of
at least one concussive symptom (aOR 2.39, 95% CI 1.20-4.80). CONCLUSIONS: In
children presenting to the ED following a wheeled BSS-related HT helmet use was
associated with less acute concussive symptoms. ABBREVIATIONS: aOR, adjusted odds
ratio; APHIRST, Australasian Paediatric Head Injury Rules Study; BSS, bicycles,
push scooters and skateboards; CI, confidence interval; CT, computed tomography;
ED, emergency department; HT, head trauma; IQR, interquartile range; OR, odds
ratio; RCH, Royal Children's Hospital; RV, recreational vehicle.
PMID- 29355400
TI - Clinical efficacy of ribociclib as a first-line therapy for HR-positive, advanced
breast cancer.
AB - INTRODUCTION: Breast cancer (BC) remains the most frequently diagnosed cancer and
the most common cause of cancer death among women of all races worldwide. Over
80% of BC cases are hormone receptor (HR)-positive, comprised of luminal A and
luminal B per molecular subtypes, imposing an urgent need to fully understand the
mechanisms behind progression. Ribociclib is a selective cycline-dependent kinase
4 and 6 inhibitor. A phase 1 and a phase 3 trial have established a definitive
role of ribociclib as frontline in the treatment of endocrine-sensitive advanced
BC. Areas covered: Herein, the authors provide an overview of the data on
ribociclib covering all aspects of the drug from its pharmacokinetics to efficacy
and safety. The authors also provide their perspectives for the future. Expert
opinion: Ribociclib is offering an opportunity to explore a new compound at the
crossroads of different molecular activity and cell targets, which focus on
endocrine-resistance reversal in multiple settings including early BC. Moreover,
its activity against different subtypes of BC is being studied as is its immune
modulating effect. One cautionary note is that, in a market of concomitant
similar competitors, a financial discussion will be mandatory.
PMID- 29355401
TI - Lesions Without Borders: Scrotal Lesions That Involve Both the Intratesticular
and Extratesticular Regions.
AB - OBJECTIVE: Differentiation of scrotal lesions is often dictated by whether they
are intraor extratesticular. However, these regions are not entirely isolated,
and disease processes can span both spaces. We review a variety of lesions that
can involve both regions, describe the relevant anatomy, and illustrate their
imaging appearances. CONCLUSION: Identification of involvement of both intra- and
extratesticular regions by a single process can narrow the differential
considerations and help arrive at the correct diagnosis.
PMID- 29355402
TI - Section Editor's Notebook: Breast Cancer Screening-What Now, and What Next?
PMID- 29355403
TI - Memorial-A. Everette James, Jr.
PMID- 29355404
TI - Medicolegal-Malpractice and Ethical Issues in RadiologyFaster Radiologic
Interpretation, Errors, and Malpractice: An Unavoidable Triad?
PMID- 29355405
TI - Additional Roles of Tomosynthesis in Breast Imaging-Guided Biopsies.
PMID- 29355406
TI - AJR Reviewers: Thank You From the Editors and Staff.
PMID- 29355407
TI - Introduction to ICNARA 3.
PMID- 29355408
TI - Getting to Know "The Known Unknowns": Heterogeneity in the Oral Microbiome.
AB - Technological advances in DNA sequencing have provided unprecedented insights
into the composition of the oral microbiome in health and disease, and RNA
sequencing and metabolomics-related technologies are beginning to yield
information on the activities of these organisms. Importantly, progress in this
area has brought the scientific community closer to an understanding of what
constitutes a health-associated microbiome and is supporting the notion that the
microbiota in healthy sites assumes an active role in promoting health and
suppressing the acquisition, persistence, and activities of overt and
opportunistic pathogens. It is also becoming clear that a significant impediment
to developing a conclusive body of evidence that defines a healthy microbiome and
the mechanisms by which beneficial bacteria promote health is that an inherent
characteristic of the most abundant members of the oral flora, those that
potentially play the greatest roles in health and disease, is intraspecies
genomic diversity. In particular, individual isolates of abundant commensal and
pathogenic streptococci show tremendous variability in gene content, and this
variability manifests in tremendous phenotypic heterogeneity. Analysis of the
consequences of this diversity has been complicated by the exquisite sensitivity
these bacteria have evolved to environmental inputs, inducing rapid and
substantial fluctuations in behaviors, and often only within subpopulations of
the organisms. Thus, the conditions under which the oral microbiota is studied
can produce widely different results within and between species. Fortunately,
continually diminishing costs and ongoing refinements in sequencing and
metabolomics are making it practical to study the oral microbiome at a level that
will create a sufficiently robust understanding of the functions of individual
organisms and reveal the complex interrelationships of these microbes ("the known
unknowns") in a way that researchers will be able to engage in the rational
design of reliable and economical risk assessments and preventive therapies.
PMID- 29355409
TI - Changes in Caries Risk in a Practice-Based Randomized Controlled Trial.
AB - To demonstrate that Caries Management by Risk Assessment (CAMBRA) can be
successfully implemented in dental practice, 30 dentists were recruited to
perform a 2-y CAMBRA trial. Twenty-one dentists (18 private practices, 3
community clinics) participated in a randomized, controlled, parallel-arm, double
blind clinical trial with individual-level assignment of 460 participants to
standard of care (control) versus active CAMBRA treatment (intervention). Control
or active antimicrobial and remineralizing agents were dispensed at baseline and
6-, 12-, 18-, and 24-mo recall visits according to risk level and assigned
treatment arm. Primary outcome measure was dentist-determined caries risk level
at recall. Among initially high-risk participants, secondary outcomes were
recorded disease indicators. Generalized estimating equations were used to fit
log-linear models for each outcome while accounting for repeated measurements. At
24 mo, follow-up rates were 34.3% for high-risk participants (32.1% intervention,
37.1% control) and 44.2% for low-risk participants (38.7% intervention, 49.5%
control). Among 242 participants classified as high caries risk at baseline (137
intervention, 105 control), a lower percentage of participants remained at high
risk in the intervention group (statistically significant at all time points). At
24 mo, 25% in the intervention group and 54% in the control group remained at
high risk ( P = 0.003). Among 192 participants initially classified as low risk
(93 intervention, 99 control), most participants remained at low risk. At 24 mo,
89% in the intervention group and 71% in the control group were low caries risk (
P = 0.18). The percentage of initially high-risk participants with recorded
disease indicators decreased over time in both intervention and control groups,
being always lower for the intervention group (statistically significant at the
12- and 18-mo time point). In this practice-based clinical trial, a significantly
greater percentage of high-caries-risk participants were classified at a lower
risk level after CAMBRA preventive therapies were provided. Most participants
initially assessed at low caries risk stayed at low risk (ClinicalTrials.gov
NCT01176396).
PMID- 29355410
TI - In Sickness and in Health-What Does the Oral Microbiome Mean to Us? An Ecological
Perspective.
AB - The oral microbiome is natural and has a symbiotic relationship with the host by
delivering important benefits. In oral health, a dynamic balance is reached
between the host, the environment, and the microbiome. However, the frequent
intake of sugar and/or reductions in saliva flow results in extended periods of
low pH in the biofilm, which disrupts this symbiotic relationship. Such
conditions inhibit the growth of beneficial species and drive the selection of
bacteria with an acid-producing/acid-tolerating phenotype, thereby increasing the
risk of caries (dysbiosis). A more detailed understanding of the
interdependencies and interactions that exist among the resident microbiota in
dental biofilms, and an increased awareness of the relationship between the host
and the oral microbiome, is providing new insights and fresh opportunities to
promote symbiosis and prevent dysbiosis. These include modifying the oral
microbiome (e.g., with prebiotics and probiotics), manipulating the oral
environment to selectively favor the growth of beneficial species, and moderating
the growth and metabolism of the biofilm to reduce the likelihood of dysbiosis.
Evidence is provided to suggest that the regular provision of interventions that
deliver small but relevant benefits, consistently over a prolonged period, can
support the maintenance of a symbiotic oral microbiome.
PMID- 29355411
TI - Potential Uses of Arginine in Dentistry.
AB - Carious lesions develop in tooth surfaces where there is an imbalance of the
processes of acid and alkali production by supragingival biofilms. Since low pH
is the main driving factor in the development of carious lesions, most efforts to
identify an effective anticaries therapy have focused on targeting the acid
producing bacteria and their mechanisms of acid production. An expanding area of
oral microbiology has now been devoted to explore microbial metabolic activities
that help to neutralize biofilm pH and thus inhibit the caries process. Arginine
metabolism via the arginine deiminase pathway (ADS) produces alkali in the form
of ammonia that counteracts the effects of biofilm acidification from bacterial
glycolysis. ADS also functions as an adaptive strategy used by certain bacteria
to thrive in oral biofilms. Substantial evidence accumulated from laboratory and
clinical observations supports the hypotheses that measurements of arginine
metabolism via ADS may serve as an important caries risk assessment criterion and
that providing arginine regularly to supragingival biofilms can be an effective
therapy for caries intervention. This article reviews the potential of arginine
based therapies such as the use of arginine as prebiotic, ADS+ strains as
probiotics, and oral care formulations containing arginine for prevention and
management of dental caries.
PMID- 29355412
TI - Fluoride Use in Health Care Settings: Association with Children's Caries Risk.
AB - Expanded partnership with the medical community is an important strategy for
reducing dental caries disparities. The purpose of this study was to assess the
relationship between fluoride (F) "in office" (drops/tablets and/or varnish), as
prescribed or applied by a health care professional by age 1 y, and 1) caries
development and 2) presence of other caries risk factors or mediators (e.g.,
socioeconomic status). Child-primary caregiver (PCG) pairs ( N = 1,325) were
recruited in Indiana, Iowa, and North Carolina as part of a longitudinal cohort
study to validate a caries risk tool for primary health care settings. PCGs
completed a caries risk questionnaire, while children received caries
examinations per the criteria of the International Caries Detection and
Assessment System at ages 1, 2.5, and 4 y. Baseline responses regarding
children's history of F in office were tested for association with other caries
risk variables and caries experience at ages 2.5 and 4 y via generalized
estimating equation models applied to logistic regression. The sample was 48%
female, and many children (61%) were Medicaid enrolled. The prevalence of
cavitated caries lesions increased from 7% at age 2.5 y to 25% by age 4 y.
Children who received F in office were likely deemed at higher caries risk and
indeed were significantly ( P < 0.01) more likely to develop cavitated caries
lesions by ages 2.5 and 4 y, even after F application (odds ratios: 3.5 and 2.3,
respectively). Factors significantly associated with receiving F included the
following: child being Medicaid enrolled, not having an employed adult in the
household, child and PCG often consuming sugary drinks and snacks, and PCG having
recent caries experience. Increased F in office from a health care provider by
age 1 y was associated with known caries risk factors. Most (69%) children had
never been to the dentist, suggesting that risk factors could be alerting medical
providers and/or parents, thereby affecting in-office F recommendations.
Differences among states could also be related to state-specific F-varnish
reimbursement policies (ClinicalTrials.gov NCT01707797).
PMID- 29355413
TI - Treatment of Carious Lesions Using Self-Assembling Peptides.
AB - Modern approaches in caries treatment involve lesion management without tissue
removal. Regenerative medicine focuses on replacing damaged tissues with
biologically similar tissues. This article discusses the scientific evidence and
clinical results for self-assembling peptides in modern caries management. The
biomimetic remineralization promoted by self-assembling peptide P11-4 has been
proven in vitro as an effective therapy for initial caries. P11-4 was rationally
designed to promote formation of hydroxyapatite on its surface. The formulation
was optimized to ensure the ability of monomeric P11-4 to penetrate past the
subsurface lesions and assembly into a biomatrix within. Furthermore, P11-4 has
shown that it assembles into fibers within carious lesions, and promotes the
remineralization thereof. In a recent clinical study, the safety and efficacy of
P11-4 in treatment of initial caries were evaluated. The additional effect of the
application of P11-4 (Curodont Repair) was compared to the application of
fluoride varnish (Duraphat) alone in active occlusal initial caries lesions on
erupting permanent molars. In the 3- and 6-month recalls, the test group showed,
both in the laser fluorescence readings and in the clinical assessment of the
caries stage and activity, significantly superior lesion regression compared to
the control group. No adverse events, medical complications, or allergic
reactions related to the treatments were reported. Clinical applicability of
treatment was regarded as satisfactory. Patients were happy to receive
noninvasive caries treatments. In conclusion, biomimetic mineralization
facilitated by P11-4 in combination with fluoride may present a simple, safe, and
effective noninvasive treatment for early carious lesions.
PMID- 29355414
TI - The Caries Microbiome: Implications for Reversing Dysbiosis.
AB - The oral microbiome plays a critical role in maintaining oral health. Frequent
dietary carbohydrate intake can lead to dysbiosis of the microbial community from
overproduction of acid with selection for increases in acidogenic, acid-tolerant
bacteria. Knowledge of the caries-associated microbiome is key in planning
approaches to reverse the dysbiosis to achieve health. For risk assessment and
treatment studies, it would be valuable to establish whether microbial monitoring
requires assay of multiple species or whether selected key species would suffice.
Early investigations of the oral microbiota relied on culture-based methods to
determine the major bacteria in health and disease. Microbial monitoring using
gene probes facilitated study of larger populations. DNA probe methods confirmed
and expanded the importance of transmission of bacteria from mother to infant and
association of preselected species, including mutans streptococci and
lactobacilli with caries in larger populations. 16S ribosomal RNA (rRNA) probes
confirmed the wide diversity of species in oral and caries microbiomes. Open
ended techniques provide tools for discovery of new species, particularly when
strain/clone identification includes gene sequence data. Anaerobic culture
highlighted the caries association of Actinomyces and related species. Scardovia
wiggsiae, in the Actinomyces/Bifidobacterium family, and several Actinomyces
species have the cariogenic traits of acid production and acid tolerance. Next
generation sequencing combined with polymerase chain reaction methods revealed a
strong association with mutans streptococci in a high caries population with poor
oral hygiene and limited access to care. A population with a lower caries
experience generally had lower or no Streptococcus mutans detection but harbored
other acidogenic taxa in the microbiome. Study of the microbiome suggests a role
for the assay of selected putative cariogenic species in more aggressive
diseases. For many populations with caries progression, however, assay of
multiple species will likely be warranted to determine the caries profile of the
population and/or individuals under study.
PMID- 29355415
TI - Cariology Clinical Trials: What Are We-and What Should We Be-Looking At?
AB - Randomized control trial (RCT) methodology has compared interventions for the
prevention and management of dental caries since the late 1960s. Despite almost
50 years and evidence of significant wastage within the wider biomedical research
field, there has been little investigation into what works well and where
weaknesses lie. This paper aims to draw attention to areas for improvement within
cariology clinical trial methodology by summarizing systematic reviews on
interventions and outcomes, and using examples to illustrate some challenges with
intervention delivery fidelity, outcome analyses, and intervention co-production.
Trial design stage choices are critical to ensure that optimum information is
obtained when testing interventions. Intervention choice, outcome choice, and
analyses are particularly important, and cariology trials have specific issues
associated with them. A systematic search and review of cariology RCTs found 650
RCT reports. Social Network Analysis of interventions revealed a high degree of
separation between prevention and management trials, gaps in clinically important
comparisons, and a tendency for there to be comparisons within groups; e.g.,
comparison of interventions within the same, rather than different, levels of
invasiveness. Outcomes measured for the same trial reports show: a focus on
restoration performance and individual/population caries burden; the growing use
of carious lesion activity and economic-related outcomes; and sparse, although an
increase in the use of, patient-reported/patient-centered outcomes. Fidelity of
adherence to complex interventions can be challenging to measure but is important
in interpreting trial findings. Involving target populations in intervention
design, delivery, and relating it to the planned rollout, are opportunities to
ensure intervention relevance and improved uptake. Outcomes analyses should
consider the minimum clinically important differences and outcome relevance
measures for the target population. Factors underlying trialists' comparator and
outcome choices need to be identified, and there is a need to ensure that a
minimum dataset of outcomes allow for combination and comparisons of trial data
for systematic review.
PMID- 29355416
TI - Antimicrobial Activity of a Colloidal AgNP Suspension Demonstrated In Vitro
against Monoculture Biofilms: Toward a Novel Tooth Disinfectant for Treating
Dental Caries.
AB - A novel silver nanoparticle (AgNP) formulation was developed as a targeted
application for the disinfection of carious dentine. Silver nitrate (AgNO3) was
chemically reduced using sodium borohydrate (NaBH4) in the presence of sodium
dodecyl sulfate (SDS) to form micelle aggregate structures containing
monodisperse 6.7- to 9.2-nm stabilized AgNPs. AgNPs were characterized by
measurement of electrical conductivity and dynamic light scattering, scanning
electron microscopy, transmission electron microscopy, and inductively coupled
plasma mass spectrometry. Antimicrobial activity of AgNPs was tested against
planktonic cultures of representative gram-positive and gram-negative oral
bacteria using well diffusion assays on tryptic soy broth media and monoculture
biofilms grown with brain heart infusion +/- sucrose anaerobically at 37 degrees
C on microtiter plates. Biofilm mass was measured by crystal violet assay.
Effects were compared to silver diamine fluoride and chlorhexidine (negative
controls) and 70% isopropanol (positive control) exposed cultures. In the
presence of AgNPs, triplicate testing against Streptococcus gordonii DL1, C219,
G102, and ATCC10558 strains; Streptococcus mutans UA159; Streptococcus mitis I18;
and Enterococcus faecalis JH22 for planktonic bacteria, the minimum inhibitory
concentrations were as low as 7.6 ug mL-1 and the minimum bacteriocidal
concentrations as low as 19.2 ug mL-1 silver concentration. Microplate readings
detecting crystal violet light absorption at 590 nm showed statistically
significant differences between AgNP-exposed biofilms and where no antimicrobial
agents were used. The presence of sucrose did not influence the sensitivity of
any of the bacteria. By preventing in vitro biofilm formation for several
Streptococcus spp. and E. faecalis, this AgNP formulation demonstrates potential
for clinical application inhibiting biofilms.
PMID- 29355417
TI - Reminova and EAER: Keeping Enamel Whole through Caries Remineralization.
AB - This article aims to outline the early development of a King's College London
dental spinout company, Reminova, formed to commercialize a novel clinical method
of caries remineralization: electrically accelerated and enhanced
remineralization (EAER). This method is being developed to address the unmet
clinical need identified by modern caries management strategies to keep enamel
"whole" through remineralization of clinical caries as a form of nonoperative
caries treatment for initial-stage and moderate lesions. A progressive movement
within dentistry is shifting away from the restorative-only model, which, it is
suggested, has failed. The high prevalence of initial-stage caries across
populations provides a significant opportunity to prevent restorations and reduce
repeat restorations over a patient's lifetime. Reminova has set out to provide a
method to repair lesions without drilling, filling, pain, or injections. The
article outlines the rationale for and the chronological stages of the technology
and company development. It then outlines corroborative evidence to show that
EAER treatment can, in this preliminary in vitro investigation, remineralize
clinically significant caries throughout the depth of the lesion as measured by
Knoop microhardness and corroborated by scanning electron microscopy.
Furthermore, the presented data show that EAER-treated enamel is harder than the
healthy enamel measured nearby in each sample and is very similar in appearance
to healthy enamel from the subjective interpretation made possible by scanning
electron microscopy imagery. The data presented also show that this more
"complete" remineralization to a high hardness level has been achieved with 2
remineralizing agents via in vitro human tooth samples. The broad clinical
potential of this new treatment methodology seems to be very encouraging from
these results. Reminova will strive to continue its mission, to ensure that, in
the future, dental teams will not need to drill holes for the treatment of
initial-stage and moderate caries lesions.
PMID- 29355418
TI - Rebalancing the Caries Microbiome Dysbiosis: Targeted Treatment and Sugar
Alcohols.
AB - Dental caries is a disease that results from microbiome dysbiosis with the
involvement of multiple cariogenic species, including mutans streptococci (MS),
lactobacilli, Scardovia wiggsiae, and several Actinomyces species that have the
cariogenic traits of acid production and acid tolerance. Sugar consumption also
plays an important role interacting with microbiome dysbiosis, determining the
fate of caries development. In addition, the MS transmission that encompasses
multiple sources can have long-term impacts on the oral microbiome and caries
development in children. Intervention in MS transmission in early childhood may
promote effective long-term caries prevention. Anticaries regimens aimed against
the above mechanisms will be important for successful caries management. Xylitol
and erythritol may serve as good components of anticaries regimens as oral
microbiome modifiers, sugar substitutes, and agents to prevent MS transmission in
early childhood with both oral and systemic benefits. Further studies are needed
to elucidate the mechanism of the anticaries effects of xylitol and erythritol
with consideration of their impacts on the microbiome and bacterial virulence, in
addition to cariogenic bacteria levels as well as their benefits for overall
health. On the other hand, the anticaries agent C16G2, specifically targeting
Streptococcus mutans, the most common cariogenic bacterial species, has shown
good safety for short-term oral topical use and promising effects in reducing S.
mutans in vitro and in vivo with the promotion of oral commensal bacteria. Future
study on its anticaries effect will need to include its long-term impact on the
oral microbiome and effects on other important cariogenic bacteria.
PMID- 29355419
TI - Dentist-Perceived Barriers and Attractors to Cognitive-Behavioral Treatment
Provided by Mental Health Providers in Dental Practices.
AB - Over 1 in 5 dental patients report moderate to severe dental fear. Although the
efficacy of cognitive-behavioral treatment (CBT) for dental fear has been
examined in over 20 randomized controlled trials-with 2 meta-analyses finding
strong average effect sizes ( d > 1)-CBT has received almost no dissemination
beyond the specialty clinics that tested it. The challenge, then, is not how to
treat dental fear but how to disseminate and implement such an evidence-based
treatment in a way that recognizes the rewards and barriers in the US health care
system. This mixed-method study investigated the potential of disseminating CBT
through care from a mental health provider from within the dental home, a
practice known as evidence-based collaborative care (EBCC). Two preadoption
studies were conducted with practicing dentists drawn from a self-organized
Practice-Based Research Network in the New York City metropolitan area. The first
comprised 3 focus groups ( N = 17), and the second involved the administration of
a survey ( N = 46). Focus group participants agreed that CBT for dental fear is
worthy of consideration but identified several concerns regarding its appeal,
feasibility, and application in community dental practices. Survey participants
indicated endorsement of factors promoting the use of EBCC as a mechanism for CBT
dissemination, with no factors receiving less than 50% support. Taken together,
these findings indicate that EBCC may be a useful framework through which an
evidence-based treatment for dental fear treatment can be delivered.
PMID- 29355420
TI - The Anticaries Efficacy of a 1.5% Arginine and Fluoride Toothpaste.
AB - Dental caries remains a world-wide disease despite the global distribution of
fluoride. It has become apparent that the introduction of significant levels of
sugar (fermentable carbohydrate) into the diet has resulted in a change in the
biofilm, encouraging acid formation. Further, there has been a shift in the
microbiota in the biofilm to a flora that produces acid, and thrives and
reproduces in an acidic environment. The management of caries activity under
these conditions has focused on brushing to remove the biofilm with fluoride
pastes, and high-dose fluoride treatments. Kleinberg, in the 1970s, identified an
arginine-containing compound in saliva that several oral biofilm bacterial
species metabolize to produce base. Multiple in situ and in vivo studies have
been conducted, and have discussed the ability of multiple bacteria to increase
the resting pH of the biofilm and even reduce the decrease in pH when the biofilm
is challenged with glucose. This shift in resting pH can shift the level of
caries formation by the biofilm. Here, we present 8 clinical studies, with
different clinical designs, measuring different clinical outcomes, for a diverse,
world-wide population. Each of these studies demonstrates reductions in caries
formation beyond that seen with fluoride alone and several demonstrate the
reversal of early caries lesions. Significant clinical research has been shown
that 1.5% arginine combined with fluoride toothpaste has superior anti-caries
efficacy to toothpaste containing fluoride alone.
PMID- 29355422
TI - Oral Microbiome Studies: Potential Diagnostic and Therapeutic Implications.
AB - Understanding the microbiology of dental caries is not a mere academic exercise;
it provides the basis for preventive, diagnostic, and treatment strategies and
gives the dentist a theoretical framework to become a better professional. The
last years have seen the development of new research methodologies, ranging from
high-throughput sequencing or "omics" techniques to new fluorescence microscopy
applications and microfluidics, which have allowed the study of the oral
microbiome to an unprecedented level of detail. Those studies have provided new
insights about oral biofilm formation, biomarkers of caries risk, microbial
etiology, appropriate sampling, identification of health-associated bacteria, and
new anticaries strategies, among others. Several pitfalls are associated with the
new technologies, including a small number of samples per study group, elevated
cost, and genus- or species-based analyses that do not take into consideration
intraspecies variability. However, the new data strongly suggest that saliva may
not be an appropriate sample for etiological studies or for bacterial caries-risk
tests, that microbial composition alone may be insufficient to predict caries
risk, and that antimicrobial or immunization strategies targeting single species
are unlikely to be effective. Strategies directed toward modulation of the oral
biofilm, such as pre- and probiotics, emerge as promising new approaches to
prevent tooth decay.
PMID- 29355421
TI - Therapeutic Strategies Targeting Cariogenic Biofilm Microenvironment.
AB - Cariogenic biofilms are highly structured microbial communities embedded in an
extracellular matrix, a multifunctional scaffold that is essential for the
existence of the biofilm lifestyle and full expression of virulence. The
extracellular matrix provides the physical and biological properties that enhance
biofilm adhesion and cohesion, as well as create a diffusion-modulating milieu,
protecting the resident microbes and facilitating the formation of localized
acidic pH niches. These biochemical properties pose significant challenges for
the development of effective antibiofilm therapeutics to control dental caries.
Conventional approaches focusing solely on antimicrobial activity or enhancing
remineralization may not achieve maximal efficacy within the complex biofilm
microenvironment. Recent approaches disrupting the biofilm microbial community
and the microenvironment have emerged, including specific targeting of cariogenic
pathogens, modulation of biofilm pH, and synergistic combination of bacterial
killing and matrix degradation. Furthermore, new "smart" nanotechnologies that
trigger drug release or activation in response to acidic pH are being developed
that could enhance the efficacy of current and prospective chemical modalities.
Therapeutic strategies that can locally disrupt the pathogenic niche by targeting
the biofilm structure and its microenvironment to eliminate the embedded
microorganism and facilitate the action of remineralizing agents may lead to
enhanced and precise anticaries approaches.
PMID- 29355423
TI - The Evidence for Caries Management by Risk Assessment (CAMBRA(r)).
AB - A system for Caries Management by Risk Assessment (CAMBRA(r)) has been developed
in California. The purpose of this article is to summarize the science behind the
methodology, the history of the development of CAMBRA, and the outcomes of
clinical application. The CAMBRA caries risk assessment (CRA) tool for ages 6 y
through adult has been used at the University of California, San Francisco
(UCSF), for 14 y, and outcome studies involving thousands of patients have been
conducted. Three outcomes assessments, each on different patient cohorts,
demonstrated a clear relationship between CAMBRA-CRA risk levels of low,
moderate, high, and extreme with cavitation or lesions into dentin (by
radiograph) at follow-up. This validated risk prediction tool has been updated
with time and is now routinely used at UCSF and in other settings worldwide as
part of normal clinical practice. The CAMBRA-CRA tool for 0- to 5-y-olds has
demonstrated similar predictive validity and is in routine use. The addition of
chemical therapy (antibacterial plus fluoride) to the traditional restorative
treatment plan, based on caries risk status, has been shown to reduce the caries
increment by about 20% to 38% in high-caries-risk adult patients. The chemical
therapy used for high-risk patients is a combination of daily antibacterial
therapy (0.12% w/v chlorhexidine gluconate mouth rinse) and twice-daily high
concentration fluoride toothpaste (5,000 ppm F), both for home use. These
outcomes assessments provide the evidence to use these CRA tools with confidence.
Caries can be managed by adding chemical therapy, based on the assessed caries
risk level, coupled with necessary restorative procedures. For high- and extreme
risk patients, a combination of antibacterial and fluoride therapy is necessary.
The fluoride therapy must be supplemented by antibacterial therapy to reduce the
bacterial challenge, modify the biofilm, and provide prevention rather than
continued caries progression.
PMID- 29355424
TI - Silver Diamine Fluoride: A Successful Anticarious Solution with Limits.
AB - Silver diamine fluoride (SDF) is a solution containing ionic silver, fluoride,
and ammonia that arrests the progress of carious lesions and prevents the
development of future caries. The silver particle extends into the dentin tubules
and could create some bonding problems for subsequent composite resin
restorations placed over SDF-treated darkened tooth structures. The fluoride
penetrates deeper into the tooth with SDF as compared with other fluoride
solutions, creating a fluoride reservoir in the tooth structure. The fluoride
component of SDF contributes to remineralization and fluorapatite formation,
producing harder, more caries-resistant tooth structures. The silver provides the
antimicrobial activity for the material and inhibits biofilm formation. It has
been evaluated in >20 clinical studies and reviewed in systemic reviews. The
material was recently approved by the Food and Drug Administration for
desensitizing cold-sensitive teeth and has been used off-label to treat carious
lesions. SDF will produce a caries lesion darker (brown to black) than the
original, which is the major criticism of the material. A nanoparticle-sized
silver material was recently developed that may retain the antimicrobial
properties of the larger-sized ion silver material without the discoloring
effects. The application of SDF is easily adapted for field use. The lesion is
isolated, and the solution is painted onto the clean caries lesion and dried.
This simple application process requires little equipment, and its low cost per
application makes the material ideal for large populations.
PMID- 29355425
TI - Erythritol Functional Roles in Oral-Systemic Health.
AB - Erythritol belongs chemically to the family of polyols (or sugar alcohols), yet
it is metabolized by animals and humans very differently compared to all other
polyols. While polyols have been used traditionally (for about 80 y) to replace
sugar in sweet foods to reduce demineralization of tooth enamel and to reduce
postprandial blood glucose levels, benefits achieved merely through the absence
of sugar, emerging evidence shows that erythritol can play a number of functional
roles to actively support maintenance of oral and systemic health. Oral health
studies revealed that erythritol can reduce dental plaque weight, reduce dental
plaque acids, reduce counts of mutans streptococci in saliva and dental plaque,
and reduce the risk for dental caries better than sorbitol and xylitol, resulting
in fewer tooth restorations by dentist intervention. Systemic health studies have
shown that erythritol, unlike other polyols, is readily absorbed from the small
intestine, not systemically metabolized, and excreted unchanged within the urine.
This metabolic profile renders erythritol to be noncaloric, to have a high
gastrointestinal tolerance, and not to increase blood glucose or insulin levels.
Published evidence also shows that erythritol can act as an antioxidant and that
it may improve endothelial function in people with type 2 diabetes. This article
reviews the key research demonstrating erythritol's oral and systemic health
functionalities and underlying mechanisms.
PMID- 29355426
TI - Recent Advances in Remineralization Therapies for Caries Lesions.
AB - Remineralization of caries lesions is naturally achieved by salivary ions, and it
can be enhanced by external factors or elements such as fluoride. Numerous
studies have demonstrated the remineralizing efficacy of fluoride therapies as
well as the limitations with some groups of the population. Consequently,
developing new remineralization therapies to close this gap in efficacy has been
a priority for the last 2 decades. In this review, we summarize and briefly
discuss some of the latest advances in remineralization therapies. Most new
therapies try to enhance the effect of fluoride by adding other potentially
active ingredients to the formulation, such as calcium, phosphate, stannous,
xylitol, and arginine. Other remineralization strategies have focused on creating
remineralizing scaffolds within the lesions (e.g., self-assembling peptides).
While several of the new remineralization strategies have progressed
significantly in recent years, for most of them, the evidence is still
insufficient to assess their true clinical potential.
PMID- 29355427
TI - Stannous Fluoride Effects on Gene Expression of Streptococcus mutans and
Actinomyces viscosus.
AB - A genome-wide transcriptional analysis was performed to elucidate the bacterial
cellular response of Streptococcus mutans and Actinomyces viscosus to NaF and
SnF2. The minimal inhibitory concentration (MIC) and minimal bactericidal
concentration (MBC) of SnF2 were predetermined before microarray study. Gene
expression profiling microarray experiments were carried out in the absence
(control) and presence (experimental) of 10 ppm and 100 ppm Sn2+ (in the form of
SnF2) and fluoride controls for 10-min exposures (4 biological
replicates/treatment). These Sn2+ levels and treatment time were chosen because
they have been shown to slow bacterial growth of S. mutans (10 ppm) and A.
viscosus (100 ppm) without affecting cell viability. All data generated by
microarray experiments were analyzed with bioinformatics tools by applying the
following criteria: 1) a q value should be <=0.05, and 2) an absolute fold change
in transcript level should be >=1.5. Microarray results showed SnF2 significantly
inhibited several genes encoding enzymes of the galactose pathway upon a 10-min
exposure versus a negative control: lacA and lacB (A and B subunits of the
galactose-6-P isomerase), lacC (tagatose-6-P kinase), lacD (tagatose-1,6-bP
adolase), galK (galactokinase), galT (galactose-1-phosphate uridylyltransferase),
and galE (UDP-glucose 4-epimerase). A gene fruK encoding fructose-1-phosphate
kinase in the fructose pathway was also significantly inhibited. Several genes
encoding fructose/mannose-specific enzyme IIABC components in the
phosphotransferase system (PTS) were also downregulated, as was ldh encoding
lactate dehydrogenase, a key enzyme involved in lactic acid synthesis. SnF2
downregulated the transcription of most key enzyme genes involved in the
galactose pathway and also suppressed several key genes involved in the PTS,
which transports sugars into the cell in the first step of glycolysis.
PMID- 29355428
TI - Silver Fluoride as a Treatment for Dental Caries.
AB - Medical management of caries is a distinct treatment philosophy that employs
topical minimally invasive therapies that treat the disease and is not merely
prevention. This strategy is justified as an alternative or supplement to
traditional care by significant disease recurrence rates following comprehensive
operative treatment under general anesthesia. Silver diamine fluoride (SDF) is
one agent to enable effective noninvasive treatment. The announcement of
breakthrough therapy designation by the Food and Drug Administration (FDA)
suggests that SDF may become the first FDA-approved drug for treating caries.
Since our systematic review performed in April 2015, 4 clinical trials have been
completed, which inform an update to the application protocol and frequency
regimen. Suggestions from these studies are to skip the rinsing step due to
demonstration of safety in young children, start patients with high disease
severity on an intensive regimen of multiple applications over the first few
weeks, and continue with semiannual maintenance doses as previously suggested.
Breakthroughs in elucidating the impact of SDF on the dental plaque microbiome
inform potential opportunities for understanding caries arrest. SDF can be added
to the set of evidence-based noninvasive methods to treat caries lesions in
primary teeth, such as the Hall crown technique and sealing lesions with
accessible margins.
PMID- 29355429
TI - The effects of post-traumatic depression on cognition, pain, fatigue, and
headache after moderate-to-severe traumatic brain injury: a thematic review.
AB - BACKGROUND: Post-traumatic depression (PTD) is one of the most common secondary
complications to develop after moderate-to-severe traumatic brain injury (TBI).
However, it rarely manifests singularly, and often co-occurs with other common
TBI impairments. OBJECTIVE: The objective of this thematic review is to evaluate
studies examining the relationships between PTD and cognition, fatigue, pain, and
headache among individuals with moderate-to-severe TBI. RESULTS: We reviewed 16
studies examining the relationship between PTD and cognition (five articles),
fatigue (five articles), pain (four articles), and headache (two articles). Two
studies failed to identify the significant associations between PTD and
neuropsychological test performance, while one study found a positive
association. Two other studies found that early PTD was associated with later
executive dysfunction. Studies on fatigue suggest it is a cause, not consequence,
of PTD. Individuals with PTD tended to report more pain than those without PTD.
Studies examining relationships between PTD and post-traumatic headache were
equivocal. CONCLUSIONS: Studies evaluating the effects of PTD on common TBI
impairments have yielded mixed results. Evidence suggests PTD precedes the
development of executive dysfunction, and a strong link exists between fatigue
and PTD, with fatigue preceding PTD. Future prospective studies evaluating PTD
relationships to pain and headache are warranted to elucidate causality.
PMID- 29355430
TI - Admission circulating monocytes level is an independent predictor of outcome in
traumatic brain injury.
AB - OBJECTIVE: The aim of this study was to assess the prognostic value of admission
immune cell levels in the peripheral blood in determining outcomes in patients
with TBI. METHOD: We studied 141 adult patients with mild-to-severe TBI (Glasgow
Coma Scale (GCS) 3-15). Patient outcome was assessed using the Extended Glasgow
Outcome Scale (GOSE) at 6 months post-injury. The area under the curve (AUC) was
used to evaluate the predictive ability of immune cell levels. Uni- and
multivariate analyses were performed to assess the independent predictors of 6
month outcome. RESULTS: We found that admission monocyte count was not only a
better predictor (AUC = 0.778; 95% confidence interval (CI), 0.679-0.858) of
favourable outcomes (GOSE 5-8) at 6 months post-injury than were admission
haemoglobin (AUC = 0.629; 95% CI, 0.522-0.728) and blood glucose (AUC = 0.616;
95% CI, 0.508-0.716) levels for patients with moderate-to-severe TBI (GCS <= 12),
but also an independent predictor of 6-month outcome (adjusted odds ratio, 1.35;
95% CI, 1.10-1.65; p = 0.004). CONCLUSIONS: The present study suggests that an
increase in admission monocyte count is correlated with a favourable 6-month
outcome in patients with moderate-to-severe TBI.
PMID- 29355431
TI - Risk factors and direct medical cost of early versus late unplanned readmissions
among diabetes patients at a tertiary hospital in Singapore.
AB - OBJECTIVE: To examine the risk factors and direct medical costs associated with
early (<=30 days) versus late (31-180 days) unplanned readmissions among patients
with type 2 diabetes in Singapore. METHODS: Risk factors and associated costs
among diabetes patients were investigated using electronic medical records from a
local tertiary care hospital from 2010 to 2012. Multivariable logistic regression
was used to identify risk factors associated with early and late unplanned
readmissions while a generalized linear model was used to estimate the direct
medical cost. Sensitivity analysis was also performed. RESULTS: A total of 1729
diabetes patients had unplanned readmissions within 180 days of an index
discharge. Length of index stay (a marker of acute illness burden) was one of the
risk factors associated with early unplanned readmission while patient behavior
related factors, like diabetes-related medication adherence, were associated with
late unplanned readmission. Adjusted mean cost of index admission was higher
among patients with unplanned readmission. Sensitivity analysis yielded similar
results. CONCLUSIONS: Existing routinely captured data can be used to develop
prediction models that flag high risk patients during their index admission,
potentially helping to support clinical decisions and prevent such readmissions.
PMID- 29355432
TI - An update on the treatment of pediatric-onset Langerhans cell histiocytosis
through pharmacotherapy.
AB - INTRODUCTION: Langerhans cell histiocytosis (LCH) is a rare myeloid neoplasia
driven by activation mutations alongside the MAPK pathway. Its broad spectrum of
clinical manifestations and diverse course and clinical outcome, make
interpretation of trial data difficult. Use of different stratification systems
further complicates comparison among trials. Areas covered: This review
summarizes the published data derived from prospective clinical trials from Phase
II onwards. PubMed was searched using combinations of the keywords 'Langerhans
cell histiocytosis', 'histiocytosis X', 'pediatric', 'children', 'treatment', and
'therapy'. Only full-length papers published in English and German were included
in the review. Expert opinion: Mortality in multisystem LCH is restricted to
patients with involvement of risk organs (hematopoiesis, liver and spleen) at
diagnosis, and is particularly high (up to 60-70%) if systemic therapy fails to
control the disease. For the rest of the cohort, mortality is almost negligible,
and the main challenges are disease relapses and related permanent consequences
(encountered in up to 50% of the survivors). While systemic therapy has clearly
improved survival of patients with most severe disease form, its role in
providing sustained disease control and preventing permanent consequences in
patients with 'low risk' disease is less clear.
PMID- 29355433
TI - Store and forward Teledermatology - the Newport way.
AB - Teledermatology plays a vital role within Aneurin Bevan University Health Board.
Alongside Consultant Dermatologists we developed Teledermatology to meet clinical
needs. This article illustrates the implementation process of the service,
highlighting legal and ethical issues that were considered along with the success
and scope of the service. The Teledermatology service rapidly reduced waiting
times for patients and increased access to specialist care which is cost
effective for the Health Board. Following successful implementation and increased
demand, there is the scope for expansion. The service is developing additional
Teledermatology clinics within the Health Board, evolving telemedicine.
PMID- 29355434
TI - Ranting in 2018: Are We Making Progress?
PMID- 29355435
TI - Anti-Insulin Antibodies and Adverse Events with Biosimilar Insulin Lispro
Compared with Humalog Insulin Lispro in People with Diabetes.
AB - BACKGROUND: SAR342434 (SAR-Lis) is a biosimilar (follow-on) of insulin lispro
(Humalog(r); Ly-Lis). Two randomized, controlled, open-label, parallel-group,
phase 3 studies were conducted to compare the efficacy and safety of SAR-Lis and
Ly-Lis, both in combination with insulin glargine (Lantus(r)). SORELLA 1 was a 12
month study in 507 people with type 1 diabetes mellitus (T1DM); SORELLA 2 was a 6
month study in 505 people with type 2 diabetes mellitus (T2DM). In this study,
the impact of anti-insulin antibodies (AIA) to SAR-Lis and Ly-Lis on safety and
glycemic control is reported. METHODS: AIA were measured regularly throughout
both studies at a centralized laboratory blinded to treatment groups using a drug
specific AIA assay. The AIA status (positive or negative), AIA titers, and cross
reactivity to human insulin, insulin glargine, and insulin glargine metabolite M1
were analyzed. The potential effect of AIA on safety, particularly as related to
hypersensitivity reactions, hypoglycemia, and treatment-emergent adverse events,
as well as on glycemic control (HbA1c, insulin dose), was evaluated. RESULTS: AIA
positive status at baseline was similar for the two insulins, but higher in T1DM
than in T2DM. In both studies, the percentage of people newly developing AIA in
the two treatment groups, or having a >=4-fold increase in AIA titers, did not
differ. No relationship was observed between maximum individual AIA titers and
change in HbA1c or insulin dose, hypoglycemia, or hypersensitivity reactions or
between efficacy/safety measures and subgroups by presence or absence of
treatment-emergent AIA. Hypersensitivity events and events adjudicated as
allergic reactions were few and did not differ between the two groups.
CONCLUSION: Insulin lispro SAR342434 and the originator insulin lispro had a
similar immunogenicity profile in people with T1DM or T2DM.
PMID- 29355436
TI - Can Biomarkers Help Target Maturity-Onset Diabetes of the Young Genetic Testing
in Antibody-Negative Diabetes?
AB - BACKGROUND: Maturity-onset diabetes of the young (MODY) is an antibody-negative,
autosomal dominant form of diabetes. With the increasing prevalence of diabetes
and the expense of MODY testing, markers to identify those who need further
genetic testing would be beneficial. We investigated whether HLA genotypes,
random C-peptide, and/or high-sensitivity C-reactive protein (hsCRP) levels could
be helpful biomarkers for identifying MODY in antibody-negative diabetes.
METHODS: Subjects (N = 97) with diabetes onset <=age 25, measurable C-peptide
(>=0.1 ng/mL), and negative for all four diabetes autoantibodies were enrolled at
a large academic center and tested for MODY 1-5 through Athena Diagnostics. A
total of 22 subjects had a positive or very likely pathogenic mutation for MODY.
RESULTS: Random C-peptide levels were significantly different between MODY
positive and MODY-negative subjects (0.16 nmol/L vs. 0.02 nmol/L; P = 0.02).
After adjusting for age and diabetes duration, hsCRP levels were significantly
lower in MODY-positive subjects (0.37 mg/L vs. 0.87 mg/L; P = 0.02). Random C
peptide level >=0.15 nmol/L obtained at >=6 months after diagnosis had 83%
sensitivity for diagnosis of MODY with a negative predictive value of 96%.
Receiver operating characteristic curves showed that area under the curve for
random C-peptide (0.75) was significantly better than hsCRP (0.54), high-risk HLA
DR3/4-DQB1*0302 (0.59), and high-risk HLA/random C-peptide combined (0.54; P =
0.03). CONCLUSIONS: Random C-peptide obtained at >=6 months after diagnosis can
be a useful biomarker to identify antibody-negative individuals who need further
genetic testing for MODY, whereas hsCRP and HLA do not appear to improve this
antibody/C-peptide-based approach.
PMID- 29355437
TI - Continuous Glucose Monitoring in Healthy Children Aged 2-8 Years.
AB - BACKGROUND: The purpose of this study was to add the missing information on
glycemic levels and patterns as measured by continuous glucose monitoring (CGM)
in the daily life of healthy children aged 2-8 years. These data are needed when
studying glycemic patterns and treatment outcome in children aged 2-8 years with
diabetes. METHODS: Each of the 15 healthy children aged 2-7.99 years used a CGM
device (Dexcom G4 Platinum) for 7 days. RESULTS: A total of 15 children (10
girls) aged 5.4 +/- 1.6 years registered a mean of 1976 +/- 15 counts. Mean
sensor glucose was 5.3 +/- 1.0 mmol/L (95 +/- 18 mg/dL) and 89% of values were in
the range 4-7.8 mmol/L (72-140 mg/dL), 9% of sensor glucose values were <4.0
mmol/L (72 mg/dL), and 2% of sensor glucose values were >7.8 mmol/L (140 mg/dL).
CONCLUSION: We present glycemic data as measured by CGM in healthy children aged
2-8 years.
PMID- 29355438
TI - Insulin Sensitivity Index-Based Optimization of Insulin to Carbohydrate Ratio: In
Silico Study Shows Efficacious Protection Against Hypoglycemic Events Caused by
Suboptimal Therapy.
AB - BACKGROUND AND AIM: The insulin to carbohydrate ratio (CR) is a parameter used by
patients with type 1 diabetes (T1D) to calculate the premeal insulin bolus.
Usually, it is estimated by the physician based on patient diary, but modern
diabetes technologies, such as subcutaneous glucose sensing (continuous glucose
monitoring, CGM) and insulin delivery (continuous subcutaneous insulin infusion,
CSII) systems, can provide important information for its optimization. In this
study, a method for CR optimization based on CGM and CSII data is presented and
its efficacy and robustness tested in several in silico scenarios, with the
primary aim of increasing protection against hypoglycemia. METHODS: The method is
based on a validated index of insulin sensitivity calculated from sensor and pump
data (SISP), area under CGM and CSII curves. The efficacy and robustness of the
method are tested in silico using the University of Virginia/Padova T1D
simulator, in several suboptimal therapy scenarios: with nominal CR variation,
over/underestimation of meal size or suboptimal basal insulin infusion. Simulated
CGM and CSII data were used to calculate the optimal CR. The same scenarios were
then repeated using the estimated CR and glycemic control was compared. RESULTS:
The optimized CR was efficacious in protecting against hypoglycemic events caused
by suboptimal therapy. The method was also robust to possible error in
carbohydrate count and suboptimal basal insulin infusion. CONCLUSIONS: A novel
method for CR optimization in T1D, implementable in daily life using CGM and CSII
data, is proposed. The method can be used both in open- and closed-loop insulin
therapy.
PMID- 29355440
TI - Optimizing the Estimation of Carbohydrate-to-Insulin Ratio and Correction Factor.
PMID- 29355439
TI - Design and Clinical Evaluation of a Novel Low-Glucose Prediction Algorithm with
Mini-Dose Stable Glucagon Delivery in Post-Bariatric Hypoglycemia.
AB - BACKGROUND: Postbariatric hypoglycemia (PBH) is a complication of bariatric
surgery with limited therapeutic options. We developed an event-based system to
predict and detect hypoglycemia based on continuous glucose monitor (CGM) data
and recommend delivery of minidose liquid glucagon. METHODS: We performed an
iterative development clinical study employing a novel glucagon delivery system:
a Dexcom CGM connected to a Windows tablet running a hypoglycemia prediction
algorithm and an Omnipod pump filled with an investigational stable liquid
glucagon formulation. Meal tolerance testing was performed in seven participants
with PBH and history of neuroglycopenia. Glucagon was administered when
hypoglycemia was predicted. Primary outcome measures included the safety and
feasibility of this system to predict and prevent severe hypoglycemia. Secondary
outcomes included hypoglycemia prediction by the prediction algorithm,
minimization of time below hypoglycemia threshold using glucagon, and prevention
of rebound hyperglycemia. RESULTS: The hypoglycemia prediction algorithm alerted
for impending hypoglycemia in the postmeal state, prompting delivery of glucagon
(150 MUg). After observations of initial incomplete efficacy to prevent
hypoglycemia in the first two participants, system modifications were
implemented: addition of PBH-specific detection algorithm, increased glucagon
dose (300 MUg), and a second glucagon dose if needed. These modifications,
together with rescue carbohydrates provided to some participants, contributed to
progressive improvements in glucose time above the hypoglycemia threshold (75
mg/dL). CONCLUSIONS: Preliminary results indicate that our event-based automatic
monitoring algorithm successfully predicted likely hypoglycemia. Minidose
glucagon therapy was well tolerated, without prolonged or severe hypoglycemia,
and without rebound hyperglycemia.
PMID- 29355442
TI - Editorial.
PMID- 29355441
TI - NTproBNP and ST2 as predictors for all-cause and cardiovascular mortality in
elderly patients with symptoms suggestive for heart failure.
AB - BACKGROUND: A new biomarker, suppression of tumorigenicity 2 (ST2) has been
introduced as a marker for fibrosis and hypertrophy. Its clinical value in
comparison with N-terminal pro-hormone of brain natriuretic peptide /Amino
terminal pro-B-type natriuretic peptide (NTproBNP) in predicting mortality in
elderly patients with symptoms of heart failure (HF) is still unclear. AIM: To
evaluate the prognostic value for all-cause- and cardiovascular mortality of ST2
or NTproBNP and the combination of these biomarkers. PATIENTS AND METHODS: One
hundred seventy patients patients with clinical symptoms of HF (77 (45%) were
with verified HF) were recruited from one selected primary health care center
(PHC) in Sweden and echocardiography was performed in all patients. Blood samples
were obtained from 159 patients and stored frozen at -70 degrees C. NTproBNP was
analyzed at a central core laboratory using a clinically available
immunoassay.ST2 was analyzed with Critical Diagnostics Presage ST2 ELISA
immunoassay. RESULTS: We studied 159 patients (mean age 77 +/- 8.3 years, 70%
women). During ten years of follow up 78 patients had died, out of which 50
deaths were for cardiovascular reasons. Continuous NTproBNP and ST2 were both
significantly associated with all-cause mortality (1.0001; 1.00001-1.0002, p =
0.04 and 1.03; 1.003-1.06, p = 0.03), NTproBNP but not ST2 remained significant
for cardiovascular mortality after adjustments (1.0001; 1.00001-1.0002, p = 0.03
and 1.01; 0.77-1.06, p = 0.53), respectively. NTproBNP above median (>328 ng/L)
compared to below median was significantly associated with all-cause
mortality(HR: 4.0; CI :2.46-6.61; p < 0.001) and cardiovascular mortality (HR:
6.1; CI: 3.11-11.95; p < 0.001). Corresponding analysis for ST2 above median
(25.6 ng/L) was not significantly associated neither with all-cause mortality
(HR; 1.4; CI: 0.89-2.77) nor cardiovascular mortality (HR: 1.3; CI: 0.73-2.23)
and no significant interaction of NTproBNP and ST2 (OR: 1.1; CI: 0.42-3.12) was
found. CONCLUSION: In elderly patients with symptoms of heart failure ST2 was not
superior to NTproBNP to predict all cause or cardiovascular mortality.
Furthermore, it is unclear if the combination of ST2 and NTproBNP will improve
long-term prognostication beyond what is achieved by NTproBNP alone.
PMID- 29355443
TI - Downstream processing of lactoperoxidase from milk whey by involving liquid
emulsion membrane.
AB - The current work deals with downstream processing of lactoperoxidase using liquid
emulsion membrane from the bovine milk whey, which is a by-product from dairy
industry. It is an alternate separation technique that can be used for the
selective extraction of lactoperoxidase. The extraction of lactoperoxidase in
liquid emulsion membrane takes place due to the electrostatic interaction between
the enzyme and polar head group of reverse micellar surfactant. The optimum
conditions resulted in 2.86 factor purity and activity recovery of 75.21%.
Downstream processing involving liquid emulsion membrane is a potential technique
for the extraction of lactoperoxidase from bovine whey.
PMID- 29355444
TI - Patient injury claims involving fractures of the distal radius.
AB - Background and purpose - Optimal treatment for distal radius fractures remains
controversial, with a significant number of fractures resulting in complications
and long-term morbidity. We investigated patient injury claims related to distal
radius fractures to detect the critical steps in the treatment leading to
avoidable adverse events Patients and methods - We analyzed all compensated
patient injury claims in Finland between 2007 and 2011. Claims were collected
from the Patient Insurance Center's (PIC) nationwide claim register. Patients of
all ages were included. Each claim decision, original patient records, and
radiographs related to treatment were reviewed. Results - During the study
period, the PIC received 584 claims regarding distal radius fractures, of which
208 (36%) were compensated. Pain and impaired wrist function were the most common
subjective reasons to file claims among compensated patients. In 66/208 patients,
more than 1 adverse event leading to patient injury was detected. The detected
adverse events could be divided into 3 main groups: diagnostic errors (36%, n =
103), decision/planning errors (30%, n = 87), and insufficient technical
execution (32%, n = 91). Issues related to malalignment were the main concerns in
each group. Diagnostic errors were often related to incorrect assessment of the
fracture (re)displacement (75%, n = 78). All of the decision-making errors
concerned physicians' decisions to accept unsatisfactory fracture alignment. The
most common technical error was insufficient reduction (29%, n = 26).
Interpretation - We identified avoidable adverse events behind patient injuries
related to distal radius fracture treatment. This study will help physicians to
recognize the critical steps in the treatment of this common fracture and enhance
patient safety.
PMID- 29355446
TI - Negotiating the humanitarian space: new opportunities for action and research.
PMID- 29355445
TI - Intramolecular crosstalk between catalytic activities of receptor kinases.
AB - Signal modulation is important for the growth and development of plants and this
process is mediated by a number of factors including physiological growth
regulators and their associated signal transduction pathways. Protein kinases
play a central role in signaling, including those involving pathogen response
mechanisms. We previously demonstrated an active guanylate cyclase (GC) catalytic
center in the brassinosteroid insensitive receptor (AtBRI1) within an active
intracellular kinase domain resulting in dual enzymatic activity. Here we propose
a novel type of receptor architecture that is characterized by a functional GC
catalytic center nested in the cytosolic kinase domain enabling intramolecular
crosstalk. This may be through a cGMP-AtBRI1 complex forming that may induce a
negative feedback mechanism leading to desensitisation of the receptor, regulated
through the cGMP production pathway. We further argue that the comparatively low
but highly localized cGMP generated by the GC in response to a ligand is
sufficient to modulate the kinase activity. This type of receptor therefore
provides a molecular switch that directly and/or indirectly affects ligand
dependent phosphorylation of downstream signaling cascades and suggests that
subsequent signal transduction and modulation works in conjunction with the
kinase in downstream signaling.
PMID- 29355447
TI - Enhanced recovery programmes in thoracic surgery: how does the future look?
PMID- 29355448
TI - Seven-day access to NHS primary care: how does England compare with Europe?
PMID- 29355449
TI - Cigarette smoking and cerebral blood flow in a cohort of middle-aged adults.
AB - Cigarette smoking is often associated with dementia. This association is thought
to be mediated by hypoperfusion; however, how smoking behavior relates to
cerebral blood flow (CBF) remains unclear. Using data from the Coronary Artery
Risk Development in Young Adults (CARDIA) cohort (mean age = 50; n = 522), we
examined the association between smoking behavior (status, cumulative pack-years,
age at smoking initiation, and years since cessation) and CBF (arterial spin
labeling) in brain lobes and regions linked to dementia. We used adjusted linear
regression models and tested whether associations differed between current and
former-smokers. Compared to never-smokers, former-smokers had lower CBF in the
parietal and occipital lobes, cuneus, precuneus, putamen, and insula; in
contrast, current-smokers did not have lower CBF. The relationship between pack
years and CBF was different between current and former-smokers ( p for
interaction < 0.05): Among current-smokers, higher pack-years were associated
with higher occipital, temporal, cuneus, putamen, insula, hippocampus, and
caudate CBF; former-smokers had lower caudate CBF with increasing pack-years.
Results show links between smoking and CBF at middle-age in regions implicated in
cognitive and compulsive/addictive processes. Differences between current and
former smoking suggest that distinct pathological and/or compensatory mechanisms
may be involved depending on the timing and history of smoking exposure.
PMID- 29355450
TI - Dual composite reference standards (dCRS) in molecular diagnostic research: A new
approach to reduce bias in the presence of Imperfect reference.
AB - A main challenge in molecular diagnostic research is to accurately evaluate the
performance of a new nucleic acid amplification test when the reference standard
is imperfect. Several approaches, such as discrepant analysis, composite
reference standard (CRS) method, or latent class analysis (LCA), are commonly
applied for this purpose by combining multiple imperfect (reference) test
results. In discrepant analysis or LCA, test results from the new assay are often
involved in the construction of a new pseudo-reference standard, which results in
the potential risk of overestimating the parameters of interest. On the contrary,
the CRS methods only combine the results of reference tests, which is more
preferable in practice. In this article, we study the properties of two extreme
CRS methods, i.e., combining multiple reference test results by the "any
positive" rule or by the "all-positive" rule, and propose a new approach "dual
composite reference standards (dCRS)" based on these two extreme methods to
reduce the biases of the estimates. Simulations are performed for various
scenarios and the proposed approach is applied to two real datasets. The results
demonstrate that our approach outperforms other commonly used approaches and
therefore is recommended for future applications.
PMID- 29355451
TI - Slowly progressive behavioral frontotemporal dementia with C9orf72 mutation. Case
report and review of the literature.
AB - We present a 86-year-old woman without relevant medical history and two brothers
who died by dementia, who started at 55 years with depression and personality
changes with ongoing worsening (>30 years) and functional decline. Screening
dementia blood test and brain magnetic resonance imaging did not show results
that pointed to a secondary cause. The patient met the diagnostic criteria for
possible behavioral frontotemporal dementia with a slow progression (bvFTD-SP),
suggesting a benign variant. A genetic study confirmed a C9ORF72 hexanucleotide
expansion, making this the sixth case mentioned in the literature. We review and
discuss the other cases described previously.
PMID- 29355452
TI - Retrospective study of paraneoplastic neurological syndromes in a Chinese Han
population from Shandong, East China.
AB - OBJECTIVE: To analyze the clinical features, diagnostic strategies and
therapeutic methods associated with paraneoplastic neurological syndromes.
METHODS: A retrospective study of paraneoplastic neurological syndromes was
performed at a single center in Shandong, East China. The medical records and
follow-up data of 28 patients were intensively reviewed between February 2011 and
December 2014. RESULTS: Twenty-four (85.7%) patients experienced subacute or
chronic onset of disease, and the most common symptoms reported were mild
myasthenia and paresthesias. Twenty-five (89.3%) patients presented nervous
system lesions prior to occult tumors, and the median time frame between
paraneoplastic neurological syndromes onset and the diagnosis of a tumor was 15
weeks. Sensorimotor neuropathy, Lambert-Eaton myasthenic syndrome and limbic
encephalitis were the three most common neurological syndromes reported. Elevated
serum tumor markers were observed in 44.0% of patients, while 40.7% of patients
were positive for onconeural antibodies. Tumors were detected in 21 (75.0%)
patients after repeated whole-body screening, and lung carcinomas were the most
common primary tumor detected. Seventeen patients received anti-tumor or
immunological therapy, and clinical symptoms were relieved in 13 (76.5%) of these
patients. CONCLUSIONS: In the majority of paraneoplastic neurological syndromes
patients, the onset of disease is subacute or chronic with mild clinical
symptoms. Nervous system lesions usually occur prior to occult tumors with
complicated and various clinical manifestations. Neither tumor markers nor
onconeural antibodies exhibit a high rate of occurrence, while repeated whole
body screening is helpful in identifying occult tumors. Early diagnosis and
treatment are crucial to these patients.
PMID- 29355453
TI - Critical factors affecting ethanol production by immobilized Pichia stipitis
using corn cob hemicellulosic hydrolysate.
AB - Fermentation of xylose from hydrolysate of acid-treated corn cob by Pichia
stipitis is inhibited by acetic acid and lignin derivatives. In the present
study, we have designed and implemented an immobilized cell culture for xylose to
ethanol conversion from acid-treated corn cob hydrolysate without the removal of
fermentation inhibitors. In this study, cultivations of suspended and immobilized
Pichia were compared in terms of ethanol yield and productivity to investigate
whether the cell immobilization could improve resistance to inhibitors. Cell
immobilization clearly favored the fermentative metabolism in nondetoxified corn
cob hydrolysate leading to an improvement of twofold ethanol productivity as
compared to that achieved with suspension culture. Calcium alginate as an
immobilization matrix was selected to immobilize Pichia cells. Concentrations of
sodium alginate, calcium chloride, and fermentor agitation speed were optimized
for ethanol production using statistical method. Statistical analysis showed that
agitation speed had maximum influence on ethanol production by immobilized Pichia
cells. In comparison to suspension culture, immobilization had a positive impact
on the fermentative metabolism of Pichia, improving the ethanol yield from 0.40
to 0.43 g/g and productivity from 0.31 to 0.51 g/L/h for acid-treated corn cob
hydrolysate.
PMID- 29355454
TI - Optimization of simultaneously enzymatic fructo- and inulo-oligosaccharide
production using co-substrates of sucrose and inulin from Jerusalem artichoke.
AB - Prebiotic substances are extracted from various plant materials or enzymatic
hydrolysis of different substrates. The production of fructo-oligosaccharide
(FOS) and inulo-oligosaccharide (IOS) was performed by applying two substrates,
sucrose and inulin; oligosaccharide yields were maximized using central composite
design to evaluate the parameters influencing oligosaccharide production. Inulin
from Jerusalem artichoke (5-15% w/v), sucrose (50-70% w/v), and inulinase from
Aspergillus niger (2-7 U/g) were used as variable parameters for optimization.
Based on our results, the application of sucrose and inulin as co-substrates for
oligosaccharide production through inulinase hydrolysis and synthesis is viable
in comparative to a method using a single substrate. Maximum yields (674.82 mg/g
substrate) were obtained with 5.95% of inulin, 59.87% of sucrose, and 5.68 U/g of
inulinase, with an incubation period of 9 hr. The use of sucrose and inulin as co
substrates in the reaction simultaneously produced FOS and IOS from sucrose and
inulin. Total conversion yield was approximately 67%. Our results support the
high value-added production of oligosaccharides using Jerusalem artichoke, which
is generally used as a substrate in prebiotics and/or bioethanol production.
PMID- 29355455
TI - Biologic therapies to enhance intervertebral disc repair.
AB - Degenerative disc disease is a progressive, chronic disorder with strong
association to pain, where the dysregulated tissue environment signals disc
cells, thereby leading to a low inflammatory process and slow extracellular
matrix degradation and fibrosis in a perpetual vicious cycle, generating a
structural and functional failure of intervertebral disc joint (IVDJ). Among
current biologic therapies, there is an emerging minimally invasive strategy that
consists of infiltrating plasma rich in growth factors, a safe and efficacious
therapeutic approach for other musculoskeletal degenerative conditions. This
review summarizes the homeostasis and degeneration of IVDJ, discusses some
results on basic science and therapeutic use of platelet-rich plasma products and
advances an alternative minimally invasive biologic therapy in IVDJ degeneration
and chronic back pain.
PMID- 29355457
TI - Curtailed two-stage design for comparing two arms in randomized phase II clinical
trials.
AB - In phase II clinical trials, patients are recruited sequentially and consequently
the time required to complete the clinical trial will become long if the accrual
rate is low. To speed up the drug development process and account for ethical
issues, stochastically and non-stochastically curtailed two-stage designs have
been proposed in single-arm phase II clinical trials. More recently, randomized
phase II clinical trials are being increasingly recommended to avoid biased
evaluation of the treatment effect when compared with a historical control. The
current patient population and the historical one may be quite heterogeneous.
Moreover, it is impossible to randomly assign patients for treatments.
Consequently, various two-stage designs have been presented for comparing two
arms. Since the sample size required in a randomized phase II trial is usually
larger than that required in a single-arm phase II trial, we introduce the
concept of curtailed sampling procedure to develop curtailed two-stage design for
two-armed, randomized phase II clinical trials. The proposed design does not
require pairwise patient response comparison, yet it allows a trial to be stopped
early as soon as the difference in therapeutic effect of the experimental therapy
and the standard at the end of a trial is foreknown.
PMID- 29355456
TI - Icariin improves Fanconi anemia hematopoietic stem cell function through SIRT6
mediated NF-kappa B inhibition.
AB - Icariin (ICA) is a flavonoid glucoside derived from the Epimedium plant genus,
which has potent regenerative properties and is used in western medicine to treat
impotence. Recently, ICA has generated great interest in improving hepatic
stellate cell function and cardiac rejuvenation. However, how this natural
component functions in hematopoiesis remains unexplored. Here we have examined
the role of ICA on hematopoietic stem cells (HSCs) using the cancer-prone disease
model of Fanconi anemia (FA), an inherited bone marrow failure syndrome with
extremely high risk of leukemic predisposition. We show that ICA reverses the
less quiescent status of HSCs deficient for the Fanca or Fancd2 gene, and
improves the ability of these mutant stem cells to form colony formation units
(CFU) in vitro and reconstitutes hematopoiesis in transplanted recipients.
Further analysis reveals that ICA upregulates enzyme activity of the chromatin
binding protein SIRT6 in Fanca-/- and Fancd2-/- HSCs, both of which have an
intrinsic low SIRT6 activity. Furthermore, forced expression of SIRT6 blocks the
natural decline of quiescent HSCs in Fanca-/- or Fancd2-/- mice and improves the
repopulating capacity of these mutant HSCs in irradiated recipients.
Mechanistically, ICA enhances SIRT6-mediated H3K9 deacetylation on the promoter
of NF-kappaB and represses the expression of NF-kappaB target genes. Together,
our findings indicate that ICA improves the function of HSCs by stimulating SIRT6
activity and contributes to the regenerative effect of ICA.
PMID- 29355458
TI - Protein a resin lifetime study: Evaluation of protein a resin performance with a
model-based approach in continuous capture.
AB - A modified shrinking core model (MSCM) has been used to describe the mechanism
for the degradation of Protein A resin particles taking place under continuous
chromatographic operation. The model is based on the hypothetical shrinkage of
the boundary layer of the resin particles, which house the active Protein A
ligands within their pores. The caustic during the sanitization phase of
chromatography has been determined to cause the Protein A ligand degradation.
Protein A resins provided by manufacturers possess unique caustic stability,
which has been used in MSCM to appraise the ligand degradation. The kinetic model
utilized semiempirical parameters including diffusion constant, rate constant,
stoichiometric factor, and reaction order. The parameters were estimated from
column breakthrough experiments to simulate continuous Protein A chromatography
for three distinct resins. The reaction order has been identified as the key
parameter for predicting the degradation kinetics. The recorded reaction orders
vary for three different resins with the resin B showing the highest reaction
order of 4 and lowest being 1.65 for the resin C. The model can predict the
effects of caustic on resin performance and displayed that minimal degradation of
the resins A and B occurred, when exposed to 0.1 N and 0.2N NaOH, retaining up to
96% binding capacity after 240 cycles. The adsorption study conducted for the
resin B demonstrated the dynamic physical and chemical changes transpiring
through the life cycle of the resin, further supported the degradation model. The
performance data demonstrate that the resin B exhibits the desirable performance,
with higher reaction order indicating slower resin degradation, higher binding
capacities, and increased sustenance of this binding capacity for extended
duration. The degradation model can be extended to build effective cleaning
strategies for continuous downstream processing.
PMID- 29355459
TI - Enhancement of erythritol production by Trichosporonoides oedocephalis ATCC 16958
through regulating key enzyme activity and the NADPH/NADP ratio with metal ion
supplementation.
AB - Erythritol, a well-known natural sweetener, is mainly produced by microbial
fermentation. Various metal ions (Al3+, Cu2+, Mn2+, and Ni2+) were added to the
culture medium of Trichosporonoides oedocephalis ATCC 16958 at 30 mg/L in shake
flask cultures. Compared with controls, Cu2+ increased the erythritol content by
86% and decreased the glycerol by-product by 31%. After 48 hr of shake flask
culture, sodium dodecyl sulfate polyacrylamide gel electrophoresis showed that
expression levels of erythrose reductase (ER) in the presence of 30 mg/L CuSO4 .
5H2O were higher than those obtained after treatment with other examined metal
ions. Furthermore, after 108 hr of batch culture in a 5-L bioreactor,
supplementation with 30 mg/L of CuSO4 . 5H2O increased the specific erythritol
content by 27%. Further studies demonstrated that ER activity under 30 mg/L CuSO4
. 5H2O supplementation in a fermentor was overtly increased compared with the
control after 60 hr, while glycerol-3-phosphate dehydrogenase activity was
clearly reduced in most of the fermentation process. Furthermore, the NADPH/NADP
ratio was slightly lower in T. oedocephalis cells treated with Cu2+ compared with
control cells. These results provide further insights into Cu2+ effects on
erythritol biosynthesis in T. oedocephalis and should improve the industrial
production of erythritol by biological processes.
PMID- 29355460
TI - Infantile intrathoracic large airway obstruction in a setting with a high
prevalence of tuberculosis/HIV.
AB - Background There has been no detailed analysis of the cause of intrathoracic
large airway obstruction in infants in a resource-limited environment with a high
prevalence of pulmonary tuberculosis (PTB) and human immunodeficiency virus
(HIV). Aim To define the aetiology and severity of intrathoracic large airway
obstruction in infants in a tertiary South African hospital with a high
prevalence of PTB and HIV. Methods A retrospective study of infants was conducted
with computerised tomography (CT) evidence of intrathoracic large airway
obstruction from 1 January 2011 to 31 May 2014. CT scans were evaluated for the
cause, site and severity of airway narrowing, with severity stratified as 'mild'
(<50%), 'moderate' (51-75%) or 'severe' (>75%). Results Forty-four patients (28
males, 64%; median age 145 days, range 5-331), and 79 sites of attenuation were
included. Vascular (22/44, 50%) and nodal (18/44, 41%) compressions accounted for
over 90% of cases. Thirty-five patients (79.5%) had at least one site of
moderate/severe attenuation, and 26 (59%) had multiple such sites. Adenopathy was
the commonest cause of moderate/severe compression (18/35, 51%). All cases of
nodal compression were of tuberculous origin. HIV-serology was recorded in 32
patients (73%), one of whom, with vascular compression, was HIV-infected. Half of
the patients (11/22, 50%) with vascular compression had congenital abnormalities,
most commonly cardiac anomalies (7/22, 32%). There were no synchronous vascular
and nodal compressions. Conclusion Infantile intrathoracic large airway
obstruction where there is a high prevalence of PTB and HIV is characterised by
its extrinsic aetiology, severity and multicentricity, with more than half of all
moderate/severe obstructions being caused by tuberculous adenopathy.
PMID- 29355461
TI - Temperature gradient-based high-cell density fed-batch fermentation for the
production of pyruvate oxidase by recombinant E. coli.
AB - Pyruvate oxidase (PyOD) is a very powerful enzyme for clinical diagnostic
applications and environmental monitoring. Influences of temperature on cell
growth, plasmid stability, and PyOD expression during the PyOD fermentation
process by recombinant Escherichia coli were investigated. Based on the
influences of temperature on the physiological metabolism, a novel high-cell
density fed-batch cultivation with gradient temperature decrease strategy for
effective PyOD production was achieved, under which the biomass (OD600) of
recombinant E. coli could reach to 71 and the highest PyOD activity in broth
could reach to 3,307 U/L in 26 hr fermentation.
PMID- 29355462
TI - Immunotherapy approach with recombinant survivin adjuvanted with alum and MIP
suppresses tumor growth in murine model of breast cancer.
AB - Survivin has received attention as a potential target for cancer immunotherapy
because of its crucial role in oncogenesis. We undertook this study to evaluate
the immunotherapeutic potential of combination of recombinant survivin along with
adjuvant alum and immune modulator Mycobacterium indicus pranii (MIP). In vivo
efficacy of the combination was studied in an invasive murine breast cancer
model. Recombinant survivin protein was purified from Escherichia coli based
expression system and characterized by western blotting. Purified survivin
protein was combined with alum and MIP and was used for immunization of Balb/c
mice. Antigen-primed animals were then challenged with syngeneic mammary tumor
cells known as 4T-1. Balb/c mice spontaneously develop tumor when inoculated with
4T-1 cells. Antigen and adjuvant combination was immunogenic and significantly
suppressed tumor growth in mice immunized with combination of recombinant
survivin (10 ug), alum, and MIP. This is the first report that describes a
combination immunotherapy approach using recombinant survivin, alum, and MIP in
highly metastatic murine breast cancer model and holds promise for development of
new biotherapeutics for cancer.
PMID- 29355463
TI - Effects of radiation response modifiers given after lethal whole-abdominal
irradiation.
AB - PURPOSE: Although radiation is used to treat cancer and generate electricity,
radiotherapy-induced complications and nuclear disasters are issues of great
concern. The small bowel and bone marrow are the two major organs injured by
radiation, especially that from nuclear disasters. The development of effective
drugs to alleviate radiation injuries is very important. We tested potential
radiation response modifiers given after irradiation to alleviate radiation
injuries and mortality. MATERIALS AND METHODS: Xenografts of C33A tumor cells
with or without galectin-1 expression were implanted in SCID mice. Local tumor
irradiation (6 Gy) was used to study radiosensitivity. The rate and time of tumor
growth to 2 cm were observed using the Kaplan-Meier method. C57BL/6N mice were
used to study the effects of whole-abdominal or whole-body irradiation. Drug
administration was as follows: (1) vehicle; (2) interleukin 6 (IL-6) (50 ng/day);
(3) anginex (10 mg/kg/day) (galectin-1 antagonist); or (4) flagellin (0.2 mg/kg)
(Toll-like receptor 5 agonist). These treatments were compared for tumor size and
survival time. RESULTS: The median time of tumor growth delay after 6 Gy
irradiation was one week in tumors without galectin-1 expression, regardless of
anginex administration. Anginex did not prolong the survival time after 18 Gy
whole-abdominal irradiation. Flagellin did not prolong survival time after 18 Gy
whole-abdominal irradiation. IL-6 prolonged the survival time after 18 Gy whole
abdominal irradiation, with 5% survival. This was the best result in treating
lethal 18 Gy whole-abdominal irradiation. Other than IL-6, no drugs decreased the
survival rate after 7.5 Gy whole-body irradiation. CONCLUSIONS: Anginex has no
protective effects against radiation injury and no radiosensitized effects on
tumors. IL-6 is a potential agent for treating radiation-induced lethal injuries
to the small bowel. However, it is not suitable for treating bone marrow damage
after whole-body irradiation.
PMID- 29355464
TI - Verification testing to confirm VO2max attainment in persons with spinal cord
injury.
AB - CONTEXT/OBJECTIVE: Maximal oxygen uptake (VO2max) is a widely used measure of
cardiorespiratory fitness, aerobic function, and overall health risk. Although
VO2max has been measured for almost 100 yr, no standardized criteria exist to
verify VO2max attainment. Studies document that incidence of 'true' VO2max
obtained from incremental exercise (INC) can be confirmed using a subsequent
verification test (VER). In this study, we examined efficacy of VER in persons
with spinal cord injury (SCI). DESIGN: Repeated measures, within-subjects study.
SETTING: University laboratory in San Diego, CA. PARTICIPANTS: Ten individuals
(age and injury duration = 33.3 +/- 10.5 yr and 6.8 +/- 6.2 yr) with SCI and 10
able-bodied (AB) individuals (age = 24.1 +/- 7.4 yr). INTERVENTIONS: Peak oxygen
uptake (VO2peak) was determined during INC on an arm ergometer followed by VER at
105 percent of peak power output (% PPO). OUTCOME MEASURES: Gas exchange data,
heart rate (HR), and blood lactate concentration (BLa) were measured during
exercise. RESULTS: Across all participants, VO2peak was highly related between
protocols (ICC = 0.98) and the mean difference was equal to 0.08 +/- 0.11 L/min.
Compared to INC, VO2peak from VER was not different in SCI (1.30 +/- 0.45 L/min
vs. 1.31 +/- 0.43 L/min) but higher in AB (1.63 +/- 0.40 L/min vs. 1.76 +/- 0.40
L/min). CONCLUSION: Data show similar VO2peak between incremental and
verification tests in SCI, suggesting that VER confirms VO2max attainment.
However, in AB participants completing arm ergometry, VER is essential to
validate appearance of 'true' VO2peak.
PMID- 29355466
TI - The aesthetics of verticality: A gravitational contribution to aesthetic
preference.
AB - Verticality plays a fundamental role in the arts, portraying concepts such as
power, grandeur, or even morality; however, it is unclear whether people have an
aesthetic preference for vertical stimuli. The perception of verticality occurs
by integrating vestibular-gravitational input with proprioceptive signals about
body posture. Thus, these signals may influence the preference for verticality.
Here, we show that people have a genuine aesthetic preference for stimuli aligned
with the vertical, and this preference depends on the position of the body
relative to the gravitational direction. Observers rated the attractiveness of
lines that varied in inclination. Perfectly vertical lines were judged to be more
attractive than those inclined clockwise or anticlockwise only when participants
held an upright posture. Critically, this preference was not present when their
body was tilted away from the gravitational vertical. Our results showed that
gravitational signals make a contribution to the perception of attractiveness of
environmental objects.
PMID- 29355468
TI - Executive processes and timing: Comparing timing with and without reference
memory.
AB - Temporal perception is influenced by executive function. However, performance on
different temporal tasks is often associated with different executive functions.
This study examined whether using reference memory during a task influenced how
performance was associated with executive resources. Participants completed
temporal generalisation and bisection tasks, in their normal versions involving
reference memory and in episodic versions without reference memory. Each timing
task had two difficulty levels: easy and hard. Correlations between performance
on these tasks and measures of executive function (updating, inhibition, task
switching, and access to semantic memory) were assessed. Accuracy on the temporal
generalisation task was correlated with memory access for all versions of the
task. Updating correlated with accuracy only for the reference memory-based
version of the task. Temporal bisection performance presented a different pattern
of correlations. The bisection point was negatively correlated with inhibition
scores, except for the easy episodic condition. The Weber ratio, considered a
measure of temporal sensitivity, was negatively correlated with memory access
only in the hard episodic condition. Together, the findings suggest that previous
models of generalisation and bisection may not accurately reflect the underlying
cognitive processes involved in the tasks.
PMID- 29355465
TI - Predictors of readmission to acute care during inpatient rehabilitation for non
traumatic spinal cord injury.
AB - OBJECTIVES: To investigate the frequency of and reasons for readmissions to acute
care (RTAC) during inpatient rehabilitation (IPR) after non-traumatic spinal cord
injury (NT-SCI). To develop a predictive model for RTAC using identified risk
factors. DESIGN: Retrospective case-control. SETTING: Academic IPR hospital.
PARTICIPANTS: Individuals with NT-SCI admitted to an academic SCI rehabilitation
unit from January 2014-December 2015. INTERVENTIONS: Not applicable. MAIN OUTCOME
MEASURES: Readmissions to acute care services from IPR. RESULTS: Thirty-seven
participants (20%) experienced a RTAC for a total of 39 episodes. Thirty-five
experienced 1 RTAC, while two had 2. The most common medical reasons for RTAC
were infection (27%), neurological (27%), and noninfectious respiratory (16%).
Multivariable logistic regression was used to develop a model to predict RTAC.
Paraplegia was associated with 3.2 times increase in the odds of RTAC (P = 0.03).
For every unit increase in FIM-Motor, there was a 5% reduction in the odds of
RTAC (P = 0.03) Body mass index less than 30 decreased odds of RTAC by 61% (P =
0.004). CONCLUSION: RTACs were associated with body mass index greater than 30,
decreased FIM-Motor subscore on admission, and paraplegia. Physiatrists caring
for the non-traumatic SCI patient need be more circumspect of individuals with
these parameters to potentially prevent the problems necessitating acute care
transfer.
PMID- 29355469
TI - The relationship between handedness and valence: A gesture study.
AB - People with different hand preferences assign positive and negative emotions to
different sides of their bodies and produce co-speech gestures with their
dominant hand when the content is positive. In this study, we investigated this
side preference by handedness in both gesture comprehension and production.
Participants watched faceless gesture videos with negative and positive content
on eye tracker and were asked to retell the stories after each video. Results
indicated no difference in looking preferences regarding being right- or left
handed. Yet, an effect of emotional valence was observed. Participants spent more
time looking to the right (actor's left) when the information was positive and to
the left (actor's right) when the information was negative. Participants'
retelling of stories revealed a handedness effect only for different types of
gestures (representational vs beat). Individuals used their dominant hands for
beat gestures. For representational gestures, while the right-handers used their
right hands more, the left-handers gestured using both hands equally. Overall,
the lack of significant difference between handedness and emotional content in
both comprehension and production levels suggests that body-specific mental
representations may not extend to the conversational level.
PMID- 29355471
TI - Data and Safety Monitoring Committees in Clinical Trials.
PMID- 29355470
TI - Novel Anticaries and Remineralization Agents: Future Research Needs.
PMID- 29355472
TI - Special Issue: Multiplicity Issues in Clinical Trials.
PMID- 29355473
TI - Evaluation of cockerel spermatozoa viability and motility by a novel enzyme based
cell viability assay.
AB - 1. The results of spermatozoa assessment by the WST-8 (2-[2-methoxy-4
nitrophenyl]-3-[4-nitrophenyl]-5-[2,4-disulfophenyl]-2H-tetrazolium, monosodium
salt) assay, flow cytometry (FC) or computer-assisted sperm analysis (CASA) were
compared. 2. Different live/killed ratios of cockerel semen were serially diluted
to 120, 60, and 30 * 106 cells/ml, and each sample was analysed by (1) WST-8
assay at 0, 10, 20, 30, 40, 50, 60 min, (2) viability with FC, and (3) motility
with CASA. 3. The WST-8 reduction rate was closely correlated with spermatozoa
viability and motility. The optimal semen concentration for the WST-8 assay was
120 * 106 cells/ml, and the standard curves for spermatozoa viability and
motility predictions, respectively, were yviability60 = 162.8x + 104.96 (R2 =
0.9594) after 60 min of incubation and ymotility40 = 225.09x + 96.299 (R2 =
0.8475) after 40 min of incubation. 4. It was concluded that the WST-8 assay is
useful for the practical evaluation of cockerel spermatozoa viability and
motility. Compared to FC and CASA, the WST-8 assay does not require expensive and
complex instrumentation in the lab. Furthermore, one well of the WST-8 reaction
can be used to predict spermatozoa viability and motility at the same time, which
all lead it to be efficient and economical for semen quality assessment.
PMID- 29355474
TI - The "chicken-and-egg" development of political opinions.
AB - Twin studies have revealed political ideology to be partially heritable.
Neurological research has shown that ideological differences are reflected in
brain structure and response, suggesting a direct genotype-phenotype link. Social
and informational environments, however, also demonstrably affect brain structure
and response. This leads to a "chicken-and-egg" question: do genes produce brains
with ideological predispositions, causing the preferential absorption of
consonant information and thereby forming an ideology, or do social and
informational environments do most of the heavy lifting, with genetic evidence
the spurious artifact of outdated methodology? Or are both inextricably
intertwined contributors? This article investigates the relative contributions of
genetic and environmental factors to ideological development using a role-play
experiment investigating the development of opinions on a novel political issue.
The results support the view that the process is bidirectional, suggesting that,
like most traits, political ideology is produced by the complex interplay of
genetic and (social/informational) environmental influences.
PMID- 29355475
TI - Communities ready for takeoff.
AB - Although much of the social science literature supports the importance of
community assets for success in many policy areas, these assets are often
overlooked when selecting communities for new infrastructure facilities.
Extensive collaboration is crucial for the success of environmental and economic
projects, yet it often is not adequately addressed when making siting decisions
for new projects. This article develops a social asset framework that includes
social, creative, and human capital to inform site-selection decisions. This
framework is applied to the Northwest Advanced Renewables Alliance project to
assess community suitability for biofuel-related developments. This framework is
the first to take all necessary community assets into account, providing insight
into successful site selection beyond current models. The framework not only
serves as a model for future biorefinery projects but also guides tasks that
depend on informed location selection for success.
PMID- 29355476
TI - International Psychogeriatrics turns a new page: new editor begins his term.
AB - It is a great honor and a privilege to be appointed as the new Editor-in-Chief of
the International Psychogeriatrics (IPG), the flagship journal of the premier
international geriatric psychiatry organization - the International
Psychogeriatric Association (IPA). I am a proud Life Member of the IPA, and have
been involved in different activities of the IPA over the past three decades.
PMID- 29355477
TI - The political implications of epigenetics.
AB - BACKGROUND: Epigenetics, which is just beginning to attract public attention and
policy discussion, challenges conventional understanding of gene-environment
interaction and intergenerational inheritance and perhaps much more besides.
QUESTION: Does epigenetics challenge modern political ideologies? METHODS: I
analyzed the narratives of obesity and epigenetics recently published in the more
liberal New York Times and the more conservative Wall Street Journal. For the
years 2010 through 2014, 50 articles on obesity and 29 articles on epigenetics
were identified, and elements in their causal narratives were quantitatively
analyzed using a well described narrative policy framework. FINDINGS: The
narratives on obesity aligned with the two newspapers' reputed ideologies.
However, the narratives on epigenetics aligned with neither ideology but freely
mixed liberal and conservative elements. DISCUSSION: This small study may serve
as a starting point for broader studies of epigenetics as it comes to affect
political ideologies and, in turn, public policies. The narrative mix reported
here could yet prove vulnerable to ideological capture, or, more optimistically,
could portend the emergence of a "third-way" narrative using epigenetics to
question atomistic individualism and allowing for less divisiveness in public
health domains such as obesity.
PMID- 29355478
TI - On recent advances in human engineering.
AB - Advances in embryology, genetics, and regenerative medicine regularly attract
attention from scientists, scholars, journalists, and policymakers, yet
implications of these advances may be broader than commonly supposed.
Laboratories culturing human embryos, editing human genes, and creating human
animal chimeras have been working along lines that are now becoming intertwined.
Embryogenic methods are weaving traditional in vivo and in vitro distinctions
into a new "in vivitro" (in life in glass) fabric. These and other methods known
to be in use or thought to be in development promise soon to bring society to
startling choices and discomfiting predicaments, all in a global effort to supply
reliably rejuvenating stem cells, to grow immunologically nonprovocative
replacement organs, and to prevent, treat, cure, or even someday eradicate
diseases having genetic or epigenetic mechanisms. With humanity's human
engineering era now begun, procedural prohibitions, funding restrictions,
institutional controls, and transparency rules are proving ineffective, and
business incentives are migrating into the most basic life-sciences inquiries,
wherein lie huge biomedical potentials and bioethical risks. Rights, health, and
heritage are coming into play with bioethical presumptions and formal protections
urgently needing reassessment.
PMID- 29355480
TI - Sorting of a multi-subunit ubiquitin ligase complex in the endolysosome system.
AB - The yeast Dsc E3 ligase complex has long been recognized as a Golgi-specific
protein ubquitination system. It shares a striking sequence similarity to the
Hrd1 complex that plays critical roles in the ER-associated degradation pathway.
Using biochemical purification and mass spectrometry, we identified two novel Dsc
subunits, which we named as Gld1 and Vld1. Surprisingly, Gld1 and Vld1 do not
coexist in the same complex. Instead, they compete with each other to form two
functionally independent Dsc subcomplexes. The Vld1 subcomplex takes the AP3
pathway to reach the vacuole membrane, whereas the Gld1 subcomplex travels
through the VPS pathway and is cycled between Golgi and endosomes by the
retromer. Thus, instead of being Golgi-specific, the Dsc complex can regulate
protein levels at three distinct organelles, namely Golgi, endosome, and vacuole.
Our study provides a novel model of achieving multi-tasking for transmembrane
ubiquitin ligases with interchangeable trafficking adaptors.
PMID- 29355481
TI - Improving Follow-up and Reducing Barriers for Eye Screenings in Communities: The
SToP Glaucoma Study.
AB - PURPOSE: To evaluate factors associated with attendance to follow-up ophthalmic
care, and to assess the impact of strategies to improve follow-up. DESIGN: Cross
sectional study. METHODS: This is an ongoing study to develop an eye screening
paradigm, focusing on African Americans >=50 years of age at multiple urban
community sites in Baltimore, Maryland. Several strategies were employed aiming
to increase follow-up attendance rates. Multivariable logistic regression was
used to evaluate the associations between demographic, medical, and ocular
factors with follow-up rate. RESULTS: The total number of referred patients
presenting for a free eye examination (attendance rate) during the first phase,
during the second phase, and overall was 686 (55.0%), 199 (63.8%), and 885
(57.0%), respectively. In fully adjusted models, the odds ratio (95% confidence
intervals) for attending the follow-up visit was 1.82 (1.19, 2.79) for screening
in second phase vs first phase, 0.62 (0.39, 0.99) for screening sites that were 3
to <5 miles vs <1 mile from the hospital, 1.70 (1.12, 2.59) in patients with body
mass index >= 30 vs < 25 kg/m2, 2.03 (1.28, 3.21) in patients with presenting
visual acuity < 20/40 vs >= 20/40, and 2.32 (1.24, 4.34) for patients with an
abnormal vs normal macula. CONCLUSIONS: Obesity, short distance between screening
sites and hospital, poor presenting visual acuity in the better eye, and an
abnormal macula on fundus photography were associated with increased follow-up
rate. Implementation of a combination of strategies effectively increased the
follow-up rate. Wider adoption of these strategies in other screening programs
has the potential to reduce the burden of visual impairment.
PMID- 29355479
TI - Mutations in L-type amino acid transporter-2 support SLC7A8 as a novel gene
involved in age-related hearing loss.
AB - Age-related hearing loss (ARHL) is the most common sensory deficit in the
elderly. The disease has a multifactorial etiology with both environmental and
genetic factors involved being largely unknown. SLC7A8/SLC3A2 heterodimer is a
neutral amino acid exchanger. Here, we demonstrated that SLC7A8 is expressed in
the mouse inner ear and that its ablation resulted in ARHL, due to the damage of
different cochlear structures. These findings make SLC7A8 transporter a strong
candidate for ARHL in humans. Thus, a screening of a cohort of ARHL patients and
controls was carried out revealing several variants in SLC7A8, whose role was
further investigated by in vitro functional studies. Significant decreases in
SLC7A8 transport activity was detected for patient's variants (p.Val302Ile,
p.Arg418His, p.Thr402Met and p.Val460Glu) further supporting a causative role for
SLC7A8 in ARHL. Moreover, our preliminary data suggest that a relevant proportion
of ARHL cases could be explained by SLC7A8 mutations.
PMID- 29355482
TI - Inferior Decentration of Multifocal Intraocular Lenses in Myopic Eyes.
AB - PURPOSE: To investigate the tilt and decentration of multifocal intraocular
lenses (MfIOLs) implanted in myopic eyes. DESIGN: A prospective cohort study.
METHODS: Twenty-eight myopic eyes and 56 emmetropic eyes were evaluated.
Phacoemulsification with Tecnis ZMB00 MfIOL (Abbott Medical Optics, Santa Ana,
California, USA) implantation was performed. At 1 year post-surgery, routine
postoperative examinations were performed, and tilt and decentration of the
MfIOLs, high-order aberrations, and modulation transfer function (MTF) were
evaluated using the OPD-Scan III aberrometer (Nidek Co, Ltd, Gamagori, Japan).
Subjective symptoms were assessed with a Quality of Vision questionnaire.
RESULTS: Postoperative uncorrected distance visual acuity (VA), best-corrected
distance VA, and uncorrected near VA did not differ between the 2 groups. The
mean IOL tilt and horizontal decentration were not different between the control
and myopic groups. However, the myopic group presented significantly inferior
decentration in the capsular bag compared with the control group (-0.03 +/- 0.22
mm vs -0.21 +/- 0.29 mm, P = .002). The overall decentration values were 0.32 +/-
0.14 mm in the controls and 0.40 +/- 0.18 mm in the myopic group (P = .023).
Axial length was negatively correlated with vertical decentration (r = -0.268, P
= 0.014) and positively correlated with overall decentration (r = 0.334, P =
.002). Worse aberration data, poorer MTF, and more subjective symptoms were also
found in the myopic group than in the controls. CONCLUSION: Greater inferior
decentration of MfIOLs and a consequent decrease in visual quality were found in
myopic eyes, indicating that the increasing incompatibility between IOL and
capsular bag size with axial length elongation should not be underestimated.
PMID- 29355483
TI - Plasticity of the ligand binding pocket in the bitter taste receptor T2R7.
AB - Bitter taste receptors (T2Rs) are a group of 25 G protein-coupled receptors
(GPCRs) in humans. The cognate agonists and the mechanism of ligand binding to
the majority of the T2Rs remain unknown. Here we report the first structure
function analysis of T2R7 and study the ability of this receptor to bind to
different agonists by site-directed mutagenesis. Screening of ligands for T2R7 in
calcium based assays lead to the identification of novel compounds that activate
this receptor. Quinine, diphenidol, dextromethorphan and diphenhydramine showed
substantial activation of T2R7. Interestingly, these bitter compounds showed
different pharmacological characteristics. To investigate the structural features
in T2R7 that might contribute to the observed differences in agonist
specificities, molecular model guided ligand docking and site-directed
mutagenesis was pursued. Amino acids D65, D86, W89, N167, T169, W170, S181, T255
and E271 in the ligand-binding pocket were replaced and the mutants characterized
pharmacologically. Our results suggest D86, S181 and W170 present on the
extracellular side of transmembrane 3 (TM3), TM5 and in extracellular loop 2
(ECL2) are essential for agonist binding in T2R7. Mutations of these amino acids
lead to loss-of-function. We also identified gain-of-function residues that are
agonist specific. These results suggest that agonists bind at an extracellular
site rather than deep within the TM core involving residues present in both ECL2
and TM helices in T2R7. Similar to majority of the Class A GPCRs, ECL2 in T2R7
plays a significant role in agonist binding and activation.
PMID- 29355484
TI - Rapid detection of foodborne pathogen Listeria monocytogenes by strand exchange
amplification.
AB - A strand exchange amplification (SEA) method to detect foodborne pathogen
Listeria monocytogenes was developed. SEA is a novel nucleic acid amplification
method that only requires one pair of primers. The specie-specific primers were
designed by targeting the 16S rRNA gene and the amplification reaction was
performed as short as 60 min at 61 degrees C. Notably, SEA method could not only
detect genomic DNA but also detect RNA by one step without requiring extra
reverse transcription. The result could be visualized by naked eyes so that water
bath pot would be the only equipment needed. Moreover, culture fluids and
bacteria colony could be successfully detected without any pretreatment and the
method displayed good specificity and strong anti-jamming capacity. These
features greatly simplified the operating procedure and made SEA method be
potential for developing point-of-care testing (POCT) devices to detect viable L.
monocytogenes.
PMID- 29355485
TI - COX16 is required for assembly of cytochrome c oxidase in human cells and is
involved in copper delivery to COX2.
AB - Cytochrome c oxidase (COX), complex IV of the mitochondrial respiratory chain, is
comprised of 14 structural subunits, several prosthetic groups and metal
cofactors, among which copper. Its biosynthesis involves a number of ancillary
proteins, encoded by the COX-assembly genes that are required for the
stabilization and membrane insertion of the nascent polypeptides, the synthesis
of the prosthetic groups, and the delivery of the metal cofactors, in particular
of copper. Recently, a modular model for COX assembly has been proposed, based on
the sequential incorporation of different assembly modules formed by specific
subunits. We have cloned and characterized the human homologue of yeast COX16. We
show that human COX16 encodes a small mitochondrial transmembrane protein that
faces the intermembrane space and is highly expressed in skeletal and cardiac
muscle. Its knockdown in C. elegans produces COX deficiency, and its ablation in
HEK293 cells impairs COX assembly. Interestingly, COX16 knockout cells retain
significant COX activity, suggesting that the function of COX16 is partially
redundant. Analysis of steady-state levels of COX subunits and of assembly
intermediates by Blue-Native gels shows a pattern similar to that reported in
cells lacking COX18, suggesting that COX16 is required for the formation of the
COX2 subassembly module. Moreover, COX16 co-immunoprecipitates with COX2.
Finally, we found that copper supplementation increases COX activity and restores
normal steady state levels of COX subunits in COX16 knockout cells, indicating
that, even in the absence of a canonical copper binding motif, COX16 could be
involved in copper delivery to COX2.
PMID- 29355487
TI - Mesothelioma With a Large Prevascular Lymph Node: N1 Involvement or Something
Different?
AB - A 64-year-old man presented with a large amount of right-sided pleural fluid on
imaging, together with calcified pleural plaques and an enlarged nodular
structure in the prevascular mediastinum, presumably an enlarged lymph node.
Pleural biopsies were obtained during video-assisted thoracoscopic surgery to
exclude malignancy. Histopathology showed an epithelial malignant pleural
mesothelioma. Induction chemotherapy with cisplatin and pemetrexed was
administered followed by an extended pleurectomy and decortication with
systematic nodal dissection. Histopathology confirmed the diagnosis of a ypT3N0M0
(stage IB) mesothelioma, and an unexpected thymoma type B2 (stage II) was
discovered in the prevascular nodule. Simultaneous occurrence of a mesothelioma
and thymoma is extremely rare.
PMID- 29355486
TI - Low potential manganese ions as efficient electron donors in native anoxygenic
bacteria.
AB - Systematic control over molecular driving forces is essential for understanding
the natural electron transfer processes as well as for improving the efficiency
of the artificial mimics of energy converting enzymes. Oxygen producing
photosynthesis uniquely employs manganese ions as rapid electron donors.
Introducing this attribute to anoxygenic photosynthesis may identify evolutionary
intermediates and provide insights to the energetics of biological water
oxidation. This work presents effective environmental methods that substantially
and simultaneously tune the redox potentials of manganese ions and the cofactors
of a photosynthetic enzyme from native anoxygenic bacteria without the necessity
of genetic modification or synthesis. A spontaneous coordination with bis-tris
propane lowered the redox potential of the manganese (II) to manganese (III)
transition to an unusually low value (~400 mV) at pH 9.4 and allowed its binding
to the bacterial reaction center. Binding to a novel buried binding site elevated
the redox potential of the primary electron donor, a dimer of
bacteriochlorophylls, by up to 92 mV also at pH 9.4 and facilitated the electron
transfer that is able to compete with the wasteful charge recombination. These
events impaired the function of the natural electron donor and made BTP
coordinated manganese a viable model for an evolutionary alternative.
PMID- 29355488
TI - Smith-Lemli-Opitz Syndrome in a newborn infant with developmental abnormalities
and low endogenous cholesterol.
AB - BACKGROUND: Patients with Smith-Lemli-Opitz Syndrome (SLOS) have defective
endogenous cholesterol synthesis, and present with decreased cholesterol levels
and multiple developmental dysmorphologies. CASE DESCRIPTION: A newborn infant
with normal XY karyotype and normal microarray was born with multiple
developmental defects and ambiguous genitalia. The patient was diagnosed with
SLOS, following biochemical genetic analysis of serum 7-DHC concentrations. The
clinical course of the patient was further complicated by the comorbidities
associated with SLOS and the bacterial infections. CONCLUSION: We provide a
detailed biochemical profile of the SLOS patient. The report can help us further
understand the pathological impacts of cholesterol synthesis deficiency and
provide relevant clinical management with outcome of this rare genetic disorder.
PMID- 29355489
TI - iTRAQ analysis of urinary proteins: Potential use of gelsolin and osteopontin to
distinguish benign thyroid goiter from papillary thyroid carcinoma.
AB - BACKGROUND: Benign thyroid goiter (BTG) and papillary thyroid carcinoma (PTC) are
often interchangeably misdiagnosed. METHODS: Pooled urine samples of patients
with BTG (n=10), patients with PTC (n=9) and healthy controls (n=10) were
subjected to iTRAQ analysis and immunoblotting. RESULTS: The ITRAQ analysis of
the urine samples detected 646 proteins, 18 of which showed significant altered
levels (p<0.01; fold-change>1.5) between patients and controls. Whilst four
urinary proteins were commonly altered in both BTG and PTC patients, 14 were
unique to either BTG or PTC. Amongst these, four proteins were further chosen for
validation using immunoblotting, and the enhanced levels of osteopontin in BTG
patients and increased levels of a truncated gelsolin fragment in PTC patients,
relative to controls, appeared to corroborate the findings of the iTRAQ analysis.
CONCLUSION: The data of the present study is suggestive of the potential
application of urinary osteopontin and gelsolin to discriminate patients with BTG
from those with PTC non-invasively. However, this needs to be further validated
in studies of individual urine samples.
PMID- 29355490
TI - Expression of ICAM-1, E-cadherin, periostin and midkine in metastases of
pancreatic ductal adenocarcinomas.
AB - Development and progression of malignant tumors is in part characterized by the
ability of a tumor cell to overcome cell-cell and cell-matrix adhesion and to
disseminate in organs distinct from that in which they originated. This study was
undertaken to analyze the clinical significance of the expression of the
following cell-cell and cell-matrix adhesion molecules in pancreatic ductal
adenocarcinomas (PDACs) and synchronous liver metastases: intercellular adhesion
molecule 1 (ICAM-1), E-cadherin, periostin, and midkine (MK). ICAM-1, E-cadherin,
periostin and MK expression was analyzed by immunohistochemistry on a tissue
microarray containing 34 PDACs and 12 liver metastasis specimens. ICAM-1
expression was predominantly localized in the membranes of the cells and was
found in weak to moderate intensities in PDACs and liver metastases. E-cadherin
expression was absent in the majority of PDACs and corresponding liver
metastases. The secreted proteins periostin and MK were expressed in various
intensities in primary cancers and liver metastases. Statistical analysis
demonstrated that the expression levels of the analyzed markers were neither
significantly associated with metastasis in PDACs nor with clinical outcome of
patients. Our study shows that the expression of the cell-cell and cell-matrix
adhesion molecules ICAM-1, E-cadherin, periostin and MK was not significantly
linked to metastatic disease in PDACs. Moreover, our study excludes the analyzed
markers as prognostic markers in PDACs.
PMID- 29355491
TI - Restoration of mutant hERG stability by inhibition of HDAC6.
AB - The human ether-a-go-go-related gene (hERG) encodes the alpha subunit of a
rapidly activating delayed-rectifier potassium (IKr) channel. Mutations of the
hERG cause long QT syndrome type 2 (LQT2). Acetylation of lysine residues occurs
in a subset of non-histone proteins and this modification is controlled by both
histone acetyltransferases and deacetylases (HDACs). The aim of this study was to
clarify effects of HDAC(s) on wild-type (WT) and mutant hERG proteins. WThERG and
two trafficking-defective mutants (G601S and R752W) were transiently expressed in
HEK293 cells, which were treated with a pan-HDAC inhibitor Trichostatin A (TSA)
or an isoform-selective HDAC6 inhibitor Tubastatin A (TBA). Both TSA and TBA
increased protein levels of WThERG and induced expression of mature forms of the
two mutants. Immunoprecipitation showed an interaction between HDAC6 and immature
forms of hERG. Coexpression of HDAC6 decreased acetylation and, reciprocally,
increased ubiquitination of hERG, resulting in its decreased expression. siRNA
against HDAC6, as well as TBA, exerted opposite effects. Immunochemistry revealed
that HDAC6 knockdown increased expression of the WThERG and two mutants both in
the endoplasmic reticulum and on the cell surface. Electrophysiology showed that
HDAC6 knockdown or TBA treatment increased the hERG channel current corresponding
to the rapidly activating delayed-rectifier potassium current (IKr) in HEK293
cells stably expressing the WT or mutants. Three lysine residues (K116, K495 and
K757) of hERG were predicted to be acetylated. Substitution of these lysine
residues with arginine eliminated HDAC6 effects. In HL-1 mouse cardiomyocytes,
TBA enhanced endogenous ERG expression, increased IKr, and shortened action
potential duration. These results indicate that hERG is a substrate of HDAC6.
HDAC6 inhibition induced acetylation of hERG which counteracted ubiquitination
leading its stabilization. HDAC6 inhibition may be a novel therapeutic option for
LQT2.
PMID- 29355492
TI - Racial and ethnic differences in patterns of long-acting reversible contraceptive
use in the United States, 2011-2015.
AB - OBJECTIVE: To investigate whether demographic, socioeconomic, and reproductive
health characteristics affect long-acting reversible contraceptive (LARC) use
differently by race-ethnicity. Results may inform the dialogue on racial pressure
and bias in LARC promotion. STUDY DESIGN: Data derived from the 2011-2013 and
2013-2015 National Surveys of Family Growth (NSFG). Our study sample included
9321 women aged 15-44. Logistic regression analyses predicted current LARC use
(yes vs. no). We tested interaction terms between race-ethnicity (non-Hispanic
White, non-Hispanic Black, Hispanic) and covariates (for example, education,
parity, poverty level) to explore whether their effects on LARC use vary by race
ethnicity. RESULTS: In the race-interactions model, data did not show that low
income and education predict LARC use more strongly among Black and Hispanic
women than among White women. There was just one statistically significant race
interaction: experience of unintended pregnancy (p=.014). Among Whites and
Hispanics, women who reported ever experiencing an unintended pregnancy had a
higher predicted probability of LARC use than those who did not. On the other
hand, among Black women, the experience of unintended pregnancy was not
associated with a higher predicted probability of LARC use. CONCLUSIONS: With the
exception of the experience of unintended pregnancy, findings from this large,
nationally representative sample of women suggest similar patterns in LARC use by
race-ethnicity. IMPLICATIONS: Results from this analysis of NSFG data do not
provide evidence that observed differences in LARC use by race-ethnicity
represent socioeconomic disparities, and may assuage some concerns about
reproductive coercion among women of color. Nevertheless, it is absolutely
critical that providers use patient-centered approaches for contraceptive
counseling that promote women's autonomy in their reproductive health care
decision-making.
PMID- 29355493
TI - Elongation factor-2 kinase acts downstream of p38 MAPK to regulate proliferation,
apoptosis and autophagy in human lung fibroblasts.
AB - Idiopathic pulmonary fibrosis (IPF) is a chronic, fatal and progressive fibro
proliferative lung disease, and fibroblast-to-myofibroblast differentiation is a
crucial process in the development of IPF. Elongation factor-2 kinase (eEF2K) has
been reported to play an important role in various disease types, but the role of
eEF2K in IPF is unknown. In this study, we investigated the role of eEF2K in
normal lung fibroblast (NHLF) proliferation, differentiation, apoptosis, and
autophagy as well as the interaction between eEF2K and p38 MAPK signaling through
in vitro experiments. We found that the inhibition of eEF2K markedly augmented
cell proliferation and differentiation, suppressed apoptosis and autophagy, and
reversed the anti-fibrotic effects of a p38 MAPK inhibitor. Together, our results
indicate that eEF2K might inhibit TGF-beta1-induced NHLF proliferation and
differentiation and activate NHLF cell apoptosis and autophagy through p38 MAPK
signaling, which might ameliorate lung fibroblast-to-myofibroblast
differentiation.
PMID- 29355494
TI - ADAMTS-1 disrupts HGF/c-MET signaling and HGF-stimulated cellular processes in
fibrosarcoma.
AB - Extracellular matrix (ECM) serves as a reservoir for biologically active factors,
such as growth factors and proteases that influence the tumor cell behavior.
ADAMTS-1 (a disintegrin and metalloprotease with thrombospondin motifs) is a
secreted protease that has the ability to modify the ECM during physiological and
pathological processes. Here, we analyzed the role played by ADAMTS-1 regulating
HGF and TGF-beta1 activities in the high-grade fibrosarcoma cell line (HT1080).
We generated HT1080 and HEK293T cells overexpressing ADAMTS-1. HT1080 cells
overexpressing ADAMTS-1 (HT1080-MPA) exhibited a significant decrease in cell
proliferation and migration velocity, both in presence of HGF. We obtained
similar results with ADAMTS-1-enriched conditioned medium from other cell type.
However, ADAMTS-1 overexpression failed to affect TGF-beta1 activity associated
with HT1080 cell proliferation and migration velocity. Immunoblotting showed that
ADAMTS-1 overexpression disturbs c-Met activation upon HGF stimulation.
Downstream ERK1/2 and FAK signaling pathways are also influenced by this
protease. Additionally, ADAMTS-1 decreased the size of the fibrosarcospheres,
both under normal conditions and in the presence of HGF. Likewise, in presence of
HGF, ADAMTS-1 overexpression in HT1080 disrupted microtumors formation in vivo.
These microtumors, including individual cells, presented characteristics of non
invasive lesions (rounded morphology). Our results suggest that ADAMTS-1 is
involved in regulating HGF-related functions on fibrosarcoma cells. This protease
may then represent an endogenous mechanism in controlling the bioavailability of
different growth factors that have a direct influence on tumor cell behavior.
PMID- 29355495
TI - Endocrine active metals, prenatal stress and enhanced neurobehavioral disruption.
AB - Metals, including lead (Pb), methylmercury (MeHg) and arsenic (As), are long
known developmental neurotoxicants. More recently, environmental context has been
recognized to modulate metals toxicity, including nutritional state and stress
exposure. Modulation of metal toxicity by stress exposure can occur through
shared targeting of endocrine systems, such as the hypothalamic-pituitary-adrenal
axis (HPA). Our previous rodent research has identified that prenatal stress (PS)
modulates neurotoxicity of two endocrine active metals (EAMs), Pb and MeHg, by
altering HPA and CNS systems disrupting behavior. Here, we review this research
and further test the hypothesis that prenatal stress modulates metals
neurotoxicity by expanding to test the effect of developmental As +/- PS
exposure. Serum corticosterone and behavior was assessed in offspring of dams
exposed to As +/- PS. PS increased female offspring serum corticosterone at
birth, while developmental As exposure decreased adult serum corticosterone in
both sexes. As + PS induced reductions in locomotor activity in females and
reduced response rates on a Fixed Interval schedule of reinforcement in males,
with the latter suggesting unique learning deficits only in the combined
exposure. As-exposed males showed increased time in the open arms of an elevated
plus maze and decreased novel object recognition whereas females did not. These
data further confirm the hypothesis that combined exposure to chemical (EAMs) and
non-chemical (PS) stressors results in enhanced neurobehavioral toxicity. Given
that humans are exposed to multiple environmental risk factors that alter
endocrine function in development, such models are critical for risk assessment
and public health protection, particularly for children.
PMID- 29355496
TI - The glycosomal alkyl-dihydroxyacetonephosphate synthase TbADS is essential for
the synthesis of ether glycerophospholipids in procyclic trypanosomes.
AB - Glycerophospholipids are the main constituents of the biological membranes in
Trypanosoma brucei, which causes sleeping sickness in humans. The present work
reports the characterization of the alkyl-dihydroxyacetonephosphate synthase
TbADS that catalyzes the committed step in ether glycerophospholipid
biosynthesis. TbADS localizes to the glycosomal lumen. TbADS complemented a null
mutant of Leishmania major lacking alkyl-dihydroxyacetonephosphate synthase
activity and restored the formation of normal form of the ether lipid based
virulence factor lipophosphoglycan. Despite lacking alkyl
dihydroxyacetonephosphate synthase activity, a null mutant of TbADS in procyclic
trypanosomes remained viable and exhibited normal growth. Comprehensive analysis
of cellular glycerophospholipids showed that TbADS was involved in the
biosynthesis of all ether glycerophospholipid species, primarily found in the PE
and PC classes.
PMID- 29355497
TI - Novel arylidene derivatives of quinoline based thiazolidinones: Synthesis, in
vitro, in vivo and in silico study as antimalarials.
PMID- 29355499
TI - Protein deficiency lowers resistance of Mormon crickets to the pathogenic fungus
Beauveria bassiana.
AB - Little is known about the effects of dietary macronutrients on the capacity of
insects to ward off a fungal pathogen. Here we tested the hypothesis that Mormon
crickets fed restricted protein diets have lower enzymatic assays of generalized
immunity, slower rates of encapsulation of foreign bodies, and greater mortality
from infection by Beauveria bassiana, a fungal pathogen. Beginning in the last
nymphal instar, Mormon crickets were fed a high, intermediate, or low protein
diet with correspondingly low, intermediate, or high carbohydrate proportions.
After they eclosed to adult, we drew hemolymph, topically applied B. bassiana,
maintained them on diet treatments, and measured mortality for 21 days. Mormon
crickets fed high protein diets had higher prophenoloxidase titers, greater
encapsulation response, and higher survivorship to Beauveria fungal infection
than those on low protein diets. We replicated the study adding very high and
very low protein diets to the treatments. A high protein diet increased
phenoloxidase titers, and those fed the very high protein diet had more
circulating prophenoloxidase. Mormon crickets fed the very low protein diet were
the most susceptible to B. bassiana infection, but the more concentrated
phenoloxidase and prophenoloxidase associated with the highest protein diets did
not confer the greatest protection from the fungal pathogen as in the first
replicate. We conclude that protein-restricted diets caused Mormon crickets to
have lower phenoloxidase titers, slower encapsulation of foreign bodies, and
greater mortality from B. bassiana infection than those fed high protein diets.
These results support the nutrition-based dichotomy of migrating Mormon crickets,
protein-deficient ones are more susceptible to pathogenic fungi whereas
carbohydrate-deficient ones are more vulnerable to bacterial challenge.
PMID- 29355500
TI - Influenza Hemifusion Phenotype Depends on Membrane Context: Differences in Cell
Cell and Virus-Cell Fusion.
AB - Influenza viral entry into the host cell cytoplasm is accomplished by a process
of membrane fusion mediated by the viral hemagglutinin protein. Hemagglutinin
acts in a pH-triggered fashion, inserting a short fusion peptide into the host
membrane followed by refolding of a coiled-coil structure to draw the viral
envelope and host membranes together. Mutations to this fusion peptide provide an
important window into viral fusion mechanisms and protein-membrane interactions.
Here, we show that a well-described fusion peptide mutant, G1S, has a phenotype
that depends strongly on the viral membrane context. The G1S mutant is well known
to cause a "hemifusion" phenotype based on experiments in transfected cells,
where cells expressing G1S hemagglutinin can undergo lipid mixing in a pH
triggered fashion similar to virus but will not support fusion pores. We compare
fusion by the G1S hemagglutinin mutant expressed either in cells or in influenza
virions and show that this hemifusion phenotype occurs in transfected cells but
that native virions are able to support full fusion, albeit at a slower rate and
10-100* reduced infectious titer. We explain this with a quantitative model where
the G1S mutant, instead of causing an absolute block of fusion, alters the
protein stoichiometry required for fusion. This change slightly slows fusion at
high hemagglutinin density, as on the viral surface, but at lower hemagglutinin
density produces a hemifusion phenotype. The quantitative model thus reproduces
the observed virus-cell and cell-cell fusion phenotypes, yielding a unified
explanation where membrane context can control the observed viral fusion
phenotype.
PMID- 29355501
TI - On the Trails of the Proteasome Fold: Structural and Functional Analysis of the
Ancestral beta-Subunit Protein Anbu.
AB - The 20S proteasome is a key player in eukaryotic and archaeal protein
degradation, but its progenitor in eubacteria is unknown. Recently, the ancestral
beta-subunit protein (Anbu) was predicted to be the evolutionary precursor of the
proteasome. We crystallized Anbu from Hyphomicrobium sp. strain MC1 in four
different space groups and solved the structures by SAD-phasing and Patterson
search calculation techniques. Our data reveal that Anbu adopts the classical
fold of Ntn-hydrolases, but its oligomeric state differs from that of barrel
shaped proteases. In contrast to their typical architecture, the Anbu protomer is
a tightly interacting dimer that can assemble into a helical superstructure.
Although Anbu features a catalytic triad of Thr1Ogamma, Asp17Odelta1 and
Lys32Nepsilon, it is unable to hydrolyze standard protease substrates. The lack
of activity might be caused by the incapacity of Thr1NH2 to function as a
Bronsted acid during substrate cleavage due to its missing activation via
hydrogen bonding. Altogether, we demonstrate that the topology of the proteasomal
fold is conserved in Anbu, but whether it acts as a protease still needs to be
clarified.
PMID- 29355498
TI - Zn2+-induced disruption of neuronal mitochondrial function: Synergism with Ca2+,
critical dependence upon cytosolic Zn2+ buffering, and contributions to neuronal
injury.
AB - Excitotoxic Zn2+ and Ca2+ accumulation contributes to neuronal injury after
ischemia or prolonged seizures. Synaptically released Zn2+ can enter postsynaptic
neurons via routes including voltage sensitive Ca2+ channels (VSCC), and, more
rapidly, through Ca2+ permeable AMPA channels. There are also intracellular Zn2+
binding proteins which can either buffer neuronal Zn2+ influx or release bound
Zn2+ into the cytosol during pathologic conditions. Studies in culture highlight
mitochondria as possible targets of Zn2+; cytosolic Zn2+ can enter mitochondria
and induce effects including loss of mitochondrial membrane potential
(DeltaPsim), mitochondrial swelling, and reactive oxygen species (ROS)
generation. While brief (5 min) neuronal depolarization (to activate VSCC) in the
presence of 300 MUM Zn2+ causes substantial delayed neurodegeneration, it only
mildly impacts acute mitochondrial function, raising questions as to
contributions of Zn2+-induced mitochondrial dysfunction to neuronal injury. Using
brief high (90 mM) K+/Zn2+ exposures to mimic neuronal depolarization and
extracellular Zn2+ accumulation as may accompany ischemia in vivo, we examined
effects of disrupted cytosolic Zn2+ buffering and/or the presence of Ca2+, and
made several observations: 1. Mild disruption of cytosolic Zn2+ buffering-while
having little effects alone-markedly enhanced mitochondrial Zn2+ accumulation and
dysfunction (including loss of ?Psim, ROS generation, swelling and respiratory
inhibition) caused by relatively low (10-50 MUM) Zn2+ with high K+. 2. The
presence of Ca2+ during the Zn2+ exposure decreased cytosolic and mitochondrial
Zn2+ accumulation, but markedly exacerbated the consequent dysfunction. 3.
Paralleling effects on mitochondria, disruption of buffering and presence of Ca2+
enhanced Zn2+-induced neurodegeneration. 4. Zn2+ chelation after the high K+/Zn2+
exposure attenuated both ROS production and neurodegeneration, supporting the
potential utility of delayed interventions. Taken together, these data lend
credence to the idea that in pathologic states that impair cytosolic Zn2+
buffering, slow uptake of Zn2+ along with Ca2+ into neurons via VSCC can disrupt
the mitochondria and induce neurodegeneration.
PMID- 29355502
TI - The influence of temperature and salinity on mortality of recently recruited blue
crabs, Callinectes sapidus, naturally infected with Hematodinium perezi
(Dinoflagellata).
AB - The parasitic dinoflagellate Hematodinium perezi is highly prevalent in juvenile
blue crabs, Callinectes sapidus, along the eastern seaboard of the USA. Although
the parasite is known to kill adult crabs, the mortality rate of naturally
infected juvenile crabs remains unknown. We analyzed the influence of temperature
and salinity on the mortality of recently recruited blue crabs that were
naturally infected with H. perezi. Over 492 juvenile crabs (infected, n = 282;
uninfected controls, n = 210) were held individually in six-well plates and held
at six temperatures (4, 10, 15, 20, 25, and 30 degrees C) or three salinities
(5, 15, and 30 psu) for a maximum of 90 days. Mortality of infected crabs was 10
times higher at elevated temperatures (25 and 30 degrees C) and salinity (30
psu) compared to uninfected control treatments. By contrast, infected crabs
exposed to mild temperatures (10, 15, and 20 degrees C) showed a high survival
(>80%), no different than uninfected control treatments. Infected crabs at the
lowest temperature (4 degrees C) exhibited a high mortality, but the intensity
of infection was lower than in the other temperature treatments. In addition,
this study revealed the optimal temperature (25 degrees C) and salinity (30 psu)
for H. perezi to progress in its life cycle leading to sporulation in juvenile
crabs; 31.6% (19/60) of crabs held under these conditions released dinospores of
H. perezi after 10 days. Crabs held at other temperatures did not release
dinospores over the time course of the experiment. Infected crabs were capable of
molting and in most cases molted at the same frequency as uninfected crabs
serving as controls. The mortality observed in this study indicates that early
benthic juveniles will experience significant mortality due to H. perezi with
increasing ocean temperatures and that this mortality may be a significant factor
in the recruitment of blue crabs to high salinity regions.
PMID- 29355503
TI - REV-ERBbeta is required to maintain normal wakefulness and the wake-inducing
effect of dual REV-ERB agonist SR9009.
AB - Circadian signaling regulates and synchronizes physiological and behavioral
processes, such as feeding, metabolism, and sleep cycles. The endogenous
molecular machinery that regulates circadian activities is located in the
suprachiasmatic nucleus of the hypothalamus. The REV-ERBs are transcription
factors that play key roles in the regulation of the circadian clock and
metabolism. Using pharmacological methods, we recently demonstrated the
involvement of the REV-ERBs in sleep architecture. Another group reported a
delayed response to sleep deprivation and altered sleep cycles in REV-ERBalpha
null mice, indicating a role of REV-ERBalpha in sleep. Given that REV-ERBbeta is
structurally and functionally similar to REV-ERBalpha, we investigated the role
of REV-ERBbeta in sleep and wakefulness by assessing electroencephalographic
recordings in REV-ERBbeta deficient mice and the mechanism underlying effects of
loss of REV-ERBbeta on sleep. Our data suggest that REV-ERBbeta is involved in
the maintenance of wakefulness during the activity period. In addition, REV
ERBbeta-deficient mice administered with dual REV-ERB agonist SR9009, failed to
show drug-induced wake increase. Finally, the expression of a number of genes
known to mediate sleep and wakefulness were altered in REV-ERBbeta null mice.
PMID- 29355504
TI - PARP-1 inhibition ameliorates elastase induced lung inflammation and emphysema in
mice.
AB - COPD is associated with high morbidity and mortality and no effective treatment
is available till date. We have previously reported that PARP-1 plays an
important role in the establishment of airway inflammation associated with asthma
and ALI. In the present work, we have evaluated the beneficial effects of PARP-1
inhibition on COPD pathogenesis utilizing elastase induced mouse model of the
disease. Our data show that PARP-1 inhibition by olaparib significantly reduced
the elastase-induced recruitment of inflammatory cells particularly neutrophils
in the lungs of mice when administered at a dose of 5 mg/kg b.wt (i.p.).
Reduction in the lung inflammation was associated with suppressed myeloperoxidase
activity. Further, the drug restored the redox status in the lung tissues towards
normal as reflected by the levels of ROS, GSH and MDA. Olaparib administration
prior to elastase instillation blunted the phosphorylation of P65-NF-kappaB at
Ser 536 without altering phosphorylation of its inhibitor IkappaBalpha in the
lungs. Furthermore, olaparib down regulated the elastase-induced expression of NF
kappaB dependent pro-inflammatory cytokines (TNF-A, IL-6), chemokine (MIP-2) and
growth factor (GCSF) severely both at the mRNA and protein levels. Additionally,
PARP-1 heterozygosity suppressed the recruitment of inflammatory cells and
production of TNF-A, IL-6, MIP-2 and GCSF in the BALF to the similar extent as
exhibited by olaparib administration. Finally, PARP-1 inhibition by olaparib or
gene deletion protected against elastase-induced emphysema markedly. Overall, our
data strongly suggest that PARP-1 plays a critical role in elastase induced lung
inflammation and emphysema, and thus may be a new drug target candidate in COPD.
PMID- 29355505
TI - Glutazumab, a novel long-lasting GLP-1/anti-GLP-1R antibody fusion protein,
exerts anti-diabetic effects through targeting dual receptor binding sites.
AB - AIMS: Glucagon like-peptide-1 (GLP-1)-based drugs have been proposed as mono- or
combined therapy for type 2 diabetes mellitus. Thus we characterized a novel
antibody fusion protein engineered by linking the human GLP-1 derivative to a
humanized GLP-1 receptor (GLP-1R) antibody via a peptide linker. MATERIALS AND
METHODS: Glutazumab was characterized by receptor binding and reporter activation
assays, and its specificity was investigated with the aid of the cognate receptor
antagonist exendin (9-39) and antibody Ab1. Pharmacokinetics was evaluated in
Sprague-Dawley (SD) rats and cynomolgus monkeys, and pharmacodynamics was
assessed in normal ICR and spontaneous type 2 diabetic KKAy mice. Hypoglycemic
effects were evaluated after acute administration and glucose metabolism and beta
cell function were assessed with repeated administrations. Dulaglutide was a
positive control in all experiments. RESULTS: Glutazumab significantly bound and
activated GLP-1R, but the receptor antagonist exendin (9-39) did not inhibit the
activation except when combined with Ab1. Single injection of glutazumab reduced
the blood glucose in ICR mice and KKAy mice, and the half-lives in SD rats and
cynomolgus monkeys were 18 h and 33.6 h. Repeated injections of glutazumab
controlled glycemic fluctuations and improved beta-cell function in KKAy mice.
CONCLUSIONS: As a novel GLP-1R agonist, glutazumab may be a potential treatment
for T2DM.
PMID- 29355506
TI - Diagnostic Accuracy of the Veteran Affairs' Traumatic Brain Injury Screen.
AB - OBJECTIVE: To comprehensively estimate the diagnostic accuracy and reliability of
the Department of Veterans Affairs (VA) Traumatic Brain Injury (TBI) Clinical
Reminder Screen (TCRS). DESIGN: Cross-sectional, prospective, observational study
using the Standards for Reporting of Diagnostic Accuracy criteria. SETTING: Three
VA Polytrauma Network Sites. PARTICIPANTS: Operation Iraqi Freedom, Operation
Enduring Freedom veterans (N=433). MAIN OUTCOME MEASURES: TCRS, Comprehensive TBI
Evaluation, Structured TBI Diagnostic Interview, Symptom Attribution and
Classification Algorithm, and Clinician-Administered Posttraumatic Stress
Disorder (PTSD) Scale. RESULTS: Forty-five percent of veterans screened positive
on the TCRS for TBI. For detecting occurrence of historical TBI, the TCRS had a
sensitivity of .56 to .74, a specificity of .63 to .93, a positive predictive
value (PPV) of 25% to 45%, a negative predictive value (NPV) of 91% to 94%, and a
diagnostic odds ratio (DOR) of 4 to 13. For accuracy of attributing active
symptoms to the TBI, the TCRS had a sensitivity of .64 to .87, a specificity of
.59 to .89, a PPV of 26% to 32%, an NPV of 92% to 95%, and a DOR of 6 to 9. The
sensitivity was higher for veterans with PTSD (.80-.86) relative to veterans
without PTSD (.57-.82). The specificity, however, was higher among veterans
without PTSD (.75-.81) relative to veterans with PTSD (.36-.49). All indices of
diagnostic accuracy changed when participants with questionably valid (QV) test
profiles were eliminated from analyses. CONCLUSIONS: The utility of the TCRS to
screen for mild TBI (mTBI) depends on the stringency of the diagnostic reference
standard to which it is being compared, the presence/absence of PTSD, and QV test
profiles. Further development, validation, and use of reproducible diagnostic
algorithms for symptom attribution after possible mTBI would improve diagnostic
accuracy.
PMID- 29355507
TI - What Are the Determinants of Dental Care Expenditures in Institutions for Adults
With Disabilities? Findings From a National Survey.
AB - OBJECTIVE: To analyze the determinants of dental care expenditures in
institutions for adults with disabilities. DESIGN: Health and disability survey
and insurance database. SETTING: Institutional setting. PARTICIPANTS: Adults
(N=2222) living in institutions for people with cognitive, sensory, and mobility
disabilities. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: We used a
Heckman selection model to correct for potential sample selection bias due to the
high percentage of non-dental care users. The Heckman selection model is a 2-step
statistical approach based on the simultaneous estimation of 2 multiple
regression models-a selection equation (step 1) and an outcome equation (step 2)
offering a means of correcting for nonrandomly selected samples. The selection
equation modeled whether the individual had consulted a dentist at least once,
whereas the outcome equation explained the dental care expenditures. Disability
severity was assessed by scoring mobility and cognitive functional limitations.
Regressions also included sociodemographic characteristics and other health
related variables. RESULTS: Individuals with the highest cognitive limitation
scores, without family visits, without supplementary health insurance, and with
poor oral health status were less likely to consult a dentist. After controlling
for potential selection bias, the only variable that remained statistically
significant in the outcome equation was the oral health status: when individuals
with poor health status had consulted at least once, they had a higher level of
dental care expenditure. CONCLUSIONS: Functional limitations were barriers to
accessing dental care even in institutions for adult with disabilities. These
barriers should be overcome because they may worsen their oral health status and
well-being. Given the lack of literature on this specific topic, our results are
important from a policy perspective. Health authorities should be alerted by
these findings.
PMID- 29355508
TI - Intracranial Calcification Due to Hypoparathyroidism.
PMID- 29355509
TI - Severe Asthma in Primary Care: Identification and Management.
AB - Most patients with asthma are managed by primary care providers. Severe asthma is
associated with substantial morbidity and health care resource use, and long-term
sequelae of severe asthma include airway remodeling and a greater risk of
developing chronic obstructive pulmonary disease. These consequences highlight
the importance of early identification and improved management of patients with
severe asthma. Although treatment guidelines can be confusing and it can be
difficult to keep abreast of updates, routine assessments of lung function,
frequency and severity of exacerbations, symptom control, and medication
adherence in the primary care setting provide the necessary information for
identifying severe asthma and determining appropriate management strategies. An
increased understanding of asthma pathophysiology and its relationship to disease
activity has identified therapeutic targets and associated biomarkers. Biologic
therapies directed at these targets offer individualized targeted treatment of
severe asthma. We review evidence-based guidelines for identification and
management of severe asthma, clarify the relationship of asthma control and
asthma severity, and provide an overview of new biologic therapies offering
additional treatment options for patients with severe asthma.
PMID- 29355510
TI - Oxygen Therapy in Patients with Acute Myocardial Infarction: A Systemic Review
and Meta-Analysis.
AB - OBJECTIVE: Oxygen therapy is frequently used for patients with acute myocardial
infarction. The aim of this study is to perform a systematic review and meta
analysis to compare the outcomes of oxygen therapy versus no oxygen therapy in
post-acute myocardial infarction settings. METHODS: A systematic search of
electronic databases was conducted for randomized studies, which reported
cardiovascular events in oxygen versus no oxygen therapy. The evaluated outcomes
were all-cause mortality, recurrent coronary events (ischemia or myocardial
infarction), heart failure, and arrhythmias. Summary-adjusted risk ratios (RRs)
were calculated by the random effects DerSimonian and Laird model. The risk of
bias of the included studies was assessed by Cochrane scale. RESULTS: Our meta
analysis included a total of 7 studies with 3842 patients who received oxygen
therapy and 3860 patients without oxygen therapy. Oxygen therapy did not decrease
the risk of all-cause mortality (pooled RR, 0.99; 95% confidence interval [CI],
0.81-1.21; P = .43), recurrent ischemia or myocardial infarction (pooled RR,
1.19; 95% CI, 0.95-1.48; P = .75), heart failure (pooled RR, 0.94; 95% CI, 0.61
1.45; P = .348), and occurrence of arrhythmia events (pooled RR, 1.01; 95% CI,
0.85-1.2; P = .233) compared with the no oxygen arm. CONCLUSIONS: This meta
analysis confirms the lack of benefit of routine oxygen therapy in patients with
acute myocardial infarction with normal oxygen saturation levels.
PMID- 29355511
TI - Low-Dose d-Amphetamine Induced Regression of Liver Fat Deposits in Dercum
Disease.
AB - BACKGROUND: Dercum disease is a rare disorder of painful subcutaneous adipose
tissue masses typically presenting as a constellation of signs and symptoms
affecting most organs, including slow lymphatic flow and fatty liver. METHOD: The
University of Arizona Institutional Review Board considered this report exempt
after patient consent. Multislice, multisequence magnetic resonance imaging (MRI)
of the abdomen and pelvis was performed prior to and after d-amphetamine, with
and without intravenous gadolinium. RESULTS: Initial MRI demonstrated hepatic
steatosis in Case 1; Case 2 had 2-subcentimeter lipid foci within the liver.
Initiation of 10-20 mg d-amphetamine decreased liver lipid deposition from 16% to
4% in Case 1 and resolved fat deposits in Case 2 after ~1 year. CONCLUSION: There
is a dire need for novel treatment options for nonalcoholic fatty liver disease
to prevent progression to cirrhosis. Reduction of liver fat by d-amphetamine
suggests a potential therapeutic role in nonalcoholic fatty liver disease.
PMID- 29355512
TI - Drivers of Application Inflation: A National Survey of Internal Medicine
Residents.
PMID- 29355513
TI - Sweet's Syndrome Mimicking Anti-Neutrophil Cytoplasmic Antibodies-Associated
Vasculitis.
PMID- 29355515
TI - Spliceosome-Associated Protein 130 Exacerbates Alcohol-Induced Liver Injury by
Inducing NLRP3 Inflammasome-Mediated IL-1beta in Mice.
AB - Excessive alcohol consumption leads to chronic liver diseases. Macrophage
inducible C-type lectin (Mincle) is a C-type lectin receptor that recognizes
spliceosome-associated protein 130 (SAP130) known as an endogenous ligand
released from dying cells. The aim was to examine the role of Mincle-SAP130 in
the pathogenesis of alcoholic liver disease. Alcohol-induced liver injury was
induced in wild-type (WT) and Mincle knockout (KO) mice by using a chronic-binge
ethanol-feeding model. Mincle KO mice showed significant lower hepatic steatosis,
inflammation with neutrophil infiltration, and fibrosis compared with WT mice
after alcohol feeding. In contrast, Mincle activation exacerbated alcohol-induced
liver injury. Kupffer cells (KCs) are major sources of Mincle. IL-1beta
expression was significantly down-regulated in Mincle KO mice compared with that
in WT mice after alcohol consumption. Interestingly, expression and production of
IL-1beta were significantly decreased in SAP130-treated KCs isolated from leucine
rich-containing family pyrin domain containing-3-deficient mice compared with
those in WT KCs. Such results were also observed in cells treated with SAP130
plus Syk inhibitor. Furthermore, infiltration of invariant natural killer T cells
was decreased in livers of Mincle KO mice. Finally, inhibition of Syk signaling
ameliorated alcohol-induced liver injury. Collectively, these results
demonstrated that interaction between Mincle and SAP130 may promote the
progression of alcoholic liver disease by IL-1beta production in KCs and
consequently increase inflammatory immune cell infiltration.
PMID- 29355514
TI - A Shared Pattern of beta-Catenin Activation in Bronchopulmonary Dysplasia and
Idiopathic Pulmonary Fibrosis.
AB - Wnt/beta-catenin signaling is necessary for normal lung development, and abnormal
Wnt signaling contributes to the pathogenesis of both bronchopulmonary dysplasia
(BPD) and idiopathic pulmonary fibrosis (IPF), fibrotic lung diseases that occur
during infancy and aging, respectively. Using a library of human normal and
diseased human lung samples, we identified a distinct signature of nuclear
accumulation of beta-catenin phosphorylated at tyrosine 489 and epithelial cell
cytosolic localization of beta-catenin phosphorylated at tyrosine 654 in early
normal lung development and fibrotic lung diseases BPD and IPF. Furthermore, this
signature was recapitulated in murine models of BPD and IPF. Image analysis of
immunofluorescence colocalization demonstrated a consistent pattern of elevated
nuclear phosphorylated beta-catenin in the lung epithelium and surrounding
mesenchyme in BPD and IPF, closely resembling the pattern observed in 18-week
fetal lung. Nuclear beta-catenin phosphorylated at tyrosine 489 associated with
an increased expression of Wnt target gene AXIN2, suggesting that the observed
beta-catenin signature is of functional significance during normal development
and injury repair. The association of specific modifications of beta-catenin
during normal lung development and again in response to lung injury supports the
widely held concept that repair of lung injury involves the recapitulation of
developmental programs. Furthermore, these observations suggest that beta-catenin
phosphorylation has potential as a therapeutic target for the treatment and
prevention of both BPD and IPF.
PMID- 29355517
TI - Eicosapentaenoic acid and docosahexaenoic acid have distinct membrane locations
and lipid interactions as determined by X-ray diffraction.
AB - Eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) differentially
influence lipid oxidation, signal transduction, fluidity, and cholesterol domain
formation, potentially due in part to distinct membrane interactions. We used
small angle X-ray diffraction to evaluate the EPA and DHA effects on membrane
structure. Membrane vesicles composed of 1-palmitoyl-2-oleoyl-sn-glycero-3
phosphocholine (POPC) and cholesterol (C) (0.3C:POPC mole ratio) were prepared
and treated with vehicle, EPA, or DHA (1:10 mol ratio to POPC). Electron density
profiles generated from the diffraction data showed that EPA increased membrane
hydrocarbon core electron density over a broad area, up to +/- 20 A from the
membrane center, indicating an energetically favorable extended orientation for
EPA likely stabilized by van der Waals interactions. By contrast, DHA increased
electron density in the phospholipid head group region starting at +/- 12 A from
the membrane center, presumably due to DHA-surface interactions, with coincident
reduction in electron density in the membrane hydrocarbon core centered +/- 7-9 A
from the membrane center. The membrane width (d-space) decreased by 5 A in the
presence of vehicle as the temperature increased from 10 degrees C to 30
degrees C due to increased acyl chain trans-gauche isomerizations, which was
unaffected by addition of EPA or DHA. The influence of DHA on membrane structure
was modulated by temperature changes while the interactions of EPA were
unaffected. The contrasting EPA and DHA effects on membrane structure indicate
distinct molecular locations and orientations that may contribute to observed
differences in biological activity.
PMID- 29355518
TI - Rapid single-step formation of liposomes by flow assisted stationary phase
interdiffusion.
AB - Laboratory preparation of unilamellar liposomes often involves multiple steps
carried out over several hours to achieve a monodisperse size distribution. Here,
we present a methodology based on a recently introduced lipid self-assembly
principle-stationary phase interdiffusion (SPI)-to prepare large unilamellar
vesicles (LUVs) of a monodisperse population in a short period of about 10 min.
The stationary interface between a lipid-ethanol phase and an aqueous phase is
created by a density difference induced convective flow in a horizontal
capillary. The average size of the liposomes, as expected from the SPI principle,
is modulated only by the temperature and the type of lipids. Lipid concentration,
ethanol content, pH of the aqueous phase, and the time duration of the experiment
have little influence on the mean diameter of the vesicles. This simple
methodology can be easily carried out with a capillary and a micro-needled
syringe and provides a rapid production tool for researchers requiring
reproducible liposome suspensions. Refined natural lipids, based on soy and egg
lecithin mixtures, yield LUVs in the range 100-200 nm, suitable for drug delivery
applications.
PMID- 29355519
TI - Successful sperm cryopreservation of the brown-marbled grouper, Epinephelus
fuscoguttatus using propylene glycol as cryoprotectant.
AB - This study developed the cryopreservation of brown-marbled grouper spermatozoa
for practical application. We examined 32 cryodiluents, developed from four types
of cryoprotectants [propylene glycol (PG), dimethyl-sulphoxide (Me2SO), dimethyl
acetamide (DMA) and ethylene glycol (EG)] at four concentrations of 5, 10, 15 and
20% in combination with two extenders [Fetal bovine serum (FBS) and artificial
seminal plasma (ASP). Cooling rates were examined by adjusting the height of
straws (2.5-12.5 cm) from the liquid nitrogen (LN) vapor and cooled for 5 min
before immersion into LN. DNA laddering was used to detect DNA damage in
cryopreserved sperm. In fertilization trials, 0.5 g of eggs was mixed with
cryopreserved sperm stored for 30 days in LN. The best motility of post-thaw
sperm was achieved using 15% PG + 85% FBS (76.7 +/- 8.8%); 10% PG + 90% FBS was
also effective as cryodiluent. Generally, FBS gave better post-thaw motility
compared to ASP. The optimum cooling rate was at 17.6 degrees C min-1 obtained
by freezing at the height of 7.5 cm surface of LN. The results obtained showed
that cryopreserved sperm of brown-marbled grouper suffered slight DNA
fragmentation, which resulted in significantly lower motility. However, the
fertilization (90.9 +/- 0.5%), hatching (64.5 +/- 4.1%) and deformity rates (3.8
+/- 0.2%) obtained from cryopreserved sperm showed no significant difference with
fresh sperm. These findings show that the developed protocol for cryopreservation
of brown-marbled grouper sperm was viable and will be useful for successful
breeding and seed production of brown-marbled grouper.
PMID- 29355516
TI - Syndecan-1 Controls Lung Tumorigenesis by Regulating miRNAs Packaged in Exosomes.
AB - Syndecan-1 is a transmembrane proteoglycan expressed prominently by lung
epithelium and has pleiotropic functions such as regulating cell migration,
proliferation, and survival. Loss of syndecan-1 expression by lung cancer cells
is associated with higher-grade cancers and worse clinical prognosis. We
evaluated the effects of syndecan-1 in various cell-based and animal models of
lung cancer and found that lung tumorigenesis was moderated by syndecan-1. We
also demonstrate that syndecan-1 (or lack thereof) alters the miRNA cargo carried
within exosomes exported from lung cancer cells. Analysis of the changes in miRNA
expression identified a distinct shift toward augmented procancer signaling
consistent with the changes found in lung adenocarcinoma. Collectively, our work
identifies syndecan-1 as an important factor in lung cancer cells that shapes the
tumor microenvironment through alterations in miRNA packaging within exosomes.
PMID- 29355520
TI - Impact of capsule endoscopy on prevention of postoperative recurrence of Crohn's
disease.
AB - BACKGROUND AND AIMS: Capsule endoscopy (CE) can detect lesions outside the scope
of ileocolonoscopy in postoperative patients with Crohn's disease (CD). However,
the impact of such findings on patient outcomes remains unknown. This study is
intended to evaluate the impact of CE findings on clinical management and
outcomes in asymptomatic patients with CD without pharmacologic prophylaxis after
ileocolonic resection. METHODS: In this retrospective cohort study, 37 patients
(group 1) received ileocolonoscopy together with CE within 1 year after surgery,
whereas 46 patients (group 2) only received ileocolonoscopy. Patients with
endoscopic recurrence detected by either ileocolonoscopy or CE received
pharmacologic therapy with azathioprine or infliximab. One year later, disease
activity was re-evaluated. RESULTS: In group 1, all patients with ileocolonoscopy
identified recurrence also had CE-identified recurrence. In addition, CE detected
endoscopic recurrence in 11 patients missed by ileocolonoscopy. Endoscopic
remission identified by ileocolonoscopy was confirmed by CE in 13 patients. One
year later, endoscopic remission identified by ileocolonoscopy was maintained in
all 24 patients, and none had clinical recurrence. Conversely, in group 2, of
those with ileocolonoscopy-identified remission, both ileocolonoscopy-identified
recurrence and clinical recurrence occurred in 9 of 31 patients 1 year later. The
total clinical recurrence rate was 2.7% (1/37) in group 1 versus 21.7% (10/46) in
group 2 (P = .019). CONCLUSIONS: If endoscopic remission identified by
ileocolonoscopy was confirmed by CE, patients could remain free of pharmacologic
prophylaxis. If recurrence outside the scope of ileocolonoscopy was detected by
CE, initiation of active pharmacologic therapy would be needed.
PMID- 29355521
TI - The Dictyostelium GSK3 kinase GlkA coordinates signal relay and chemotaxis in
response to growth conditions.
AB - GSK3 plays a central role in orchestrating key biological signaling pathways,
including cell migration. Here, we identify GlkA as a GSK3 family kinase with
functions that overlap with and are distinct from those of GskA. We show that
GlkA, as previously shown for GskA, regulates the cell's cytoskeleton through
MyoII assembly and control of Ras and Rap1 function, leading to aberrant cell
migration. However, there are both qualitative and quantitative differences in
the regulation of Ras and Rap1 and their downstream effectors, including PKB,
PKBR1, and PI3K, with glkA- cells exhibiting a more severe chemotaxis phenotype
than gskA- cells. Unexpectedly, the severe glkA- phenotypes, but not those of
gskA-, are only exhibited when cells are grown attached to a substratum but not
in suspension, suggesting that GlkA functions as a key kinase of cell attachment
signaling. Using proteomic iTRAQ analysis we show that there are quantitative
differences in the pattern of protein expression depending on the growth
conditions in wild-type cells. We find that GlkA expression affects the cell's
proteome during vegetative growth and development, with many of these changes
depending on whether the cells are grown attached to a substratum or in
suspension. These changes include key cytoskeletal and signaling proteins known
to be essential for proper chemotaxis and signal relay during the aggregation
stage of Dictyostelium development.
PMID- 29355522
TI - sox2 and sox3 cooperate to regulate otic/epibranchial placode induction in
zebrafish.
AB - Expression of sox3 is one of the earliest markers of Fgf-dependent
otic/epibranchial placode induction. We report here that sox2 is also expressed
in the early otic/epibranchial placode in zebrafish. To address functions of sox2
and sox3, we generated knockouts and heat shock-inducible transgenes. Mutant
analysis, and low-level misexpression, showed that sox2 and sox3 act redundantly
to establish a full complement of otic/epibranchial cells. Disruption of pax8,
another early regulator, caused similar placodal deficiencies to sox3 mutants or
pax8-sox3 double mutants, suggesting that sox3 and pax8 operate in the same
pathway. High-level misexpression of sox2 or sox3 during early stages cell
autonomously blocked placode induction, whereas misexpression several hours later
could not reverse placodal differentiation. In an assay for ectopic placode
induction, we previously showed that misexpression of fgf8 induces a high level
of ectopic sox3, but not pax8. Partial knockdown of sox3 significantly enhanced
ectopic induction of pax8, whereas full knockdown of sox3 inhibited this process.
Together these findings show that sox2 and sox3 are together required for proper
otic induction, but the level of expression must be tightly regulated to avoid
suppression of differentiation and maintenance of pluripotency.
PMID- 29355524
TI - Long non-coding RNA UCA1 promotes lung cancer cell proliferation and migration
via microRNA-193a/HMGB1 axis.
AB - Lung cancer is a leading cause of death worldwide. Long non-coding RNAs have been
documented aberrantly expressed and exerted crucial role in variety of cancers.
Urothelial carcinoma associated 1 (UCA1) is a potential new type of biomarkers
for tumor diagnosis and exerts oncogenic effect on various human cancers.
However, the mechanism of oncogenic role of UCA1 in lung cancer remains unclear.
In this study, we firstly confirmed the role of UCA1 in lung cancer and found
that UCA1 down-regulation inhibited cell proliferation and migration in both
SKMES-1 and H520 lung cancer cells. Then we demonstrated that repressed UCA1
promoted the miR-193a expression and miR-193a could bind to the predicted binding
site of UCA1. We then dissected the role of miR-193a in lung cancer and proved
the anti-tumor role of miR-193a. Furthermore, we found that miR-193a displayed
its role in lung cancer via modulating the HMGB1 expression. In addition, we
found that over-expression of HMGB1 could restore the UCA1 knockdown induced
repression of cell proliferation and migration. In summary, our study
demonstrated that UCA1 exerts oncogenes activity in lung cancer, acting
mechanistically by upregulating HMGB1 expression through 'sponging' miR-193a.
PMID- 29355525
TI - Negative regulation of BRCA1 by transforming acidic coiled-coil protein 3
(TACC3).
AB - In spite of the push to identify modifiers of BRCAness, it still remains unclear
how tumor suppressor BRCA1 is lost in breast cancers in the absence of genetic or
epigenetic aberrations. Mounting evidence indicates that the transforming acidic
coiled-coil 3 (TACC3) plays an important role in the centrosome-microtubule
network during mitosis and gene expression, and that deregulation of TACC3 is
associated with breast cancer. However, the molecular mechanisms by which TACC3
contributes to breast cancer development have yet to be elucidated. Herein, we
found that high levels of TACC3 in human mammary epithelial cells can cause
genomic instability possibly in part through destabilizing BRCA1. We also found
that high levels of TACC3 inhibited the interaction between BRCA1 and BARD1, thus
subsequently allowing the BARD1-uncoupled BRCA1 to be destabilized by ubiquitin
mediated proteosomal pathway. Moreover, there is an inverse correlation between
TACC3 and BRCA1 expression in breast cancer tissues. Overall, our findings
provide a new insight into the role of TACC3 in genomic instability and breast
tumorigenesis.
PMID- 29355523
TI - sox2 and sox3 Play unique roles in development of hair cells and neurons in the
zebrafish inner ear.
AB - Formation of neural and sensory progenitors in the inner ear requires Sox2 in
mammals, and in other species is thought to rely on both Sox2 and Sox3. How Sox2
and/or Sox3 promote different fates is poorly understood. Our mutant analysis in
zebrafish showed that sox2 is uniquely required for sensory development while
sox3 is uniquely required for neurogenesis. Moderate misexpression of sox2 during
placodal stages led to development of otic vesicles with expanded sensory and
reduced neurogenic domains. However, high-level misexpression of sox2 or sox3
expanded both sensory and neurogenic domains to fill the medial and lateral
halves of the otic vesicle, respectively. Disruption of medial factor pax2a
eliminated the ability of sox2/3 misexpression to expand sensory but not
neurogenic domains. Additionally, mild misexpression of fgf8 during placodal
development was sufficient to specifically expand the zone of prosensory
competence. Later, cross-repression between atoh1a and neurog1 helps maintain the
sensory-neural boundary, but unlike mouse this does not require Notch activity.
Together, these data show that sox2 and sox3 exhibit intrinsic differences in
promoting sensory vs. neural competence, but at high levels these factors can
mimic each other to enhance both states. Regional cofactors like pax2a and fgf8
also modify sox2/3 functions.
PMID- 29355526
TI - Piperlongumine and some of its analogs inhibit selectively the human
immunoproteasome over the constitutive proteasome.
AB - The natural small molecule piperlongumine A is toxic selectively to cancer cells
in vitro and in vivo. This toxicity has been correlated with cancer cell ROS, DNA
damage and apoptotic cell death increases. We demonstrate here a new mechanistic
property of piperlongumine: it inhibits selectively human immunoproteasome with
no noticeable inhibition of human constitutive proteasome. This result suggests
that immunoproteasome inhibition, a mechanism independent of ROS elevation, may
also partly play a role in the anticancer effects observed with piperlongumine.
Structure-activity relationships of piperlongumine analogs suggest that the
lactam (piperidonic) ring of piperlongumine A may be replaced by the linear
olefin -NHCO-CH2=CH2 to improve both in vitro inhibitory efficiency against
immunoproteasome and cellular toxicity.
PMID- 29355527
TI - Isovitexin (IV) induces apoptosis and autophagy in liver cancer cells through
endoplasmic reticulum stress.
AB - Liver cancer is a leading cause of cancer death worldwide, and novel
chemotherapeutic drugs to suppress liver cancer are urgently required. Isovitexin
(IV), a glycosylflavonoid, is extracted from rice hulls of Oryza sativa, and has
various biological activities. However, the anti-tumor effect of IV against liver
cancer has not yet been demonstrated in vitro or in vivo. In the present study,
we showed that IV significantly suppressed the growth of liver cancer cells.
Mechanistic studies indicated that IV induced apoptosis by the mitochondrial
apoptotic pathway, as evidenced by the increase of Bax, cleaved Caspase-3, poly
(ADP-ribose) polymerase (PARP), and cytoplasm Cyto-c released from mitochondria.
In addition, IV resulted in autophagy in liver cancer cells, supported by the
enhancement of LC3II, autophagy-related protein (Atg) 3, Atg5 and Beclin1.
Suppressing autophagy using bafilomycin A1 (BFA) or siRNA Atg-5 reduced apoptotic
cells in IV-treated cells, demonstrating that autophagy induction regulated
apoptosis. Moreover, IV was found to cause endoplasmic reticulum (ER) stress in
liver cancer cells, along with the promotion of ER stress-related molecules,
including inositol-requiring enzyme 1alpha (IRE1alpha), X-box-binding protein-1s
(XBP-1s), C/EBP homologous protein (CHOP) and glucose-regulated protein (GRP)-78.
Of note, inhibition of ER stress by use of its inhibitor, tauroursodeoxycholate
(TUDCA), significantly reversed IV-induced apoptosis and autophagy. In vivo, IV
treatment showed significant tumor growth inhibition compared to the non-treated
group. IV could therefore be a strong candidate for liver cancer prevention.
PMID- 29355528
TI - Structural insights into the impact of two holoprosencephaly-related mutations on
human TGIF1 homeodomain.
AB - Human protein TGIF1 is an essential regulator of cell fate with broad roles in
different tissues, and has been implicated in holoprosencephaly (HPE) and many
cancers. The function of TGIF1 in transcriptional regulation depends on its three
amino acid loop extension (TALE) type of homeodomain (HD). Two missense mutations
that led to P192A and R219C substitutions in TGIF1-HD were previously found in
HPE patients and suggested to be the causes for these cases. However, how these
mutations affected TGIF1 function has not been investigated from a structural
view. Here, we investigated the roles of P192 and R219 in TGIF1-HD structure
packing through determining the NMR structure of TGIF1-HD. Surprisingly, P192 and
R219 were found to play roles in packing alpha1 and alpha2 to alpha3 together
with A190 and F215 through side-chain interactions. Circular dichroism (CD)
showed that P192A and R219C mutants displayed structural change and less folding
compared with wild-type TGIF1-HD, and 1H-15N HSQC spectrum of P192A mutant
exhibited chemical shift perturbations in all three helices of TGIF1-HD. Thus, it
is suggested that P192A and R219C mutations led to structure disturbances of
TGIF1-HD, which subsequently reduced the DNA-binding affinity of TGIF1-HD by 23
fold and 10-fold respectively, as revealed by the isothermal titration
calorimetry (ITC) experiments. Our study provides structural insights of the
probable pathogenesis mechanism of two TGIF1-related HPE cases, and evidences for
the roles of P192 and R219 in HD folding.
PMID- 29355529
TI - An enhanced anti-tumor effect of apoptin-cecropin B on human hepatoma cells by
using bacterial magnetic particle gene delivery system.
AB - The gene therapy of cancer, due to the limit of its efficiency and safety, has
not been widely used in clinical. Recently, bacterial magnetic particles (BMPs),
which are membrane-bound nanocrystals found in magnetotactic bacteria, have been
exploited as a new gene delivery system. However, its application on gene therapy
remains to be explored. In our previous study, we found that a combination of
cecropin B (ABPs) and apoptin (VP3) could serve as an effective gene therapeutic
agent. Thus, in this study, we used BMPs to deliver the co-expression plasmid of
these two gene, namely pVAX1-VA, and evaluated its therapeutic effect on human
hepatocellular carcinoma (HepG2). Our results showed that BMPs significantly
improved the efficiency of gene transfection (almost 3-fold than Lipofectamine
2000 at 48 h, P < .001), which led to stronger apoptosis (in a peak almost 2-fold
than Lipofectamine 2000-pVAX1-VA, P < .01) and growth inhibition of HepG2 cells.
More importantly, compared with Lipofectamine 2000-pVAX1-VA group, BMP-pVAX1-VA
strikingly inhibited tumor growth (0.60 +/- 0.09 g vs. 0.88 +/- 0.11 g, P < .05)
in nude mouse tumor models and increased the tumor-infiltrating lymphocytes
considerably without apparent cytotoxicity. These findings suggest that BMPs
could be an attractive gene delivery system for gene therapy and provide a
potential available treatment for human hepatocellular carcinoma and maybe some
other kinds of tumors.
PMID- 29355530
TI - Spexin as a neuroendocrine signal with emerging functions.
AB - Spexin (SPX), a novel peptide coevolved with the galanin/kisspeptin family, was
first identified by bioinformatics prior to its protein purification/functional
studies. Its mature peptide is highly conserved among different vertebrate
classes. Based on the studies in mammals and fish models, SPX was found to be
widely distributed at tissue level, secreted into systemic circulation,
identified at notable levels in central nervous system and peripheral tissues,
and has been confirmed/implicated in multiple functions in different
tissues/organs, suggesting that SPX may serve as a neuroendocrine signal with
pleotropic functions. In this article, different isoforms of SPX and their
binding with their cognate receptors GalR2 and GalR3, the biological functions of
SPX reported in mammals including GI tract movement, energy balance and weight
loss, fatty acid uptake, glucose homeostasis, nociception and
cardiovascular/renal functions, as well as the recent findings in fish models
regarding the role of SPX in reproduction and feeding control will be reviewed
with interesting questions for future investigations.
PMID- 29355531
TI - The repeatability of glucocorticoids: A review and meta-analysis.
AB - Glucocorticoids are highly conserved hormones that mediate a suite of responses
to changing conditions in vertebrates. Recent work has focused on understanding
how selection operates on glucocorticoid secretion in natural populations.
Because heritability is rarely estimated and difficult to measure in the wild,
many studies report within-individual repeatability as an estimate of stable
between individual differences in glucocorticoid secretion. We conducted a
systematic review and meta-analysis on estimates of within-individual
glucocorticoid repeatability to elucidate general patterns of repeatability, and
to test for relationships between covariates and estimates of repeatability. To
this end, we collected 203 estimates of within-individual glucocorticoid
repeatability drawn from 71 separate studies and 55 species. Overall, we found
moderate levels of repeatability (0.29). We also found that repeatability varied
by sample type. Long-term measures (e.g., fecal and feather samples) and acute
stress-induced plasma glucocorticoids had higher repeatability (long-term: 0.44,
stress-induced: 0.38), than baseline glucocorticoid levels (0.18). Repeatability
also decreased with increasing time between repeated sampling events. Despite
significant overall repeatability, there was substantial heterogeneity in
estimates from different studies, suggesting that repeatability of glucocorticoid
secretion varies substantially across systems and conditions. We discuss the
implications of our results for understanding selection on glucocorticoid traits
and suggest that continuing work should focus on evaluating the repeatability of
within-individual glucocorticoid reaction norms.
PMID- 29355532
TI - Comparative effects of sub-stimulating concentrations of non-human versus human
Luteinizing Hormones (LH) or chorionic gonadotropins (CG) on adenylate cyclase
activation by forskolin in MLTC cells.
AB - We have compared various Luteinizing Hormone (LH) and Chorionic Gonadotropin (CG)
preparations from non-human and human species in their ability to synergize with
10 uM forskolin (FSK) for cyclic AMP intracellular accumulation, in MLTC cells.
LH from rat pituitary as well as various isoforms of pituitary ovine, bovine,
porcine, equine and human LHs and equine and human CG were studied. In addition,
recombinant human LH and CG were also compared with the natural human and non
human hormones. Sub-stimulating concentrations of all LHs and CGs (2-100 pM) were
found to stimulate cyclic AMP accumulation in MLTC cells in the presence of an
also non-stimulating FSK concentration (10 uM). Like rat LH, the most homologous
available hormone for mouse MLTC cells, all non-human LHs and CG exhibit a strong
potentiating effect on FSK response. The human, natural and recombinant hLH and
hCG also do so but in addition, they were found to elicit a permissive effect on
FSK stimulation. Indeed, when incubated alone with MLTC cells at non-stimulating
concentrations (2-70 pM) hLH and hCG permit, after being removed, a dose
dependent cyclic AMP accumulation with 10 uM FSK. Our data show a clearcut
difference between human LH and CG compared to their non-human counterparts on
MLTC cells adenylate cyclase activity control. This points out the risk of using
hCG as a reference ligand for LHR in studies using non-human cells.
PMID- 29355533
TI - Modulatory effect of glutamate GluR2 receptor on the caudal neurosecretory
Dahlgren cells of the olive flounder, Paralichthys olivaceus.
AB - A neuromodulatory role for glutamate has been reported for magnocellular
neuroendocrine cells in mammalian hypothalamus. We examined the potential role of
glutamate as a local intercellular messenger in the neuroendocrine Dahlgren cell
population of the caudal neurosecretory system (CNSS) in the euryhaline flounder
Paralichthys olivaceus. In pharmacological experiments in vitro, glutamate (Glu)
caused an increase in electrical activity of Dahlgren cells, recruitment of
previously silent cells, together with a greater proportion of cells showing
phasic (irregular) activity. The glutamate substrate, glutamine (Gln), led to
increased firing frequency, cell recruitment and enhanced bursting activity. The
glutamate effect was not blocked by the N-methyl-D-aspartate (NMDA) receptor
antagonist MK-801, or the GluR1/GluR3 (AMPA) receptor antagonist IEm1795-2HBr,
but was blocked by the broad-spectrum alpha-amino-3-hydroxy- 5- methyl-4-isoxazo
lepropionic acid (AMPA) receptor antagonist ZK200775. Our transcriptome
sequencing study revealed three AMPA receptor (GluR1, GluR2 and GluR3) in the
olive flounder CNSS. Quantitative RT-PCR revealed that GluR2 receptor mRNA
expression was significant increased following dose-dependent superfusion with
glutamate in the CNSS. GluR1 and GluR3 receptor mRNA expression were decreased
following superfusion with glutamate. L-type Ca2+ channel mRNA expression had a
significant dose-dependent decrease following superfusion with glutamate,
compared to the control. In the salinity challenge experiment, acute transfer
from SW to FW, GluR2 receptor mRNA expression was significantly higher than the
control at 2 h. These findings suggest that GluR2 is one of the mechanisms which
can medicate glutamate action within the CNSS, enhancing electrical activity and
hence secretory output.
PMID- 29355534
TI - Neurokinin B signaling in hermaphroditic species, a study of the orange-spotted
grouper (Epinephelus coioides).
AB - Neurokinin B (NKB) plays important roles in the mammalian reproductive axis by
modulating the release of gonadotropin-releasing hormone (GnRH) and
gonadotropins. In the present study, the tac3 cDNA was cloned from a
hermaphroditic species, the orange-spotted grouper. Sequence analysis showed that
the grouper Tac3 precursor encoded two tachykinin peptides, NKB and NKB-related
peptide (NKBRP). Expression analysis in different tissues revealed that tac3 mRNA
was highly expressed in the brain of the orange-spotted grouper. In situ
hybridization further revealed that it was localized in some hypothalamic nuclei
associated with reproductive regulation. During ovarian development, an increase
of tac3 expression in the hypothalamus was observed at vitellogenesis stage.
Intraperitoneal administration of NKB could increase the gnrh1 and lhbeta mRNA
levels, and enhance the serum estrogen levels, but did not significantly
influence lhbeta expression in cultured pituitary cells, indicating that NKB does
not directly exert its actions on the pituitary gland. However, it was found that
NKBRP had no effect on the expression of two gnrhs and two gths in vivo and in
vitro. Effects of sex steroids on tac3 expression were further investigated.
During the 17-methyltestosterone-induced sex change in the orange-spotted
grouper, hypothalamic tac3 expression showed no significant change.
Interestingly, ovariectomy greatly stimulated tac3 expression, while the 17beta
estradiol treatment reversed this effect. In general, our data highly indicated
that NKB signaling could activate the reproductive axis in the orange-spotted
grouper. Our study is the first description of the NKB signaling in the
hermaphroditic species.
PMID- 29355535
TI - A test of the effects of androgens on immunity: No relationship between 11
ketotestosterone and immune performance in bluegill (Lepomis macrochirus).
AB - The immunosuppressive effects of androgens are a key component of the
immunocompetence handicap hypothesis (ICHH). Here, we use bluegill sunfish
(Lepomis macrochirus) to test two predictions arising from this hypothesis: (1)
natural circulating concentrations of the androgen 11-ketotestosterone (11-KT)
will be negatively related with measures of immunity, and (2) immune stimulation
will lower circulating 11-KT concentration. We found no evidence for a
relationship between natural circulating 11-KT concentration and measures of
immunity (lymphocyte and granulocyte counts, respiratory burst, cytokine mRNA
levels), and an immune stimulation with Vibrio vaccine did not affect circulating
11-KT concentration. We also performed a meta-analysis of immune stimulation
studies to help interpret our results, and report evidence suggesting that immune
stimulation has weaker effects on androgen levels in fishes compared to other
vertebrates. These results suggest that the ICHH may not apply to all
vertebrates, although it remains premature to state what factors account for the
weaker evidence in fishes that androgens are immunosuppressive.
PMID- 29355536
TI - A mathematical model of the mevalonate cholesterol biosynthesis pathway.
AB - We formulate, parameterise and analyse a mathematical model of the mevalonate
pathway, a key pathway in the synthesis of cholesterol. Of high clinical
importance, the pathway incorporates rate limiting enzymatic reactions with
multiple negative feedbacks. In this work we investigate the pathway dynamics and
demonstrate that rate limiting steps and negative feedbacks within it act in
concert to tightly regulate intracellular cholesterol levels. Formulated using
the theory of nonlinear ordinary differential equations and parameterised in the
context of a hepatocyte, the governing equations are analysed numerically and
analytically. Sensitivity and mathematical analysis demonstrate the importance of
the two rate limiting enzymes 3-hydroxy-3-methylglutaryl-CoA reductase and
squalene synthase in controlling the concentration of substrates within the
pathway as well as that of cholesterol. The role of individual feedbacks, both
global (between that of cholesterol and sterol regulatory element-binding protein
2; SREBP-2) and local internal (between substrates in the pathway) are
investigated. We find that whilst the cholesterol SREBP-2 feedback regulates the
overall system dynamics, local feedbacks activate within the pathway to tightly
regulate the overall cellular cholesterol concentration. The network stability is
analysed by constructing a reduced model of the full pathway and is shown to
exhibit one real, stable steady-state. We close by addressing the biological
question as to how farnesyl-PP levels are affected by CYP51 inhibition, and
demonstrate that the regulatory mechanisms within the network work in unison to
ensure they remain bounded.
PMID- 29355537
TI - Inferring about the extinction of a species using certain and uncertain
sightings.
AB - The sighting record of threatened species is often used to infer the possibility
of extinction. Most of these sightings have uncertain validity. Solow and
Beet(2014) developed two models using a Bayesian approach which allowed for
uncertainty in the sighting record by formally incorporating both certain and
uncertain sightings, but in different ways. Interestingly, the two methods give
completely different conclusions concerning the extinction of the Ivory-billed
Woodpecker. We further examined these two methods to provide a mathematical
explanation, and to explore in more depth, as to why the results differed from
one another. It was found that the first model was more sensitive to the last
uncertain sighting, while the second was more sensitive to the last certain
sighting. The difficulties in choosing the appropriate model are discussed.
PMID- 29355538
TI - Cooperative "folding transition" in the sequence space facilitates function
driven evolution of protein families.
AB - In the protein sequence space, natural proteins form clusters of families which
are characterized by their unique native folds whereas the great majority of
random polypeptides are neither clustered nor foldable to unique structures.
Since a given polypeptide can be either foldable or unfoldable, a kind of
"folding transition" is expected at the boundary of a protein family in the
sequence space. By Monte Carlo simulations of a statistical mechanical model of
protein sequence alignment that coherently incorporates both short-range and long
range interactions as well as variable-length insertions to reproduce the
statistics of the multiple sequence alignment of a given protein family, we
demonstrate the existence of such transition between natural-like sequences and
random sequences in the sequence subspaces for 15 domain families of various
folds. The transition was found to be highly cooperative and two-state-like.
Furthermore, enforcing or suppressing consensus residues on a few of the well
conserved sites enhanced or diminished, respectively, the natural-like pattern
formation over the entire sequence. In most families, the key sites included
ligand binding sites. These results suggest some selective pressure on the key
residues, such as ligand binding activity, may cooperatively facilitate the
emergence of a protein family during evolution. From a more practical aspect, the
present results highlight an essential role of long-range effects in precisely
defining protein families, which are absent in conventional sequence models.
PMID- 29355539
TI - The advantage of recombination when selection is acting at many genetic Loci.
AB - Natural selection can act at many loci across the genome. But as the number of
polymorphic loci increases linearly, the number of possible genotypic
combinations increases exponentially. Consequently, a finite population - even a
very large population - contains only a small sample of all possible multi-locus
genotypes. In this paper, we revisit the classic Fisher-Muller models of
recombination, taking into account the abundant standing variation that is
commonly seen in natural populations. We show that the generation of new
genotypic combinations through recombination is an important component of
adaptive evolution based on multi-locus selection. Specifically, high-fitness
genotypes are expected to be absent from the initial population when the
frequencies of favorable alleles at the selected loci are low. But as the allele
frequencies rise in response to selection the missing genotypes will be generated
by recombination. Given recombination, if the average frequency of the favored
alleles at the various selected loci is equal to p, then the expected number of
favorable alleles per chromosome will be equal to pL, where L is the number of
loci. As the value of p approaches unity at the selected loci, the number of
favorable alleles per chromosome will approach a value of L, i.e., at the end of
the selection process a favorable allele will be found at all loci. In the
absence of recombination, however, selection will be limited to the highest
fitness genotypes that are already present in the initial population. We point
out that the fitness of such initial genotypes is far less than the theoretical
maximum fitness because they contain a favorable allele at only a fraction of the
loci. Consequently, recombination acts to unblock the adaptive response to multi
locus selection in finite populations. Using simulations, we show that the sexual
population can withstand invasion by newly-arising asexual clones. These results
help explain the maintenance of sexual reproduction in natural populations.
PMID- 29355540
TI - Intermittent treatment of severe influenza.
AB - Severe, long-lasting influenza infections are often caused by new strains of the
virus. The long duration of these infections leads to an increased opportunity
for the emergence of drug resistant mutants. This is particularly problematic
since for new strains there is often no vaccine, so drug treatment is the first
line of defense. One strategy for trying to minimize drug resistance is to apply
drugs periodically. During treatment phases the wild-type virus decreases, but
resistant virus might increase; when there is no treatment, wild-type virus will
hopefully out-compete the resistant virus, driving down the number of resistant
virus. A stochastic model of severe influenza is combined with a model of drug
resistance to simulate long-lasting infections and intermittent treatment with
two types of antivirals: neuraminidase inhibitors, which block release of
virions; and adamantanes, which block replication of virions. Each drug's ability
to reduce emergence of drug resistant mutants is investigated. We find that cell
regeneration is required for successful implementation of intermittent treatment
and that the optimal cycling parameters change with regeneration rate.
PMID- 29355541
TI - Discovering the effect of nonlocal payoff calculation on the stabilty of ESS:
Spatial patterns of Hawk-Dove game in metapopulations.
AB - The classical idea of evolutionarily stable strategy (ESS) modeling animal
behavior does not involve any spatial dependence. We considered a spatial Hawk
Dove game played by animals in a patchy environment with wrap around boundaries.
We posit that each site contains the same number of individuals. An evolution
equation for analyzing the stability of the ESS is found as the mean dynamics of
the classical frequency dependent Moran process coupled via migration and
nonlocal payoff calculation in 1D and 2D habitats. The linear stability analysis
of the model is performed and conditions to observe spatial patterns are
investigated. For the nearest neighbor interactions (including von Neumann and
Moore neighborhoods in 2D) we concluded that it is possible to destabilize the
ESS of the game and observe pattern formation when the dispersal rate is small
enough. We numerically investigate the spatial patterns arising from the
replicator equations coupled via nearest neighbor payoff calculation and
dispersal.
PMID- 29355542
TI - No appendix necessary: Fecal transplants and antibiotics can resolve Clostridium
difficile infection.
AB - The appendix has been hypothesized to protect the colon against Clostridium
difficile infection (CDI) by providing a continuous source of commensal bacteria
that crowd out the potentially unhealthy bacteria and/or by contributing to
defensive immune dynamics. Here, a series of deterministic systems comprised of
ordinary differential equations, which treat the system as an ecological
community of microorganisms, model the dynamics of colon microbiome. The first
model includes migration of commensal bacteria from the appendix to the gut,
while the second model expands this to also include immune dynamics. Simulations
and simple analytic techniques are used to explore dynamics under biologically
relevant parameters values. Both models exhibited bistability with steady states
of a healthy state and of fulminant CDI. However, we find that the appendix size
was much too small for migration to affect the stability of the system. Both
models affirm the use of fecal transplants in conjunction with antibiotic use for
CDI treatment, while the second model also suggests that anti-inflammatory drugs
may protect against CDI. Ultimately, in general neither the appendiceal migration
rate of commensal microbiota nor the boost to antibody production could exert an
appreciable impact on the stability of the system, thus failing to support the
proposed protective role of the appendix against CDI.
PMID- 29355543
TI - Modelling the transmission dynamics of two-strain Dengue in the presence
awareness and vector control.
AB - In this paper, a mathematical model describing the transmission of two-strain
Dengue virus between mosquitoes and humans, incorporating vector control and
awareness of susceptible humans, is proposed. By using the next generation matrix
method, we obtain the threshold values to identify the existence and stability of
three equilibria states, that is, a disease-free state, a state where only one
serotype is present and another state where both serotypes coexist. Further,
explicit conditions determining the persistence of this disease are also
obtained. In addition, we investigate the sensitivity analysis of threshold
conditions and the optimal control strategy for this disease. Theoretical results
and numerical simulations suggest that the measures of enhancing awareness of the
infected and susceptible human self-protection should be taken and the mosquito
control measure is necessary in order to prevent the transmission of Dengue virus
from mosquitoes to humans.
PMID- 29355545
TI - The urinary excretion of metformin, ceftizoxime and ofloxacin in high serum
creatinine rats: Can creatinine predict renal tubular elimination?
AB - The renal excretion of creatinine and most drugs are the net result of glomerular
filtration and tubular secretion, and their tubular secretions are mediated by
individual transporters. Thus, we hypothesized that the increase of serum
creatinine (SCr) levels attributing to inhibiting tubular transporters but not
glomerular filtration rate (GFR) could be used to evaluate the tubular excretion
of drugs mediated by identical or partial overlap transporter with creatinine. In
this work, we firstly developed the creatinine excretion inhibition model with
normal GFR by competitively inhibiting tubular transporters, and investigated the
renal excretion of metformin, ceftizoxime and ofloxacin in vivo and in vitro. The
results showed that the 24-hour urinary excretion of metformin and ceftizoxime in
model rats were decreased by 25% and 17% compared to that in control rats,
respectively. The uptake amount and urinary excretion of metformin and
ceftizoxime could be inhibited by creatinine in renal cortical slices and
isolated kidney perfusion. However, the urinary excretion of ofloxacin was not
affected by high SCr. These results showed that the inhibition of tubular
creatinine transporters by high SCr resulted to the decrease of urinary excretion
of metformin and ceftizoxime, but not ofloxacin, which implied that the increase
of SCr could also be used to evaluate the tubular excretion of drugs mediated by
identical or partial overlap transporter with creatinine in normal GFR rats.
PMID- 29355544
TI - Quercetin suppresses breast cancer stem cells (CD44+/CD24-) by inhibiting the
PI3K/Akt/mTOR-signaling pathway.
AB - AIMS: Cancer stem cells (CSCs) are considered the prime source of cancer
recurrence, metastasis, and progression and represent important targets for
developing novel anticancer agents and therapeutic strategies. The aim of this
study was to investigate the effect of treating breast CSCs with the anticancer
flavonoid, quercetin. MAIN METHODS: We examined changes in the cluster of
differentiation CD44+/CD24-CSC population and behavior using the breast cancer
cell line MCF-7. KEY FINDINGS: Our results indicated that cell viability, clone
formation, mammosphere generation, and nude mice tumor metastasis were inhibited
in the CD44+/CD24- population and that MCF-7 cells exhibited G1-phase arrest
after quercetin treatment. Additionally, CyclinD1 and B cell lymphoma-2
expression were suppressed and Bcl-2-like protein-4 expression was enhanced after
quercetin treatment. We also observed that estrogen receptor alpha and
phosphatidylinositol-3-kinase (PI3K)/Akt/mammalian target of rapamycin (mTOR)
signaling were downregulated concurrently with the inhibition of CD44+/CD24-
viability and clone formation. Our findings suggested that quercetin treatment
promoted weaker malignant activity associated with CSCs relative to that observed
in normal cancer cells through its inhibition of the PI3K/Akt/mTOR-signaling
pathway. SIGNIFICANCE: These results indicated that CSCs are potential
therapeutic targets for quercetin treatment of breast cancer.
PMID- 29355546
TI - Magnolol treatment attenuates dextran sulphate sodium-induced murine experimental
colitis by regulating inflammation and mucosal damage.
AB - Magnolol, the main and active ingredient of the Magnolia officinalis, has been
widely used in traditional prescription to the human disorders. Magnolol has been
proved to have several pharmacological properties including anti-bacterial, anti
oxidant and anti-inflammatory activities. However, the effects of magnolol on
ulcerative colitis (UC) have not been reported. The aim of this study was to
investigate the protective effects and mechanisms of magnolol on dextran sulphate
sodium (DSS)-induced colitis in mice. The results showed that magnolol
significantly alleviated DSS-induced body weight loss, disease activities index
(DAI), colon length shortening and colonic pathological damage. In addition,
magnolol restrained the expression of TNF-alpha, IL-1beta and IL-12 via the
regulation of nuclear factor-kappaB (NF-kappaB) and Peroxisome proliferator
activated receptor-gamma (PPAR-gamma) pathways. Magnolol also enhanced the
expression of ZO-1 and occludin in DSS-induced mice colonic tissues. These
results showed that magnolol played protective effects on DSS-induced colitis and
may be an alternative therapeutic reagent for colitis treatment.
PMID- 29355547
TI - beta-Blockers in COPD: A Cohort Study From the TONADO Research Program.
AB - BACKGROUND: Cardiovascular disease is a frequent comorbidity in patients with
COPD. Many physicians, particularly pulmonologists, are reluctant to use beta
adrenoceptor blocking agents (beta-blockers) in patients with COPD, despite their
proven effectiveness in preventing cardiovascular events. METHODS: The large
(5,162 patients) phase III TONADO 1 and 2 studies assessed lung function and
patient-reported outcomes in patients with moderate to very severe COPD receiving
long-acting bronchodilator treatment across 1 year. This post hoc analysis
characterized lung-function changes, patient-reported outcomes, and safety in the
subgroup of patients receiving beta-blockers in the studies. RESULTS: In total,
557 of 5,162 patients (11%) received beta-blockers at baseline.
Postbronchodilator FEV1 at baseline was higher in the beta-blocker group (1.470
L) compared with that in the no beta-blocker group (1.362 L). As expected,
patients receiving beta-blockers had a more frequent history of cardiovascular
comorbidities and medications. Lung function improved from baseline in patients
with or those without beta-blocker treatment, and no relevant between-group
differences were observed in trough FEV1 or trough FVC at 24 or 52 weeks. No
relevant differences were observed for St. George's Respiratory Questionnaire
results and Transition Dyspnea Index in patients with beta-blockers compared with
those in patients without. Safety findings were comparable between groups.
CONCLUSIONS: Lung function, overall respiratory status, and safety of
tiotropium/olodaterol were not influenced by baseline beta-blocker treatment in
patients with moderate to very severe COPD. Results from this large patient
cohort support the cautious and appropriate use of beta-blockers in patients with
COPD and cardiovascular comorbidity. TRIAL REGISTRY: ClinicalTrials.gov; No.:
NCT01431274 and No. NCT01431287; URL: www.clinicaltrials.gov.
PMID- 29355548
TI - Treating Cough Due to Non-CF and CF Bronchiectasis With Nonpharmacological Airway
Clearance: CHEST Expert Panel Report.
AB - BACKGROUND: In bronchiectasis due to cystic fibrosis (CF) and other causes,
airway clearance is one of the mainstays of management. We conducted a systematic
review on airway clearance by using non-pharmacological methods as recommended by
international guidelines to develop recommendations or suggestions to update the
2006 CHEST guideline on cough. METHODS: The systematic search for evidence
examined the question, "Is there evidence of clinically important treatment
effects for non-pharmacological therapies in cough treatment for patients with
bronchiectasis?" Populations selected were all patients with bronchiectasis due
to CF or non-CF bronchiectasis. The interventions explored were the non
pharmacological airway clearance therapies. The comparison populations included
those receiving standard therapy and/or placebo. Clinically important outcomes
that were explored were exacerbation rates, quality of life, hospitalizations,
and mortality. RESULTS: In both CF and non-CF bronchiectasis, there were
systematic reviews and overviews of systematic reviews identified. Despite these
findings, there were no large randomized controlled trials that explored the
impact of airway clearance on exacerbation rates, quality of life,
hospitalizations, or mortality. CONCLUSIONS: Although the cough panel was not
able to make recommendations, they have made consensus-based suggestions and
provided direction for future studies to fill the gaps in knowledge.
PMID- 29355549
TI - Air Pollution Exposure Is Associated With Lower Lung Function, but Not Changes in
Lung Function, in Patients With Idiopathic Pulmonary Fibrosis.
AB - BACKGROUND: Air pollution exposure is associated with acute exacerbation, disease
progression, and mortality in patients with idiopathic pulmonary fibrosis (IPF).
The objective of this study was to describe the impact of air pollution exposures
on disease severity, as well as changes in lung function, in patients with IPF.
METHODS: Using home spirometers and symptom diaries, 25 patients with IPF
prospectively recorded FVC weekly for up to 40 weeks. Residential addresses were
geocoded to estimate weekly mean air pollution exposures for ground-level ozone
(O3), nitrogen dioxide (NO2), and particulate matter < 2.5 or 10 MUm in
aerodynamic diameter (PM2.5 and PM10, respectively). The dependence of weekly
clinical measurements on preceding levels of each pollutant was assessed with the
use of linear mixed models, yielding beta-coefficients with 95% CIs, using
varying lag times. RESULTS: Lower mean FVC % predicted was consistently
associated with increased mean exposures to PM10 in the 2 to 5 weeks preceding
clinical measurements (range, -0.46 to -0.39 [95% CI, -0.73 to -0.13]; P < .005).
Lower mean FVC % predicted over the study period was inversely related to mean
levels of NO2 (-0.45 [95% CI, -0.85 to -0.05]; P = .03), PM2.5 (-0.45 [95% CI,
0.84 to -0.07]; P = .02), and PM10 (-0.57 [95% CI, -0.92 to -0.21]; P = .003),
averaged over the study. Weekly changes in FVC and changes over 40 weeks were
independent of pollution exposures. CONCLUSIONS: Higher air pollution exposures
were associated with lower lung function, but not changes in lung function, in
patients with IPF. Further studies are needed to characterize the mechanisms
underlying this relationship.
PMID- 29355550
TI - Research in Extracorporeal Life Support: A Call to Action.
PMID- 29355551
TI - Baseline and Serial Brain Natriuretic Peptide Level Predicts 5-Year Overall
Survival in Patients With Pulmonary Arterial Hypertension: Data From the REVEAL
Registry.
AB - BACKGROUND: Plasma brain natriuretic peptide (BNP) level is a prognostic
biomarker in pulmonary arterial hypertension (PAH). Its impact on long-term
overall survival (OS) was investigated in the Registry to Evaluate Early and Long
term Pulmonary Arterial Hypertension Disease Management (REVEAL), a 5-year
observational, multicenter, US registry of patients with PAH. METHODS: Patients
were >= 18 years of age, met right heart catheterization criteria at rest, had
World Health Organization group I PAH, and had BNP measurement at enrollment.
Optimal BNP threshold was obtained via receiver operating characteristic curve
analysis. OS was compared in patients with low (<= 340 pg/mL) vs high (> 340
pg/mL) BNP at baseline; changes between baseline and last assessment were also
examined. Patients were categorized based on baseline (low or high) and follow-up
(low or high) BNP values; hazard ratios (HRs) for OS were estimated and compared
using Cox regression. RESULTS: Overall, 1,426 patients were analyzed. Mortality
risk was significantly higher in patients with baseline high vs low BNP (HR, 3.6;
95% CI, 3.0-4.2). BNP change analysis at <= 1 year postenrollment demonstrated
that the low-low group had the lowest and the high-high group had the highest 5
year mortality risk (HR, 0.23; 95% CI, 0.19-0.27). Changes in BNP score also
correlated with change of risk of death. CONCLUSIONS: Baseline BNP threshold of
340 pg/mL strongly predicted survival up to 5 years in patients with PAH. A BNP
reduction at 1 year since enrollment was associated with decreased mortality
risk, whereas an increase in BNP at 1 year was associated with an increased
mortality risk, supporting BNP as a surrogate marker of PAH survival.
PMID- 29355552
TI - Maternal hypertension and feto-placental growth restriction is reversed by
sildenafil: Evidence of independent effects of circulating nitric oxide levels.
AB - Sildenafil has shown nitric oxide (NO)-independent pleiotropic effects, however
the mechanisms involved are unclear. We investigated the protective effects of
sildenafil against hypertension in pregnancy and feto-placental growth
restriction induced by NO inhibition, and if sodium nitrite-derived NO formation
influences sildenafil effects. We evaluated the plasmatic levels of NO
metabolites, cyclic guanosine monophosphate (cGMP), oxidative stress and
myeloperoxidase, which are involved in endothelial dysfunction during
hypertension in pregnancy. Also, we performed in vitro experiments to examine
cell viability and NO synthesis in human umbilical vein endothelial cells
(HUVECs) cultures incubated with plasma from healthy or hypertensive pregnant
rats treated (or not) with both drugs, either alone or in association. Sildenafil
blunted hypertension in pregnancy and protected against feto-placental growth
restriction induced by NO inhibition and these effects of sildenafil alone were
similar to those presented by its association with sodium nitrite. Protective
effects of sildenafil were observed even with low plasmatic NO levels and were
not followed by increases in cGMP levels. Also, sildenafil, but not sodium
nitrite, blunted the increases in myeloperoxidase activity. Both drugs (isolated
or in association) presented antioxidant effects. Plasma from hypertensive
pregnant rats treated with sildenafil, but not sodium nitrite alone, increased
the viability of HUVECs. NO synthesis in HUVECs cultures was increased with
plasma from rats treated with both drugs. We conclude that sildenafil effects are
not dependent of circulating NO levels in hypertension and feto-placental growth
restriction. These findings may reflect a protection against myeloperoxidase and
pro-oxidant activation in hypertension in pregnancy.
PMID- 29355553
TI - New application of the commercial sweetener rebaudioside a as a hepatoprotective
candidate: Induction of the Nrf2 signaling pathway.
AB - A large population of drug candidates have failed "from bench to bed" due to
unwanted toxicities. We intend to develop an alternative approach for drug
discovery, that is, to seek candidates from "safe" compounds. Rebaudioside A (Reb
A) is an approved commercial sweetener from Stevia rebaudiana Bertoni. We found
that Reb-A protects against carbon tetrachloride (CCl4)-induced oxidative injury
in human liver hepatocellular carcinoma (HepG2) cells. Reb-A showed antioxidant
activity on reducing cellular reactive oxygen species and malondialdehyde levels
while increasing glutathione levels and superoxide dismutase and catalase
activities. Reb-A treatment induced nuclear factor erythroid-derived 2-like 2
(Nrf2) activation and antioxidant response element activity, as well as the
expression of heme oxygenase-1 (HO-1) and NAD(P)H quinone oxidoreductase 1
(NQO1). Further mechanistic studies indicated that c-Jun N-terminal kinase (JNK),
extracellular signal-regulated protein kinase (ERK), mitogen-active protein
kinase (MAPK) and protein kinase C epsilon (PKCepsilon) signaling was
upregulated. Thus, the present in vitro study conclusively demonstrated that Reb
A is an activator of Nrf2 and is a potential candidate hepatoprotective agent.
More importantly, the present study illustrated that seeking drug candidates from
"safe" compounds is a promising strategy.
PMID- 29355554
TI - Dual inhibition of HY023016 based on binding properties of platelet membrane
receptor subunit glycoprotein Ibalpha and thrombin exosites.
AB - Thrombin has long been suggested as a desirable antithrombotic target, but anti
thrombin therapy without anti-platelet thereby has never achieved the ideal
effect. HY023016 is a novel compound, in our previous study, it exerted better
anti-thrombotic than dabigatran etexilate. The present study aims to illustrate
the excess anti-thrombotic molecular mechanisms of HY023016 through thrombin
anion exosites and the platelet membrane receptor subunit glycoprotein Ibalpha
(GPIbalpha). HY023016 strongly inhibited the conversion of fibrinogen to fibrous
may via blocking thrombin exosite I. We also discovered that HY023016 remarkably
inhibited exosite II by a loss of affinity for the gamma'-peptide of fibrinogen
and for heparin. Furthermore, a solid phase binding assay revealed that HY023016
inhibited ristocetin-induced washed platelets bind to von Willebrand factor
(vWF). In GST pull-down assay, HY023016 decreased the binding of recombinant vWF
A1 to GPIbalpha N-terminal. Thus, HY023016 provides an innovative idea for
designing multi-targeted anti-thrombotic drugs and laying a scientific foundation
for reducing "total thrombosis risk" in a clinical drug treatment.
PMID- 29355555
TI - Phosphodiesterase-3 inhibitor cilostazol reverses endothelial dysfunction with
ageing in rat mesenteric resistance arteries.
AB - Ageing impairs endothelial function, which is considered a hallmark of the
development of cardiovascular diseases in elderly. Cilostazol, a
phosphodiesterase-3 inhibitor, has antiplatelet, antithrombotic and protective
effects on endothelial cells. Here, we hypothesized that cilostazol could improve
endothelial function in mesenteric resistance arteries (MRA) from old rats. Using
eight-week cilostazol-treated (100mg/kg/day) or untreated 72-week-old Wistar
rats, we evaluate the relaxation to acetylcholine, sodium nitroprusside (SNP),
forskolin and isoproterenol and the noradrenaline-induced contraction in MRA.
Superoxide anion and nitric oxide (NO) was measured by dihydroethidium- and
diaminofluorescein-2-emitted fluorescence, respectively. Normotensive old rats
had impaired acetylcholine-induced NO- and EDHF-mediated relaxation and increased
noradrenaline vasoconstriction than young rats. This age-associated endothelial
dysfunction was restored by cilostazol treatment. Relaxation to SNP, forskolin or
isoproterenol remained unmodified by cilostazol. Diaminofluorescein-2-emitted
fluorescence was increased while dihydroethidium-emitted was decreased by
cilostazol, indicating increased NO and reduced superoxide generation,
respectively. Cilostazol improves endothelial function in old MRA without
affecting blood pressure. This protective effect of cilostazol could be
attributed to reduced oxidative stress, increased NO bioavailability and EDHF
type relaxation. Although these results are preliminary, we believe that should
stimulate further interest in cilostazol as an alternative for the treatment of
age-related vascular disorders.
PMID- 29355556
TI - Chrysin reverses the depressive-like behavior induced by hypothyroidism in female
mice by regulating hippocampal serotonin and dopamine.
AB - Hypothyroidism is often associated with psychiatric disorders such as depression.
In this study, we evaluated the effect of chrysin on depressive-like behavior and
monoamine levels in hypothyroid female mice. Hypothyroidism was induced by
continuous exposure to 0.1% methimazole (MTZ) in drinking water for 31 days.
Exposure to MTZ was associated with low plasma levels of thyroid hormones T3 and
T4 compared with the control group. Subsequently, euthyroid and MTZ-induced
hypothyroid mice were intragastrically administered vehicle or chrysin (20mg/kg)
once a day for 28 consecutive days. After treatments, the following behavioral
assessments were performed: Open-Field Test (OFT), Tail suspension test (TST),
and Forced Swimming Test (FST). Additionally, T3 and T4 levels were measured
again, and serotonin (5HT), dopamine, and noradrenaline levels were analyzed in
the prefrontal cortex and the hippocampus. Chrysin treatment could not reverse T3
and T4 levels. Hypothyroid mice showed an increased immobility time in TST and
FST; chrysin treatment reversed these effects. Reduced levels of 5HT and dopamine
in the prefrontal cortex and the hippocampus were observed in the hypothyroid
mice than in the euthyroid mice. Chrysin treatment recovered 5HT content in both
structures and dopamine content only in the hippocampus. Noradrenaline content
was not altered by treatments. Together, our results have demonstrated that
chrysin treatment reverses depressive-like behaviors in hypothyroid female mice
and suggests the involvement of 5HT and dopamine in these effects.
PMID- 29355557
TI - Blockage of endoplasmic reticulum stress attenuates nilotinib-induced
cardiotoxicity by inhibition of the Akt-GSK3beta-Nox4 signaling.
AB - Cardiotoxicity is a critical side-effect of nilotinib during treatment for
cancer, such as chronic myeloid leukemia, while the potential signaling
mechanisms remain unclear. The role of and the relationship between endoplasmic
reticulum (ER) stress and mitochondrial dysfunction was investigated in nilotinib
induced cardiac H9C2 injury as a suitable cell model. Our results showed that ER
stress was persistently induced in nilotinib-treated cells, evidenced by increase
of GRP78, CHOP, ATF4 and XBP1 as well as phospho-PERKThr980. The results from 4
phenylbutyrate (PBA, an ER stress inhibitor) and SC79 (a specific Akt activator)
suggested that ER stress increased activity of glycogen synthase kinase-3 beta
(GSK3beta) that is reflected by decrease of phospho-GSK3betaSer9, through
downregulation of phospho-AktSer473, and that prolonged ER stress and activated
GSK3beta involved nilotinib-induced apoptosis. In addition, the data from JNK
inhibition using SP600125 showed that over-activated JNK was responsible for Akt
de-phosphorylation. Moreover, the abundance of NADPH oxidase (Nox4) was
significantly increased following nilotinib treatment, which was prevented by
SB216763 (a specific GSK3beta inhibitor). Additionally, mitochondrial dysfunction
was indicated by reduced mitochondrial membrane potential (MMP) level and
increased reactive oxygen species level. In nilotinib-treated cells, knockdown of
Nox4 preserved MMP level, abrogated reactive oxygen species production, and
decreased apoptosis. Accordingly, our data demonstrated that inhibition of ER
stress may protect cardiomyocytes against nilotinib toxicity potentially through
inactivation of Akt-GSK3beta-Nox4 signaling. These findings may provide an
attractive therapeutic target for treatment of nilotinib-related cardiotoxicity.
PMID- 29355558
TI - (-)-Epicatechin stimulates mitochondrial biogenesis and cell growth in C2C12
myotubes via the G-protein coupled estrogen receptor.
AB - We have reported on the capacity of (-)-epicatechin ((-)-EPI) to stimulate
mitochondrial biogenesis (MiB) in mouse skeletal muscle (SkM). However, the
mechanisms mediating the effects of (-)-EPI are not fully understood. We
previously identified a role of the G-protein coupled estrogen receptor (GPER) in
modulating the vascular effects of (-)-EPI. We therefore tested the hypothesis
that GPER mediates (at least in part) the stimulatory effects of (-)-EPI on MiB
in SkM cells. As an in vitro model, we employed mouse SkM-derived C2C12 myoblasts
differentiated into myotubes. Using confocal microscopy, we detected GPER at the
cell surface and cytoplasm in C2C12 myotubes. Treatment with (-)-EPI (3 and
10MUM) resulted in the stimulation of MiB as per increases in mitochondrial inner
(MitoTracker Red FM fluorescence staining) and outer membrane (porin protein
levels) markers, transcription factors involved in MiB stimulation (i.e., nuclear
respiratory factor-2 [NRF-2] and mitochondrial transcription factor A [TFAM]
protein levels) and citrate synthase (CS) activity levels. (-)-EPI-treated
myotubes were longer and wider compared to vehicle-treated myotubes. The effects
of (-)-EPI on myotube mitochondria and cell size were larger in magnitude to
those observed with the GPER agonist G-1. The chemical blockade and down
regulation (siRNA) of GPER evidenced a partial and complete blockade of measured
endpoints following (-)-EPI- or G-1-treatment, respectively. Altogether, results
indicate that GPER is expressed in muscle cells and appears to mediate to a
significant extent, the stimulatory effects of (-)-EPI on MiB. Thus, GPER
activation may account for the stimulatory effects of (-)-EPI on SkM
structure/function.
PMID- 29355559
TI - Evaluation of pharmacokinetics/pharmacodynamics and efficacy of one-month depots
of TAK-448 and TAK-683, investigational kisspeptin analogs, in male rats and an
androgen-dependent prostate cancer model.
AB - TAK-448 and TAK-683 are kisspeptin agonist analogs with improved in vivo
stability and activity. Previous studies showed that continuous subcutaneous
administration of TAK-448 or TAK-683 caused rapid and profound reductions in
plasma testosterone levels in various species, including male healthy volunteers,
suggesting their therapeutic potential as anti-prostate cancer agents. For
clinical drug development, one-month sustained-release depots of TAK-448 and TAK
683, TAK-448-SR(1M) and TAK-683-SR(1M), were designed to improve usability in
clinical practice. In this study, the pharmacokinetics/pharmacodynamics (PK/PD)
profiles of TAK-448-SR(1M) and TAK-683-SR(1M) were initially tested in male rats
to ensure their eligibility as one-month depots. The therapeutic advantages of
TAK-448-SR(1M) and TAK-683-SR(1M) over TAP-144-SR(1M) were then investigated in a
JDCaP xenograft rat model. TAK-448-SR(1M) and TAK-683-SR(1M) maintained certain
levels of plasma TAK-448 free form (TAK-448F) and plasma TAK-683 free form (TAK
683F) for at least 4 weeks, before clearance from the circulation. Accompanying
their desirable PK profiles, TAK-448-SR(1M) and TAK-683-SR(1M) showed favorable
PD responses as one-month depots and demonstrated better testosterone control
than TAP-144-SR(1M). Both depots exerted rapid and profound suppression of plasma
testosterone levels in male rats. These profound suppressive effects were
maintained in dose-dependent manners, before recovery toward normal levels. In
the JDCaP xenograft model, TAK-448-SR(1M) and TAK-683-SR(1M) both showed better
prostate-specific antigen (PSA) control than TAP-144-SR(1M), although all
treatment groups eventually experienced PSA recurrence and tumor regrowth. In
conclusion, this study demonstrates that both TAK-448-SR(1M) and TAK-683-SR(1M)
have desirable and better PK/PD profiles than TAP-144-SR(1M) in rats, which could
potentially provide better clinical outcomes in androgen-dependent prostate
cancer.
PMID- 29355560
TI - miR-671 promotes prostate cancer cell proliferation by targeting tumor suppressor
SOX6.
AB - Prostate cancer is one of the most severe malignancies in men, and many genes and
non-coding RNAs, included microRNAs (miRs), have been demonstrated to regulate
prostate cancer progression. In the present study, we investigated the role of
miR-671 in prostate cancer cell proliferation. We found that miR-671 was
significantly upregulated in human prostate cancer tissues and cells. miR-671
overexpression promoted prostate cancer cell proliferation, while its
downregulation inhibited prostate cancer cell proliferation, as determined by 3
(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assays, colony
formation assays, soft agar growth assays, and bromodeoxyuridine (BrdU)
incorporation assays. miR-671 directly targets the 3' untranslated region (UTR)
of the tumor suppressor SOX6 (encoding SRY (sex determining region Y)-box 6) to
inhibit its expression. Double knockdown of miR-671 and SOX6 promoted PC3 cell
proliferation, suggesting that miR-671 promotes prostate cancer cell
proliferation by inhibiting SOX6.
PMID- 29355561
TI - Ameliorating effects of D-47, a newly developed compound, on lipid metabolism in
an animal model of familial hypercholesterolemia (WHHLMI rabbits).
AB - Improvements induced in lipid metabolism in the liver by D-47, a newly developed
compound, were examined herein. WHHLMI rabbits, an animal model of
hypercholesterolemia and coronary atherosclerosis, was fed D-47-supplemented chow
for 5 weeks at a dose of 30mg/kg. Lipid concentration were assayed using
enzymatic methods. Plasma lipoproteins were fractionated with an ultracentrifuge.
mRNA expression was analyzed with real-time PCR. Lipidome analyses of
lipoproteins were performed using supercritical fluid chromatography mass
spectrometry. In the D-47-treated group, serum lipid levels decreased by 23% for
total cholesterol and by 40% for triglycerides. These reductions were mainly
attributed to decreases in the VLDL fraction. Compared with the control, in the D
47 group, lipid contents in the liver were decreased by 22% in cholesterol and by
69% in triglycerides, and fat accumulation was decreased by 57% in pericardial
fat and by 17% in mesenteric fat. In lipidome analyses of VLDL fraction,
lysophosphatidylcholine, phosphatidylcholine, phosphatidylethanolamine,
phosphatidylinositol, phosphatidylethanolamine plasmalogen, sphingomyelin, and
ceramide were decreased by the D-47 treatment. mRNA expression in the liver was
51% lower for FAS and 24% lower for MTP, but 5.9- and 5.1-fold higher for CYP7A1
and CPT-1, respectively, in the D-47 group than in the control. mRNA expression
was 72%, 64%, and 36% higher for LPL, CTP-1, and PPARgamma, respectively, in
mesenteric fat in the D-47 group. D-47 is a potent lipid-lowering compound that
uses a different mechanism of action from that of statins. It has potential as a
compound in the treatment of steatohepatitis and metabolic syndrome.
PMID- 29355562
TI - Cilostazol attenuates indices of liver damage induced by thioacetamide in albino
rats through regulating inflammatory cytokines and apoptotic biomarkers.
AB - Even though cilostazol was assessed before in several models of atherosclerosis,
so far its full systematic effect as a natural anti-inflammatory and anti
apoptotic mediator in the protection of liver damage and complication has not
been fully clarified, which is the target of this study. For that purpose, we
examined the protective effect of cilostazol (10 and 5mg/kg, p.o. b.wt.) in an
acute hepatic injury model by orally injecting it for 3 weeks prior to a single
dose of TAA (300mg/kg, i.p) injection. Ursodeoxycholic acid was used as a
standard drug (50mg/kg, p.o. b.wt.). After injection of thioacetamide by 48hr,
rats were sacrificed. On the serum biochemical level, cilostazol ameliorated the
thioacetamide consequence, where it presented a significant enhancement in the
liver enzymes activities [Aspartate aminotransferase (AST) & Alanine
aminotransferase (ALT)]. On the other hand, at the tissue level (Liver), it
revealed a significant improvement in pro-inflammatory cytokines [Tumor necrosis
factor alpha (TNF-alpha), Interleukin 1 beta (IL-1beta), Nuclear factor kappa B
(NF-kappaB), NF-kappaB (P65/P50 nucleus translocation), caspase-3, cleaved
caspase-3 & C-reactive protein (CRP)], redox level [Reduced glutathione (GSH) &
Malondialdehyde (MDA)], histopathological findings, Reverse transcription
polymerase chain reaction (RT-PCR) analysis (expression of TNF-alpha and NF
kappaB mRNA levels), and immunohistochemical reaction (caspase-3 & TNF-alpha).
Obviously, the high dose of cilostazol (10mg/kg, p.o. b.wt.) displayed a more
pronounced effect than its lower one and nearly equal to ursodeoxycholic acid in
the most of the parameters. These results give a new awareness into the hopeful
molecular mechanisms by which cilostazol attenuates several factors participated
in the progression of liver damage.
PMID- 29355564
TI - Three new withanolides from the calyces of Nicandra physaloides.
AB - Chemical investigation on ethyl acetate extract of the calyces of Nicandra
physaloides resulted in the isolation of three new withanolides named as
nicphysatone A (1), nicphysatone B (2), nicphysatone C (3), together with five
known withanolides, nic 17 (4), nic 7 (5), nic 2 (6), withahisolide G (7) and
nicaphysalin B (8). The structures were determined by comprehensive spectroscopic
experiments. The discovery enriched the diversity of natural withanolides and
could serve as scaffolds for the synthesis of more potent modified withanolides.
PMID- 29355563
TI - Taraxerol as a possible therapeutic agent on memory impairments and Alzheimer's
disease: Effects against scopolamine and streptozotocin-induced cognitive
dysfunctions.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder associated with
cognitive impairment and cholinergic neuronal death, characteristic of the effect
of time on biochemical neuronal function. The use of medicinal plants as an
alternative form of prevention, or even as a possible treatment of AD, is
therefore interesting areas of research, since the standard drugs have many side
effects. Taraxerol (TRX) is a triterpene that has been isolated from several
plant species, and its various pharmacological properties have already been
identified, such the acetylcholinesterase (AChE) inhibition activity in vitro.
There is a lack of information in literature that confirms the effect of TRX in
an animal AD-like model. Seeking to fill this gap in the literature, in the
present work we assessed the effect of TRX on AChE activity in the animals'
encephalon and hippocampus. We also investigated the effect of TRX (1.77 uM/side,
0.5 MUL) isolated from leaves of Eugenia umbelliflora Berg. on aversive memory
impairments induced by scopolamine (2 ug/side, 0.5 uL) infused into rat
hippocampus, and the effect of TRX (0.89 and 1.77 uM/side, 0.5 MUL) on aversive
memory impairments induced by streptozotocin (STZ) (2.5 mg/mL, 2.0 uL) infused
i.c.v. into mice, using the step-down inhibitory avoidance task. We found that
TRX significantly inhibited AChE activity in the animal's hippocampus.
Furthermore, TRX significantly improved scopolamine and STZ-induced memory
impairment. Taking together, these results confirms its AChE activity inhibition
in animals and indicate that TRX has anti-amnesic activity that may hold
significant therapeutic value in alleviating certain memory impairments observed
in AD.
PMID- 29355565
TI - Effects of background and contour luminance on the hue and brightness of the
Watercolor effect.
AB - Conjoint measurement was used to investigate the joint influences of the
luminance of the background and the inner contour on hue- and brightness filling
in for a stimulus configuration generating a water-color effect (WCE), i.e., a
wiggly bi-chromatic contour enclosing a region with the lower luminance component
on the exterior. Two stimuli with the background and inner contour luminances
covarying independently were successively presented, and in separate experiments,
the observer judged which member of the pair's interior regions contained a
stronger hue or was brighter. Braided-contour control stimuli that generated
little or no perceptual filling-in were also used to assess whether observers
were judging the interior regions and not the contours themselves. Three nested
models of the contributions of the background and inner contour to the judgments
were fit to the data by maximum likelihood and evaluated by likelihood ratio
tests. Both stimulus components contributed to both the hue and brightness of the
interior region with increasing luminance of the inner contour generating an
assimilative filling-in for the hue judgments but a contrast effect for the
brightness judgments. Control analyses showed negligible effects for the order of
the luminance of the background or inner contour on the judgments. An additive
contribution of both components was rejected in favor of a saturated model in
which the responses depended on the levels of both stimulus components. For the
hue judgments, increased background luminance led to greater hue filling-in at
higher luminances of the interior contour. For the brightness judgments, the
higher background luminance generated less brightness filling-in at higher
luminances of the interior contour. The results indicate different effects of the
inner contour and background on the induction of the brightness and coloration
percepts of the WCE, suggesting that they are mediated by different mechanisms.
PMID- 29355566
TI - Spatial proximity modulates the strength of motion opponent suppression elicited
by locally paired dot displays.
AB - Locally paired dot stimuli that contain opposing motion signals at roughly the
same spatial locations (counter-phase stimuli) have been reported to produce
percepts devoid of global motion. Counter-phase stimuli are also thought to
elicit a reduced neural response at motion processing brain area MT/V5, an effect
known as motion opponency. The current study examines the effect of vertical
counter-phase background motion on behavioral discrimination of horizontal target
motion. We found that counter-phase backgrounds generally produced lower
behavioral thresholds than locally unbalanced backgrounds, an effect consistent
with the idea that counter-phase motion elicits opponency. However, this effect
was apparent only if the paired dots were close enough in proximity that they
crossed one another during their movement. Furthermore, we found that counter
phase stimuli containing within-pair dot crossing elicits similar behavioral
thresholds to non-motion flicker stimuli. These results provide insight into the
requirements for activating opponency in the brain and suggest that the brain
processes counter-phase and flicker stimuli similarly due to opponency.
PMID- 29355567
TI - Kuwanon G attenuates atherosclerosis by upregulation of LXRalpha-ABCA1/ABCG1 and
inhibition of NFkappaB activity in macrophages.
AB - BACKGROUND: Atherosclerosis is characterized by chronic inflammation in vascular
wall. Previous studies suggest that Kuwanon G (KWG) exerts anti-inflammatory
activities. However, the effect of KWG on atherosclerosis remains unexplored.
AIMS: To explore whether KWG affects macrophage foam cell formation in vitro and
atherogenesis in vivo. METHODS: RAW 264.7 macrophages were stimulated with ox-LDL
for 24h to induce foam cell formation and treated with KWG. Foam cell formation
was determined by ORO staining and enzymatic analysis. Pro-inflammatory cytokines
mRNA levels were tested by Real-time PCR method. Further molecular mechanism was
investigated using Western blot. In vivo, ApoE-/- mice were fed with high-fat
diet and intraperitoneally injected with KWG. Atherosclerotic lesion was accessed
by H&E and ORO staining. Plaque composition was evaluated by immunohistochemistry
and Sirius Red staining. Serum lipid profile and inflammatory cytokines were
evaluated by enzymatic method and ELISA. RESULTS: KWG significantly decreased
intracellular lipid accumulation and inflammatory cytokines mRNA levels in
macrophages through enhancing LXRalpha-ABCA1/ABCG1 pathway and inhibiting
NFkappaB activation. Administrated with KWG remarkably reduced the
atherosclerotic lesion areas and macrophage content in the plaque of high-fat
diet fed ApoE-/- mice. KWG also reduced hyperlipidemia and serum inflammatory
cytokines in vivo. CONCLUSION: Taken together, these data highlight that KWG can
attenuate atherosclerosis through inhibiting foam cell formation and inflammatory
response.
PMID- 29355568
TI - Genomic DNA levels of mutant alpha-synuclein correlate with non-motor symptoms in
an A53T Parkinson's disease mouse model.
AB - Alpha-synuclein plays a key role in the pathogenesis of Parkinson's disease (PD).
A robust transgenic mouse model has been generated that overexpresses the mutant
human A53T alpha-synuclein under the mouse prion protein gene promoter; these
mice develop age-dependent motor deficits. Recently, compared to wild-type (WT)
littermates, A53T alpha-synuclein mice were reported to display non-motor symptom
deficits, e.g., anxiety-like and depressive-like behaviors, odor discrimination
and detection impairments, and gastrointestinal dysfunction, at 6 months of age
or older. However, the differences between heterozygous and homozygous mice in
terms of non-motor symptoms and whether the genomic DNA levels of alpha-synuclein
correlate with the symptoms have not yet been elucidated. In the present work, we
used littermate WT and heterozygous and homozygous A53T mice that were
characterized by a modified genotyping protocol and observed a unilateral decline
in the dopamine transporter (DAT) distribution from 3 months to 12 months of age
in homozygous mice. We evaluated non-motor symptoms by measuring colon motility,
anxiety-like and depressive-like behaviors, and motor coordination. The results
showed that homozygous A53T mice exhibited earlier abnormal non-motor symptoms
compared to their heterozygous littermates. The severity of impaired colon
motility as well as anxiety-like and depressive-like behaviors were correlated
with the genomic DNA levels of A53T mutant alpha-synuclein. More noticeable,
motor coordination aberrances were also observed in homozygous A53T mice. This
study provides direct evidence that the genomic DNA levels of mutant alpha
synuclein correlate with non-motor symptoms in an A53T mouse model, indicating
that the genomic DNA levels of mutant alpha-synuclein should be tightly
manipulated in PD model studies.
PMID- 29355569
TI - S-oxiracetam ameliorates ischemic stroke induced neuronal apoptosis through up
regulating alpha7 nAChR and PI3K / Akt / GSK3beta signal pathway in rats.
AB - Ischemic stroke, the main reason for severe disabilities in the world, is
associated with a high incidence of sensorimotor and cognitive dysfunction. In
this study, we use the middle cerebral artery occlusion/reperfusion (MCAO/R)
model in rats and oxygen glucose deprivation/reoxygenation (OGD/R) model in fetal
rat primary cortical neurons to investigate whether and how S-oxiracetam (S-ORC)
protect brain injury from ischemic stroke. The results revealed that S-ORC
reduced brain infarct size and lessened neurological dysfunction after stroke.
Further study demonstrated that S-ORC diminished TUNEL positive cells, increased
cell viability, decreased LDH activity, and inhibited cell apoptotic rate.
Furthermore, S-ORC inhibited neuronal apoptosis by activating the
PI3K/Akt/GSK3beta signaling pathway via alpha7 nAChR, which was evidenced by
alpha7 nAChR siRNA. In conclusion, our findings strongly suggest that S-ORC could
be used as an effective neuroprotective agent for ischemic stroke due to its
effect in preventing neuronal apoptosis.
PMID- 29355570
TI - A novel plasmid, pSAA0430-08, from Streptococcus anginosus subsp. anginosus
strain 0430-08.
AB - Mobile genetic elements (MGEs) are the genetic material often involved in the
interspecies and intraspecies genetic transduction in bacteria. However, little
is known about MGEs in the Anginosus group of streptococci (AGS), one of the
streptococcal groups found in the oral cavity of humans. We looked for the
presence of MGEs in Streptococcus anginosus subsp. anginosus (SAA), a
representative species belonging to AGS, and found a novel plasmid from SAA
strain 0430-08. This plasmid was 7038bp and ~31% G/C content which we named
pSAA0430-08, and examined its genetic structure and characteristics. Open reading
frame (ORF) prediction revealed that pSAA0430-08 was composed of 10 ORFs
including a putative plasmid replication protein (ORF1) and a putative toxin
antitoxin system (ORF9 and ORF10). Between ORF10 and ORF 1, four tandem repeats
of 22bp each, generally termed as iteron, were also observed. Using variant
plasmids of pSAA0430-08, we confirmed that both ORF1 and iteron were necessary
for replication in host cells. Interestingly, the region from ORF4 to ORF7 showed
homology with a genomic DNA segment of S. gordonii strains. Thus, this plasmid
may travel between the different species in Streptococci, i.e., S. gordonii and
S. anginosus.
PMID- 29355571
TI - Caloric restriction ameliorates acrolein-induced neurotoxicity in rats.
AB - OBJECTIVE: Acrolein, a highly reactive unsaturated aldehyde, is a ubiquitous
environmental pollutant and oxidative damage induced by acrolein is hypothesized
to involve in the etiology of Alzheimer's disease (AD). Calorie restriction (CR)
is the only non-genetic intervention that has consistently been verified to
retard aging by ameliorating oxidative stress. Therefore, we investigated the
effects of CR on acrolein-induced neurotoxicity in Sprague-Dawley (SD) rats.
METHODS: A total of 45 weaned and specific-pathogen-free SD rats (male, weighing
180-220 g) were gavage-fed with acrolein (2.5 mg/kg/day) and fed ab libitum of 10
g/day or 7 g/day (representing 30% CR regimen), or gavage-fed with same volume of
tap water and fed al libitum as vehicle control for 12 weeks. After behavioral
test conducted by Morris Water Maze, SD rats were sacrificed and brain tissues
were prepared for histochemical evaluation and Western blotting to detect
alterations in oxidative stress, BDNF/TrkB pathway and key enzymes involved in
amyloid precursor protein (APP) metabolism. RESULTS: Treatment with 30% CR in SD
rats significantly attenuated acrolein-induced cognitive impairment. Oxidative
damage including deletion of glutathione and superoxide dismutase and sharp rise
in malondialdehyde were notably improved by 30% CR. Further study suggested that
30% CR showed protective effects against acrolein by modulating BDNF/TrkB
signaling pathways. Moreover, 30% CR restored acrolein-induced changes of APP,
beta-secretase, alpha-secretase and receptor for advanced glycation end products.
CONCLUSION: These findings suggest that CR may provide a promising approach for
the treatment of AD, targeting acrolein.
PMID- 29355572
TI - Revisiting Ureterosigmoidostomy, a Useful Technique of Urinary Diversion in
Functional Urology.
AB - Ureterosigmoidostomy has largely been disregarded in recent times but has now
seen a resurgence of interest because of its potential applicability to newer,
minimally invasive surgical techniques. The advantages of ureterosigmoidostomy
over intestinal conduits are urinary continence (obviating the need for stoma and
external appliances), ease, and rapidity of performance as well as acceptance by
patients. Ureterosigmoidostomy has been characterized by good continence outcomes
and it offers good quality of life. Possible complications are anastomosis
stenosis, coloureteral reflux, electrolyte imbalance, hydronephrosis,
pyelonephritis, chronic renal failure, colorectal cancer, and others.
Ureterosigmoidostomy is therefore only advisable for patients ready to accept
long-term follow-up.
PMID- 29355573
TI - Spine-bellied sea snake (Hydrophis curtus) venom shows greater skeletal
myotoxicity compared with cardiac myotoxicity.
AB - For the first time the impedance-based xCELLigence real-time cell analysis system
was used to measure the myotoxicity of sea snake venom. With a focus on the spine
bellied sea snake (Hydrophis curtus), the venom of four sea snake species and
three terrestrial snake species were compared for myotoxicity against a human
skeletal muscle cell line (HSkMC). Hydrophis curtus venom was also tested on a
human cardiac muscle cell line (HCM). Surprisingly, all four sea snake venoms
tested on HSkMC produced an initial 100-280% rise in xCELLigence cell index that
peaked within the first two hours before falling. The cell index rise of H.
curtus venom was correlated with the WST-1 cell proliferation assay, which
demonstrated an increase in mitochondrial metabolism. The myotoxicity of H.
curtus was 4.7-8.2 fold less potent than the other sea snakes tested, the
Australian beaked sea snake (Hydrophis zweifeli), the elegant sea snake
(Hydrophis elegans) and the olive sea snake (Aipysurus laevis). If our cell-based
results translate to H. curtus envenomations, this implies that H. curtus would
be less myotoxic than the other three. Yet the myotoxicity of H. curtus venom to
cardiac muscle cells was nine times weaker than for skeletal muscle cells,
providing evidence that the venom has a selective effect on skeletal muscle
cells. This evidence, combined with the slow-acting nature of the venom, supports
a digestive role for sea snake myotoxins.
PMID- 29355574
TI - Efficient construction of xenogeneic genomic libraries by circumventing
restriction-modification systems that restrict methylated DNA.
AB - An efficient method to construct xenogeneic genomic libraries with low errors and
bias by circumventing restriction-modification systems that restrict methylated
DNA was developed. Un-methylated genomic DNA of Escherichia coli prepared by
phi29 DNA polymerase was introduced to Corynebacterium glutamicum R after
ligation with un-methylated vector plasmids.
PMID- 29355575
TI - Optimized universal protocol for electroporation of both coagulase-positive and
negative Staphylococci.
AB - Electroporation is a common technique necessary for genomic manipulation of
Staphylococci. However, because this technique has too low efficiency to be
applied to some Staphylococcal species and strains, especially to coagulase
negative Staphylococcus (CNS) isolates, basic researches on these clinically
important Staphylococci are limited. Here we report on the optimization of
electroporation parameters and conditions as well as on the generation of a
universal protocol that can be efficiently applicable to both CNS and Coagulase
positive Staphylococci (CPS). This protocol could generate transformants of
clinical Staphylococcus epidermidis isolate, with an efficiency of up to 1400
CFU/MUg of plasmid DNA. Transformants of 12 other clinically important
Staphylococcal species, including CNS and CPS, were also generated with this
protocol. To our knowledge, this is the first report on successful
electroporation in nine these Staphylococcal species.
PMID- 29355576
TI - Utility of a portable desiccant system for preservation of fecal samples for
downstream 16S rRNA amplicon sequencing.
AB - While recent advances in culture-independent sequencing approaches have
revitalized the field of microbiology, rapid collection and preservation of
microbial DNA in samples like feces is critical to avoid degradation of target
DNA via nuclease activity and proliferation of aerotolerant microbes. Common
laboratory practices to ameliorate such changes rely on prompt freezing of
samples or dispersion in nuclease-inhibiting reagents. As many of the microbial
enzymes associated with nuclease activity and bacterial proliferation are
hydrolases, prompt desiccation of samples offers an attractive alternative to
freezing and liquid reagents for field collection of samples in remote areas.
Herein, we evaluated the utility of a portable desiccant chamber with a
rechargeable cartridge, for preservation of equine fecal samples for downstream
microbial profiling via 16S rRNA amplicon sequencing. Controls included matched
samples promptly frozen at -80 degrees C or left at room temperature for an
equivalent period of time. While samples held at room temperature showed a
significant reduction in richness and proliferation of several facultative
anaerobes, desiccated samples showed minimal change from promptly frozen samples,
with the exception of increased abundance of Acinetobacter spp. in desiccated
samples relative to frozen samples. The data support the utility of portable
desiccant chambers for the preservation of microbial field samples intended for
downstream sequencing approaches.
PMID- 29355577
TI - High-throughput method for the evaluation of esterase activity in soils.
AB - We describe a method to quickly evaluate soil esterase activity using p
nitrophenyl valerate as the substrate. Unwanted coloration of the control samples
was suppressed by cooling. Esterase activity can be evaluated using arbitrary
amounts of soil. Sample dispensation was simplified and the number of
examinations per soil sample reduced.
PMID- 29355578
TI - Risk factors for hospital norovirus outbreaks: impact of vomiting, genotype, and
multi-occupancy rooms.
AB - BACKGROUND: Norovirus is frequently introduced to the hospital and is a frequent
cause of hospital outbreaks. Recognition of the factors that facilitate or impede
norovirus transmission is an important step to effectively prevent hospital
outbreaks. AIM: To investigate risk factors for norovirus outbreaks in hospital
settings. METHODS: Clinical data, ward setting, and norovirus genotype were
collected from all 65 norovirus-positive index cases in outbreaks and all 186
sporadic norovirus cases at 192 wards in southern Sweden during 2010-2012 in a
nested case-control study. Uni- and multivariate statistical analyses were
conducted. FINDINGS: Outbreak was independently associated with the number of
patients sharing a room with the norovirus case (odds ratio (OR): 1.9 per
additional patient in the room; P < 0.01), vomiting (OR: 2.6; P = 0.04), age >80
years (OR: 3.2; P < 0.01), comorbidity (OR: 2.3; P = 0.05), and onset of symptoms
after admission to the ward (OR: 3.5; P < 0.01) in the multivariate analysis.
Infection with genotype GII.4 was found to be strongly associated with outbreak
in the univariate analysis (OR: 5.7; P < 0.01). Moreover, associations between
GII.4 and vomiting (OR: 2.5; P = 0.01) and old age (OR: 4.3: P < 0.01) were
found. CONCLUSION: This is the first study to investigate clinical, ward and
genotype risk factors for norovirus hospital outbreaks. Recognition of these
factors may help direct and prioritize infection control actions based on the
outbreak risk. The results also suggest that the outbreak association with GII.4
partly may be explained by an enhanced ability to induce vomiting.
PMID- 29355579
TI - Interaction of entomopathogenic fungi with the host immune system.
AB - Entomopathogenic fungi can invade wide range of insect hosts in the natural world
and have been used as environmentally friendly alternatives to chemical
insecticides for pest control. Studies of host-pathogen interactions provide
valuable insights into the coevolutionay arms race between fungal pathogens and
their hosts. Entomopathogenic fungi have evolved a series of sophisticated
strategies to counter insect immune defenses. In response to fungal infection,
insect hosts rely on behavior avoidance, physical barrier and innate immune
defenses in the fight against invading pathogens. The insect cuticle acts as the
first physical barrier against pathogens. It is an inhospitable physiological
environment that contains chemicals (e.g., antimicrobial peptides and reactive
oxygen species), which inhibit fungal growth. In addition, innate immune
responses, including cellular immunity and humoral immunity, play critical roles
in preventing fungal infection. In this review, we outline the current state of
our knowledge of insect defenses to fungal infection and discuss the strategies
by which entomopathogenic fungi counter the host immune system. Increased
knowledge regarding the molecular interactions between entomopathogenic fungi and
the insect host could provide new strategies for pest management.
PMID- 29355580
TI - Sitting time is negatively related to microvascular endothelium-dependent
function in rheumatoid arthritis.
AB - BACKGROUND: Sedentary behaviour is linked to increased cardiovascular disease
risk in Rheumatoid Arthritis (RA), but the biological processes underlying this
relationship are not understood. OBJECTIVES: To investigate the cross-sectional
associations of habitual sedentary behaviour, with endothelial function in RA.
METHODS: Sixty-eight RA patients (Mage = 55 +/- 12 years) underwent Laser Doppler
Imaging with iontophoresis, to assess microvascular endothelium-dependent
(acetylcholine, ACh) and endothelium-independent (sodium nitroprusside, SNP)
function. Large-vessel endothelium-dependent and endothelium-independent
functions were measured via flow-mediated dilation (FMD) and glyceryl trinitrate
dilation (GTN), respectively. Habitual sedentary behaviour (hours/week sitting)
was self-reported (International Physical Activity Questionnaire). RESULTS:
Regressions revealed sitting time significantly negatively predicted
microvascular endothelium-dependent function (ACh, unstandardizedbeta = -3.25, p
= .02, 95% CI [-6.07, -.42], R2 = 0.06), but did not associate with other
endothelial function outcomes (SNP, FMD, GTN). CONCLUSION: Habitual sedentary
behaviour (sitting time) appears to be adversely linked to microvascular
endothelium-dependent function among people living with RA.
PMID- 29355581
TI - A history of sport-related concussion is associated with sustained deficits in
conflict and error monitoring.
AB - Previous research has demonstrated long-term deficits in neurocognitive function
in individuals with a history of sport-related concussion. The purpose of this
study was to examine the relationship between a history of concussion and
behavioral and event-related potential (ERP) indices of pre- and post-response
conflict and error monitoring. A secondary aim was to determine whether years of
high risk sport participation were related to impairments in these cognitive
control processes. Forty-seven former athletes (age = 20.8 +/- 2.2 years) with (n
= 25; 5 females) and without (n = 22; 9 females) a history of concussion
completed a modified flanker task while behavioral performance, N2, error-related
negativity (ERN), and error positivity (Pe) components were assessed. An increase
in post-response error-related (ERN) brain activity and a nonsignificant trend of
increased pre-response conflict (N2) was observed in individuals with a prior
sport-related concussion relative to non-concussed controls; however, no
behavioral performance differences were found between groups. No significant
associations were found between ERP and behavioral measures and the number of
years of high-risk sport participation; however, time since last head injury was
associated with shorter N2 latency. Together, these findings suggest a persistent
impairment in cognitive control and error-related processing in individuals with
a history of concussion. These findings are interpreted within the framework of
the compensatory error-monitoring hypothesis.
PMID- 29355583
TI - Involvement of cystatin C in immunity and apoptosis.
AB - As an abundantly expressed cysteine protease inhibitor widely distributed in the
organisms, cystatin C is involved in various physiological processes. Due to its
relatively small molecular weight and easy detection, cystatin C is commonly used
as a measure for glomerular filtration rate. In pathological conditions, however,
growing evidences suggest that cystatin C is associated with various immune
responses against either exogenous or endogenous antigens, which ultimately
result in inflammatory autoimmune diseases or tumor development if not properly
controlled. Thus the fluctuation of cystatin C levels might have more clinical
implications than a reflection of kidney functions. Here, we summarize the latest
development of studies on the pathophysiological functions of cystatin C, with
focus on its immune regulatory roles at both cellular and molecular levels
including antigen presentation, secretion of cytokines, synthesis of nitric
oxide, as well as apoptosis. Finally, we discuss the clinical implications and
therapeutic potentials of what this predominantly expressed protease inhibitor
can bring to us.
PMID- 29355582
TI - Targeting Heat Shock Protein 70 as an antiviral strategy against grass carp
reovirus infection.
AB - Grass carp (Ctenopharyngodon idella) hemorrhagic disease, caused by grass carp
reovirus (GCRV), has been a serious problem in grass carp aquaculture for several
decades. Characterization of the primary host factors associated with host-virus
interaction is critical for understanding how a virus infects its host cell and
these host factors can be antiviral targets. This study aimed to screen host
factors that interacted with GCRV in the C. idella kidney (CIK) cells and used
them as antiviral targets. Twelve proteins were identified by virus overlay
protein binding assay and LC-MS-MS. Among these twelve proteins, Heat Shock
Protein 70 (HSP70) was outstanding. Results of flow cytometry and
immunofluorescence assay indicated that HSP70 was on the cell membrane. HSP70 was
expressed at low levels preceding GCRV infection, but its expression was induced
upon GCRV infection. Inhibition of HSP70's function by inhibitors (VER155008 and
pifithrin-MU) maintained HSP70 on the cell surface in infected cells, however
GCRV quantity was decreased in the CIK cells (compared with the control group,
the maximum inhibition rate of the treatment group was close to 85%), suggesting
that fully functional HSP70 was required for GCRV infection. Moreover, GCRV
showed a dose dependent reduction by inhibiting the entry stage of the viral life
cycle following treated with VER155008 and pifithrin-MU. VER + PIF (1:1) were
used at 15 MUM and the expression of GCRV-VP6 downregulated nearly to 90%, which
revealed that HSP70 played an important role in GCRV entering into CIK cells.
This work speculated that HSP70 might be a host factor in the process of GCRV
infecting CIK cells, therefore, it might be a potential antiviral target for GCRV
infection.
PMID- 29355584
TI - Dietary patterns associated with overweight among Brazilian adolescents.
AB - The present study aims to identify the dietary patterns of adolescents and
associate these patterns with overweight. We analyzed food-consumption data from
6784 adolescents in the age group 10-18 years old collected in the Household
Budget Survey 2008-2009. Dietary patterns were assessed through exploratory
factor analysis. Logistic regression models were used in order to associate
dietary patterns with overweight. Four dietary patterns were recorded:
Traditional Brazilian Pattern, Snacks Pattern, Fast Food Pattern, and the Milk,
Fruit and Cereal Breakfast Pattern. Results were adjusted according to the
sociodemographic variables and showed that the higher the adherence to Snacks
(OR: 1.50 fifth quintile vs first (95% CI: 1.13, 1.99) p linear trend <0.001) and
Fast Food patterns (OR: 1.55 fifth quintile vs first (95% CI = 1.12, 2.12) p
linear trend <0.001), the higher the chances of becoming overweight. These data
indicate that the local public health and nutrition policies focused on
adolescents should be more attentive to the eating habits of this population in
Brazil, since the current research related regular poor nutritional quality
dietary patterns to increased overweight among adolescents. In addition,
understanding adolescents' eating habits according to their dietary patterns may
guide the development of healthy dietary recommendations based on the combination
between food and food groups, rather than only on nutrients or nutritional
adequacy.
PMID- 29355585
TI - High degree of correlation between Ebola virus BSL-4 neutralization assays and
pseudotyped VSV BSL-2 fluorescence reduction neutralization test.
AB - Ebola virus (EBOV), classified as a category A agent by the CDC and NIH, requires
BSL-4 containment and induces high morbidity and mortality in humans. The 2013
2015 epidemic in West Africa underscored the urgent need to develop vaccines and
therapeutics to prevent and treat EBOV disease. Neutralization assays are needed
to evaluate the efficacy of EBOV vaccines and antibody therapies. Pseudotyped
viruses based on nonpathogenic or attenuated vectors reduce the risks involved in
the evaluation of neutralizing antibodies against highly pathogenic viruses.
Selectable markers, fluorescent proteins, and luciferase have been introduced
into pseudotyped viruses for detection and quantitation purposes. The current
study describes the development of a BSL-2 fluorescence reduction neutralization
test (FRNT) using a recombinant vesicular stomatitis virus (VSV) in which the VSV
G envelope gene was replaced with the EBOV glycoprotein (GP) and green
fluorescent protein (GFP) genes (rVSV-EBOVgp-GFP). Cells infected with rVSV
EBOVgp-GFP express GFP. Anti-GP neutralizing monoclonal and polyclonal antibodies
blocked rVSV-EBOVgp-GFP infection preventing or reducing GFP fluorescence. The
high degree of correlation between the EBOV BSL-2 FRNT and the BSL-4 plaque
reduction neutralization test (PRNT), the accepted standard of EBOV
neutralization tests, supports the use of the EBOV BSL-2 FRNT to evaluate
neutralizing antibodies in clinical trials.
PMID- 29355586
TI - Ras-Raf-MAPK signaling promotes nuclear localization of FOXA transcription factor
SGF1 via Ser91 phosphorylation.
AB - Ras-Raf-MAPK signaling promotes cell proliferation and cell survival. We
previously reported that Ras1CA overexpression, specifically in the posterior
silk glands (PSGs) of the silkworm Bombyx mori, increased fibroin synthesis and
cell size, resulting in improved silk yields. In this study, we compared the
iTRAQ-based phosphoproteomic profiles of PSGs from wild-type and Ras1CA
overexpressing silkworms. Silk gland factor 1 (SGF1), a FOXA transcription factor
that plays a critical role in activating fibroin gene expression, was identified
as a phosphoprotein harboring Ser91 as a potential MAPK phosphorylation site.
Ser91 phosphorylation of SGF1 was enhanced by Ras1CA overexpression, and this
finding was verified by selected reaction monitoring. Consistently, MAPK activity
is well correlated with Ser91 phosphorylation of SGF1 and its nuclear
localization in PSG cells during silkworm development. Ras1CA overexpression and
treatment with inhibitors of Ras signaling promoted or inhibited SGF1 nuclear
localization, respectively; mutation of Ser91 to Ala91 eliminated SGF1 nuclear
localization. Moreover, MAPK binds to SGF1 and directly phosphorylates Ser91,
demonstrating Ser91 as a MAPK phosphorylation site in SGF1. In conclusion, Ras
Raf-MAPK signaling promotes SGF1 nuclear localization for transactivation via
Ser91 phosphorylation in silkworms, showing that FOXA transcription factors are
regulated via MAPK phosphorylation in animals.
PMID- 29355588
TI - Resting-state functional connectivity of neurotransmitter producing sites in
female patients with borderline personality disorder.
AB - Impulsive behavior, difficulties in controlling anger and suicidal behavior are
typical patterns of affective/behavioral dysregulation in patients with
borderline personality disorder (BPD). Previous functional MRI studies in the
resting state condition demonstrated altered functional connectivity (FC) between
the anterior cingulate cortex (ACC) and the frontoparietal executive control
network (ECN), which was significantly associated with impulsivity in BPD.
Impulsivity is often defined as a function of inhibitory control, strongly
relying on the proper functioning of the fronto-cingulo-striatal network.
Noradrenergic, dopaminergic and serotonergic neurotransmitter systems are assumed
to be involved in different forms of impulsive behavior and inhibitory control.
In our previous study, we investigated the FC of the main monoamine-producing
nuclei within the midbrain and brainstem, which were functionally integrated in
specific resting-state networks. In the present study we investigated the resting
state FC of midbrain/brainstem nuclei in 33 unmedicated female patients with BPD
and 33 matched healthy controls. We further related altered functional
connectivity of these nuclei to the patient's degree of impulsivity. The main
finding was that BPD patients showed stronger FC from the noradrenergic locus
coeruleus (LC) to the ACC. Functional connectivity between the LC and ACC was
positively associated with the degree of motor impulsivity in the total group.
Controlling for aggression, a stronger FC was also found between serotonergic
nucleus centralis superior (NCS) and the frontopolar cortex (FPC) in patients
compared to controls. Furthermore, patients showed a weaker "anti-correlation"
from the substantia nigra (SNc) to the left dorsolateral prefrontal cortex
(DLPFC). The observed enhanced LC-ACC FC in BPD and its association with the
motor impulsivity might be indicative of a noradrenergic dysfunction in the
neural inhibitory control network, whereas the significant relationship between
NCS-FPC FC and aggression points toward serotonergic contribution to prefrontal
control of aggressive reactions.
PMID- 29355589
TI - The AGP-PPARgamma axis promotes oxidative stress and diabetic endothelial cell
dysfunction.
AB - Alkyl-glycerophosphate (AGP) accumulates in atherogenic oxidized-LDL and human
atherosclerotic plaques and is a potent agonist of peroxisome-proliferator
activated receptor-gamma (PPARgamma). Recent studies suggest a potential
regulatory role for PPARgamma in endothelial nitric oxide synthase (eNOS)
expression/activation and nitrogen oxide (NO) generation in the vascular
endothelium. Importantly, eNOS-induced NO and advanced glycation end-products
(AGEs) are involved in blood-vessel damage, and diabetic patients exhibit high
serum NO and AGE levels; however, the effect of AGP on NO- and AGE-mediated
endothelium dysfunction remains unknown. Investigation of the AGP-specific
effects on NO- and AGE-mediated dysfunction and the underlying molecular
mechanisms revealed that AGP upregulated eNOS expression and NO production, and
that eNOS silencing and PPARgamma antagonism inhibited AGP-mediated eNOS
upregulation and NO production. Moreover, AGP-PPARgamma-axis-mediated NO
production promoted the generation of reactive oxygen species and AGE formation.
These results suggested that AGP plays a significant role in the
initiation/progression of diabetes-related atherosclerosis through PPARgamma
activation.
PMID- 29355590
TI - Targeting TGF-beta signaling for the treatment of fibrosis.
AB - Transforming growth factor-beta (TGF-beta) is widely recognized as a core pathway
of fibrosis. Inhibition of TGF-beta signaling may thus offer potential for
antifibrotic therapies. Long-term inhibition of TGF-beta signaling at the level
of its isoforms and receptors can be associated with unacceptable adverse
effects. However, TGF-beta regulates a myriad of intracellular signaling cascades
to transmit its profibrotic effects and several of those pathways offer potential
for pharmacologic intervention. Moreover, the multiple interactions of TGF-beta
with other profibrotic pathways also yielded candidates for therapeutic
intervention. In this review, we discuss selected targets within the TGF-beta
pathway with high translational potential.
PMID- 29355591
TI - Chaperoning the guardian of the genome. The two-faced role of molecular
chaperones in p53 tumor suppressor action.
AB - Organized networks of heat shock proteins, which possess molecular chaperone
activity, protect cells from abrupt environmental changes. Additionally,
molecular chaperones are essential during stress-free periods, where they
moderate housekeeping functions. During tumorigenesis, these chaperone networks
are extensively remodeled in such a way that they are advantageous to the
transforming cell. Molecular chaperones by buffering critical elements of
signaling pathways empower tumor evolution leading to chemoresistance of cancer
cells. Controversially, the same molecular chaperones, which are indispensable
for p53 in reaching its tumor suppressor potential, are beneficial in adopting an
oncogenic gain of function phenotype when TP53 is mutated. On the molecular
level, heat shock proteins by unwinding the mutant p53 protein expose aggregation
prone sites leading to the sequestration of other tumor suppressor proteins
causing inhibition of apoptosis and chemoresistance. Therefore, within this
review therapeutic approaches combining classical immuno- and/or chemotherapy
with specific inhibition of selected molecular chaperones shall be discussed.
PMID- 29355592
TI - Down-regulation of Inwardly Rectifying K+ Currents in Astrocytes Derived from
Patients with Monge's Disease.
AB - Chronic mountain sickness (CMS) or Monge's disease is a disease in highlanders.
These patients have a variety of neurologic symptoms such as migraine, mental
fatigue, confusion, dizziness, loss of appetite, memory loss and neuronal
degeneration. The cellular and molecular mechanisms underlying CMS neuropathology
is not understood. In the previous study, we demonstrated that neurons derived
from CMS patients' fibroblasts have a decreased expression and altered gating
properties of voltage-gated sodium channel. In this study, we further
characterize the electrophysiological properties of iPSC-derived astrocytes from
CMS patients. We found that the current densities of the inwardly rectifying
potassium (Kir) channels in CMS astrocytes (-5.7 +/- 2.2 pA/pF at -140 mV) were
significantly decreased as compared to non-CMS (-28.4 +/- 3.4 pA/pF at -140 mV)
and sea level subjects (-28.3 +/- 5.3 pA/pF at -140 mV). We further demonstrated
that the reduced Kir current densities in CMS astrocytes were caused by their
decreased protein expression of Kir4.1 and Kir2.3 channels, while single channel
properties (i.e., Po, conductance) of Kir channel in CMS astrocytes were not
altered. In addition, we found no significant differences of outward potassium
currents between CMS and non-CMS astrocytes. As compared to non-CMS and sea level
subjects, the K+ uptake ability in CMS astrocytes was significantly decreased.
Taken together, our results suggest that down-regulation of Kir channels and the
resulting decreased K+ uptake ability in astrocytes could be one of the major
molecular mechanisms underlying the neurologic manifestations in CMS patients.
PMID- 29355593
TI - An approach to testing undiluted e-cigarette aerosol in vitro using 3D
reconstituted human airway epithelium.
AB - The data presented here show that to provide an estimate of the relative
cytotoxicity and therefore potency of e-cigarettes, undiluted aerosol techniques
can be used. With the emergence of electronic nicotine delivery systems, fit-for
purpose in vitro screening methods are required. Reconstituted 3D human airway
epithelium, was exposed to undiluted aerosols at the air-liquid interface, using
a Vitrocell VC 10. TEER, cilia beat frequency and cytotoxic responses were
assessed. Using two smoking regimes (ISO and HCI) a 3R4F reference cigarette,
produced IC50s of 5.2 and 2.1 min, 1458 ng/mL and 1640 ng/mL nicotine
respectively. Using an open tank e-cigarette device, a full cytotoxicity dose
response curve was obtained giving an IC50 of 30 min with corresponding nicotine
of 10,957 ng/mL, 6-14 times less cytotoxic than cigarette smoke. A commonly used
e-liquid flavourant cinnamaldehyde and known skin sensitizer was added to the
standard e-liquid formulation and used as an aerosolised positive control, at
0.1, 0.025, 0.01 and 0%, demonstrating a full dose response. The delivery of
undiluted aerosols in vitro has resulted in increased method sensitivity,
throughput and quantitative e-cigarette comparisons. A positive control aerosol
generated from a 'safe' e-liquid benchmark can inform risk assessments on
supportable levels of flavour ingredients.
PMID- 29355594
TI - In vitro characterization of ritonavir formulations and correlation to in vivo
performance in dogs.
AB - Ritonavir (RTV) is a weakly basic drug with a pH-dependent solubility. In vitro
characterization of dissolution and supersaturation behaviors of three PEG-8000
based amorphous solid dispersions (ASD) and a physical blend (PB) with
crystalline drug were performed in the biomimetic media (e.g., FaSSGF, FaSSIF,
FaSSIF-V2). A two-stage dissolution test and a biphasic dissolution-partition
test at the small scale (referred as to biphasic test) were employed with
intention to examine the in vitro and in vivo relationship (IVIVR) with
retrospective PK data in dog model. The two-stage dissolution test revealed a
high degree of supersaturation of RTV from these ASDs accompanied by the
occurrence of liquid-liquid phase separation (LLPS) in the biomimetic media. A
rapid decrease of apparent RTV concentrations of these ASDs was associated with
significant precipitation upon the pH shift of the dissolution medium, revealing
the important role of "the gastric stage". In comparison, the biphasic test
revealed a lower degree of supersaturation of RTV that is attributed to removal
of RTV through partition into octanol, acting as "the absorption compartment".
These two dissolution tests provide characterization of the supersaturation state
with a complex, dynamic interplay among dissolution, precipitation and partition
processes. Results of both in vitro dissolution tests are in good agreement with
in vivo results in dogs. In addition, three commercial generic RTV drug products
were examined by the biphasic test. Agreement was also obtained between the RTV
concentrations in octanol at 3 h from these generic drug products and their
corresponding relative bioavailability in dogs.
PMID- 29355595
TI - Curcumin drug delivery by vanillin-chitosan coated with calcium ferrite hybrid
nanoparticles as carrier.
AB - The aim of the present investigation is the development, optimization and
characterization of curcumin-loaded hybrid nanoparticles of vanillin-chitosan
coated with super paramagnetic calcium ferrite. The functionally modified
vanillin-chitosan was prepared by the Schiff base reaction to enhance the
hydrophobic drug encapsulation efficiency. Calcium ferrite (CFNP) nano particles
were added to the vanillin modified chitosan to improve the biocompatibility. The
vanillin-chitosan-CFNP, hybrid nanoparticle carrier was obtained by ionic
gelation method. Characterizations of the hybrid materials were performed by XRD,
FTIR, 1H NMR, TGA, AFM and SEM techniques to ensure the modifications on the
chitosan material. Taguchi method was applied to optimize the drug (curcumin)
encapsulation efficiency by varying the drug to chitosan-vanillin, CFNP to
chitosan-vanillin and TPP (sodium tripolyphospate) to chitosan-vanillin ratios.
The maximum encapsulation efficiency was obtained as 98.3% under the conditions
of 0.1, 0.75 and 1.0 for the drug to chitosan-vanillin, CFNP to chitosan-vanillin
and TPP to chitosan-vanillin ratios, respectively. The curcumin release was
performed at various pH, initial drug loading concentrations and magnetic fields.
The drug release mechanism was predicted by fitting the experimental kinetic data
with various drug release models. The drug release profiles showed the best fit
with Higuchi model under the most of conditions. The drug release mechanism
followed both non-Fickian diffusion and case II transport mechanism for chitosan,
however the non-Fickian diffusion mechanism was followed for the vanillin
modified chitosan. The biocompatibility of the hybrid material was tested using
L929 fibroblast cells. The cytotoxicity test was performed against MCF-7 breast
cancer cell line to check the anticancer property of the hybrid nano carrier with
the curcumin drug.
PMID- 29355596
TI - Ubiquitin-activating enzyme E1 inhibitor PYR-41 retards sperm enlargement after
fusion to the egg.
AB - The ubiquitin-proteasome system, which is initiated by a single ubiquitin
activating enzyme E1 (UBE1), is involved in male reproduction via spermatogenesis
and function in mammals. Here we explored the influence of UBE1-specific
inhibitor, 4[4-(5-nitro-furan-2-ylmethylene)-3,5-dioxo-pyrazolidin-1-yl]-benzoic
acid ethyl ester (pyrazone-41 or PYR-41) in female reproduction. UBE-1 was
detected by immunoblotting and immunocytochemistry in mouse eggs and was
localized mainly under the egg plasma membrane. PYR-41 pretreatment suppresses
the development of eggs into two-cell embryos. Specifically, pretreatment
retarded sperm enlargement and meiotic chromosomal division after sperm-egg
fusion. PYR-41 pretreatment disturbed beta-catenin, a well-known target protein
for ubiquitination, localization under the egg plasma membrane and on spindle
microtubules in wild-type eggs. Otherwise, PYR-41 treatment had no effect on the
two-cell development of eggs lacking beta-catenin. Our results raise the
possibility that inhibition of the ubiquitin-proteasome system suppresses sperm
enlargement through impaired beta-catenin-mediated mechanism.
PMID- 29355597
TI - Elucidating the gene regulatory networks modulating cancer stem cells and non
stem cancer cells in high grade serous ovarian cancer.
AB - The origin and pathogenesis of epithelial ovarian cancer have perplexed
investigators for decades. The most prevalent type of it is the high-grade serous
ovarian carcinoma (HGSOv) which is a highly aggressive disease with high relapse
rates and insurgence of chemo-resistance at later stages of treatment. These are
driven by a rare population of stem cell like cancer cells called cancer stem
cells (CSCs). We have taken up a systems approach to find out the common gene
interaction paths between non-CSC tumor cells (CCs) and CSCs in HGSOv. Detailed
investigation reveals a set of 17 Transcription Factors (named as pivot-TFs)
which can govern changes in the mode of gene regulation along these paths.
Overall, this work highlights a divergent road map of functional information
relayed by these common key players in the two cell states, which might aid
towards designing novel therapeutic measures to target the CSCs for ovarian
cancer therapy.
PMID- 29355587
TI - The role of the orbitofrontal cortex in alcohol use, abuse, and dependence.
AB - One of the major functions of the orbitofrontal cortex (OFC) is to promote
flexible motivated behavior. It is no surprise, therefore, that recent work has
demonstrated a prominent impact of chronic drug use on the OFC and a potential
role for OFC disruption in drug abuse and addiction. Among drugs of abuse, the
use of alcohol is particularly salient with respect to OFC function. Although a
number of studies in humans have implicated OFC dysregulation in alcohol use
disorders, animal models investigating the association between OFC and alcohol
use are only beginning to be developed, and there is still a great deal to be
revealed. The goal of this review is to consider what is currently known
regarding the role of the OFC in alcohol use and dependence. I will first provide
a brief, general overview of current views of OFC function and its contributions
to drug seeking and addiction. I will then discuss research to date related to
the OFC and alcohol use, both in human clinical populations and in non-human
models. Finally I will consider issues and strategies to guide future study that
may identify this brain region as a key player in the transition from moderated
to problematic alcohol use and dependence.
PMID- 29355598
TI - Biological activities of (-)-epicatechin and (-)-epicatechin-containing foods:
Focus on cardiovascular and neuropsychological health.
AB - Recent studies have suggested that certain (-)-epicatechin-containing foods have
a blood pressure-lowering capacity. The mechanisms underlying (-)-epicatechin
action may help prevent oxidative damage and endothelial dysfunction, which have
both been associated with hypertension and certain brain disorders. Moreover, (-)
epicatechin has been shown to modify metabolic profile, blood's rheological
properties, and to cross the blood-brain barrier. Thus, (-)-epicatechin causes
multiple actions that may provide unique synergy beneficial for cardiovascular
and neuropsychological health. This review summarises the current knowledge on
the biological actions of (-)-epicatechin, related to cardiovascular and brain
functions, which may play a remarkable role in human health and longevity.
PMID- 29355599
TI - Stable isotope compounds - production, detection, and application.
AB - Stable isotopes are used in wide fields of application from natural tracers in
biology, geology and archeology through studies of metabolic fluxes to their
application as tracers in quantitative proteomics and structural biology. We
review the use of stable isotopes of biogenic elements (H, C, N, O, S, Mg, Se)
with the emphasis on hydrogen and its heavy isotope deuterium. We will discuss
the limitations of enriching various compounds in stable isotopes when produced
in living organisms. Finally, we overview methods for measuring stable isotopes,
focusing on methods for detection in single cells in situ and their exploitation
in modern biotechnologies.
PMID- 29355600
TI - Mechanisms of canalicular transporter endocytosis in the cholestatic rat liver.
AB - Impaired canalicular secretion due to increased endocytosis and intracellular
retention of canalicular transporters such as BSEP and MRP2 is a main, common
pathomechanism of cholestasis. Nevertheless, the mechanisms governing this
process are unknown. We characterized this process in estradiol 17 beta-d
glucuronide (E17G)-induced cholestasis, an experimental model which partially
mimics pregnancy-induced cholestasis. Inhibitors of clathrin-mediated endocytosis
(CME) such as monodansylcadaverine (MDC) or K+ depletion, but not the caveolin
mediated endocytosis inhibitors filipin and genistein, prevented E17G-induced
endocytosis of BSEP and MRP2, and the associated impairment of activity of these
transporters in isolated rat hepatocyte couplets (IRHC). Immunofluorescence and
confocal microscopy studies showed that, in E17G-treated IRHC, there was a
significant increase in the colocalization of MRP2 with clathrin, AP2, and Rab5,
three essential members of the CME machinery. Knockdown of AP2 by siRNA in
sandwich-cultured rat hepatocytes completely prevented E17G-induced endocytosis
of BSEP and MRP2. MDC significantly prevented this endocytosis, and the
impairment of bile flow and biliary secretion of BSEP and MRP2 substrates, in
isolated and perfused livers. BSEP and MRP2, which were mostly present in raft
(caveolin-enriched) microdomains in control rats, were largely found in non-raft
(clathrin-enriched) microdomains in livers from E17G-treated animals, from where
they can be readily recruited for CME. In conclusion, our findings show that CME
is the mechanism responsible for the internalization of the canalicular
transporters BSEP and MRP2 in E17G-induced cholestasis. The shift of these
transporters from raft to non-raft microdomains could be a prerequisite for the
transporters to be endocytosed under cholestatic conditions.
PMID- 29355601
TI - Nickel ions bind to HSP90beta and enhance HIF-1alpha-mediated IL-8 expression.
AB - Nickel ions (Ni2+) eluted from biomedical devices cause inflammation and Ni
allergy. Although Ni2+ and Co2+ elicit common effects, Ni2+ induces a generally
stronger inflammatory reaction. However, the molecular mechanism by which Ni2+
and Co2+ induce such different responses remains to be elucidated. In the present
study, we compared the effects of Ni2+ and Co2+ on the expression of interleukin
(IL)-8 in human monocyte THP-1 cells. We report that NiCl2 but not CoCl2 induced
the expression of IL-8; in contrast, CoCl2 elicited a higher expression of
hypoxia-inducible factor-1alpha (HIF-1alpha). The NiCl2-induced expression of IL
8 in late phase was blocked by a HIF-1alpha inhibitor, PX-478, indicating that
NiCl2 targets additional factors responsible for activating HIF-1alpha. To
identify such targets, proteins that bound preferentially to Ni-NTA beads were
analyzed by LC/MS/MS. The analysis yielded heat shock protein 90beta (HSP90beta)
as a possible candidate. Furthermore, Ni2+ reduced the interaction of HSP90beta
with HIF-1alpha, and instead promoted the interaction between HIF-1alpha and HIF
1beta, as well as the nuclear localization of HIF-1alpha. Using various deletion
variants, we showed that Ni2+ could bind to the linker domain on HSP90beta. These
results suggest that HSP90beta plays important roles in Ni2+-induced production
of IL-8 and could be a potential target for the regulation of Ni2+-induced
inflammation.
PMID- 29355603
TI - Targeting PERK signaling with the small molecule GSK2606414 prevents
neurodegeneration in a model of Parkinson's disease.
AB - Parkinson's disease (PD) is the second most common neurodegenerative disorder,
leading to the progressive decline of motor control due to the loss of
dopaminergic neurons in the substantia nigra pars compacta (SNpc). Accumulating
evidence suggest that altered proteostasis is a salient feature of PD,
highlighting perturbations to the endoplasmic reticulum (ER), the main
compartment involved in protein folding and secretion. PERK is a central ER
stress sensor that enforces adaptive programs to recover homeostasis through a
block of protein translation and the induction of the transcription factor ATF4.
In addition, chronic PERK signaling results in apoptosis induction and neuronal
dysfunction due to the repression in the translation of synaptic proteins. Here
we confirmed the activation of PERK signaling in postmortem brain tissue derived
from PD patients and three different rodent models of the disease.
Pharmacological targeting of PERK by the oral administration of GSK2606414
demonstrated efficient inhibition of the pathway in the SNpc after experimental
ER stress stimulation. GSK2606414 protected nigral-dopaminergic neurons against a
PD-inducing neurotoxin, improving motor performance. The neuroprotective effects
of PERK inhibition were accompanied by an increase in dopamine levels and the
expression of synaptic proteins. However, GSK2606414 treated animals developed
secondary effects possibly related to pancreatic toxicity. This study suggests
that strategies to attenuate ER stress levels may be effective to reduce
neurodegeneration in PD.
PMID- 29355602
TI - Natural product andrographolide alleviated APAP-induced liver fibrosis by
activating Nrf2 antioxidant pathway.
AB - As a well-known analgesic drug, acetaminophen (APAP) is commonly used to relieve
pain for patients with chronic painful diseases. Our previous study has shown
that long-term ingestion of APAP caused liver fibrosis in mice. This study
further investigated the critical role of nuclear factor erythroid 2-related
factor 2 (Nrf2) in regulating APAP-induced liver fibrosis in mice and the anti
fibrotic effect of natural compound andrographolide (Andro). Our results showed
that hepatic collagen deposition and hepatic stellate cells (HSCs) activation
induced by APAP were more serious in Nrf2 knock-out mice than in normal wild-type
mice. Andro reduced HSCs activation in vitro, and also decreased hepatic collagen
deposition and HSCs activation induced by APAP in mice. Andro alleviated liver
oxidative stress injury induced by APAP in mice and reduced cellular formation of
reactive oxygen species (ROS) in HSCs. Andro enhanced Nrf2 nuclear translocation
and increased the expression of Nrf2 downstream antioxidant genes both in vitro
and in vivo. Furthermore, the Andro-provided protection against APAP-induced
liver fibrosis was diminished in Nrf2 knock-out mice. In summary, Nrf2 is
critically involved in preventing liver fibrosis induced by long-term
administration of APAP in mice, and Andro alleviates APAP-induced liver fibrosis
by attenuating liver oxidative stress injury via inducing Nrf2 activation. This
study points out the potential application of Andro in the treatment of liver
fibrosis in clinic.
PMID- 29355604
TI - In-depth phylodynamics, evolutionary analysis and in silico predictions of
universal epitopes of Influenza A subtypes and Influenza B viruses.
AB - This study applied High-Performance Computing to explore the high-resolution
phylodynamics and the evolutionary dynamics of Influenza viruses (IVs) A and B
and their subtypes in-depth to identify peptide-based candidates for broad
spectrum vaccine targets. For this purpose, we collected all the available
Hemagglutinin (HA) and Neuraminidase (NA) nucleotide and amino acid sequences
(more than 100,000) of IVs isolated from all the reservoirs and intermediate
hosts species, from all geographic ranges and from different isolation sources,
covering a period of almost one century of sampling years. We highlight that
despite the constant changes in Influenza evolutionary dynamics over time, which
are responsible for the generation of novel strains, our study identified the
presence of highly conserved peptides distributed in all the HA and NA found in
H1-H18 and N1-N11 IAV subtypes and IBVs. Additionally, predictions through
computational methods showed that these peptides could have a strong affinity to
bind to HLA-A*02:01/HLA-DRB1*01:01 major histocompatibility complex (MHC) class I
and II molecules, therefore acting as a double ligand. Moreover, epitope
prediction in antigens from pathogens responsible for secondary bacterial
infection was also studied. These findings show that the regions mapped here may
potentially be explored as universal epitope-based candidates to develop
therapies leading to a broader response against the infection induced by all
circulating IAVs, IBVs and Influenza-associated bacterial infections.
PMID- 29355606
TI - Enteric alpha-defensins on the verge of intestinal immune tolerance and
inflammation.
AB - The gut is the biggest immune organ in the body that encloses commensal
microbiota which aids in food digestion. Paneth cells, positioned at the
frontline of host-microbiota interphase, can modulate the composition of
microbiota. Paneth cells achieve this via the delivery of microbicidal
substances, among which enteric alpha-defensins play the primary role. If
microbiota is dysregulated, it can impact the function of the local mucosal
immune system. Importantly, this system is also exposed to an enormous number of
antigens which are derived from the gut-resident microbiota and processed food,
and may potentially trigger undesirable local inflammatory responses. To
understand the intricate regulations and liaisons between Paneth cells,
microbiota and the immune system in this intestinal-specific setting, one must
consider their mode of interaction in a wider context of regulatory processes
which impose immune tolerance not only to self, but also to microbiota and food
derived antigens. These include, but are not limited to, tolerogenic mechanisms
of central tolerance in the thymus and peripheral tolerance in the secondary
lymphoid organs, and the intestine itself. Defects in these processes can
compromise homeostasis in the intestinal mucosal immunity. In this review, which
is focused on tolerance to intestinal antigens and its relevance for the
pathogenesis of gut immune diseases, we provide an outline of such multilayered
immune control mechanisms and highlight functional links that underpin their
cooperative nature.
PMID- 29355605
TI - Sharing mutants and experimental information prepublication using FgMutantDb
(https://scabusa.org/FgMutantDb).
AB - There is no comprehensive storage for generated mutants of Fusarium graminearum
or data associated with these mutants. Instead, researchers relied on several
independent and non-integrated databases. FgMutantDb was designed as a simple
spreadsheet that is accessible globally on the web that will function as a
centralized source of information on F. graminearum mutants. FgMutantDb aids in
the maintenance and sharing of mutants within a research community. It will serve
also as a platform for disseminating prepublication results as well as negative
results that often go unreported. Additionally, the highly curated information on
mutants in FgMutantDb will be shared with other databases (FungiDB, Ensembl,
PhytoPath, and PHI-base) through updating reports. Here we describe the creation
and potential usefulness of FgMutantDb to the F. graminearum research community,
and provide a tutorial on its use. This type of database could be easily emulated
for other fungal species.
PMID- 29355607
TI - Interplay between co-divergence and cross-species transmission in the
evolutionary history of bat coronaviruses.
AB - Coronaviruses (CoVs) have been documented in almost every species of bat sampled.
Bat CoVs exhibit both extensive genetic diversity and a broad geographic range,
indicative of a long-standing host association. Despite this, the respective
roles of long-term virus-host co-divergence and cross-species transmission (host
jumping) in the evolution of bat coronaviruses are unclear. Using a phylogenetic
approach we provide evidence that CoV diversity in bats is shaped by both species
richness and their geographical distribution, and that CoVs exhibit clustering at
the level of bat genera, with these genus-specific clusters largely associated
with distinct CoV species. Co-phylogenetic analyses revealed that cross-species
transmission has been more common than co-divergence across coronavirus evolution
as a whole, and that cross-species transmission events were more likely between
sympatric bat hosts. Notably, however, an analysis of the CoV RNA polymerase
phylogeny suggested that many such host-jumps likely resulted in short-term spill
over infections, with little evidence for sustained onward transmission in new co
roosting host species.
PMID- 29355608
TI - Genetic risk factors in thrombotic primary antiphospholipid syndrome: A
systematic review with bioinformatic analyses.
AB - BACKGROUND: Antiphospholipid Syndrome (APS) is an autoimmune multifactorial
disorder. Genetics is believed to play a contributory role in the pathogenesis of
APS, especially in thrombosis development and pregnancy morbidity. In the last 20
years, extensive research on genetic contribution on APS indicates that APS is a
polygenic disorder, where a number of genes are involved in the development of
its clinical manifestations. AIMS: The aim of this systematic review is to
evaluate the genetic risk factors in thrombotic primary APS. Additionally, to
assess the common molecular functions, biological processes, pathways,
interrelations with the gene encoded proteins and RNA-Seq-derived expression
patterns over different organs of the associated genes via bioinformatic
analyses. METHODS: Without restricting the year, a systematic search of English
articles was conducted (up to 4th September 2017) using Web of Science, PubMed,
Scopus, ScienceDirect and Google Scholar databases. Eligible studies were
selected based on the inclusion criteria. Two researchers independently extracted
the data from the included studies. Quality assessment of the included studies
was carried out using a modified New-Castle Ottawa scale (NOS). RESULTS: From an
initial search result of 2673 articles, 22 studies were included (1268 primary
APS patients and 1649 healthy controls). Twenty-two genes were identified in
which 16 were significantly associated with thrombosis in primary APS whereas six
genes showed no significant association with thrombosis. Based on the NOS, 14
studies were of high quality while 6 were low quality studies. From the
bioinformatic analyses, thrombin-activated receptor activity (q = 6.77 * 10-7),
blood coagulation (q = 2.63 * 10-15), formation of fibrin clot (q = 9.76 * 10-10)
were the top hit for molecular function, biological process and pathway
categories, respectively. With the highest confidence interaction score of 0.900,
all of the thrombosis-associated gene encoded proteins of APS were found to be
interconnected except for two. Based on the pathway analysis, cumulatively all
the genes affect haemostasis [false discovery rate (FDR) = 1.01 * 10-8] and the
immune system [FDR = 9.93 * 10-2]. Gene expression analysis from RNA-Seq data
revealed that almost all the genes were expressed in 32 different tissues in the
human body. CONCLUSION: According to our systematic review, 16 genes contribute
significantly in patients with thrombotic primary APS when compared with
controls. Bioinformatic analyses of these genes revealed their molecular
interconnectivity in protein levels largely by affecting blood coagulation and
immune system. These genes are expressed in 32 different organs and may pose
higher risk of developing thrombosis anywhere in the body of primary APS
patients.
PMID- 29355609
TI - Is the Nociception Mechanism Altered in Offspring of Morphine-Abstinent Rats?
AB - : To investigate the effect of parental drug abuse on children, nociception,
electrophysiological alteration, mRNA expression of opioid receptors, and
expression of certain intracellular proteins in offspring of morphine-abstinent
rats were studied. Adult male and female animals received water-soluble morphine
for 21 days. Ten days after the last morphine administration, animals were placed
for mating in 4 groups as follows: healthy (drug naive) female and male, morphine
abstinent female and healthy male, morphine-abstinent male and healthy female,
morphine-abstinent male and morphine-abstinent female. Their adult male offspring
were tested for nociception, neuronal discharge in nucleus accumbens (NAC) and
prefrontal cortex (PFC). Our results showed that nociception in male offspring of
all morphine-abstinent parent(s) groups was significantly reduced, compared with
the control group. In the offspring of morphine-abstinent parent(s) groups,
sensitivity to the antinociceptive effect of morphine was enhanced in chronic as
well as in acute phases of the formalin test. Neuronal electrical activity
reduced in the offspring of the morphine-exposed parent(s) in NAC as well as PFC
regions. Moreover, our findings show that opioid receptors' expressions (u,
kappa, and delta) increased in NAC of the litter of morphine-abstinent parent(s),
compared with the control group. In addition, the expression of kappa receptors
was remarkably increased in the PFC in morphine-abstinent parent group, relative
to the control group. The phosphorylated levels of extracellular regulated kinase
1/2 and cyclic adenosine monophosphate responsive element binding protein were
significantly higher in the offspring of the morphine-abstinent parent(s) than
the control group in the NAC. Our results indicated that endogenous opioid is
altered in offspring of the morphine-exposed parent(s) and that heritage has a
major role. PERSPECTIVE: This study showed that nociception was reduced in
offspring of morphine-abstinent rat(s) and also these litters had a low level of
neuronal firing rate, and enhanced opioid receptors expression, especially in the
NAC. Because these offspring are more sensitive to the analgesic effect of
morphine, clinicians should consider this issue to manage the dosage of morphine
for treating pain in children with an abstinent parent(s).
PMID- 29355610
TI - Maternal T-cell engraftment impedes with diagnosis of a SCID-ADA patient.
AB - We describe the case of a child affected by severe combined immunodeficiency
(SCID) with adenosine deaminase (ADA) deficiency showing a maternal T-cell
engraftment, a finding that has never been reported before. The presence of
engrafted maternal T cells was misleading. Although ADA enzymatic levels were
suggestive of ADA-SCID, the child did not present the classical signs of ADA
deficiency; therefore, the initial diagnosis was of a conventional SCID. However,
ADA toxic metabolites and molecular characterization confirmed this diagnosis.
Polyethylene glycol-modified bovine (PEG) ADA therapy progressively decreased the
number of maternal engrafted T cells. The child was grafted with full bone marrow
from a matched unrelated donor, after a reduced conditioning regimen, and the
result was the complete immunological reconstitution.
PMID- 29355611
TI - Evaluation of transient elastography in assessing liver fibrosis in patients with
advanced schistosomiasis japonica.
AB - Schistosomiasis remains an important public health issue. The presence and extent
of liver fibrosis are associated with disease progression and prognosis. The
study is aimed at exploring the value of liver stiffness measurement (LSM) by
transient elastography in assessing liver fibrosis in patients with advanced
schistosomiasis japonica. Seventy-three patients were consecutively recruited for
the purpose of this study. The correlation between noninvasive parameters and
histological fibrosis stages was analyzed and an area under receiver operating
characteristic curve (AUROC) was used to assess diagnostic efficacy. Our results
demonstrated that there are significant differences between LSM values of
patients with different stages of fibrosis (F1 vs. F2, F2 vs. F3 and F3 vs. F4,
P<0.01). The AUROC values of LSM in detecting significant fibrosis (F>=2),
advanced fibrosis (F>=3) and cirrhosis (F=4) were 0.96, 0.90, and 0.92
respectively. The optimal cut-off LSM values were 8.0kPa, 9.5kPa, and 18.0kPa for
significant fibrosis, advanced fibrosis and cirrhosis. Based on differences
between AUROC values, LSM was proven to be superior to several serum models in
detecting advanced fibrosis and cirrhosis. In conclusion, our study demonstrates
that LSM is a reliable parameter for assessing risk of liver fibrosis in patients
with advanced schistosomiasis japonica.
PMID- 29355612
TI - New record of Ascaridia nymphii (Secernentea: Ascaridiidae) from macaw parrot,
Ara chloroptera, in China.
AB - Present study was performed to identify the species of ascarids from macaw
parrot, Ara chloroptera, in China. Total 6 ascarids (3 males and 3 females) were
collected in the feces of 3 macaws at Guangzhou Zoo in Guangdong Province, China.
Their morphological characteristics with dimensions were observed under a light
microscope, and their genetic characters were analyzed with the partial 18S rDNA,
ITS rDNA and nad4 gene sequences, respectively. Results showed that all worms
have no interlabia but male worms have two alate spicules, well-developed
precloacal sucker and a tail with ventrolateral caudal alae and 11 pairs of
papillae. The partial 18S rDNA, ITS rDNA and nad4 sequences were 831bp, 1015bp
and 394bp in length, respectively. They showed the highest similarity of 99.8%
(18S rDNA) with Ascaridia nymphii, 93.8% identities (ITS rDNA) with A. columbae
and 98.5% to 99.5% identities (nad4) with Ascaridia sp. from infected parrot. All
Ascaridia nematodes from the macaws were clustered into one clade and formed
monophyletic group of Ascaridia with A. columbae and A. galli in two phylogenetic
trees. It is observed that the combining morphological and sequencing data from
three loci, the present Ascaridia species was identified as Ascaridia nymphii,
which is the first record of A. nymphii from macaw parrot in China.
PMID- 29355613
TI - Histopathological growth patterns as a candidate biomarker for immunomodulatory
therapy.
AB - The encroachment of a growing tumor upon the cells and structures of surrounding
normal tissue results in a series of histopathological growth patterns (HGPs).
These morphological changes can be assessed in hematoxylin-and-eosin (H&E)
stained tissue sections from primary and metastatic tumors and have been
characterized in a range of tissue types including liver, lung, lymph node and
skin. HGPs in different tissues share certain general characteristics like the
extent of angiogenesis, but also appropriate tissue-specific mechanisms which
ultimately determine differences in the biology of HGP subtypes. For instance, in
the well-characterized HGPs of liver metastases, the two main subtypes,
replacement and desmoplastic, recapitulate two responses of the normal liver to
injury: regeneration and fibrosis. HGP subtypes have distinct cytokine profiles
and differing levels of lymphocytic infiltration which suggests that they are
indicative of immune status in the tumor microenvironment. HGPs predict response
to bevacizumab and are associated with overall survival (OS) after surgery for
liver metastases in colorectal cancer (CRC). In addition, HGPs can change over
time in response to therapy. With standard scoring methods being developed, HGPs
represent an easily accessible, dynamic biomarker to consider when determining
strategies for treatment using anti-VEGF and immunomodulatory drugs.
PMID- 29355614
TI - Head and neck squamous cell carcinoma: Genomics and emerging biomarkers for
immunomodulatory cancer treatments.
AB - Head and neck squamous cell carcinoma (HNSCC) comprises a heterogeneous group of
tumors that arise from the squamous epithelium of the oral cavity, oropharynx,
larynx and hypopharynx. While many HNSCCs are related to classical etiologic
factors of smoking and alcohol, a clinically, genomically, and immunologically
distinct subgroup of tumors arise from the epithelium of the tonsil and the base
of tongue as a result of infection with Human Papilloma Virus (HPV). In this
review we describe the genomic and immunologic landscape of HNSCC, highlighting
differences between HPV-positive and HPV-negative HNSCC. While HPV-negative
tumors are characterized by tobacco-associated mutations in genes including TP53
and CDKN2A, in HPV-positive HNSCC integration of viral genome from HPV into the
host cellular genome results in expression of the E6 and E7 viral oncoproteins,
with consequent degradation of p53 and functional inactivation of Rb. The immune
microenvironment of HNSCC is characterized by changes in immune cell populations,
immune checkpoints, as well as tumor or microenvironmental factors that alter the
balance of the immune milieu in favor of immunosuppression, allowing tumor
evasion and escape from immune surveillance. Immune therapies, in particular
those targeting the PD1 receptor or its ligand PD-L1, including nivolumab,
pembrolizumab, durvalumab, and atezolizumab have shown significant efficacy in
subsets of patients with HNSCC. Current trials are evaluating the efficacy of
these agents in combination with chemotherapy, radiotherapy and other immune
therapies including CTLA-4 and IDO-1 inhibitors. While biomarkers including PD-L1
expression, PD-L2 expression and the interferon-gamma gene signature show
potential to predict benefit from checkpoint inhibitor therapy - it is hoped that
improved understanding of the genomic and immune landscape will lead to ways to
improved strategies to stratify patients and to select which HNSCC are most
likely to benefit from these therapies.
PMID- 29355615
TI - A Competing Risk Model of First Failure Site after Definitive Chemoradiation
Therapy for Locally Advanced Non-Small Cell Lung Cancer.
AB - INTRODUCTION: The aim of the study was to build a model of first failure site-
and lesion-specific failure probability after definitive chemoradiotherapy for
inoperable NSCLC. METHODS: We retrospectively analyzed 251 patients receiving
definitive chemoradiotherapy for NSCLC at a single institution between 2009 and
2015. All patients were scanned by fludeoxyglucose positron emission
tomography/computed tomography for radiotherapy planning. Clinical patient data
and fludeoxyglucose positron emission tomography standardized uptake values from
primary tumor and nodal lesions were analyzed by using multivariate cause
specific Cox regression. In patients experiencing locoregional failure,
multivariable logistic regression was applied to assess risk of each lesion being
the first site of failure. The two models were used in combination to predict
probability of lesion failure accounting for competing events. RESULTS:
Adenocarcinoma had a lower hazard ratio (HR) of locoregional failure than
squamous cell carcinoma (HR = 0.45, 95% confidence interval [CI]: 0.26-0.76, p =
0.003). Distant failures were more common in the adenocarcinoma group (HR = 2.21,
95% CI: 1.41-3.48, p < 0.001). Multivariable logistic regression of individual
lesions at the time of first failure showed that primary tumors were more likely
to fail than lymph nodes (OR = 12.8, 95% CI: 5.10-32.17, p < 0.001). Increasing
peak standardized uptake value was significantly associated with lesion failure
(OR = 1.26 per unit increase, 95% CI: 1.12-1.40, p < 0.001). The electronic model
is available at http://bit.ly/LungModelFDG. CONCLUSIONS: We developed a failure
site-specific competing risk model based on patient- and lesion-level
characteristics. Failure patterns differed between adenocarcinoma and squamous
cell carcinoma, illustrating the limitation of aggregating them into NSCLC.
Failure site-specific models add complementary information to conventional
prognostic models.
PMID- 29355616
TI - Tattoo-Induced False-Positive FDG PET/CT Interpretation while Staging for Lung
Cancer.
PMID- 29355617
TI - Serine protease inhibitors containing a Kunitz domain: their role in modulation
of host inflammatory responses and parasite survival.
AB - Proteins containing a Kunitz domain have the typical serine protease inhibition
function ranging from sea anemone to man. Protease inhibitors play major roles in
infection, inflammation disorders and cancer. This review discusses the role of
serine proteases containing a Kunitz domain in immunomodulation induced by
helminth parasites. Helminth parasites are associated with protection from
inflammatory conditions. Therefore, interest has raised whether worm parasites or
their products hold potential as drugs for treatment of immunological disorders.
Finally, we also propose the use of recombinant SmKI-1 from Schistosoma mansoni
as a potential therapeutic molecule to treat inflammatory diseases.
PMID- 29355618
TI - Lipopepsomes: A novel and robust family of nano-vesicles capable of highly
efficient encapsulation and tumor-targeted delivery of doxorubicin hydrochloride
in vivo.
AB - Doxil(r) is the first FDA-approved anti-cancer nano-drug. Notably, no targeted
liposomal formulation has advanced to clinical stage despite tremendous work
undertaken, partly due to a low stability of liposomes. Here, we report on novel
lipopepsomes self-assembled from poly(ethylene glycol)-b-poly(alpha-aminopalmitic
acid) as a stable and versatile alternative to liposomes for highly efficient
encapsulation and tumor-targeted delivery of doxorubicin hydrochloride (Dox.HCl).
Interestingly, lipopepsomes could be easily decorated with 20mol% cRGD peptide
and loaded with 17.4wt% Dox.HCl, giving cRGD-LPP-Dox with a small size of ~80nm.
cRGD-LPP-Dox exhibited a high stability against 10% FBS and restrained drug
release under physiological conditions. Flow cytometry, confocal microscopy and
MTT assays using alphavbeta3-overexpressing A549 tumor cells showed obviously
more efficient uptake and higher anticancer activity for cRGD-LPP-Dox than for
non-targeted LPP-Dox and clinically used liposomal Dox (Lipo-Dox) controls.
Notably, cRGD-LPP-Dox exhibited markedly enhanced toleration and tumor
accumulation than Lipo-Dox. The therapeutic studies demonstrated that cRGD-LPP
Dox achieved effective suppression of orthotopic A549 human lung tumor in nude
mice, resulting in significantly improved survival rate as compared to LPP-Dox
and Lipo-Dox groups. Lipopepsomes with small size, efficient loading of Dox.HCl,
high stability and versatile ligand decoration have appeared as a highly
attractive nanoplatform for targeted tumor chemotherapy.
PMID- 29355619
TI - Nanotherapeutics in oral and parenteral drug delivery: Key learnings and future
outlooks as we think small.
AB - Nanotechnology ushered the field of medicine in to a new era. Miniaturization,
increased surface area, and the unique physicochemical properties in the nano
dimension were explored for new applications. Pharmaceutical industry picked up
the technology and early success came fast for oral drug delivery through
improvement in dissolution properties of the active molecules. Many products were
launched using the nanocrystal technology on the oral side. Further development
of polymeric nanoparticles led to wide spread research of nanocarriers for
parenteral delivery. While considerable efforts have gone in the last two decades
for testing nanoparticles for tumor targeting, delivery into tumors has remained
challenging and suboptimal. Inadequate in vivo models that didn't accurately
reflect the age and vascularity of human tumors, and inability to reproducibly
target therapeutic drugs to the tissue of interest due to intrinsic
biodistribution of the particles and hence side effects, limited the number of
studies that advanced to the clinic. Our article addresses the questions commonly
asked by scientific researchers in nanomedicine: "Has nanoparticle technology
yielded on its initial promise that scientists predicted towards improving
therapeutic index and avoid toxicity by delivering molecules to target tissues or
was it more of wishful thinking that had several roadblocks?" We answer this
question by linking the relevance of nanoparticles to cancer immunotherapy. The
advent of immunotherapy has begun to show the potential applicability of
nanoparticles in a different light, to target the immune system. In this
approach, nanoparticles may positively influence the immune system rather than
create the targeted "magic bullet". Utilizing the intrinsic properties of
nanoparticles for immune targeting as opposed to targeting the tumor can bring
about a positive difference due to the underlying complex cancer mechanisms that
can potentially overlap with the heterogeneous biodistribution of nanoparticles
towards improving the acquired and innate immune responses. In this review, we
have followed the progress of nanotechnology in pharmaceutical applications with
key insights from oral and parenteral drug delivery, and how to modify our
thinking to better utilize nanoparticles for immuno-oncology. In contrast to
conventional "local" tumor targeting by nanoparticles, we propose a new mechanism
whereby nanoparticles trigger priming of the T cells towards tumor destruction.
The heterogenous biodistribution of nanoparticles lends itself to stimulating
immune cells systemically in a "global" manner and with the right therapeutic
combinations will be able to trigger tumor antigens to continually activate,
retain memory effects and destroy tumor cells.
PMID- 29355620
TI - Impact of different hydrophobic ion pairs of octreotide on its oral
bioavailability in pigs.
AB - : The objective of this study was to investigate the impact of different
hydrophobic ion pairs (HIP) on the oral bioavailability of the model drug
octreotide in pigs. Octreotide was ion paired with the anionic surfactants
deoxycholate, decanoate and docusate differing in lipophilicity. These
hydrophobic ion pairs were incorporated in self-emulsifying drug delivery systems
(SEDDS) based on BrijO10, octyldodecanol, propylene glycol and ethanol in a
concentration of 5mg/ml. SEDDS were characterized regarding size distribution,
zeta potential, stability towards lipase, log DSEDDS/release medium and mucus
diffusion behavior. The oral bioavailability of octreotide was evaluated in pigs
via LC-MS/MS analyses. Most efficient ion pairing was achieved at a molar ratio
of 1:3 (peptide: surfactant). SEDDS containing the octreotide-deoxycholate,
decanoate and -docusate ion pair exhibited a mean droplet size of 152nm, 112nm
and 191nm and a zeta potential of -3.7, -4.6 and -5.7mV, respectively. They were
completely stable towards degradation by lipase and showed a log DSEDDS/release
medium of 1.7, 1.8 and 2.7, respectively. The diffusion coefficient of these
SEDDS was in the range of 0.03, 0.11 and 0.17*10-9cm2/s, respectively. In vivo
studies with these HIPs showed no improvement in the oral bioavailability in case
of octreotide-decanoate. In contrast, octreotide-deoxycholate and octreotide
docusate SEDDS resulted in a 17.9-fold and 4.2-fold higher bioavailability vs.
CONTROL: According to these results, hydrophobic ion pairing could be identified
as a key parameter for SEDDS to achieve high oral bioavailability.
PMID- 29355621
TI - In vitro model for predicting bioavailability of subcutaneously injected
monoclonal antibodies.
AB - Monoclonal antibodies (mAbs), which are now more frequently administered by
subcutaneous (SC) injection rather than intravenously, have become a tremendously
successful drug format across a wide range of therapeutic areas. Preclinical
evaluations of mAbs to be administered by SC injection are typically performed in
species such as mice, rats, minipigs, and cynomolgus monkeys to obtain critical
information regarding formulation performance and prediction of PK/PD outcomes
needed to select clinical doses for first-in-human studies. Despite extensive
efforts, no preclinical model has been identified to date that accurately
predicts clinical outcomes for these SC injections. We have addressed this
deficiency with a novel in vitro instrument, termed Scissor, to model events
occurring at the SC injection site and now further validated this approach using
a set of eight mAbs for which clinical PK/PD outcomes have been obtained.
Diffusion of these mAbs from the Scissor system injection cartridge into a large
volume physiological buffer, used to emulate mAb movement from the SC injection
site into the systemic circulation, provided distinct profiles when monitored
over a 6h period. Curve-fitting analysis of these profiles using the Hill
equation identified parameters that were used, along with physicochemical
properties for each mAb, in a partial least squares analysis to define a
relationship between molecule and formulation properties with clinical PK
outcomes. The results demonstrate that parameters of protein charge at neutral pH
and isoelectric point (pI) along with combined formulation properties such as
viscosity and mAb concentration can dictate the movement of the mAb from the
injection cartridge to infinite sink compartment. Examination of profile
characteristics of this movement provided a strong predictive correlation for
these eight mAbs. Together, this approach demonstrates the feasibility of this in
vitro modelling strategy as a tool to identify drug and formulation properties
that can define the performance of SC injected medicines and provide the
potential for predicting clinical outcomes that could be useful for formulation
selection and a first-in-human clinical dosing strategy.
PMID- 29355622
TI - An indoleamine 2, 3-dioxygenase siRNA nanoparticle-coated and Trp2-displayed
recombinant yeast vaccine inhibits melanoma tumor growth in mice.
AB - Therapeutic vaccine is a promising approach in cancer therapy. But tumor
associated antigen peptides have weak immunogenicity and cancer patients are
often characterized by immunosuppression and tolerance, leading to less
efficiency of immunotherapy. We here successfully developed indoleamine 2, 3
dioxygenase (IDO) siRNA nanoparticle-coated and tyrosinase-related protein 2
(Trp2)-displayed recombinant Saccharomyces cerevisiae (YCP). YCPs had positive
charges with a diameter of approximately 5MUm, resulting in selective
phagocytosis by APC cells. YCP-delivered siRNA and Trp2 successfully escaped from
phagosomes, efficiently inhibited IDO expression in DCs, promoted the immune
reaction of T cell against Trp2, increased the secretion of proinflammatory
cytokines such as IFN-gamma,TNF-alpha, and IL-6, and decreased the generation of
regulatory T cells. Moreover, YCPs significantly inhibited melanoma tumor growth
by alleviating immune tolerance and promoting Trp2-specific CD8+ T cell immune
response. These results suggest that Saccharomyces cerevisiae as a combined
immunotherapeutic platform to simultaneously delivery IDO-siRNA and Trp2 epitope
peptide is a promising vaccine system for melanoma treatment.
PMID- 29355623
TI - Cytotoxic and anti-kinetoplastid potential of the essential oil of Alpinia
speciosa K. Schum.
AB - Alpinia speciosa K. Schum, known as colonia (colony), is native to tropical Asia
and found in parts of tropical America. Its leaves are used to wrap food,
rhizomes for food preparation and seeds for health maintenance, and have been
widely used by the population as a diuretic, antihypertensive, antiulcerogenic
and sedative. The present study aimed to verify the leishmanicidal and
trypanocidal potential, as well as the cytotoxicity, of the A. speciosa essential
oil, in vitro. A. speciosa presented 1,8-cineole (28.46%), camphor (17.10%) and
sabinene (9.95%) as major constituents. The cytotoxic activity of the essential
oil presented a low value, while the antipromastigote and antiepimastigote
activity presented values considered clinically relevant, since it had an action
below 500 MUg/mL. In relation to this study, it can be concluded that this is a
pioneer in the potential of the A. speciosa essential oil and in the use against
the parasites Trypanosoma cruzi Chagas and Leishmania brasiliensis Vianna, having
its importance also rooted in this fact. Still in accordance with the results, A.
speciosa was effective because it presented values of clinical relevance and low
toxicity. It was also observed that the chemical constitution of the above
identified compounds with remarkable antiparasitic activities.
PMID- 29355624
TI - Updated procedure for the safety evaluation of natural flavor complexes used as
ingredients in food.
AB - An effective and thorough approach for the safety evaluation of natural flavor
complexes (NFCs) was published in 2005 by the Expert Panel of the Flavor and
Extract Manufacturers Association (FEMA). An updated procedure is provided here,
which maintains the essential concepts of the use of the congeneric group
approach and the reliance on the threshold of toxicological concern (TTC)
concept. The updated procedure emphasizes more rigorous considerations of
unidentified constituents and the genotoxic potential of constituents. The update
of the previously established procedure is the first step in a multi-year project
to conduct safety re-evaluations for more than 250 NFCs that have uses that are
currently considered Generally Recognized as Safe (GRAS) by the FEMA Expert
Panel. In addition, this procedure can be more generally employed in the safety
evaluation of NFCs.
PMID- 29355625
TI - Bi-functional fusion enzyme EG-M-Xyn displaying endoglucanase and xylanase
activities and its utility in improving lignocellulose degradation.
AB - In this study, the gene fusion of endoglucanase (EG, one of cellulases) from
Teleogryllus emma and xylanase (Xyn, one of hemicellulases) from Thermomyces
lanuginosus was constructed to generate a fusion enzyme (EG-M-Xyn). Through the
expression and purification by ultrafiltration and size-exclusion chromatography,
the purified EG-M-Xyn had a molecular weight of 75.5 kDa and exhibited the
specific activity of CMCase and xylanase as 306.8 U/mg and 1227.3 U/mg,
respectively. The Km values (CMC and beechwood xylan) were 6.8 and 60.6 mg mL-1
while catalytic efficiency (kcat/Km) values of CMCase and xylanase were 3280 and
38,797 min-1 mg-1 mL, respectively. EG-M-Xyn exerted great properties for its
great potential in improving the enzymatic hydrolysis of lignocellulosics to
produce fermentable sugars. First, EG-M-Xyn showed mild reaction pH and
temperature of 5.5 and 50 degrees C, respectively. Secondly, EG-M-Xyn exhibited
great heat tolerance of T1/2 values of 173 (CMCase) and 693 min (xylanase).
Lastly and most importantly, application of EG-M-Xyn in combination with Ctec2
(commercial enzyme) in the saccharification led to a 10-20% net increase in
fermentable sugars liberated from pretreated rice straw in comparison to the
Ctec2 alone group. In conclusion, EG-M-Xyn had great potential in generating
fermentable sugars from renewable agro-residues for biofuel and fine chemical
industry.
PMID- 29355626
TI - Transgenerational endotoxin tolerance-like effect caused by paternal dietary
Astragalus polysaccharides in broilers' jejunum.
AB - To learn the nutri-epigenetics role of Astragalus polysaccharides (APS), we
designed and studied the transgenerational effect of paternal dietary APS
supplementation in chickens. 160 Avein breeder cocks were randomly allocated into
5 groups and fed with 0, 0.01, 0.1, 1, and 10 g/kg APS supplement, respectively.
Sperm of breeder cocks was collected and used for hatching experiment to get
broiler chickens when the cocks at 40-weeks-old. It showed that the paternal
dietary 10 g/kg APS could transgenerational promote growth performance and
jejunal tissue morphology of chickens. As to phenotypes, 10 g/kg APS treatment
was chosen for molecular assays. In jejunal mucosa, 10 g/kg dietary APS didn't
have any systematic effect on gene transcription of breeder cocks, whereas, the
APS could induce transgenerational endotoxin tolerance-like effect through
activating the IFNalpha-SOCS1 pathway in chicks. In both jejunum and sperm, the
promoter methylation level of SOCS1 significantly reduced in 10 g/kg APS
treatment versus the control group. In addition, the paternal APS significantly
affected histone modification in promotor region of TRIF. Our data revealed that
the paternal dietary APS supplementation could induce transgenerational endotoxin
tolerance-like effect in jejunum mucosa of broiler chickens. And nutri-epigenetic
modifications are crucial for this transgenerational effect.
PMID- 29355627
TI - Preparation and antioxidant activities of important traditional plant
polysaccharides.
AB - Polysaccharides are widely found in nature, mainly divided into three kinds of
plant polysaccharides, animal polysaccharides and microbial polysaccharides. In
recent years, the antioxidant activity of polysaccharide has become a hot spot in
the field of polysaccharide research. Polysaccharide is one of the active
ingredients of many medicines, which has the functions of increasing antioxidant
enzyme activity, eliminating free radicals, inhibiting lipid peroxidation and
protecting the biofilm, anti-oxidation and anti-aging effects. The preparation
methods, main chemical structures and antioxidant activities of important
traditional plant polysaccharides from different sources were investigated and
discussed.
PMID- 29355628
TI - Chitosan-coated cotton cloth supported copper nanoparticles for toxic dye
reduction.
AB - A new route to fabricate cotton cloth (CC) as easily removable substrate for the
synthesis of copper nanoparticles on its surface and its utilization in a toxic
dye reduction is reported herein. A 1 wt% chitosan (Chi) aqueous solution was
prepared and coated onto CC surface to prepare the ions affinity Chi-CC material.
The Chi-CC was then kept in copper sulphate solution with three different
concentrations (0.05 M, 0.1 M and 0.2 M) to interact with Cu2+ ions through -NH
and -OH functional groups of the Chi chain. After sufficient time of the Cu2+
uptake, the Chi-CC was treated with 0.1 M NaBH4 solution which turned its color
indicating well organization of the adsorbed ions into Cu-nanoparticles. The bare
CC, Chi-CC and Cu/Chi-CC were spectroscopically examined via scanning electron
microscopy, X-ray diffractometry, energy dispersive X-ray spectroscopy and thermo
gravimetric analysis. Afterward, Cu/Chi-CC was used in the Congo red (CR) dye
reduction by NaBH4 as catalyst. The performance of the amount of the catalyst and
its usage in a series of similar dye reduction was evaluated.
PMID- 29355629
TI - Sulfated Laminaria japonica polysaccharides inhibit macrophage foam cell
formation.
AB - In this work, a purified Laminaria japonica polysaccharide (LJP61A) was
chemically modified to obtain three sulfated polysaccharides (SLJP1, SLJP2 and
SLJP3) with different degrees of sulfation using the method of chlorosulfonic
acid/pyridine. The effects and underlying mechanism of SLJP1, SLJP2 and SLJP3 on
the suppression of macrophage foam cell formation were further investigated using
the model of oxidized low-density lipoprotein (ox-LDL)-induced foam cell
formation. Results exhibited that the macrophage foam cell formation induced by
ox-LDL could be significantly alleviated by these sulfated polysaccharides in a
dose-dependent manner. Meanwhile, the enhancement of PPAR-gamma mRNA expression
in ox-LDL induced macrophages was remarkably inhibited by these sulfated
polysaccharides. Moreover, the cellular inflammation induced by ox-LDL could also
be remarkably mitigated by these sulfated polysaccharides. These results
indicated that the sulfated L. japonica polysaccharides could inhibit the
conversion of macrophage into foam cell via obstructing PPAR-gamma activation and
alleviating cellular inflammation.
PMID- 29355630
TI - Fabrication of cationic nanostructures from short self-assembling amphiphilic
mixed alpha/beta-pentapeptide: Potential candidates for drug delivery, gene
delivery, and antimicrobial applications.
AB - The present article describes designing and fabrication of nanostructures from a
mixed alpha/beta-pentapeptide, Lys-betaAla-betaAla-Lys-betaAla, which majorly
contains non-natural beta-alanine residues in the backbone with two alpha-lysine
residues at 1- and 4-positions. The amphiphilic pentapeptide showed the ability
to self-assemble into cationic nanovesicles in an aqueous solution. The average
size of peptide nanostructures was found to be ~270 nm with a very high cationic
charge of ~+40 mV. TEM micrographs revealed the average size of the same
nanostructures ~80 nm bearing vesicular morphology. CD and FTIR spectroscopic
studies on self-assembled pentapeptide hinted at random coil conformation which
was also correlated with conformational search program using Hyper Chem 8.0. The
pentapeptide nanostructures were then tested for encapsulation of hydrophobic
model drug moieties, L-Dopa, and curcumin. Transfection efficiency of the
generated cationic nanostructures was evaluated on HEK293 cells and compared the
results with those obtained in the presence of chloroquine. The cytotoxicity
assay performed using MTT depicted ~75-80% cell viability. The obtained
nanostructures also gave positive results against both Gram-negative and Gram
positive bacterial strains. Altogether the results advocate the promising
potential of the pentapeptide foldamer, H-Lys-betaAla-betaAla-Lys-betaAla-OEt,
for drug and gene delivery applications along with the antimicrobial activity.
PMID- 29355631
TI - Expression and Purification of Quinine Dihydro Pteridine Reductase from
astrocytes and its significance in the astrocyte pathology.
AB - Quinine dihydropteridinereductase (QDPR) is involved in the synthesis of
tetradihydrobiopteridine (BH4) that serve as cofactor for many aromatic
hydroxylases including induced nitric oxide synthase (NOS) leading to NO
production. Increased activity of QDPR has been associated with decrease levels
of TGF-beta, a cytokine that regulates the immune response and that elevated
levels of NO has been associated with neurodegenerative diseases. Thus,
expression of QDPR in astrocytes is essential to study the pathological changes
observed in many neurodegenerative disorders. We have expressed QDPR in
astrocytes and generated stably expressing clones that overexpresses QDPR. We
further verified the specificity of QDPR expression using immunofluorescence and
immunoblotting. To further confirm, we purified QDPR using Ni-NTA column and
subjected the purified fraction to immunoblotting using anti-QDPR antibody and
identified two major protein products of QDPR resolving at 25 and 17 kDa as
reported in the literature. In order to further assess the significance of QDPR
expression, we verified the expression of iNOS in QDPR over expressing cells. We
show for the first time statistically significant up regulation of iNOS in QDPR
overexpressing astrocytes. Increased expression of iNOS associated with astrocyte
pathology seen in many neurodegenerative disorders may have implications in
autoimmune neurodegenerative disorders.
PMID- 29355632
TI - In vivo anti-psoriatic activity, biodistribution, sub-acute and sub-chronic
toxicity studies of orally administered methotrexate loaded chitin nanogel in
comparison with methotrexate tablet.
AB - The anti-psoriatic efficacy of orally administered methotrexate loaded chitin
nanogel (MCNG) was evaluated (two doses- 2.715 mg/kg and 5.143 mg/kg) and
compared against orally administered methotrexate tablet MTX (5.143 mg/kg). MCNG
at both dose levels of 2.715 mg/kg and 5.143 mg/kg exhibited significant anti
psoriatic activity which is very much comparable with MTX, caused normalization
of histological features and inflammatory score associated with induced
psoriasis. Biodistribution studies revealed the presence of drug in serum and in
vital organs at all the three cases with highest amount in MCNG at 5.143 mg/kg
dose, followed by MTX tablet and are lowest in MCNG at 2.715 mg/kg dose. MCNG at
the highest dose of 5.143 mg/kg caused liver, lung and kidney toxicities on sub
acute toxicity studies and MTX tablet was found to be toxic on liver and lung on
sub chronic toxicity studies. MCNG 2.715 mg/kg was found to be safe on both sub
acute and sub chronic administrations, suggesting that it can provide sufficient
serum and tissue level of methotrexate necessary to clear psoriatic lesions,
without inducing systemic toxicity and expected to be a better alternative for
orally administered conventional methotrexate tablet for patients who need
systemic medications for psoriasis.
PMID- 29355633
TI - Characterization of poly-d-mannuronate and poly-l-guluronate block fractions from
sodium alginate and preparation of hydrogels with poly(vinylalcohol).
AB - Sodium salts of homopoly-d-mannuronic acid (MM) and of homopoly-l-guluronic acid
(GG) from sodium alginates were characterized by NMR relaxometry. Determination
of NMR spin-lattice and spin-spin relaxation times of water proton in
homopolymeric block solutions and hydrogels indicated differences in
homopolymeric blocks tertiary structure. Hydrogels of MM or GG blocks and
poly(vinyl alcohol) (PVA) were prepared by freeze-thawing cycles method; their
swelling properties and sensitivity to pH stimuli were assayed in control
delivery of a model drug. MM/PVA hydrogels show better metformin release
characteristics than GG/PVA hydrogels. It was found that release of the drug at
pH 1.2 from hydrogels was minor to 5%. At the release equilibrium, 60 and 55% of
the drug encapsulated were release from MM/PVA and GG/PVA hydrogels,
respectively. Also, the release of metformin from hydrogels was studied by 1H NMR
spectroscopy showing that 40 and 36% of drug were released after 4 h from MM/PVA
and GG/PVA hydrogels, respectively.
PMID- 29355634
TI - Electromagnetic manipulation enabled calcium alginate Janus microsphere for
targeted delivery of mesenchymal stem cells.
AB - We prepared Janus microspheres based on sodium alginate for the encapsulation of
mesenchymal stem cells (MSC) in one compartment and iron oxide nanoparticles
(IONP) or a drug in the second compartment. 4% percent sodium alginate solution
was allowed to pass through a septum-theta capillary device and react with 2.5%
calcium chloride to allow crosslinking to occur in the solution, forming calcium
alginate Janus microspheres. Physico-chemical characterization of microspheres
was done by FTIR, TGA, and XRD after loading of stem cells and IONP/drug. The
mechanical integrity of microspheres was tested at different time points, which
showed that 4% alginate microspheres were mechanically stable for a long period
of time. Live/dead staining of MSCs alone and the MTS assay of MSCs and DMSO co
loaded were performed, which showed less toxicity to MSC in the Janus
configuration. IONP/MSC-loaded Janus microspheres were tested by magnetic
manipulation for targeted MSC delivery for cartilage repair using an
electromagnetic manipulation (EMM) device. Janus microspheres can be used for
targeted stem cell/drug delivery using EMM for cartilage repair in the near
future.
PMID- 29355635
TI - Extraction, characterisation and antioxidant activity of polysaccharides from
Chinese watermelon.
AB - Extraction and antioxidant activity of polysaccharides from the Chinese
watermelon was investigated. The polysaccharides were obtained by hot water
extraction, ethanol precipitation, and deproteinization with HCl, respectively.
The molecular weight was 3.02 * 104. It showed by high performance liquid
chromatography (HPLC) and TLC that Chinese watermelon polysaccharides consisted
of six monosaccharides, namely glucose, galactose, mannose, xylose, arabinose,
and rhamnose. The polysaccharides contained the beta-glycosidic bond. Moreover,
it was proved that the polysaccharides had high scavenging ability to superoxide
anions.
PMID- 29355636
TI - Expression and characterization of a kappa-carrageenase from marine bacterium
Wenyingzhuangia aestuarii OF219: A biotechnological tool for the depolymerization
of kappa-carrageenan.
AB - kappa-Carrageenases are desirable tools for tailoring the molecular weight,
physicochemical properties and functionalities of kappa-carrageenan, a
macromolecule widely utilized in various industries. In this study, a novel GH16
family kappa-carrageenase, designated as Cgk16A, was cloned from the genome of
marine bacterium Wenyingzhuangia aestuarii OF219 and expressed in Escherichia
coli. Its biochemical properties, kinetic parameters and hydrolytic pattern were
characterized. The enzyme demonstrated a low optimal reaction temperature (25
degrees C) and a cold-adapted feature. As an endo-acting glycoside hydrolase,
Cgk16A degraded kappa-carrageenan in a random manner, and it was competent to
prepare the degradation products with varying degrees of polymerization. The mass
spectrometry analysis revealed that the end products of Cgk16A were majorly
composed of kappa-carrageenan tetrasaccharide with a minor portion of
disaccharide. The enzyme showed higher enzyme-substrate affinity over all
hitherto characterized GH16 kappa-carrageenases, indicated by its low Km value
(0.17 MUM). Cgk16A could be employed as a potential biotechnological tool for
depolymerizing kappa-carrageenan, which would facilitate the future application
of kappa-carrageenan and its degradation products.
PMID- 29355637
TI - Improving the thermostability by introduction of arginines on the surface of
alpha-L-rhamnosidase (r-Rha1) from Aspergillus niger.
AB - To improve the thermostability of alpha-L-rhamnosidase (r-Rha1), an enzyme
previously identified from Aspergillus niger JMU-TS528, multiple arginine (Arg)
residues were introduced into the r-Rha1 sequence to replace several lysine (Lys)
residues that located on the surface of the folded r-Rha1. Hinted by in silico
analysis, five surface Lys residues (K134, K228, K406, K440, K573) were targeted
to produce a list of 5 single-residue mutants and 4 multiple-residue mutants
using site-directed mutagenesis. Among these mutants, a double Lys to Arg mutant,
i.e. K406R/K573R, showed the best thermostability improvement. The half-life of
this mutant's enzyme activity increased 3 h at 60 degrees C, 23 min at 65
degrees C, and 3.5 min at 70 degrees C, when compared with the wild type. The
simulated protein structure based interaction analysis and molecular dynamics
calculation indicate that the thermostability improvement of the mutant K406R
K573R was possibly due to the extra hydrogen bonds, the additional cation-pi
interactions, and the relatively compact conformation. With the enhanced
thermostability, the alpha-L-rhamnosidase mutant, K406R-K573R, has potentially
broadened the r-Rha1 applications in food processing industry.
PMID- 29355638
TI - Biological macromolecules based targeted nanodrug delivery systems for the
treatment of intracellular infections.
AB - Intracellular infections are tricky to treat, the reason being the poor
penetration of antibiotics/antimycotics into the microbial niche (host cell).
Macrophages are primary targets of facultative and obligate intracellular
bacteria/fungi to be abused as host cells. The need for drugs with better
intracellular penetration led to the development of endocytosable drug carriers,
which can cross the cell membrane of the host cells (macrophages) by imitating
the entry path of the pathogens. Therefore, the drugs can be targeted to
macrophages ensuring enhanced therapeutic effect. This review discusses the
exploitation of various nanocarriers for targeted delivery of drugs to the
macrophages in the last two decades.
PMID- 29355639
TI - Preparation and characterization of carboxymethyl chitosan sulfate/oxidized
konjac glucomannan hydrogels.
AB - Hydrogel wound dressing is a new type of biomaterial with performance that is
better than traditional and biological dressings. It has been extensively
researched and the application in the field of biomedicine is common. In this
study, we developed a simple and nontoxic method for preparing a new type of
composite hydrogel, which formed through the Schiff-base reaction between the
aldehyde of oxidized konjac glucomannan (OKGM) and the amino of carboxymethyl
chitosan sulfate (CMSS). The chemical structures of this composite hydrogel were
characterized by transform infrared spectroscopy (FT-IR). The micro-morphology of
hydrogels were analyzed by scanning electron microscopy (SEM). Meanwhile, the
properties of composite hydrogels including gelation time, swelling ability,
water evaporation rate, hemolytic potential and biological compatibility were
also investigated in different means. The results gained from these studies show
that this composite hydrogels have a series of properties such as short gelation
time, good swelling ability, appropriate water evaporation rate, excellent
hemocompatibility and well biological compatibility. Considering these excellent
performance, this composite hydrogels can be used as a wound dressing to treat
injured skin.
PMID- 29355640
TI - Cannabinoids induce apathetic and impulsive patterns of choice through CB1
receptors and TRPV1 channels.
AB - Despite evidence from psychiatry and psychology clinics pointing to altered
cognition and decision making following the consumption of cannabis, the effects
of cannabis derivatives are still under dispute and the mechanisms of cannabinoid
effects on cognition are not known. In this study, we used effort-based and delay
based decision tasks and showed that ACEA, a potent cannabinoid agonist induced
apathetic and impulsive patterns of choice in rats in a dose-dependent manner
when locally injected into the anterior cingulate cortex (ACC) and orbitofrontal
cortex (OFC), respectively. Pre-treatment with AM251, a selective cannabinoid
type 1 (CB1) receptor antagonist, reversed ACEA-induced impulsive and apathetic
patterns of choice in doses higher than a minimally effective dose. Unlike CB1
receptor antagonist, pretreatment with capsazepine, a transient receptor
potential vanilloid type 1 (TRPV1) channel antagonist, was effective only at an
intermediary dose. Furthermore, capsazepine per se induced impulsivity and apathy
at a high dose suggesting a basal tonic activation of TRPV1 channels that exist
in the ACC and OFC to support cost-benefit decision making and to help avoid
apathetic and impulsive patterns of decision making. Taken together, unlike
previous reports supporting opposing roles for the CB1 receptors and TRPV1
channels in anxiety and panic behavior, our findings demonstrate a different sort
of interaction between endocannabinoid and endovanilloid systems and suggest that
both systems contribute to the cognitive disrupting effects of cannabinoids.
Given prevalent occurrence of apathy and particularly impulsivity in psychiatric
disorders, these results have significant implications for pharmacotherapy
research targeting these receptors.
PMID- 29355642
TI - Calpastatin ablation aggravates the molecular phenotype in cell and animal models
of Huntington disease.
AB - Deciphering the molecular pathology of Huntington disease is of particular
importance, not only for a better understanding of this neurodegenerative
disease, but also to identify potential therapeutic targets. The polyglutamine
expanded disease protein huntingtin was shown to undergo proteolysis, which
results in the accumulation of toxic and aggregation-prone fragments. Amongst
several classes of proteolytic enzymes responsible for huntingtin processing, the
group of calcium-activated calpains has been found to be a significant mediator
of the disease protein toxicity. To confirm the impact of calpain-mediated
huntingtin cleavage in Huntington disease, we analysed the effect of depleting or
overexpressing the endogenous calpain inhibitor calpastatin in HEK293T cells
transfected with wild-type or polyglutamine-expanded huntingtin. Moreover, we
crossbred huntingtin knock-in mice with calpastatin knockout animals to assess
its effect not only on huntingtin cleavage and aggregation but also additional
molecular markers. We demonstrated that a reduced or ablated expression of
calpastatin triggers calpain overactivation and a consequently increased mutant
huntingtin cleavage in cells and in vivo. These alterations were accompanied by
an elevated formation of predominantly cytoplasmic huntingtin aggregates. On the
other hand, overexpression of calpastatin in cells attenuated huntingtin
fragmentation and aggregation. In addition, we observed an enhanced cleavage of
DARPP-32, p35 and synapsin-1 in neuronal tissue upon calpain overactivation. Our
results corroborate the important role of calpains in the molecular pathogenesis
of Huntington disease and endorse targeting these proteolytic enzymes as a
therapeutic approach.
PMID- 29355643
TI - A penicillin skin testing initiative in an outpatient allergy office.
PMID- 29355644
TI - The Cost of Self-Reported Penicillin Allergy: A Systematic Review.
AB - BACKGROUND: Patients who report a penicillin (PCN) allergy receive suboptimal
antibiotic therapy compared with patients not reporting an allergy. However, a
majority of patients who report PCN allergy are not truly allergic on
confirmatory testing. Ruling out PCN allergy by testing may improve clinical and
economic outcomes for patients with reported allergies requiring antibiotic
therapy. OBJECTIVE: The objective of this study was to summarize clinical and
economic outcomes associated with PCN allergy and provide recommendations for
future cost-effectiveness analyses for PCN allergy testing. METHODS: A literature
search was conducted using SCOPUS, EMBASE, and PubMed, including all articles
published any date through April 25, 2017 (PROSPERO Registration number
42017064112). A total of 1518 abstracts were found during the initial search with
96 duplicates, for a total of 1422 articles for screening. Thirty articles were
included for qualitative synthesis and full data extraction. RESULTS: The
majority of the studies included had an observational design focusing on
inpatient admissions. The most frequently measured outcome in the context of PCN
allergy was optimizing antibiotic therapy. Patients with PCN allergy were found
to have direct drug costs during inpatient admission ranging from no difference
to an additional $609/patient compared with patients without PCN allergy.
Outpatient prescription costs were estimated from $14 to $193/patient higher for
PCN allergic patients. Total inpatient costs were less for patients without PCN
allergy with average savings from $1145 to $4254/patient. CONCLUSIONS:
Evaluations of clinical and economic outcomes of PCN allergy are primarily
observational and focus on inpatient populations. Long-term relationships between
PCN allergy and clinical and economic outcomes are unknown.
PMID- 29355645
TI - Errors in the Use of Inhalers by Health Care Professionals: A Systematic Review.
AB - BACKGROUND: Inefficient inhaler technique (IT) compromises the optimal delivery
of medication. However, the IT knowledge of health care professionals (HCPs) has
received scant attention. OBJECTIVE: The objective of this study was to perform a
systematic review of published reports assessing the IT proficiency of HCPs in
using pressurized metered dose (pMDI) and dry powder (DPI) inhalers. METHODS:
Studies published between 1975 and 2014 that directly assessed the IT skills of
HCPs were selected according to predefined selection criteria. RESULTS: Data were
extracted from 55 studies involving 6,304 HCPs who performed 9,996 tests to
demonstrate their IT proficiency. Overall, the IT was considered correct in 15.5%
of cases (95% confidence interval [CI], 12-19.3), decreasing over time from 20.5%
(95% CI, 14.9-26.8) from the early period (defined as 1975-1995) to 10.8% (95%
CI, 7.3-14.8) during the late period (1996-2014). The most common errors in the
use of pMDIs were as follows: not breathing out completely before inhalation
(75%; 95% CI, 56-90), lack of coordination (64%; 95% CI, 29-92), and
postinhalation breath-hold (63%; 95% CI, 52-72). The most common errors using DPI
were deficient preparation (89%; 95% CI, 82-95), not breathing out completely
before inhalation (79%; 95% CI, 68-87), and no breath-hold (76%; 95% CI, 67-84).
CONCLUSIONS: HCPs demonstrated inadequate knowledge of the proper use of
inhalers. The poor understanding of the correct use of these devices may prevent
these professionals from being able to adequately assess and teach proper
inhalation techniques to their patients.
PMID- 29355641
TI - The nicotinic receptor drug sazetidine-A reduces alcohol consumption in mice
without affecting concurrent nicotine consumption.
AB - Alcohol and nicotine addiction are frequently co-morbid. The nicotinic
acetylcholine receptors (nAChRs) are critical for both alcohol and nicotine
addiction mechanisms, since nAChR drugs that reduce nicotine consumption have
been shown to also reduce alcohol consumption. Sazetidine-A, a pre-clinical nAChR
drug with agonist and desensitizing effects at alpha4beta2 and alpha7 nAChRs, has
been reported to reduce alcohol consumption and nicotine self-administration in
rats when administered at high doses. However, this effect has not been
replicated in mice. In this study, we examined the effect of sazetidine-A on
alcohol and nicotine consumption in male and female mice utilizing voluntary oral
consumption procedures previously developed in our lab. We found that sazetidine
A (1 mg/kg, i.p) reduced overnight alcohol consumption, but did not affect
nicotine consumption when presented either alone or concurrently with alcohol.
Sazetidine-A did not reduce water or saccharin consumption at any dose tested. In
a chronic co-consumption experiment in which either alcohol or nicotine was re
introduced after one week of forced abstinence, sazetidine-A attenuated post
abstinence consumption of alcohol but not nicotine. Sazetidine-A also
significantly reduced alcohol consumption in an acute, binge drinking-in-the-dark
procedure. Finally, we tested the effect of sazetidine-A on alcohol withdrawal,
and found that sazetidine-A significantly reduced handling-induced convulsions
during alcohol withdrawal. Collectively, these data suggest a novel role for the
nAChR targets of sazetidine-A in specifically mediating alcohol consumption,
separate from the involvement of nAChRs in mediating nicotine consumption.
Delineation of this pathway may provide insight into novel therapies for the
treatment of alcohol use disorders.
PMID- 29355646
TI - Consciousness and confidence.
AB - It is natural to see conscious perceptions as typically bringing with them a
degree of confidence about what is perceived. So one might also expect such
confidence not to occur if a perception is not conscious. This has resulted in
the use of confidence as a test or measure of consciousness, one that may be more
reliable and fine-grained than the traditional appeal to subjective report as a
test for a perception's being conscious. The following describes theoretical
difficulties for the use of confidence as a reliable test for consciousness,
which show that confidence is less reliable than subjective report. Difficulties
are also presented for the use of confidence ratings in assessing degrees of
consciousness, which cast doubt on any advantage confidence might have from being
more fine-grained than subjective report. And an explanation is proposed for the
wide appeal of using confidence to assess subjective awareness, an explanation
that also makes clear why confidence is less reliable than subjective report.
PMID- 29355647
TI - Reliability in reporting perceptual experience: Behaviour and electrophysiology
in hemianopic patients.
AB - Patients with hemianopia can present with the so called blindsight phenomenon:
the ability to perform above chance in the absence of acknowledged awareness.
Proper awareness reports are, thus, crucial to distinguish pure forms of
blindsight from forms of conscious, yet degraded, vision. It has, in fact, been
recently shown that 1) dichotomous and graded measures to assess awareness can
lead to different behavioural results in patients with hemianopia and that 2)
different grades of perceptual clarity show different electrophysiological
correlates in healthy participants. Here, in hemianopic patients, we assessed
awareness by means of the four-point Perceptual Awareness Scale (PAS) and
investigated its neural correlates with Event Related Potentials (ERPs). Results
showed that patients, in most of the cases, can rate the clarity of their
perceptual experience in a graded manner. Moreover, graded perceptual experiences
correlated with the amplitude of deflections in ERPs. These results call for the
need to assess perceptual awareness with graded measures and for the importance
to use electrophysiological data to correlate behaviour with neural processing.
PMID- 29355648
TI - Impaired awareness of action-outcome contingency and causality during healthy
ageing and following ventromedial prefrontal cortex lesions.
AB - Detecting causal relationships between actions and their outcomes is fundamental
to guiding goal-directed behaviour. The ventromedial prefrontal cortex (vmPFC)
has been extensively implicated in computing these environmental contingencies,
via animal lesion models and human neuroimaging. However, whether the vmPFC is
critical for contingency learning, and whether it can occur without subjective
awareness of those contingencies, has not been established. To address this, we
measured response adaption to contingency and subjective awareness of action
outcome relationships in individuals with vmPFC lesions and healthy elderly
subjects. We showed that in both vmPFC damage and ageing, successful behavioural
adaptation to variations in action-outcome contingencies was maintained, but
subjective awareness of these contingencies was reduced. These results highlight
two contexts where performance and awareness have been dissociated, and show that
learning response-outcome contingencies to guide behaviour can occur without
subjective awareness. Preserved responding in the vmPFC group suggests that this
region is not critical for computing action-outcome contingencies to guide
behaviour. In contrast, our findings highlight a critical role for the vmPFC in
supporting awareness, or metacognitive ability, during learning. We further
advance the hypothesis that responding to changing environmental contingencies,
whilst simultaneously maintaining conscious awareness of those statistical
regularities, is a form of dual-tasking that is impaired in ageing due to reduced
prefrontal function.
PMID- 29355649
TI - Diminished choice effect on anticipating improbable rewards.
AB - Previous research found that the neural substrates underlying perceived control
highly overlap those of reward system, especially during reward anticipation
stage. The current event-related potential study examined whether the experience
of choice by which individuals exercise control is modulated by reward
probability during reward anticipation stage as indexed by the stimulus-preceding
negativity (SPN). Thirty participants performed a cued gambling task during which
choices could be made either by themselves (a choice condition) or by a computer
(a no-choice condition) with three levels of reward probability (low, medium, and
high) while their EEG was recording. As expected, the participants perceived
higher control during the choice compared to no-choice condition.
Correspondingly, the SPN was enhanced in the choice condition than the no-choice
condition. Critically, the SPN choice effect was present when reward probability
was high and medium, but was diminished when reward probability was low. These
findings suggest that the perceived control as exercised by choice is associated
with reward anticipation, which may be sensitive to the fundamental properties of
reward.
PMID- 29355650
TI - Metabolic response 4 years after gastric bypass in a complete cohort with type 2
diabetes mellitus.
AB - AIMS: To evaluate the long-term remission rates of type 2 diabetes mellitus and
associated comorbidities after gastric bypass surgery in a complete cohort, in a
real-life clinic setting. METHODS: A retrospective study of all consecutive
patients with type 2 diabetes mellitus who underwent gastric bypass at a Veterans
Affairs Medical Center from 2003 to 2010. The main outcome was remission of type
2 diabetes mellitus defined as HbA1c <6.5% (49 mmol/mol) without diabetic
medication usage. Secondary outcomes were remission of hypertension and
hyperlipidemia, weight loss, and long-term complications four years post-gastric
bypass. RESULTS: Eighty-four patients with type 2 diabetes mellitus underwent
gastric bypass. Four-year follow-up data were available for 92% (77/84) of
patients. The patients (73% male; mean age 54 years) had a mean body mass index
of 49 kg/m2 +/- 8.3. Hypertension and hyperlipidemia prevalence were 92% and 85%,
respectively. The mean total body weight decrease over four years was 35 kg +/-
21. Remission of type 2 diabetes mellitus occurred in 15% at 6 months and 49%
four years after surgery. Diabetes remission was more likely (OR 3.2; 95%
confidence interval 1.2-9.7) in patients not using insulin at baseline. Remission
rates were 12% (9/74) for hypertension and 16% (11/68) for hyperlipidemia. Long
term surgical complications included reoperation (11%), incisional hernia (10%)
and anastomotic ulcer (10%). Forty-four percent of patients had one or more
nutritional complications. CONCLUSIONS: The metabolic effects of gastric bypass
are significant and durable for at least four years, even in a predominantly male
cohort and real-life clinical setting.
PMID- 29355651
TI - Direct and indirect health economic impact of hypoglycaemia in a global
population of patients with insulin-treated diabetes.
AB - AIMS: The Hypoglycaemia Assessment Tool (HAT) study investigated the health
economic impact of hypoglycaemic events in 24 countries, including countries
without previously published data on hypoglycaemia. METHODS: Self-assessment
questionnaires and patient diaries (4-week prospective period) were completed by
adults with type 1 (T1D) or type 2 diabetes (T2D) treated with insulin for more
than 12 months (N = 27,585). RESULTS: Direct economic impacts of hypoglycaemia
during the 4-week prospective period, included increased blood glucose monitoring
(reported by 69.7% [T1D] and 60.9% [T2D] of patients), hospitalisation (T1D 2.1%;
T2D 3.4% of patients) and medical contact (clinic or telephone; T1D 3.8%; T2D
6.8% of patients). Regional variation in medical contact and hospitalisation was
found, with the highest usage in Russia (T1D 17.1%; T2D 17.3%), and Latin America
(T1D 5.2%; T2D 6.8%) respectively. Indirect economic impacts following
hypoglycaemia included loss of productivity due to absence from work or study;
3.9% (T1D) and 6.2% (T2D) of patients. Regional differences in work productivity
were noted among patients with T2D, with a low prevalence in Northern Europe and
Canada (0.9%) and high in Southeast Asia (14.6%). CONCLUSIONS: This study shows
that hypoglycaemia has a significant but variable impact on the economics of
diabetes healthcare globally.
PMID- 29355652
TI - Liraglutide ameliorates early renal injury by the activation of renal FoxO1 in a
type 2 diabetic kidney disease rat model.
AB - AIMS: The aim of this study was to investigate the effects of liraglutide on
renal injury and the renal expression of FoxO1 in type 2 diabetic rats. METHODS:
Type 2 diabetic rats model was induced by a high-sugar and high-fat diet and
intraperitoneal injection of low-dose Streptozotocin (STZ) (30 mg/kg). Five weeks
after STZ injection, diabetic rats were randomly treated with or without
subcutaneous injection of liraglutide (0.2 mg/kg/12 h) for eight weeks. Diabetes
related physical and biochemical indicators, renal histopathological and
ultrastructural changes, the expression of renal transforming growth factor beta
1 (TGF-beta1), fibronectin (FN), type IV collagen (Col IV), protein kinase B
(Akt), forkhead box protein O1 (FoxO1) and manganese superoxide dismutase (MnSOD)
were measured. RESULTS: Rats in DN group showed a significant increase in fasting
blood glucose, HbA1c, kidney to body weight index, serum creatinine (Scr), blood
urea nitrogen (BUN), urinary albumin excretion, mesangial matrix index,
glomerular basement membrane (GBM) thickening, podocyte foot process fusion, the
mRNA and protein levels of renal TGF-beta1, FN and Col IV and a dramatic decrease
in the mRNA and protein levels of renal MnSOD, all of which were significantly
ameliorated by liraglutide. In addition, liraglutide also increased the
expression of FoxO1 mRNA and reduced renal phosphorylation levels of Akt and
FoxO1 protein. CONCLUSIONS: These results suggest that liraglutide may exert a
renoprotective effect by a FoxO1-mediated upregulation of renal MnSOD expression
in the early DKD.
PMID- 29355653
TI - Discovery and validation of serum creatinine variability as novel biomarker for
predicting onset of albuminuria in Type 2 diabetes mellitus.
AB - AIM: We aim to study association serum creatinine(cr) variability and albuminuria
progression. METHODS: We conducted a retrospective cohort study on patients with
Type 2 Diabetes Mellitus at a Diabetes Centre in Singapore ("discovery cohort").
Outcome is worsening of urinary albumin-to-creatinine(ACR) across stages. Cr
variability was expressed as adjusted cr-intrapersonal standard deviation(SD) and
coefficient-of-variation(cr-CV). A separate cohort was used for validating
association between cr variability and albuminuria progression ("validation
cohort"). RESULTS: Over median follow-up of 4.2 years, 38.4% of 636 patients had
albuminuria progression in the discovery cohort. Increasing log-transformed
adjusted cr-intrapersonal SD and cr-CV were significantly associated with
albuminuria progression: HRs 1.43 (95%CI 1.11-1.85) and 1.44 (1.11-1.87)
respectively in the discovery cohort, and HRs 1.94 (1.09-3.45) and 1.91 (1.05
3.45) respectively in the validation cohort. When stratified by baseline urinary
ACR, higher cr variability was significantly associated with albuminuria
progression in patients with normoalbuminuria but not microalbuminuria.
CONCLUSIONS: Cr variability independently predicts albuminuria onset. This is
evident in patients with normoalbuminuria, suggesting that higher cr variability
could herald albuminuria onset.
PMID- 29355654
TI - Improving release completeness from PLGA-based implants for the acid-labile model
protein ovalbumin.
AB - The objectives of this study were to assess the feasibility of hot melt extrusion
(HME) for the preparation of PLGA-based ovalbumin-loaded implants as well as to
characterize and improve protein release from the implants. Ovalbumin (OVA) was
stable during extrusion, which was attributed to a protective effect of the
biodegradable matrix. OVA release was characterized by a low burst, a slow
release up to day 21, which plateaued thereafter resulting in incomplete release
for all evaluated protein loadings. Release incompleteness was accompanied by the
formation of an insoluble residual mass. Further characterization of this mass
indicated that it consisted of non-covalent protein aggregates and polymer, where
ovalbumin was ionically bound as the pH inside the degrading matrix decreased
below the pI of the protein. Although higher protein release was obtained with
the inclusion of weak bases because of their neutralizing effect, OVA aggregation
and release incompleteness were not fully avoided. With the use of shellac, a
well-known enteric and biocompatible polymer, as protective excipient, a distinct
late release phase occurred and release completeness was increased to more than
75% cumulative release. Shellac apparently protected the protein against the
acidic microclimate due to its low solubility at low pH. Protected OVA was thus
released once the pH increased due to a declining PLGA-oligomer formation. The
result was a triphasic release profile consisting of an initial burst, a slow
diffusion phase over about 7 weeks, and an erosion-controlled dissolution phase
over the next 3 weeks. An acid-labile protein like OVA was thus feasibly
protected from interactions with PLGA and its degradation products, resulting in
a controlled delivery of more than 85% of the original payload.
PMID- 29355655
TI - Process analytical technology in continuous manufacturing of a commercial
pharmaceutical product.
AB - The implementation of process analytical technology and continuous manufacturing
at an FDA approved commercial manufacturing site is described. In this direct
compaction process the blends produced were monitored with a Near Infrared (NIR)
spectroscopic calibration model developed with partial least squares (PLS)
regression. The authors understand that this is the first study where the
continuous manufacturing (CM) equipment was used as a gravimetric reference
method for the calibration model. A principal component analysis (PCA) model was
also developed to identify the powder blend, and determine whether it was similar
to the calibration blends. An air diagnostic test was developed to assure that
powder was present within the interface when the NIR spectra were obtained. The
air diagnostic test as well the PCA and PLS calibration model were integrated
into an industrial software platform that collects the real time NIR spectra and
applies the calibration models. The PCA test successfully detected an equipment
malfunction. Variographic analysis was also performed to estimate the sampling
analytical errors that affect the results from the NIR spectroscopic method
during commercial production. The system was used to monitor and control a 28 h
continuous manufacturing run, where the average drug concentration determined by
the NIR method was 101.17% of label claim with a standard deviation of 2.17%,
based on 12,633 spectra collected. The average drug concentration for the tablets
produced from these blends was 100.86% of label claim with a standard deviation
of 0.4%, for 500 tablets analyzed by Fourier Transform Near Infrared (FT-NIR)
transmission spectroscopy. The excellent agreement between the mean drug
concentration values in the blends and tablets produced provides further evidence
of the suitability of the validation strategy that was followed.
PMID- 29355656
TI - 3D printing applications for transdermal drug delivery.
AB - The role of two and three-dimensional printing as a fabrication technology for
sophisticated transdermal drug delivery systems is explored in literature. 3D
printing encompasses a family of distinct technologies that employ a virtual
model to produce a physical object through numerically controlled apparatuses.
The applicability of several printing technologies has been researched for the
direct or indirect printing of microneedle arrays or for the modification of
their surface through drug-containing coatings. The findings of the respective
studies are presented. The range of printable materials that are currently used
or potentially can be employed for 3D printing of transdermal drug delivery (TDD)
systems is also reviewed. Moreover, the expected impact and challenges of the
adoption of 3D printing as a manufacturing technique for transdermal drug
delivery systems, are assessed. Finally, this paper outlines the current
regulatory framework associated with 3D printed transdermal drug delivery
systems.
PMID- 29355657
TI - FBW7 loss promotes epithelial-to-mesenchymal transition in non-small cell lung
cancer through the stabilization of Snail protein.
AB - The E3 ubiquitin ligase F-box and WD repeat domain containing 7 (FBW7alpha)
functions as a putative tumor suppressor in non-small cell lung cancer (NSCLC)
due to its regulation of a set of oncogenic proteins associated with cell
proliferation and mitosis. Increasing efforts have been focused on the
understanding of FBW7 in determining cell cycle progression and apoptosis
induction, however, the correlation between FBW7 and tumor metastasis is not
fully understood. In this study, we reported a potential anti-metastatic effect
of FBW7 in non-small cell lung cancer (NSCLC). In this model, FBW7 inhibited
cancer cell metastasis primarily by inducing ubiquitination and proteolysis of
the transcriptional factor Snail, which suppressed E-cadherin cell tight junction
protein expression. Loss of FBW7 would stabilize the Snail protein, thus, inhibit
E-cadherin expression and promote metastasis in vitro and in vivo. Moreover,
Snail ubiquitination and degradation were also achieved by pharmacological
approach, in which the FBW7 agonist oridonin treatment led to Snail proteolysis.
Furthermore, FBW7 silencing stabilized Snail protein and induced epithelial-to
mesenchymal transition (EMT), and acquisition of migration and invasion
properties in NSCLC. Overall, our study provides new insights into the FBW7-Snail
axis in regulating cell migration and invasion, and suggests that targeting FBW7
may be a potent approach to inhibit metastasis in NSCLC.
PMID- 29355658
TI - Targeting autophagy in gastrointestinal malignancy by using nanomaterials as drug
delivery systems.
AB - Autophagy is a conserved catabolic process involving large protein degradation by
a ubiquitous autophagosomic signaling pathway, which is essential for cellular
homeostasis. It is triggered by environmental factors such as stress, lack of
nutrients, inflammation, and eliminating intracellular pathogens. Although the
mechanisms underlying autophagy are still unclear, increasing evidence
illuminates the magnitude of autophagy in a wide range of physiological processes
and human diseases. Simultaneously, research community has focused on the
triggering of autophagy by the internalization of engineered nanomaterials, which
indicates a new line of revolution in cancer cure. However, most studies on
nanoparticle-induced autophagy focus on brain, breast, and cervical cancers;
limited reports are available on gastrointestinal (GI) cancers. Therefore, the
aim of this mini review is to discuss in detail the role of autophagy in GI
malignancy and the status of research on nanoparticle-induced autophagy.
PMID- 29355659
TI - Sorting nexin 10 acts as a tumor suppressor in tumorigenesis and progression of
colorectal cancer through regulating chaperone mediated autophagy degradation of
p21Cip1/WAF1.
AB - Chaperone-mediated autophagy (CMA) characterized by the selective degradation of
target proteins has been linked with tumorigenesis in recent years. Here, we
explored the function of sorting nexin 10 (SNX10), a protein involved in
maintaining endosome/lysosome homeostasis, in mediating CMA activity and its
impact on the progression of mouse inflammation-driven colorectal cancer. Our
results revealed that SNX10 deficiency increased the activation of CMA by
preventing the degradation of lysosomal LAMP-2A. In SNX10 KO cells, we disclosed
that p21Cip1/WAF1, a master effector in various tumor suppressor pathways, is a
substrate of CMA, and decrease of p21Cip1/WAF1 caused by SNX10-mediated CMA
activation contributes to HCT116 cell proliferation and survival. Moreover, we
found that SNX10 KO promoted tumorigenesis in the mouse colorectum which could be
restored by SNX10 over-expression. Furthermore, SNX10 was remarkably down
regulated in human CRC tissues which showed the increased activity of CMA and
decreased expression of p21Cip1/WAF1. These findings suggest that SNX10 acts as a
tumor suppressor in the mouse colorectum and drives inflammation-associated
colorectal cancer by a chaperone-mediated autophagy mechanism.
PMID- 29355660
TI - Long non-coding RNAs in glioma progression.
AB - Glioma is one of most malignant primary tumors of the brain. However, due to a
lack of effective means for diagnosing and treating glioma, the prognosis of
glioma patients remains poor. Therefore, understanding the molecular mechanism of
glioma progression is essential for effective treatment. Long non-coding RNAs
(lncRNAs) are novel regulators of gene expression at the transcriptional, post
transcriptional and epigenetic levels. Recent evidence indicates that lncRNAs may
play important roles in regulating the progression of glioma. In this article, we
review the expression profile of lncRNAs in glioma and discuss the functions and
known mechanisms of several representative lncRNAs in detail, as well as the
prospects of lncRNAs as diagnostic and prognostic biomarkers and therapeutic
targets.
PMID- 29355661
TI - Response to Letter to the Editor on our paper "Evaluation of radioisotopic and
non-radioisotopic versions of local lymph node assays for subcategorization of
skin sensitizers compliant to UN GHS rev 4" by Ha et al. (Submitted 25 Jun 2017).
PMID- 29355663
TI - First detection of mcr-1 plasmid-mediated colistin-resistant Escherichia coli in
Lebanese poultry.
PMID- 29355662
TI - Biotherapeutics in non-clinical development: Strengthening the interface between
safety, pharmacokinetics-pharmacodynamics and manufacturing.
AB - Biological drugs comprise a wide field of different modalities with respect to
structure, pharmacokinetics and pharmacological function. Considerable non
clinical experience in the development of proteins (e.g. insulin) and antibodies
has been accumulated over the past thirty years. In order to improve the efficacy
and the safety of these biotherapeutics, Fc modifications (e.g. Fc silent
antibody versions), combinations (antibody-drug conjugates, protein-nanoparticle
combinations), and new constructs (darpins, fynomers) have been introduced. In
the last decade, advanced therapy medicinal products (ATMPs) in research and
development have become a considerable and strongly growing part of the
biotherapeutic portfolio. ATMPs consisting of gene and cell therapy modalities or
even combinations of them, further expand the level of complexity, which already
exists in non-clinical development strategies for biological drugs and has
thereby led to a further diversification of expertise in safety and PKPD
assessment of biological drugs. It is the fundamental rationale of the BioSafe
meetings, held yearly in the EU and in the US, to convene experts on a regular
basis and foster knowledge exchange and mutual understanding in this fast growing
area. In order to reflect at least partially the variety of the biotherapeutics
field, the 2016 EU BioSafe meeting addressed the following topics in six
sessions: (i) In vitro Meets in vivo to Leverage Biologics Development (ii) New
developments and regulatory considerations in the cell and gene therapy field
(iii) CMC Challenges with Biologics development (iv) Minipigs in non-clinical
safety assessment (v) Opportunities of PKPD Assessment in Less Common
Administration Routes In the breakout sessions the following questions were
discussed: (i) Cynomolgus monkey as a reprotoxicology Species: Impact of
Immunomodulators on Early Pregnancy Maintenance (ii) Safety Risk of Inflammation
and Autoimmunity Induced by Immunomodulators (iii) Experience with non-GMP
Material in Pivotal Non-clinical Safety Studies to Support First in Man (FiM)
Trials (iv) Safety Assessment of Combination Products for Non-oncology.
PMID- 29355664
TI - Molecular analysis of low-level tetracycline resistance in clinical isolates of
Helicobacter pylori among dyspeptic patients in South West Nigeria.
AB - OBJECTIVES: The aim of this study was to determine the occurrence of 16S rRNA
mutations associated with low-level tetracycline resistance in Helicobacter
pylori isolates from adult dyspeptic patients in South West Nigeria. METHODS:
Susceptibility testing to tetracycline of 50 H. pylori isolates was performed by
Etest. The 535-bp conserved region of the H. pylori tetracycline-binding site of
16S rRNA was amplified by PCR, followed by sequencing and multiple sequence
alignment for all 50 clinical isolates. RESULTS: Of the 50 clinical isolates
examined, DNA sequence analysis revealed nucleotide substitutions in 7 isolates
at positions 926-928. Of the seven isolates, two demonstrated reduced
susceptibility to tetracycline with Etest minimum inhibitory concentrations
(MICs) of 0.75-1.0mg/L, whilst the other five isolates were resistant with MICs
of 1.5-24mg/L (resistance breakpoint >1mg/L). The two isolates with reduced
susceptibility had single nucleotide substitution of A926G, whilst the five
resistant isolates demonstrated double base pair substitutions of G927T/A928C and
A926G/A928C and a single nucleotide substitution of A926G. CONCLUSIONS: This
study shows that low-level tetracycline resistance amongst H. pylori-positive
dyspeptic patients is associated with reduced susceptibility and resistance to
tetracycline. This is the result of 1-bp and 2-bp differences in positions 926
and 926-928, respectively, in the 16S rRNA of H. pylori.
PMID- 29355665
TI - Scientific underpinnings of biotechnology regulatory frameworks.
AB - Part of what is presently missing at domestic regulatory levels (and that is
important at the international level as well) is a detailed understanding of what
the rules of, and for, regulation should be, who the actors, stakeholders and
major decision makers are and finally, how to get agreement about the rules.
Greater insights into the system of rules that underpin regulatory frameworks for
agri-food and biotechnology products in genetically modified (GM) crop- adopting
nations will provide value by clarifying the evidence used to commercialize these
technologies. This article examines the public documents available from Canada,
the United States, the European Union and the Organisation for Economic
Cooperation and Development regarding the development of regulatory risk
assessment frameworks for products of biotechnology to determine what science
grounds these frameworks. The documentation used to provide the initial structure
to the existing regulatory frameworks identifies the linkages, connections and
relationships that exist between science, risk assessment and regulatory policy.
The relationship between risk and regulation has never been more critical to the
commercialization of innovative agricultural products. Documenting the role of
science-based risk assessment in regulations and how this has changed over the 20
years of experience in regulating GM crops will identify changes in the
risk/regulation relationship.
PMID- 29355666
TI - The antibody horror show: an introductory guide for the perplexed.
AB - The biological literature reverberates with the inadequacies of commercial
research-tool antibodies. The scientific community spends some $2 billion per
year on such reagents. Excellent accessible scientific platforms exist for
reliably making, validating and using antibodies, yet the laboratory end-user
reality is somehow depressing - because they often "don't work". This experience
is due to a bizarre and variegated spectrum of causes including: inadequately
identified antibodies; inappropriate user and supplier validation; poor user
training; and overloaded publishers. Colourful as this may appear, the outcomes
for the community are uniformly grim, including badly damaged scientific careers,
wasted public funding, and contaminated literature. As antibodies are amongst the
most important of everyday reagents in cell biology and biochemistry, I have
tried here to gently suggest a few possible solutions, including: a move towards
using recombinant antibodies; obligatory unique identification of antibodies,
their immunogens, and their producers; centralized international banking of
standard antibodies and their ligands; routine, accessible open-source
documentation of user experience with antibodies; and antibody-user
certification.
PMID- 29355667
TI - Wound healing in the eye: Therapeutic prospects.
AB - In order to maintain a smooth optical surface the corneal epithelium has to
continuously renew itself so as to maintain its function as a barrier to
fluctuating external surroundings and various environmental insults. After
trauma, the cornea typically re-epithelializes promptly thereby minimizing the
risk of infection, opacification or perforation. A persistent epithelial defect
(PED) is usually referred to as a non-healing epithelial lesion after
approximately two weeks of treatment with standard therapies to no avail. They
occur following exposure to toxic agents, mechanical injury, and ocular surface
infections and are associated with significant clinical morbidity in patients,
resulting in discomfort or visual loss. In the case of deeper corneal injury and
corneal pathology the wound healing cascade can also extend to the corneal
stroma, the layer below the epithelium. Although significant progress has been
made in recent years, pharmaco-therapeutic agents that promote corneal healing
remain limited. This article serves as a review of current standard therapies,
recently introduced alternative therapies gaining in popularity, and a look into
the newest developments into ocular wound healing.
PMID- 29355668
TI - Ocular translational science: A review of development steps and paths.
AB - Developing successful drug delivery methods is challenging for any tissue, and
the eye is no exception. Translating initial concepts into advanced technologies
treating diseases in preclinical models and finally into functional and
marketable products for humans can be particularly daunting. While referring to
specific ophthalmic companies and products, this review considers key exchanges
that lead to successful translation. By building on basic science discoveries in
the academic setting, applied science can perform proof-of-concept work with
simple, benchtop experiments. Eventually, simple models need to be translated to
more robust ones where cells, tissues, and entire organisms are incorporated.
Successful translation also includes performing due diligence of the intellectual
property, understanding the market needs, undertaking clinical development,
meeting regulatory requirements, and eventually scale up manufacturing. Different
stages of the translation can occur in different environments, including moving
from academia to industry, from one company to another, or between veterinary and
human applications. The translation process may also rely on contract
organizations to move through the complex landscape. While the path to a
commercial, marketable product may not look the same each time, it is important
to design a development plan with clear goals and milestones to keep on track.
PMID- 29355669
TI - Clinical applications of the CellSearch platform in cancer patients.
AB - The CellSearch(r) system (CS) enables standardized enrichment and enumeration of
circulating tumor cells (CTCs) that are repeatedly assessable via non-invasive
"liquid biopsy". While the association of CTCs with poor clinical outcome for
cancer patients has clearly been demonstrated in numerous clinical studies,
utilizing CTCs for the identification of therapeutic targets, stratification of
patients for targeted therapies and uncovering mechanisms of resistance is still
under investigation. Here, we comprehensively review the current benefits and
drawbacks of clinical CTC analyses for patients with metastatic and non
metastatic tumors. Furthermore, the review focuses on approaches beyond CTC
enumeration that aim to uncover therapeutically relevant antigens, genomic
aberrations, transcriptional profiles and epigenetic alterations of CTCs at a
single cell level. This characterization of CTCs may shed light on the
heterogeneity and genomic landscapes of malignant tumors, an understanding of
which is highly important for the development of new therapeutic strategies.
PMID- 29355670
TI - Access to dental services for children with intellectual and developmental
disabilities - A scoping review.
AB - BACKGROUND: Children with Intellectual and Developmental Disabilities (IDD) face
considerable challenges in participating in dental services. These challenges
include resource constraints and inadequate skills of health service providers to
work with this population. AIM: The aim was to scope published studies that
addressed access to dental services for children with IDD in order to determine
the extent to which various barriers have been researched, using an access
framework derived from the literature. Access was defined to include the six
dimensions of accessibility, availability, affordability, accommodation,
acceptability, and appropriateness. METHOD: Arksey and O'Malley's scoping review
framework was used. Relevant databases (e.g., Medline) were searched for all
empirical studies conducted from January 2000 to February 2017 that met inclusion
criteria. Data were extracted along the six dimensions of the access framework.
RESULTS: Sixteen international studies were identified which indicated common key
barriers to dental service use: the difficulties of physical inaccessibility,
lack of access to information among carers, lack of knowledge of disability
issues, and low experience and skills in caring for children with IDD among
dental practitioners. CONCLUSIONS: Key recommendations made were exploring dental
practitioners' understanding of disability legislation and developing training
for practitioners to expand on issues specific to IDD.
PMID- 29355671
TI - Reversible inactivation of interpeduncular nucleus impairs memory consolidation
and retrieval but not learning in rats: A behavioral and molecular study.
AB - The Interpedundular nucleus (IPN) is a small midbrain structure located deeply
between the two cerebral peduncles. The strategic placement of this nucleus makes
it a possible relay between structures involved in the modulation of hippocampal
theta rhythm activity. In this study we aimed to investigate how reversible
inactivation of IPN could affect the acquisition, consolidation and retrieval
phases of memory in passive avoidance (PA) and Morris water maze (MWM) tasks. To
support our data, molecular studies were performed in order to detect possible
changes in the expression of proteins related to learning and memory in the
hippocampus. To address this issue rats' IPN was reversibly inactivated by
microinjection of lidocaine hydrochloride (4%). After the behavioral studies, the
phosphorylation of CREB and P70, and c-fos expression levels in the hippocampus
were determined using western blotting and immunohistochemistry respectively. Our
results in the PA and MWM tasks showed that IPN reversible inactivation could
impair immediate post training consolidation and retrieval while it had no effect
on the acquisition phase. In addition, there was a deficit in the retention of
the MWM working memory. Our data showed the ratio of pCREB/CREB, pP70/P70 and c
fos expression in the hippocampus significantly decreased after IPN reversible
inactivation. Collectively, the results show that behaviorally defined changes
could be due to what happens molecularly in the hippocampus after IPN reversible
inactivation. It is concluded that IPN not only makes part of a network involved
in the modulation of hippocampal theta rhythm activity, but also is actively
engaged in hippocampal memory formation.
PMID- 29355672
TI - Assessment of impulsivity in adolescent mice: A new training procedure for a 3
choice serial reaction time task.
AB - Immaturity in impulse control among adolescents could result in substance abuse,
criminal involvement, and suicide. The brains of adolescents and adults are
anatomically, neurophysiologically, and pharmacologically different. Therefore,
preclinical models of adolescent impulsivity are required to screen drugs for
adolescents and elucidate the neural mechanisms underlying age-related
differences in impulsivity. The conventional 3- or 5-choice serial reaction time
task, which is a widely used task to assess impulsivity in adult rodents, cannot
be used for young mice because of two technical problems: impaired growth caused
by food restriction and the very long training duration. To overcome these
problems, we altered the conventional training process, optimizing the degree of
food restriction for young animals and shortening the training duration. We found
that almost all basal performance levels were similar between the novel and
conventional procedures. We also confirmed the pharmacological validity of our
results: the 5-hydroxytryptamine 2C (5-HT2C) receptor agonist Ro60-0175 (0.6
mg/kg, subcutaneous) reduced the occurrence of premature responses, whereas the 5
HT2C receptor antagonist SB242084 (0.5 mg/kg intraperitoneal) increased their
occurrence, consistent with results of previous studies using conventional
procedures. Furthermore, we detected age-related differences in impulsivity using
the novel procedure: adolescent mice were found to be more impulsive than adult
mice, congruent with the results of human studies. Thus, the new procedure
enables the assessment of impulsivity in adolescent mice and facilitates a better
understanding of the neurophysiological/pharmacological properties of
adolescents.
PMID- 29355673
TI - A role for CA3 in social recognition memory.
AB - Social recognition memory is crucial for survival across species, underlying the
need to correctly identify conspecifics, mates and potential enemies. In humans
the hippocampus is engaged in social and episodic memory, however the circuit
mechanisms of social memory in rodent models has only recently come under
scrutiny. Work in mice has established that the dorsal CA2 and ventral CA1
regions play critical roles, however a more comprehensive comparative analyses of
the circuits and mechanisms required has not been reported. Here we employ
conditional genetics to examine the differential contributions of the hippocampal
subfields to social memory. We find that the deletion of NMDA receptor subunit 1
gene (NR1), which abolishes NMDA receptor synaptic plasticity, in CA3 pyramidal
cells led to deficits in social memory; however, mice lacking the same gene in DG
granule cells performed indistinguishable from controls. Further, we use
conditional pharmacogenetic inhibition to demonstrate that activity in ventral,
but not dorsal, CA3 is necessary for the encoding of a social memory. These
findings demonstrated CA3 pyramidal cell plasticity and transmission contribute
to the encoding of social stimuli and help further identify the distinct circuits
underlying the role of the hippocampus in social memory.
PMID- 29355674
TI - Sex differences in rat decision-making: The confounding role of extraneous feeder
sampling between trials.
AB - Although male and female rats appear to perform differently in some tasks, a
clear picture of sex differences in decision-making has yet to develop. This is
in part due to significant variability arising from differences in strains and
tasks. The aim of this study was to characterize the effects of sex on specific
response elements in a reinforcement learning task so as to help identify
potential explanations for this variability. We found that the primary difference
between sexes was the propensity to approach feeders out of the task context.
This extraneous feeder sampling affects choice on subsequent trials in both sexes
by promoting a lose-shift response away from the last feeder sampled. Female
rats, however, were more likely to engage in this extraneous feeder sampling, and
therefore exhibited a greater rate of this effect. Once trials following
extraneous sampling were removed, there were no significant sex differences in
any of the tested measures. These data suggest that feeder approach outside of
the task context, which is often not recorded, could produce a confound in sex
based differences of reinforcement sensitivity in some tasks.
PMID- 29355676
TI - A comparative analysis of human bone marrow-resident and peripheral memory B
cells.
PMID- 29355677
TI - Asthma, COPD, and overlap in a national cohort: ACO on a gradient.
PMID- 29355675
TI - Anxiety-like behavior and neuropeptide receptor expression in male and female
prairie voles: The effects of stress and social buffering.
AB - Strong social support can negate negative health outcomes - an effect defined as
'social buffering'. In the present study, using the socially monogamous prairie
vole (Microtus ochrogaster), we examined whether the presence of a bonded partner
during a stressful event can reduce stress responses. Adult, pair-bonded female
and male voles were assigned into experimental groups that were either handled
(Control), experienced a 1-h immobilization (IMO) stress alone (IMO-Alone), or
experienced IMO with their partner (IMO-Partner). Thereafter, subjects were
tested for anxiety-like behavior, and brain sections were subsequently processed
for oxytocin receptor (OTR) and vasopressin V1a-type receptor (V1aR) binding. Our
data indicate that while IMO stress significantly decreased the time that
subjects spent in the open arms of an elevated plus maze, partner's presence
prevented this behavioral change - this social buffering on anxiety-like behavior
was the same for both male and female subjects. Further, IMO stress decreased OTR
binding in the nucleus accumbens (NAcc), but a partner's presence dampened this
effect. No effects were found in V1aR binding. These data suggest that the
neuropeptide- and brain region-specific OTR alterations in the NAcc may be
involved in both the mediation and social buffering of stress responses. Some sex
differences in the OTR and V1aR binding were also found in selected brain
regions, offering new insights into the sexually dimorphic roles of the two
neuropeptides. Overall, our results suggest a potential preventative approach in
which the presence of social interactions during a stressor may buffer typical
negative outcomes.
PMID- 29355678
TI - T-cell gene therapy for perforin deficiency corrects cytotoxicity defects and
prevents hemophagocytic lymphohistiocytosis manifestations.
AB - BACKGROUND: Mutations in the perforin 1 (PRF1) gene account for up to 58% of
familial hemophagocytic lymphohistiocytosis syndromes. The resulting defects in
effector cell cytotoxicity lead to hypercytokinemia and hyperactivation with
inflammation in various organs. OBJECTIVE: We sought to determine whether
autologous gene-corrected T cells can restore cytotoxic function, reduce disease
activity, and prevent hemophagocytic lymphohistiocytosis (HLH) symptoms in in
vivo models. METHODS: We developed a gammaretroviral vector to transduce murine
CD8 T cells in the Prf-/- mouse model. To verify functional correction of Prf-/-
CD8 T cells in vivo, we used a lymphocytic choriomeningitis virus (LCMV) epitope
transfected murine lung carcinoma cell tumor model. Furthermore, we challenged
gene-corrected and uncorrected mice with LCMV. One patient sample was transduced
with a PRF1-encoding lentiviral vector to study restoration of cytotoxicity in
human cells. RESULTS: We demonstrated efficient engraftment and functional
reconstitution of cytotoxicity after intravenous administration of gene-corrected
Prf-/- CD8 T cells into Prf-/- mice. In the tumor model infusion of Prf-/- gene
corrected CD8 T cells eliminated the tumor as efficiently as transplantation of
wild-type CD8 T cells. Similarly, mice reconstituted with gene-corrected Prf-/-
CD8 T cells displayed complete protection from the HLH phenotype after infection
with LCMV. Patients' cells showed correction of cytotoxicity in human CD8 T cells
after transduction. CONCLUSION: These data demonstrate the potential application
of T-cell gene therapy in reconstituting cytotoxic function and protection
against HLH in the setting of perforin deficiency.
PMID- 29355679
TI - Efficacy and safety of dupilumab in perennial allergic rhinitis and comorbid
asthma.
AB - BACKGROUND: Dupilumab, an anti-IL-4 receptor alpha mAb, inhibits IL-4/IL-13
signaling, key drivers of type 2/TH2 immune diseases (eg, atopic/allergic
disease). In a pivotal, phase 2b study (NCT01854047), dupilumab reduced severe
exacerbations, improved lung function and quality of life, and was generally well
tolerated in patients with uncontrolled persistent asthma despite using medium-to
high-dose inhaled corticosteroids plus long-acting beta2-agonists. OBJECTIVE: To
examine dupilumab's effect on the 22-item Sino-Nasal Outcome Test (SNOT-22) total
score and its allergic rhinitis (AR)-associated items in asthma patients with
comorbid perennial allergic rhinitis (PAR). METHODS: A post hoc analysis
reporting data from the phase 2b study for the 200 and 300 mg every 2 week (q2w)
doses under investigation in phase 3 (NCT02414854) was carried out. PAR was
defined at study entry as a specific response to typical perennial antigens (IgE
>=0.35 Ku/L). RESULTS: Overall, 241 (61%) patients had PAR. In asthma patients
with PAR, dupilumab 300 mg q2w versus placebo significantly improved SNOT-22
total score (least squares mean difference, -5.98; 95% CI, -10.45 to -1.51; P =
.009) and all 4 AR-associated symptoms evaluated (nasal blockage, -0.60; 95% CI,
0.96 to -0.25; runny nose, -0.67; 95% CI, -1.04 to -0.31; sneezing, -0.55; 95%
CI, -0.89 to -0.21; postnasal discharge, -0.49; 95% CI, -0.83 to -0.16; all P <
.01). Dupilumab 200 mg q2w demonstrated numerical, but not statistically
significant, decreases in SNOT-22 total score (-1.82; 95% CI, -6.46 to 2.83; P =
.443 vs placebo) and in each AR-associated symptom. In patients without PAR, no
differences were observed for these measures versus placebo. CONCLUSIONS:
Dupilumab 300 mg q2w significantly improved AR-associated nasal symptoms in
patients with uncontrolled persistent asthma and comorbid PAR.
PMID- 29355680
TI - Early life risk factors for chronic sinusitis: A longitudinal birth cohort study.
AB - BACKGROUND: Chronic sinusitis is a commonly diagnosed condition in adults who
frequently present with late-stage disease and irreversible changes to the sinus
mucosa. Understanding the natural history of chronic sinusitis is critical in
developing therapies designed to prevent or slow the progression of disease.
OBJECTIVE: We sought to determine early life risk factors for adult sinusitis in
a longitudinal cohort study (Tucson Children's Respiratory Study). METHODS:
Physician-diagnosed sinusitis was reported at age 6. Adult sinusitis between 22
and 32 years was defined as self-reported sinusitis plus physician-ordered sinus
radiologic films. Atopy was assessed by skin prick test. Individuals were grouped
into 4 phenotypes: no sinusitis (n = 621), transient childhood sinusitis only (n
= 57), late-onset adult sinusitis only (n = 68), and early onset chronic
sinusitis (childhood and adult sinusitis, n = 26). RESULTS: Sinusitis was present
in 10.8% of children and 12.2% of adults. Childhood sinusitis was the strongest
independent risk factor for adult sinusitis (odds ratio = 4.2; 95% CI: 2.5-7.1; P
< .0001; n = 772). Early onset chronic sinusitis was associated with increased
serum IgE levels as early as at 9 months of age, atopy (assessed by skin prick
test reactivity), childhood eczema and allergic rhinitis, frequent childhood
colds, maternal asthma, and with increased prevalence of concurrent asthma. No
association was found between late-onset adult sinusitis and any of the early
life risk factors studied. CONCLUSIONS: We identified an early onset chronic
sinusitis phenotype associated with a predisposition to viral infections/colds in
early life, allergies, and asthma. Elucidation of the molecular mechanisms for
this phenotype may lead to future therapies to prevent the progression of the
disease into adult sinusitis.
PMID- 29355681
TI - Whole exome sequencing identifies a novel mutation (c.333 + 2T > C) of TNNI3K in
a Chinese family with dilated cardiomyopathy and cardiac conduction disease.
AB - Dilated Cardiomyopathy (DCM) and cardiac conduction disease (CCD) are two kinds
if diseases that can induce heart failure, syncope and even sudden cardiac death
(SCD). DCM patients can experience CCD at the same time. In recent research, some
disease-causing genes and variants have been identified in patients with DCM and
CCD, such as Alpha-Actinin-2 and TNNI3 Interacting Kinase (TNNI3K). In this
study, we employed whole-exome sequencing (WES) to explore the potential
causative genes in a Chinese family with DCM and CCD. A novel splice site
mutation (c.333 + 2 T > C) of TNNI3K was identified and co-segregated with the
affected family members. This novel mutation was also absent in 200 healthy local
controls and predicted to be disease-causing by Mutationtaster. The splice site
mutation (c.333 + 2 T > C) may result in a premature stop codon in exon 4 of the
TNNI3K gene and can induce nonsense-mediated mRNA decay. Real-time qPCR also
confirmed that the level of TNNI3K mRNA expression was decreased significantly
compared with the controls, which may lead to myocardial structural disorder and
arrhythmia. In this study we reported the third novel mutation of TNNI3K in DCM
and CCD patients which further supported the important role of TNNI3K in heart
development and expanded the spectrum of TNNI3K mutations. The results may
contribute to the genetic diagnosis and counseling of families with DCM and CCD.
PMID- 29355683
TI - Fine-mapping analysis of the MHC region for vitiligo based on a new Han-MHC
reference panel.
AB - Vitiligo is an immune-related disease with patchy depigmentation of skin and hair
caused by selective destruction of melanocytes. In recent decades, many studies
have shown the association between vitiligo and HLA genes; however, the results
of Han Chinese are scarce. In this study, we performed a fine-mapping analysis of
the MHC region in 2818 Han Chinese subjects through a widely used HLA imputation
method with a newly built large-scale Han-MHC reference panel. Three new four
digit HLA alleles (HLA-DQB1 * 02:02, HLA-DQA1 * 02:01 and HLA-DPB1 * 17:01) were
identified to be associated with the risk of vitiligo, and four previously
reported alleles were confirmed. Further conditional analysis revealed that two
important variants, HLA-DQbeta1 amino acid position 135 (OR = 1.79, P = 1.87 * 10
11) and HLA-B amino acid positions 45-46 (OR = 1.44, P = 5.61 * 10-11), conferred
most of the MHC associations. Three-dimension ribbon models showed that the
former is located within the beta2 domain of the HLA-DQbeta1 molecule, and the
latter lies in the alpha1 domain of the HLA-B molecule, while both are involved
in specific antigen presenting process. Finally, we summarized all significant
signals in the MHC region to clarify their complex relationships, and 8.60% of
phenotypic variance could be explained based on all reported variants in Han
Chinese so far. Our findings highlight the complex genetic architecture of the
MHC region for vitiligo in Han Chinese population and expand our understanding of
the roles of HLA coding variants in the etiology of vitiligo.
PMID- 29355682
TI - Identification of cyp703a3-3 and analysis of regulatory role of CYP703A3 in rice
anther cuticle and pollen exine development.
AB - Anther cuticle and pollen exine are two elaborated lipid-soluble barriers
protecting pollen grains from environmental and biological stresses. However,
less is known about the mechanisms underlying the synthesis of these lipidic
polymers. Here, we identified a no-pollen male-sterility mutant cyp703a3-3 from
the indica restorer line Zhonghui 8015 (Zh8015) mutant library treated with
60Cogamma-ray radiation. Histological analysis indicated that cyp703a3-3
underwent abnormal tapetal cells development, produced few orbicules and secreted
less sporopollenin precursors to anther locule, as well as cutin monomers on
anther. Genetic analysis revealed that cyp703a3-3 was controlled by a single
recessive gene. Map-based cloning was performed to narrow down the mutant gene to
a 47.78-kb interval on the chromosome 8 between two markers S15-29 and S15-30.
Sequence analysis detected three bases (GAA) deletion in the first exon of
LOC_Os08g03682, annotated as CYP703A3 with homologous sequences related to male
sterility in Arabidopsis, causing the Asparagine deletion in the mutant site.
Moreover, we transformed genomic fragment of CYP703A3 into cyp703a3-3, which male
sterility phenotype was recovered. Both the wild-type and cyp703a3-3 mutant 3D
structure of CYP703A3 protein were modeled. Results of qPCR suggested CYP703A3
mainly expressed in anthers with greatest abundance at microspore stage, and
genes involved in sporopollenin precursors formation and transportation, such as
GAMYB, TDR, CYP704B2, DPW2, OsABCG26 and OsABCG15, were significantly reduced in
cyp703a3-3. Collectively, our results further elaborated CYP703A3 plays vital
role in anther cuticle and pollen exine development in rice (Oryza sativa L.).
PMID- 29355684
TI - Impact of adenosine A2a receptor polymorphism rs5751876 on platelet reactivity in
ticagrelor treated patients.
AB - Dual antiplatelet therapy constitutes a key point in the management of patients
with acute coronary syndromes. In particular, ticagrelor, an ADP-antagonist, can
provide a more potent and predictable platelet inhibition as compared to
clopidogrel, and adenosine-mediated pathways have been involved in its beneficial
effects on mortality and myocardial perfusion. However, a quote of patients still
displays a suboptimal platelet inhibition on ticagrelor, and, while the role of
genetics in conditioning clopidogrel resistance is well established, few data
have been reported for ticagrelor. We investigated the impact of rs5751876 C > T
polymorphism of adenosine A2a receptor (ADORA2a) on platelet reactivity in
patients during chronic treatment with ticagrelor. We included patients treated
with ASA and ticagrelor for a recent ACS or elective coronary revascularization.
Platelet reactivity was assessed at 30-90 days post-discharge by multiple
electrode aggregometry. HRPR for ticagrelor was defined as ADP-test results >417
AU*min. Genetic analysis was performed to assess the presence of rs5751876 C > T
polymorphism of ADORA2a receptor. We included 244 patients in our study, 174
(71.3%) patients carried the polymorphism (T allele), 51 (20.9%) of them in
homozygosis (T/T). C-allele carriers (homozygotes C/C and heterozygotes C/T)
showed no difference in baseline characteristics but for lower HDL-cholesterol (p
= 0.01). An absolute lower rate of HRPR on ticagrelor was observed in homozygotes
T/T (p = 0.03). At multivariate analysis, C allele carriage was independently
associated with the rate of HRPR on ticagrelor (adjusted OR[95%CI] = 4.63[1.02
21.01], p = 0.048). Our study results showed a significant independent
association between rs5751876 allele C carriage and a higher rate of high
residual platelet reactivity in patients on ticagrelor after a recent ACS or PCI.
PMID- 29355685
TI - Development and characterisation of chondroitin sulfate- and hyaluronic acid
incorporated sorbitan ester nanoparticles as gene delivery systems.
AB - Glycosaminoglycans (GAGs) are natural polymers that are broadly used in gene
delivery systems to increase stability as well as decrease toxicity and
nonspecific interactions, thereby increasing transfection efficiency. In this
work, we propose sorbitan ester-based lipid nanoparticles (SENS) functionalised
with the GAGs chondroitin sulfate (CS) and hyaluronic acid (HA) as gene delivery
systems. For this purpose, we describe the design and evaluation of these
nanosystems loaded with plasmid DNA, including an evaluation of their
physicochemical characteristics, stability properties, ability to protect and
efficiently transfect cells with Enhanced Green Fluorescent Protein plasmid
(pEGFP) in vitro, and biocompatibility both in vitro and in vivo. We confirm that
molecules with high biological value and targeting potential, such as HA and CS,
can be successfully incorporated into our recently developed sorbitan ester-based
nanoparticles (SENS) and that this incorporation leads to effective stabilisation
of both nanosystems as well as protects plasmid DNA. We demonstrated that the
aforementioned incorporation of HA and CS enables long-term stability of the
nanosystems in both liquid and lyophilised states, which is a remarkable property
that can aid in their transfer to industry. The ability of these functionalised
nanosystems to transfect the A549 cell line without compromising cell viability
was also shown, as well as their innocuous safety profile in vivo. Thus, we
provide valuable evidence of the suitable properties and potential of these
hybrid nanoparticles as gene delivery systems.
PMID- 29355686
TI - Molybdenum cluster loaded PLGA nanoparticles: An innovative theranostic approach
for the treatment of ovarian cancer.
AB - We evaluate poly (d,l-lactide-co-glycolide) (PLGA) nanoparticles embedding
inorganic molybdenum octahedral cluster for photodynamic therapy of cancer (PDT).
Tetrabutyl ammonium salt of Mo6Br14 cluster unit, (TBA)2Mo6Br14, presents
promising photosensitization activity in the destruction of targeted cancer
cells. Stable cluster loaded nanoparticles (CNPs) were prepared by solvent
displacement method showing spherical shapes, zeta potential values around -30
mV, polydispersity index lower than 0.2 and sizes around 100 nm. FT-IR and DSC
analysis revealed the lack of strong chemical interaction between the cluster and
the polymer within the nanoparticles. In vitro release study showed that
(TBA)2Mo6Br14 was totally dissolved in 20 min, while CNPs were able to control
the release of encapsulated cluster. In vitro cellular viability studies
conducted on A2780 ovarian cancer cell line treated up to 72 h with cluster or
CNPs did not show any sign of toxicity in concentrations up to 20 ug/ml. This
concentration was selected for photo-activation test on A2780 cells and CNPs were
able to generate oxygen singlet resulting in a decrease of the cellular viability
up to 50%, respectively compared to non-activated conditions. This work presents
(TBA)2Mo6Br14 as a novel photosensitizer for PDT and suggests PLGA nanoparticles
as an efficient delivery system intended for tumor targeting.
PMID- 29355687
TI - Key acceptability attributes of orodispersible films.
AB - The features rendering orodispersible films (ODFs) patient-centric formulations
are widely discussed in the scientific literature. However there is a lack of
research studies exploring ODF characteristics with a potential impact on end
user acceptability. The aim of this study was to identify the key ODF
characteristics affecting end-user acceptability by developing in vitro test
methods for the prediction of ODFs acceptability and correlate these formulation
characteristics with the data obtained from a human panel study. Four drug-free
single-polymer films were prepared by solvent casting. Solutions of poly(vinyl)
alcohol (PVOH) 39 KDa (P1), PVOH 197 KDa (P2), carboxymethylcellulose (CMC) 395
KDa (C1), and CMC 725 KDa (C2) were prepared. Texture analysis and Dynamic
Mechanical Analysis (DMA) were used to assess film tack. Petri dish and drop
methods were used to assess disintegration time. A human panel of 24 healthy
young adults was employed to identify end-user acceptability criteria of the four
study film samples. Texture analysis data of ODF tack were not found to be in
agreement with the in vivo perceived stickiness in the mouth. However,
measurement of the area under the adhesive force curve obtained by DMA correlated
with in vivo perceived stickiness data for all samples. The disintegration times
obtained by drop method were more comparable to human panel data than the petri
dish method. Hence DMA and drop methods proved to be promising methodologies for
the prediction of the end-user acceptability. The type and molecular weight of
the film-forming polymer had a strong influence on stickiness perception, whereas
only polymeric molecular weight influenced perceived disintegration time. The
human panel study showed that Participant Reported Outcomes (PROs) for the
perceived stickiness in the mouth and disintegration time of test films received
significantly different scores between samples, and thus were identified as the
key attributes with the potential to affect the end-user acceptability. ODF
stickiness and disintegration time should therefore be evaluated at an early
stage of the drug product design.
PMID- 29355688
TI - Metabolomics profiling of steatosis progression in HepaRG(r) cells using sodium
valproate.
AB - Non-alcoholic Fatty Liver Disease (NAFLD) is a frequently encountered Drug
Induced Liver Injury (DILI). Although this stage of the disease is reversible, it
can lead to irreversible damage provoked by non-alcoholic steatohepatitis (NASH),
fibrosis and cirrhosis. Therefore, the assessment of NAFLD is a paramount
objective in toxicological screenings of new drug candidates. In this study, a
metabolomic fingerprint of NAFLD induced in HepaRG(r) cells at four dosing
schemes by a reference toxicant, sodium valproate (NaVPA), was obtained using
liquid-liquid extraction followed by liquid chromatography and accurate mass-mass
spectrometry (LC-AM/MS). The combination of a strict design of experiment with a
robust detection method, applied on sodium valproate, validated the possibilities
of untargeted metabolomics in hepatic toxicological research. Distinctive
patterns between exposed and control cells were consistently observed,
multivariate analyses selected up to 200 features of interest, revealing hallmark
NAFLD-biomarkers, such as diacylglycerol and triglyceride accumulation and
carnitine deficiency. Initial toxic responses show increased levels of S
adenosylmethionine and mono-acetylspermidine in combination with only a moderate
increase in triglycerides. New specific markers of toxicity have been observed,
such as spermidines, creatine, and acetylcholine. The described design of
experiment provides a valuable metabolomics platform for mechanistic research of
toxicological hazards and identified new markers for steatotic progression.
PMID- 29355689
TI - miR-21-5p as a potential biomarker of inflammatory infiltration in the heart upon
acute drug-induced cardiac injury in rats.
AB - Investigation of genomic changes in cardiotoxicity can provide novel biomarkers
and insights into molecular mechanisms of drug-induced cardiac injury (DICI). The
main objective of this study was to identify and characterize dysregulated
microRNAs (miRNAs) in the heart associated with cardiotoxicity. Wistar rats were
dosed once with either isoproterenol (1.5 mg/kg, i.p), allylamine (100 mg/kg,
p.o.) or the respective vehicle controls. Heart tissue was collected at 24 h, 48
h and 72 h post-drug administration and used for histopathological assessment,
miRNA profiling, immunohistochemical analysis and in situ hybridization.
Multiplex analysis of 68 miRNAs in the heart revealed a significant upregulation
of several miRNAs (miR-19a-3p, miR-142-3p, miR-155-5p, miR-208b-3p, miR-21-5p)
after isoproterenol and one miRNA (miR-21-5p) after allylamine administration.
Localization of miR-21-5p was specific to inflammatory cell infiltrates in the
heart after both treatments. Immunohistochemical analysis of Stat3, a known miR
21-5p regulator, also confirmed its upregulation in cardiomyocytes and
inflammatory cell infiltrates. The toxicity signatures based on miRNA networks,
identified in vivo, can potentially be used as mechanistic biomarkers as well as
to study cardiotoxicity in vitro in order to develop sensitive tools for early
hazard identification and risk assessment.
PMID- 29355690
TI - Effect of subchronic exposure to inorganic arsenic on the structure and function
of the intestinal epithelium.
AB - Inorganic arsenic (As), the most toxic form of As found in water and food, is
considered a human carcinogen. Numerous studies show its systemic toxicity,
describing pathologies associated with chronic exposure. The main pathway of
exposure to inorganic As is oral, but many of the events that occur during its
passage through the gastrointestinal tract are unknown. This study evaluates the
effect of subchronic exposure to inorganic As [As(III): 0.025-0.1 mg/L; As(V):
0.25-1 mg/L, up to 21 days] on the intestinal epithelium, using Caco-2 cells as
in vitro model. Inorganic As produces a pro-inflammatory response throughout the
exposure time, with an increase in IL-8 release (up to 488%). It also causes
changes in the program of cell proliferation and differentiation, which leads to
impairment of the cell repair process. In addition, subchronic exposure affects
the epithelial structure, causing loss of microvilli, fundamental structures in
the processes of intestinal absorption and digestion. Moreover, the exposure
affects the epithelial barrier function, evidenced by an increase of Lucifer
Yellow transport (103-199%). Therefore, it can be concluded that subchronic
exposure to inorganic As can alter intestinal homeostasis, affecting the mucosal
layer, which performs the most important functions of the intestinal wall.
PMID- 29355691
TI - Civilian exposure to chlorine gas: A systematic review.
AB - INTRODUCTION: Halogen pulmonary irritants (HPIs) are volatile liquids that
directly damage the respiratory mucosa. Chlorine is readily available in large
volumes as an industrial chemical and has a significant potential for accidental
or deliberate release. We conducted a systematic review to determine the clinical
features; treatment and long-term sequelae of civilian chlorine gas exposure.
METHODS: A systematic review was conducted using the Preferred Reporting Items
for Systematic Reviews and Meta-Analyses (PRISMA) methodology. Medline; Ovid and
Google Scholar databases were searched from 1966 to January 2017. A database of
relevant papers was compiled and descriptive statistics used to summarise the
data. RESULTS: Thirty-six papers describing 37 incidents involving 1566
individual acute exposers to chlorine gas were identified. The most common
reported features were cough (29%), dyspnoea (22%), sore throat (16%), eye
features (12%) and excessive sputum or haemoptysis (7%). Acute management
included high-flow oxygen (32.8%); steroids (28.4%); bronchodilators (28.2%) and
ventilation (2.3%). Nine deaths (0.6%) were reported. Follow-up data available in
60% of cases; full recovery was reported in 90% of cases where data was
available. DISCUSSION: Acute chlorine gas exposure in civilian incidents
presented with acute respiratory features and irritation of the eyes and throat.
The development of pulmonary oedema or ARDS was relatively rare when compared to
military experience in the First World War.
PMID- 29355692
TI - Pregabalin induces conditioned place preference in the rat during the early, but
not late, stage of neuropathic pain.
AB - The present study aimed to examine the rewarding effects of pain relief during
the early and late stages of neuropathic pain using a conditioned place
preference (CPP) test. Animal models of neuropathic pain were prepared by spinal
nerve ligation in male Sprague-Dawley rats. Intraperitoneal and intrathecal
injections of pregabalin (300 mg/kg and 100 MUg/10 MUL, respectively) suppressed
allodynia in the von Frey test both 2 weeks (early stage) and 4 weeks (late
stage) after nerve injury. Intraperitoneal and intrathecal injections of
pregabalin induced CPP during the early stage of neuropathic pain, suggesting
that the CPP test serves as an objective and quantifiable behavioral assay to
assess the emotional aspect of pain relief. In contrast with the early stage of
neuropathic pain, intraperitoneal or intrathecal injection of pregabalin did not
induce CPP during the late stage of neuropathic pain. The extinguishment of the
rewarding effects of pregabalin during the late stage of neuropathic pain is
likely due to dysfunction of the mesolimbic reward system, although the
possibility that neuronal mechanisms other than dysfunction of the mesolimbic
reward system are involved in the extinguishment of pregabalin-induced CPP cannot
be excluded. We previously reported that not only the dopamine release in the
nucleus accumbens induced by intrathecal pregabalin injection but also that
induced by sucrose intake were extinguished during the late stage of neuropathic
pain. These findings, combined with the results of this study, suggest that pain
chronification leads to dysfunction of the mesolimbic reward system.
PMID- 29355694
TI - Mechanical ventilation modulates pro-inflammatory cytokine expression in spinal
cord tissue after injury in rats.
AB - RATIONALE: Spinal cord injury (SCI) may induce significant respiratory muscle
weakness and paralysis, which in turn may cause a patient to require ventilator
support. Central nervous system alterations can also exacerbate local
inflammatory responses with immune cell infiltration leading to additional risk
of inflammation at the injury site. Although mechanical ventilation is the
traditional treatment for respiratory insufficiency, evidence has shown that it
may directly affect distant organs through systemic inflammation. OBJECTIVES:
This study aimed to better understand the impact of invasive mechanical
ventilation on local spinal cord inflammatory responses following cervical or
thoracic SCI. METHODS: Five groups of female Sprague-Dawley rats were
anesthetised for 24 h. Three groups received mechanical ventilation: seven rats
without SCI, seven rats with cervical injury (C4-C5), and seven rats with
thoracic injury (T10); whereas, two groups were non-ventilated: six rats without
SCI; and six rats with thoracic injury (T10). Changes in inflammatory responses
were determined in the spinal cord tissues collected at the local site of injury.
Cytokines were measured using ELISA. MAIN RESULTS: SCI induced local pro
inflammatory cytokine IL-6 expression for all groups. Mechanical ventilation also
had effects on pro-inflammatory cytokines and independently increased TNF-alpha
and decreased IL-1beta levels in the spinal cords of anesthetized rats.
CONCLUSION: These data provide the first evidence that mechanical ventilation
contributes to local inflammation after SCI and in the absence of direct tissue
injury.
PMID- 29355693
TI - Genetic deletion of NMDA receptors suppresses GABAergic synaptic transmission in
two distinct types of central neurons.
AB - NMDA-type ionotropic glutamate receptors (NMDARs) play an important role in the
regulation of synapse development and function in the brain. Recently we have
shown that NMDARs are critical for GABAergic synapse development in developing
hippocampal neurons. However, it remains unclear whether NMDARs are important for
establishment of GABAergic synaptic transmission in other types of neurons in the
brain. Here we report that in both cortical pyramidal neurons and midbrain
dopamine neurons in ventral tegmental area (VTA), genetic deletion of the GluN1
subunit, which is required for assembly of functional NMDARs, leads to a strong
reduction of GABAergic synaptic transmission. These data demonstrate that NMDARs
play an important role in the development of GABAergic synaptic transmission in
two types of neurons with distinct developmental origins, and suggest that NMDARs
are commonly involved in development of GABAergic synaptic transmission in
different types of neurons in the brain.
PMID- 29355695
TI - Behavioral effects of the combined use of alcohol and energy drinks on alcohol
hangover in an experimental mice model.
AB - In last few years it has been a significant increase in the consumption of
alcohol combined with energy drink. The aim of this work was to study the effect
of this mixture in motor and affective behaviors during an alcohol hangover
episode. Male Swiss mice received one of the following treatments: saline +
sucrose; saline + energy drink; ethanol + sucrose; ethanol + energy drink.
Ethanol dose was 3.8 g/kg BW (i.p.) and energy drink dose was 18 ml/kg BW
(gavage) at ZT1 (8 am) (ZT: Zeitgeber time; ZT0: 7 am; lights on). The behavioral
tests used were tight rope test to determine motor coordination; hanging wire
test to study muscular strength; elevated plus maze and open field tests to
evaluate anxiety like-behavior and locomotor activity. Tests were carried out at
basal point that matched with lights onset and every 6 h up to 18 h after
treatments. Hangover onset was established at ZT7 when blood alcohol
concentration (BAC) was almost zero. Our results showed that the mixture of
alcohol and energy drink altered significantly motor skills. Specifically, a
significant decrease was observed in the performance of the animals in the
tightrope and hanging wire tests in groups treated with the mixture of alcohol
and energy drink. A significant impairment in the anxiety-like behavior was
observed mainly at the beginning of alcohol hangover. These findings suggest that
energy drink added to alcohol extends motor disabilities observed during an
alcohol hangover episode in comparison with animals that received alcohol alone.
PMID- 29355696
TI - Effects of non-purified and semi-purified commercial diets on behaviors, plasma
corticosterone levels, and cecum microbiome in C57BL/6J mice.
AB - Diverse commercially available feeds are used in animal studies according to the
purpose of the studies. We sought to understand the relationship between feed
ingredients and their effects on animal physiology and behaviors. Here, we
investigated how male laboratory mice (C57BL/6J ("B6") mice) were affected by
chronic feeding with two commercially available diets, a non-purified diet (MF)
and a semi-purified diet (AIN-93G). In B6 mice, both diets similarly induced
spontaneous activities in the home cage and the open field box, anxiety in the
elevated plus maze test, and depressive-like behaviors in tail-suspension and
forced-swimming tests, and with both diets, similar data were obtained on calorie
intake, water intake, body weight gain, and plasma corticosterone levels. By
contrast, liver weight was significantly higher in MF-fed B6 mice than in AIN-93G
fed B6 mice. Furthermore, the cecum microbiome was drastically affected by the
diets, and, specifically, Allobaculum was the major genus (43.4%) in the cecum
microbiota of AIN-93G-fed mice but its abundance was reduced (to 3.8%) in the
case of MF-fed mice. Future studies should address whether the differences in
diet purity and cecum microbiota influence brain functions and behaviors in B6
mice.
PMID- 29355698
TI - Comorbidity and cardiac arrest: A continuing conundrum.
PMID- 29355697
TI - Anti-nociceptive effects of bupivacaine-encapsulated PLGA nanoparticles applied
to the compressed dorsal root ganglion in mice.
AB - Bupivacaine is a commonly used local anesthetic in postoperative pain management.
We evaluated the effects of a prolonged, local delivery of bupivacaine on pain
behavior accompanying a chronic compression of the dorsal root ganglion (CCD) -
an animal model of radicular pain. Poly(lactide-coglycolide) (PLGA) nanoparticles
encapsulating bupivacaine were injected unilaterally into the L3 and L4 DRGs of
mice just before producing CCD by implanting a stainless-steel rod in the
intervertebral foramen of each ganglion. Behavioral sensitivity to punctate
mechanical stimuli (Von Frey filaments) of different forces of indentation,
delivered to each hind paw, was measured before and on subsequent days of testing
after the CCD. Nanoparticles were spherical in morphology and 150 +/- 10 nm in
diameter. Bupivacaine was steadily released as measured in vitro over 35 days. A
dye that was encapsulated in the nanoparticles was found in the intact DRG after
2 weeks. CCD alone or with injection of blank (control) nanoparticles produced a
behavioral hypersensitivity to the punctate stimuli on the ipsilateral paw
without affecting sensitivity on the contralateral, over a period of 7-14 days.
The hypersensitivity was manifested as an increased incidence of paw-withdrawal
to indentation forces normally below threshold (allodynia) and an increased
shaking to a filament force that always elicited withdrawal prior to CCD
(hyperalgesia). In contrast, nanoparticles with bupivacaine prevented any
manifestation of allodynia or hyperalgesia on the ipsilateral hind paw while
leaving normal nociceptive responses largely intact on both hind paws. CCD
induced behavioral hypersensitivity to nociceptive stimuli is known to be
associated with a hyperexcitability of sensory neurons originating in the
compressed ganglion. We hypothesize that bupivacaine-loaded PLGA nanoparticles
may prevent the occurrence of this neuronal hyperexcitability without reducing
the nociceptive information normally conducted from the periphery to the central
nervous system. The slow, sustained delivery of bupivacaine by nanoparticles may
provide a means of preventing the occurrence of postoperative neuronal
hyperexcitability that could develop into chronic neuropathic pain.
PMID- 29355699
TI - Safety hazards in bacteriocinogenic Staphylococcus strains isolated from goat and
sheep milk.
AB - In this study, 28 bacteriocinogenic Staphylococcus strains isolated from goat and
sheep milk were subjected to the PCR detection of enterotoxin genes (sea-see),
enterotoxin-like toxin Q gene (selq), toxic shock syndrome toxin gene (tst1), and
antibiotic resistance genes. They were also evaluated for phenotypic resistance
against 10 antibiotics and hemolytic activity. The tyramine and histamine
production was investigated using the agar plate assay and capillary zone
electrophoretic analysis (CZE). Twenty-five isolates harbored at least one
enterotoxin gene. The gene sec was the most frequent (89%). The gene tst1 was
found in 84% of sec-positive isolates. The occurrence of antibiotic resistance
genes was in the order of blaZ/tetK (100%), mecA/ermB (86%), ermC (50%), and tetM
(18%). The genes ermA, aac(6')Ie-aph(2")Ia, vanA, and vanB were absent in all the
isolates. Nineteen isolates were phenotypically susceptible to all the
antibiotics. The only isolate with phenotypic resistance to penicillin G and
oxacillin was S. epidermidis 4S93 which had a different SmaI-PFGE profile from
those of the other S. epidermidis strains. All the S. haemolyticus and S.
pseudintermedius isolates were not susceptible to trimethoprim. Twenty-five
isolates showed complete or partial hemolytic activity. None of the isolates was
able to decarboxylate tyrosine, while CZE analysis revealed histamine formation
activity in S. haemolyticus 4S12. The occurrence of safety risks in the isolates
reinforces the need for regular monitoring of food-producing animals to mitigate
the risks of multidrug resistant and zoonotic pathogens. Moreover, none of the
isolates fulfilled the safety criteria to be used as starter cultures or
biopreservatives.
PMID- 29355700
TI - Characteristics of an Aeromonas trota strain isolated from cerebrospinal fluid.
AB - Aeromonas are ubiquitous in aquatic habitats. However some species can cause
infections in humans, but rarely meningitis. Here we describe the isolation and
characterization of an Aeromonas strain from cerebrospinal fluid of a meningitis
patient. The isolate, identified as A. trota by biochemical and molecular
methods, was susceptible to ampicillin but resistant to cephalothin and
cefazolin. Genome sequencing revealed virulence factor genes such as type VI
secretion system, aerolysin and lateral flagella. The isolate exhibited swarming
motility, hemolytic activity and adhesion and cytotoxicity on HeLa cells. This is
the first report of A. trota associated with meningitis and its virulence
characteristics.
PMID- 29355701
TI - Helicobacter pylori infection increases sirt2 gene expression in gastric
epithelial cells of gastritis patients.
AB - BACKGROUND: Helicobacter pylori Infection causes some clinical features of the
human stomach such as gastritis, duodenal ulcer, and gastric cancer. It has been
shown that Helicobacter pylori infection increases proinflammatory cytokine gene
expressions in Gastric Epithelial Cells by activation of NF-kB signaling. Sirt1
and sirt2 as deacetylases play a certain role in the progress of inflammation in
arthritis and lung infection by impacting the NF-kB. AIMS: Sirt1 and sirt2 gene
expressions in Gastric Epithelial cells of gastritis patients were surveyed with
and without Helicobacter pylori infection and rate of prevalence of cagA and hopQ
genes in Helicobacter pylori strains were investigated. METHODS: 25 biopsy
samples of gastritis patients with Helicobacter pylori infection and 25 biopsy
samples of gastritis patients without Helicobacter pylori infection were
collected from Tohid Hospital in the city of Sanandaj throughout the year 2016.
CDNA was made from total RNA extracted from biopsy samples (Qiagen(r) Kit). Sirt1
and sirt2 gene expressions were determined using the Corbett machine (Rotor-Gene
6000 Software). CagA and hopQ genes of Helicobacter pylori strains were
determined by PCR using specific primers. RESULTS: The sirt2 gene expression was
increased in Gastric Epithelial Cells of gastritis patients with Helicobacter
pylori infection. No significant relationship was found between sirt1 and sirt2
gene expressions as well as cagA and hopQ as Helicobacter pylori virulence genes.
CONCLUSIONS: This study shows the Helicobacter pylori infection duo to sirt2 gene
up-expression. There is not a statistically significance relationship between
cagA and hopQ Helicobacter pylori genotypes and sirt2 gene up-expression in
Gastric Epithelial Cells of gastritis patients.
PMID- 29355702
TI - The fight for invincibility: Environmental stress response mechanisms and
Aeromonas hydrophila.
AB - Aeromonas hydrophila is a freshwater-dwelling zoonotic bacterium that has
economic importance in aquaculture. In the past decade, Aeromonas hydrophila has
become increasingly important because of its emergence as a food-borne zoonotic
pathogen that is resistant to different treatment regimes. Being an aquatic
bacterium, Aeromonas hydrophila is frequently subjected to several stressful
environmental conditions, including changes in temperature, acidic pH and
starvation that challenge its survival. To cope with these stressful conditions,
like every cell, A. hydrophila possesses stress response mechanisms, such as
alternative sigma factors, two-component systems, heat shock proteins, cold shock
proteins, and acid tolerance response systems that eventually lead the fittest to
survive. Moreover, the establishment of genetic variations among the strains
related to environmental stress is also of great concern. This review presents
the understandings based on inter-strain variations and stress response behavior
of A. hydrophila that are important to control the increasing outbreaks of this
bacterium in both human populations and aquaculture.
PMID- 29355703
TI - Genetic influence on splenic natural killer cell frequencies and maturation among
aged mice.
AB - Natural killer (NK) cells are cytotoxic innate lymphocytes that are integral to
host defenses against viruses and neoplastic cells. Aging causes phenotypic and
functional impairment of NK cells, which diminishes innate immune surveillance,
yet the factors that determine the aged NK cell phenotype have not been
completely defined. For instance, the genetic basis of the aged NK cell phenotype
has not been established, but if determined, could highlight important genetic
regulators of NK cells later in life. In this study, we estimated the
heritability of splenic NK cell frequencies in aged mice from 15 classical and
four wild-derived inbred strains. Our data suggest that frequencies of total
(NKp46+CD3-) NK and mature (NKp46+CD3-CD11b+CD27-) NK cells were highly heritable
at old age, and that total NK cell frequencies were independent predictors of
median strain life spans. Strains with divergent phenotypes were compared to
young-adult controls, and trends of age-related NK cell phenotypic alterations
were confirmed. Finally, in silico mapping techniques revealed candidate genes
associated with the aged NK cell phenotype. To our knowledge, these results are
the first to demonstrate the genetic basis of the aged NK cell phenotype and will
inform future mechanistic studies of NK cell dysfunction during aging.
PMID- 29355704
TI - WITHDRAWN: Regular exercise protects aging Drosophila from high-fat-diet-induced
locomotor impairment, cardiac dysfunction, lifespan shortening, and Nmnat and
dSir2 expression decline.
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at https://www.elsevier.com/about/our
business/policies/article-withdrawal.
PMID- 29355705
TI - A brief history of modern aging research.
AB - Over the last few decades, aging research has emerged as a vibrant area of
rigorous scientific study. With its humble beginnings in yeast and worms, the
field has progressed so dramatically that scientists are now able to extend the
lifespan of mice with the use of small molecule drugs. However, it was not too
long ago that answering the more basic question, whether aging was amenable to
scientific study, was itself a topic of contentious debate. To begin to
understand how a field that was initially thought of as pseudoscience has come so
far, it is instructive to understand its roots in both theory and practice.
PMID- 29355706
TI - Blood flow restriction: Methods matter.
PMID- 29355707
TI - Medicinal plants of northern Angola and their anti-inflammatory properties.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: 32 plants, from which 30 are used in local
traditional medicine - identified by interviews with the resident population - in
the province of Uige in northern Angola for the treatment of inflammation related
disorders, were screened on different anti-inflammatory parameters. Three
extracts were selected for a detailed ethnobotanical, pharmacological and
phytochemical investigation based on their in vitro activity. AIM OF THE STUDY:
We aimed to assess the in vitro anti-inflammatory activity of these plants and
highlight the active principles of the three most promising candidates. MATERIALS
AND METHODS: Plant material was collected in northern Angola during eight field
trips from 2013 to 2015 based on data documented in 61 interviews with 142 local
informants. 36 methanol (MeOH) extracts were prepared and tested at different
concentrations (100, 50, 10ug/mL) to evaluate their inhibition on cyclooxygenase
(COX)-2 expression and on nitric oxide (NO) release in lipopolysaccharide (LPS)
stimulated J774A.1 macrophages. Five selected extracts were additionally tested
at the lower concentrations of 5, 2.5, and 1.25ug/mL and for their potential on
inhibition of tumor necrosis factor-alpha (TNF-alpha) and interleukin 6 (IL-6)
release. The major compounds of three of those five extracts were either
identified by HPLC coupled with (tandem) mass spectrometry and comparison with
data from literature or isolated from the respective extracts and confirmed by
NMR experiments (one and two dimensional). RESULTS: 30 plant species with in
total 161 citations were mentioned by the informants to have anti-inflammatory
properties. The predominantly used plant part is the leaf (39%), followed by
underground organs like roots and rhizomes (25%), bark (18%) as well as fruits
and seeds with 15%. With 47%, decoction is the most frequent preparation form. A
large number of the MeOH extracts showed promising activities in our preliminary
screening for the inhibition of COX-2 expression and NO release. Five extracts
with high activities in both assays showed also concentration dependent
inhibition at lower concentrations and a decreased release of two additional pro
inflammatory mediators (IL-6 and TNF-alpha) vs. LPS. Three leaf extracts where
chosen for a detailed investigation, which lead to the identification of several
constituents: verbascoside and isoverbascoside (Acanthus montanus), geraniin,
chebulagic acid and a large flavonoid fraction (Alchornea cordifolia) as well as
the four flavonoids astilbin, isovitexin, isoorientin and swertisin (Chaetocarpus
africanus). Their implication in the observed biological activity was proved by
comparison with published data of these compounds in identical or similar
pharmacological models. CONCLUSIONS: The indigenous use of these plants against
inflammation related ailments could be - at least partly - verified by our in
vitro models for many of the investigated extracts. Acanthus montanus and
Alchornea cordifolia particularly stood out with their high activity in all four
performed assays, which was in accordance with pharmacological studies of their
major constituents in literature. In addition, this study was the first
phytochemical investigation of Chaetocarpus africanus and first description of
the occurrence of the ellagitannins geraniin and chebulagic acid in Alchornea
cordifolia. These results support the traditional use and should encourage
further investigations of medicinal plants of northern Angola.
PMID- 29355708
TI - Juvenile hormone and sesquiterpenoids in arthropods: Biosynthesis, signaling, and
role of MicroRNA.
AB - Arthropod molting and reproduction are precisely controlled by the levels of
sesquiterpenoids, a class of C15 hormones derived from three isoprene units. The
two major functional arthropod sesquiterpenoids are juvenile hormone (JH) and
methyl farnesoate (MF). In hemimetabolous insects (such as the aphids, bugs, and
cockroaches) and holometabolous insects (such as beetles, bees, butterflies, and
flies), dramatic decrease in the titers of JH and/or MF promote metamorphosis
from larvae to adults either directly or through an intermediate pupal stage,
respectively. JH is absent in crustaceans (lobster, shrimp, crab) and other
arthropods (chelicerates such as ticks, mites, spiders, scorpions and myriapods
such as millipede and centipedes). In some crustaceans, molting and reproduction
is dependent on changing levels of MF. The regulation of sesquiterpenoid
production is thus crucial in the life cycle of arthropods. Dynamic and complex
mechanisms have evolved to regulate sesquiterpenoid production. Noncoding RNAs
such as the microRNAs are primary regulators. This article provides an overview
of microRNAs that are known to regulate sesquiterpenoid production in arthropods.
PMID- 29355709
TI - Magnesium sulphate induces preconditioning in preterm rodent models of cerebral
hypoxia-ischemia.
AB - BACKGROUND: Brain injury in preterm infants represents a substantial clinical
problem associated with development of motor impairment, cognitive deficits and
psychiatric problems. According to clinical studies, magnesium sulphate (MgSO4)
given to women in preterm labor reduces the risk of cerebral palsy in the
offspring but the mechanisms behind its neuroprotective effects are still
unclear. Our aim was to explore whether MgSO4 induces tolerance (preconditioning)
in the preterm rodent brain. For this purpose we established a model of perinatal
hypoxia-ischemia (HI) in postnatal day 4 rats and also applied a recently
developed postnatal day 5 mouse model of perinatal brain injury. METHODS:
Postnatal day 4 Wistar rats were exposed to unilateral carotid artery ligation
followed by 60, 70 or 80 min of hypoxia (8% O2). On postnatal day 11, brains were
collected and macroscopically visible damage as well as white and grey matter
injury was examined using immunohistochemical staining. Once the model had been
established, a possible preconditioning protection induced by a bolus MgSO4
injection prior to 80 min HI was examined 7 days after the insult. Next, a MgSO4
bolus was injected in C57Bl6 mice on PND 4 followed by exposure to unilateral
carotid artery ligation and hypoxia, (10% O2) for 70 min on PND 5. Brains were
collected 7 days after the insult and examined with immunohistochemistry for grey
and white matter injury. RESULTS: In rats, a 60 min period of hypoxia resulted in
very few animals with brain injury and although 70 min of hypoxia resulted in a
higher percentage of injured animals, the brains were marginally damaged. An 80
min exposure of hypoxia caused cortical tissue damage combined with hippocampal
atrophy and neuronal loss in the C3 hippocampal layer. In the rat model, MgSO4
(1.1 mg/g administered i.p. 24 h prior to the induction of HI, resulting in a
transient serum Mg2+ concentration elevation to 4.1 +/- 0.2 mmol/l at 3 h post
i.p. injection) reduced brain injury by 74% in grey matter and 64% in white
matter. In the mouse model, MgSO4 (0.92 mg/g) i.p. injection given 24 h prior to
the HI insult resulted in a Mg2+ serum concentration increase reaching 2.7 +/-
0.3 mmol/l at 3 h post injection, which conferred a 40% reduction in grey matter
injury. CONCLUSIONS: We have established a postnatal day 4 rat model of HI for
the study of preterm brain injury. MgSO4 provides a marked preconditioning
protection both in postnatal day 4 rats and in postnatal day 5 mice.
PMID- 29355710
TI - HBP1 phosphorylation by AKT regulates its transcriptional activity and
glioblastoma cell proliferation.
AB - The HMG-box protein 1 (HBP1) is a transcriptional regulator and a potential tumor
suppressor that controls cell proliferation, differentiation and oncogene
mediated senescence. In a previous study, we showed that AKT activation through
the PI3K/AKT/FOXO pathway represses HBP1 expression at the transcriptional level
in human fibroblasts as well as in cancer cell lines. In the present study, we
investigated whether AKT could also regulate HBP1 directly. First, AKT1
phosphorylated recombinant human HBP1 in vitro on three conserved sites, Ser380,
Thr484 and Ser509. In living cells, we confirmed the phosphorylation of HBP1 on
residues 380 and 509 using phospho-specific antibodies. HBP1 phosphorylation was
induced by growth factors, such as EGF or IGF-1, which activated AKT. Conversely,
it was blocked by treatment of cells with an AKT inhibitor (MK-2206) or by AKT
knockdown. Next, we observed that HBP1 transcriptional activity was strongly
modified by mutating its phosphorylation sites. The regulation of target genes
such as DNMT1, P47phox, p16INK4A and cyclin D1 was also affected. HBP1 had
previously been shown to limit glioma cell growth. Accordingly, HBP1 silencing by
small-hairpin RNA increased human glioblastoma cell proliferation. Conversely,
HBP1 overexpression decreased cell growth and foci formation. This effect was
amplified by mutations that prevented phosphorylation by AKT, and blunted by
mutations that mimicked phosphorylation. In conclusion, our results suggest that
HBP1 phosphorylation by AKT blocks its functions as transcriptional regulator and
tumor suppressor.
PMID- 29355712
TI - Divergent behavioural responses to acute hypoxia between individuals and groups
of naked mole rats.
AB - Most small rodents reduce energy demand in hypoxia via behavioural strategies.
For example, animals may reduce their activity, and/or move to colder
environments or alter huddling strategies to take advantage of anapyretical
energy savings. Naked mole rats (NMRs) are among the most hypoxia tolerant
mammals and are highly social; social interactions also have a significant impact
on behaviour. Therefore, this species offers a fascinating model in which to
study trade-offs between social interactions and energy conservation in hypoxia.
We hypothesized that the need to conserve energy in hypoxia supersedes the
impetus of sociality in this species and predicted that, in hypoxia, behaviour
would not differ between individuals or groups of NMRs. To test this hypothesis,
we placed awake, freely behaving NMRs, alone or in groups of 2 or 4, into a
temperature-controlled apparatus and measured behavioural activity during 1 h
each of normoxia (21% O2), acute hypoxia (7% O2), and normoxic recovery. We found
that in normoxia, groups of 4 NMRs were significantly more active in all
temperatures than were groups of 1-2 NMRs. When exposed to hypoxia, individual
NMRs were ~50% less active and their speed was reduced relative to normoxic
levels. Conversely, groups of 2 or 4 NMRs exhibited minor or insignificant
decreases in time spent active and speed in hypoxia and huddling behaviour was
not altered. Our findings suggest that social interactions influence behavioural
strategies employed by NMRs in hypoxia.
PMID- 29355713
TI - iTRAQ-based differential proteomic analysis of the brains in a rat model of
delayedcarbon monoxide encephalopathy.
AB - Delayed encephalopathy after acute carbon monoxide poisoning (DEACMP) is a
difficult-to-manage neurological complication that can severely affect the life
quality of patients. Although the central nervous system (CNS) injuries have been
reported, the underlying molecular mechanisms are still unclear. Therefore, we
established a rat model of DEACMP, applying isobaric tags for a relative and
absolute quantification (iTRAQ)-based proteomics approach to identify
differentially expressed proteins in cerebral tissue. A total of 170 proteins in
the CO exposure groups were identified as differentially changed. Bioinformatics
analysis suggested that these proteins are mainly involved in the biological
processes, such as energy metabolism and many neurodegenerative diseases. Three
proteins, Glial fibrillary acidic protein (GFAP), mitochondrial malate
dehydrogenase (MDHM), and isocitrate dehydrogenase [NAD] subunit alpha (IDH3A),
were identified as playing important roles in CNS injuries in DEACMP, and were
successfully confirmed by immunohistochemistry analysis. Our study not only
offers us new insights into the pathophysiological mechanisms of CNS injuries in
DEACMP, but also may provide clinicians with important references in early
prevention and treatment.
PMID- 29355714
TI - Central beta-turn increases the cell selectivity of imperfectly amphipathic alpha
helical peptides.
AB - : Although membrane lytic antimicrobial peptides (AMPs) show enormous potential
for addressing mounting global antibiotic resistance, therapeutic applications
are hindered by their weak antimicrobial activity, high toxicity, salt
sensitivity and poor understanding of structure-activity relationships. To
investigate the effects of different parameters on the biological activities of
AMPs, a rational approach was adopted to design a series of short cationic alpha
helical peptides comprising the Ac-WxKyWxzzyKxWyK-NH2 sequence, where x: cationic
residues (Arg or Lys), y: hydrophobic residues (Ala, Val, Ile or Leu), and zz:
beta-turn (rigid D-Pro-Gly turn or flexible Gly-Gly turn). The peptides showed a
more helical structure as the concentration of membrane-mimetic solution
increased. The peptide RL with a central D-Pro-Gly turn (x: Arg, y: Lys, zz = D
Pro-Gly) exhibited broad-spectrum antimicrobial activities (2-8 MUM) against ten
types of clinically relevant microorganisms and even maintained its activity in
the presence of physiological salts and showed excellent selectivity toward
bacterial cells over human red blood cells and mammalian cells. However, the
toxicity was increased after the removal of D-Pro-Gly turn. Additionally, the
bactericidal activity was reduced when the D-Pro-Gly turn was replaced by a Gly
Gly turn. Fluorescence spectroscopy and electron microscopy analyses indicated
that RL and its derivatives killed microbial cells by permeabilizing the cell
membrane and damaging membrane integrity. In conclusion, these findings clearly
generalized a potential method for designing or optimizing AMPs, and the peptide
RL is a promising therapeutic candidate to combat antibiotic resistance.
STATEMENT OF SIGNIFICANCE: We proposed a rational approach to design imperfectly
amphiphilic peptides and identified RL (Ac-WRKLWRpGLKRWLK-NH2) in particular that
shows strong antibacterial properties, low toxicity and high salt resistance. The
beta-turn unit inserted into the central position of cationic alpha-helical
peptides, especially the D-Pro-Gly turn, significantly increase the cell
selectivity of the synthetic amphiphiles. The findings demonstrate a potential
method for designing and/or optimizing AMPs, which would facilitate the
development of strategies to design peptide-based antimicrobial biomaterials in a
variety of biotechnological and clinical applications.
PMID- 29355716
TI - Cell-material interactions in tendon tissue engineering.
AB - : The interplay between cells and materials is a fundamental topic in biomaterial
based tissue regeneration. One of the principles for biomaterial development in
tendon regeneration is to stimulate tenogenic differentiation of stem cells. To
this end, efforts have been made to optimize the physicochemical and bio
mechanical properties of biomaterials for tendon tissue engineering. However,
recent progress indicated that innate immune cells, especially macrophages, can
also respond to the material cues and undergo phenotypical changes, which will
either facilitate or hinder tissue regeneration. This process has been, to some
extent, neglected by traditional strategies and may partially explain the
unsatisfactory outcomes of previous studies; thus, more researchers have turned
their focus on developing and designing immunoregenerative biomaterials to
enhance tendon regeneration. In this review, we will first summarize the effects
of material cues on tenogenic differentiation and paracrine secretion of stem
cells. A brief introduction will also be made on how material cues can be
manipulated for the regeneration of tendon-to-bone interface. Then, we will
discuss the characteristics and influences of macrophages on the repair process
of tendon healing and how they respond to different materials cues. These
principles may benefit the development of novel biomaterials provided with
combinative bioactive cues to activate tenogenic differentiation of stem cells
and pro-resolving macrophage phenotype. STATEMENT OF SIGNIFICANCE: The progress
achieved with the rapid development of biomaterial-based strategies for tendon
regeneration has not yielded broad benefits to clinical patients. In addition to
the interplay between stem cells and biomaterials, the innate immune response to
biomaterials also plays a determinant role in tissue regeneration. Here, we
propose that fine-tuning of stem cell behaviors and alternative activation of
macrophages through material cues may lead to effective tendon/ligament
regeneration. We first review the characteristics of key material cues that have
been manipulated to promote tenogenic differentiation and paracrine secretion of
stem cells in tendon regeneration. Then, we discuss the potentiality of
corresponding material cues in activating macrophages toward a pro-resolving
phenotype to promote tissue repair.
PMID- 29355717
TI - Localization and promotion of recombinant human bone morphogenetic protein-2
bioactivity on extracellular matrix mimetic chondroitin sulfate-functionalized
calcium phosphate cement scaffolds.
AB - : Localization of recombinant human bone morphogenetic protein-2 (rhBMP-2) with
continuous and effective osteogenic stimulation is still a great challenge in the
field of bone regeneration. To achieve this aim, rhBMP-2 was tethered on
chondroitin sulfate (CS)-functionalized calcium phosphate cement (CPC) scaffolds
through specific noncovalent interactions. CS, one of the core
glycosaminoglycans, was covalently conjugated onto CPC scaffolds with the
assistance of polydopamine (PDA) and further immobilized rhBMP-2 in a biomimetic
form. The CPC-PDA-CS scaffolds not only controlled the release kinetics and
presentation state of rhBMP-2 but also effectively increased the expression
levels of bone morphogenetic protein receptors (BMPRs) and enhanced the
recognitions of the remaining rhBMP-2 to BMPRs. Strikingly, the rhBMP-2-loaded
CPC-PDA-CS significantly promoted the cellular surface translocation of BMPRs
(especially BMPR-IA). In vivo studies demonstrated that, compared with the rhBMP
2 upon CPC and CPC-PDA, the rhBMP-2 upon CPC-PDA-CS exhibited sustained release
and induced high quality and more ectopic bone formation. Collectively, these
results suggest that rhBMP-2 can be localized within CS-functionalized CPC
scaffolds and exert continuous, long-term, and effective osteogenic stimulation.
Thus, this work could provide new avenues in mimicking bone extracellular matrix
microenvironment and localizing growth factor activity for enhanced bone
regeneration. STATEMENT OF SIGNIFICANCE: A bioinspired chondroitin sulfate (CS)
functionalized calcium phosphate cement (CPC) platform was developed to tether
recombinant human bone morphogenetic protein-2 (rhBMP-2), which could exhibit
continuous, long-term, and effective osteogenic stimulation in bone tissue
engineering. Compared with rhBMP-2-loaded CPC, the rhBMP-2-loaded CPC
polydopamine-CS scaffolds induced higher expression of bone morphogenetic protein
receptors (BMPRs), greater cellular surface translocation of bone morphogenetic
protein receptor-IA, higher binding affinity of BMPRs/rhBMP-2, and thus higher
activation of the drosophila gene mothers against decapentaplegic protein-1/5/8
(Smad1/5/8) and extracellular-regulated protein kinases-1/2 (ERK1/2) signaling.
This work can provide new guidelines for the design of BMP-2-based bioactive
materials for bone regeneration.
PMID- 29355715
TI - Short peptide analogs as alternatives to collagen in pro-regenerative corneal
implants.
AB - : Short collagen-like peptides (CLPs) are being proposed as alternatives to full
length collagen for use in tissue engineering, on their own as soft hydrogels, or
conjugated to synthetic polymer for mechanical strength. However, despite
intended clinical use, little is known about their safety and efficacy, mechanism
of action or degree of similarity to the full-length counterparts they mimic.
Here, we show the functional equivalence of a CLP conjugated to polyethylene
glycol (CLP-PEG) to full-length recombinant human collagen in vitro and in
promoting stable regeneration of corneal tissue and nerves in a pre-clinical mini
pig model. We also show that these peptide analogs exerted their pro-regeneration
effects through stimulating extracellular vesicle production by host cells. Our
results support future use of CLP-PEG implants for corneal regeneration,
suggesting the feasibility of these or similar peptide analogs in clinical
application in the eye and other tissues. STATEMENT OF SIGNIFICANCE: Although
biomaterials comprising full-length recombinant human collagen and extracted
animal collagen have been evaluated and used clinically, these macromolecules
provide only a limited number of functional groups amenable to chemical
modification or crosslinking and are demanding to process. Synthetic,
customizable analogs that are functionally equivalent, and can be readily scaled
up are therefore very desirable for pre-clinical to clinical translation. Here,
we demonstrate, using cornea regeneration as our test bed, that collagen-like
peptides conjugated to multifunctional polyethylene glycol (CLP-PEG) when grafted
into mini-pigs as corneal implants were functionally equivalent to recombinant
human collagen-based implants that were successfully tested in patients. We also
show for the first time that these materials affected regeneration through
stimulation of extracellular vesicle production by endogenous host cells that
have migrated into the CLP-PEG scaffolds.
PMID- 29355718
TI - Trivalent chromium incorporated in a crystalline calcium phosphate matrix
accelerates materials degradation and bone formation in vivo.
AB - : Remodeling of calcium phosphate bone cements is a crucial prerequisite for
their application in the treatment of large bone defects. In the present study
trivalent chromium ions were incorporated into a brushite forming calcium
phosphate cement in two concentrations (10 and 50 mmol/mol beta-tricalcium
phosphate) and implanted into a femoral defect in rats for 3 and 6 month, non
modified brushite was used as reference. Based on our previous in vitro findings
indicating both an enhanced osteoclastic activity and cytocompatibility towards
osteoprogenitor cells we hypothesized a higher in vivo remodeling rate of the
Cr3+ doped cements compared to the reference. A significantly enhanced
degradation of the modified cements was evidenced by micro computed tomography, X
ray and histological examinations. Furthermore the formation of new bone tissue
after 6 month of implantation was significantly increased from 29% to 46% during
remodeling of cements, doped with the higher Cr3+ amount. Time of flight
secondary ion mass spectrometry (ToF-SIMS) of histological sections was applied
to investigate the release of Cr3+ ions from the cement after implantation and to
image their distribution in the implant region and the surrounding bone tissue.
The relatively weak incorporation of chromium into the newly formed bone tissue
is in agreement to the low chromium concentrations which were released from the
cements in vitro. The faster degradation of the Cr3+ doped cements was also
verified by ToF-SIMS. The positive effect of Cr3+ doping on both degradation and
new bone formation is discussed as a synergistic effect of Cr3+ bioactivity on
osteoclastic resorption on one hand and improvement of cytocompatibility and
solubility by structural changes in the calcium phosphate matrix on the other
hand. STATEMENT OF SIGNIFICANCE: While biologically active metal ions like
strontium, magnesium and zinc are increasingly applied for the modification of
ceramic bone graft materials, the present study is the first report on the
incorporation of low doses of trivalent chromium ions into a calcium phosphate
based biomaterial and testing of its performance in bone defect regeneration in
vivo. Chromium(III)-doped calcium phosphate bone cements show improved
cytocompatibility and both degradation rate and new bone formation in vivo are
significantly increased compared to the reference cement. This important
discovery might be the starting point for the application of trivalent chromium
salts for the modification of bone graft materials to increase their remodelling
rate.
PMID- 29355719
TI - Procancerogenic activity of senescent cells: A case of the peritoneal
mesothelium.
AB - Human peritoneal mesothelial cells belong to a narrow group of somatic cells in
which both the triggers and the mechanisms of senescence have already been well
defined. Importantly, senescent mesothelial cells have been found in the
peritoneal cavity in vivo. From a clinical point of view, peritoneal mesothelial
cells have been recognized as playing a critical role in the intraperitoneal
development of tumor metastases. The pro-cancerogenic behavior of mesothelial
cells is even more pronounced when the cells exhaust their proliferative capacity
and become senescent. In this review, we summarize the current state of art
regarding the contribution of peritoneal mesothelial cells in the progression of
ovarian, colorectal, and pancreatic carcinomas, with particular attention paid to
the cancer-promoting activity of their senescent counterparts. Moreover, we
delineate the mechanisms, mediators, and signaling pathways that are engaged by
the senescent mesothelial cells to support such vital elements of cancer
progression as adhesion, proliferation, migration, invasion, epithelial
mesenchymal transition, and angiogenesis. Finally, we discuss the experimental
evidence regarding both natural and synthetic compounds that may either prevent
or restrict cancer development by delaying senescence of mesothelial cells.
PMID- 29355720
TI - Zileuton alleviates acute cisplatin nephrotoxicity: Inhibition of lipoxygenase
pathway favorably modulates the renal oxidative/inflammatory/caspase-3 axis.
AB - OBJECTIVE: The current study investigated for the first time the possible
beneficial effect of zileuton, a selective 5-lipoxygenase inhibitor (5-LOX),
against cisplatin-induced acute renal failure. METHODOLOGY: Male Sprague-Dawley
rats (180-200 g) were administered cisplatin once (5 mg/kg, i.p.) alone or
combined with oral zileuton (10 mg/kg, given twice; 1 h before and 12 h after
cisplatin). RESULTS: Compared with control rats, acute cisplatin administration
caused significant increases of BUN (33.76 +/- 7.74 vs 61.88 +/- 11.35 mg/dl),
serum creatinine (0.61 +/- 0.21 vs 1.56 +/- 0.28 mg/dl), renal levels of MDA
(6.40 +/- 1.04 vs 20.52 +/- 2.18 nmol/g tissue), NOx (3.45 +/- 1.20 vs 17.70 +/-
2.27 nmol/g tissue), TNF-alpha (6.71 +/- 0.66 vs 23.71 +/- 3.41 pg/g tissue), MPO
(0.87 +/- 0.09 vs 3.12 +/- 0.41 U/mg tissue protein) and renal caspase-3 activity
(2.81 +/- 0.37 vs 12.70 +/- 2.94 U/mg tissue protein). Whereas, total SOD
activity (1.99 +/- 0.53 vs 0.79 +/- 0.06 U/mg tissue protein) and IL-10 (110.98
+/- 19.70 vs 62.34 +/- 14.42 pg/g tissue) were significantly decreased. Cisplatin
induced nephrotoxicity was further confirmed histopathologically (tubular
necrosis, cystic dilatation of renal tubules, vacuolar degeneration of renal
tubular epithelium with perivascular oedema, and interstitial fibrosis). These
changes were accompanied by alteration in 5-LOX pathway manifested as elevated
renal levels of 5-LOX, LTD4 and LTB4. Simultaneous administration of zileuton to
the cisplatin-treated rats reversed the deleterious renal insults and restored
the measured parameters near to control values. CONCLUSIONS: These data establish
the first experimental evidence that zileuton abrogates cisplatin nephrotoxicity
in rats probably via the inhibition of detrimental actions of 5-LOX products,
thus favorably affecting renal oxidative/inflammatory/caspase-3 axis. Based on
current findings, the therapeutic prospect of zileuton for this purpose is
recommended.
PMID- 29355711
TI - Implication of the Kallikrein-Kinin system in neurological disorders: Quest for
potential biomarkers and mechanisms.
AB - Neurological disorders represent major health concerns in terms of comorbidity
and mortality worldwide. Despite a tremendous increase in our understanding of
the pathophysiological processes involved in disease progression and prevention,
the accumulated knowledge so far resulted in relatively moderate translational
benefits in terms of therapeutic interventions and enhanced clinical outcomes.
Aiming at specific neural molecular pathways, different strategies have been
geared to target the development and progression of such disorders. The
kallikrein-kinin system (KKS) is among the most delineated candidate systems due
to its ubiquitous roles mediating several of the pathophysiological features of
these neurological disorders as well as being implicated in regulating various
brain functions. Several experimental KKS models revealed that the inhibition or
stimulation of the two receptors of the KKS system (B1R and B2R) can exhibit
neuroprotective and/or adverse pathological outcomes. This updated review
provides background details of the KKS components and their functions in
different neurological disorders including temporal lobe epilepsy, traumatic
brain injury, stroke, spinal cord injury, Alzheimer's disease, multiple sclerosis
and glioma. Finally, this work will highlight the putative roles of the KKS
components as potential neurotherapeutic targets and provide future perspectives
on the possibility of translating these findings into potential clinical
biomarkers in neurological disease.
PMID- 29355721
TI - Selecting the Best Donor for Haploidentical Transplant: Impact of HLA, Killer
Cell Immunoglobulin-Like Receptor Genotyping, and Other Clinical Variables.
AB - The use of post-transplant cyclophosphamide (PTCy)-based haploidentical (haplo)
transplant is increasing worldwide. However, because multiple potential haplo
donors are usually available, data-driven guidance is clearly needed to help
transplant centers prioritize donors. To that end, we retrospectively analyzed
208 consecutive donor-recipient pairs receiving PTCy-based haplo transplant at a
single institution. Median recipient and donor age were 52 years (range, 19 to
75) and 38 years (range, 15 to 73), peripheral blood stem cell was the stem cell
source in 66%, and myeloablative conditioning was used in 41%. Median follow-up
for surviving patients was 33 months (range, 7 to 130). Donor variables analyzed
included age, sex, relationship, cytomegalovirus (CMV) status, ABO compatibility,
HLA disparity, and several natural killer (NK) alloreactivity models.
Multivariate Cox analysis was used to adjust for known patient, disease, and
transplant covariates. Donor characteristics independently associated with
improved survival included presence of HLA-DR mismatch, HLA-DP nonpermissive
mismatch, killer cell immunoglobulin-like receptor (KIR) receptor-ligand
mismatch, and KIR B/x haplotype with KIR2DS2. Donor characteristics associated
with inferior survival included parental donor relationship and the use of a CMV
seronegative donor for a CMV-seropositive patient. Increased HLA disparity
(>=4/10 HLA allelic mismatches [graft-versus-host direction]) resulted in relapse
protection at the expense of increased nonrelapse mortality with no associated
survival effect. We further propose a donor risk factor scoring system to permit
a more evidence-based selection algorithm for potential haplo donors. This large,
single-institution analysis demonstrates the importance of HLA-DR/HLA-DP
disparity, NK alloreactivity, and other clinical variables in the haplo donor
selection process and suggests that KIR and HLA-DP genotyping should be performed
routinely for haplo donor selection.
PMID- 29355722
TI - Predicting cardiac safety using human induced pluripotent stem cell-derived
cardiomyocytes combined with multi-electrode array (MEA) technology: A conference
report.
AB - Safety pharmacology studies that evaluate drug candidates for potential
cardiovascular liabilities remain a critical component of drug development. Human
induced pluripotent stem cell-derived cardiomyocytes (hiPSC-CMs) have recently
emerged as a new and promising tool for preclinical hazard identification and
risk assessment of drugs. Recently, Pluriomics organized its first User Meeting
entitled 'Combining Pluricyte(r) Cardiomyocytes & MEA for Safety Pharmacology
applications', consisting of scientific sessions and live demonstrations, which
provided the opportunity to discuss the application of hiPSC-CMs (Pluricyte(r)
Cardiomyocytes) in cardiac safety assessment to support early decision making in
safety pharmacology. This report summarizes the outline and outcome of this
Pluriomics User Meeting, which took place on November 24-25, 2016 in Leiden (The
Netherlands). To reflect the content of the communications presented at this
meeting we have cited key scientific articles and reviews.
PMID- 29355723
TI - A case of CHARGE syndrome associated with hyperinsulinemic hypoglycemia in
infancy.
AB - CHARGE syndrome is a rare autosomal dominant disorder involving multiple organs.
Chromodomain helicase DNA binding protein-7 (CHD7) is a major causative gene of
CHARGE syndrome. We herein report a male infant born at full term with asphyxia
who was diagnosed with CHARGE syndrome based on the typical anomalies. He showed
a poor sucking ability and suffered from continuous hypoglycemia in early
infancy, ultimately requiring tube feeding. While in a hypoglycemic status,
inappropriate high insulin and low growth hormone levels were noticed. Growth
hormone replacement therapy partially increased his blood glucose levels, but
asymptomatic hypoglycemia with hyperinsulinemia was occasionally noticed.
Additional diazoxide treatment stabilized his blood level to within the normal
range. A genetic analysis of CHD7 showed the novel heterozygous monoallelic
mutation c.2990delT causing a reading frameshift p.Leu997Trpfs*15 in exon 12.
This case shows that patients with CHARGE syndrome caused by a CHD7 mutation may
present with persistent hyperinsulinemic hypoglycemia, just like other dysmorphic
syndromes genetically caused by aberrations in chromatin remodeling.
PMID- 29355724
TI - PRRX1 Rs3903239 polymorphism and atrial fibrillation in a Greek population.
PMID- 29355725
TI - Cellular therapies for chronic ischemic heart failure.
AB - The development of stem cell therapies for chronic ischemic heart failure is
highly sought after to attempt to improve morbidity and mortality of this
prevalent disease. This article reviews clinical trials that investigate stem
cell therapy for chronic ischemic heart failure. To generate this review article,
PubMed was searched using keywords "stem cell therapy heart failure" with the
article type "Clinical Trial" selected on 10/04/2016. The raw search yielded 156
articles; 53 articles were selected for inclusion in the review between the
original literature search and manual research/cross-referencing. Additional
reviews and original articles were also manually researched and cross-referenced.
Cellular-based therapies utilizing peripheral blood progenitor cells, bone marrow
cells, mesenchymal stem cells, cells of cardiac origin, and embryonic stem cells
have yielded mixed results, but some studies have shown modest efficacy. Skeletal
myoblasts raised concerns about safety due to arrhythmias. Optimizing cell type
and delivery method will be of critical importance in enhancing efficacy of
therapy within various subsets of chronic ischemic heart failure patients.
Although much more work needs to be done to optimize treatment strategies,
developing stem cell therapies for chronic ischemic heart failure could be of
critical importance to lessen the impactful health burden that heart failure has
on patients and society.
PMID- 29355726
TI - Pathways to depression by age 16 years: Examining trajectories for self-reported
psychological and somatic phenotypes across adolescence.
AB - BACKGROUND: Sex differences in rates of depression emerge during adolescence.
However, it is unclear whether symptom patterns and trajectories differ
significantly according to gender in youth. Barriers to research include the fact
that most self-report tools are weighted towards psychological rather than
somatic symptoms. METHODS: Data were collected on symptoms of depression in about
1800 individuals at ages 12, 14 and 16 years. Odds ratios and 95% confidence
intervals were used to examine the trajectory of psychological and somatic
phenotypes and self-reported depression caseness over time. RESULTS: At age 12,
24% of participants met criteria for self-reported depression caseness. Although
there was only a small incremental increase in the prevalence over time (about
5%), 57% of participants met criteria for self-reported depression caseness at
least once. Generic symptoms at age 12 were associated with depression
longitudinally, although early transition to caseness was reported in females
only. Categorization as a psychological phenotype at age 12 predicted depression
at age 14 and/or 16 years, especially in females. The somatic phenotype was more
common in males, but showed a weaker association with self-reported depression
caseness over time. LIMITATIONS: Depression was assessed by self-report; only 30%
of participants had ratings for age 12, 14 and 16. CONCLUSIONS: Although sub
threshold psychological and somatic syndromes often co-occur in cases of self
reported depression in adolescence, longitudinally they may represent independent
symptom trajectories. However, it is important to remember that self-reported
depression is indicative of, but not confirmation of a depressive episode that
meets diagnostic criteria.
PMID- 29355728
TI - Stressful life events and depressive symptoms in mothers and fathers of young
children.
AB - BACKGROUND: Parents of young children generally report more depressive symptoms
than parents of adult children or people without children, mainly because the
presence of young children increases exposure to significant stressors (such as
stressful life events). However, most studies on the depressogenic role of
stressful life events in parents of young children have focussed on mothers.
METHODS: Using data from 1138 families with young children in Norway, we
investigated gender differences in the effect of stressful life events after a
child's birth on the development of parental depressive symptoms in 3 follow-ups
at child's ages 3-6 years. We also explored if gender differences in disposition
(personality) may explain any gender differences in the depressogenic effect of
life events. RESULTS: Nesting parents within families, we found a female gender
bias for both neuroticism and depressive symptoms but no gender difference in the
number of life events reported. Importantly, the number of stressful life events
predicted the level and course of depressive symptoms similarly for mothers and
fathers. Personality traits did not change the association between stressful life
events and depressive symptoms in either mothers or fathers. LIMITATIONS: Given
the study design, causality cannot be inferred. CONCLUSIONS: There was no gender
difference in the depressogenic effect of stressful life events in our sample.
There was no evidence for a female dispositional sensitivity to the depressogenic
effect of stressful life events, either. Stressful life events put both mothers
and fathers of young children at risk of depression.
PMID- 29355727
TI - One-year follow-up of a randomized controlled trial of sertraline and cognitive
behavior group therapy in depressed primary care patients (MIND study).
AB - BACKGROUND: The long-term course of symptoms in patients with mild-to-moderate
depression is not well understood. A 12-month-follow-up analysis was performed on
those participants from a randomized controlled 10-week trial (RCT, MIND-study),
who had received either treatment with an antidepressant (sertraline) or a
psychotherapeutic intervention (group cognitive-behavioral therapy (CBT)).
METHODS: The longitudinal interval follow-up evaluation (LIFE) was applied to 77
patients with mild-to moderate depression. The primary outcome was the number of
weeks in the one-year follow-up period spent completely recovered from all
depressive symptoms. Functional outcome was measured with the Global Assessment
of Functioning (GAF) scale. Further outcomes were relapse and remission rates
based on weekly psychiatric rating scales (PSR) and the number of weeks in the
follow-up period during which patients had a depressive disorder or subthreshold
symptoms of depression. RESULTS: Patients with acute treatment (10 weeks) with
SSRI and those with acute treatment with CBT (also 10 weeks) did not differ
significantly concerning the number of weeks in the follow-up period in which
they were completely recovered (primary outcome) (SSRI: 31.6 weeks (standard
deviation (SD): 23.7), CBT: 27.8 weeks (SD: 24.3)). Sertraline was superior to
CBT regarding GAF scores by trend (p = 0.06). LIMITATIONS: The generalizability
of the findings is limited by the moderate sample size and missing values (LIFE).
CONCLUSIONS: Sertraline and group CBT have similar anti-depressive effects in the
long-term course of mild-to-moderate depression. Regarding long-term global
functioning, sertraline seems to be slightly superior to CBT.
PMID- 29355729
TI - Pre-disaster PTSD as a moderator of the relationship between natural disaster and
suicidal ideation over time.
AB - BACKGROUND: Natural disasters are associated with a variety of negative health
consequences, including enhanced suicide risk. Factors that moderate the
relationship between disaster exposure and enhanced suicide risk are unknown. The
aim of the current study was to determine whether pre-disaster PTSD moderates the
association between change over time in thoughts of death, suicidal ideation
(SI), suicide plans, and suicide attempts (SA) from pre- to post-disaster.
METHODS: Participants (n = 2832) were recruited from Chile as part of the larger
PREDICT study and completed a measure of lifetime PTSD and panic disorder at
baseline and a lifetime death/suicide measure at baseline in 2003 and again 6,
12, and 24 months later (i.e. "pre-disaster"). One year following a major
earthquake and tsunami in 2010 (i.e., "post-disaster"), participants completed
another death/suicide measure. RESULTS: Both those with and without pre-disaster
PTSD experienced significant increases in SI from pre- to post-disaster. However,
pre-disaster PTSD was associated with significantly accelerated increases in
thoughts of death and SI from pre-to post-disaster. At nearly all time-points,
pre-disaster PTSD was associated with higher thoughts of death and SI, suicide
planning, and SA. In contrast, panic disorder did not moderate the association
between time and changes in SI. LIMITATIONS: There was a long time-gap between
pre-disaster and post-disaster, with limited data about what occurred during this
time. CONCLUSION: Pre-disaster PTSD is an important predictor of increased SI
following a natural disaster, and groups with pre-disaster PTSD should be
prioritized for receipt of mental health resources following a natural disaster.
PMID- 29355730
TI - Extensive severe fever with thrombocytopenia syndrome virus contamination in
surrounding environment in patient rooms.
AB - OBJECTIVES: Severe fever with thrombocytopenia syndrome (SFTS) is an emerging
tick-borne disease in Korea and China. Although there is previous evidence of
person-to-person transmission via direct contact with body fluids, the role of
environmental contamination by SFTS virus (SFTSV) in healthcare settings has not
been established. We therefore investigated the contamination of the healthcare
environment by SFTSV. METHODS: We investigated the possible contamination of
hospital air and surfaces with SFTSV transmission by collecting air and swabbing
environmental surface samples in two hospitals treating six SFTS patients between
March and September 2017. The samples were tested using real-time RT-PCR for SFTS
M and S segments. RESULTS: Of the six SFTS patients, four received mechanical
ventilation and three died. Five rooms were occupied by those using mechanical
ventilation or total plasma exchange therapy in isolation rooms without negative
pressure and one room was occupied by a patient bedridden due to SFTS. SFTSV was
detected in 14 (21%) of 67 swab samples. Five of 24 swab samples were obtained
from fomites including stethoscopes, and 9 of 43 were obtained from fixed
structures including doorknobs and bed guardrails. Some samples from fixed
structures such as television monitors and sink tables were obtained in areas
remote from the patients. SFTSV RNA was not detected in five air samples from
three patients' rooms. CONCLUSIONS: Our data suggest that SFTSV contamination was
extensive in surrounding environments in SFTS patients' rooms. Therefore, more
strict isolation methods and disinfecting procedures should be considered when
managing SFTS patients.
PMID- 29355731
TI - Human babesiosis in Southeast China: A case report.
AB - A 60-year-old female patient living in Southeast China presented with persistent
fever, chills, night sweats, fatigue, and dizziness of 12-day duration. Blood
tests showed neutropenia, thrombocytopenia, and active hemolytic anemia, with
elevated C-reactive protein. Broad-spectrum antibiotics were administered for a
possible diagnosis of sepsis, without any response. Malaria was initially
diagnosed after visualizing intraerythrocytic ring-shaped parasites in bone
marrow and blood smears. The patient resided in an area of unstable endemicity
for Plasmodium falciparum. Blood samples were sent to the Centers for Disease
Control and Prevention and a definitive diagnosis of human babesiosis was made
using Babesia microti-specific PCR. Chloroquine phosphate and clindamycin were
started and the patient became normothermic. However, due to the intolerable
adverse effects of the antibiotics, intravenous azithromycin was given as an
alternative. The patient recovered from fever and hemolysis, and repeated
peripheral blood smears showed hemoparasite clearance. Cases of human babesiosis
are rarely reported and probably under-diagnosed in China; therefore, improving
our understanding of this disease as a newly emerging public health threat is
imperative.
PMID- 29355732
TI - The quest for endothelial atypical cannabinoid receptor: BKCa channels act as
cellular sensors for cannabinoids in in vitro and in situ endothelial cells.
AB - Endothelium-dependent component of cannabinoid-induced vasodilation has been
postulated to require G-protein-coupled non-CB1/CB2 endothelial cannabinoid (eCB)
receptor. GPR18 was proposed as a candidate for eCBR. To address the hypothesis
that the effects attributed to eCBR are mediated by G-protein-coupled receptor
(GPCR)-independent targets, we studied the electrical responses in endothelial
cells, focusing on BKCa channels. In patches excised from endothelial-derived
EA.hy926 cells, N-arachidonoyl glycine (NAGly) and abnormal cannabidiol (abn
cbd), prototypical agonists for eCB receptor, stimulate single BKCa activity in a
concentration- and Ca2+-dependent manner. The postulated eCB receptor inhibitors
rimonabant and AM251 were found to inhibit basal and stimulated by NAGly- and abn
cbd BKCa activity in cell-free patches. In isolated mice aortas, abn-cbd and
NAGly produced endothelial cell hyperpolarization that was sensitive to
paxilline, a selective BKCa inhibitor, but not to GPR18 antibody, and mimicked by
NS1619, a direct BKCa opener. In excised patches from mice aortic endothelium,
single channel activity with characteristics similar to BKCa was established by
the addition of abn-cbd and NAGly. We conclude that the two cannabinoids abn-cbd
and NAGly initiate a GPR18-independent activation of BKCa channels in mice aortic
endothelial cells that might contribute to vasodilation to cannabinoids.
PMID- 29355733
TI - A new approach to detect the coding rule of the cortical spiking model in the
information transmission.
AB - Investigation of the role of the local field potential (LFP) fluctuations in
encoding the received sensory information by the nervous system remains largely
unknown. On the other hand, transmission of these translation rules in
information transmission between the structure of sensory stimuli and the
cortical oscillations to the bio-inspired artificial neural networks operating at
the efficiency of the nervous system is still a vague puzzle. In order to move
towards this important goal, computational neuroscience tools can be useful so,
we simulated a large-scale network of excitatory and inhibitory spiking neurons
with synaptic connections consisting of AMPA and GABA currents as a model of
cortical populations. Spiking network was equipped with spike-based unsupervised
weight optimization based on the dynamical behavior of the excitatory (AMPA) and
inhibitory (GABA) synapses using Spike Timing Dependent Plasticity (STDP) on the
MNIST benchmark and we specified how the generated LFP by the network contained
information about input patterns. The main result of this article is that the
calculated coefficients of Prolate spheroidal wave functions (PSWF) from the
input pattern with mean square error (MSE) criterion and power spectrum of LFP
with maximum correntropy criterion (MCC) are equal. The more important result is
that 82.3% of PSWF coefficients are the same as the connecting weights of the
cortical neurons to the classifying neurons after the completion of the training
process. Higher compliance percentage of coefficients with synaptic weights
(82.3%) gives the expectance us that this coding rule will be able to extend to
biological systems. Eventually, we introduced the cortical spiking network as an
information channel, which transmits the information of the input pattern in the
form of PSWF coefficients to the power spectrum of the output generated LFP.
PMID- 29355734
TI - Inhibition of autophagy potentiates the apoptosis-inducing effects of
photodynamic therapy on human colon cancer cells.
AB - BACKGROUND: Photodynamic therapy (PDT) has been reported to be a promising
therapy for colon cancer because of its substantial safety features and its
ability to induce a systematic reaction rather than local effects on the focal
lesion in the intestine. Autophagy and apoptosis play important roles in the
response to PDT. However, the role of autophagy after PDT treatment has not yet
been clarified. METHODS: In this study, we investigated the relationship between
apoptosis and autophagy in porphyrin IX (PpIX)-mediated PDT (PpIX-PDT) in HCT116
colon cancer cells. PpIX-PDT decreased cell viability in a concentration- and
light dose-dependent manner. RESULTS: PpIX-PDT results in nuclear condensation,
increased the expression of Caspase-3, Bax, and PARP, and decreased expression of
Bcl-2. PpIX-PDT also induces the double membrane autophagosome, up-regulates
LC3B, Atg7, Beclin-1, and Bcl-2 expression and down-regulates P62 expression.
Inhibition of autophagy using chloroquine (CQ) or Atg7 knockdown with a shRNA
enhances apoptotic cell death. Based on these findings, autophagy plays a self
protective role in HCT116 cells in response to PpIX-PDT treatment. DISCUSSION:
Both autophagy and apoptosis were induced by PpIX-PDT in HCT116 cells, and the
inhibition of autophagy strengthened the proapoptotic effect of PpIX-PDT. Thus,
the appropriate modulation of autophagy may be as a potential therapeutic target
for colon cancer cells treated with PpIX-PDT.
PMID- 29355735
TI - Photodynamic therapy using 5-aminolevulinic acid triggered DNA damage of
adenocarcinoma breast cancer and hepatocellular carcinoma cell lines.
AB - Targeting cancer cells with photosensitizer (PS) excited by appropriate laser
irradiation to release singlet oxygen as a photodynamic therapy (PDT) remains a
challenge. This research aimed to assess the cytogenetic potential of 5
aminolevulinic acid (5-ALA) activated with laser irradiation (5-ALA/PDT) to
damage the intact DNA of adenocarcinoma breast cancer cell line (MCF-7) and
hepatocellular carcinoma cell line (HepG2). Cancer cells were treated with 0.5
and 1 mM 5-ALA for 4 h, the precursor of the photochemical protoporphyrin IX
(PpIX), and then exposed to laser irradiation at 633 nm and 0.25 W for 4 min
before incubation for 24 h. Cytotoxicity of cancer cells was assessed using
trypan blue exclusion assay. Genotoxicity was recorded by micronucleus test and
comet assay. Both 5-ALA and laser irradiation, separately, were nontoxic on
cancer cell lines, however, 5-ALA/PDT enhanced cell death in a concentration
dependent manner. Also, 5-ALA/PDT generated high percentages of micronuclei in
MCF-7 and HepG2 cell lines as recorded in binucleated cells. Similarly, the mean
percentages of DNA damage and tail moment ratio were intensified extremely in
cancer cell lines treated with 5-ALA/PDT rather than non-treated cells or cells
treated by 5-ALA or laser irradiation separately. In conclusion, the singlet
oxygen of 5-ALA targets DNA of cancer cells when activated by laser irradiation.
Therefore, photodynamic therapy is an applicable process to damage DNA
effectively during M-phase and prohibit cancer cells proliferation.
PMID- 29355736
TI - A role for Hippo/YAP-signaling in FGF-induced lens epithelial cell proliferation
and fibre differentiation.
AB - Recent studies indicate an important role for the transcriptional co-activator
Yes-associated protein (YAP), and its regulatory pathway Hippo, in controlling
cell growth and fate during lens development; however, the exogenous factors that
promote this pathway are yet to be identified. Given that fibroblast growth
factor (FGF)-signaling is an established regulator of lens cell behavior, the
current study investigates the relationship between this pathway and Hippo/YAP
signaling during lens cell proliferation and fibre differentiation. Rat lens
epithelial explants were cultured with FGF2 to induce epithelial cell
proliferation or fibre differentiation. Immunolabeling methods were used to
detect the expression of Hippo-signaling components, Total and Phosphorylated
YAP, as well as fibre cell markers, Prox-1 and beta-crystallin. FGF-induced lens
cell proliferation was associated with a strong nuclear localisation of Total-YAP
and low-level immuno-staining for phosphorylated-YAP. FGF-induced lens fibre
differentiation was associated with a significant increase in cytoplasmic
phosphorylated YAP (inactive state) and enhanced expression of core Hippo
signaling components. Inhibition of YAP with Verteporfin suppressed FGF-induced
lens cell proliferation and ablated cell elongation during lens fibre
differentiation. Inhibition of either FGFR- or MEK/ERK-signaling suppressed FGF
promoted YAP nuclear translocation. Here we propose that FGF promotes Hippo/YAP
signaling during lens cell proliferation and differentiation, with FGF-induced
nuclear-YAP expression playing an essential role in promoting the proliferation
of lens epithelial cells. An FGF-induced switch from proliferation to
differentiation, hence regulation of lens growth, may play a key role in
mediating Hippo suppression of YAP transcriptional activity.
PMID- 29355737
TI - 670nm light treatment following retinal injury modulates Muller cell gliosis:
Evidence from in vivo and in vitro stress models.
AB - Photobiomodulation (PBM) with 670 nm light has been shown to accelerate wound
healing in soft tissue injuries, and also to protect neuronal tissues. However,
little data exist on its effects on the non-neuronal components of the retina,
such as Muller cells (MCs), which are the principal macroglia of the retina that
play a role in maintaining retinal homeostasis. The aim of this study was to
explore the effects of 670 nm light on activated MCs using in vivo and in vitro
stress models. Adult Sprague-Dawley rats were exposed to photo-oxidative damage
(PD) for 24 h and treated with 670 nm light at 0, 3 and 14 days after PD. Tissue
was collected at 30 days post-PD for analysis. Using the in vitro scratch model
with a human MC line (MIO-M1), area coverage and cellular stress were analysed
following treatment with 670 nm light. We showed that early treatment with 670 nm
light after PD reduced MC activation, lowering the retinal expression of GFAP and
FGF-2. 670 nm light treatment mitigated the production of MC-related pro
inflammatory cytokines (including IL-1beta), and reduced microglia/macrophage
(MG/MPhi) recruitment into the outer retina following PD. This subsequently
decreased photoreceptor loss, slowing the progression of retinal degeneration. In
vitro, we showed that 670 nm light directly modulated MC activation, reducing
rates of area coverage by suppressing cellular proliferation and spreading. This
study indicates that 670 nm light treatment post-injury may have therapeutic
benefit when administered shortly after retinal damage, and could be useful for
retinal degenerations where MC gliosis is a feature of disease progression.
PMID- 29355738
TI - Carbonic anhydrase II does not exhibit Nitrite reductase or Nitrous Anhydrase
Activity.
AB - Carbonic anhydrase II (CA II) is a zinc metalloenzyme that catalyzes the
reversible interconversion of water and CO2 to bicarbonate and a proton. CA II is
abundant in most cells, and plays a role in numerous processes including gas
exchange, epithelial ion transport, respiration, extra- and intracellular pH
control, and vascular regulation. Beyond these CO2 and pH-linked roles, it has
been postulated that CA II might also reduce nitrite (NO2-) to nitric oxide (NO),
as bicarbonate and NO2- both exhibit sp2 molecular geometry and NO also plays an
important role in vasodilation and regulation of blood pressure. Indeed, previous
studies by Aamand et al. have shown that bovine CA II (BCA II) possesses nitrite
dehydration activity and paradoxically demonstrated that CA inhibitors (CAIs)
such as dorzolamide and acetazolamide significantly increased NO production
(Aamand et al., 2009; Nielsen and Fago, 2015) [1,2]. Hence, the goal of this work
was to revisit these studies using the same experimental conditions as Aamand et
al. measuring NO generation by two methods, and to examine the structure of CA II
in complex with NO2- in the presence and absence of dorzolamide. Our results
contradict the previous findings and indicate that CA II does not exhibit nitrite
reductase or dehydration activity, and that this is not enhanced in the presence
of CA inhibitors. In addition, a structural examination of BCA II in complex with
NO2- and superimposed with dorzolamide demonstrates that CA inhibitor binding at
the active site to the zinc moiety blocks potential NO2- binding.
PMID- 29355739
TI - Nicotine induces apoptosis in human osteoblasts via a novel mechanism driven by
H2O2 and entailing Glyoxalase 1-dependent MG-H1 accumulation leading to TG2
mediated NF-kB desensitization: Implication for smokers-related osteoporosis.
AB - Nicotine contained in cigarette smoke contributes to the onset of several
diseases, including osteoporosis, whose emerging pathogenic mechanism is
associated with osteoblasts apoptosis. Scanty information is available on the
molecular mechanisms of nicotine on osteoblasts apoptosis and, consequently, on
an important aspect of the pathogenesis of smokers-related osteoporosis.
Glyoxalase 1 (Glo1) is the detoxification enzyme of methylglyoxal (MG), a major
precursor of advanced glycation end products (AGEs), potent pro-apoptotic agents.
Hydroimidazolone (MG-H1) is the major AGE derived from the spontaneous MG
adduction of arginine residues. The aim of this study was to investigate whether,
and by means of which mechanism, the antiglycation defence Glo1 was involved in
the apoptosis induced by 0.1 and 1uM nicotine in human primary osteoblasts
chronically exposed for 11 and 21 days. By using gene overexpression/silencing
and scavenging/inhibitory agents, we demonstrated that nicotine induces a
significant intracellular accumulation of hydrogen peroxide (H2O2) that, by
inhibiting Glo1, drives MG-H1 accumulation/release. MG-H1, in turn, triggers H2O2
overproduction via receptor for AGEs (RAGE) and, in parallel, an apoptotic
mitochondrial pathway by inducing Transglutaminase 2 (TG2) downregulation
dependent NF-kB desensitization. Measurements of H2O2, Glo1 and MG-H1 circulating
levels in smokers compared with non-smokers or in smokers with osteoporosis
compared with those without this bone-related disease supported the results
obtained in vitro. Our findings newly pose the antiglycation enzymatic defense
Glo1 and MG-H1 among the molecular events involved in nicotine-induced reactive
oxygen species-mediated osteoblasts apoptosis, a crucial event in smoker-related
osteoporosis, and suggest novel exposure markers in health surveillance
programmes related to smokers-associated osteoporosis.
PMID- 29355740
TI - Role of organic acids in the integration of cellular redox metabolism and
mediation of redox signalling in photosynthetic tissues of higher plants.
AB - Organic acids play a crucial role in numerous metabolic processes accompanied by
transfer of electrons and protons and linked to the reduction/oxidation of major
redox couples in plant cells, such as NAD, NADP, glutathione, and ascorbate.
Fluxes through the pathways metabolizing organic acids modulate redox states in
cell compartments, contribute to generation of reactive oxygen and nitrogen
species, and mediate signal transduction processes. Organic acid metabolism not
only functions to equilibrate the redox potential in plant cells but also to
transfer redox equivalents between cell compartments supporting various metabolic
processes. The most important role in this transfer belongs to different forms of
malate dehydrogenase interconverting malate and oxaloacetate or forming pyruvate
(malic enzymes). During photosynthesis malate serves as a major form of transfer
of redox equivalents from chloroplasts to the cytosol and other compartments via
the malate valve. On the other hand, mitochondria, via alterations of their redox
potential, become a source of citrate that can be transported to the cytosol and
support biosynthesis of amino acids. Citrate is also an important retrograde
signalling compound that regulates transcription of several genes including those
encoding the alternative oxidase. The alternative oxidase, which is activated by
increased redox potential and by pyruvate, is, in turn, important for the
maintenance of redox potential in mitochondria. The roles of organic acids in
establishing redox equilibrium, supporting ionic gradients on membranes,
acidification of the extracellular medium, and regulation of production of
reactive oxygen and nitrogen species are discussed.
PMID- 29355741
TI - Do screws and screw holes affect osteolysis in cementless cups using highly
crosslinked polyethylene? A 7 to 10-year follow-up case-control study.
AB - BACKGROUND: The use of screws and the presence of screw holes may cause
acetabular osteolysis and implant loosening in cementless total hip arthroplasty
(THA) using conventional polyethylene. In contrast, this issue is not fully
understood using highly crosslinked polyethylene (HXLPE), particularly in large
comparative study. Therefore, we performed a case-control study to assess the
influence of screw usage and screw holes on: (1) implant fixation and osteolysis
and (2) polyethylene steady-state wear rate, using cases with HXLPE liners
followed up for 7-10 years postoperatively. HYPOTHESIS: The screw usage and screw
holes adversely affect the implant fixation and incidence of wear-related
osteolysis in THA with HXLPE. PATIENTS AND METHODS: We reviewed 209 primary
cementless THAs performed with 26-mm cobalt-chromium heads on HXLPE liners. To
compare the effects of the use of screws and the presence of screw holes, the
following groups were established: (1) with-screw (n=140); (2) without-screw
(n=69); (3) no-hole (n=27) and (4) group in which a cup with screw holes, but no
screw was used (n=42). Two adjunct groups (no-hole cups excluded) were
established to compare the differences in the two types of HXLPE: (5) remelted
group (n=100) and (6) annealed group (n=82). Implant stability and osteolysis
were evaluated by plain radiography and computed tomography. The wear rate from 1
year to the final evaluation was measured using plain X-rays and PolyWare Digital
software. RESULTS: All cups and stems achieved bony fixation. On CT-scan, no
acetabular osteolysis was found, but there were 3 cases with a small area of
femoral osteolysis. The mean steady-state wear rate of each group was (1) 0.031+/
0.022, (2) 0.033+/-0.035, (3) 0.031+/-0.024, (4) 0.029+/-0.018, (5) 0.030+/-0.018
and (6) 0.034+/-0.023mm/year, respectively. A comparison of the effects of screw
usage or screw holes found no significant between-group differences in the
implant stability, prevalence of osteolysis [no acetabular osteolysis and 3/209
at femoral side (1.4%)] and steady-state wear rate. DISCUSSION: This study
suggests that there are no adverse effects on the results of THA with HXLPE from
the use of cups with screw holes and the use of screws for cup fixation. LEVEL OF
EVIDENCE: Level III retrospective case-control study.
PMID- 29355742
TI - Intraarticular synovial sarcoma of the knee rising from a lateral meniscus - a
case report.
AB - : Synovial sarcoma (SS) is a rare mesenchymal tumor, accounting less than 10% of
soft tissue sarcomas. We report a case of intraarticular SS mimicking nodular
synovitis and lateral meniscus rupture. Due to clinical and radiological
presentation, arthroscopic synovectomy was performed, and histology confirmed
nodular synovitis. After four years the lesion recurred and new arthroscopic
biopsy was performed, revealing a monophasic SS with SYT/SSX1 translocation.
Repeated histology of the first specimen confirmed appearance of a nodular
synovitis microscopically, with no morphological criteria for a sarcoma, but
molecular analysis showed positive SYT/SSX1 translocation. Wide extraarticular
knee resection and reconstruction with a tumor megaendoprosthesis-allograft
composite was performed with a negative tumor margins. This case report showed
that in a case of benign histological appearance, underlying sarcoma is possible
and could be identified in early stages only with an advanced pathology methods.
LEVEL OF EVIDENCE: Level IV historical case.
PMID- 29355743
TI - Usefulness of sonication for diagnosing infection in explanted orthopaedic
implants.
AB - BACKGROUND: Orthopaedic implant infection is a rare but serious complication
whose optimal treatment requires an accurate microbiological diagnosis. The
objective of this study was to determine whether culturing sonicated explants
improved sensitivity compared to culturing standard sonicated soft-tissue
samples. HYPOTHESIS: Cultures of explant sonication fluid are more sensitive than
cultures of soft-tissue sonication fluid in patients with implant infection.
METHODS: This single-centre retrospective study included all sonication fluid
samples from implants explanted in orthopaedic surgery theatres for any reason.
The microbiological results of the implant sonication fluid cultures were
compared to those of cultures of sonicated soft-tissue and bone samples taken
during the same procedure. The primary evaluation criterion was the difference in
microorganisms recovered from explant sonication fluids versus fluid/tissue
cultures. RESULTS: The study included 187 explants removed between September 2009
and June 2015. Of the definite infections, 83% were identified by explant
sonication, 86% by fluid/tissue cultures, and 91% by both techniques combined.
Explant sonication recovered causative organisms in 10 patients with definite
infection but negative fluid/soft tissue cultures. Antibiotic therapy prior to
explantation was associated with lower sensitivity of explant sonication (57% vs.
67% for fluid/soft tissue cultures). CONCLUSION: Explant sonication improved the
diagnosis of infection when combined with fluid/soft tissue cultures. LEVEL OF
EVIDENCE: IV, retrospective single-centre study.
PMID- 29355744
TI - Iatrogenic peritonitis following an incident during ablation of a pedicle screw.
AB - Immediate complications can arise due to faulty implantation of material during
fusion procedures, but none have been reported in connection with ablation of
material in the spine. We report a case of intraperitoneal migration of a pedicle
screw during attempted removal. It crossed the psoas muscle and perforated a
small-intestine loop, triggering hemorrhagic shock and peritonitis by
perforation. We analyze the causes and mechanisms underlying this exceptional
case of migration, with a view to sharing preventive measures. Initial extra
pedicular screw positioning and the pressure exerted to remove it were
responsible for this serious incident.
PMID- 29355745
TI - A rare location for a common tumor: Lingual lipoma.
AB - Lipomas are the most common benign tumors in human body but their occurrence in
the oral cavity is very rare. They present as a slow growing, yellowish
submucosal and painless mass. The treatment consists of a simple surgical
excision. The histopathologic examination confirms the diagnosis. We present a
case of tongue lipoma.
PMID- 29355746
TI - Bone remodelling in vitro: Where are we headed?: -A review on the current
understanding of physiological bone remodelling and inflammation and the
strategies for testing biomaterials in vitro.
AB - Bone remodelling is a dynamic process required for the maintenance of bone
architecture in response to the changing mechanical needs. It is also a vital
process during the repair of bone tissue following injury. Clinical intervention
in terms of autografting or allografting is often required to heal bone injuries
where physiological healing fails. The use of biomaterials as alternatives to
autografts and allografts has spurred a significant research interest into
further development of biomaterials for better clinical outcomes. Unfortunately,
many biomaterials fail to make it to the clinic or fail after implantation due to
the inconsistencies observed between in vitro and in vivo studies. It is
therefore important to mimic the in vivo situation as closely as possible in an
in vitro setting for testing biomaterials. The current in vitro models focus
mostly on investigating the behaviour of osteoblast progenitors with the
biomaterial under development as well as assessing the behaviour of osteoclasts,
endothelial cells etc. However, the sequence of events that take place during
bone healing or remodelling are not incorporated into the current in vitro
models. This review highlights our current understanding of the physiological
bone remodelling and the bone healing process followed by strategies to
incorporate both the physiological and pathophysiological events into an in vitro
environment. Here, we propose three strategies for the assessment of biomaterials
for bone, which includes; (1) testing biomaterials in the presence of immune
cells, (2) testing biomaterials for osteogenesis, and (3) testing biomaterials in
the presence of osteoclasts followed by osteoblasts to recapitulate the
physiological events of bone resorption prior to bone formation. The focus of
this review is to discuss the third strategy in details as the first two
strategies are currently incorporated into a majority of in vitro experiments.
PMID- 29355747
TI - Proximal Linear Extension of Skin Hypopigmentation After Ultrasound-Guided
Corticosteroid Injection for de Quervain Tenosynovitis: A Case Presentation.
AB - : de Quervain tenosynovitis is a stenosing tenosynovitis of the first dorsal
compartment of the wrist that can lead to painful functional impairment of the
upper limb. This case presentation describes a rare adverse effect of
corticosteroid injection (CSI) involving local skin atrophy and hypopigmentation
with proximal linear extension. In this case, hypopigmentation developed from the
wrist to beyond the elbow after CSI with ultrasound guidance and targeted
placement of the injectate in the extensor tendon sheath of the first dorsal
compartment. Dermal complications of CSI are rare but notable and potentially
disfiguring events that should be discussed with every patient during the
informed consent process before soft tissue CSIs. LEVEL OF EVIDENCE: V.
PMID- 29355748
TI - The potential of clustering methods to define intersection test scenarios:
Assessing real-life performance of AEB.
AB - Intersection accidents are frequent and harmful. The accident types 'straight
crossing path' (SCP), 'left turn across path - oncoming direction' (LTAP/OD), and
'left-turn across path - lateral direction' (LTAP/LD) represent around 95% of all
intersection accidents and one-third of all police-reported car-to-car accidents
in Germany. The European New Car Assessment Program (Euro NCAP) have announced
that intersection scenarios will be included in their rating from 2020; however,
how these scenarios are to be tested has not been defined. This study
investigates whether clustering methods can be used to identify a small number of
test scenarios sufficiently representative of the accident dataset to evaluate
Intersection Automated Emergency Braking (AEB). Data from the German In-Depth
Accident Study (GIDAS) and the GIDAS-based Pre-Crash Matrix (PCM) from 1999 to
2016, containing 784 SCP and 453 LTAP/OD accidents, were analyzed with principal
component methods to identify variables that account for the relevant total
variances of the sample. Three different methods for data clustering were applied
to each of the accident types, two similarity-based approaches, namely
Hierarchical Clustering (HC) and Partitioning Around Medoids (PAM), and the
probability-based Latent Class Clustering (LCC). The optimum number of clusters
was derived for HC and PAM with the silhouette method. The PAM algorithm was both
initiated with random start medoid selection and medoids from HC. For LCC, the
Bayesian Information Criterion (BIC) was used to determine the optimal number of
clusters. Test scenarios were defined from optimal cluster medoids weighted by
their real-life representation in GIDAS. The set of variables for clustering was
further varied to investigate the influence of variable type and character. We
quantified how accurately each cluster variation represents real-life AEB
performance using pre-crash simulations with PCM data and a generic algorithm for
AEB intervention. The usage of different sets of clustering variables resulted in
substantially different numbers of clusters. The stability of the resulting
clusters increased with prioritization of categorical over continuous variables.
For each different set of cluster variables, a strong in-cluster variance of
avoided versus non-avoided accidents for the specified Intersection AEB was
present. The medoids did not predict the most common Intersection AEB behavior in
each cluster. Despite thorough analysis using various cluster methods and
variable sets, it was impossible to reduce the diversity of intersection
accidents into a set of test scenarios without compromising the ability to
predict real-life performance of Intersection AEB. Although this does not imply
that other methods cannot succeed, it was observed that small changes in the
definition of a scenario resulted in a different avoidance outcome. Therefore, we
suggest using limited physical testing to validate more extensive virtual
simulations to evaluate vehicle safety.
PMID- 29355749
TI - Discovery of a highly active anticancer analogue of cardamonin that acts as an
inducer of caspase-dependent apoptosis and modulator of the mTOR pathway.
AB - Cardamonin is a natural chalcone that has been shown to exhibit high anticancer
activity. In an attempt to discover analogues of cardamonin with enhanced
anticancer activity, 19 analogues were synthesized and tested against A549 and
HK1 cell lines. Results of the MTS cell viability assay showed that several
derivatives possessed cytotoxic activities that were several-fold more potent
than cardamonin. SAR analysis showed the importance of the ketone and alkene
groups for bioactivity, while substituting cardamonin's phenolic groups with more
polar moieties resulted in activity enhancement. As part of the SAR study and
further exploration of chemical space, the effect of metal coordination on
cytotoxicity was also investigated, but it was only possible to successfully
obtain the Cu (II) complex of cardamonin (19). Compound 19 was the most active
analogue possessing IC50 values of 13.2MUM and 0.7MUM against A549 and HK1 cells,
corresponding to a 5- and 32-fold increase in activity, respectively. It was also
able to significantly inhibit the migration of A549 and HK1 cells. Further mode
of action studies have shown that the most active analogue, 19, induced DNA
damage resulting in G2/M-phase cell- cycle arrest in both cell lines. These
events further led to the induction of apoptosis by the compound via caspase-3/7
and caspase-9 activation, PARP cleavage and downregulation of Mcl-1 expression.
Moreover, 19 inhibited the expression levels of p-mTOR and p-4EBP1, which
indicated that it exerted its anticancer activity, at least in part, via
inhibition of the mTOR signalling pathway.
PMID- 29355750
TI - Racemic indole alkaloids from the seeds of Peganum harmala.
AB - Five pairs of new 2-oxoindole alkaloids, (+/-)-peganumalines A-E (1-5), and a new
indole alkaloid, peganumaline F (6), along with two known analogues, were
isolated from the seeds of Peganum harmala. Their structures and absolute
configurations were elucidated through spectroscopic analyses and quantum
chemistry calculations. Notably, (+/-)-peganumalines A (1) represent a pair of
rare 2-oxoindole dimeric alkaloid enantiomer with the hitherto unknown carbon
skeleton. All isolates were tested for antiproliferative and antibacterial
activities.
PMID- 29355751
TI - 7alpha,8alpha-Epoxynagilactones and their glucosides from the twigs of Podocarpus
nagi: Isolation, structures, and cytotoxic activities.
AB - A phytochemical investigation of twigs of Podocarpus nagi resulted in the
identification of eight new type B nagilactones (1-8), all bearing a
7alpha,8alpha-epoxy-9(11)-enolide substructure, along with two known analogs (9
10). Their structures were determined on the basis of spectroscopic analysis,
including HRESIMS, IR and NMR experiments, and X-ray crystallographic analysis.
In vitro cytotoxic assay exhibited that compounds 1, 2, 9 and 10 could induce
antiproliferation against three different types of human cancer cells while
compounds 3 and 5 were inactive. Notably, the IC50 value of compound 1 is
0.208MUM for A431 human epidermoid carcinoma cells, reaching the same level as
the positive control combretastatin A-4 (0.104MUM). Furthermore, compound 1
performed a strong inhibition of cancer cells by triggering apoptosis and
arresting the cell cycle at G1 phase. These results unfold potential anticancer
therapeutic applications of type B nagilactones.
PMID- 29355752
TI - Binding of vanadium to human serum transferrin - voltammetric and spectrometric
studies.
AB - Previous studies generally agree that in the blood serum vanadium is transported
mainly by human serum transferrin (hTF). In this work through the combined use of
electrochemical techniques, matrix-assisted laser desorption/ionization time of
flight (MALDI-TOF) mass spectrometry and small-angle X-ray scattering (SAXS) data
it is confirmed that both VIV and VV bind to apo-hTF and holo-hTF. The
electrochemical behavior of solutions containing vanadate(V) solutions at pH=7.0,
analyzed by using two different voltammetric techniques, with different time
windows, at a mercury electrode, Differential Pulse Polarography (DPP) and Cyclic
Voltammetry (CV), is consistent with a stepwise reduction of VV->VIV and VIV
>VII. Globally the voltammetric data are consistent with the formation of 2:1
complexes in the case of the system VV-apo-hTF and both 1:1 and 2:1 complexes in
the case of VV-holo-hTF; the corresponding conditional formation constants were
estimated. MALDI-TOF mass spectrometric data carried out with samples of VIVOSO4
and apo-hTF and of NH4VVO3 with both apo-hTF and holo-hTF with V:hTF ratios of
3:1 are consistent with the binding of vanadium to the proteins. Additionally the
SAXS data suggest that both VIVOSO4 and NaVVO3 can effectively interact with
human apo-transferrin, but for holo-hTF no clear evidence was obtained supporting
the existence or the absence of protein-ligand interactions. This latter data
suggest that the conformation of holo-hTF does not change in the presence of
either VIVOSO4 or NH4VVO3. Therefore, it is anticipated that VIV or VV bound to
holo-hTF may be efficiently up-taken by the cells through receptor-mediated
endocytosis of hTF.
PMID- 29355753
TI - Cortisol and melatonin in the cutaneous stress response system of fish.
AB - The stress hormone cortisol, together with antioxidants, melatonin (Mel) and its
biologically active metabolites, 5-methoxykynuramines, including AFMK, set up a
local stress response system in mammalian skin. Our in vitro study of the
European flounder (Platichthys flesus) was designed to examine whether Mel and
AFMK would respond to cortisol while a glucocorticoid is added to the incubation
medium. The concentrations of cortisol in the incubation medium mimic plasma
cortisol levels seen in fish exposed to different types of stresses such as
handling, confinement, high density, food-deprivation or air-exposure. We
measured Mel and AFMK in skin explants and culture media using high-performance
liquid chromatography (HPLC) with fluorescence detection. We also analysed
melanosome response (dispersion/aggregation) in the explants subjected to the
different treatments. Cortisol stimulated the release of Mel and AFMK from skin
explants in a dose-dependent manner. Melanosome dispersion and a darkening of the
skin explants were observed after incubation with cortisol. This study is the
first to demonstrate the interrelationship between cortisol and Mel/AFMK in fish
skin. Our data strongly suggest that the cutaneous stress response system (CSRS)
is present in fish. The question remains whether Mel, AFMK or cortisol are
synthetized locally in fish skin and/or transported by the bloodstream. The
presence of the CSRS should be taken into account during elaboration of new
indicators of fish welfare both in aquaculture and in the wild.
PMID- 29355754
TI - Blocking mutation independent p53 aggregation by emodin modulates autophagic cell
death pathway in lung cancer.
AB - Loss of p53 function via mutation is a very common cause of human cancers. Recent
studies have provided evidence on presence of self aggregated p53 in cancer cells
leading to its altered functions towards cause of cancer. The general notion has
been that mutated p53 exposes adhesive sites that promote self aggregation,
however a complete mechanistic understanding to this has been lacking. We
embarked on the present study towards exploring the differential aggregation
pattern in cells expressing mutated TP53 (HaCaT keratinocytes) vs those
expressing the wild type copy of the p53 protein (A549 lung cancer cell line).
The studies led us to interesting observation that formation of p53 protein
aggregates is not always associated with TP53 mutation. The A549 lung cancer
cells, having wild type TP53, showed the appearance of p53 protein aggregates,
while no protein aggregates were observed in normal HaCaT keratinocytes carrying
mutant TP53. We went on to study the effect of blocking protein aggregation by
emodin (1,3,8-trihydroxy-6-methyl-anthraquinone) and figured that inhibiting p53
protein aggregation can elevate the level of autophagy in A549 lung cancer cell
line while there is no significant effect on autophagy in normal non-cancerous
HaCaT cells. Moreover, ATG5 was found to be coaggregated with p53 aggregates
which dissociated after emodin treatment, indicating further induction of
autophagy in A549 cells only. From these observations, we conclude that the
increased level of autophagy might be the mechanism for the removal of p53
protein aggregates which restores p53 function in A549 cells after emodin
treatment .This encourages further studies towards deciphering related
mechanistic aspects vis-a-vis potential therapeutic strategies against cancer.
PMID- 29355755
TI - Two-pore channels mediated receptor-operated Ca2+ entry in pulmonary artery
smooth muscle cells in response to hypoxia.
AB - The aim of this study was to investigate the influence of two-pore channels
mediated receptor-operated Ca2+ entry on pulmonary arterial smooth muscle cell
(PASMC) under hypoxia conditions. PASMCs were separated using the direct adherent
culture method. The cultured cells were observed under optic microscope and the
phenotypes of cells were identified by immunohistochemistry. The expression of
NAADP was examined by ELISA. CaN, TPC1, TPC2 and NFATc3 protein levels were
examined using Western blotting. Real-time PCR was utilized to detect the level
of TPC1 and TPC2 mRNA. Fluorescent probe technique was used to explore the
[Ca2+]i in PASMCs. Proliferation and migration of PASMCs were examined by MTT
assay and Transwell, respectively. The results showed that cells displayed a
typical "peak-valley" growth pattern and positive for alpha-actin staining.
Expression of NAADP, CaN, NFATc3, TPC1 and TPC2 under PASMCs exposed to hypoxia
after 24 h and 48 h were higher than control, however, cells treated with Ned-19
were significantly decreased compared with control. Levels of CaN and NFATc3
protein collected from RPASMCs transfected with TPCs siRNA were observably
decreased than scrambled siRNA. Under hypoxia condition for 12 h, 24 h and 48 h,
TPC1 and TPC2 mRNA levels were higher in PASMCs compared as control. The [Ca2+]i
evoked by hypoxia significantly increased than normoxia group. Nevertheless, the
[Ca2+]i of the groups treated with Ned-19 and transfected with TPCs siRNA were
markedly lower compared with control. In conclusion, the TPCs influence on
function of pulmonary artery smooth muscle cells by mediated Ca2+ Signals under
hypoxia condition.
PMID- 29355756
TI - GLA supplementation regulates PHD2 mediated hypoxia and mitochondrial apoptosis
in DMBA induced mammary gland carcinoma.
AB - The aim of the present study is to evaluate the effect of gamma linolenic acid
(GLA) on mitochondrial mediated death apoptosis, hypoxic microenvironment and
cholinergic anti-inflammatory pathway against 7, 12-dimethylbenz (a) anthracene
(DMBA) induced mammary gland carcinoma. The effects of GLA were evaluated
morphologically and biochemically against DMBA induced mammary gland carcinoma.
The metabolic study was done for evaluation of biomarkers using 1H NMR. The
present study was also verified through immunoblotting and qRT-PCR studies for
the evaluation of various pathways. GLA treatment has a delineate implementation
upon morphology of the tissues when evaluated through carmine staining,
hematoxyline and eosin staining and scanning electron microscopy. GLA also
demarked a commendatory proclamation of the fifteen key serum metabolites
analogous with amino acid metabolism and fatty acid metabolism when recognized
through1H NMR studies. The immunoblotting and qRT-PCR studies accomplished that
GLA mediated mitochondrial death apoptosis, curtail hypoxic microenvironment
along with hindrance of de novo fatty acid synthesis and also mediate the
cholinergic anti-inflammatory pathway to proclaim its anticancer effects.
PMID- 29355757
TI - Non-genomic mechanisms of protein phosphatase 2A (PP2A) regulation in cancer.
AB - Propagation of transient signals requires coordinated suppression of antagonistic
phosphatase activity. Protein phosphatase 2A (PP2A) is a broad specificity
serine/threonine phosphatase that functions as an antagonist of many signaling
pathways associated with growth and proliferation, and endogenous inhibitory
mechanisms suppress PP2A activity in response to mitogenic stimuli. These
inhibitory mechanisms, including expression and activation of endogenous
inhibitor proteins and phosphoregulation of PP2A subunits, are also engaged by
aberrant constitutive activation of mitogenic pathways in cancer. Inhibition of
PP2A activity has been shown to promote malignant transformation and endogenous
inhibitory mechanisms of PP2A have been associated with malignant progression and
prognosis in a wide range of cancers. Despite existence of recurrent mutations
and other genetic and gene regulatory alterationsin PP2A genes, they collectively
appear at relatively low frequency, and in only some cancer types. The non
genomic inhibition of PP2A activity by increased expression of endogenous PP2A
inhibitor proteins greatly exceeds the frequency of genetic mutations of PP2A
genes in human cancers. This feature makes PP2A an untypical tumor suppressor,
and may have influenced its recognition as one of the critical human cell
transformation mechanisms. We propose that non-genetic inhibition is the dominant
mechanism causing loss of PP2A tumor suppressor function in cancer cells,
possibly because these mechanisms do not elicit genomic instability associated
with genetic loss of function of specific PP2A subunits.
PMID- 29355758
TI - Identification and molecular characterization of the Pim1 serine/threonine kinase
homolog in Litopenaeus vannamei.
AB - The Pim1 serine/threonine kinase is associated with multiple cellular functions
including proliferation, survival, differentiation, apoptosis, tumorigenesis,
immune regulation and inflammation in vertebrates. However, little is known about
the role of Pim1 in invertebrate immunity. In this study, we identified and
characterized for the first time, a Pim1 (LvPim1) gene in Litopenaeus vannamei,
with a full-length cDNA of 2352 bp and a 1119 bp open reading frame (ORF)
encoding a putative protein of 372 amino acids, which contains a typical
serine/threonine kinase domain. Sequence and phylogenetic analysis revealed that
LvPim1 shared a close evolutionary relationship with Pim1 from vertebrates. Real
time qPCR analysis showed that LvPim1 was widely expressed in all tissues tested;
with its transcript level induced in hepatopancreas and hemocytes upon challenge
with Vibrio parahaemolyticus, Streptoccocus iniae, lipopolysaccharide (LPS), and
white spot syndrome virus (WSSV), thus, suggesting its probable involvement in
shrimp immune response. Moreover, knockdown of LvPim1 resulted in increased
hemocytes apoptosis; shown by high caspase3/7 activity, coupled with increase in
pro-apoptotic LvCaspase3 and LvCytochrome C, and decrease in pro-survival LvBcl2,
LvIAP1, and LvIAP2 mRNA expression in hemocytes. Finally, LvPim1 knockdown
renders shrimps more susceptible to V. parahaemolyticus infection. Taken
together, our present data strongly suggest that LvPim1 is involved in modulating
shrimp resistance to pathogen infection, promote hemocytes survival, and
therefore plays a role in shrimp immune response.
PMID- 29355759
TI - Novel subunit vaccine with linear array epitope protect giant grouper against
nervous necrosis virus infection.
AB - Viral nervous necrosis caused by nervous necrosis virus (NNV) is one of the most
severe diseases resulting in high fish mortality rates and high economic losses
in the giant grouper industry. Various NNV vaccines have been evaluated, such as
inactivated viruses, virus-like particles (VLPs), recombinant coat proteins,
synthetic peptides of coat proteins, and DNA vaccines. However, a cheaper
manufacturing process and effective protection of NNV vaccines for commercial
application are yet to be established. Hence, the present study developed a novel
subunit vaccine composed of a carrier protein, receptor-binding domain of
Pseudomonas exotoxin A, and tandem-repeated NNV coat protein epitopes by using
the structural basis of epitope prediction and the linear array epitope (LAE)
technique. On the basis of the crystal structure of the NNV coat protein, the
epitope was predicted from the putative target cell receptor-binding region to
elicit neutralizing immune responses. The safety of the LAE vaccine was
evaluated, and all vaccinated fish survived without any physiological changes.
The coat protein-specific antibody titers in the vaccinated fish increased after
vaccine administration and exerted NNV-neutralizing effects. The efficacy tests
revealed that the relative percent survival (RPS) of LAE antigen formulated with
adjuvant was above 72% and LAE vaccine was effective for preventing NNV infection
in giant grouper. This study is the first to develop an NNV vaccine by using
epitope repeats, which provided effective protection to giant grouper against
virus infection. The LAE construct can be used as a vaccine design platform
against various pathogenic diseases.
PMID- 29355760
TI - Molecular cloning and characterization of FADD from the orange-spotted grouper
(Epinephelus coioides).
AB - Fas-associated protein with death domain (FADD) is the key adaptor protein that
transmits apoptotic signals mediated by the main death receptors. Besides being
an essential instrument in cell death, FADD is also implicated in proliferation,
cell cycle progression, tumor development, inflammation, innate immunity, and
autophagy. In the present study, a FADD homologue (EcFADD) from the orange
spotted grouper (Epinephelus coioides) was cloned and its possible role in fish
immunity was analyzed. The full length cDNA of EcFADD contains 808 base pairs
(bp), including a 573 bp open reading frame that encodes a 190 amino acid protein
with a predicted molecular mass of 21.81 kDa. Quantitative real-time polymerase
chain reaction analysis indicated that EcFADD was distributed in all examined
tissues. The expression of EcFADD in the spleen of E. coioides was differentially
up-regulated when challenged with Singapore grouper iridovirus (SGIV) or
polyinosine-polycytidylic acid(poly[I:C]). EcFADD was abundantly distributed in
both the cytoplasm and nucleus in grouper spleen (GS) and fathead minnow (FHM)
epithelial cells. Over-expression of EcFADD inhibited SGIV infection and
replication and SGIV-induced apoptosis. To achieve antiviral and anti-apoptosis
activities, FADD promoted the activation of interferon-stimulated response
element (ISRE) and type I interferon (IFN) genes in the antiviral IFN signaling
pathway and inhibited activation of apoptosis-related transcription factors p53.
Our results not only characterize FADD but also reveal new immune functions and
the molecular mechanisms by which FADD responds to virus infection and virus
induced apoptosis.
PMID- 29355761
TI - Effects of dietary Spirulina platensis on growth performance, hematological and
serum biochemical parameters, hepatic antioxidant status, immune responses and
disease resistance of Coral trout Plectropomus leopardus (Lacepede, 1802).
AB - The present study investigated the effects of dietary Spirulina platensis
supplementation on growth performance, hematological and serum biochemical
parameters, hepatic antioxidant status, immune responses and resistance to the
pathogen infection in Coral trout Plectropomus leopardus. The fish were fed for 8
week with diets containing different levels of S. platensis: 0% (C), 2% (SP2), 4%
(SP4), 6% (SP6), 8% (SP8) and 10% (SP10) as treatment groups, followed by a
Vibrio harveyi infection test for 14 d. The study indicated that dietary
supplementation with Spirulina platensis could significantly improve growth
performance, and the highest weight gain rate (WGR) and specific growth rate
(SGR) were observed in group SP10 (P < .05). Red cell count (RBC), white cell
count (WBC), hemoglobin (Hb) and total antioxidant capacity (T-AOC) in the S.
platensis supplemented groups were significantly higher than those of group C (P
< .05). However, the levels of cholesterol, triglyceride and malondialdehyde
(MDA) contents, and superoxide dismutase (SOD), catalase (CAT) and glutathione
peroxidase (GPX) activities decreased with the increasing of dietary S. platensis
levels. Compared with group C, the lysozyme (LYZ) and respiratory burst
activities (RBA), and immunoglobulin (Ig) and complement contents in group SP4,
SP6, SP8 and SP10 increased significantly than those of group C respectively (P <
.05). After challenge with V. harveyi, the survival rate in group SP4, SP6, SP8
and SP10 was significantly higher than that of group C, and the highest survival
rate was in group SP10 (P < .05). These results indicated that P. leopardus fed a
diet supplemented with S. platensis (especially at 10%) could significantly
promote its growth performance, improve its hepatic antioxidant status, and
enhance its immune ability and resistance to V. harveyi infection.
PMID- 29355763
TI - Transcriptomic analysis of exosomal shuttle mRNA in Pacific oyster Crassostrea
gigas during bacterial stimulation.
AB - As marine invertebrates, oysters lack adaptive immunity and employ innate
immunity as the front line and almost the solo defense mechanism to protect them
against invaders. Accumulating research achievements demonstrated that exosomes
could act as innate immune effectors that contribute to host defense mechanism.
To better understand the immune functions of exosomes in Crassostrea gigas
against bacterial stimulation, RNA-Seq was applied to explore the global
expression changes of exosomes in oyster after Staphylococcus aureus and Vibrio
splendidus stimulation. Totally 171573691 single end raw reads were yielded via
Ion Torrent Proton sequencing, which were trimmed into 121988325 clean reads, and
then 1505 abundant exosomal shuttle mRNAs (esmRNAs) were identified. Gene
ontology (GO) analysis revealed that these abundant esmRNAs could be categorized
into 15 cellular components, 12 molecular functions and 21 biological processes,
and these abundant esmRNAs were mapped onto 62 biological signaling pathways by
KEGG. In total, 68 significant differentially expressed genes (DEGs, Fold change
>= 2, Q-value < 0.05) were identified between S. aureus stimulated group and
control group, including 21 up-regulated and 47 down-regulated ones. While 99
significant DEGs between V. splendidus challenged group and control group were
identified, including 42 up-regulated and 57 down-regulated ones. To validate the
transcriptomic data, 24 DEGs were randomly selected and confirmed via
quantitative real-time PCR (qRT-PCR) and the results showed that their expression
patterns agreed well with the RNA-Seq analysis. This study would enrich the C.
gigas transcriptome database and provide insight into the immune functions of
oyster exosomes against bacterial infection.
PMID- 29355762
TI - A comparative study of three akirin genes from big belly seahorse Hippocampus
abdominalis: Molecular, transcriptional and functional characterization.
AB - Akirins, members of the NF-kappaB signaling pathway, are highly conserved nuclear
proteins, which regulate gene expression in many physiological processes,
including immunity, myogenesis, carcinogenesis, and embryogenesis. The akirin
family in teleost fish consists of two to three genes. In the present study,
three akirin genes from Hippocampus abdominalis were identified from a
transcriptome database and designated as HaAkirin1, HaAkirin2(1), and
HaAkirin2(2). The nuclear localization of HaAkirin1 and HaAkirin2(1) was
confirmed by subcellular localization analysis. In contrast, diffused
localization of HaAkirin2(2) was identified in the nucleus and cytoplasm that
confirmed the aberrant nature of the nuclear localization signal. Phylogenetic
analysis revealed a closer relationship of HaAkirins with other known teleost
akirins. All three HaAkirin transcripts were ubiquitously expressed in all
examined tissues with higher expression in ovary tissue. Immune challenge with
LPS, poly I:C, and Streptococcus iniae exhibited a significant increase in the
expression of all three HaAkirins in kidney and liver tissues. NF-kappaB
luciferase assays revealed that relative luciferase activity was significantly
higher for all three HaAkirin genes than mock controls. These results suggest
that HaAkirin genes might play a role in regulating NF-kappaB dependent immune
gene expression and their expression could be induced by bacterial and viral
pathogen recognition molecular patterns.
PMID- 29355764
TI - Complement component Bf/C2b gene mediates immune responses against Aeromonas
hydrophila in grass carp Ctenopharyngodon idella.
AB - The complement system is a significant component of innate immunity. Here, we
identified a Bf/C2 homolog (gcBf/C2b) in grass carp. gcBf/C2b shares a high
similarity with Bf/C2b counterparts in other teleosts. gcBf/C2b transcription was
widely distributed in different tissues, induced by Aeromonas hydrophila in vivo
and in vitro, and affected by lipopolysaccharide and flagellin stimulation in
vitro. In cells over-expressing gcBf/C2b, transcript levels of all components
except gcC5 were significantly enhanced, and gcBf/C2b, gcIL1beta, gcTNF-alpha,
gcIFN, gcCD59, gcC5aR1, and gcITGbeta-2 were significantly upregulated after A.
hydrophila challenge or stimulation with bacterial pathogen-associated molecular
patterns (PAMPs). However, gcBf/C2b in interference cells down-regulated the
transcript levels after A. hydrophila challenge, and gcBf/C2b induced NF-kappaB
signaling. These findings indicate the vital role of gcBf/C2b in innate immunity
in grass carp.
PMID- 29355765
TI - Transcranial alternating current stimulation with speech envelopes modulates
speech comprehension.
AB - Cortical entrainment of the auditory cortex to the broadband temporal envelope of
a speech signal is crucial for speech comprehension. Entrainment results in
phases of high and low neural excitability, which structure and decode the
incoming speech signal. Entrainment to speech is strongest in the theta frequency
range (4-8 Hz), the average frequency of the speech envelope. If a speech signal
is degraded, entrainment to the speech envelope is weaker and speech
intelligibility declines. Besides perceptually evoked cortical entrainment,
transcranial alternating current stimulation (tACS) entrains neural oscillations
by applying an electric signal to the brain. Accordingly, tACS-induced
entrainment in auditory cortex has been shown to improve auditory perception. The
aim of the current study was to modulate speech intelligibility externally by
means of tACS such that the electric current corresponds to the envelope of the
presented speech stream (i.e., envelope-tACS). Participants performed the
Oldenburg sentence test with sentences presented in noise in combination with
envelope-tACS. Critically, tACS was induced at time lags of 0-250 ms in 50-ms
steps relative to sentence onset (auditory stimuli were simultaneous to or
preceded tACS). We performed single-subject sinusoidal, linear, and quadratic
fits to the sentence comprehension performance across the time lags. We could
show that the sinusoidal fit described the modulation of sentence comprehension
best. Importantly, the average frequency of the sinusoidal fit was 5.12 Hz,
corresponding to the peaks of the amplitude spectrum of the stimulated envelopes.
This finding was supported by a significant 5-Hz peak in the average power
spectrum of individual performance time series. Altogether, envelope-tACS
modulates intelligibility of speech in noise, presumably by enhancing and
disrupting (time lag with in- or out-of-phase stimulation, respectively) cortical
entrainment to the speech envelope in auditory cortex.
PMID- 29355766
TI - Reference ability neural networks and behavioral performance across the adult
life span.
AB - To better understand the impact of aging, along with other demographic and brain
health variables, on the neural networks that support different aspects of
cognitive performance, we applied a brute-force search technique based on
Principal Components Analysis to derive 4 corresponding spatial covariance
patterns (termed Reference Ability Neural Networks -RANNs) from a large sample of
participants across the age range. 255 clinically healthy, community-dwelling
adults, aged 20-77, underwent fMRI while performing 12 tasks, 3 tasks for each of
the following cognitive reference abilities: Episodic Memory, Reasoning,
Perceptual Speed, and Vocabulary. The derived RANNs (1) showed selective
activation to their specific cognitive domain and (2) correlated with behavioral
performance. Quasi out-of-sample replication with Monte-Carlo 5-fold cross
validation was built into our approach, and all patterns indicated their
corresponding reference ability and predicted performance in held-out data to a
degree significantly greater than chance level. RANN-pattern expression for
Episodic Memory, Reasoning and Vocabulary were associated selectively with age,
while the pattern for Perceptual Speed showed no such age-related influences. For
each participant we also looked at residual activity unaccounted for by the RANN
pattern derived for the cognitive reference ability. Higher residual activity was
associated with poorer brain-structural health and older age, but -apart from
Vocabulary-not with cognitive performance, indicating that older participants
with worse brain-structural health might recruit alternative neural resources to
maintain performance levels.
PMID- 29355768
TI - Idiosyncratic organization of cortical networks in autism spectrum disorder.
AB - Neuroimaging studies of Autism Spectrum Disorder (ASD) have yielded inconsistent
results indicating either increases or decreases in functional connectivity, or
both. Recent findings suggest that these seemingly divergent results might be
underpinned by greater inter-individual variability in brain network connectivity
in ASD. We tested the hypothesis that the spatial patterns of intrinsic
connectivity networks (ICNs) are more idiosyncratic in ASD, and demonstrated that
this increased variability is associated with symptomatology. We estimated whole
brain functional connectivity based on resting state functional magnetic
resonance imaging (fMRI) data obtained from the Autism Brain Imaging Data
Exchange I & II (ABIDE I & II) repository: 422 (69 females) participants with ASD
and 424 (59 females) typically developing (TD) participants between 6 and 30
years of age. We clustered individuals' patterns of resting state functional
connectivity into seven networks, each representing an ICN, and assessed the
heterogeneity of each vertex on the cortical surface across individuals in terms
of its incorporation into a particular ICN. We found that the incorporation of
individual anatomical locations (vertices) to a common network was less
consistent across individuals in ASD, indicating a more idiosyncratic
organization of ICNs in the ASD brain. This spatial shifting effect was
particularly pronounced in the Sensory-Motor Network (SMN) and the Default Mode
Network (DMN). We also found that this idiosyncrasy in large-scale brain network
organization was correlated with ASD symptomatology (ADOS). These results support
the view that idiosyncratic functional connectivity is a hallmark of the ASD
brain. We provide the first evidence that the anatomical organization of ICNs is
idiosyncratic in ASD, as well as providing evidence that such abnormalities in
brain network organization may contribute to the symptoms of ASD.
PMID- 29355767
TI - Co-activation patterns in resting-state fMRI signals.
AB - The brain is a complex system that integrates and processes information across
multiple time scales by dynamically coordinating activities over brain regions
and circuits. Correlations in resting-state functional magnetic resonance imaging
(rsfMRI) signals have been widely used to infer functional connectivity of the
brain, providing a metric of functional associations that reflects a temporal
average over an entire scan (typically several minutes or longer). Not until
recently was the study of dynamic brain interactions at much shorter time scales
(seconds to minutes) considered for inference of functional connectivity. One
method proposed for this objective seeks to identify and extract recurring co
activation patterns (CAPs) that represent instantaneous brain configurations at
single time points. Here, we review the development and recent advancement of CAP
methodology and other closely related approaches, as well as their applications
and associated findings. We also discuss the potential neural origins and
behavioral relevance of CAPs, along with methodological issues and future
research directions in the analysis of fMRI co-activation patterns.
PMID- 29355769
TI - Mapping population-based structural connectomes.
AB - Advances in understanding the structural connectomes of human brain require
improved approaches for the construction, comparison and integration of high
dimensional whole-brain tractography data from a large number of individuals.
This article develops a population-based structural connectome (PSC) mapping
framework to address these challenges. PSC simultaneously characterizes a large
number of white matter bundles within and across different subjects by
registering different subjects' brains based on coarse cortical parcellations,
compressing the bundles of each connection, and extracting novel connection
weights. A robust tractography algorithm and streamline post-processing
techniques, including dilation of gray matter regions, streamline cutting, and
outlier streamline removal are applied to improve the robustness of the extracted
structural connectomes. The developed PSC framework can be used to reproducibly
extract binary networks, weighted networks and streamline-based brain
connectomes. We apply the PSC to Human Connectome Project data to illustrate its
application in characterizing normal variations and heritability of structural
connectomes in healthy subjects.
PMID- 29355771
TI - Evaluation of kenaf fibers as moving bed biofilm carriers in algal membrane
photobioreactor.
AB - In this lab-scale study, the feasibility of using kenaf fibers as moving bed
biofilm carriers in hybrid microalgal membrane photobioreactors (HMPBR) in
organic matter and atrazine elimination from real secondary effluent was
evaluated. For evaluating the kinetics of biofilm substrate consumption, an
experimental model was proposed. Inoculation of wastewater samples with free
carriers resulted in the greater removal of target pollutants. Removal efficiency
of atrazine and chemical oxygen demand (COD) increased to 27% and 16%, with
respect to the control, respectively. The total biomass accumulation in HMPBR
exceeded 5g/L, and the microalgae tended to aggregate and attached to biofilm
carriers. The removal efficiency of HMPBR improved significantly via inoculation
of kenaf fiber carriers with bioremediation microalgal strains (p < 0.01). A
lower stabilization ratio (VSS/TSS) was also recorded. The biomass in HMPBR
included more lipids and carbohydrates. The results revealed that kenaf fibers
could improve and upgrade the biological activity of different wastewater
treatment applications, considering the great potential of biofilm carriers and
their effluent quality.
PMID- 29355772
TI - Maize straw decorated with sulfide for tylosin removal from the water.
AB - MS-ZnS and MS-ZnS:Mn complexes were synthesized via a simple method. The results
showed that sulfide was successfully loaded on the maize straw. The results of
fitting the experimental data showed that the sorption conforms to the pseudo
second-order kinetics, and the TYL sorption on MS fit the Henry model well, but
the Freundlich model was more suited to MS-ZnS and MS-ZnS:Mn. In addition, the kf
values of MS-ZnS (206.0(mg/kg)/(mg/L)n) and MS-ZnS:Mn (382.5(mg/kg)/(mg/L)n) were
significantly greater than that of MS (72.2(mg/kg)/(mg/L)n), indicating that ZnS
and ZnS:Mn could improve the sorption capacity of TYL on MS. The pH, ionic
strength and temperature influence the sorption process, and the sorption ability
of TYL on MS-ZnS and MS-ZnS:Mn showed little change when the solution pH was > 5;
the amount of TYL sorption on the adsorbents gradually decreased with the
increasing concentration of KNO3. Electrostatic interactions, H bonding and
hydrophobic interactions are involved in the sorption of TYL on MS, MS-ZnS and MS
ZnS:Mn, and compared with MS, the main mechanism is surface complexation. This
research can provide technical support for the utilization of biomass and the
restoration of water polluted by antibiotics.
PMID- 29355770
TI - Incorporating spatial constraint in co-activation pattern analysis to explore the
dynamics of resting-state networks: An application to Parkinson's disease.
AB - The dynamics of the brain's intrinsic networks have been recently studied using
co-activation pattern (CAP) analysis. The CAP method relies on few model
assumptions and CAP-based measurements provide quantitative information of
network temporal dynamics. One limitation of existing CAP-related methods is that
the computed CAPs share considerable spatial overlap that may or may not be
functionally distinct relative to specific network dynamics. To more accurately
describe network dynamics with spatially distinct CAPs, and to compare network
dynamics between different populations, a novel data-driven CAP group analysis
method is proposed in this study. In the proposed method, a dominant-CAP (d-CAP)
set is synthesized across CAPs from multiple clustering runs for each group with
the constraint of low spatial similarities among d-CAPs. Alternating d-CAPs with
less overlapping spatial patterns can better capture overall network dynamics.
The number of d-CAPs, the temporal fraction and spatial consistency of each d
CAP, and the subject-specific switching probability among all d-CAPs are then
calculated for each group and used to compare network dynamics between groups.
The spatial dissimilarities among d-CAPs computed with the proposed method were
first demonstrated using simulated data. High consistency between simulated
ground-truth and computed d-CAPs was achieved, and detailed comparisons between
the proposed method and existing CAP-based methods were conducted using simulated
data. In an effort to physiologically validate the proposed technique and
investigate network dynamics in a relevant brain network disorder, the proposed
method was then applied to data from the Parkinson's Progression Markers
Initiative (PPMI) database to compare the network dynamics in Parkinson's disease
(PD) and normal control (NC) groups. Fewer d-CAPs, skewed distribution of
temporal fractions of d-CAPs, and reduced switching probabilities among final d
CAPs were found in most networks in the PD group, as compared to the NC group.
Furthermore, an overall negative association between switching probability among
d-CAPs and disease severity was observed in most networks in the PD group as
well. These results expand upon previous findings from in vivo
electrophysiological recording studies in PD. Importantly, this novel analysis
also demonstrates that changes in network dynamics can be measured using resting
state fMRI data from subjects with early stage PD.
PMID- 29355773
TI - Occurrence and distribution of Polycyclic aromatic hydrocarbons (PAHs) in
seawater, sediments and corals from Hainan Island, China.
AB - The levels of 16 US EPA priority polycyclic aromatic hydrocarbons (PAHs) were
investigated in corals, ambient seawater and sediments of Hainan Island, China,
using gas chromatography - mass spectrometry (GC-MS). The total PAHs (?PAHs)
concentrations ranged from 273.79 to 407.82ng/L in seawater. Besides, the
concentrations of ?PAHs in corals 333.88-727.03ng/g dw) were markedly (P < 0.05)
higher than ambient sediments 67.29-196.99ng/g dw), demonstrating the
bioaccumulation ability of PAHs by corals. The highest concentration of ?PAHs was
detected at site S2 in Pavona decussate, which also bore the highest ?PAHs levels
in both seawater and sediments. The massive corals were more enriched with PAHs
than the branching corals. Although 2 and 3-ring PAHs were predominant and
accounted for 69.27-80.46% of the ?PAHs in corals and ambient environment, the
levels of high molecular weight (HMW) PAHs (4-6 ring) in corals also demonstrated
their potential dangers for corals and organisms around coral reefs. Biota
sediment accumulation factor (BSAF) refers to an index of the pollutant absorbed
by aquatic organisms from the surrounding sediments. The poor correlation between
log BSAF and log Kow (hydrophobicity) indicated that PAHs in corals maybe not
bioaccumulate from the ambient sediments but through pathways like absorbing from
seawater, symbiosis, and feeding. Based on our data, long-term ecological
monitoring in typical coral reef ecosystems combined with ecotoxicological tests
of PAHs on corals is necessary to determine the impacts of PAHs on coral reefs.
PMID- 29355774
TI - A heterogeneous Ly-6B2+ leukocyte population consists of yet undescribed iNOS
expressing cell types in murine skin wounds.
AB - The gaseous mediator nitric oxide (NO) is a central regulatory molecule during
the inflammatory phase of cutaneous tissue repair. The inducible NO-synthase
(iNOS) represents the main isoform of the three NO producing enzymes at the wound
site. In particular, keratinocytes and macrophages are described as main sources
of iNOS-derived NO in skin wounds. Here we provide experimental evidence that Ly
6B2+ leukocytes are an additional cellular source of iNOS-derived NO in wounds.
As wound iNOS protein expression temporally coincides with both macrophage and
neutrophil infiltration, we used immunohistochemistry (IHC) and fluorescence
activated cell sorting (FACS) to address iNOS expression in both macrophages and
neutrophil subsets. IHC analyses excluded F4/80+ macrophages as iNOS producers,
but indicated Ly-6G/C (Gr-1)+ neutrophils to express iNOS in wound granulation
tissue. A subsequent FACS-based analysis from cellular wound tissue preparations
revealed an iNOS-expressing fraction of Ly-6B2-determined leukocytes that
consisted of Ly-6G+ and Ly-6G- cells, meaning that mainly mature neutrophils (Ly
6B2+/Ly-6G+) as well as inflammatory monocytes (Ly-6B2+/Ly-6G-) are dominant iNOS
expressing cell types in the developing granulation tissue of acute wounds.
PMID- 29355775
TI - Real time electrochemical investigation of the release, distribution and
modulation of nitric oxide in the intestine of individual zebrafish embryos.
AB - Nitric oxide (NO) is an important signaling molecule that has been implicated in
a variety of physiological and pathophysiological processes in living organisms.
NO plays an important role in embryonic development in vertebrates and has been
reported to influence early organ development and plasticity. Quantifying NO in
single embryos and their developing organs is challenging because of the small
size of the embryos, the low dynamically changing concentration and the short
life-time of NO. Here, we measured the distribution of NO in the intestine of
live zebrafish (Danio rerio) embryos in physiological conditions and under the
influence of therapeutic agents. NO measurements were performed using a
miniaturized electrochemical sensor fabricated on a single carbon fiber (CF)
which enables quantitative real time in vivo monitoring, and by fluorescence
imaging using the 4-amino-5-methylamino-2',7'-difluorofluorescein diacetate (DAF
FM-DA) dye. NO production was detected in the middle segment the intestine at a
level of 3.78 (+/-0.64) MUM, and at lower levels in the anterior and posterior
segments, 1.08 (+/-0.22) and 1.00 (+/-0.41) MUM respectively. In the presence of
resveratrol and rosuvastatin, the intestinal NO concentration decreased by 87%
and 84%, demonstrating a downregulating effect. These results indicate the
presence of variable micromolar concentrations of NO along the intestine of
zebrafish embryos and demonstrate the usefulness of CF microelectrodes to measure
quantitatively the NO release at the level of a single organ in individual
zebrafish embryos. This work provides a unique approach to study in real time the
modulatory role of NO in vivo and contributes to further understanding of the
molecular basis of embryonic development for developmental biology and drug
screening applications.
PMID- 29355776
TI - RhoA inactivation by S-nitrosylation regulates vascular smooth muscle contractive
signaling.
AB - S-nitrosothiols derived from nitric oxide are known to regulate cell signaling
through thiol modification. Since small G protein RhoA contains cysteine residues
in the GTP-binding domain which is critical for its function, modification these
thiols may alter RhoA activity and lead to changes in the downstream signaling
such as myosin light chain phosphorylation. However, it is still unclear that if
RhoA activity and its downstream signals might be modulated by S-nitrosothiols
and if the two cysteine residues located in the GTP-binding domain are critical
for the regulation. In this study we show that S-nitroso-L-cysteine (CSNO)
blocked RhoA activation as determined by either GDP/GTP exchange, active RhoA
binding to rhotekin or RhoA translocation. CSNO was shown to lead to RhoA
nitrosylation and RhoA thiol oxidation status was found to be consistent with
loss of its activity. Mutation of all 6 single cysteine residues to serine showed
that purified recombinant C20S mutant and C26/20S mutant were resistant to CSNO,
but interestingly, in the intact cells only the double C16/20S mutant was
resistant to CSNO. Moreover, inhibition of RhoA activation led to Rho-kinase
inhibition and inhibition of Rho pathway signaling by CSNO. In both smooth muscle
cells and aortic tissue, the outcome was inhibition of agonist-stimulated MYPT1
phosphorylation and reduced levels of myosin light chain phosphorylation. These
effects of CSNO on MYPT1 and myosin light chain phosphorylation appear to be cGMP
independent since they were unaffected by inhibition of guanylyl cyclase. In
contrast to CSNO, spermine NONOate did not alter RhoA GDP/GTP exchange and the
effects of this compound on myosin light chain phosphorylation were blocked by
guanylyl cyclase inhibition. And importantly, in C16/20S overexpressed smooth
muscle cells, MYPT1 phosphorylation was resistant to the inhibitory effect of
CSNO. Together, these data suggest that S-nitrosothiols regulate myosin light
chain phosphorylation by inhibiting RhoA/Rho-kinase signaling through
modification of RhoA cysteine residues at 16 and 20 in its GTP-binding domain,
which might be an important therapeutic target for diseases with imbalanced
vascular resistance.
PMID- 29355777
TI - Redetection of human papillomavirus type 16 infections of the cervix in mid-adult
life.
AB - PURPOSE: To assess whether HPV 16 originally detected in adolescent women can be
redetected in adulthood. METHODS: A convenience sample of 27 adult women with
known HPV 16 detection during adolescence was assessed for HPV 16 redetection. A
comparison of the long control region (LCR) DNA sequences was performed on some
of the original and redetected HPV 16 isolates. RESULTS: Median age at
reenrollment was 27.5 years (interquartile range of 26.7-29.6). Reenrollment
occurred six years on average after the original HPV 16 detection. Eleven of 27
women had HPV 16 redetected. Some of these HPV 16 infections had apparently
cleared during adolescence. LCR sequencing was successful in paired isolates from
6 women; in 5 of 6 cases the redetected HPV 16 isolates were identical to those
detected during adolescence, CONCLUSIONS: HPV 16 may be episodically detected in
young women, even over long time periods. HPV 16 redetection with identical LCR
sequences suggests low-level persistent infection rather than true clearance,
although newly acquired infection with an identical HPV 16 isolate cannot be
excluded. However, this study suggests that a new HPV 16-positive test in a
clinical setting may not indicate a new infection.
PMID- 29355778
TI - Qualitative Evaluation of Individual and Group Well-Child Care.
AB - OBJECTIVE: Individual well care (IWC) is the standard delivery model for well
child care in the United States. Alternative models, such as group well care
(GWC), may create opportunities to enhance care for babies. The purpose of this
study was to evaluate parents' perceptions of social/wellness benefits and system
challenges of IWC and GWC. METHODS: Since 2014, we have provided both IWC and GWC
at an urban academic practice serving a low-income minority community. We
conducted a mixed method study involving surveys and 18 focus groups (11 IWC
groups, n = 32 parents; 7 GWC groups, n = 33 parents). Parents completed surveys
before convening focus group discussions. Survey results were analyzed using
independent t tests; focus groups were digitally recorded, transcribed, and
analyzed to identify themes. RESULTS: Both groups had similar demographics:
parents were mostly female (91%) and black (>80%); about half had incomes <
$20,000. Parents' mean age was 27 years; children's mean age was 11 months. There
were no significant differences in overall scores measuring trust in physicians,
parent empowerment, or stress. IWC parents' themes highlighted ways to improve
care delivery, while GWC parents highlighted both satisfaction with care delivery
and social/wellness benefits. GWC parents strongly endorsed this model and
reported unique benefits, such as garnering social support and learning from
other parents. CONCLUSIONS: Parents receiving both models of care identified ways
to improve primary care delivery. Given some of the benefits reported by GWC
parents, this model may provide the means to enhance resilience in parents and
children in low-income communities.
PMID- 29355779
TI - The field effect transistor DNA biosensor based on ITO nanowires in label-free
hepatitis B virus detecting compatible with CMOS technology.
AB - In this paper the field-effect transistor DNA biosensor for detecting hepatitis B
virus (HBV) based on indium tin oxide nanowires (ITO NWs) in label free approach
has been fabricated. Because of ITO nanowires intensive conductance and
functional modified surface, the probe immobilization and target hybridization
were increased strongly. The high resolution transmission electron microscopy
(HRTEM) measurement showed that ITO nanowires were crystalline and less than 50nm
in diameter. The single-stranded hepatitis B virus DNA (SS-DNA) was immobilized
as probe on the Au-modified nanowires. The DNA targets were measured in a linear
concentration range from 1fM to 10uM. The detection limit of the DNA biosensor
was about 1fM. The time of the hybridization process for defined single strand
was 90min. The switching ratio of the biosensor between "on" and "off" state was
~ 1.1 * 105. For sensing the specificity of the biosensor, non-complementary,
mismatch and complementary DNA oligonucleotide sequences were clearly
discriminated. The HBV biosensor confirmed the highly satisfied specificity for
differentiating complementary sequences from non-complementary and the mismatch
oligonucleotides. The response time of the DNA sensor was 37s with a high
reproducibility. The stability and repeatability of the DNA biosensor showed that
the peak current of the biosensor retained 98% and 96% of its initial response
for measurements after three and five weeks, respectively.
PMID- 29355780
TI - One-pot synthesis of the CuNCs/ZIF-8 nanocomposites for sensitively detecting
H2O2 and screening of oxidase activity.
AB - The fluorescent CuNCs/ZIF-8 nanocomposites were facilely prepared by mixing the
PEI protected CuNCs with the precursors of ZIF-8, and characterized by UV-vis
absorption, fluorescence, FT-IR, TEM, XRD and XPS. The quantum yield of the
CuNCs/ZIF-8 is 15 times that of the PEI-CuNCs. Furthermore, the CuNCs/ZIF-8
possesses better stability and higher fluorescence response due to protective and
confinement effects of MOFs. It was found that H2O2 could cause much more
quenching of fluorescent CuNCs/ZIF-8 than that of PEI-CuNCs, which might result
from enriching H2O2 by ZIF-8. The CuNCs/ZIF-8 can be designed as a fluorescence
probe to selectively and sensitively detect H2O2 with a linear range from 0.01 to
1.5uM and a LOD of 0.01uM, while those with PEI-CuNCs are 0.5-30uM and 0.50uM,
respectively. Through formation of CuNCs/ZIF-8 hybrid, the sensitivity for the
detection of H2O2 increases by nearly 50-fold, which makes CuNCs/ZIF-8 a
desirable probe to detect H2O2 content in human serum samples. Also, we
successfully demonstrated the potential application of the CuNCs/ZIF-8 for
screening and evaluating activities of oxidase using glucose oxidase as a model.
The glucose oxidase activity can be detected in a range of 0.1-10mU/L with a LOD
of 0.1mU/L.
PMID- 29355781
TI - Facile electrochemiluminescence sensing platform based on high-quantum-yield gold
nanocluster probe for ultrasensitive glutathione detection.
AB - This report outlines a highly sensitive and facile electrochemiluminescence (ECL)
sensing platform based on a novel high-quantum-yield Au-nanocluster (AuNC) probe
for glutathione (GSH) detection. Owing to the prominent quenching effect of GSH
on the ECL of the AuNCs, the proposed ECL nanosensor showed a wide response to
GSH in the ranges of 1.0 * 10-9-1.0 * 10-5M and 1.0 * 10-5-1.0 * 10-1M and a low
detection limit of 3.2 * 10-10M. In addition, the proposed system exhibited good
selectivity for GSH in the presence of other chemical/biological interferences.
Moreover, since no further functionalization of AuNC-based sensor interface was
necessary, together with the stability, high sensitivity and selectivity of the
proposed nanosensor, this convenient approach was able to successfully detect GSH
in both of human urine samples and blood samples with excellent recoveries, which
indicated its promising application under physiological conditions. Of
significant importance is that this study not only helps in gaining a better
understanding of the applicability of the ECL properties of AuNCs, but also
provides a new avenue for the design and development of ECL sensors based on the
novel high-quantum-yield AuNC-based probe and other functional-metal-based NC
probes.
PMID- 29355783
TI - A standard-driven approach for electronic submission to pharmaceutical regulatory
authorities.
AB - OBJECTIVE: Using standards is not only useful for data interchange during the
process of a clinical trial, but also useful for analyzing data in a review
process. Any step, which speeds up approval of new drugs, may benefit patients.
As a result, adopting standards for regulatory submission becomes mandatory in
some countries. However, preparing standard-compliant documents, such as
annotated case report form (aCRF), needs a great deal of knowledge and
experience. The process is complex and labor-intensive. Therefore, there is a
need to use information technology to facilitate this process. MATERIALS AND
METHODS: Instead of standardizing data after the completion of a clinical trial,
this study proposed a standard-driven approach. This approach was achieved by
implementing a computer-assisted "standard-driven pipeline (SDP)" in an existing
clinical data management system. SDP used CDISC standards to drive all processes
of a clinical trial, such as the design, data acquisition, tabulation, etc.
RESULTS: A completed phase I/II trial was used to prove the concept and to
evaluate the effects of this approach. By using the CDISC-compliant question
library, aCRFs were generated automatically when the eCRFs were completed. For
comparison purpose, the data collection process was simulated and the collected
data was transformed by the SDP. This new approach reduced the missing data
fields from sixty-two to eight and the controlled term mismatch field reduced
from eight to zero during data tabulation. CONCLUSION: This standard-driven
approach accelerated CRF annotation and assured data tabulation integrity. The
benefits of this approach include an improvement in the use of standards during
the clinical trial and a reduction in missing and unexpected data during
tabulation. The standard-driven approach is an advanced design idea that can be
used for future clinical information system development.
PMID- 29355782
TI - An ultrasensitive competitive immunosensor using silica nanoparticles as an
enzyme carrier for simultaneous impedimetric detection of tetrabromobisphenol A
bis(2-hydroxyethyl) ether and tetrabromobisphenol A mono(hydroxyethyl) ether.
AB - Based on our produced polyclonal antibody capable of recognizing
tetrabromobisphenol A bis(2-hydroxyethyl) ether (TBBPA-DHEE) and
tetrabromobisphenol A mono(hydroxyethyl) ether (TBBPA-MHEE) (cross-reactivity,
100% for TBBPA DHEE; 98.7% for TBBPA MHEE), an important derivative and byproduct
of tetrabromobisphenol A (TBBPA), respectively, a novel ultrasensitive
competitive immunosensor was established using an electrochemical impedimetric
strategy for the simultaneous detection of both chemicals. A significantly
amplified electrochemical impedance spectroscopy (EIS) for quantitative target
analysis was obtained through (i) the biocatalytic precipitation of 4-chloro-1
naphthol (CN) on the electrode surface triggered by horseradish peroxidase (HRP)
and (ii) increased amounts of the enzyme with HRP-loaded silica nanoparticles
carrying poly-brushes (SiO2@PAA) as labels, achieving a remarkable improvement in
catalytic performance. Under the optimized conditions, the immunosensor showed
satisfactory accuracy (recovery, 84.6-118%) and a good linear range (0.21-
111.31ng/mL) with a limit of detection (LOD) of 0.08ng/mL (S/N = 3) for TBBPA
DHEE and TBBPA MHEE. In addition, the proposed approach was used to analyse real
environmental water samples, and our results indicated that this immunosensor had
great potential for the determination of the trace pollutants in aquatic
environments.
PMID- 29355784
TI - User acceptance of location-tracking technologies in health research:
Implications for study design and data quality.
AB - Research regarding place and health has undergone a revolution due to the
availability of consumer-focused location-tracking devices that reveal fine
grained details of human mobility. Such research requires that participants
accept such devices enough to use them in their daily lives. There is a need for
a theoretically grounded understanding of acceptance of different location
tracking technology options, and its research implications. Guided by an extended
Unified Theory of Acceptance and Use of Technology (UTAUT), we conducted a 28-day
field study comparing 21 chronically ill people's acceptance of two leading,
consumer-focused location-tracking technologies deployed for research purposes:
(1) a location-enabled smartphone, and (2) a GPS watch/activity tracker.
Participants used both, and completed two surveys and qualitative interviews.
Findings revealed that all participants exerted effort to facilitate data
capture, such as by incorporating devices into daily routines and developing
workarounds to keep devices functioning. Nevertheless, the smartphone was
perceived to be significantly easier and posed fewer usability challenges for
participants than the watch. Older participants found the watch significantly
more difficult to use. For both devices, effort expectancy was significantly
associated with future willingness to participate in research although prosocial
motivations overcame some concerns. Social influence, performance expectancy and
use behavior were significantly associated with intentions to use the devices in
participants' personal lives. Data gathered via the smartphone was significantly
more complete than data gathered via the watch, primarily due to usability
challenges. To make longer-term participation in location tracking research a
reality, and to achieve complete data capture, researchers must minimize the
effort involved in participation; this requires usable devices. For long-term
location-tracking studies using similar devices, findings indicate that only
smartphone-based tracking is up to the challenge.
PMID- 29355785
TI - Efficacy, safety, and economics of bracing after spine surgery: a systematic
review of the literature.
AB - BACKGROUND CONTEXT: Bracing is often used after spinal surgery to immobilize the
spine, improve fusion, and relieve pain. However, controversy exists regarding
the efficacy, necessity, and safety of various bracing techniques in the
postsurgical setting. PURPOSE: In this systematic review, we aimed to compare the
effectiveness, safety, and cost-effectiveness of postoperative bracing versus no
postoperative bracing after spinal surgery in patients with several common
operative spinal pathologies. STUDY DESIGN/SETTING: A systematic review was
carried out to compare postoperative bracing and no postoperative bracing.
METHODS: A systematic search was conducted of MEDLINE, Embase, and the Cochrane
Collaboration Library from 1970 to May 2017, supplemented by manual searching of
the reference list of relevant studies and previously published reviews. Studies
were included if they compared disability, quality of life, functional
impairment, radiographic outcomes, cost-effectiveness, or complications between
patients treated with postoperative bracing and patients not receiving any
postoperative bracing. Each article was critically appraised independently by two
reviewers, and the overall body of evidence was rated using guidelines outlined
by the Grading of Recommendation Assessment, Development and Evaluation (GRADE)
Working Group. RESULTS: Of the 858 retrieved citations, 5 studies met the
inclusion criteria and were included in this review, consisting of 4 randomized
controlled trials and 1 prospective cohort study. Low to moderate evidence
suggests that there are no significant differences in most measures of
disability, pain, quality of life, functional impairment, radiographic outcomes,
and safety between groups. Isolated studies reported statistically significant
and inconsistent differences between groups with respect to Neck Disability Index
at 6 weeks postoperatively or Short Form-36 Physical Component Score at 1.5, 3,
6, and 12 months postoperatively. CONCLUSIONS: Based on limited evidence,
postoperative bracing does not result in improved outcomes after spinal surgery.
Future high-quality randomized trials will be required to confirm these findings.
PMID- 29355787
TI - The potential and perils of prognosticating persistent post-traumatic problems
from a postpositivist perspective.
AB - BACKGROUND CONTEXT: Predicting recovery after traumatic neck pain has become an
active area of research but is moving in several different directions with
currently little consensus on the important outcomes to predict or relevant
variables to predict them. PURPOSE: This editorial explores the current state of
prognostic (risk)-based tools or algorithms for predicting the likelihood of
chronic problems after acute axial trauma, with a focus on traumatic neck pain
(ie, whiplash-associated disorder). STUDY DESIGN/SETTING: This paper has an
editorial study design. METHOD: This is a narrative commentary. RESULTS:
Prognostic efforts have value in guiding clinical decision-making and optimizing
resource allocation to those at highest risk while minimizing iatrogenic
disability for those at lower risk, but there are also several important caveats
that should be observed when applying and interpreting the results of such tools.
These include the biases associated with predicting outcomes based on findings
from a single administration of a tool, inappropriate assumptions of causality,
assumptions of linear relationships, and inability to consider the unique
individual traits and contexts of patients that likely interact with clinical
variables to influence the actual degree of risk they impart. CONCLUSIONS: The
paper concludes with a brief overview of trends that are likely to dramatically
change the field, including creation of large clinical databases and big data
analytics.
PMID- 29355786
TI - Upregulation of calcium channel alpha-2-delta-1 subunit in dorsal horn
contributes to spinal cord injury-induced tactile allodynia.
AB - BACKGROUND CONTEXT: Spinal cord injury (SCI) commonly results not only in motor
paralysis but also in the emergence of neuropathic pain (NeuP), both of which can
impair the quality of life for patients with SCI. In the clinical field, it is
well known that pregabalin, which binds to the voltage-gated calcium channel
alpha-2-delta-1 (alpha2delta-1) subunit has therapeutic effects on NeuP after
SCI. A previous study has demonstrated that SCI increased alpha2delta-1 in the L4
L6 dorsal spinal cord of SCI rats by Western blot analysis and that the increase
of alpha2delta-1 was correlated with tactile allodynia of the hind paw. However,
the detailed feature of an increase in alpha2delta-1 protein in the spinal dorsal
horn and the mechanism of pregabalin effect on SCI-induced NeuP have not been
fully examined. PURPOSE: This study aimed to examine the detailed distribution of
alpha2delta-1 expression in the lumbar spinal cord after thoracic SCI in rats and
the correlation of the therapeutic effect of pregabalin in SCI rats. STUDY
DESIGN: Male Sprague-Dawley rats underwent thoracic (T10) spinal cord contusion
injury using the IH impactor device. Spinal cord injury rats received pregabalin
(30 mg/kg) once a day for 2 weeks over a 4-week period after SCI. METHODS: The
mechanical threshold in the rat hind paw was measured over 4 weeks. Alpha-2-delta
1 expression in the lumbar spinal cord and in the dorsal root ganglion (DRG) was
analyzed using immunohistochemistry and in situ hybridization histochemistry.
RESULTS: A significant reduction of the withdrawal threshold of mechanical
stimuli to the hind paw was observed for 2 weeks and continued at least 4 weeks
after SCI. In the control rats, expression of alpha2delta-1 immunoreactivity was
detected mainly in laminae I and II in the lumbar dorsal horn. Thoracic SCI
significantly increased alpha2delta-1 immunoreactivity in laminae I and II in the
lumbar dorsal horn 4 weeks after SCI; however, thoracic SCI did not affect the
expression of alpha2delta-1 mRNA in the L4 and L5 DRGs. Meanwhile, the signal
intensity of alpha2delta-1 mRNAs in the lumbar spinal cord increased from Day 7
and continued for at least 28 days after SCI. Cellular analysis showed that SCI
increased the number of alpha2delta-1-expressing cells in laminae I and II. The
tactile allodynia of the hind paw in the SCI rats was reversed after pregabalin
treatment and was maintained for 21 days. This administration of pregabalin
decreased the alpha2delta-1 immunoreactivity significantly in the lumbar dorsal
horn of thoracic SCI rats at 28 days after SCI. CONCLUSIONS: The present study
results suggest that an increase of alpha2delta-1 in the L4 and L5 dorsal horns
after thoracic SCI is derived from the increase in the expression in lumbar
spinal neurons. This increase may be involved in the development of NeuP in the
hind paws and the therapeutic effect of pregabalin on central NeuP after SCI.
PMID- 29355788
TI - The kinetics of autophagy in the lung following acute spinal cord injury in rats.
AB - BACKGROUND CONTEXT: Lung injury is a major cause of respiratory complications
following an acute spinal cord injury (ASCI), which are associated with a high
mortality rate. Autophagy has been shown to be involved in a variety of lung
diseases; however, whether autophagy is activated in the lung following ASCI
remains unknown. PURPOSE: The objective of this study was to investigate the
induction of autophagy in the lung after ASCI. STUDY DESIGN: This is an
experimental animal study of ASCI investigating kinetics of autophagy in the lung
following ASCI. METHODS: One hundred and forty-four rats (N=144) were divided
into two groups: (1) a sham (n=72) and (2) an injury group (n=72). Allen's method
was used to induce an injury at the level of the 10th thoracic vertebra. Rats
were sacrificed at 6, 12, 24, 48, and 72 hours, 1 week, and 2 weeks after
surgery. Lung pathology and apoptosis were assessed to determine the level of
damage in the lung. LC3, RAB7, P62, and Beclin 1 were used to detect the
induction of autophagy. The study was funded by the Natural Science Foundation of
China (NSFC,81272172); National Key Specialty Construction of Clinical Projects
of China (#2013-544). The funder of the present study had no capacity to
influence the scholarly conduct of the research, interpretation of results, or
dissemination of study outcomes. RESULTS: In the injury group, pathologic changes
(i.e., pulmonary congestion, hemorrhage, inflammatory exudation, and alveolar
collapse) occurred within the lung tissue within 72 hours after ASCI. Apoptosis
of the lung cells gradually increased and peaked 72 hours after ASCI. Within 24
hours of ASCI, LC3 expression decreased, recovered, and gradually increased from
24 hours to 72 hours. As RAB7 decreased, P62 increased, and the ratio of RAB7/LC3
significantly decreased. CONCLUSIONS: After ASCI, autophagy in the injured lung
underwent dynamic changes, as early autophagosome formation decreased and late
autophagosomes accumulated; thus, autophagy is in a state of inhibition.
PMID- 29355789
TI - Surgical site infection in spinal metastasis: incidence and risk factors.
AB - BACKGROUND: Surgical site infection (SSI) in spinal metastasis surgery represents
the most common postoperative surgical complication with high morbidity and
mortality. OBJECTIVE: This study aims to evaluate the incidence of SSI in spinal
metastasis surgery and its risk factors. STUDY DESIGN: This is a retrospective
analysis of a prospectively collected data. METHODS: Preoperative, operative, and
postoperative data were collected together with the modified Tokuhashi score and
Frankel score at all time checkpoints. Surgical site infection was divided into
superficial and deep SSI, as well as early (<90 days) and late SSI. Multiple
logistic regression analysis was performed to identify independent risk factors,
with p<.05 as significance threshold. RESULTS: A total of 297 patients were
included, with an incidence of SSI of 5.1% (superficial SSI: 3.4%; deep SSI: 1.7
%). Cervicothoracic surgery was associated with the highest incidence of SSI,
whereas cervical surgery had the lowest incidence. Smoking, higher number of
spinal metastasis, elevated body mass index (BMI), and higher ASA (American
Society of Anesthesiologist) score were the preoperative factors associated with
increased risk of SSI. Increased intraoperative blood loss and increased number
of fixed vertebra increased the SSI incidence. SSI increased hospital stay by a
mean of 12 days. When all these variables are analyzed in a multiple regression
model, only surgical time>=4 hours and ASA>=3 were found to be independent risk
factors for the occurrence of SSI. CONCLUSION: This paper represents the largest
series of spinal metastasis with a mean incidence of SSI of 5.1%. Smoking, higher
BMI, higher number of spinal metastasis, higher ASA score, higher number of fused
vertebra, intraoperative bleeding>=2000 mL, and neurologic deterioration are risk
factors for SSI occurrence. Only ASA>=3 and operative duration>=4 hours are
independent risk factors for this complication occurrence. Finally, SSI
occurrence is associated with increased hospital stay, increased 30-day mortality
rate, and decreased survival rates.
PMID- 29355790
TI - Small C7-T1 lordotic angle and muscle degeneration at C7 level were independent
radiological characteristics of patients with cervical imbalance: a propensity
score-matched analysis.
AB - BACKGROUND CONTEXT: Cervical sagittal vertical axis (cSVA) of >=40 mm is
recognized as the key factor of poor health-related quality of life, poor
surgical outcomes, and correction loss after surgery for cervical deformity.
However, little is known about the radiological characteristics of patients with
cSVA>=40 mm. PURPOSE: The purpose of this study was to identify the radiological
characteristics of patients with cervical imbalance. DESIGN: Retrospective
analysis of weight-bearing cervical magnetic resonance (MR) images. PATIENT
SAMPLE: Consecutive 1,500 MR images of symptomatic patients in weight-bearing
position. OUTCOME MEASURES: Cervical sagittal vertical axis, cervical alignment,
cervical balance parameters (T1 slope, Co-C2 angle, C2-C7 angle, C7-T1 angle,
neck tilt, and thoracic inlet angle), disc degeneration (Pfirmann and Suzuki
classification), end plate degeneration (Modic change), spondylolisthesis (antero
and retrolisthesis), anteroposterior (AP) diameter of dural sac, cross-sectional
area (CSA), and fat infiltration ratio of the transversospinalis muscles at C4
and C7 levels. METHODS: Patients were divided into two groups: cSVA>=40 mm and
cSVA<40 mm. Gender, age, and cervical alignment were analyzed. Subsequently,
matched imbalance (cSVA>=40 mm) and control (<40 mm) groups were created using
the propensity score to adjust for age, gender, and cervical alignment.
Cervicothoracic angular parameters, disc degeneration, Modic change,
spondylolisthesis, and degeneration of the transversospinalis muscles at C4 and
C7 were compared. Variables with p<.05 were included in the multinomial logistic
regression model to identify factors that relate to the cervical balance
grouping. RESULTS: The incidence of patients with cervical imbalance was 2.5% (37
patients). Those patients had a higher incidence of kyphosis, were older, and
there were more male patients. In the matched imbalance group, the T1 slope was
greater (p=.028), C7-T1 lordotic angle was smaller (p<.001), the number of
anterolisthesis was greater (p=.012), and the fat infiltration ratio at C4 and C7
was higher (p=.023, 0.030) compared with the control. Logistic regression
analysis showed that the C7-T1 angle (adjusted odds ratio [aOR]=0.592, p=.001)
and fat infiltration ratio at C7 level (aOR=1.178, p=.030) were significant
independent variables. CONCLUSIONS: Smaller C7-T1 lordotic angle and severe
muscle degeneration at C7 level were independent characteristics of patients with
cervical imbalance.
PMID- 29355791
TI - Relationships between vitamin D and paraspinal muscle: human data and
experimental rat model analysis.
AB - BACKGROUND CONTEXT: Vitamin D deficiency (VDD) has been closely linked with
skeletal muscle atrophy in many studies, but to date no study has focused on the
paraspinal muscle. PURPOSE: To verify paraspinal muscle changes according to
serum vitamin D level. STUDY DESIGN: A cross-sectional study of patients who
visited our hospital and an in vivo animal study. METHODS: We measured serum
vitamin D concentration in 91 elderly women and stratified them according to
their vitamin D status in three groups, control, vitamin D insufficiency, and
VDD, and obtained magnetic resonance imaging data of the lumbar spine and
evaluated the quality and quantity of the paraspinal muscles. Additionally, we
designed experimental rat models for VDD and VDD replacement. Then, we analyzed
the microcomputed tomography data and histologic data of paraspinal muscles, and
the histologic data and reverse transcription-quantitative polymerase chain
reaction data of intramyonuclear vitamin D receptor (VDR) in paraspinal muscle
through comparison with control rats (n=25, each group). This work was supported
by a Biomedical Research Institute grant ($40,000), Kyungpook National University
Hospital (2014). RESULTS: In the human studies, a significant decrease was noted
in the overall paraspinal muscularity (p<.05) and increase in fatty infiltration
in the VDD group as compared with the other groups (p<.05). In the rat
experiment, a decrease was noted in paraspinal muscle fiber size and VDR
concentration and VDR gene expression level, and total muscle volume of the VDD
rats as compared with the control rats (p<.05). Vitamin D replacement after VDD
could partially restore the muscle volume, muscle fiber size, and intramyonuclear
VDR concentration levels (p<.05) of the paraspinal muscles. CONCLUSIONS: VDD
induces paraspinal muscle atrophy and decreases the intramyonuclear VDR
concentration and VDR gene expression level in these muscles. Vitamin D
replacement contributes to the recovery from atrophy and restoration of
intramyonuclear VDR concentration in VDD status.
PMID- 29355792
TI - Does the application site of spinal manipulative therapy alter spinal tissues
loading?
AB - BACKGROUND CONTEXT: Previous studies found that the intervertebral disc (IVD)
experiences the greatest loads during spinal manipulation therapy (SMT). PURPOSE:
Based on that, this study aimed to determine if loads experienced by spinal
tissues are significantly altered when the application site of SMT is changed.
STUDY DESIGN: A biomechanical robotic serial dissection study. SAMPLE: Thirteen
porcine cadaveric motion segments. OUTCOME MEASURES: Forces experienced by lumbar
spinal tissues. METHODS: A servo-controlled linear actuator provided standardized
300 N SMT simulations to six different cutaneous locations of the porcine lumbar
spine: L2-L3 and L3-L4 facet joints (FJ), L3 and L4 transverse processes (TVP),
and the space between the FJs and the TVPs (BTW). Vertebral kinematics were
tracked optically using indwelling bone pins; the motion segment was removed and
mounted in a parallel robot equipped with a six-axis load cell. Movements of each
SMT application at each site were replayed by the robot with the intact specimen
and following the sequential removal of spinal ligaments, FJs and IVD. Forces
induced by SMT were recorded, and specific axes were analyzed using linear mixed
models. RESULTS: Analyses yielded a significant difference (p<.05) in spinal
structures loads as a function of the application site. Spinal manipulative
therapy application at the L3 vertebra caused vertebral movements and forces
between L3 and L4 spinal segment in the opposite direction to when SMT was
applied at L4 vertebra. Additionally, SMT applications over the soft tissue
between adjacent vertebrae significantly decreased spinal structure loads.
CONCLUSION: Applying SMT with a constant force at different spinal levels creates
different relative kinetics of the spinal segments and load spinal tissues in
significantly different magnitudes.
PMID- 29355793
TI - Position of the French Working Group on Perioperative Haemostasis (GIHP) on
viscoelastic tests: What role for which indication in bleeding situations?
AB - PURPOSE: Viscoelastic tests (VETs), thromboelastography (TEG(r)) and
thromboelastometry (ROTEM(r)) are global tests of coagulation performed on whole
blood. They evaluate the mechanical strength of a clot as it builds and develops
after coagulation itself. The time required to obtain haemostasis results remains
a major problem for clinicians dealing with bleeding, although some teams have
developed a rapid laboratory response strategy. Indeed, the value of rapid point
of-care diagnostic devices such as VETs has increased over the years. However,
VETs are not standardised and there are few recommendations from the learned
societies regarding their use. In 2014, the recommendations of the International
Society of Thrombosis and Haemostasis (ISTH) only concerned haemophilia. The
French Working Group on Perioperative haemostasis (GIHP) therefore proposes to
summarise knowledge on the clinical use of these techniques in the setting of
emergency and perioperative medicine. METHODS: A review of the literature.
PRINCIPAL FINDINGS: The role of the VETs seems established in the management of
severe trauma and in cardiac surgery, both adult and paediatric. In other
situations, their role remains to be defined: hepatic transplantation, postpartum
haemorrhage, and non-cardiac surgery. They must be part of the global management
of haemostasis based on algorithms defined in each centre and for each population
of patients. Their position at the bedside or in the laboratory is a matter of
discussion between clinicians and biologists. CONCLUSION: VETs must be included
in algorithms. In consultation with the biology laboratory, these devices should
be situated according to the way each centre functions.
PMID- 29355794
TI - Surgical Results of Common Peroneal Nerve Neuroplasty at Lateral Fibular Neck.
AB - BACKGROUND: Common peroneal nerve (CPN) compressive neuropathy is the most common
lower-extremity entrapment neuropathy. MATERIALS AND METHODS: A retrospective
review of a prospectively maintained single-institution database of all patients
with CPN palsy who underwent decompression and neuroplasty over a 5-year period
was performed. RESULTS: Thirty patients underwent a neuroplasty of the CPN over a
5-year period (2010-2015) at our institution. The median age was 45 years, and
there was a male preponderance. The average time between first onset of symptoms
to surgery was 122.9 weeks and between first clinic visit and surgery was 21
weeks. The etiology of the CPN neuropathy was as follows: in 12 patients, it
followed a surgical procedure and in 14 patients, it occurred after a trauma to
the lower extremity. In 2 patients, it occurred as a result of a mass lesion
compromising the nerve and in 1 patient, a local infection predisposed to CPN
palsy. Right and left lower extremities were equally involved. The median body
mass index was 28.6. The most common presentation was weakness of the tibialis
anterior (TA) and extensor hallucis longus (EHL) and loss of sensation in the
distribution of the CPN or one of its major branches. Pain was a presenting
symptom in 16 patients. Only 12 of the 30 patients had a positive Tinel's sign at
the site of compression over the lateral fibular neck. Preoperative
electrophysiologic confirmation of CPN neuropathy was available in all patients.
Mean follow-up was 52 weeks. Prone positioning and selective use of the operating
microscope provided excellent visualization and surgical exposure of the CPN from
the lower popliteal region to the peroneal tunnel. Average operating room time
was 170 minutes and average skin-to-skin time 91 minutes. Clinical improvement
after surgery in terms of motor function was noted in 24 of the 26 patients who
presented with a motor deficit. The most consistent improvement was noted in the
TA and EHL; a trend toward greater improvement with shorter time to surgery was
noted. No complications related to the surgical site or CPN were encountered, and
no patient had a decline in their neurologic examination as a consequence of the
surgery. One patient developed a positioning-related right upper-extremity
brachial plexus neuropraxic injury after surgery that recovered completely.
CONCLUSIONS: Common peroneal neuropathy usually presents with weakness of the TA
and EHL and decreased sensation or pain in the distribution of the CPN.
Microscope-assisted surgical neuroplasty of the CPN at the lateral fibular neck
with the patient in a prone position allows decompression of the nerve from the
lower popliteal region to the peroneal tunnel. Significant improvement in motor
strength after surgery, particularly of the TA and EHL, was observed in this
series.
PMID- 29355796
TI - Clinical Utility of Serum Procalcitonin Level and Infection in the Neurosurgical
Intensive Care Unit.
AB - BACKGROUND: Procalcitonin, a bloodstream inflammatory biomarker, has proven
useful in the diagnosis of sepsis in critically ill patients treated in medical
and surgical intensive care units. This study aims to further our understanding
of the significance of procalcitonin levels in neuroscience intensive care unit
(NICU) patients. METHODS: Neurosurgical patients who underwent a procalcitonin
assay in an NICU over a 2-year period were included in our analysis. A
procalcitonin level >=0.2 ng/mL was considered a positive result. Infection was
defined by clinical and/or microbiological diagnosis. Sensitivity, specificity,
positive predictive value, and negative predictive value in the diagnosis of
clinically and/or microbiologically identified infection were calculated for
procalcitonin level >=0.2 ng/mL. RESULTS: The study cohort comprised 203
patients, including 63 with a positive procalcitonin assay (31%). Meeting the
criteria for SIRS was the most common reason for a procalcitonin draw (35.5%). A
procalcitonin level >0.2 ng/mL was not significantly associated with infection (P
= 0.25). With a 37.4% false-negative rate and a 10.8% false-positive rate, the
sensitivity of a procalcitonin level >0.2 ng/mL was 35.0%, specificity was 74.4%,
the positive predictive value was 65.1%, and the negative predictive value was
45.7%. A receiver operating characteristic analysis revealed an area under the
curve of 0.61. CONCLUSIONS: Although the utility of procalcitonin in sepsis and
bacterial pneumonia has been amply demonstrated, this biomarker shows limited
utility in diagnosing infection in our cohort, emphasizing the importance of
cautious and selective use of procalcitonin assays in NICU patients.
PMID- 29355795
TI - Surgical Management of Myelomeningocele-Related Spinal Deformities.
AB - OBJECTIVE: To evaluate the optimal timing and type of surgical treatment of
myelomeningocele (MMC)-related spinal deformities and long-term follow-up of
surgical treatment. METHODS: We reviewed and presented clinical pictures,
treatment strategies and results of 20 patients with MMC-related spinal
deformities treated at our center between 2010 and 2017. RESULTS: The average
patient age was 6.3 years. The average preoperative neurologic status according
to a modified Japan Orthopedic Association (mJOA) scale was 7.3 points (Benzel's
modification). Average functional status was 41 points according to a functional
independent measure scale (FIM). The average angle of kyphosis was 83.7 degrees ,
that of scoliosis was 36.7 degrees , and that of lordosis was 67 degrees (Cobb
angles). The average duration of surgery was 234 minutes, and the average total
blood loss was 175 mL. The average angle of kyphosis correction was 61 degrees ,
that of scoliosis correction was 25 degrees , and that of lordosis correction was
25 degrees (Cobb angles). The average duration of hospitalization was 16.6 days,
and the average follow-up was 34.5 months. The total number of complications was
13. Reoperation was required in 9 cases. Neurologic status according to the mJOA
scale improved by 0.6 point on average. Functional status according to the FIM
increased by 6.6 points on average. CONCLUSIONS: Early surgical correction of MMC
related spinal deformities improves body balance and quality of life. The dual
growing rod technique is safe and effective in cases of moderate neuromuscular
spinal deformities at an early age. Kyphectomy is a challenging procedure with
high complication rates, especially skin problems, but there are no alternative
procedures for cases of heavy rigid kyphosis.
PMID- 29355797
TI - CyberKnife Radiosurgery in the Multimodal Management of Patients with Cushing
Disease.
AB - BACKGROUND: Surgery is the primary treatment for Cushing disease. When surgery is
unsuccessful in normalizing hypercortisolism, adjuvant radiation, such as
stereotactic radiosurgery, may be useful to improve biochemical control. METHODS:
This retrospective study included a cohort of consecutive patients treated with
CyberKnife (CK) radiosurgery for active Cushing disease at Stanford Hospital and
Clinics. RESULTS: As first-line treatment, all patients underwent transsphenoidal
surgery with histologic demonstration of an adrenocorticotropic hormone-producing
pituitary adenoma. CK was performed as adjuvant therapy for persistent or
recurrent disease. The median time between surgery and CK was 14 +/- 34 months.
Before CK, median maximal diameter of tumors was 9 mm (range, 7-32 mm), with
cavernous sinus invasion in all patients (100%) and abutment of the optic chiasm
in 1 patient (14.2%). With an average follow-up of 55.4 months, normalization of
hypercortisolism was achieved in 4 patients (57.1%): 2 patients (28.5%) achieved
normalization of the hypothalamic-pituitary-adrenal axis without glucocorticoid
replacement, and 2 patients developed hypoadrenalism (28.5%). The median time to
biochemical remission was 12.5 months. Hypopituitarism occurred in only 1 patient
(14.2%), and no patients had visual complications. Time between surgery and
radiotherapy of <14 months was associated with a significantly improved
biochemical remission rate (P = 0.02). CONCLUSIONS: In a cohort of patients with
Cushing disease, we demonstrate that CK is an effective treatment with rare
complications.
PMID- 29355798
TI - Awake Surgery for Gliomas within the Right Inferior Parietal Lobule: New Insights
into the Functional Connectivity Gained from Stimulation Mapping and Surgical
Implications.
AB - OBJECTIVE: Little is known about the functional role of the white matter
connections running within and around the right inferior parietal lobule (IPL).
We used direct electrostimulation during awake surgery to investigate this
connectivity and to avoid permanent deficit after resection for right IPL
gliomas. METHODS: We reviewed patients who underwent awake mapping for a glioma
involving the right IPL. Resection was achieved up to functional
corticosubcortical boundaries detected by electrostimulation. Results of the
intraoperative mapping were confronted to preoperative and postoperative magnetic
resonance imaging to perform anatomofunctional correlations. RESULTS: Fourteen
consecutive patients were enrolled (9 men; mean age, 44 years). Cortically, the
resection was limited anteriorly by the retrocentral somatosensory area (11
patients) or by the precentral motor cortex (3 patients). Subcortically, the
thalamocortical pathways were identified anteriorly in all patients. Articulatory
disturbances were elicited anteriorly and laterally (6 patients) corresponding to
the superior longitudinal fasciculus part III. Deeper and superiorly, stimulating
the superior longitudinal fasciculus part II or the arcuate fasciculus induced
spatial disorders (6 patients). More laterally and posteriorly, disrupting the
inferior fronto-occipital fasciculus induced nonverbal semantic disorders (7
patients). Six patients had visual deficits while the optic radiations were
stimulated. A total or subtotal resection was achieved in all patients but one.
There were no permanent impairments, except an expected left superior
quadrantanopia in 4 patients. CONCLUSIONS: This is the first surgical series
focusing on right IPL gliomas. The complex functional connectivity detected
within and around this region fully supports the use of intraoperative multimodal
functional mapping for optimizing outcomes.
PMID- 29355799
TI - Seizures After Intracerebral Hemorrhage: Incidence, Risk Factors, and Impact on
Mortality and Morbidity.
AB - OBJECTIVE: Spontaneous intracerebral hemorrhage (ICH) is one of the most frequent
causes of epilepsy in the United States. However, reported risk factors for
seizure after are inconsistent, and their impact on inpatient morbidity and
mortality is unclear. We aimed to study the incidence, risk factors, and impact
of seizures after ICH in a nationwide patient sample. METHODS: We queried the
Nationwide Inpatient Sample for patients admitted to the hospital with a primary
diagnosis of ICH between the years 1999 and 2011. Patients were subsequently
dichotomized into groups of those with a diagnosis consistent with seizure and
those without. Multivariate logistic regression was used to assess risk factors
for seizure in this patient sample, and the association between seizures and
mortality and morbidity. Logistic regression was then used for trend analysis of
incidence of seizure diagnoses over time. RESULTS: We identified 220,075 patients
admitted with a primary diagnosis of ICH. Of these, 11.87% had a diagnosis
consistent with seizure. Factors associated with increased risk of seizure after
ICH included higher categorical van Walraven score, encephalopathy, alcohol
abuse, solid tumor, and prior stroke. Seizure was independently associated with
decreased odds of morbidity (odds ratio [OR], 0.89; 95% confidence interval [CI],
0.86-0.92) and mortality (OR, 0.75; 95% CI, 0.72-0.77) in multivariate models
controlling for existing comorbidities. CONCLUSIONS: Seizures after were
associated with decreased mortality and morbidity despite attempts to correct for
existing comorbidities. Continuous monitoring of these patients for seizures may
not be necessary in all circumstances, despite their frequency.
PMID- 29355800
TI - Surgical Approaches for the Treatment of Multilevel Cervical Ossification of the
Posterior Longitudinal Ligament: Results of a Decision Analysis.
AB - BACKGROUND: Ossification of the posterior longitudinal ligament (OPLL) often
leads to cervical myelopathy. Although multiple procedures have been shown to be
effective in the treatment of OPLL, outcomes are less predictable than in
degenerative cervical myelopathy, and surgery is associated with high rates of
complications and reoperation, which affect quality of life. In this study, we
performed a decision analysis using postoperative complication data and health
related quality of life (HRQoL) utility scores to assess the average expected
health utility and 5-year quality-adjusted life years (QALYs) associated with the
most common surgical approaches for multilevel cervical OPLL. METHODS: We
searched Medline, EMBASE, and the Cochrane Library for relevant articles
published between 1990 and October 2017. Meta-analytically pooled complication
data and HRQoL utility scores associated with each complication were evaluated in
a long-term model. RESULTS: The overall incidence of perioperative complications
ranged from 6.2% for laminectomy alone to 11.0% for anterior decompression and
fusion. Revision surgery for hardware/fusion failure or progression was highest
for laminectomy alone (3.0%) and lowest for laminectomy and fusion (1.6%).
Laminoplasty resulted in the highest 5-year QALYs gained, compared with
laminectomy and anterior approaches (P < 0.001). There was no significant
difference in QALY gained between laminectomy-fusion and laminoplasty.
CONCLUSION: The results suggest that owing to the higher rates of complications
associated with anterior cervical approaches, laminoplasty may result in improved
long-term outcomes from an HRQoL standpoint. These findings may guide surgeons in
cases where either procedure is a reasonable option.
PMID- 29355802
TI - Outcomes of Microsurgical Clipping of Recurrent Aneurysms After Endovascular
Coiling.
AB - BACKGROUND: The outcomes of microsurgery of previously coiled aneurysms have been
poorly described, and little is known about the factors predictive of poor
outcome. Here we aimed to identify predictors of poor outcome following
microsurgery for previously coiled recurrent aneurysms. METHODS: In this
retrospective cohort study of a prospectively maintained vascular database, we
reviewed presentations, recurrent aneurysm measurements, surgery, and outcomes of
microsurgical clipping of recurrent previously coiled intracranial aneurysms.
RESULTS: Our series comprised 39 patients (mean age, 49 years; range, 22-70
years) who underwent microsurgical clipping of 40 previously coiled intracranial
aneurysms. One patient suffered seizures, 1 patient experienced transient
neurologic worsening, and 1 patient developed hyponatraemia, none of whom had
long-term sequelae. Two patients sustained postoperative infarcts, for an overall
incidence of permanent morbidity of 5.1%. There were no deaths or rebleeds on
follow-up. In 3 patients, including the 2 patients with infarct and 1 patient
with a transient deficit, an attempt was made to remove the coil ball. These
patients had larger aneurysms (1106 mm3 vs. 135 mm3; P = 0.005), with larger coil
balls (257 mm3 vs. 52 mm3; P = 0.01) and wider necks (7.09 mm vs. 2.69 mm; P =
0.02) but smaller remnant heights (1.59 mm vs. 1.99 mm; P = 0.04). They were also
more likely to have prolapsing coil loops (3/3 vs. 3/27; P = 0.016). CONCLUSIONS:
Our study demonstrates good clinical outcomes from microsurgical clipping of
recurrent aneurysms. In the vast majority of cases, clips can be applied
primarily. Coil ball removal is associated with increased morbidity, and thus
should be considered only as a second-line option, with the likely need
identified before the initiation of surgery.
PMID- 29355801
TI - Endovascular Treatment of Middle Cerebral Artery Dissecting Aneurysms: A 7-Year
Single-Center Study.
AB - OBJECTIVE: To evaluate safety and efficacy of endovascular treatment of middle
cerebral artery dissecting aneurysms (MCADAs). METHODS: Between July 2009 and
April 2016, 14 patients with MCADAs received endovascular treatment. MCADAs were
identified by their unique radiographic features on cerebral angiography.
Baseline characteristics, angiographic features, and angiographic and clinical
outcomes were analyzed retrospectively. RESULTS: All 14 MCADAs (including 6
ruptured and 8 unruptured) were successfully treated with the endovascular
approach. Stent-assisted coiling was used in 12 cases, coil alone in 1 case, and
parent vessel occlusion in 1 case. Angiographic follow-up data were available for
all patients at 6 months after treatment. Of 14 MCADAs, 10 were completely
occluded, and 4 were improved (near occlusion). All parent arteries and covered
perforators remained patent in the non-parent vessel occlusion group. No ischemic
strokes or other complications were observed at 1-year clinical follow-up.
CONCLUSIONS: Our data suggest that endovascular treatment of MCADAs appears to be
safe and effective. The choice of treatment method needs to be individualized.
Larger studies are required to evaluate these promising results.
PMID- 29355803
TI - Full Endoscopic Interlaminar Approach for Nerve Root Decompression of Sacral
Metastatic Tumor.
AB - BACKGROUND: Endoscopic surgery has been successfully applied in treatment of
degenerative spinal disease, but few studies have assessed its use in treating
sacral metastasis. We report a successful full endoscopic interlaminar approach
for sacral nerve root decompression of a sacral metastatic tumor. CASE
DESCRIPTION: An 80-year-old man with a history of hepatocellular carcinoma
presented with buttock pain with radiation to the right lower leg for 1 week.
There was also decreased muscle power of the right lower extremity. Lumbosacral
magnetic resonance imaging revealed metastasis of the sacral spine and right
iliac bone with S1 exiting nerve root compression. S1 nerve root decompression
via a full endoscopic interlaminar approach under local anesthesia was performed.
Under fluorescence guidance, a working sleeve with a beveled opening was placed
in the interlaminar space of L5-S1. We entered the sacral canal and identified
the S1 exiting nerve root. A laminotomy was performed from the internal edge of
the right sacral laminae toward the lateral recess. During decompression of the
nerve root, buttock and leg pain improved gradually. There was almost complete
resolution of leg pain after surgery, and the patient recovered the ability to
walk. Visual analog scale score for pain decreased from 8 to 2 after 3 months of
follow-up. CONCLUSIONS: For patients with sacral metastasis without spinal
instability or difficulty lying in prone position under local anesthesia, the
full endoscopic interlaminar approach for nerve root decompression of sacral
metastasis may be a suitable method.
PMID- 29355804
TI - WITHDRAWN: Continuous lumbar cistern drainage before surgical clipping for
aneurysmal subarachnoid hemorrhage.
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at https://www.elsevier.com/about/our
business/policies/article-withdrawal.
PMID- 29355805
TI - Fusion Rate and Clinical Outcomes in Two-Level Posterior Lumbar Interbody Fusion.
AB - BACKGROUND: Posterior lumbar interbody fusion (PLIF) has become a general
surgical method for degenerative lumbar diseases. Although many reports have
focused on single-level PLIF, few have focused on 2-level PLIF, and no report has
covered the fusion status of 2-level PLIF. The purpose of this study is to
investigate clinical outcomes and fusion for 2-level PLIF by using a combination
of dynamic radiographs and multiplanar-reconstruction computed tomography scans.
METHODS: This study consisted of 48 consecutive patients who underwent 2-level
PLIF for degenerative lumbar diseases. We assessed surgery duration, estimated
blood loss, complications, clinical outcomes as measured by the Japanese
Orthopaedic Association score, lumbar sagittal alignment as measured on standing
lateral radiographs, and fusion status as measured by dynamic radiographs and
multiplanar-reconstruction computed tomography. Patients were examined at a
follow-up point of 4.8 +/- 2.2 years after surgery. Thirty-eight patients who did
not undergo lumbosacral fusion comprised the lumbolumbar group, and 10 patients
who underwent lumbosacral fusion comprised the lumbosacral group. RESULTS: The
mean Japanese Orthopaedic Association score improved from 12.1 to 22.4 points by
the final follow-up examination. Sagittal alignment also was improved. All
patients had fusion in the cranial level. Seven patients had nonunion in the
caudal level, and the lumbosacral group (40%) had a significantly poorer fusion
rate than the lumbolumbar group (97%) did. CONCLUSIONS: Surgical outcomes of 2
level PLIF were satisfactory. The fusion rate at both levels was 85%. All
nonunion was observed at the caudal level and concentrated at L5-S level in L4-5
S PLIF.
PMID- 29355806
TI - Risk Factors Analyses for Seizure Recurrence in Different Periods After
Refractory Epilepsy Surgery: A Prospective Single-Center Study.
AB - OBJECTIVE: To evaluate the potential risk factors associated with seizure
recurrence in different periods after epilepsy surgery. METHODS: A total of 303
patients with refractory epilepsy after epilepsy surgery were included. The
Kaplan-Meier method with log-rank test and univariate and multivariate Cox
proportional hazards model were performed to calculate the comparison of survival
curves between groups and identify the risk factors associated with seizure
recurrence in different periods after surgery. RESULTS: The significant
predictors of seizure recurrence were determined, including duration of epilepsy
(P = 0.018), seizure types (P = 0.009), magnetic resonance imaging findings (P =
0.007), intracranial electroencephalographic recordings (P = 0.002), sides of
epileptogenic zone (P = 0.025), and types of surgery (P = 0.002). Moreover, the
significant predictors of seizure recurrence within 12 months after surgery were
also included, such as gender (P = 0.007), duration of epilepsy (P = 0.013),
intracranial electroencephalographic recordings (P = 0.003), and types of surgery
(P < 0.001). Our results indicated that the variables of magnetic resonance
imaging findings (P = 0.015), sides of epileptogenic zone (P = 0.004), and
seizure relapse within 12 months after surgery (P < 0.001) were significantly
associated with seizure recurrence in 12-36 months after surgery. Seizure relapse
within 12 months after surgery (P < 0.001) was also associated with seizure
recurrence >36 months after surgery. CONCLUSIONS: We reconfirmed the well-known
risk factors associated with seizure recurrence and also identified the
controversial variables. In addition, we found that the risk factors associated
with seizure recurrence were different in different periods after epilepsy
surgery.
PMID- 29355807
TI - Microvascular Brainstem Ischemia After Vestibular Schwannoma Surgery: A Clinical
and Microanatomic Study.
AB - OBJECTIVE: To identify a potential microvascular etiology in patients who
underwent vestibular schwannoma surgery (VSS) complicated by postoperative
microvascular brainstem ischemia. METHODS: Charts were retrospectively reviewed
of all patients who had an MRI within 14 days of VSS in years 2005-2016. Patient
characteristics, preoperative and postoperative imaging features, clinical course
and potential predictors of brainstem ischemia were recorded. Cadaveric
dissections of 4 cerebellopontine angle (CPA) cisterns with focus on the anterior
inferior cerebellar artery (AICA) microvascular were also performed to identify
candidate vessels and potential etiology. RESULTS: Fifty-four of 258 patients had
an MRI within 14 days of VSS. Retrosigmoid approach was used in 61.1% of
patients, translabyrinthine approach in 25.9%, and middle fossa approach in
13.0%. Four patients (7.4%) had acute microvascular ischemia involving the middle
cerebellar peduncle (MCP) adjacent to the cranial nerve (CN) VII-VIII complex
demonstrated on postoperative MRI. A statistically significant association was
found between the translabyrinthine approach and acute brainstem ischemia (odds
ratio, 10.6; 95% confidence interval, 1.004-112.7). Dissection of CPAs revealed
10-20 perforating arteries per specimen originating from the lateral pontine and
the flocculopeduncular segments of the AICA. Most microvessels travelled in
retrograde fashion along the anteroinferior surface of the CN VII-VIII complex to
perforate the cisternal surface of the MCP. No patient had residual or delayed
neurologic deficits related to brainstem ischemia at final follow-up.
CONCLUSIONS: While effort should be made to preserve perforating vessels,
microvascular brainstem ischemia is often asymptomatic and did not lead to
permanent neurologic deficits in our series.
PMID- 29355808
TI - Endovascular Mechanical Thrombectomy for Acute Ischemic Stroke Under General
Anesthesia Versus Conscious Sedation: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Endovascular mechanical thrombectomy (EMT) is the standard of care
for eligible patients presenting with anterior circulation acute ischemic stroke
(AIS) due to emergent large vessel occlusion (ELVO). The aim of this systematic
review and meta-analysis is to compare the outcomes between patients undergoing
general anesthesia (GA) versus conscious sedation (CS) for these procedures.
METHODS: A literature review was performed to identify studies reporting the EMT
outcomes of AIS patients who underwent GA or CS for the procedure. Baseline,
treatment, and outcomes data were analyzed. Good outcome was defined as a
modified Rankin Scale score of 0-2 at 3 months, and successful reperfusion was
defined as modified thrombolysis in cerebral infarction grade of 2b-3. RESULTS:
Nine studies, comprising a total of 1379 patients treated with GA (n = 761) or CS
(n = 618) for EMT, were included. Based on pooled data, GA achieved good outcome
in 35% and successful reperfusion in 81%, whereas CS achieved good outcome in 41%
and successful reperfusion in 75%. Meta-analyses showed no significant
differences in the rates of good outcome (P = 0.51) or successful reperfusion (P
= 0.39) between the GA and CS groups. The rates of pneumonia were significantly
higher in the GA group (21% vs. 11%; P = 0.01). CONCLUSIONS: The use of either GA
or CS during EMT for patients with anterior circulation acute ELVO does not yield
significantly different rates of functional independence at 3 months.
PMID- 29355810
TI - Successful Use of Covered Stent for Carotid Artery Injury with Active Medial
Projecting Extravasation.
AB - BACKGROUND: Nontraumatic carotid artery injury with active extravasation, or
carotid blowout syndrome (CBS), is relatively rare and highly difficult to treat
because it is difficult to approach the lesions owing to anatomic factors. It
also involves quick progression and a risk of cerebral embolization caused by
thrombi and carotid artery occlusion. Recently, covered stents were revealed to
be effective for CBS. However, they have several disadvantages, such as their
costs, rebleeding complications, or cerebral embolic risks. A firm selection
method of CBS types that are appropriate for covered-stent therapy is expected.
CASE DESCRIPTION: A 38-year-old man with esophageal cancer presented with massive
hematemesis. Computed tomography revealed active extravasation from the left
common carotid artery with medial projection. Initially, the open direct approach
failed, which resulted in further bleeding and transient cardiopulmonary arrest.
With tentative hemostasis using manual finger compression, emergency angiography
was performed, and a covered stent, Fluency 8 mm * 60 mm, was placed at the
rupture point. He was transferred to the rehabilitation hospital 36 days after
admission with a modified Rankin score of 2 without major complications.
CONCLUSION: CBS cases having rupture points around the clavicle and having medial
projection extravasation should be treated by covered stent placement under
tentative hemostasis using manual finger pressure rather than conventional open
surgical treatment.
PMID- 29355809
TI - Correlation Between Tumor Location and Clinical Properties of Glioblastomas in
Frontal and Temporal Lobes.
AB - BACKGROUND: Tumor location is a major prognostic factor in glioblastomas and may
be associated with clinical properties. This study established and analyzed the
correlation between tumor location and clinical properties of glioblastomas in
frontal and temporal lobes. METHODS: This retrospective study determined the
location of glioblastomas in the frontal lobe (FL) or temporal lobe (TL) based on
preoperative magnetic resonance imaging. Clinical, radiologic, and molecular
characteristics of FL and TL glioblastomas were compared to define their clinical
properties, including sex, age, sides, relationship to ventricle, imaging
subtypes, volume, isocitrate dehydrogenase mutation, promoter methylation of O6
methylguanine-DNA methyltransferase, progression-free survival, and overall
survival. RESULTS: The study enrolled 406 patients (182 [44.83%] in FL group and
224 [55.17%] in TL group) with a mean age of 69.8 years. Compared with FL group,
TL group had higher incidence of female patients (P = 0.024), tumor location
distant to the ventricle (P = 0.006), isocitrate dehydrogenase mutations (P =
0.021), promoter methylation of O6-methylguanine-DNA methyltransferase (P =
0.012), and prolonged progression-free survival and overall survival (P < 0.05).
No significant differences were observed between groups with respect to age >=60
years at study entry (P = 0.668), sides (P = 0.879), imaging subtypes (P =
0.362), or volume (P = 0.709). CONCLUSIONS: This study demonstrated that
different tumor locations are associated with diverse clinical properties of
glioblastomas in FL and TL. This information will aid in increasing understanding
of glioblastoma biology for application in baseline comparisons in future
clinical trials.
PMID- 29355811
TI - Preoperative Hemoglobin Level is Associated with Increased Health Care Use After
Elective Spinal Fusion (>=3 Levels) in Elderly Male Patients with Spine
Deformity.
AB - BACKGROUND: Measures of health care use such as length of hospital stay (LOS) are
used as proxies for quality of care after spine surgery. Accordingly, hospitals
and health systems are investing considerable resources into the preoperative
identification of patients at risk for prolonged LOS. This study aims to
investigate the impact of preoperative level on outcomes and LOS after spinal
fusion. METHODS: The medical records of 204 elderly (>=60 years) male patients
undergoing elective spinal fusion (>=3 levels) at a major academic institution
from 2005 to 2015 were reviewed. The lower hemoglobin (Hgb) level was designated
as <13.5 g/dL. We identified 83 (40.7%) patients with preoperative lower Hgb
levels and 121 (59.3%) with normal levels (low Hgb, n = 83; normal Hgb, n = 121).
The primary outcomes investigated were complications and LOS. RESULTS:
Demographics and comorbidities were similar between both groups, with mean Hgb
levels being 12.3 +/- 0.9 g/dL and 14.9 +/- 1.0 g/dL for the low and normal
cohorts, respectively. The lower Hgb cohort experienced higher rates of
postoperative delirium (21.7% vs. 5.8%; P = 0.0007), non-wound infections (6.0%
vs. 0.0%; P = 0.006), and hematoma formation (3.6% vs. 0.0%; P = 0.035). There
was a significant difference in LOS between the cohorts, with the low Hgb cohort
experiencing approximately a 2-fold increase (low Hgb, 8.1 +/- 5.9 days vs.
normal Hgb, 4.8 +/- 2.5 days; P < 0.0001). Preoperative Hgb and hematocrit levels
negatively correlated with LOS (Hgb, R = -0.388, P < 0.001 and Hct, R = -0.2883,
P < 0.001). CONCLUSIONS: Our study shows that elderly male patients with lower
preoperative Hgb levels have increased LOS and postoperative delirium after
spinal fusion. Moreover, preoperative Hgb levels negatively correlate with LOS.
PMID- 29355812
TI - Lack of cations in flow cytometry buffers affect fluorescence signals by reducing
membrane stability and viability of Escherichia coli strains.
AB - Buffers are essential for diluting bacterial cultures for flow cytometry analysis
in order to study bacterial physiology and gene expression parameters based on
fluorescence signals. Using a variety of constitutively expressed fluorescent
proteins in Escherichia coli K-12 strain MG1655, we found strong artifactual
changes in fluorescence levels after dilution into the commonly used flow
cytometry buffer phosphate-buffered saline (PBS) and two other buffer solutions,
Tris-HCl and M9 salts. These changes appeared very rapidly after dilution, and
were linked to increased membrane permeability and loss in cell viability. We
observed buffer-related effects in several different E. coli strains, K-12, C and
W, but not E. coli B, which can be partially explained by differences in
lipopolysaccharide (LPS) and outer membrane composition. Supplementing the
buffers with divalent cations responsible for outer membrane stability, Mg2+ and
Ca2+, preserved fluorescence signals, membrane integrity and viability of E.
coli. Thus, stabilizing the bacterial outer membrane is essential for precise and
unbiased measurements of fluorescence parameters using flow cytometry.
PMID- 29355813
TI - Production, detection and application perspectives of quorum sensing autoinducer
2 in bacteria.
AB - Autoinducer-2 (AI-2) is a major signal molecule in bacterial quorum sensing (QS)
besides N-acyl homoserine lactones (AHLs or AI-1). AI-2 mediated QS pathways have
been proved to regulate gene expression and physiological behaviors of bacteria
in either intraspecies or interspecies communication. Recent reviews have mainly
summarized AI-2 structures, AI-2 mediated QS pathways and the role of AI-2 in
gene regulation, etc. In this article, we present a comprehensive review of AI-2
production, detection and applications. Firstly, intracellular AI-2 synthetic
routes were outlined and environmental influences on AI-2 production were
focused. Furthermore, recent advances in AI-2 detection and quantification were
elucidated from an overall perspective. An in-depth understanding of mechanisms
and features of various detection methods may facilitate development of new
technologies aimed at signal molecule detection. Finally, utilization of AI-2
mediated QS in health improvement, water treatment and drug production indicate
promising and extensive application perspectives of QS strategies.
PMID- 29355814
TI - Development of a high efficient biocatalyst by oriented covalent immobilization
of a novel recombinant 2'-N-deoxyribosyltransferase from Lactobacillus animalis.
AB - The 2'-N-deoxyribosyltransferases [NDT; EC 2.4.2.6] are a group of enzymes widely
used as biocatalysts for nucleoside biosynthesis. In this work, the molecular
cloning, expression and purification of a novel NDT from Lactobacillus animalis
(LaNDT) have been reported. On the other hand, biocatalyst stability has been
significantly enhanced by multipoint covalent immobilization using a hetero
functional support activated with nickel-chelates and glyoxyl groups. The
immobilized enzyme could be reused for more than 300 h and stored during almost 3
months without activity loss. Besides, the obtained derivative (Ni2+-Gx-LaNDT)
was able to biosynthesize 88 mg floxuridine/g biocatalyst after 1 h of reaction.
In this work, a green bioprocess by employing an environmentally friendly
methodology was developed, which allowed the obtaining of a compound with proven
anti-tumor activity. Therefore, the obtained enzymatic biocatalyst meets the
requirements of high activity, stability, and short reaction times needed for low
cost production in a future preparative application.
PMID- 29355815
TI - Prophylactic effect of rosmarinic acid on tracheal responsiveness, white blood
cell count and oxidative stress markers in lung lavage of sensitized rats.
AB - BACKGROUND: Rosmarinic acid (RA) as an active component of several medicinal
plants, has shown anti-inflammatory and anti-oxidant effects. In this study, the
effect of RA on tracheal responsiveness (TR), lung inflammatory cells, oxidant
biomarkers in sensitized rats were evaluated. METHODS: TR to methacholine and
ovalbumin (OVA) as well as total and differential white blood cell (WBC) count
and levels of nitrogen dioxide, nitrate, malondialdehyde, thiol, superoxide
dismutase, and catalase in bronchoalveolar lavage fluid were measured in control
(group C) rats, sensitized animals to OVA and given drinking water alone (group
S), S groups receiving drinking water containing three concentrations of RA
(0.125, 0.250 and 0.500 mg/mL) and dexamethasone (1.25 MUg/mL), (n = 6 in each
group). RESULTS: Increased TR to methacholine and OVA, total WBC count,
percentages of eosinophils, monocytes, neutrophils and levels of oxidant
biomarkers but decreased other measured parameters were observed in group S
compared to group C. Percentages of lymphocytes and antioxidant biomarkers were
significantly increased but other measured parameters were significantly
decreased in S group treated with dexamethasone and in rats treated with the two
higher concentrations of RA compared to S group. The effect of RA medium
concentration on percentage of eosinophils and RA high concentration on total WBC
count and percentages of eosinophils and lymphocytes, were significantly higher
than those of dexamethasone. CONCLUSION: These results showed the concentration
dependent effect of RA on tracheal responses, lung inflammatory cells and oxidant
antioxidant parameters which was comparable to that of dexamethasone at used
concentrations in sensitized rats.
PMID- 29355816
TI - Does status epilepticus modify the effect of ifenprodil on cortical epileptic
afterdischarges in immature rats?
AB - BACKGROUND: Ifenprodil as a specific antagonist of NMDA receptors containing a
dominant NR2B subunit exhibits age-dependent anticonvulsant action. Possible
changes of this action due to status epilepticus (SE) elicited at early stage of
development were studied using cortical epileptic afterdischarges (ADs) as a
model. METHODS: Lithium-pilocarpine SE was induced at postnatal day 12 and
effects of ifenprodil were studied 3, 6, 9, and 13 days after SE in rat pups with
implanted epidural electrodes. Controls (LiPAR) received saline instead of
pilocarpine. ADs were elicited by low frequency stimulation of sensorimotor
cortex. Intensity of stimulation current increased in 18 steps from 0.2 to 15 mA.
Ifenprodil (20 mg/kg) was administered intraperitoneally (ip) after the
stimulation with 3.5-mA current. Threshold for four different phenomena as well
as duration of ADs were evaluated. RESULTS: The threshold for the transition into
the limbic type of ADs was higher in 15-day-old SE rats than in LiPAR controls.
Opposite difference was found in 18-day-old animals, older rats did not exhibit
any difference. Isolated significant changes in total duration of ADs were found
after high stimulation intensities. These changes appeared in 18-day-old rats
where ADs were shorter in SE than in control LiPAR rats. CONCLUSIONS: Changes in
ifenprodil action were found only in the first week after SE but not in the
second week. Interpretation of the results is complicated by failure of
significant differences between SE and LiPAR rats probably due to a high dose of
paraldehyde.
PMID- 29355817
TI - The 'healthy worker effect': Do healthy people climb the occupational ladder?
AB - The association between occupational status and health has been taken to reveal
the presence of occupational health inequalities. However, that interpretation
assumes no influence of health status in climbing the occupational ladder. This
paper documents evidence of non-negligible returns to occupation status on health
(which we refer as 'healthy worker effect'). We use a unique empirical strategy
that addresses the problem of reverse causality. That is, an instrumental
variable strategy using the variation in average health in the migrant's country
of origin, a health measure plausibly not determined by the migrant's
occupational status. Our findings suggest that health status exerts significant
effects on occupational status in several dimensions; including having a
supervising role, worker autonomy, and worker influence. The effect size of
health is larger than that of an upper secondary education.
PMID- 29355818
TI - Bidirectional associations of e-cigarette, conventional cigarette and waterpipe
experimentation among adolescents: A cross-lagged model.
AB - PURPOSE: With an increasingly diverse tobacco product market, it is imperative to
understand the trajectories of product experimentation in order to design
effective prevention programs. This study aims to explore the bidirectional
associations of conventional cigarette, e-cigarette and waterpipe experimentation
in a large adolescent sample. METHODS: Longitudinal assessment of conventional
cigarette, e-cigarette and waterpipe use initiation was conducted in a school
based cohort of 1369 9th graders (mean age=14.88 SD=0.48 at baseline) during fall
2014 and reassessed 6-months later using online self-reported questionnaires.
Autoregressive cross-lagged analysis within structural equation modeling
framework was performed to simultaneously estimate the initiation of these
products over a six-month period, controlling for age, gender, and participation
in an intervention program to reduce conventional cigarette initiation. RESULTS:
Tobacco product lifetime use was prevalent at baseline in the sample:
conventional cigarettes (48.4%), e-cigarettes (35.8%), and waterpipe (20.8%). At
six-month follow-up, trying conventional cigarettes predicted trying e-cigarette
(adjusted odds ratio (AOR)=3.78, CI95%: 2.66-5.37) and trying waterpipe
(AOR=2.82, CI95%: 2.00-3.97). Trying e-cigarette predicted trying conventional
cigarette (AOR=3.57, CI95%: 1.96-6.49) and trying waterpipe (AOR=1.51, CI95%:
1.07-2.14). Although trying waterpipe predicted trying e-cigarette at follow-up
(AOR=2.10, CI95%: 1.30-3.40), its use did not predict trying conventional
cigarette (AOR=0.55, CI95%: 0.24-1.30). CONCLUSIONS: The high rates of poly
tobacco use and the bidirectionality of tobacco product experimentation demands
comprehensive tobacco control and prevention programs that address the
increasingly diverse tobacco product market targeting adolescents.
PMID- 29355819
TI - Effects of six weeks of electronic cigarette use on smoking rate, CO, cigarette
dependence, and motivation to quit smoking: A pilot study.
AB - OBJECTIVES: Switching from combustible tobacco cigarettes to electronic
cigarettes (e-cigs) may or may not help smokers to reduce cigarette consumption
and toxicant exposure. This pilot study investigated the effects of asking
smokers to switch to e-cigs for 6weeks on smoking, exhaled carbon monoxide (CO)
concentration, dependence, and motivation to quit smoking. METHODS: Non-treatment
seeking daily smokers (n=18) were given free e-cigs and instructed to use them
instead of smoking cigarettes for 6weeks. Smokers were assessed at baseline,
weekly for 6weeks, and at 8 and 10weeks for cigarettes/day, e-cig use, CO,
cigarette dependence, and Contemplation Ladder. RESULTS: All participants
completed 6weeks; 17 completed 10weeks. At Week 6, cigarettes/day were reduced by
two-thirds and CO by 45% from baseline (p's<.001), with reductions maintained at
Week 10 (p's<.005). Cigarette dependence scores were a third lower at Weeks 6
(p<.002) and 10 (p<.001) than at baseline. Contemplation Ladder scores were
higher at Weeks 6 and 10 (p's<.001) than at baseline. All these statistical
effect sizes were large. At Week 6, number of reasons not to use e-cigs increased
(p<.011). CONCLUSIONS: Results show preliminary evidence for beneficial effects
of short-term switching to e-cigs by non-treatment seeking smokers in terms of
reduced smoke toxicant exposure and cigarette dependence, and increased
motivation to quit, all maintained at least 4weeks after free e-cigs were no
longer provided.
PMID- 29355820
TI - Association of cytomegalovirus and Epstein-Barr virus with cognitive functioning
and risk of dementia in the general population: 11-year follow-up study.
AB - BACKGROUND: Earlier studies have documented an association between
cytomegalovirus and cognitive impairment, but results have been inconsistent. Few
studies have investigated the association of cytomegalovirus and Epstein-Barr
virus with cognitive decline longitudinally. Our aim was to examine whether
cytomegalovirus and Epstein-Barr virus are associated with cognitive decline in
adults. METHOD: The study sample is from the Finnish Health 2000 Survey
(BRIF8901, n = 7112), which is representative of the Finnish adult population.
The sample was followed up after 11 years in the Health 2011 Survey. In addition,
persons with dementia were identified from healthcare registers. RESULTS: In the
Finnish population aged 30 and over, the seroprevalence of cytomegalovirus was
estimated to be 84% and the seroprevalence of Epstein-Barr virus 98%.
Seropositivity of the viruses and antibody levels were mostly not associated with
cognitive performance. In the middle-aged adult group, cytomegalovirus
serointensity was associated with impaired performance in verbal learning.
However, the association disappeared when corrected for multiple testing. No
interactions between infection and time or between the two infections were
significant when corrected for multiple testing. Seropositivity did not predict
dementia diagnosis. CONCLUSIONS: The results suggest that adult levels of
antibodies to cytomegalovirus and Epstein-Barr virus may not be associated with a
significant decline in cognitive function or with dementia at population level.
PMID- 29355822
TI - Mild prenatal hypoxia-ischemia leads to social deficits and central and
peripheral inflammation in exposed offspring.
AB - Hypoxic-ischemic encephalopathy (HIE) resulting from intrauterine or perinatal
hypoxic-ischemia (HI) is a leading cause of long-term neonatal neurodisability.
While most studies of long-term outcome have focused on moderate and severe HIE
in term infants, recent work has shown that those with mild HIE may have subtle
neurological impairments. However, the impact of mild HI on pre-term infants is
much less clear given that pre-term birth is itself a risk factor for
neurodisability. Here we show that mild HI insult alters behaviour, inflammation
and the corticosterone stress response in a rat model of pre-term HIE. Mild HI
exposure led to social deficits in exposed offspring at postnatal day 30, without
impairments in the novel object recognition test nor in the open field test. This
was also accompanied by elevations in circulating adrenocorticotropic hormone and
corticosterone indicating an exaggerated stress response. There were also
elevations in il-1beta and il-6 but not tnf-alpha mRNA and protein in the brain
and blood samples. In summary we find that a mild HI exposure leads to social
deficits, central and peripheral inflammation, and an abnormal corticosterone
response which are three core features of autism spectrum disorder. This shows
that mild HI exposure may be a risk factor for an abnormal neurodevelopmental
outcome in pre-term offspring.
PMID- 29355821
TI - Hepatic acute phase response protects the brain from focal inflammation during
postnatal window of susceptibility.
AB - Perinatal inflammation is known to contribute to neurodevelopmental diseases.
Animal models of perinatal inflammation have revealed that the inflammatory
response within the brain is age dependent, but the regulators of this variation
remain unclear. In the adult, the peripheral acute phase response (APR) is known
to be pivotal in the downstream recruitment of leukocytes to the injured brain.
The relationship between perinatal brain injury and the APR has not been
established. Here, we generated focal inflammation in the brain using interleukin
(IL)-1beta at postnatal day (P)7, P14, P21 and P56 and studied both the central
nervous system (CNS) and hepatic inflammatory responses at 4 h. We found that
there is a significant window of susceptibility in mice at P14, when compared to
mice at P7, P21 and P56. This was reflected in increased neutrophil recruitment
to the CNS, as well as an increase in blood-brain barrier permeability. To
investigate phenomena underlying this window of susceptibility, we performed a
dose response of IL-1beta. Whilst induction of endogenous IL-1beta or
intercellular adhesion molecule (ICAM)-1 in the brain and induction of a hepatic
APR were dose dependent, the recruitment of neutrophils and associated blood
brain barrier breakdown was inversely proportional. Furthermore, in contrast to
adult animals, an additional peripheral challenge (intravenous IL-1beta) reduced
the degree of CNS inflammation, rather than exacerbating it. Together these
results suggest a unique window of susceptibility to CNS injury, meaning that
suppressing systemic inflammation after brain injury may exacerbate the damage
caused, in an age-dependent manner.
PMID- 29355823
TI - The influence of immunological stressors on traumatic brain injury.
AB - Traumatic brain injury (TBI) is a leading cause of death and disability
worldwide, and typically involves a robust immune response. Although a great deal
of preclinical research has been conducted to identify an effective treatment,
all phase III clinical trials have been unsuccessful to date. These translational
shortcomings are in part due to a failure to recognize and account for the
heterogeneity of TBI, including how extracranial factors can influence the
aftermath of TBI. For example, most preclinical studies have utilized isolated
TBI models in young adult males, while clinical trials typically involve highly
heterogeneous patient populations (e.g., different mechanisms of injury, a range
of ages, presence of polytrauma or infection). This paper will review the
current, albeit limited literature related to how TBI is affected by common
concomitant immunological stressors. In particular, discussion will focus on
whether extracranial trauma (i.e., polytrauma), infection, and
age/immunosenescence can influence TBI pathophysiology, and thereby may result in
a different brain injury than what would have occurred in an isolated TBI. It is
concluded that these immunological stressors are all likely to be TBI modifiers
that should be further studied and could impact translational treatment
strategies.
PMID- 29355824
TI - Next-Generation Sequencing for Lymphomas: Perfecting a Pipeline for Personalized
Pathobiologic and Prognostic Predictions.
AB - This commentary highlights the article by Hung et al that details the design and
implementation of a 32-gene next-generation sequencing panel for lymphomas and
compares hybrid-capture with amplicon-based next-generation sequencing
approaches.
PMID- 29355825
TI - Evaluation of a Pan-Leishmania Spliced-Leader RNA Detection Method in Human Blood
and Experimentally Infected Syrian Golden Hamsters.
AB - Several methods have been developed for the detection of Leishmania, mostly
targeting the minicircle kinetoplast DNA (kDNA). A new RNA real-time quantitative
PCR (qPCR) assay was developed targeting the conserved and highly expressed
spliced-leader (SL) mini-exon sequence. This study compared the limits of
detection of various real-time PCR assays in hamsters infected with Leishmania
infantum, in spiked human blood, and in clinical blood samples from visceral
leishmaniasis patients. The SL-RNA assay showed an excellent analytical
sensitivity in tissues (0.005 and 0.002 parasites per mg liver and spleen,
respectively) and was not prone to false-positive reactions. Evaluation of the SL
RNA assay on clinical samples demonstrated lower threshold cycle values than the
kDNA qPCR, an excellent interrun stability of 97%, a 93% agreement with the kDNA
assay, and an estimated sensitivity, specificity, and accuracy of 93.2%, 94.3%,
and 93.8%, respectively. The SL-RNA qPCR assay was equally efficient for
detecting Leishmania major, Leishmania tropica, Leishmania mexicana, Leishmania
guayensis, Leishmania panamensis, Leishmania braziliensis, L. infantum, and
Leishmania donovani and revealed similar SL-RNA levels in the different species
and the occurrence of polycistronic SL-containing transcripts in Viannia species.
Collectively, this single SL-RNA qPCR assay enables universal Leishmania
detection and represents a particularly useful addition to the widely used kDNA
assay in clinical studies in which the detection of viable parasites is pivotal
to assess parasitological cure.
PMID- 29355826
TI - Improvement in access to safe water, household water insecurity, and time
savings: A cross-sectional retrospective study in Kenya.
AB - This study uses a cross-sectional survey (n = 557) with a retrospective design to
examine relationships between improvement in access to safe water supply (i.e.
extension of municipal piped water) and a range of social outcomes including
water insecurity, household time savings and allocation, and household water
expenditure in Usoma, Kenya. Data were collected in July 2016, about 3 years
after the intervention, using a modified version of the Household Water
Insecurity Access Scale (HWIAS). Having assessed the validity and reliability of
the modified HWIAS, we examine how differences in levels of access to safe water
influence reported levels of water insecurity as well as amount of money and time
savings, post the water intervention. Findings suggest that higher levels of
access reduce risk of water insecurity. Households with piped water on premises
scored 2.95 points less on the water insecurity scale compared to households with
access to unimproved sources. As anticipated, time saved on water collection was
re-directed to income generating activities, while money saved was spent
primarily on food. Important gender differences were reported, with female headed
households having 1.15 points less on the HWIAS than male headed households. This
study establishes an innovative approach to evaluating water interventions that
can be used in program design and evaluation. The study also emphasises the need
for universal access to safe water as envisioned in the Sustainable Development
Goals (SDGs).
PMID- 29355827
TI - Pathways linking war and displacement to parenting and child adjustment: A
qualitative study with Syrian refugees in Lebanon.
AB - Forcibly displaced children are at risk of a range of negative outcomes, yet
little is known about how to support war-affected caregivers in promoting
children's psychosocial resilience. The current study uses qualitative methods to
examine the mechanisms underlying the effects of war and displacement on
parenting and child adjustment in order to inform intervention development. In
April and November 2016, group and individual interviews were conducted with 39
Syrian parents and 15 children in partnership with a humanitarian organization in
Lebanon. Interviews were transcribed and analyzed using a grounded theory
approach. Results show three interrelated pathways linking daily displacement
stressors to various dimensions of parenting: (1) economic hardship prevents
parents from meeting their children's basic needs and forces adaptation
strategies that impair positive parent-child interactions; (2) parental
psychological distress contributes to harsh parenting; and (3) perceptions and
experiences of insecurity in the community results in increased parental control.
Greater economic resources and social support emerged as potential protective
factors for maintaining positive parenting despite exposure to war and
displacement-related adversity. Our findings suggest that implementation of
policies and programs to remove structural barriers to refugees' physical and
economic security can have tangible impacts on parental mental health, parenting
quality, and child psychosocial outcomes. Future research priorities include a
stronger focus on the effects of war and displacement on family processes, taking
into account interactions with the broader social, economic and political
context.
PMID- 29355829
TI - The impact of social expenditure on health inequalities in Europe.
AB - Welfare states are assumed to play a fundamental role in the protection and
promotion of the health and socioeconomic well-being of citizens. However,
empirical evidence on the effect of the welfare state is still contradictory. The
inconsistency of the results has led researchers to a lack of consensus in
defining the mechanisms that might explain the relationship between the welfare
state and health. To shed some light on the current debate, we rely on individual
and country data from the European Social Survey (ESS) and Eurostat to explore
the direct and indirect effects of the welfare state on health inequalities in a
sample of European countries. We use multilevel models to test the hypothesized
impact of social expenditure on reducing health inequalities. Firstly, results
show that health inequalities are lower in countries where social expenditure is
higher. Secondly, the relationship between socio-economic status (SES) and health
is moderated by social expenditure. The positive effect of SES on health
decreases in countries where social expenditure is higher, while this effect is
higher in countries with lower social expenditure. Our findings suggest that
social spending has a positive impact on equalizing health conditions in Europe.
PMID- 29355830
TI - Factors associated with non-adherence to HBV antiviral therapy.
AB - BACKGROUND: HBV antiviral therapy has the potential to reduce the burden of HBV
related liver disease by suppressing HBV DNA replication to undetectable levels,
reducing the progression of liver fibrosis and reducing the risk of
hepatocellular carcinoma (HCC) development. Treatment outcomes and long-term
benefits require adherence to medication regimens. This study aimed to identify
the prevalence and factors associated with non-adherence to antiviral therapy.
METHODS: A cross-sectional survey of patients receiving HBV antiviral therapies
was conducted in three Sydney hospitals. Participants were asked to complete an
online questionnaire. Logistic regression was used to assess the associations
between non-adherence (defined as missing more than 1 day of medication in the
last 30 days) and demographic, socio-economic, disease, treatment, health-care
system and individual-related factors. RESULTS: Of the 277 participants, 66
(23.8%) were non-adherent, missing a mean 1.7 days of medication (sd 4.8) in the
last 30 days. In multivariate analysis, non-adherent behaviour declined with age
(odds ratio [OR] 0.9, 95% CI 0.97, 0.99; P<0.013). Participants who reported
having no established routine to take their medication (OR 5.0, 95% CI 1.4, 17.4;
P<0.012) and having inadequate health literacy (OR 2.7, 95% CI 1.3, 5.5; P<0.007)
were more likely to be non-adherent. CONCLUSIONS: Almost a quarter of
participants in the current study were non-adherent. Adherence is potentially
modifiable through person-centred education, collaborative models of patient care
and interventions designed to improve health literacy and establish medication
routines. Findings have the potential to improve health service delivery to
patients at risk of non-adherence to HBV antiviral therapy.
PMID- 29355831
TI - Coherent control of single electrons: a review of current progress.
AB - In this report we review the present state of the art of the control of
propagating quantum states at the single-electron level and its potential
application to quantum information processing. We give an overview of the
different approaches that have been developed over the last few years in order to
gain full control over a propagating single-electron in a solid-state system.
After a brief introduction of the basic concepts, we present experiments on
flying qubit circuits for ensemble of electrons measured in the low frequency
(DC) limit. We then present the basic ingredients necessary to realise such
experiments at the single-electron level. This includes a review of the various
single-electron sources that have been developed over the last years and which
are compatible with integrated single-electron circuits. This is followed by a
review of recent key experiments on electron quantum optics with single
electrons. Finally we will present recent developments in the new physics that
has emerged using ultrashort voltage pulses. We conclude our review with an
outlook and future challenges in the field.
PMID- 29355832
TI - Morphological control of seedlessly-synthesized gold nanorods using binary
surfactants.
AB - High purity gold nanorods (AuNRs) with tunable morphology have been synthesized
through a binary-surfactant seedless method, which enables the formation of
monocrystalline AuNRs with diameters between 7 and 35 nm. The protocol has high
shape yield and monodispersity, demonstrating good reproducibility and
scalability allowing synthesis of batches 0.5 l in volume. Morphological control
has been achieved through the adjustment of the molar concentrations of
cetyltrimethylammonium bromide and sodium oleate in the growth solution,
providing fine tuning of the optical scattering and absorbance properties of the
AuNRs across the visible and NIR spectrum. Sodium oleate was found to provide
greatest control over the aspect ratio (and hence optical properties) with
concentration changes between 10 and 23 mM leading to variation in the aspect
ratio between 2.8 and 4.8. Changes in the geometry of the end-caps were also
observed as a result of manipulating the two surfactant concentrations.
PMID- 29355828
TI - Neighborhood built environment and cognition in non-demented older adults: The
Multi-Ethnic Study of Atherosclerosis.
AB - Preliminary studies suggest that neighborhood social and built environment (BE)
characteristics may affect cognition in older adults. Older adults are
particularly vulnerable to the neighborhood environment due to a decreasing range
of routine travel with increasing age. We examined if multiple neighborhood BE
characteristics are cross-sectionally associated with cognition in a diverse
sample of older adults, and if the BE-cognition associations vary by individual
level demographics. The sample included 4539 participants from the Multi-Ethnic
Study of Atherosclerosis. Multivariable linear regression was used to examine the
associations between five BE measures and four cognitive measures, and effect
modification by individual-level education and race/ethnicity. In the overall
sample, increasing social destination density, walking destination density, and
intersection density were associated with worse overall cognition, whereas
increasing proportion of land dedicated to retail was associated with better
processing speed. Effect modification results suggest that the association
between urban density and worse cognition may be limited to or strongest in those
of non-white race/ethnicity. Although an increase in neighborhood retail
destinations was associated with better cognition in the overall sample, these
results suggest that certain BE characteristics in dense urban environments may
have a disproportionately negative association with cognition in vulnerable
populations. However, our findings must be replicated in longitudinal studies and
other regional samples.
PMID- 29355833
TI - Multi-functional spintronic devices based on boron- or aluminum-doped silicene
nanoribbons.
AB - Zigzag silicene nanoribbons (ZSiNRs) in the ferromagnetic edge ordering have a
metallic behavior, which limits their applications in spintronics. Here a
robustly half-metallic property is achieved by the boron substitution doping at
the edge of ZSiNRs. When the impurity atom is replaced by the aluminum atom, the
doped ZSiNRs possess a spin semiconducting property. Its band gap is suppressed
with the increase of ribbon's width, and a pure thermal spin current is achieved
by modulating ribbon's width. Moreover, a negative differential thermoelectric
resistance in the thermal charge current appears as the temperature gradient
increases, which originates from the fact that the spin-up and spin-down thermal
charge currents have diverse increasing rates at different temperature gradient
regions. Our results put forward a promising route to design multi-functional
spintronic devices which may be applied in future low-power-consumption
technologies.
PMID- 29355834
TI - Creation of nanosized holes in graphene planes for improvement of rate capability
of lithium-ion batteries.
AB - Holes with an average size of 2-5 nm have been created in graphene layers by
heating of graphite oxide (GO) in concentrated sulfuric acid followed by
annealing in an argon flow. The hot mineral acid acts simultaneously as a
defunctionalizing and etching agent, removing a part of oxygen-containing groups
and lattice carbon atoms from the layers. Annealing of the holey reduced GO at
800 degrees C-1000 degrees C causes a decrease of the content of residual
oxygen and the interlayer spacing thus producing thin compact stacks from holey
graphene layers. Electrochemical tests of the obtained materials in half-cells
showed that the removal of oxygen and creation of basal holes lowers the capacity
loss in the first cycle and facilitates intercalation-deintercalation of lithium
ions. This was attributed to minimization of electrolyte decomposition reactions,
easier desolvation of lithium ions near the hole boundaries and appearance of
multiple entrances for the naked ions into graphene stacks.
PMID- 29355835
TI - Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber
sensor overlays.
AB - This paper presents an investigation of properties of selected metallic oxides
deposited at a low temperature (100 degrees C) by atomic layer deposition (ALD)
technique, relating to their applicability as thin overlays for optical fiber
sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x
approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium
oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively,
on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and
tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers
on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD
processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD
processes was best for Hf x O y (0.145 +/- 0.001 nm/cycle) and the poorest for Ta
x O y (0.133 +/- 0.003 nm/cycle). Refractive indices n of the layers were 2.00
2.10 (at the wavelength lambda = 632 nm), with negligible k value (at lambda for
240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous,
with only small addition of crystalline phases for the Zr x O y . The surfaces of
the oxides had grainy but smooth topographies with root-mean square roughness
~0.5 nm (at 10 * 10 MUm2 area) according to atomic force microscopy.
Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O
y layers. The surfaces were also slightly rougher on the glass-based samples than
on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7
104.4 GPa, respectively. The tests of scratch resistance revealed better
tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y .
The surfaces were hydrophilic, with wetting angles of 52.5 degrees -62.9 degrees
. The planar oxides on Si, being resistive even to concentrated alkali (pH 14),
proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay
was deposited on long-period grating sensor induced in optical fiber. Thanks to
such an overlay the sensor proved to be long-lasting resistant when exposed to
alkaline environment with a pH 9. Thereby, it also proved that it has a potential
to be repeatedly reused as a regenerable optical fiber biosensor.
PMID- 29355836
TI - Potentialities of silicon nanowire forests for thermoelectric generation.
AB - Silicon is a material with very good thermoelectric properties, with regard to
Seebeck coefficient and electrical conductivity. Low thermal conductivities, and
hence high thermal to electrical conversion efficiencies, can be achieved in
nanostructures, which are smaller than the phonon mean free path but large enough
to preserve the electrical conductivity. We demonstrate that it is possible to
fabricate a leg of a thermoelectric generator based on large collections of long
nanowires, placed perpendicularly to the two faces of a silicon wafer. The
process exploits the metal assisted etching technique which is simple, low cost,
and can be easily applied to large surfaces. Copper can be deposited by
electrodeposition on both faces, so that contacts can be provided, on top of the
nanowires. Thermal conductivity of silicon nanowire forests with more than 107
nanowires mm-2 have been measured; the result is comparable with that achieved by
several groups on devices based on few nanowires. On the basis of the measured
parameters, numerical calculations of the efficiency of silicon-based
thermoelectric generators are reported, and the potentialities of these devices
for thermal to electrical energy conversion are shown. Criteria to improve the
conversion efficiency are suggested and described.
PMID- 29355837
TI - Effect of graphene nanofillers on the enhanced thermoelectric properties of
Bi2Te3 nanosheets: elucidating the role of interface in de-coupling the
electrical and thermal characteristics.
AB - In this report, we investigate the effect of graphene nanofillers on the
thermoelectric properties of Bi2Te3 nanosheets and demonstrate the role of
interface for enhancing the overall figure of merit (ZT) ~ 53%. The enhancement
in the ZT is obtained due to an increase in the electrical conductivity (~111%)
and decrease in the thermal conductivity (~12%) resulting from increased
conducting channels and phonon scattering, respectively at the interfaces between
graphene and Bi2Te3 nanosheets. A detailed analysis of the thermal conductivity
reveals ~4 times decrease in the lattice thermal conductivity in contrast to ~2
times increase in the electronic thermal conductivity after the addition of
graphene. Kelvin probe measurements have also been carried which reveals presence
of low potential barrier at the interface between graphene and Bi2Te3 nanosheets
which assist the flow of charge carriers thereby, increasing the mobility of the
carriers. Thus, our results reveals a significant decrease in the lattice thermal
conductivity (due to the formation of interfaces) and increase in the electron
mobility (due to conducting paths at the interfaces) strongly participate in
deciding observed enhancement in the thermoelectric figure of merit.
PMID- 29355838
TI - Synthesis of polyaniline (PANI) and functionalized polyaniline (F-PANI)
nanoparticles with controlled size by solvent displacement method. Application in
fluorescence detection and bacteria killing by photothermal effect.
AB - Polyaniline nanoparticles (PANI-NPs) were easily obtained applying the solvent
displacement method by using N-methylpyrrolidone (NMP) as good solvent and water
as poor solvent. Different polymers such as polyvinylpyrrolidone (PVP),
chondroitin sulfate (ChS), polyvinyl alcohol (PVA), and polyacrylic acid (PAA)
were used as stabilizers. Dynamic light scattering and scanning electron
microscopy corroborated the size and morphology of the formed NPs. It was
demonstrated that the size of nanoparticles could be controlled by setting the
concentration of PANI in NMP, the NMP to water ratio, and the stabilizer's
nature. The functionalization and fluorescence of NPs were checked by
spectroscopic techniques. Since polyaniline show only weak intrinsic
luminescence, fluorescent groups were linked to the polyaniline chains prior to
the nanoparticle formation using a linker. Polyaniline chains were functionalized
by nucleophilic addition of cysteamine trough the thiol group thereby
incorporating pendant primary aliphatic amine groups to the polyaniline backbone.
Then, dansyl chloride (DNS-Cl), which could act as an extrinsic chromophore, was
conjugated to the amine pendant groups. Later, the functionalized polyaniline was
used to produce nanoparticles by solvent displacement. The optical and functional
properties of fluorescent nanoparticles (F-PANI-NPs) were determined. F-PANI-NPs
in the conductive state (pH < 4) are able to absorb near infrared radiation (NIR)
creating a photothermal effect in an aqueous medium. Thus, multifunctional
nanoparticles are obtained. The application of NIR on a F-PANI-NPs dispersion in
contact with Pseudomonas aeruginosa causes bacterial death. Therefore, the F-PANI
NPs could be tracked and applied to inhibit different diseases caused by
pathogenic microorganisms and resistant to antibiotics as well as a new
disinfection method to surgical materials.
PMID- 29355839
TI - Electronic phase separation in insulating (Ga, Mn) As with low compensation:
super-paramagnetism and hopping conduction.
AB - In the present work, low compensated insulating (Ga,Mn)As with 0.7% Mn is
obtained by ion implantation combined with pulsed laser melting. The sample shows
variable-range hopping transport behavior with a Coulomb gap in the vicinity of
the Fermi energy, and the activation energy is reduced by an external magnetic
field. A blocking super-paramagnetism is observed rather than ferromagnetism.
Below the blocking temperature, the sample exhibits a colossal negative
magnetoresistance. Our studies confirm that the disorder-induced electronic phase
separation occurs in (Ga,Mn)As samples with a Mn concentration in the insulator
metal transition regime, and it can account for the observed superparamagnetism
and the colossal magnetoresistance.
PMID- 29355840
TI - Long noncoding RNA BLACAT2 promotes bladder cancer-associated lymphangiogenesis
and lymphatic metastasis.
AB - The prognosis for bladder cancer patients with lymph node (LN) metastasis is
dismal and only minimally improved by current treatment modalities. Elucidation
of the molecular mechanisms that underlie LN metastasis may provide clinical
therapeutic strategies for LN-metastatic bladder cancer. Here, we report that a
long noncoding RNA LINC00958, which we have termed bladder cancer-associated
transcript 2 (BLACAT2), was markedly upregulated in LN-metastatic bladder cancer
and correlated with LN metastasis. Overexpression of BLACAT2 promoted bladder
cancer-associated lymphangiogenesis and lymphatic metastasis in both cultured
bladder cancer cell lines and mouse models. Furthermore, we demonstrate that
BLACAT2 epigenetically upregulated VEGF-C expression by directly associating with
WDR5, a core subunit of human H3K4 methyltransferase complexes. Importantly,
administration of an anti-VEGF-C antibody inhibited LN metastasis in BLACAT2
overexpressing bladder cancer. Taken together, these findings uncover a molecular
mechanism in the lymphatic metastasis of bladder cancer and indicate that BLACAT2
may represent a target for clinical intervention in LN-metastatic bladder cancer.
PMID- 29355842
TI - Aberrant TGF-beta activation in bone tendon insertion induces enthesopathy-like
disease.
AB - Enthesopathy is a disorder of bone, tendon, or ligament insertion. It represents
one-fourth of all tendon-ligament diseases and is one of the most difficult
tendon-ligament disorders to treat. Despite its high prevalence, the exact
pathogenesis of this condition remains unknown. Here, we show that TGF-beta was
activated in both a semi-Achilles tendon transection (SMTS) mouse model and in a
dorsiflexion immobilization (DI) mouse model of enthesopathy. High concentrations
of active TGF-beta recruited mesenchymal stromal stem cells (MSCs) and led to
excessive vessel formation, bone deterioration, and fibrocartilage calcification.
Transgenic expression of active TGF-beta1 in bone also induced enthesopathy with
a phenotype similar to that observed in SMTS and DI mice. Systemic inhibition of
TGF-beta activity by injection of 1D11, a TGF-beta-neutralizing antibody, but not
a vehicle antibody, attenuated the excessive vessel formation and restored
uncoupled bone remodeling in SMTS mice. 1D11-treated SMTS fibrocartilage had
increased proteoglycan and decreased collagen X and matrix metalloproteinase 13
expression relative to control antibody treatment. Notably, inducible knockout of
the TGF-beta type II receptor in mouse MSCs preserved the bone microarchitecture
and fibrocartilage composition after SMTS relative to the WT littermate controls.
Thus, elevated levels of active TGF-beta in the enthesis bone marrow induce the
initial pathological changes of enthesopathy, indicating that TGF-beta inhibition
could be a potential therapeutic strategy.
PMID- 29355841
TI - JAK2/IDH-mutant-driven myeloproliferative neoplasm is sensitive to combined
targeted inhibition.
AB - Patients with myeloproliferative neoplasms (MPNs) frequently progress to bone
marrow failure or acute myeloid leukemia (AML), and mutations in epigenetic
regulators such as the metabolic enzyme isocitrate dehydrogenase (IDH) are
associated with poor outcomes. Here, we showed that combined expression of
Jak2V617F and mutant IDH1R132H or Idh2R140Q induces MPN progression, alters
stem/progenitor cell function, and impairs differentiation in mice. Jak2V617F
Idh2R140Q-mutant MPNs were sensitive to small-molecule inhibition of IDH.
Combined inhibition of JAK2 and IDH2 normalized the stem and progenitor cell
compartments in the murine model and reduced disease burden to a greater extent
than was seen with JAK inhibition alone. In addition, combined JAK2 and IDH2
inhibitor treatment also reversed aberrant gene expression in MPN stem cells and
reversed the metabolite perturbations induced by concurrent JAK2 and IDH2
mutations. Combined JAK2 and IDH2 inhibitor therapy also showed cooperative
efficacy in cells from MPN patients with both JAK2mut and IDH2mut mutations.
Taken together, these data suggest that combined JAK and IDH inhibition may offer
a therapeutic advantage in this high-risk MPN subtype.
PMID- 29355845
TI - Knee osteoarthritis: when arthroscopy can help
AB - Arthroscopic surgery of the knee is one of the most common orthopedic procedures
performed globally. Recently, a lot of attention has been directed towards the
role of arthroscopic surgery in the setting of osteoarthritis (OA) and its role
in degenerative knee pathology. A number of recent randomized control trials and
systematic reviews have suggested limited benefit for arthroscopic surgery and
partial meniscectomy for this indication. With this recent focus on the use of
arthroscopy in OA, it is often forgotten that arthroscopy plays an important role
in the management of other pathologies involving the knee in patients who may
have a concomitant diagnosis of OA. This evidence-based review highlights
indications for when arthroscopic intervention is warranted in such patients.
PMID- 29355844
TI - Endothelial Tie1-mediated angiogenesis and vascular abnormalization promote tumor
progression and metastasis.
AB - The endothelial tyrosine kinase receptor Tie1 remains poorly characterized,
largely owing to its orphan receptor status. Global Tie1 inactivation causes late
embryonic lethality, thereby reflecting its importance during development. Tie1
also plays pivotal roles during pathologies such as atherosclerosis and
tumorigenesis. In order to study the contribution of Tie1 to tumor progression
and metastasis, we conditionally deleted Tie1 in endothelial cells at different
stages of tumor growth and metastatic dissemination. Tie1 deletion during primary
tumor growth in mice led to a decrease in microvessel density and an increase in
mural cell coverage with improved vessel perfusion. Reduced angiogenesis and
enhanced vascular normalization resulted in a progressive increase of
intratumoral necrosis that caused a growth delay only at later stages of tumor
progression. Concomitantly, surgical removal of the primary tumor decreased the
number of circulating tumor cells, reduced metastasis, and prolonged overall
survival. Additionally, Tie1 deletion in experimental murine metastasis models
prevented extravasation of tumor cells into the lungs and reduced metastatic
foci. Taken together, the data support Tie1 as a therapeutic target by defining
its regulatory functions during angiogenesis and vascular abnormalization and
identifying its role during metastasis.
PMID- 29355843
TI - Latent HIV reservoirs exhibit inherent resistance to elimination by CD8+ T cells.
AB - The presence of persistent, latent HIV reservoirs in CD4+ T cells obstructs
current efforts to cure infection. The so-called kick-and-kill paradigm proposes
to purge these reservoirs by combining latency-reversing agents with immune
effectors such as cytotoxic T lymphocytes. Support for this approach is largely
based on success in latency models, which do not fully reflect the makeup of
latent reservoirs in individuals on long-term antiretroviral therapy (ART).
Recent studies have shown that CD8+ T cells have the potential to recognize
defective proviruses, which comprise the vast majority of all infected cells, and
that the proviral landscape can be shaped over time due to in vivo clonal
expansion of infected CD4+ T cells. Here, we have shown that treating CD4+ T
cells from ART-treated individuals with combinations of potent latency-reversing
agents and autologous CD8+ T cells consistently reduced cell-associated HIV DNA,
but failed to deplete replication-competent virus. These CD8+ T cells recognized
and potently eliminated CD4+ T cells that were newly infected with autologous
reservoir virus, ruling out a role for both immune escape and CD8+ T cell
dysfunction. Thus, our results suggest that cells harboring replication-competent
HIV possess an inherent resistance to CD8+ T cells that may need to be addressed
to cure infection.
PMID- 29355846
TI - TimeLapse-seq: adding a temporal dimension to RNA sequencing through nucleoside
recoding.
AB - RNA sequencing (RNA-seq) offers a snapshot of cellular RNA populations, but not
temporal information about the sequenced RNA. Here we report TimeLapse-seq, which
uses oxidative-nucleophilic-aromatic substitution to convert 4-thiouridine into
cytidine analogs, yielding apparent U-to-C mutations that mark new transcripts
upon sequencing. TimeLapse-seq is a single-molecule approach that is adaptable to
many applications and reveals RNA dynamics and induced differential expression
concealed in traditional RNA-seq.
PMID- 29355847
TI - EVIR: chimeric receptors that enhance dendritic cell cross-dressing with tumor
antigens.
AB - We describe a lentivirus-encoded chimeric receptor, termed extracellular vesicle
(EV)-internalizing receptor (EVIR), which enables the selective uptake of cancer
cell-derived EVs by dendritic cells (DCs). The EVIR enhances DC presentation of
EV-associated tumor antigens to CD8+ T cells primarily through MHCI recycling and
cross-dressing. EVIRs should facilitate exploring the mechanisms and implications
of horizontal transfer of tumor antigens to antigen-presenting cells.
PMID- 29355848
TI - Interactome INSIDER: a structural interactome browser for genomic studies.
AB - We present Interactome INSIDER, a tool to link genomic variant information with
structural protein-protein interactomes. Underlying this tool is the application
of machine learning to predict protein interaction interfaces for 185,957 protein
interactions with previously unresolved interfaces in human and seven model
organisms, including the entire experimentally determined human binary
interactome. Predicted interfaces exhibit functional properties similar to those
of known interfaces, including enrichment for disease mutations and recurrent
cancer mutations. Through 2,164 de novo mutagenesis experiments, we show that
mutations of predicted and known interface residues disrupt interactions at a
similar rate and much more frequently than mutations outside of predicted
interfaces. To spur functional genomic studies, Interactome INSIDER
(http://interactomeinsider.yulab.org) enables users to identify whether variants
or disease mutations are enriched in known and predicted interaction interfaces
at various resolutions. Users may explore known population variants, disease
mutations, and somatic cancer mutations, or they may upload their own set of
mutations for this purpose.
PMID- 29355849
TI - A whole-animal platform to advance a clinical kinase inhibitor into new disease
space.
AB - Synthetic tailoring of approved drugs for new indications is often difficult, as
the most appropriate targets may not be readily apparent, and therefore few
roadmaps exist to guide chemistry. Here, we report a multidisciplinary approach
for accessing novel target and chemical space starting from an FDA-approved
kinase inhibitor. By combining chemical and genetic modifier screening with
computational modeling, we identify distinct kinases that strongly enhance ('pro
targets') or limit ('anti-targets') whole-animal activity of the clinical kinase
inhibitor sorafenib in a Drosophila medullary thyroid carcinoma (MTC) model. We
demonstrate that RAF-the original intended sorafenib target-and MKNK kinases
function as pharmacological liabilities because of inhibitor-induced
transactivation and negative feedback, respectively. Through progressive
synthetic refinement, we report a new class of 'tumor calibrated inhibitors' with
unique polypharmacology and strongly improved therapeutic index in fly and human
MTC xenograft models. This platform provides a rational approach to creating new
high-efficacy and low-toxicity drugs.
PMID- 29355850
TI - Chemical hijacking of auxin signaling with an engineered auxin-TIR1 pair.
AB - The phytohormone auxin indole-3-acetic acid (IAA) regulates nearly all aspects of
plant growth and development. Despite substantial progress in our understanding
of auxin biology, delineating specific auxin response remains a major challenge.
Auxin regulates transcriptional response via its receptors, TIR1 and AFB F-box
proteins. Here we report an engineered, orthogonal auxin-TIR1 receptor pair,
developed through a bump-and-hole strategy, that triggers auxin signaling without
interfering with endogenous auxin or TIR1/AFBs. A synthetic, convex IAA (cvxIAA)
hijacked the downstream auxin signaling in vivo both at the transcriptomic level
and in specific developmental contexts, only in the presence of a complementary,
concave TIR1 (ccvTIR1) receptor. Harnessing the cvxIAA-ccvTIR1 system, we provide
conclusive evidence for the role of the TIR1-mediated pathway in auxin-induced
seedling acid growth. The cvxIAA-ccvTIR1 system serves as a powerful tool for
solving outstanding questions in auxin biology and for precise manipulation of
auxin-mediated processes as a controllable switch.
PMID- 29355851
TI - Fresh from the biotech pipeline-2017.
PMID- 29355853
TI - Microbiome: Gut microbiota sways response to cancer immunotherapy.
PMID- 29355852
TI - Drug resistance in Plasmodium.
AB - A marked decrease in malaria-related deaths worldwide has been attributed to the
administration of effective antimalarials against Plasmodium falciparum, in
particular, artemisinin-based combination therapies (ACTs). Increasingly, ACTs
are also used to treat Plasmodium vivax, the second major human malaria parasite.
However, resistance to frontline artemisinins and partner drugs is now causing
the failure of P. falciparum ACTs in southeast Asia. In this Review, we discuss
our current knowledge of markers and mechanisms of resistance to artemisinins and
ACTs. In particular, we describe the identification of mutations in the propeller
domains of Kelch 13 as the primary marker for artemisinin resistance in P.
falciparum and explore two major mechanisms of resistance that have been
independently proposed: the activation of the unfolded protein response and
proteostatic dysregulation of parasite phosphatidylinositol 3- kinase. We
emphasize the continuing challenges and the imminent need to understand
mechanisms of resistance to improve parasite detection strategies, develop new
combinations to eliminate resistant parasites and prevent their global spread.
PMID- 29355855
TI - Retentive Force of Zirconia Implant Crowns on Titanium Bases Following Different
Surface Treatments.
AB - PURPOSE: Screw-retained zirconia implant crowns with an internal titanium base
have favorable mechanical properties compared with single-piece zirconia implant
crowns; however, the screw-retained implant crowns require adequate bonding
between the zirconia crown and the titanium base. This study measured the
retention between a titanium base and a full-contour zirconia implant crown
following different surface treatments of their bonded surfaces. MATERIALS AND
METHODS: Full-contour screw-retained zirconia implant crowns were fabricated to
fit a titanium base. The crowns were bonded to the titanium bases following one
of four treatment protocols (n = 15 per protocol group): no surface treatment
(Control), 10-methacryloyloxydecyl dihydrogen phosphate (MDP) primer on the
intaglio of crown and exterior of base (MDP), alumina airborne-particle abrasion
of the intaglio of crown and exterior of base (Alu), and alumina airborne
particle abrasion and an MDP primer on the intaglio of crown and exterior of base
(Alu+MDP). All crowns were bonded to the base with resin cement. Specimens were
stored in water for 24 hours at 37 degrees C and then thermocycled in water, with
a temperature range of 5 degrees C to 55 degrees C, for 15,000 cycles with a 15
second dwell time. Crowns were separated from the titanium bases using a
universal testing machine. The four treatment protocols were compared using one
way analysis of variance (ANOVA), followed by Tukey post hoc tests (P < .05).
Sectioned specimens were examined with scanning electron microscopy (SEM).
RESULTS: Retention forces for Control (737.8 +/- 148.9 N) and MDP (804.1 +/-
114.5 N) were significantly greater than Alu+MDP (595.5 +/- 122.2 N), which was
significantly greater than Alu (428.2 +/- 93.8 N). Visual inspection of the
debonded specimens showed that the majority of the cement remnants were seen on
the external surface of the titanium bases. Microscopic examination of the
interface between the crown and the unaltered base shows that the cement gap is
approximately 13 MUm at the crest of the microgrooves and 50 MUm within the
channel of the microgrooves. After airborne-particle abrasion, the microgrooves
became significantly dulled, and the cement gap increased to 27 to 40 MUm at the
crest and 55 to 58 MUm in the channels. CONCLUSION: Airborne-particle abrasion of
titanium bases that contain retentive microgrooves prior to bonding is
contraindicated. Application of an MDP primer demonstrated limited improvement in
the retention of the zirconia implant crowns.
PMID- 29355856
TI - Bone Graft and Substitutes Associated with Titanium Dome for Vertical Bone
Formation in Osseointegrated Implants: Histomorphometric Analysis in Dogs.
AB - PURPOSE: This animal study investigated vertical bone formation (VBF) around
implants and used histomorphometric analysis to compare different bone-filling
materials associated with a massive titanium dome as a tissue barrier. MATERIALS
AND METHODS: Seven dogs were submitted to surgical procedures with extraoral
access to the lower edge of the mandible, and four implants were semi-inserted in
each animal. Each implant received one treatment: autogenous bone with clot (AB),
control clot (C), synthetic graft (Biogran [BG]), or xenograft (Bio-Oss [BO]).
Massive titanium domes were stabilized over the implants. Histologic analysis was
performed after 3 months, and quantitative aspects were evaluated in extraosseous
and intraosseous threads on Image Pro-Plus software. RESULTS: VBF around implants
exhibited significant values in AB compared with other groups. BG and BO
presented statistical equivalency to AB and C in the apposition and filling of
extraosseous threads. There was no difference between groups for parameters
evaluated in intraosseous threads. CONCLUSION: The experimental model was valid
for evaluation of VBF around implants placed in atrophic mandibles. Considering
the limitations of this study, histomorphometric analysis evidenced better
outcomes for group AB. Even though groups BG and BO presented worse outcomes than
AB, they were slightly better compared with the control group.
PMID- 29355857
TI - Stepwise chelation-etching synthesis of carbon-confined ultrafine SnO2
nanoparticles for stable sodium storage.
AB - A stepwise chelation-etching approach to synthesize carbon-confined ultrafine
SnO2 nanoparticles was developed via conformal coating with polydopamine and
chelation-etching with ethylenediaminetetraacetic acid (EDTA). EDTA plays a
crucial role in the ordered removal of cobalt and tin. The obtained composite
exhibits superior sodium storage performance.
PMID- 29355854
TI - Prokaryotic cytoskeletons: protein filaments organizing small cells.
AB - Most, if not all, bacterial and archaeal cells contain at least one protein
filament system. Although these filament systems in some cases form structures
that are very similar to eukaryotic cytoskeletons, the term 'prokaryotic
cytoskeletons' is used to refer to many different kinds of protein filaments.
Cytoskeletons achieve their functions through polymerization of protein monomers
and the resulting ability to access length scales larger than the size of the
monomer. Prokaryotic cytoskeletons are involved in many fundamental aspects of
prokaryotic cell biology and have important roles in cell shape determination,
cell division and nonchromosomal DNA segregation. Some of the filament-forming
proteins have been classified into a small number of conserved protein families,
for example, the almost ubiquitous tubulin and actin superfamilies. To understand
what makes filaments special and how the cytoskeletons they form enable cells to
perform essential functions, the structure and function of cytoskeletal molecules
and their filaments have been investigated in diverse bacteria and archaea. In
this Review, we bring these data together to highlight the diverse ways that
linear protein polymers can be used to organize other molecules and structures in
bacteria and archaea.
PMID- 29355858
TI - Water-induced formation of a chiral phenylalanine derivative supramolecule.
AB - In biological systems, chiral self-assemblies are formed in water. To understand
the role of water in the formation of supramolecular chirality, an amino acid
derivative, N,N-diphenylalanine-3,4,9,10-perylenetetracarboxylicdiimide (PBI
Phe), was synthesized from perylene-3,4,9,10-tetracarboxylic dianhydride and
phenylalanine. PBI-Phe self-assembled into a spherical structure in pure DMSO,
but into a helical fiber structure in a solution of DMSO mixed with water.
Furthermore, increasing the relative amount of water included in the solution led
to an increase the supramolecular chirality.
PMID- 29355859
TI - Enantioselective cooperative proton-transfer catalysis using chiral ammonium
phosphates.
AB - Chiral phosphorate anions are shown to be highly enantioselective templates for
proton-transfer catalysis. A salt generated in situ from a bridgehead amine and a
BINOL-derived chiral phosphoric acid serves as an effective proton-shuttle that
exhibits remarkable enantioselectivity in a bioinspired, triple co-operative
catalysis involving an achiral NHC. Thioesters with a beta-chiral center can be
prepared in a single step from substituted cinnamaldehyde derivatives, with up to
99% yield and 99% ee. Heteroaryl groups are well tolerated in these reactions,
despite the presence of basic sites.
PMID- 29355860
TI - Interface engineered in situ anchoring of Co9S8 nanoparticles into a multiple
doped carbon matrix: highly efficient zinc-air batteries.
AB - Interface modification is an effective and promising route for developing
functional electrocatalysts. However, researchers have not created a reliable
method to optimize the interfaces of components existing in electrocatalysts,
although it is very crucial for the technological development of high-performance
electrodes. Here, we develop a strategy aiming at the in situ anchorage of Co9S8
nanoparticles into a nitrogen (N), sulfur (S) co-implanted three-dimensional
carbon matrix (Co9S8@NSCM) as a highly active and durable nonprecious metal
electrocatalyst for the oxygen reduction reaction (ORR) and oxygen evolution
reaction (OER) in alkaline medium. This strategy offers an opportunity to
optimize the interface interaction and affords high activity for the ORR and OER
in terms of low overpotentials and high current intensities. In addition, by
confining Co9S8 nanoparticles into a N,S-doped carbon matrix, corrosion and
aggregation can be effectively prevented, and thus the catalyst exhibits nearly
unfading ORR catalytic performance after 100 000 s testing, a low discharge
charge voltage gap (0.81 V) and a long cycle life (up to 840 cycles) in Zn-air
batteries. The present work highlights potentially powerful interface engineering
for designing multi-component heterostructures with advanced performances in
oxygen electrochemistry and related energy conversion.
PMID- 29355861
TI - Antibacterial nitric oxide- and singlet oxygen-releasing polystyrene
nanoparticles responsive to light and temperature triggers.
AB - Novel therapies to prevent bacterial infections are of utmost importance in
biomedical research due to the emergence of multidrug-resistant strains of
bacteria. Herein, we report the preparation, characterization and antibacterial
evaluation of sulfonated polystyrene nanoparticles simultaneously releasing two
antibacterial species, nitric oxide (NO) and singlet oxygen (O2(1Deltag)), upon
irradiation with visible light. The nanoparticles were prepared by simple and
scalable processes from nanofiber membranes with an encapsulated NO photodonor
and/or ionically entangled tetracationic porphyrin/phthalocyanine
photosensitizers. The release of NO and O2(1Deltag) from the polystyrene
nanoparticles is controlled by light wavelength and dose, as well as by
temperature, which influences the diffusion coefficient and solubility of both
species in the polystyrene matrix. The concentrations of NO and O2(1Deltag) were
measured by amperometric and time-resolved spectroscopic techniques and by
chemical analysis. Due to the efficient photogeneration of both species at
physiological temperature and resultant strong antibacterial action observed on
Escherichia coli, the nanoparticles are a promising material for antibacterial
applications triggered/modulated by light and temperature.
PMID- 29355862
TI - UV-driven overall water splitting using unsupported gold nanoparticles as
photocatalysts.
AB - We confirmed that Au nanoparticles (>10 nm) exhibit photocatalytic overall water
splitting into H2 and O2 simultaneously with a ratio close to 2 : 1 without the
assistance of sacrificial electron donors and cocatalysts under UV illumination
for the first time. The hydrogen production rate of 5.7 MUmol g-1 h-1 in pure
water was achieved, which is higher than that of TiO2 nanoparticles (P25, 1.2
MUmol g-1 h-1). The interband transitions from 5d (valence band) to 6sp
(conduction band) are responsible for this photocatalytic activity over Au
nanoparticles.
PMID- 29355863
TI - Anionic merocyanine dyes based on thiazol-2-hydrazides: reverse solvatochromism,
preferential solvation and multiparametric approaches to spectral shifts.
AB - Anionic merocyanine colored dyes based on (4-nitro/cyanophenyl)-substituted
thiazol-2-hydrazides (THAs), which are donor-pi-acceptor type push-pull molecular
systems and characterized with a strong intramolecular charge transfer (ICT) in
the ground state, have been examined as reverse solvatochromic systems. THAs are
shown to exhibit both positive and negative solvatochromism with a reversal of
the latter occurring for solvents of ET(30) value of ca. 45. The observed
behavior is traceable to relative contributions of benzenoid and quinonoid
resonance forms of the THAs and their stabilization by solvents to different
degrees. Solvatochromic studies in binary mixtures of polar aprotic and protic
solvents reveal that the latter are always preferred in the solvation microsphere
of THAs. Multiparametric treatment of the ET(dye) parameters by Catalan and
Kamlet-Taft linear solvation energy relationships (LSERs) compellingly bears out
the remarkable influence of hydrogen-bond donating (HBD) acidity, dipolarity and
polarizability of the media on the spectral properties of the anionic dyes;
hydrogen-bond accepting (HBA) basicity of the solvents is found to influence the
least.
PMID- 29355864
TI - Modulation of the carrier mobility enhancement in Si/Ge core-shell nanowires
under different interface confinements.
AB - The theoretical analysis of the effect of interface confinement on the
enhancement of carrier mobility in Si nanowires (SiNWs) is one of the critical
aspects in the design and development of high efficiency Si-based optoelectronic
devices. Herein, we propose an analytical method for SiNWs under different
interface confinements in terms of the atomic-bond-relaxation correlation
mechanism and continuum medium mechanics. Moreover, an analytical expression for
the relationship between carrier mobility and bond identities is derived and the
results are validated with the related experimental measurements. It is found
that the size reduction of SiNWs can not only increase the energy bandgap, but
also enhance the phonon and surface roughness scattering, thereby allowing for
the depression of carrier mobility. Moreover, the underlying mechanism regarding
the temperature dependent-carrier mobility in SiNWs with different orientations
embedded within Ge coating layers is clarified, which provides a pathway to
modulate the transport properties in Si-based nanostructures for desirable
applications.
PMID- 29355865
TI - Droplet microfluidic SANS.
AB - The coupling of droplet microfluidics and Small Angle Neutron Scattering (SANS)
is demonstrated with a range of model systems: isotopic solvent (H2O/D2O)
mixtures, surfactant (sodium dodecyl sulfate, SDS) solutions and colloidal
(silica) suspensions. Several droplet carrier phases are evaluated and
fluorinated oil emerges as a suitable fluid with minimal neutron background
scattering (commensurate with air), and excellent interfacial properties. The
combined effects of flow dispersion and compositional averaging caused by the
neutron beam footprint are evaluated in both continuous and droplet flows and an
operational window is established. Systematic droplet-SANS dilution measurements
of colloidal silica suspensions enable unprecedented quantification of form and
structure factors, osmotic compressibility, enhanced by constrained global data
fits. Contrast variation measurements with over 100 data points are readily
carried out in 10-20 min timescales, and validated for colloidal silica of two
sizes, in both continuous and droplet flows. While droplet microfluidics is
established as an attractive platform for SANS, the compositional averaging
imposed by large (~1 cm) beam footprints can, under certain circumstances, make
single phase, continuous flow a preferable option for low scattering systems. We
propose simple guidelines to assess the suitability of either approach based on
well-defined system parameters.
PMID- 29355866
TI - Optimum morphology of gold nanorods for light-induced hyperthermia.
AB - Owing to their unique chemical and physical properties, colloidal gold
nanoparticles have prompted a wide variety of biocompatible nano-agents for
cancer imaging, diagnosis and treatment. In this context, biofunctionalized gold
nanorods (AuNRs) are promising candidates for light-induced hyperthermia, to
cause local and selective damage in malignant tissue. Yet, the efficacy of AuNR
based hyperthermia is highly dependent on several experimental parameters; in
particular, the AuNR morphology strongly affects both physical and biological
processes. In the present work, we systematically study the influence of
different structural parameters like the AuNR aspect ratio, length and molecular
weight on in vitro cytotoxicity, cellular uptake and heat generation efficiency.
Our results enable us to identify the optimum AuNR morphology to be used for in
vivo hyperthermia treatment.
PMID- 29355867
TI - Hydrogen-bond strength changes network dynamics in associating telechelic PDMS.
AB - Associating polymers are a class of materials with widely tunable macroscopic
properties. Here, we investigate telechelic poly(dimethylsiloxanes) of several
molecular weights (MW) with different hydrogen bonding end groups. Besides the
well-established increase of the glass transition temperature Tg with decreasing
MW, Tg remains unchanged as the end group varies from NH2 over OH to COOH. For
the latter system, a 2nd Tg is found which indicates a segregated phase. In
contrast, rheological measurements reveal a qualitative difference in the
viscoelastic response of NH2-terminated and COOH-terminated chains. Both systems
show clear signs of end group association, but only the latter exhibits an
extended rubbery plateau. All features observed in the rheology experiments have
corresponding processes in the dielectric measurements. This provides insight
into the underlying molecular mechanisms, and especially reveals that many end
groups of the COOH-terminated chains phase segregate while a certain fraction
forms binary associates and remains non-segregated. In contrast, the NH2
terminated systems form only binary associates increasing the effective chain
length, whereas the COOH-terminated system consists of two types of associates
forming a crosslinked network. Remarkably, a single species of end group forms
two qualitatively different types of associates: transient bonds which allow
stress release by a bond-partner exchange mechanism, and effectively permanent
bonds formed by a phase segregated fraction of end groups which are stable on the
timescale of the transient mechanism.
PMID- 29355868
TI - A new mechanism for internal nucleophilic substitution reactions.
AB - A new mechanism for the classic internal nucleophilic substitution reactions SNi
by means of computational studies in the gas-phase, DCM and acetonitrile is
reported. Despite the importance of the SNi mechanism, since the mid-1990s this
mechanism has remained unexplored. This study focused mainly on the comparison
between the mechanisms postulated to date for the SNi reactions and a new
mechanism suggested by us that fits better the experimental observations. This
comparative study has been applied to the conversion of ethyl, neopentyl,
isopropyl and tert-butyl chlorosulfites into the corresponding alkyl chlorides.
This new mechanism occurs through two transition structures. For primary and
secondary substrates, the first transition structure is a 6-center syn
rearrangement of the alkanesulfonyl chloride that produces the corresponding
olefin by simultaneous expulsion of HCl and SO2. The olefin, HCl and SO2 form a
molecular complex. The final syn-addition of HCl to the olefin leads to alkyl
chloride with the retention of configuration. For tertiary substrates, a
variation of the previous mechanism is postulated with the intervention of
contact ion pairs. It is of great importance to emphasize that this new mechanism
is able to explain some experimental observations such as the presence of olefins
in these types of reactions and the low reactivity of some systems such as
neopentyl chlorosulfite. Our results pave the way to a new mechanistic
perspective in similar reactions which will need further studies and validation.
PMID- 29355869
TI - Gold nanorods decorated with a cancer drug for multimodal imaging and therapy.
AB - Cancer, a condition with uncontrolled cell division, is the second leading cause
of death worldwide. The currently available techniques for the imaging and
treatment of cancer have their own limitations and hence a combination of more
than one modality is expected to increase the efficacy of both diagnosis and
treatment. In the present study, we have developed a multimodal imaging and
therapeutic system by incorporating a chemotherapeutic drug, mitoxantrone (MTX)
onto PEG coated gold nanorods (GNR). Strong absorption in the near-infrared (NIR)
and visible regions qualifies GNR as an efficient photothermal (PTT) agent upon
irradiation with either a NIR or visible laser. Additionally, the enhanced
electric field of GNR makes it a suitable substrate for surface enhanced Raman
scattering (SERS). Modification of GNR with amino PEG offers biocompatibility
without affecting its optical property. In order to achieve tumor specificity,
GNR-PEG was conjugated with tumor specific marker that can target cancer cells,
leaving the normal cells unaffected. The incorporation of fluorescent
chemotherapeutic drug mitoxantrone onto GNR-PEG facilitates chemotherapy as well
as fluorescence imaging. The therapeutic efficacy of the developed GNR based
system is tracked using fluorescence imaging and Raman imaging. The careful
design of the system also facilitates the controlled release of the drug by
photothermal triggering. Likewise, the imaging modality could be chosen as either
Raman or fluorescence to monitor drug release in accordance with irradiation. The
physico-chemical properties, and drug release profiles under different
physiological conditions have been well studied. Finally, the developed system
was tested for its therapeutic efficacy using cancer cells, in vitro. The
receptor mediated cell uptake was more effective in folate receptor over
expressing cancer cells than in the normal and low-expressing cells. Accordingly
the percentage of cell death was higher in folate receptor over-expressing cancer
cells, which was further enhanced due to the effect of the dual therapeutic
approach. The cell uptake and treatment efficacy was monitored using fluorescence
microscopy and SERS. In conclusion, the developed GNR-PEG-MTX system is found to
be an efficient multimodal therapeutic agent against cancer which could be
tracked using two different techniques.
PMID- 29355872
TI - A silencing-mediated enhancement of osteogenic differentiation by supramolecular
ternary siRNA polyplexes comprising biocleavable cationic polyrotaxanes and
anionic fusogenic peptides.
AB - Gene silencing of noggin by small interfering RNA (siRNA) is a promising approach
for the treatment of bone defects, because noggin deactivates bone morphogenetic
protein-2 (BMP-2) and suppresses osteogenic differentiation. Here, we
demonstrated the silencing of the noggin gene by siRNA polyplexes composed of
noggin-targeted siRNA and biocleavable cationic polyrotaxanes (DMAE-SS-PRX). To
improve the endosomal escape efficiencies of the DMAE-SS-PRX/siRNA polyplexes,
anionic and fusogenic GALA peptides were integrated onto the DMAE-SS-PRX/siRNA
polyplexes via simple electrostatic interactions. The formation of ternary
complexes was confirmed by gel electrophoresis, dynamic light scattering, and
zeta-potential measurements. Although the association of GALA peptides with the
DMAE-SS-PRX/siRNA polyplexes did not remarkably affect the cellular uptake
efficiency of siRNA, the endosomal escape efficiency was remarkably increased for
GALA/DMAE-SS-PRX/siRNA ternary polyplexes because of the endosomal and lysosomal
membrane destabilization by GALA peptides. Consequently, GALA/DMAE-SS-PRX/siRNA
ternary polyplexes showed significantly higher gene silencing efficiency against
noggin and enhanced the BMP-2-mediated osteogenic differentiation efficiency.
Therefore, we concluded that GALA/DMAE-SS-PRX/siRNA ternary polyplexes can be
effective siRNA carriers for suppressing the expression of specific endogenous
genes. Consequently, we believe that a more practical approach in vivo will be
the combined use of BMP-2 and GALA/DMAE-SS-PRX/siRNA ternary polyplexes, because
it will improve the efficacy of bone regeneration therapy.
PMID- 29355873
TI - Chiral phosphoric acid catalysis: from numbers to insights.
AB - Chiral phosphoric acids (CPAs) have emerged as powerful organocatalysts for
asymmetric reactions, and applications of computational quantum chemistry have
revealed important insights into the activity and selectivity of these catalysts.
In this tutorial review, we provide an overview of computational tools at the
disposal of computational organic chemists and demonstrate their application to a
wide array of CPA catalysed reactions. Predictive models of the stereochemical
outcome of these reactions are discussed along with specific examples of
representative reactions and an outlook on remaining challenges in this area.
PMID- 29355875
TI - Sky-blue emitting bridged diiridium complexes: beneficial effects of
intramolecular pi-pi stacking.
AB - The potential of intramolecular pi-pi interactions to influence the photophysical
properties of diiridium complexes is an unexplored topic, and provides the
motivation for the present study. A series of diarylhydrazide-bridged diiridium
complexes functionalised with phenylpyridine (ppy)-based cyclometalating ligands
is reported. It is shown by NMR studies in solution and single crystal X-ray
analysis that intramolecular pi-pi interactions between the bridging and
cyclometalating ligands rigidify the complexes leading to high luminescence
quantum efficiencies in solution and in doped films. Fluorine substituents on the
phenyl rings of the bridge promote the intramolecular pi-pi interactions.
Notably, these non-covalent interactions are harnessed in the rational design and
synthesis of the first examples of highly emissive sky-blue diiridium complexes
featuring conjugated bridging ligands, for which they play a vital role in the
structural and photophysical properties. Experimental results are supported by
computational studies.
PMID- 29355876
TI - Measurement of Nursing Home Culture Change: Systematic Review.
AB - Nursing home culture change (NHCC) aims to change the way care is provided in
nursing homes. Valid and reliable measures are needed to evaluate the impact of
NHCC on outcomes. The current systematic review provides an overview of
instruments designed to measure NHCC and criteria for others to evaluate and
choose instruments according to their own purpose. Ten instruments were
identified that measure NHCC on an organizational level. Lack of development
description hindered their assessment, and studies examining the relationship
between NHCC and outcomes are rare. A common limitation of the instruments
reviewed was the lack of psychometric testing; thus, their validity and
reliability need further exploration. [Res Gerontol Nurs. 2018; 11(2):103-112.].
PMID- 29355877
TI - Emergency Department Use by Community-Dwelling Individuals With Dementia in the
United States: An Integrative Review.
AB - As part of the National Plan to Address Alzheimer's Disease, reducing potentially
avoidable emergency department (ED) use by individuals with dementia has been
identified as a component of enhancing the quality and efficiency of care for
this population. To help inform the development of interventions to achieve this
goal, an integrative review was conducted to: (a) compare rates and reasons for
ED visits by community-dwelling individuals with and without dementia,
considering also the effect of dementia subtype and severity; and (b) identify
other risk factors for increased ED use among community-dwelling individuals with
dementia. Nineteen articles met inclusion criteria. Individuals with dementia had
higher rates of ED visits compared to those without dementia, although
differences were attenuated in the last year of life. Increased symptoms and
disability were associated with increased rates of ED visits, whereas resources
that enabled effective management of increased need decreased rates.
Gerontological nurses across settings are on the frontlines of preventing
potentially avoidable ED visits by community-dwelling individuals with dementia
through patient and family education and leadership in the development of new
models of care. [Journal of Gerontological Nursing, 44(3), 23-30.].
PMID- 29355878
TI - Negotiating Risky Preferences in Nursing Homes: A Case Study of the Rothschild
Person-Centered Care Planning Approach.
AB - Delivering person-centered care (PCC) is no longer an option for nursing homes
(NH) that receive funding from the Centers for Medicare & Medicaid Services. NH
staff need evidence-based protocols to guide efforts in honoring preferred
choices of residents, especially those that are perceived to be risky. The
Rothschild Care Planning Process for Resident Choice was created to honor such
choices. The current article provides a case exemplar to demonstrate the use of
the Rothschild Care Planning Process for Resident Choice with one NH resident who
was perceived by staff to be making a risky choice. The scenario outlines six
steps to the process, highlighting areas for focus and documentation that
addresses complexities and best practices in delivering PCC. Three
recommendations are offered to address residents' choices that carry risk: (a)
adapt care community policies, (b) engage direct care staff in care planning, and
(c) provide staff training in facilitating resident choice. [Journal of
Gerontological Nursing, 44(8), 11-17.].
PMID- 29355879
TI - Influence of Teamwork on Health Care Workers' Perceptions About Care Delivery and
Job Satisfaction.
AB - The aim of the current study was to examine the nature of teamwork in care
facilities and its impact on the effectiveness of care delivery to older adults
and job satisfaction among health care workers. A focused ethnography was
conducted at two care facilities where older adults reside. Analysis of
interviews with 22 participants revealed perceptions of teamwork and
understandings about facilitators of and barriers to effective teamwork.
Participants indicated that team relationships impacted care provided and job
satisfaction. Participants also identified trust and reciprocity, communication,
and sharing a common goal as critical factors in effective teamwork. In addition,
participants identified the role of management as important in setting the tone
for teamwork. Future research is needed to understand the complexity of
supporting teamwork in residential settings given the challenges of culture,
diversity, and individuals working multiple jobs. [Journal of Gerontological
Nursing, 44(4), 37-44.].
PMID- 29355880
TI - Dying Behind Bars.
PMID- 29355883
TI - Optimizing Pain Management Through Opioid Deprescribing.
AB - The use of opioid drug therapy in older adults has increased over the past
decade. Although use of opioid drugs may be clinically warranted, ongoing use
needs to be monitored closely to evaluate risks and benefits, especially with the
potential for adverse events and misuse. An opioid drug deprescribing protocol
would provide clinicians with a method to assess an individual's need for opioid
agents, as well as a systematic process to taper opioid drug therapy when deemed
appropriate. Although more than 60 studies have reported methods for
deprescribing, there is currently no established guideline for discontinuing
opioid medications. The U.S. Department of Veterans Affairs has developed an
algorithm to assist clinicians with opioid drug discontinuation decision making.
As efforts to discontinue opioid drugs for chronic non-cancer pain in older
adults continue to expand, nurses, as an integral part of the inter-professional
team, will play a key role in monitoring and assessing patients' pain and care
plans. [Journal of Gerontological Nursing, 44(1), 9-14.].
PMID- 29355885
TI - As Part of a New National Emergency Department Collaborative, the AGS & Partners
Aim for Better Outcomes at Lower Costs.
PMID- 29355884
TI - Developing a Serious Game for Nurse Education.
AB - Future nursing education is challenged to develop innovative and effective
programs that align with current changes in health care and to educate nurses
with a high level of clinical reasoning skills, evidence-based knowledge, and
professional autonomy. Serious games (SGs) are computer-based simulations that
combine knowledge and skills development with video game-playing aspects to
enable active, experiential, situated, and problem-based learning. In a PhD
project, a video-based SG was developed to teach nursing students nursing care
for patients with chronic obstructive pulmonary disease in home health care and
hospital settings. The current article summarizes the process of the SG
development and evaluation. [Journal of Gerontological Nursing, 44(1), 15-19.].
PMID- 29355886
TI - Antidepressants for depression in adults with HIV infection.
AB - BACKGROUND: Rates of major depression among people living with HIV (PLWH) are
substantially higher than those seen in the general population and this may
adversely affect antiretroviral treatment outcomes. Several unique clinical and
psychosocial factors may contribute to the development and persistence of
depression in PLWH. Given these influences, it is unclear if antidepressant
therapy is as effective for PLWH as the general population. OBJECTIVES: To assess
the efficacy of antidepressant therapy for treatment of depression in PLWH.
SEARCH METHODS: We searched The Cochrane Common Mental Disorders Group's
specialised register (CCMD-CTR), the Cochrane Library, PubMed, Embase and ran a
cited reference search on the Web of Science for reports of all included studies.
We conducted additional searches of the international trial registers including;
ClinicalTrials.gov, World Health Organization Trials Portal (ICTRP), and the HIV
and AIDS - Clinical trials register. We searched grey literature and reference
lists to identify additional studies and contacted authors to obtain missing
data. We applied no restrictions on date, language or publication status to the
searches, which included studies conducted between 1 January 1980 and 18 April
2017. SELECTION CRITERIA: We included randomized controlled trials of
antidepressant drug therapy compared to placebo or another antidepressant drug
class. Participants eligible for inclusion had to be aged 18 years and older,
from any setting, and have both HIV and depression. Depression was defined
according to Diagnostic and Statistical Manual of Mental Disorders or
International Statistical Classification of Diseases criteria. DATA COLLECTION
AND ANALYSIS: Two review authors independently applied the inclusion criteria and
extracted data. We presented categorical outcomes as risk ratios (RR) with 95%
confidence intervals (CIs). Continuous outcomes were presented mean (MD) or
standardized mean differences (SMD) with standard deviations (SD). We assessed
quality of evidence using the GRADE approach. MAIN RESULTS: We included 10
studies with 709 participants in this review. Of the 10 studies, eight were
conducted in high income countries (USA and Italy), seven were conducted prior to
2000 and seven had predominantly men. Seven studies assessed antidepressants
versus placebo, two compared different antidepressant classes and one had three
arms comparing two antidepressant classes with placebo.Antidepressant therapy may
result in a greater improvement in depression compared to placebo. There was a
moderate improvement in depression when assessed with the Hamilton Depression
Rating Scale (HAM-D) score as a continuous outcome (SMD 0.59, 95% CI 0.21 to
0.96; participants = 357; studies = 6; I2 = 62%, low quality evidence). However,
there was no evidence of improvement when this was assessed with HAM-D score as a
dichotomized outcome (RR 1.10, 95% CI 0.89 to 1.35; participants = 434; studies =
5; I2 = 0%, low quality evidence) or Clinical Global Impression of Improvement
(CGI-I) score (RR 1.28, 95% CI 0.93 to 1.77; participants = 346; studies = 4; I2
= 29%, low quality evidence). There was little to no difference in the proportion
of study dropouts between study arms (RR 1.28, 95% CI 0.91 to 1.80; participants
= 306; studies = 4; I2 = 0%, moderate quality evidence).The methods of reporting
adverse events varied substantially between studies, this resulted in very low
quality evidence contributing to a pooled estimate (RR 0.88, 95% CI 0.64 to 1.21;
participants = 167; studies = 2; I2 = 34%; very low quality evidence). Based on
this, we were unable to determine if there was a difference in the proportion of
participants experiencing adverse events in the antidepressant versus placebo
arms. However, sexual dysfunction was reported commonly in people receiving
selective serotonin reuptake inhibitors (SSRIs). People receiving tricyclic
antidepressants (TCAs) frequently reported anticholinergic adverse effects such
as dry mouth and constipation. There were no reported grade 3 or 4 adverse events
in any study group.There was no evidence of a difference in follow-up CD4 count
at study termination (MD -6.31 cells/mm3, 95% CI -72.76 to 60.14; participants =
176; studies = 3; I2 = 0%; low quality evidence). Only one study evaluated
quality of life score (MD 3.60, 95% CI -0.38 to 7.58; participants = 87; studies
= 1; very low quality evidence), due to the poor quality evidence we could not
draw conclusions for this outcome.There were few studies comparing different
antidepressant classes. We are uncertain if SSRIs differ from TCAs with regard to
improvement in depression as evaluated by HAM-D score (MD -3.20, 95% CI -10.87 to
4.47; participants = 14; studies = 1; very low quality evidence). There was some
evidence that mirtazapine resulted in a greater improvement in depression
compared to an SSRI (MD 9.00, 95% CI 3.61 to 14.39; participants = 70; studies =
1; low quality evidence); however, this finding was not consistent for all
measures of improvement in depression for this comparison.No studies reported on
virological suppression or any other HIV specific outcomes.The studies included
in this review had an overall unclear or high risk of bias due to under-reporting
of study methods, high risk of attrition bias and inadequate sequence generation
methods. Heterogeneity between studies and the limited number of participants,
and events lead to downgrading of the quality of the evidence for several
outcomes. AUTHORS' CONCLUSIONS: This review demonstrates that antidepressant
therapy may be more beneficial than placebo for the treatment of depression in
PLWH. The low quality of the evidence contributing to this assessment and the
lack of studies representing PLWH from generalized epidemics in low- to middle
income countries make the relevance of these finding in today's context limited.
Future studies that evaluate the effectiveness of antidepressant therapy should
be designed and conducted rigorously. Such studies should incorporate evaluation
of stepped care models and health system strengthening interventions in the study
design. In addition, outcomes related to HIV care and antiretroviral therapy
should be reported.
PMID- 29355887
TI - Accuracy of fetal fibronectin for assessing preterm birth risk in asymptomatic
pregnant women: a systematic review and meta-analysis.
AB - INTRODUCTION: Fetal fibronectin (fFN) is a validated test for assessing risk of
preterm birth for women presenting with symptoms. Our aim was to evaluate the
accuracy of fFN to detect the risk of preterm birth in asymptomatic women.
MATERIAL AND METHODS: Searches were conducted to identify studies where fFN was
performed in asymptomatic women beyond 22 weeks' gestation. EMBASE, MEDLINE,
CINHAL, AMED and BNI were searched between 2005 and 2017. Studies before 2005
were identified from a published systematic review. Women were grouped as
singleton pregnancies, with and without risk factors for preterm birth, and
multiple pregnancy. Quality assessment was performed using QUADAS-2. When
possible, data were pooled using a hierarchical, bivariate random effects model.
RESULTS: Fifteen studies met the inclusion criteria: six studies of singleton
pregnancies in women without risk factors (1236 women), four in women with risk
factors for preterm birth (2628 women) and five studies were of multiple
pregnancy (1427 women). The pooled sensitivity and specificity of fFN in "no risk
factors singletons" were 0.48 (95% CI 0.20-0.77), and 0.96 (95% CI 0.86-0.99),
respectively. The likelihood ratio of a positive test result was 12 (95% CI 4.70
30.68). The pooled sensitivity and specificity of fFN in "risk factors
singletons" were 0.34 (95% CI 0.24-0.43), and 0.91 (95% CI 0.88-0.93). The
accuracy of fFN in multiple pregnancies was inconclusive. CONCLUSION: Our
findings suggest in asymptomatic singleton pregnancies without risk factors, a
positive fFN result indicates a large shift from pre- to post-test probability,
possibly identifying women at increased risk of preterm birth.
PMID- 29355888
TI - Association of microRNA-200c expression levels with clinicopathological factors
and prognosis in endometrioid endometrial cancer.
AB - INTRODUCTION: MicroRNAs (miRNAs) are regulators of gene expression, which play an
important role in many critical cellular processes including apoptosis,
proliferation and cell differentiation. Aberrant miRNA expression has been
reported in a variety of human malignancies. Therefore, miRNAs may be potentially
used as cancer biomarkers. miRNA-200c, which is a member of the miRNA-200 family,
might play an essential role in tumor progression. The purpose of this study was
to evaluate the prognostic and clinical significance of miRNA-200c in women with
endometrioid endometrial cancer. MATERIAL AND METHODS: Total RNA extraction from
90 archival formalin-fixed paraffin-embedded tissue samples of endometri-oid
endometrial cancer and 10 normal endometrium samples was performed. After cDNA
synthesis, real-time polymerase chain reaction was conducted and relative
expression of miRNA-200c was assessed. Then, miRNA-200c expression levels were
evaluated with regard to clinicopathological characteristics. RESULTS: The
expression levels of miRNA-200c were significantly increased in endometrioid
endometrial cancer samples. Expression of miRNA-200c maintained at significantly
higher levels in the early stage endometrioid endometrial cancer compared with
more advanced stages. In the Kaplan-Meier analysis, lower levels of miRNA-200c
expression were associated with inferior survival. CONCLUSIONS: Expression levels
of miRNA-200c might be associated with clinicopathological factors and survival
in endometrioid endometrial cancer.
PMID- 29355889
TI - Laeverin protein expression in normal and preeclamptic placentas using tissue
microarray analysis.
AB - INTRODUCTION: Laeverin is a placenta-specific protein that is normally expressed
in the plasma membrane of human trophoblasts. In previous studies, we showed
higher expression levels of laeverin gene in preeclamptic compared with normal
placentas and found that laeverin protein was ectopically expressed in the
cytoplasm of the preeclamptic placentas. Our objective was to investigate
laeverin protein expression in normal and preeclamptic placentas combining
immunohistochemistry and immunofluorescence. MATERIAL AND METHODS: Tissue
microarray analysis of 72 placentas, obtained from 33 preeclamptic and 39
uncomplicated pregnancies, was performed. Laeverin was labeled with a specific
antibody for immunohistochemistry and immunofluorescence studies. RESULTS:
Immunohistochemistry showed that laeverin was expressed in syncytiotrophoblasts,
cytotrophoblasts and extravillous trophoblasts in all placentas examined. In
preeclamptic placentas (n = 33) compared with normal placentas (n = 39), laeverin
was expressed in the cell membrane in 21 (64%) vs. 21 (54%) samples (p = 0.726),
in the cytoplasm in 3 (9%) vs. 2 (5%) samples (p = 0.795) and in both the
cytoplasm and membrane in 9 (27%) vs. 16 (41%) samples (p = 0.0522). All
placental samples that showed cytoplasmic expression of laeverin were obtained
from women who delivered before 34 weeks of gestation (early-onset preeclampsia).
Further, immunofluorescence studies showed laeverin expression in the cytoplasm
of six preeclamptic (three early-onset and three late-onset) and one normal
placenta but did not reveal any simultaneous cell membrane and cytoplasmic
expression of laeverin. CONCLUSION: Laeverin is expressed in all trophoblast cell
types of normal and preeclamptic placentas. Expression pattern of laeverin in
trophoblast cells is heterogeneous and not necessarily membrane-bound.
PMID- 29355890
TI - Reducing Off-Label Antipsychotic Use in Older Adults: Time to Look Beyond the
Doors of Nursing Homes.
PMID- 29355891
TI - Where Are Antipsychotics Prescribed in Nursing Homes Initiated?
AB - OBJECTIVES: To describe the settings of care in which antipsychotics that nursing
home (NH) residents received were likely to have been initiated. DESIGN: Cross
sectional. SETTING: Iowa NHs. PARTICIPANTS: Fee-for-service Medicare
beneficiaries who had NH stays between January 1, 2011, and December 31, 2014,
and had new use of antipsychotics during their NH stays, defined as no
antipsychotic use in NHs in the last 6 months. MEASUREMENTS: A linked dataset of
Chronic Condition Data Warehouse Medicare claims and Long-Term Care Minimum Data
Set (MDS) 3.0 was used to determine care settings of antipsychotic initiations.
RESULTS: Of 7,496 residents with new antipsychotic use in NHs, 4,794 (64.0%, 95%
confidence interval (CI) = 62.9-65.0%) initiated them in NHs, 1,392 (18.6%, 95%
CI 17.7-19.5%) appeared to have had them initiated in hospitals, and 1,310
(17.5%, 95% CI = 16.6-18.3%) had antipsychotics first dispensed as outpatients.
Antipsychotics were commonly prescribed during the early NH stays, and 3,026
(40.4%, 95% CI = 39.3-41.5%) of the entire sample received antipsychotic therapy
within the first 7 days after NH admissions. Fifty-eight percent (n = 4,348) of
the study residents initiating antipsychotics had potentially appropriate
antipsychotic indications according toMDS records. CONCLUSION: Most residents
initiated antipsychotic therapy in NHs, confirming that NH providers are
appropriate primary target of interventions to reduce antipsychotic initiation in
their residents. However, many antipsychotics were continued from other settings,
indicating a need to evaluate the necessity of continued antipsychotic treatment
after such transitions of care. J Am Geriatr Soc 66:1082-1088: 2018.
PMID- 29355893
TI - Cranial measures and ancient DNA both show greater similarity of Neandertals to
recent modern Eurasians than to recent modern sub-Saharan Africans.
AB - OBJECTIVES: Ancient DNA analysis has shown that present-day humans of Eurasian
ancestry are more similar to Neandertals than are present-day humans of sub
Saharan African ancestry, reflecting interbreeding after modern humans first left
Africa. We use craniometric data to test the hypothesis that the crania of recent
modern humans show the same pattern. MATERIALS AND METHODS: We computed
Mahalanobis squared distances between a published Neandertal centroid based on 37
craniometric traits and each of 2,413 recent modern humans from the Howells
global data set (N = 373 sub-Saharan Africans, N = 2,040 individuals of Eurasian
descent). RESULTS: The average distance to the Neandertal centroid is
significantly lower for Eurasian crania than for sub-Saharan African crania as
expected from the findings of ancient DNA (p < 0.001). This result holds when
examining distances for separate geographic regions of humans of Eurasian descent
(Europeans, Asians, Australasians, Native Americans, and Pacific Islanders). Most
of these results are also seen when examining distances partitioning size and
shape variation. DISCUSSION: Our results show that the genetic difference in
Neandertal ancestry seen in the DNA of present-day sub-Saharan Africans and
Eurasians is also found in patterns of recent modern human craniometric
variation.
PMID- 29355892
TI - The effect of ethnicity on the performance of protein-creatinine ratio in the
prediction of significant proteinuria in pregnancies at risk of or with
established hypertension: an implementation audit and cost implications.
AB - INTRODUCTION: The replacement of 24-h urine collection by protein-creatinine
ratio (PCR) for the diagnosis of preeclampsia has been recently recommended.
However, the literature is conflicting and there are concerns about the impact of
demographic characteristics on the performance of PCR. MATERIAL AND METHODS: This
was an implementation audit of the introduction of PCR in a London Tertiary
obstetric unit. The performance of PCR in the prediction of proteinuria >=300
mg/day was assessed in 476 women with suspected preeclampsia who completed a 24-h
urine collection and an untimed urine sample for PCR calculation. Multivariate
logistic regression was used to assess the independent predictors of significant
proteinuria. RESULTS: In a pregnant population, ethnicity and PCR are the main
predictors of >=300 mg proteinuria in a 24-h urine collection. A PCR cut-off of
30 mg/mmol would have incorrectly classified as non-proteinuric, 41.4% and 22.9%
of black and non-black women, respectively. Sensitivity of 100% is achieved at
cut-offs of 8.67 and 20.56 mg/mmol for black and non-black women, respectively.
Applying these levels as a screening tool to inform the need to perform a 24-h
urine collection in 1000 women, would lead to a financial saving of ?2911 in non
black women and to an additional cost of ?3269 in black women. CONCLUSIONS: Our
data suggest that a move from screening for proteinuria with a 24-h urine
collection to screening with urine PCR is not appropriate for black populations.
However, the move may lead to cost-saving if used in the white population with a
PCR cut-off of 20.5.
PMID- 29355894
TI - How should minimally important change scores for the Patient-Oriented Eczema
Measure be interpreted? A validation using varied methods.
AB - BACKGROUND: The Patient-Oriented Eczema Measure (POEM), scored 0-28, is the core
outcome instrument recommended for measuring patient-reported atopic eczema
symptoms in clinical trials. To date, two published studies have broadly
concurred that the minimally important change (MIC) of the POEM is three points.
Further assessment of the MIC of POEM in different populations, and using a
variety of methods, will improve interpretability of the POEM in research and
clinical practice. OBJECTIVES: To calculate the smallest detectable change in the
POEM and estimate the MIC of the POEM using a variety of methods in a trial
dataset of children with moderate-to-severe atopic eczema. METHODS: This study
used distribution-based and anchor-based methods to calculate the MIC of the POEM
in children with moderate-to-severe eczema. RESULTS: Data were collected from 300
children. The smallest detectable change was 2.13. The MIC estimates were 1.07
(using 0.2 SD of baseline POEM scores) and 2.68 (using 0.5 SD of baseline POEM
scores) based on distribution-based methods; were 3.09-6.13 based on patient
/parent-reported anchor-based methods; and were 3.23-5.38 based on investigator
reported anchor-based methods. CONCLUSIONS: We recommend the following thresholds
be used to interpret changes in POEM scores: <= 2, unlikely to be a change beyond
measurement error; 2.1-2.9, a small change detected that is likely to be beyond
measurement error but may not be clinically important; 3-3.9, probably a
clinically important change; >= 4, very likely to be a clinically important
change.
PMID- 29355895
TI - Is ultrasound measured fetal biometry predictive of intrapartum caesarean section
for failure to progress?
AB - BACKGROUND: There are global concerns regarding excessive caesarean rates, which
could be reduced by identification of risk factors leading to preventative
measures such as induction of labour. AIMS: This study aims to describe the
association between antenatal ultrasound and emergency caesarean section for: (i)
failure to progress; (ii) other indications; and (iii) any indication. MATERIALS
AND METHODS: Women who had an ultrasound in pregnancy between 36(+0/7) to
38(+6/7) weeks at Royal Prince Alfred Hospital from January 2005 to June 2009
were included. Ultrasound parameters were linked to clinical parameters from the
maternity database. Missing clinical data were imputed and multiple logistic
regression performed. RESULTS: Fetal biometry data were available for 2006
pregnancies. After adjusting for maternal age, height, body mass index, parity,
previous caesarean section and diabetes, caesarean section for failure to
progress was associated with estimated fetal weight (odds ratio (OR) 2.24 (95%
CI: 1.76-2.84) per 500 g increase); or biparietal diameter (OR 1.51 (1.16-1.97)
per 5 mm increase) and abdominal circumference (OR for the 4th quartile (>75th
centile) compared with the 10-25th centile group was 2.09 (1.13-3.85)).* There
were also non-linear associations between components of fetal biometry and
caesarean section for fetal distress and for any indication. CONCLUSIONS:
Components of fetal biometry in the third trimester are associated with
intrapartum caesarean section for failure to progress. These parameters could be
incorporated into models to predict emergency caesarean section which could lead
to implementation of preventative strategies. *[Corrections added on 29 January
2018, after first online publication, '(OR for the 4th quartile (>7th centile)'
has been changed to '(OR for the 4th quartile (>75th centile)'.].
PMID- 29355896
TI - Differential effects of secukinumab vs. ustekinumab for treatment of psoriasis on
quality of life, work productivity and activity impairment: a structural equation
modelling analysis.
AB - BACKGROUND: The appearance and lifelong, chronic nature of psoriasis result in
considerable burden to patients, such as sleep impairment, depressive symptoms,
negative self-esteem and reduced work productivity. OBJECTIVES: To examine direct
and indirect (mediated) effects of secukinumab vs. ustekinumab on quality of
life, work productivity and activity impairment based on psoriasis severity and
symptoms. METHODS: Analyses were based on data from the CLEAR study. Structural
equation modelling examined the effects of secukinumab vs. ustekinumab on the
Dermatology Life Quality Index (DLQI) and on the Work Productivity and Activity
Impairment (WPAI) questionnaire using Psoriasis Area and Severity Index (PASI)
severity and symptoms (pain, itching and scaling) as potential mediators.
Analyses were conducted primarily for patients achieving a PASI 90 response (90%
or greater reduction in PASI from baseline) at week 16 (repeated at week 52) and
for PASI 50, 75 and 100. RESULTS: Results at weeks 16 and 52 showed that the
effect of treatment on change in DLQI score was mediated by the PASI 90 response
and by improvements in itching, pain, and scaling. Achieving any PASI response as
early as week 16 directly resulted in significantly better WPAI scores. At week
52, both PASI response and improvement in scaling directly resulted in
significantly better WPAI scores. Pain, itching and scaling were correlated (r =
0.51-0.68); improvement in any of these had a significant effect (directly or
indirectly) on WPAI. All results favoured secukinumab over ustekinumab.
CONCLUSIONS: The results underscore the important role of both PASI response and
reduction in symptoms on improvements in health-related quality of life and work
and daily activity in favour of secukinumab vs. ustekinumab.
PMID- 29355897
TI - The need for further surgical intervention following primary hysteroscopic
morcellation of submucosal leiomyomas in women with abnormal uterine bleeding.
AB - BACKGROUND: Intrauterine hysteroscopic morcellators have been studied as an
alternative method for removing submucosal leiomyomas. AIMS: To assess the long
term efficacy of hysteroscopic morcellation of submucosal leiomyomas in women
with abnormal uterine bleeding (AUB). MATERIALS AND METHODS: We conducted a
prospective cohort study including all women with AUB who underwent a
hysteroscopic resection with mechanical morcellation of a benign submucosal
leiomyoma confirmed at histopathology. Need for further surgery, patient
satisfaction, symptom resolution and post-operative complications were documented
by direct patient contact after a minimum of six months of follow-up. RESULTS: A
total of 73 women were included in the study with a mean length of follow-up of
32 +/- 13 months. Mean total size of pathology at the time of index surgery was
of 42 +/- 20 mm. A total of 9/73 (12%) women required subsequent hysterectomy and
20/73 (27%) any subsequent related surgery (operative hysteroscopy, abdominal
myomectomy or hysterectomy) with the estimated three-year cumulative incidence
being 30 +/- 6%. Satisfaction rate of participants was 84%. In multivariate Cox
proportional analyses, only a total size of pathology of 50 mm or more was found
to be significantly associated with the risk of requiring further surgical
procedures (hazard ratio = 2.9, P = 0.02). CONCLUSIONS: Hysteroscopic
morcellation of submucosal leiomyomas is an effective method to manage women with
AUB, although women with larger pathology have an increased risk of requiring
subsequent surgical procedures.
PMID- 29355898
TI - In vivo dermoscopic and confocal microscopy multistep algorithm to detect in situ
melanomas.
AB - BACKGROUND: Although several dermoscopic features of in situ melanoma have been
identified, data on confocal features of in situ melanoma are still lacking.
OBJECTIVES: To identify reflectance confocal microscopy (RCM) features of in situ
melanoma and to develop a diagnostic score combining dermoscopy and RCM. METHODS:
In total, 120 in situ melanoma and 213 nevi (test set) were retrospectively
analysed to assess the presence of dermoscopic and RCM criteria. Facial and acral
lesions were excluded. Spearman's correlation, univariate and multivariate
regression models were used to identify features significantly correlated with in
situ melanoma diagnosis. Multivariate results on the test set allowed the
development of a multistep algorithm, that was tested on a validation set of 100
lesions. RESULTS: The dermoscopic findings of an atypical network and regression
were independent predicting factors for in situ melanoma diagnosis [odds ratio
(OR) 3.44, 95% CI (confidence interval) 1.70-6.97 and OR 4.17, 95% CI 1.93-9.00,
respectively]. Significant confocal predictors for malignancy were epidermal
pagetoid spread (OR 2.83, 95% CI 1.32-6.04) and junctional cytological atypia (OR
3.39, 95% CI 1.38-8.30 if focal, OR 8.44, 95% CI 3.21-22.16 if widespread). A
multistep diagnostic algorithm able to predict in situ melanoma with a
sensitivity of 92.5% and a specificity of 61% was developed. The validation set
confirmed the high diagnostic value (sensitivity 92%, specificity 58%).
CONCLUSIONS: An easy and reproducible multistep algorithm for in situ melanoma
detection is suggested, that can be routinely used in tertiary centres.
PMID- 29355899
TI - Care of pregnant women with decreased fetal movements: Update of a clinical
practice guideline for Australia and New Zealand.
AB - The National Health and Medical Research Council (NHMRC) Centre of Research
Excellence in Stillbirth and the Perinatal Society of Australia and New Zealand
(PSANZ) have recently partnered in updating an important clinical practice
guideline, Care of pregnant women with decreased fetal movements. This guideline
offers 12 recommendations and a suggested care pathway, with the aim to improve
the quality of care for women reporting decreased fetal movements through an
evidence-based approach. Adoption of the guideline by clinicians and maternity
hospitals could result in earlier identification of higher-risk pregnancies,
improved perinatal health outcomes for women and their babies, and reduced
stillbirth rates.
PMID- 29355900
TI - Let's talk about stress, baby! Infant-feeding practices and stress in the ancient
Atacama desert, Northern Chile.
AB - AIMS AND OBJECTIVES: The transition to an agricultural economy is often presumed
to involve an increase in female fertility related to changes in weaning
practice. In particular, the availability of staple crops as complementary foods
is hypothesized to allow earlier weaning in agricultural populations. In this
study, our primary aim is to explore whether this model fits the agricultural
transition in the Atacama Desert using incremental isotopic analysis. A secondary
aim of this study is to identify isotopic patterns relating to weaning, and
assess how these may be differentiated from those relating to early life stress.
MATERIALS AND METHODS: We use incremental isotopic analysis of dentine to examine
changes in delta15 N and delta13 C values from infancy and childhood in sites of
the Arica region (n = 30). We compare individuals from pre-agricultural and
agricultural phases to establish isotopic patterns and relate these patterns to
maternal diet, weaning trajectory and physiological stress. RESULTS: We find that
there is no evidence for systematic temporal or geographic variation in
incremental isotopic results. Instead, results from all time periods are highly
variable, with weaning completed between 1.5 and 3.5 years. Characteristics of
the incremental profiles indicate that both in utero and postnatal stress were a
common part of the infant experience in the Atacama. DISCUSSION: In the Atacama
Desert it appears that the arrival of agricultural crops did not result in
uniform shifts in weaning behavior. Instead, infant and child diet seems to have
been dictated by the broad-spectrum diets of the mothers, perhaps as a way of
mitigating the stresses of the harsh desert environment.
PMID- 29355902
TI - Maternal breast and body symmetry in pregnancy and offspring condition.
AB - OBJECTIVES: Fluctuating asymmetry (FA) is hypothesized to reflect the level of an
individual's developmental instability, and therefore genetic quality. As a
potential signal of biological condition, female body (including breast) symmetry
was shown to be perceived as more attractive in mate choice context. If symmetry
reflects a woman's genetic quality, it is possible that FA, similarly to other
maternal anthropometric cues of biological condition (e.g., body height or waist
to-hip ratio), may be also related to her offspring condition. MATERIALS AND
METHODS: To test this, breast and body asymmetry was measured in 93 pregnant
women in the 1st, 2nd, and 3rd pregnancy trimester. Child's birth weight, length,
chest and head circumference, information on the Apgar score, congenital
malformations, and birth complications was taken from hospital records. The
relationships between offspring birth characteristics and maternal breast FA and
body FA were analyzed separately, controlled for a child's sex and maternal
weight in pregnancy. RESULTS: Breast asymmetry was not a predictor of a child's
neonatal condition assessed from morphological parameters at birth. Asymmetry of
maternal non-ornamental body characteristcs, commonly used to assess body FA,
correlated negatively with a child's weight, head and chest circumference at
birth. DISCUSSION: A composite asymmetry index of eight body traits, non-sexually
selected characteristics, seems to be a better predictor of a woman's ability to
invest in fetus during pregnancy than breast asymmetry, sexual ornamentation that
is perceived as a signal of a woman's attractiveness and biological condition.
PMID- 29355903
TI - Becoming Kinder: Prosocial Choice and the Development of Interpersonal Regret.
AB - Three experiments examined children's ability to feel regret following a failure
to act prosocially. In Experiment 1, ninety 6- to 7-year-olds and one hundred
seven 7- to 9-year-olds were given a choice to donate a resource to another
child. If they failed to donate, they discovered that this meant the other child
could not win a prize. Children in both age groups then showed evidence of
experiencing regret, although not in control conditions where they had not made
the choice themselves or their choice did not negatively affect the other child.
In Experiment 2, eighty-five 5- to 6-year-olds and one hundred nine 7- to 9-year
olds completed the same task; only the older group showed evidence of regret. In
Experiment 3, with one hundred thirty-four 6- to 7-year-olds, experiencing regret
was associated with subsequently making other prosocial choices.
PMID- 29355901
TI - Depressive symptoms predict head and neck cancer survival: Examining plausible
behavioral and biological pathways.
AB - BACKGROUND: Head and neck cancers are associated with high rates of depression,
which may increase the risk for poorer immediate and long-term outcomes. Here it
was hypothesized that greater depressive symptoms would predict earlier
mortality, and behavioral (treatment interruption) and biological (treatment
response) mediators were examined. METHODS: Patients (n = 134) reported
depressive symptomatology at treatment planning. Clinical data were reviewed at
the 2-year follow-up. RESULTS: Greater depressive symptoms were associated with
significantly shorter survival (hazard ratio, 0.868; 95% confidence interval
[CI], 0.819-0.921; P < .001), higher rates of chemoradiation interruption (odds
ratio, 0.865; 95% CI, 0.774-0.966; P = .010), and poorer treatment response (odds
ratio, 0.879; 95% CI, 0.803-0.963; P = .005). The poorer treatment response
partially explained the depression-survival relation. Other known prognostic
indicators did not challenge these results. CONCLUSIONS: Depressive symptoms at
the time of treatment planning predict overall 2-year mortality. Effects are
partly influenced by the treatment response. Depression screening and
intervention may be beneficial. Future studies should examine parallel biological
pathways linking depression to cancer survival, including endocrine disruption
and inflammation. Cancer 2018;124:1053-60. (c) 2018 American Cancer Society.
PMID- 29355904
TI - A multicentre, open, investigator-initiated phase IV clinical trial to evaluate
the efficacy and safety of ingenol mebutate gel, 0.015% on the face and scalp,
and 0.05% on the trunk and extremities, in Korean patients with actinic keratosis
(PERFECT).
AB - BACKGROUND: Ingenol mebutate gel is a novel, field-directed topical treatment for
actinic keratosis (AK). Most pivotal studies have targeted Western populations.
No clinical study has been conducted to investigate its efficacy and safety in
Asian populations. OBJECTIVES: To evaluate the efficacy and safety of ingenol
mebutate gel for treating AK of face/scalp and trunk/extremities in a large Asian
(Korean) population. PATIENTS AND METHODS: In this multicentre, open-label,
interventional, parallel-group, prospective phase IV study (PERFECT, trial
registration no.: NCT02716714), the eligible patients were allocated into either
the face/scalp or the trunk/extremities group, according to their selected
treatment area location. After application of ingenol mebutate gel, the
participants were followed up for 6 months. The primary efficacy endpoint was
complete clearance (CC) of AK lesions in the selected treatment area at day 57.
Quality of life was evaluated using Skindex-29. Safety endpoints included local
skin responses, scar, pigmentation, pain and adverse events. RESULTS: In total,
78.1% [95% confidence interval (CI) 66.86-86.92%] of subjects had CC at day 57,
with 76.6% (95% CI 64.31-86.25%) in the face/scalp group and 88.9% (95% CI 51.75
99.72%) in the trunk/extremities group. Among them, CC was sustained in 88.9% (48
of 54, 95% CI 77.37-95.81%) at month 6. The local skin responses significantly
increased 1 day after the treatment compared with baseline, and decreased
afterwards. Among the total subjects, 7.8% (6 of 77) had hyperpigmentation on the
application area. Scars were not reported. CONCLUSIONS: Ingenol mebutate is
effective for the treatment of AK in Asians, with tolerable safety profiles.
PMID- 29355905
TI - Hidradenitis suppurativa, a review of pathogenesis, associations and management.
Part 1.
AB - Hidradenitis suppurativa is a chronic, painful, autoinflammatory condition
resulting in nodules, abscesses and sinus tracts. We present an evidence-based
review providing new understanding of the pathogenesis of hidradenitis
suppurativa and associated comorbidities. By the nature of their speciality,
dermatologists are uniquely positioned to investigate and treat patients with
this condition. Data collected from a subspecialty hidradenitis suppurativa
clinic (N = 106) and experiences thereof are discussed in this review.
PMID- 29355906
TI - Antibiotic therapy for preventing infections in people with acute stroke.
AB - BACKGROUND: Stroke is the main cause of disability in high-income countries and
ranks second as a cause of death worldwide. Infections occur frequently after
stroke and may adversely affect outcome. Preventive antibiotic therapy in the
acute phase of stroke may reduce the incidence of infections and improve outcome.
In the previous version of this Cochrane Review, published in 2012, we found that
antibiotics did reduce the risk of infection but did not reduce the number of
dependent or deceased patients. However, included studies were small and
heterogeneous. In 2015, two large clinical trials were published, warranting an
update of this Review. OBJECTIVES: To assess the effectiveness and safety of
preventive antibiotic therapy in people with ischaemic or haemorrhagic stroke. We
wished to determine whether preventive antibiotic therapy in people with acute
stroke:* reduces the risk of a poor functional outcome (dependency and/or death)
at follow-up;* reduces the occurrence of infections in the acute phase of
stroke;* reduces the occurrence of elevated body temperature (temperature >= 38
degrees C) in the acute phase of stroke;* reduces length of hospital stay; or*
leads to an increased rate of serious adverse events, such as anaphylactic shock,
skin rash, or colonisation with antibiotic-resistant micro-organisms. SEARCH
METHODS: We searched the Cochrane Stroke Group Trials Register (25 June 2017);
the Cochrane Central Register of Controlled Trials (CENTRAL; 2017, Issue 5; 25
June 2017) in the Cochrane Library; MEDLINE Ovid (1950 to 11 May 2017), and
Embase Ovid (1980 to 11 May 2017). In an effort to identify further published,
unpublished, and ongoing trials, we searched trials and research registers,
scanned reference lists, and contacted trial authors, colleagues, and researchers
in the field. SELECTION CRITERIA: Randomised controlled trials (RCTs) of
preventive antibiotic therapy versus control (placebo or open control) in people
with acute ischaemic or haemorrhagic stroke. DATA COLLECTION AND ANALYSIS: Two
review authors independently selected articles and extracted data; we discussed
and resolved discrepancies at a consensus meeting with a third review author. We
contacted study authors to obtain missing data when required. An independent
review author assessed risk of bias using the Cochrane 'Risk of bias' tool. We
calculated risk ratios (RRs) for dichotomous outcomes, assessed heterogeneity
amongst included studies, and performed subgroup analyses on study quality. MAIN
RESULTS: We included eight studies involving 4488 participants. Regarding quality
of evidence, trials showed differences in study population, study design, type of
antibiotic, and definition of infection; however, primary outcomes among the
included studies were consistent. Mortality rate in the preventive antibiotic
group was not significantly different from that in the control group (373/2208
(17%) vs 360/2214 (16%); RR 1.03, 95% confidence interval (CI) 0.87 to 1.21; high
quality evidence). The number of participants with a poor functional outcome
(death or dependency) in the preventive antibiotic therapy group was also not
significantly different from that in the control group (1158/2168 (53%) vs
1182/2164 (55%); RR 0.99, 95% CI 0.89 to 1.10; moderate-quality evidence).
However, preventive antibiotic therapy did significantly reduce the incidence of
'overall' infections in participants with acute stroke from 26% to 19% (408/2161
(19%) vs 558/2156 (26%); RR 0.71, 95% CI 0.58 to 0.88; high-quality evidence).
This finding was highly significant for urinary tract infections (81/2131 (4%) vs
204/2126 (10%); RR 0.40, 95% CI 0.32 to 0.51; high-quality evidence), whereas no
preventive effect for pneumonia was found (222/2131 (10%) vs 235/2126 (11%); RR
0.95, 95% CI 0.80 to 1.13; high-quality evidence). No major side effects of
preventive antibiotic therapy were reported. Only two studies qualitatively
assessed the occurrence of elevated body temperature; therefore, these results
could not be pooled. Only one study reported length of hospital stay. AUTHORS'
CONCLUSIONS: Preventive antibiotics had no effect on functional outcome or
mortality, but significantly reduced the risk of 'overall' infections. This
reduction was driven mainly by prevention of urinary tract infection; no effect
for pneumonia was found.
PMID- 29355907
TI - E-learning for health professionals.
AB - BACKGROUND: The use of e-learning, defined as any educational intervention
mediated electronically via the Internet, has steadily increased among health
professionals worldwide. Several studies have attempted to measure the effects of
e-learning in medical practice, which has often been associated with large
positive effects when compared to no intervention and with small positive effects
when compared with traditional learning (without access to e-learning). However,
results are not conclusive. OBJECTIVES: To assess the effects of e-learning
programmes versus traditional learning in licensed health professionals for
improving patient outcomes or health professionals' behaviours, skills and
knowledge. SEARCH METHODS: We searched CENTRAL, MEDLINE, Embase, five other
databases and three trial registers up to July 2016, without any restrictions
based on language or status of publication. We examined the reference lists of
the included studies and other relevant reviews. If necessary, we contacted the
study authors to collect additional information on studies. SELECTION CRITERIA:
Randomised trials assessing the effectiveness of e-learning versus traditional
learning for health professionals. We excluded non-randomised trials and trials
involving undergraduate health professionals. DATA COLLECTION AND ANALYSIS: Two
authors independently selected studies, extracted data and assessed risk of bias.
We graded the certainty of evidence for each outcome using the GRADE approach and
standardised the outcome effects using relative risks (risk ratio (RR) or odds
ratio (OR)) or standardised mean difference (SMD) when possible. MAIN RESULTS: We
included 16 randomised trials involving 5679 licensed health professionals (4759
mixed health professionals, 587 nurses, 300 doctors and 33 childcare health
consultants).When compared with traditional learning at 12-month follow-up, low
certainty evidence suggests that e-learning may make little or no difference for
the following patient outcomes: the proportion of patients with low-density
lipoprotein (LDL) cholesterol of less than 100 mg/dL (adjusted difference 4.0%,
95% confidence interval (CI) -0.3 to 7.9, N = 6399 patients, 1 study) and the
proportion with glycated haemoglobin level of less than 8% (adjusted difference
4.6%, 95% CI -1.5 to 9.8, 3114 patients, 1 study). At 3- to 12-month follow-up,
low-certainty evidence indicates that e-learning may make little or no difference
on the following behaviours in health professionals: screening for dyslipidaemia
(OR 0.90, 95% CI 0.77 to 1.06, 6027 patients, 2 studies) and treatment for
dyslipidaemia (OR 1.15, 95% CI 0.89 to 1.48, 5491 patients, 2 studies). It is
uncertain whether e-learning improves or reduces health professionals' skills
(2912 health professionals; 6 studies; very low-certainty evidence), and it may
make little or no difference in health professionals' knowledge (3236
participants; 11 studies; low-certainty evidence).Due to the paucity of studies
and data, we were unable to explore differences in effects across different
subgroups. Owing to poor reporting, we were unable to collect sufficient
information to complete a meaningful 'Risk of bias' assessment for most of the
quality criteria. We evaluated the risk of bias as unclear for most studies, but
we classified the largest trial as being at low risk of bias. Missing data
represented a potential source of bias in several studies. AUTHORS' CONCLUSIONS:
When compared to traditional learning, e-learning may make little or no
difference in patient outcomes or health professionals' behaviours, skills or
knowledge. Even if e-learning could be more successful than traditional learning
in particular medical education settings, general claims of it as inherently more
effective than traditional learning may be misleading.
PMID- 29355908
TI - Losigamone add-on therapy for focal epilepsy.
AB - BACKGROUND: Epilepsy is a common neurologic disorder, affecting approximately 50
million people worldwide; nearly a third of these people have epilepsy that is
not well controlled by a single antiepileptic drug (AED) and they usually require
treatment with a combination of two or more AEDs. In recent years, many newer
AEDs have been investigated as add-on therapy for focal epilepsy; losigamone is
one of these drugs and is the focus of this systematic review. This is an update
of a Cochrane review first published in 2012 (Cochrane Database of Systematic
Reviews 2012, Issue 6) and updated in 2015. OBJECTIVES: To investigate the
efficacy and safety of losigamone when used as an add-on therapy for focal
epilepsy. SEARCH METHODS: For the latest update on 9 February 2017, we searched
the Cochrane Epilepsy Specialized Register, CENTRAL and MEDLINE . We searched
trials registers and contacted the manufacturer of losigamone and authors of
included studies for additional information. We did not impose any language
restrictions. SELECTION CRITERIA: Randomized controlled, add-on trials comparing
losigamone with placebo for focal epilepsy. DATA COLLECTION AND ANALYSIS: Two
review authors independently assessed trial quality and extracted data. The
primary outcomes were 50% or greater reduction in seizure frequency and seizure
freedom; the secondary outcomes were treatment withdrawal and adverse events.
Results are presented as risk ratios (RRs) with 95% confidence intervals (CIs) or
99% CIs (for the individual listed adverse events to make an allowance for
multiple testing). MAIN RESULTS: Two trials involving a total of 467
participants, aged over 18 years, were eligible for inclusion. Both trials
assessed losigamone 1200 mg/day or 1500 mg/day as an add-on therapy for focal
epilepsy. We assessed one trial as being of good methodological quality while the
other was of uncertain quality. For the efficacy outcomes, results did show that
participants taking losigamone were significantly more likely to achieve a 50% or
greater reduction in seizure frequency (RR 1.76, 95% CI 1.14 to 2.72), but
associated with a significant increase of treatment withdrawal when compared with
those taking placebo (RR 2.16, 95% CI 1.28 to 3.67). For the safety outcomes,
results indicated that the proportion of participants who experienced adverse
events in the losigamone group was higher than in the placebo group (RR 1.34, 95%
CI 1.00 to 1.80), dizziness was the only adverse event significantly reported in
relation to losigamone (RR 3.82, 99% CI 1.69 to 8.64). The proportion of
participants achieving seizure freedom was not reported in either trial report. A
subgroup analysis according to different doses of losigamone showed that a higher
dose of losigamone (1500 mg/day) was associated with a greater reduction in
seizure frequency than lower doses, but was also associated with more dropouts
due to adverse events. AUTHORS' CONCLUSIONS: The results of this review showed
that losigamone did reduce seizure frequency but was associated with more
treatment withdrawals when used as an add-on therapy for people with focal
epilepsy. However, the included trials were of short-term duration and uncertain
quality. Future well-designed randomized, double-blind, placebo-controlled trials
with a longer-term duration are needed. No new studies have been found since the
last version of this review. We judged the overall quality of the evidence for
the outcomes assessed as moderate.
PMID- 29355910
TI - Courses and Conferences.
PMID- 29355909
TI - Risperidone versus other antipsychotics for people with severe mental illness and
co-occurring substance misuse.
AB - BACKGROUND: Up to 75% of people with serious mental illness (SMI) such as
schizophrenia and bipolar disorder have co-occurring substance use disorders
(dual diagnosis). Dual diagnosis can have an adverse effect on treatment and
prognosis of SMI. OBJECTIVES: To evaluate the effects of risperidone compared to
treatment with other antipsychotics (first-generation and other second-generation
antipsychotics) used in people with serious mental illness and co-occurring
substance misuse. SEARCH METHODS: On 6 January 2016 and 9 October 2017, we
searched the Cochrane Schizophrenia Group's Study-Based Register of Trials
(including trial registers). SELECTION CRITERIA: We selected randomised trials of
risperidone versus any other antipsychotic in people with SMI and substance abuse
(dual diagnosis). We included trials meeting our inclusion criteria and reporting
useable data. We excluded trials that either did not meet our inclusion criteria
or met our inclusion criteria but did not report any useable data. DATA
COLLECTION AND ANALYSIS: We independently inspected citations and selected
studies. For included studies, we independently extracted data and appraised
study quality. For binary outcomes we calculated the risk ratios (RRs) and their
95% confidence intervals. For continuous outcomes we calculated the mean
differences (MDs) and their 95% confidence intervals. We pooled data using random
effects meta-analyses and assessed the quality of evidence, creating a 'Summary
of findings' table using the GRADE approach. MAIN RESULTS: We identified eight
randomised trials containing a total of 1073 participants with SMI and co
occurring substance misuse. Seven of these contributed useable data to the
review. There was heterogeneity in trial design and measurement. Risperidone was
compared to clozapine, olanzapine, perphenazine, quetiapine and ziprasidone. Few
trials compared risperidone with first-generation agents. Few trials examined
participants with a dual diagnosis from the outset and most trials only contained
separate analyses of subgroups with a dual diagnosis or were secondary data
analyses of subgroups of people with a dual diagnosis from existing larger
trials.For risperidone versus clozapine we found no clear differences between
these two antipsychotics in the reduction of positive psychotic symptoms (1
randomised controlled trial (RCT), n = 36, mean difference (MD) 0.90, 95% CI
2.21 to 4.01, very low quality evidence), or reduction in cannabis use (1 RCT, n
= 14, risk ratio (RR) 1.00, 95% CI 0.30 to 3.35, very low quality evidence),
improvement in subjective well-being (1 RCT, n = 36, MD -6.00, 95% CI -14.82 to
2.82, very low quality evidence), numbers discontinuing medication (1 RCT, n =
36, RR 4.05, 95% CI 0.21 to 78.76, very low quality evidence), extrapyramidal
side-effects (2 RCTs, n = 50, RR 2.71, 95% CI 0.30 to 24.08; I2 = 0%, very low
quality evidence), or leaving the study early (2 RCTs, n = 45, RR 0.49, 95% CI
0.10 to 2.51; I2 = 34%, very low quality evidence). Clozapine was associated with
lower levels of craving for cannabis (1 RCT, n = 28, MD 7.00, 95% CI 2.37 to
11.63, very low quality evidence).For risperidone versus olanzapine we found no
clear differences in the reduction of positive psychotic symptoms (1 RCT, n = 37,
MD -1.50, 95% CI -3.82 to 0.82, very low quality evidence), reduction in cannabis
use (1 RCT, n = 41, MD 0.40, 95% CI -4.72 to 5.52, very low quality evidence),
craving for cannabis (1 RCT, n = 41, MD 5.00, 95% CI -4.86 to 14.86, very low
quality evidence), parkinsonism (1 RCT, n = 16, MD -0.08, 95% CI -1.21 to 1.05,
very low quality evidence), or leaving the study early (2 RCT, n = 77, RR 0.68,
95% CI 0.34 to 1.35; I2 = 0%, very low quality evidence).For risperidone versus
perphenazine, we found no clear differences in the number of participants leaving
the study early (1 RCT, n = 281, RR 1.05, 95% CI 0.92 to 1.20, low-quality
evidence).For risperidone versus quetiapine, we found no clear differences in the
number of participants leaving the study early (1 RCT, n = 294, RR 0.96, 95% CI
0.86 to 1.07, low-quality evidence).For risperidone versus ziprasidone, we found
no clear differences in the number of participants leaving the study early (1
RCT, n = 240, RR 0.96, 95% CI 0.85 to 1.10, low-quality evidence).For many
comparisons, important outcomes were missing; and no data were reported in any
study for metabolic disturbances, global impression of illness severity, quality
of life or mortality. AUTHORS' CONCLUSIONS: There is not sufficient good-quality
evidence available to determine the effects of risperidone compared with other
antipsychotics in people with a dual diagnosis. Few trials compared risperidone
with first-generation agents, leading to limited applicability to settings where
access to second-generation agents is limited, such as in low- and middle-income
countries. Moreover, heterogeneity in trial design and measurement of outcomes
precluded the use of many trials in our analyses. Future trials in this area need
to be sufficiently powered but also need to conform to consistent methods in
study population selection, use of measurement scales, definition of outcomes,
and measures to counter risk of bias. Investigators should adhere to CONSORT
guidelines in the reporting of results.
PMID- 29355912
TI - Erratum: Differential investment in body girths by sex: Evidence from 3D photonic
scanning in a Thai cohort; 163: 696-706. Meghan K. Shirley, Tim J. Cole, Supiya
Charoensiriwath, Philip Treleaven and Jonathan C.K. Wells. DOI:
10.1002/ajpa.23238.
PMID- 29355911
TI - The Brain Health Assessment for Detecting and Diagnosing Neurocognitive
Disorders.
AB - BACKGROUND/OBJECTIVES: Brief cognitive screens lack the sensitivity to detect
mild cognitive impairment (MCI) or support differential diagnoses. The objective
of this study was to validate the 10-minute, tablet-based University of
California, San Francisco (UCSF) Brain Health Assessment (BHA) to overcome these
limitations. DESIGN: Cross-sectional. SETTING: UCSF Memory and Aging Center.
PARTICIPANTS: Older adults (N = 347) (neurologically healthy controls (n = 185),
and individuals diagnosed with MCI (n = 99), dementia (n = 42), and as normal
with concerns (n = 21)). MEASUREMENTS: The BHA includes subtests of memory,
executive function and speed, visuospatial skills, and language and an optional
informant survey. Participants completed the Montreal Cognitive Assessment (MoCA)
and criterion-standard neuropsychological tests. Standardized structural 3T brain
magnetic resonance imaging was performed in 145 participants. RESULTS: At a fixed
85% specificity rate, the BHA had 100% sensitivity to dementia and 84% to MCI;
the MoCA had 75% sensitivity to dementia and 25% to MCI. The BHA had 83%
sensitivity to MCI likely due to AD and 88% to MCI unlikely due to AD, and the
MoCA had 58% sensitivity to MCI likely AD and 24% to MCI unlikely AD. The BHA
subtests demonstrated moderate to high correlations with the criterion-standard
tests from their respective cognitive domains. Memory test performance correlated
with medial temporal lobe volumes; executive and speed with frontal, parietal,
and basal ganglia volumes; and visuospatial with right parietal volumes.
CONCLUSION: The BHA had excellent combined sensitivity and specificity to detect
dementia and MCI, including MCI due to diverse etiologies. The subtests provide
efficient, valid measures of neurocognition that are critical in making a
differential diagnosis.
PMID- 29355913
TI - Crisis in healthcare: Time for academic clinicians to assume leadership roles.
PMID- 29355914
TI - Intraoperative imaging technology to maximise extent of resection for glioma.
AB - BACKGROUND: Extent of resection is considered to be a prognostic factor in neuro
oncology. Intraoperative imaging technologies are designed to help achieve this
goal. It is not clear whether any of these sometimes very expensive tools (or
their combination) should be recommended as standard care for people with brain
tumours. We set out to determine if intraoperative imaging technology offers any
advantage in terms of extent of resection over standard surgery and if any one
technology was more effective than another. OBJECTIVES: To establish the overall
effectiveness and safety of intraoperative imaging technology in resection of
glioma. To supplement this review of effects, we also wished to identify cost
analyses and economic evaluations as part of a Brief Economic Commentary (BEC).
SEARCH METHODS: We searched the Cochrane Central Register of Controlled Trials
(CENTRAL) (Issue 7, 2017), MEDLINE (1946 to June, week 4, 2017), and Embase (1980
to 2017, week 27). We searched the reference lists of all identified studies. We
handsearched two journals, the Journal of Neuro-Oncology and Neuro-oncology, from
1991 to 2017, including all conference abstracts. We contacted neuro-oncologists,
trial authors, and manufacturers regarding ongoing and unpublished trials.
SELECTION CRITERIA: Randomised controlled trials evaluating people of all ages
with presumed new or recurrent glial tumours (of any location or histology) from
clinical examination and imaging (computed tomography (CT) or magnetic resonance
imaging (MRI), or both). Additional imaging modalities (e.g. positron emission
tomography, magnetic resonance spectroscopy) were not mandatory. Interventions
included intraoperative MRI (iMRI), fluorescence-guided surgery, ultrasound, and
neuronavigation (with or without additional image processing, e.g. tractography).
DATA COLLECTION AND ANALYSIS: Two review authors independently assessed the
search results for relevance, undertook critical appraisal according to known
guidelines, and extracted data using a prespecified pro forma. MAIN RESULTS: We
identified four randomised controlled trials, using different intraoperative
imaging technologies: iMRI (2 trials including 58 and 14 participants,
respectively); fluorescence-guided surgery with 5-aminolevulinic acid (5-ALA) (1
trial, 322 participants); and neuronavigation (1 trial, 45 participants). We
identified one ongoing trial assessing iMRI with a planned sample size of 304
participants for which results are expected to be published around autumn 2018.
We identified no trials for ultrasound.Meta-analysis was not appropriate due to
differences in the tumours included (eloquent versus non-eloquent locations) and
variations in the image guidance tools used in the control arms (usually
selective utilisation of neuronavigation). There were significant concerns
regarding risk of bias in all the included studies. All studies included people
with high-grade glioma only.Extent of resection was increased in one trial of
iMRI (risk ratio (RR) of incomplete resection 0.13, 95% confidence interval (CI)
0.02 to 0.96; 1 study, 49 participants; very low-quality evidence) and in the
trial of 5-ALA (RR of incomplete resection 0.55, 95% CI 0.42 to 0.71; 1 study,
270 participants; low-quality evidence). The other trial assessing iMRI was
stopped early after an unplanned interim analysis including 14 participants,
therefore the trial provides very low-quality evidence. The trial of
neuronavigation provided insufficient data to evaluate the effects on extent of
resection.Reporting of adverse events was incomplete and suggestive of
significant reporting bias (very low-quality evidence). Overall, reported events
were low in most trials. There was no clear evidence of improvement in overall
survival with 5-ALA (hazard ratio 0.83, 95% CI 0.62 to 1.07; 1 study, 270
participants; low-quality evidence). Progression-free survival data were not
available in an appropriate format for analysis. Data for quality of life were
only available for one study and suffered from significant attrition bias (very
low-quality evidence). AUTHORS' CONCLUSIONS: Intra-operative imaging
technologies, specifically iMRI and 5-ALA, may be of benefit in maximising extent
of resection in participants with high grade glioma. However, this is based on
low to very low quality evidence, and is therefore very uncertain. The short- and
long-term neurological effects are uncertain. Effects of image-guided surgery on
overall survival, progression-free survival, and quality of life are unclear. A
brief economic commentary found limited economic evidence for the equivocal use
of iMRI compared with conventional surgery. In terms of costs, a non-systematic
review of economic studies suggested that compared with standard surgery use of
image-guided surgery has an uncertain effect on costs and that 5-aminolevulinic
acid was more costly. Further research, including studies of ultrasound-guided
surgery, is needed.
PMID- 29355915
TI - How to assess goals in intrathecal baclofen therapy.
PMID- 29355916
TI - Ghosts of mother's past: Previous maternal stress leads to altered maternal
behavior following a subsequent pregnancy in rats.
AB - A rodent model was used to explore whether mothers that experienced a postnatal
stressor in the past (i.e., daily separations from her previous litter) exhibited
altered maternal behavior during a typical, subsequent rearing experience. Stress
naive female rats were bred and then separated from their pups (maternal
separation) or remained with their pups (standard-rearing). After those pups were
weaned, mothers were bred again with all pups from the subsequent litter being
standard-reared. In the first week of life, various maternal behaviors directed
towards these subsequent offspring were observed, including levels of nursing and
pup retrieval. After weaning, mothers were tested for anxiety-like behavior, as
well as memory on the object-recognition and object-placement tasks. The results
show that previously stressed mothers retrieve their offspring significantly
faster compared to mothers with no stress history, which may reflect a more
"overprotective" mothering style. No other differences on maternal care were
observed. Also, while previously stressed mothers were no more anxious than
control mothers, they had impaired spatial memory on the object-placement task.
This was not due to a general memory impairment as mothers performed equally on
the object-recognition task, suggesting that previous maternal stress has
specific effects on hippocampal-dependent tasks. That is, stress exerts lasting
effects on types of behavior that are proposed to be beneficial to mothers and
their offspring (i.e., efficient foraging and navigation abilities). Taken
together, these results provide evidence that stress has specific and persistent
effects on caregivers.
PMID- 29355917
TI - Radiotherapy regimens in patients with nonmelanoma head and neck skin cancers.
AB - BACKGROUND: To assess the effectiveness and outcomes of adjuvant radiotherapy
regimens for nonmelanoma skin cancers (NMSC) of the head and neck, particularly
for elderly patients. METHODS: A retrospective review of patients with head and
neck NMSC was conducted. Radiotherapy dose per fraction regimens included <=200,
240-250, 300-400, and 500-600 cGy. Demographics, tumor characteristics, local
control (LC), regional control (RC), and survival outcomes were analyzed.
RESULTS: Of the 90 patients with 140 disease sites, 76.6% were squamous cell
carcinoma, 15.5% were basal cell carcinoma, and 7.7% were other histologies. The
mean age at diagnosis was 72.1 years old. The most common location was
preauricular (20.0%), followed by temple, scalp, cheek, and forehead. The overall
LC and RC rates were 88.8% and 88.8%, respectively by patients, and 92.8% and
86.4%, respectively by treatment sites. Age, primary tumor location, T
classification, N classification, overall stage, perineural invasion, comorbid
disease, skull base invasion, and radiotherapy subgroup were significantly
associated with disease-free and overall survival (P < 0.05). LC and RC were not
significantly different among the radiotherapy dose subgroups. The mean survival
was longer in patients treated with 240-250 cGy/fraction (50.3 months). There was
no significant difference in radiotherapy toxicity between the subgroups.
CONCLUSION: Short-term radiotherapy regimens for patients with locally or
regionally advanced head and neck NMSC appear feasible and effective,
particularly in elderly patients or those that cannot tolerate the length of
standard regimens.
PMID- 29355918
TI - Oxytocin receptor gene polymorphisms (rs53576) and early paternal care sensitize
males to distressing female vocalizations.
AB - The oxytocinergic system is highly involved in social bonding and early caregiver
infant interactions. Here, we hypothesize that oxytocin receptor (OXTR) gene
genotype and parental bonding history interact in influencing social development.
To address this question, we assessed adult males' arousal (heart rate changes)
in response to different distress vocalizations (human female, human infant and
bonobo). Region rs53576 of the OXTR gene was genotyped from buccal mucosa cell
samples, and a self-report Parental Bonding Instrument was used (which provide
information about parental care or parental overprotection). A significant gene
environment interaction between OXTR genotype and parenting style was found to
influence participants' social responsivity to female cry vocalizations.
Specifically, a history of appropriate paternal care in participants accentuated
the heightened social sensitivity determined by G/G homozygosity, while higher
versus lower paternal overprotection lead to distinct levels of physiological
arousal particularly in A carriers individuals. These results add to our
understanding of the dynamic interplay between genetic susceptibility and early
environmental experience in shaping the development of appropriate social
sensitivity in males.
PMID- 29355920
TI - Testing the Impact of Mixed-Mode Designs (Mail and Web) and Multiple Contact
Attempts within Mode (Mail or Web) on Clinician Survey Response.
AB - OBJECTIVE: To compare response rate and nonresponse bias across two mixed-mode
survey designs and two single-mode designs. DATA SOURCES: This experiment was
embedded in a clinician survey of knowledge and attitudes regarding HPV
vaccination (n = 275). STUDY DESIGN: Clinicians were randomly assigned one of two
mixed-mode (mail/web or web/mail) or single-mode designs (mail-only/web-only).
Differences in response rate and nonresponse bias were assessed. PRINCIPAL
FINDINGS: Using a multiple-contact protocol increased response, and sending a web
survey first provided the more rapid response. Overall, the mixed-mode survey
designs generated final response rates approximately 10 percentage points higher
than their single-mode counterparts, although only the final response differences
between the mail-only and web/mail conditions attained statistical significance
(32.1 percent vs. 48 percent, respectively; p = .005). Observed differences did
not result in nonresponse bias. CONCLUSIONS: Results support mixing modes of
survey administration and web-based data collection in a multiple contact survey
data collection protocol.
PMID- 29355922
TI - A new class of genic nuclear RNA species in Arabidopsis.
AB - Targeting of ArabidopsisPHABULOSA (PHB) mRNA by miR166 has been implicated in
gene body methylation at the PHB locus. We report that the PHB locus produces an
array of stable nuclear RNA species that are neither polyadenylated nor capped.
Their biogenesis requires neither RNA polymerases IV/V nor miR166-guided
cleavage. The PHB RNAs are insensitive to mutation of nuclear RNA decay pathways
and are conserved in several Brassicaceae species, suggesting functional
relevance. Similar RNA species are also produced by another body-methylated locus
encoding the miR414 target eIF2. Our data reveal the existence of a new class of
genic nuclear RNA species.
PMID- 29355921
TI - Newborns are sensitive to the correspondence between auditory pitch and
visuospatial elevation.
AB - Amodal (redundant) and arbitrary cross-sensory feature associations involve the
context-insensitive mapping of absolute feature values across sensory domains.
Cross-sensory associations of a different kind, known as correspondences, involve
the context-sensitive mapping of relative feature values. Are such
correspondences in place at birth (like amodal associations), or are they learned
from subsequently experiencing relevant feature co-occurrences in the world (like
arbitrary associations)? To decide between these two possibilities, human
newborns (median age = 44 hr) watched animations in which two balls alternately
rose and fell together in space. The pitch of an accompanying sound rose and fell
either congruently with this visual change (pitch rising and falling as the balls
moved up and down), or incongruently (pitch rising and falling as the balls moved
down and up). Newborns' looking behavior was sensitive to this congruence,
providing the strongest indication to date that cross-sensory correspondences can
be in place at birth.
PMID- 29355919
TI - Origins of natural killer cell memory: special creation or adaptive evolution.
AB - The few initial formative studies describing non-specific and apparently
spontaneous activity of natural killer (NK) cells have since multiplied into
thousands of scientific reports defining their unique capacities and means of
regulation. Characterization of the array of receptors that govern NK cell
education and activation revealed an unexpected relationship with the major
histocompatibility molecules that NK cells originally became well known for
ignoring. Proceeding true to form, NK cells continue to up-end archetypal
understanding of their ever-expanding capabilities. Discovery that the NK cell
repertoire is extremely diverse and can be reshaped by particular viruses into
unique subsets of adaptive NK cells challenges, or at least broadens, the
definition of immunological memory. This review provides an overview of studies
identifying adaptive NK cells, addressing the origins of NK cell memory and
introducing the heretical concept of NK cells with extensive antigenic
specificity. Whether these newly apparent properties reflect adaptive utilization
of known NK cell attributes and receptors or a specially creative allocation from
an undefined receptor array remains to be fully determined.
PMID- 29355923
TI - Heart rate as a predictor of cardiovascular risk.
AB - BACKGROUND: Heart rate (HR) is a predictor of cardiovascular, cerebrovascular and
all-cause mortality in the general population, as well as in patients with cardio
and cerebrovascular diseases. We aimed to summarize current knowledge regarding
the influence of HR on cardio- and cerebrovascular morbidity and mortality.
MATERIALS AND METHODS: PubMed, MEDLINE, Ovid and EMBASE databases were searched
for large follow-up studies or meta-analysis published between January 1990 and
September 2017 in the English language using the following keyword "heart rate,"
"resting heart rate," "mortality," "outcome," "hypertension," "heart failure,"
"ischaemic heart disease," "coronary heart disease" and "stroke." RESULTS: The
relationship between increased HR and cardio- and cerebrovascular morbidity and
mortality has been reported in a large number of studies, and the results
regarding this association are concurrent. This connection is generally stronger
in men than in women. The increase in HR usually occurs in parallel with
elevation of blood pressure and metabolic disturbances (insulin resistance,
dyslipidaemia). However, even after adjustment for the most important
cardiovascular risk factors, HR remained an independent predictor of adverse
events in global population or in patients with cardio- and cerebrovascular
diseases. CONCLUSION: HR has an important negative effect on cardio- and
cerebrovascular morbidity and mortality. Future longitudinal investigations
should clarify HR significance and optimal HR reduction for primary and secondary
prevention in cardio- and cerebrovascular events.
PMID- 29355924
TI - Adolescent Perspectives on the Burden of a Parent's Migraine: Results from the
CaMEO Study.
AB - OBJECTIVE: To assess the impact of parental migraine on adolescents (aged 13-21
years) living within the parental home from the adolescent's perspective.
BACKGROUND: Family members are affected by their parent's migraine. We surveyed
adolescents on the social, academic, and emotional effects of their parent's
migraine. METHODS: The Chronic Migraine Epidemiology and Outcomes (CaMEO) study
was a longitudinal Web-based study with cross-sectional modules designed to
assess migraine symptoms, severity, frequency, and disability; migraine-related
consulting practices, healthcare utilization, medication use, comorbid health
conditions, and family related burden associated with migraine. The Family Burden
Module (adolescent version; FBM-A) from the CaMEO study assessed parents with
migraine and adolescent household members (dyads). Adolescents ranged in age from
13-21 years and were living at home with their parent. The initial FBM-A survey
included 52 items covering five domains, which was refined and reduced by
confirmatory factor analysis to 36 items covering four domains. Depression (9
item Patient Health Questionnaire) and anxiety (7-item Generalized Anxiety
Disorder scale) were assessed. Item responses were stratified by parent migraine
status (episodic migraine [EM], <15 headache days/month; chronic migraine [CM],
>=15 headache days/month). Frequencies of activities/events missed because of
parental headache were categorized as >=1 time or >=4 times/previous 30 days.
RESULTS: The sample included 1,411 parent-adolescent dyads (parent with EM, n =
1,243 [88.1%]; parent with CM, n = 168 [11.9%]). Burden due to a parent's
migraine was reported in four domains based on 36 items including: Loss of
Parental Support and Reverse Caregiving (5 items); Emotional Experience (13
items); Interference with School (4 items); and Missed Activities and Events (14
items). Across domains, perceived burden was greater for adolescents of parents
with CM vs EM. Rates of moderate-to-severe anxiety symptoms were higher among
adolescents of parents with CM (6.2 vs 11.3%, P = .01), while moderate-to-severe
depression symptom rates were similar (5.5 vs 8.9%, P = .08). More adolescents of
CM vs EM parents reported having a headache within the previous 3 months (P <
.001). CONCLUSIONS: Parental migraine negatively impacts adolescent children,
extending our understanding of the family burden of migraine and emphasizing the
potential benefit to children of optimizing migraine care.
PMID- 29355925
TI - Impact of the 340B Drug Pricing Program on Cancer Care Site and Spending in
Medicare.
AB - OBJECTIVE: To examine the impact of the 340B drug discount program on the site of
cancer drug administration and cancer care spending in Medicare. DATA
SOURCES/STUDY SETTING: 2010-2013 Medicare claims data for a random sample of
Medicare Fee-for-Service beneficiaries with cancer. STUDY DESIGN: We identified
the 340B effect using variation in the availability of 340B hospitals across
markets. We considered beneficiaries from markets that newly gained a 340B
hospital during the study period (new 340B markets) as the treatment group.
Beneficiaries in markets with no 340B hospital were the control group. We used a
difference-in-differences approach with market fixed effects. DATA COLLECTION:
Secondary data analysis. PRINCIPAL FINDINGS: The probability of a patient
receiving cancer drug administration in hospital outpatient departments (HOPDs)
versus physician offices increased 7.8 percentage points more in new 340B markets
than in markets with no 340B hospital. Per-patient spending on other cancer care
increased $1,162 more in new 340B markets than in markets with no 340B hospital.
CONCLUSIONS: The 340B program shifted the site of cancer drug administration to
HOPDs and increased spending on other cancer care. As the program expands,
continuing assessment of its impact on service utilization and spending would be
needed.
PMID- 29355926
TI - Plant S6 kinases do not require hydrophobic motif phosphorylation for activity in
yeast lacking Ypk3.
AB - The ribosomal protein S6 kinases (S6K) are among the major substrates and crucial
effectors of the target of rapamycin (TOR) kinase, which is an evolutionarily
conserved regulator of cell growth and proliferation. Recent research indicates
that yeast Ypk3 is an ortholog of mammalian S6Ks. Here, we find that plant S6Ks
restore ribosomal protein S6 phosphorylation in a rapamycin-sensitive manner in
yeast cells lacking Ypk3. However, phosphorylation of a hydrophobic motif, which
is mediated through TOR signaling and essential for mammalian S6K activity, is
not detected in plant S6Ks. Furthermore, deletion of the N-terminal region of
rice S6Ks shows phosphorylation of the hydrophobic motif and reduced rapamycin
sensitivity. Our findings suggest a mechanism of plant S6K activation distinct
from that of mammalian S6Ks.
PMID- 29355927
TI - Early Impact of the Affordable Care Act Coverage Expansion on Safety-Net Hospital
Inpatient Payer Mix and Market Shares.
AB - OBJECTIVE: To examine the impact of the Affordable Care Act's coverage expansion
on safety-net hospitals (SNHs). STUDY SETTING: Nine Medicaid expansion states.
STUDY DESIGN: Differences-in-differences (DID) models compare payer-specific pre
post changes in inpatient stays of adults aged 19-64 years at SNHs and non-SNHs.
DATA COLLECTION METHODS: 2013-2014 Healthcare Cost and Utilization Project State
Inpatient Databases. PRINCIPAL FINDINGS: On average per quarter postexpansion,
SNHs and non-SNHs experienced similar relative decreases in uninsured stays (DID
= -2.2 percent, p = .916). Non-SNHs experienced a greater percentage increase in
Medicaid stays than did SNHs (DID = 13.8 percent, p = .041). For SNHs, the
average decrease in uninsured stays (-146) was similar to the increase in
Medicaid stays (153); privately insured stays were stable. For non-SNHs, the
decrease in uninsured (-63) plus privately insured (-33) stays was similar to the
increase in Medicaid stays (105). SNHs and non-SNHs experienced a similar
absolute increase in Medicaid, uninsured, and privately insured stays combined
(DID = -16, p = .162). CONCLUSIONS: Postexpansion, non-SNHs experienced a greater
percentage increase in Medicaid stays than did SNHs, which may reflect patients
choosing non-SNHs over SNHs or a crowd-out of private insurance. More research is
needed to understand these trends.
PMID- 29355928
TI - Physician Market Structure, Patient Outcomes, and Spending: An Examination of
Medicare Beneficiaries.
AB - OBJECTIVE: To understand the impact of changes in physician market structure on
clinical outcomes and health care utilization. DATA SOURCES: 2005-2012 Medicare
fee-for-service claims and enrollment data. STUDY DESIGN: We consider the effect
of cardiology market structure on utilization and health outcomes for four
patient populations. We estimate the risk-adjusted impact of competition using
multivariate regression models. PRINCIPAL FINDINGS: The study finds that an
increase in consolidation leads to statistically and economically significant
increases in negative health outcomes. For example, we find that moving from a
zip code at the 25th percentile of cardiology market concentration to one at the
75th percentile would be associated with 5 to 7 percent increases in risk
adjusted mortality for three of the sample populations. We also found higher
expenditures in more concentrated markets. For example, moving from a zip code at
the 25th percentile of cardiology market concentration to one at the 75th would
be associated with 7 to 11 percent increases in expenditures, depending on sample
population. CONCLUSIONS: Our estimates indicate that increases in cardiology
market concentration are associated with worse health outcomes and higher health
care expenditures. Some effects may be attributed to vertical as well as
horizontal changes.
PMID- 29355929
TI - Small fluorescent molecules for monitoring autophagic flux.
AB - We have developed two types of fluorescent probes, DALGreen and DAPGreen, for
monitoring autophagy, that exhibit fluorescence upon being incorporated into
autophagosomes. DALGreen enhances its fluorescence at acidic pH, which is
favorable for monitoring late-phase autophagy, whereas DAPGreen remains
fluorescent with almost constant brightness during the autophagic process. With
these probes that stain autophagosomes as they are being formed, the real-time
change of autophagic phenomena of live cells may be traced, which is an advantage
over conventional approaches with small molecules that stain mature
autophagosomes. The use of both dyes allows monitoring of the membrane dynamics
of autophagy in any type of cell without the need for genetic engineering, and
therefore, will be useful as a tool to study autophagic phenomena.
PMID- 29355931
TI - Sensory improvement of leprosy peripheral neuropathy in patients treated with
perineural injection of platelet-rich plasma.
PMID- 29355930
TI - IgG from atopic dermatitis patients induces IL-17 and IL-10 production in infant
intrathymic TCD4 and TCD8 cells.
AB - INTRODUCTION: Our group recently demonstrated that IgG modulates alphabetaT cell
cytokine production during the maturation process in the human thymus. The
effects of this modulation are IgG repertoire dependent and can exert a systemic
and long-term impact. OBJECTIVE: To investigate whether IgG from atopic
dermatitis (AD) patients can modulate cytokine production of infant intrathymic
TCD4 and TCD8 cells in vitro. METHODS: Thymic tissues were obtained from newborn
children from nonatopic mothers, and thymocytes were cultured for 6 days with
purified IgG from AD patients or with intravenous immunoglobulin (IVIG) or mock
conditions as controls. Cells were gated as double positive T cells (TDP- CD4+
CD8+ ), TCD4 cells (CD4+ CD8- ), or TCD8 cells (CD4- CD8+ ), and intracellular
levels of IL-17A, IFN-gamma, TNF-alpha, IL-4, IL-10, and TGF-beta were evaluated
by flow cytometry. RESULTS: Compared to mock and IVIG culture conditions, IgG of
AD individuals induced in vitro intracellular production of IL-17 and IL-10 by
intrathymic TDP, TCD4, and TCD8 cells of infants. TGF-beta was also detected at a
higher frequency in response to AD IgG in TDP and TCD8 cells compared to mock and
IVIG cultured conditions. An opposite effect was detected upon IFN-gamma
production in TCD4 cells, such that AD IgG reduced IFN-gamma production compared
to production under mock conditions but not under IVIG conditions. CONCLUSION:
IgG of AD patients can stimulate cytokine production in infant thymocytes and
thus resembles the peripheral profile observed in adults. These findings suggest
a novel mechanism that can contribute to AD pathogenesis.
PMID- 29355932
TI - Dietary consumption of antioxidant vitamins and subsequent lung cancer risk: The
Japan Public Health Center-based prospective study.
AB - While many epidemiological studies have studied the association between lung
cancer risk and fruits and vegetable consumption (the major sources of
antioxidant vitamins), only a few have investigated the direct association with
antioxidants in consideration of cancer subtypes and smoking status. Here, we
examined the association between consumption of antioxidant vitamins and lung
cancer risk in one of the largest prospective cohort studies in Japan. We
investigated the association of dietary antioxidant vitamins intake, namely
retinol, vitamin C, vitamin E, alpha-carotene, and beta-carotene and subsequent
incidence of lung cancer among 38,207 men and 41,498 women in the Japan Public
Health Center-based prospective study. Cox proportional hazard regression was
performed with adjustment for potential confounders and by strata of cancer
subtypes and smoking status. Antioxidant and other dietary intakes were assessed
using a food frequency questionnaire (FFQ). During 1,233,096 person-years of
follow-up between 1995 and 2013, a total of 1,690 lung cancer cases were newly
diagnosed. In a multivariate regression model, while higher retinol intake was
positively associated with overall lung cancer risk in men (HR 1.26; 95% CI 1.05
1.51; ptrend = 0.003), the estimates were more evident with small cell carcinoma
(HR 1.92; 95% CI 1.13-3.24; ptrend < 0.001). Null associations were observed for
other antioxidant vitamins. Our prospective study suggests that higher
consumption of retinol may be associated with an increased risk of lung cancer in
men, especially with small cell carcinoma, although confirmation is required.
PMID- 29355933
TI - Ablation of hephaestin and ceruloplasmin results in iron accumulation in
adipocytes and type 2 diabetes.
AB - Little is known about the iron efflux mechanism in adipocytes. Here, we used
hephaestin (Heph) and ceruloplasmin (Cp) single-knockout (KO) mice and Heph/Cp
double-KO mice to investigate the roles of multicopper ferroxidases (MCFs) in
this process. We show that both HEPH and CP are expressed in subcutaneous adipose
tissue. Ablation of either MCF leads to a compensatory increase in the other,
which contributes to the balance of iron status. However, ablation of both MCFs
together induces severe iron deposition in adipocytes which is associated with
decreased adiponectin and leptin mRNA expression. Furthermore, Heph/Cp KO mice
display disordered carbohydrate metabolism characterized as type 2 diabetes.
Together, these results demonstrate the protective roles of HEPH and CP in
preventing iron overload in adipocytes.
PMID- 29355935
TI - Electrical pulse stimulation induces GLUT4 translocation in a Rac-Akt-dependent
manner in C2C12 myotubes.
AB - Muscle contraction increases skeletal muscle glucose uptake, but the underlying
mechanisms are not fully elucidated. While important for insulin-stimulated
glucose uptake, the role of Akt in contraction-stimulated muscle glucose uptake
is controversial. In our study, C2C12 skeletal muscle myotubes were contracted by
electrical pulse stimulation (EPS). We found that EPS leads to Akt
phosphorylation on sites S473 and T308 in a time-dependent manner. The Akt
inhibitor MK2206 partly reduces EPS-stimulated GLUT4 translocation without
affecting EPS-stimulated AMPK phosphorylation. EPS activates Rac1 GTP-binding,
and EPS-stimulated GLUT4 translocation is partly inhibited by Rac1 inhibitor II
and siRac1. Interestingly, both Rac1 inhibitor II and siRac1 inhibit EPS
stimulated Akt phosphorylation on sites S473 and T308. Our findings implicate a
Rac1-Akt signaling pathway in EPS-stimulated GLUT4 translocation in C2C12
myotubes.
PMID- 29355934
TI - Cross-Sector Collaboration in the High-Poverty Setting: Qualitative Results from
a Community-Based Diabetes Intervention.
AB - OBJECTIVE: To characterize the motivations of stakeholders from diverse sectors
who engaged in cross-sector collaboration with an academic medical center. DATA
SOURCE: Primary qualitative data (2014-2015) were collected from 22 organizations
involved in a cross-sector diabetes intervention on the South Side of Chicago.
STUDY DESIGN: In-depth, semistructured interviews; participants included leaders
from all stakeholder organization types (e.g., businesses, community development,
faith-based) involved in the intervention. DATA COLLECTION METHODS: Data were
transcribed verbatim from audio and video recordings. Analysis was conducted
using the constant comparison method, derived from grounded theory. PRINCIPAL
FINDINGS: All stakeholders described collaboration as an opportunity to promote
community health in vulnerable populations. Among diverse motivations across
organization types, stakeholders described collaboration as an opportunity for:
financial support, brand enhancement, access to specialized skills or knowledge,
professional networking, and health care system involvement in community-based
efforts. Based on our findings, we propose a framework for implementing a working
knowledge of stakeholder motivations to facilitate effective cross-sector
collaboration. CONCLUSIONS: We identified several factors that motivated
collaboration across diverse sectors with health care systems to promote health
in a high-poverty, urban setting. Understanding these motivations will be
foundational to optimizing meaningful cross-sector collaboration and improving
diabetes outcomes in the nation's most vulnerable communities.
PMID- 29355936
TI - Auditory structural connectivity in preterm and healthy term infants during the
first postnatal year.
AB - Assessing language development in the first postnatal year is difficult, as
receptive and expressive skills are rudimentary. Although outward manifestations
of change are limited, the auditory language system is thought to undergo
critical development at this age, as the foundations are laid for the rapid onset
of spoken language in the second and third years. We recruited 11 infants, 7
healthy controls (gestational age = 40.69 +/- 0.56; range from 40 to 41.43) and
preterm babies (gestational age = 28.04 +/- 0.95; range from 27.43 to 29.43) who
underwent a Magnetic Resonance Imaging study during the first postnatal year (age
at scan = 194.18 +/- 97.98). We assessed white matter tracts using diffusion
weighted magnetic resonance imaging with probabilistic tractography. Fractional
anisotropy was found to be largely mature even at one month, although there was a
little further increase during the first postnatal year in both the acoustic
radiation and the direct brainstem-Heschl's pathway.
PMID- 29355937
TI - Chronic pruritic warty papules on the buttocks.
PMID- 29355938
TI - A case of paraneoplastic elastosis perforans serpiginosa associated with ovarian
malignancy.
AB - Elastosis perforans serpiginosa (EPS) is a rare skin disorder in which there is
transepithelial elimination of elastin fibers. It belongs to a group of
perforating disorders of which there are four classic types. The EPS type is
extremely rare. There have been no previous reports of elastosis perforans
serpiginosa occurring as a paraneoplastic phenomenon. We report a case of
paraneoplastic elastosis perforans serpiginosa in the setting of stage 4 ovarian
cancer.
PMID- 29355939
TI - Inflammatory potential of diet and risk of pancreatic cancer in the Prostate,
Lung, Colorectal and Ovarian (PLCO) Cancer Screening Trial.
AB - Inflammation plays a central role in pancreatic cancer etiology and can be
modulated by diet. We aimed to examine the association between the inflammatory
potential of diet, assessed with the Dietary Inflammatory Index (DII(r)), and
pancreatic cancer risk in the Prostate, Lung, Colorectal and Ovarian Cancer
Screening Trial prospective cohort. Our study included 101,449 participants aged
52-78 years at baseline who completed both baseline questionnaire and a diet
history questionnaire. Energy-adjusted DII (E-DII) scores were computed based on
food and supplement intake. Cox proportional hazards models and time dependent
Cox models were used to estimate hazard ratios (HRs) and 95% confidence intervals
(CIs) with participants in the lowest E-DII quintile (most anti-inflammatory
scores) as referent. After a median 8.5 years of follow-up, 328 pancreatic cancer
cases were identified. E-DII scores were not associated with pancreatic cancer
risk in the multivariable model (HRQ5vsQ1 = 0.94; 95% CI = 0.66-1.35; p-trend =
0.43). Time significantly modified the association (p-interaction = 0.01). During
follow up <4 years, there was suggestive evidence of an inverse association
between E-DII and pancreatic cancer (HRQ5vsQ1 = 0.60; 95% CI = 0.35-1.02; p
trend = 0.20) while there was a significant positive trend in the follow up >=4
years (HRQ5vsQ1 = 1.31; 95% CI = 0.83-2.08; p-trend = 0.03). Similar results
were observed for E-DII from food only. Our study does not support an association
between inflammatory potential of diet and pancreatic cancer risk; however,
heterogeneous results were obtained with different follow-up times. These
divergent associations may result from the influences of undetected disease in
the short-term.
PMID- 29355941
TI - Cuckoos host range is associated positively with distribution range and
negatively with evolutionary uniqueness.
AB - The evolutionary distinctiveness (ED) score is a measure of phylogenetic
isolation that quantifies the evolutionary uniqueness of a species. Here, we
compared the ED score of parasitic and non-parasitic cuckoo species world-wide,
to understand whether parental care or parasitism represents the largest amount
of phylogenetic uniqueness. Next, we focused only on 46 cuckoo species
characterized by brood parasitism with a known number of host species, and we
explored the associations among ED score, number of host species and breeding
range size for these species. We assessed these associations using phylogenetic
generalized least squares (PGLS) models, taking into account the phylogenetic
signal. Parasitic cuckoo species were not more unique in terms of ED than non
parasitic species. However, we found a significant negative association between
the evolutionary uniqueness and host range and a positive correlation between the
number of host species and range size of parasitic cuckoos, probably suggesting a
passive sampling of hosts by parasitic species as the breeding range broadens.
The findings of this study showed that more generalist brood parasites occupied
very different positions in a phylogenetic tree, suggesting that they have
evolved independently within the Cuculiformes order. Finally, we demonstrated
that specialist cuckoo species also represent the most evolutionarily unique
species in the order of Cuculiformes.
PMID- 29355940
TI - Doxorubicin, mesenchymal stem cell toxicity and antitumour activity: implications
for clinical use.
AB - OBJECTIVES: The use of doxorubicin, an antineoplastic medication used for the
treatment of cancers via mechanisms that prevent replication of cells or lead to
their death, can result in damage to healthy cells as well as malignant. Among
the affected cells are mesenchymal stem cells (MSCs), which are involved in the
maintenance and repair of tissues in the body. This review explores the
mechanisms of biological effects and damage attributed to doxorubicin on MSCs.
The PubMed database was used as a source of literature for this review. KEY
FINDINGS: Doxorubicin has the potential to lead to significant and irreversible
damage to the human bone marrow environment, including MSCs. The primary known
mechanism of these changes is through free radical damage and activation of
apoptotic pathways. The presence of MSCs in culture or in vivo appears to either
suppress or promote tumour growth. Interactions between doxorubicin and MSCs have
the potential to increase chemotherapy resistance. SUMMARY: Doxorubicin-induced
damage to MSCs is of concern clinically. However, MSCs also have been associated
with resistance of tumour cells to drugs including doxorubicin. Further studies,
particularly in vivo, are needed to provide consistent results of how the
doxorubicin-induced changes to MSCs affect treatment and patient health.
PMID- 29355942
TI - Anion exchanger 3 in dorsal root ganglion contributes to nerve injury-induced
chronic mechanical allodynia and thermal hyperalgesia.
AB - OBJECTIVE: To determine the role of anion exchanger 3 (AE3) in dorsal root
ganglion (DRG) in nerve injury-induced chronic nociception in the rat. METHODS:
Spared nerve injury (SNI) was used to induce neuropathic pain. Von Frey filaments
and Hargreaves test were used to assess tactile allodynia and thermal
hyperalgesia, respectively. Drugs were given by intrathecal administration.
Western blotting was used to determine AE3 expression in DRG. KEY FINDINGS: SNI
produced long-lasting mechanical allodynia and thermal hyperalgesia. AE3 was
found in DRG of sham-operated rats. SNI enhanced baseline AE3 expression in L4
and L5 DRGs at days 7 and 14, respectively. In contrast, SNI did not affect AE3
expression in L6 DRG. AE3 expression returned to baseline levels 21 days after
SNI. Intrathecal 4,4'-diisothiocyanatostilbene-2,2'-disulfonic acid (DIDS) (5-50
MUg) pretreatment prevented SNI-induced allodynia and, at a lesser extent,
hyperalgesia. Moreover, DIDS (50 MUg) reduced SNI-induced AE3 upregulation in L4,
but not L5, DRGs. Intrathecal DIDS (5-50 MUg) or anti-AE3 antibody (1 MUg), but
not vehicle, post-treatment (6 days) partially reversed SNI-induced allodynia and
hyperalgesia. DIDS or anti-AE3 antibody post-treatment diminished SNI-induced AE3
upregulation in L4 and L5 DRGs. CONCLUSIONS: Data suggest that AE3 is present in
DRG and contributes to mechanical allodynia and thermal hyperalgesia in
neuropathic rats.
PMID- 29355943
TI - Antigastritis effects of Armillariella tabescens (Scop.) Sing. and the
identification of its anti-inflammatory metabolites.
AB - OBJECTIVES: This study demonstrates the biological and chemical analysis of the
mushroom Armillariella tabescens (Scop.) Sing. (Tricholomataceae). METHODS:
Chemical structures of the isolates were determined by 1D and 2D NMR, and ESI-MS,
as well as comparison with previously reported data. All isolates were tested for
anti-inflammatory effects based on their ability to inhibit LPS-stimulated nitric
oxide (NO) production in RAW264.7 cells. KEY FINDINGS: We found that the MeOH
extract of the fruiting bodies of A. tabescens showed antigastritis activity
against ethanol-induced gastric damage in rats and notably reduced the gastric
damage index compared to control in a concentration-dependent manner. Chemical
investigation of the MeOH extract led to the isolation of four steroids (1-4),
three alkaloids (5-7), two nucleic acids (8-9) and four fatty acids (10-13). This
is the first study to report the identification of all isolates, except for
compound 7, from A. tabescens. Compounds 1, 2, 3, 4 and 10 showed inhibition on
LPS-stimulated NO production. Treatment with compound 10 inhibited expression of
iNOS, COX-2, phospho-IKKalpha, IKKalpha, phospho-IkappaBalpha, IkappaBalpha and
NF-kappa B in LPS-stimulated RAW264.7 cells. CONCLUSIONS: Compound 10 likely
contributes to the health benefits of A. tabescens as an antigastritis agent
through its anti-inflammatory effects.
PMID- 29355944
TI - CrossTalk opposing view: Acute exercise does not elicit damage to the endothelial
layer of systemic blood vessels in healthy individuals.
PMID- 29355945
TI - Essential role of hippocampal noradrenaline in the regulation of spatial working
memory and TDP-43 tissue pathology.
AB - Extensive loss of noradrenaline-containing neurons and fibers is a nearly
invariant feature of Alzheimer's Disease (AD). However, the exact noradrenergic
contribution to cognitive and histopathological changes in AD is still unclear.
Here, this issue was addressed following selective lesioning and intrahippocampal
implantation of embryonic noradrenergic progenitors in developing rats. Starting
from about 3 months and up to 12 months post-surgery, animals underwent
behavioral tests to evaluate sensory-motor, as well as spatial learning and
memory, followed by post-mortem morphometric analyses. At 9 months, Control,
Lesioned and Lesion + Transplant animals exhibited equally efficient sensory
motor and reference memory performance. Interestingly, working memory abilities
were seen severely impaired in Lesion-only rats and fully recovered in
Transplanted rats, and appeared partly lost again 2 months after ablation of the
implanted neuroblasts. Morphological analyses confirmed the almost total lesion
induced noradrenergic neuronal and terminal fiber loss, the near-normal
reinnervation of the hippocampus promoted by the transplants, and its complete
removal by the second lesion. Notably, the noradrenergic-rich transplants
normalized also the nuclear expression of the transactive response DNA-binding
protein 43 (TDP-43) in various hippocampal subregions, whose cytoplasmic (i.e.,
pathological) occurrence appeared dramatically increased as a result of the
lesions. Thus, integrity of ascending noradrenergic inputs to the hippocampus may
be required for the regulation of specific aspects of learning and memory and to
prevent TDP-43 tissue pathology.
PMID- 29355946
TI - Rebuttal from Volker Adams.
PMID- 29355947
TI - Corticotropin-releasing hormone-binding protein is up-regulated by brain-derived
neurotrophic factor and is secreted in an activity-dependent manner in rat
cerebral cortical neurons.
AB - A recent study revealed that corticotropin-releasing hormone (CRH) in the
cerebral cortex (CTX) plays a regulatory role in emotional behaviors in rodents.
Given the functional interaction between brain-derived neurotrophic factor (BDNF)
and the CRH-signaling pathway in the hypothalamic-pituitary-adrenal axis, we
hypothesized that BDNF may regulate gene expression of CRH and its related
molecules in the CTX. Findings of real-time quantitative PCR (RT-qPCR) indicated
that stimulation of cultured rat cortical neurons with BDNF led to marked
elevations in the mRNA levels of CRH and CRH-binding protein (CRH-BP). The BDNF
induced up-regulation of CRH-BP mRNA was attenuated by inhibitors of tropomyosin
related kinase (Trk) and MEK, but not by an inhibitor for PI3K and Phospholipase
C gamma (PLCgamma). The up-regulation was partially blocked by an inhibitor of
lysine-specific demethylase (KDM) 6B. Fluorescent imaging identified the
vesicular pattern of pH-sensitive green fluorescent protein-fused CRH-BP (CRH-BP
pHluorin), which co-localized with mCherry-tagged BDNF in cortical neurons. In
addition, live-cell imaging detected drastic increases of pHluorin fluorescence
in neurites upon membrane depolarization. Finally, we confirmed that tetrodotoxin
partially attenuated the BDNF-induced up-regulation of CRH-BP mRNA, but not that
of the protein. These observations indicate the following: In cortical neurons,
BDNF led to gene expression of CRH-BP and CRH. TrkB, MEK, presumably ERK, and
KDM6B are involved in the BDNF-induced gene expression of CRH-BP, and BDNF is
able to induce the up-regulation in a neuronal activity-independent manner. It is
suggested that CRH-BP is stored into BDNF-containing secretory granules in
cortical neurons, and is secreted in response to membrane depolarization.
PMID- 29355949
TI - CrossTalk proposal: Acute exercise elicits damage to the endothelial layer of
systemic blood vessels in healthy individuals.
PMID- 29355948
TI - Effects of Storage Conditions on Consumer and Chemical Assessments of Raw
'Nonpareil' Almonds Over a Two-Year Period.
AB - : Raw almonds are a major commodity, yet much is unknown about how storage
conditions determine their shelf life. The storage stability, as measured by
consumer assessments and chemical measures, of raw almonds was determined for
samples stored in cardboard boxes and polypropylene packaging for 2 years at 4,
15, 25, and 35 degrees C, and at 50% and 65% relative humidity (RH). Samples
stored in unlined cartons always failed (>25% rejection) before their
counterparts stored in polypropylene bags under identical environmental
conditions. Models determined that polypropylene packaging (as opposed to unlined
cardboard cartons) extended the time until sample rejection by more than 7
months. Temperature and RH were both negatively associated with storage time
until failure. Flavor was a greater contributor to consumer acceptability than
texture or odor, while peroxide values and free fatty acids were of greater
importance in predicting raw almond consumer quality than measures of conjugated
dienes or 2-thiobarbituric acid-reactive substances. PRACTICAL APPLICATION: The
results of this study will allow almond producers to determine packaging types
and environmental storage conditions that provide shelf life of a specified time.
PMID- 29355950
TI - Lansoprazole prevents the progression of liver fibrosis in non-alcoholic
steatohepatitis model rats.
AB - OBJECTIVES: We previously demonstrated that lansoprazole provided
hepatoprotection in a drug-induced hepatitis animal model partially through the
Nrf2/HO-1 pathway. Here, we examined whether lansoprazole could also provide
hepatoprotection in a rat model of non-alcoholic steatohepatitis (NASH). METHODS:
Six-week-old rats were fed a normal chow or a choline-deficient amino acid
defined (CDAA) diet to establish a rat model of NASH. The groups fed a CDAA diet
for 5 weeks were subcutaneously administered either a vehicle or a lansoprazole
suspension for 4 weeks beginning the second week of the experiment. KEY FINDINGS:
Bridging fibrosis was observed in the livers of almost all the NASH model rats
(six of seven), but it was not always observed in NASH model rats (one of seven)
continuously administered lansoprazole. The serum aspartate aminotransferase
level elevated by the CDAA diet was significantly decreased following
lansoprazole administration. Lansoprazole also increased the expression of Nrf2,
but not HO-1, in the liver of NASH model rats. Lansoprazole decreased the level
of activated TGF-beta protein. Furthermore, interleukin-6 gene and protein
expression were decreased. CONCLUSIONS: Lansoprazole inhibits hepatic
fibrogenesis, at least during the early stages, in CDAA diet-induced NASH model
rats. The mechanisms might be associated with cytokine suppression but not the
inhibition of reactive oxygen species.
PMID- 29355951
TI - Evaluation of outpatient acupuncture for relief of pregnancy-related conditions.
AB - BACKGROUND: Acupuncture is a non-pharmacological option to relieve pregnancy
related complaints. OBJECTIVES: To critically appraise the best available
evidence for the use of acupuncture in outpatient care. SEARCH STRATEGY: The
MEDLINE, Cochrane Library, and Centre for Reviews and Dissemination databases
were searched for English-language and German-language papers published from
January 1980 to March 2017 using search terms related to pregnancy combined with
'acupuncture'. SELECTION CRITERIA: Systematic reviews and randomized controlled
trials (RCTs) comparing non-pharmacological treatments in unselected or low-risk
pregnant women. DATA COLLECTION AND ANALYSIS: Quality was assessed using a
checklist (A Measurement Tool to Assess Systematic Reviews) and the Cochrane risk
of bias tool. Meta-analyses were also performed. MAIN RESULTS: High-quality
systematic reviews (n=5) and RCTs with low risk of bias (n=3) were identified.
The systematic reviews were based on single studies, with small sample sizes,
that showed a benefit of acupuncture for evening pelvic pain; pelvic and low-back
pain; nausea; functional disability; and sleep quality. Contradictory results
were found in the RCTs regarding cesarean delivery; time to delivery; spontaneous
labor; fetal distress; and Apgar score. Data pooling emphasized the heterogeneity
of results. CONCLUSIONS: Evidence to support the use of acupuncture for relief of
pregnancy-related conditions was limited.
PMID- 29355952
TI - Impact of High Hydrostatic Pressure on the Shelling Efficacy, Physicochemical
Properties, and Microstructure of Fresh Razor Clam (Sinonovacula constricta).
AB - : The effects of high hydrostatic pressure (HHP) treatments (200, 300, and 400
MPa for 1, 3, 5 and 10 min) on the shelling efficacy (the rate of shelling, the
rate of integrity and yield of razor clam meat) and the physicochemical (drip
loss, water-holding capacity, pH, conductivity, lipid oxidation, Ca2+ -ATPase
activity, myofibrillar protein content), microbiological (total viable counts)
and microstructural properties of fresh razor clam (Sinonovacula constricta) were
investigated. HHP treatments significantly (P < 0.05) increased shelling
efficiency, water-holding capacity, pH, conductivity, and lipid oxidation, and
HHP-treated razor clam showed lower levels of microorganisms and drip loss than
untreated razor clam. Levels of thiobarbituric acid reacting substances (TBA) in
HHP-treated razor clam were greatly increased (up to 0.93 +/- 0.09 mg MDA/kg at
400 MPa for 10 min) which was caused by the formation of hydroperoxides during
HHP treatment. All HHP treatments were found to have adverse effects on the
activity of Ca2+ -ATPase and the content of myofibrillar protein (MP), which
might be due to the substantial damage to the tertiary structure of proteins at
high pressure. Moreover, scanning electron microscopy (SEM) revealed the
compaction of the muscle fibers and a decrease in the extracellular space with
increasing pressure and holding time. This phenomenon was mainly correlated with
the compaction of muscle fibers and denaturation, aggregation, and gelation of
muscle protein triggered by high pressure. In general, HHP could be applied as a
safe and effective nonthermal technology to produce high-quality shelled razor
clam. PRACTICAL APPLICATION: High hydrostatic pressure (HHP) is now well known as
a nonthermal processing technology and becoming increasingly acknowledged.
However, it has not been widely applied to shell seafood due to its uncertain
influence on its quality and shelling property. This study could provide valuable
information regarding the shelling efficacy, physicochemical properties, and
microstructure of razor clam treated by HHP. And it demonstrated that HHP showed
a positive impact on quality of razor clam treated by HHP.
PMID- 29355953
TI - Investigation into the Microstructure, Texture and Rheological Properties of
Chocolate Ganache.
AB - : Ganache is a mixture of chocolate and dairy. Although a popular confection,
little is known about how it functions as a system. Objectives were to (1)
determine if dairy fats and cocoa butter mix in ganache, (2) characterize ganache
microstructure, and how structure affects texture and rheology, and (3) identify
how changes in chocolate composition alter ganache. Textural analysis,
differential scanning calorimetry, stress sweep tests, and microscopy were used
to examine ganache formulations that varied in dairy source (cream or butter) or
in solid fat content (SFC), composition or type of chocolate. Melting
temperatures for all ganache formulations were lower than for chocolate,
indicating that cream milk fat globules rupture during processing, and mix with
cocoa butter. Altering the SFC of chocolate affected ganache hardness,
spreadability, melting enthalpy, and resistance to deformation. Chocolate systems
made with constant fat content and greater amounts of defatted cocoa powder
relative to sugar or nonfat milk powder yielded ganache that was harder, less
spreadable, and more resistant to deformation. Ganache made with commercially
produced dark, milk, and white chocolates behaved similarly to model chocolate
systems. Ganache attributes are affected by chocolate crystalline fat content in
addition to particle phase volume-greater levels of cocoa powder, which is mostly
insoluble, strengthens ganache structure, producing a firmer product, whereas
greater levels of milk powder and sugar, which dissolve in the aqueous cream
component, produce a softer ganache. PRACTICAL APPLICATION: Understanding how
ganache functions as a system and how differences in chocolate composition affect
its textural and rheological properties may allow for greater control over the
desired characteristics of the final product. For example, this research shows
how changing cocoa content of the chocolate affects ganache, which is useful when
developing formulations involving chocolates with different cocoa percentages.
There may also be cost saving implications; for example, using a chocolate with a
harder cocoa butter may allow less total chocolate to be used in a formulation,
while still achieving an appropriate texture.
PMID- 29355954
TI - Comprehensive analysis of area-specific and time-dependent changes in gene
expression in the motor cortex of macaque monkeys during recovery from spinal
cord injury.
AB - The present study aimed to assess the molecular bases of cortical compensatory
mechanisms following spinal cord injury in primates. To accomplish this,
comprehensive changes in gene expression were investigated in the bilateral
primary motor cortex (M1), dorsal premotor cortex (PMd), and ventral premotor
cortex (PMv) after a unilateral lesion of the lateral corticospinal tract (l
CST). At 2 weeks after the lesion, a large number of genes exhibited altered
expression levels in the contralesional M1, which is directly linked to the
lesioned l-CST. Gene ontology and network analyses indicated that these changes
in gene expression are involved in the atrophy and plasticity changes observed in
neurons. Orchestrated gene expression changes were present when behavioral
recovery was attained 3 months after the lesion, particularly among the bilateral
premotor areas, and a large number of these genes are involved in plasticity.
Moreover, several genes abundantly expressed in M1 of intact monkeys were
upregulated in both the PMd and PMv after the l-CST lesion. These area-specific
and time-dependent changes in gene expression may underlie the molecular
mechanisms of functional recovery following a lesion of the l-CST.
PMID- 29355956
TI - Rebuttal from Ryan M. Sapp and James M. Hagberg.
PMID- 29355957
TI - Characterization of a New alpha-Linolenic Acid-Rich Oil: Eucommia ulmoides Seed
Oil.
AB - Eucommia ulmoides seed oil is the main byproduct of E. ulmoides cultivation. To
better understand its functions, E. ulmoides seed oil is characterized
comprehensively in this work. The composition of E. ulmoides seed,
physicochemical properties, thermal properties, fatty acid composition,
triacylglycerol (TAG) composition and Vitamin E composition of E. ulmoides seed
oil were determined. The results show that the E. ulmoides seed contained about
34.63% oil. The excellent physicochemical properties of E. ulmoides seed oil
ensured it has a potential to be developed as an edible oil. The main fatty acids
in E. ulmoides seed oil were linolenic acid (61.36%), oleic acid (17.02%), and
linoleic acid (12.04%). HPLC-ELSD method determined that LnLnLn (37.99%), LnLnO
(22.62%), LnLnL (14.5%), and LnLnP (8.78%) were the oil's major TAG components.
The oil exhibited a unique thermal curve which contained 2 melting peaks at
38.45 and -22.22 degrees C, respectively. The total content of vitamin E in E.
ulmoides seed oil was 190.96 mg/100g, which exist mainly in gamma-tocopherol and
delta-tocopherol isomer. Overall, the results indicated that E. ulmoides seed oil
is a promising oil in food, pharmaceutics, cosmetics and other nonfood
industries.
PMID- 29355955
TI - Complex neuroprotective and neurotoxic effects of histone deacetylases.
AB - By their ability to shatter quality of life for both patients and caregivers,
neurodegenerative diseases are the most devastating of human disorders.
Unfortunately, there are no effective or long-terms treatments capable of slowing
down the relentless loss of neurons in any of these diseases. One impediment is
the lack of detailed knowledge of the molecular mechanisms underlying the
processes of neurodegeneration. While some neurodegenerative diseases, such as
Alzheimer's disease, Parkinson's disease, and amyotrophic lateral sclerosis, are
mostly sporadic in nature, driven by both environment and genetic susceptibility,
many others, including Huntington's disease, spinocerebellar ataxias, and spinal
bulbar muscular atrophy, are genetically inherited disorders. Surprisingly, given
their different roots and etiologies, both sporadic and genetic neurodegenerative
disorders have been linked to disease mechanisms involving histone deacetylase
(HDAC) proteins, which consists of 18 family members with diverse functions.
While most studies have implicated certain HDAC subtypes in promoting
neurodegeneration, a substantial body of literature suggests that other HDAC
proteins can preserve neuronal viability. Of particular interest, however, is the
recent realization that a single HDAC subtype can have both neuroprotective and
neurotoxic effects. Diverse mechanisms, beyond transcriptional regulation have
been linked to these effects, including deacetylation of non-histone proteins,
protein-protein interactions, post-translational modifications of the HDAC
proteins themselves and direct interactions with disease proteins. The roles of
these HDACs in both sporadic and genetic neurodegenerative diseases will be
discussed in the current review.
PMID- 29355958
TI - Canine pancreatic lipase immunoreactivity concentrations associated with
intervertebral disc disease in 84 dogs.
AB - OBJECTIVE: To determine the differences in serum canine pancreatic lipase
immunoreactivity between dogs with intervertebral disc herniation and healthy
control dogs. MATERIALS AND METHODS: Eighty-four client-owned dogs with
intervertebral disc herniation, diagnosed by neurologic examination and imaging,
and 18 healthy control dogs. Samples of whole blood were collected within 90
minutes of admission. Serum canine pancreatic lipase immunoreactivity
concentrations were measured by a commercial immunoassay and evaluated for
association with intervertebral disc herniation, signalment, neurolocalisation
and the preadmission administration of glucocorticosteriods or non-steroidal anti
inflammatory drugs. RESULTS: Serum canine pancreatic lipase immunoreactivity
concentrations were statistically increased in dogs with intervertebral disc
herniation (P<0.01, n=38). A subgroup of dogs (19/38) with elevated canine
pancreatic lipase immunoreactivity concentrations was re-evaluated between 2 and
4 weeks later, and 15 had resolution of clinical signs and values less than 200
MUg/L. Serum canine pancreatic lipase immunoreactivity concentrations were not
significantly correlated with clinical gastrointestinal disease,
neurolocalisation or the preadmission administration of corticosteroids or non
steroidal anti-inflammatory drugs. CLINICAL SIGNIFICANCE: These results suggest
that serum canine pancreatic lipase immunoreactivity concentrations are
significantly elevated in dogs with intervertebral disc herniation.
PMID- 29355959
TI - Optimized thoracoport design for the thoracoscopic procedure during minimally
invasive esophagectomy.
AB - Minimally invasive esophagectomy has several benefits as an effective alternative
treatment for esophageal cancer. The three-phase esophageal resection may be the
most popular approach to esophagectomy. Numerous thoracoport designs are
available for the thoracoscopic procedure. The present study aims to contribute a
distinctive three-port technique that is designed to minimize surgical trauma and
facilitate operation during the thoracoscopic procedure. In this paper, we
describe and demonstrate the details of the port design and each operation step.
Based on our practical experience, the rational combination of the port design
and instrument usage of the three-port technique makes the thorascopic procedure
more convenient.
PMID- 29355960
TI - Occupational radiation exposure and thyroid cancer incidence in a cohort of U.S.
radiologic technologists, 1983-2013.
AB - Although childhood exposure to ionizing radiation is a well-established risk
factor for thyroid cancer, the risk associated with adulthood exposure remains
unclear. We prospectively examined the association between cumulative, low-to
moderate dose occupational radiation exposure to the thyroid and thyroid cancer
incidence in the U.S. Radiologic Technologists cohort. The study included 89,897
members who completed at least two of four mailed questionnaires and were cancer
free at the time of the first questionnaire. Cumulative occupational thyroid
radiation dose (mean = 57 mGy, range = 0-1,600 mGy) was estimated based on self
reported work histories, historical data and, during the years 1960-1997, 783,000
individual film badge measurements. During follow-up, we identified 476 thyroid
cancer cases. We used Poisson regression to estimate excess relative risk of
thyroid cancer per 100 milliGray (ERR/100 mGy) absorbed dose to the thyroid
gland. After adjusting for attained age, sex, birth year, body mass index and
pack-years smoked, we found no association between thyroid dose and thyroid
cancer risk (ERR/100 mGy = -0.05, 95% CI <-0.10, 0.34). In this large cohort
study of radiologic technologists, protracted, low-to-moderate dose ionizing
radiation exposure to the thyroid gland in adulthood was not associated with an
increased risk of thyroid cancer.
PMID- 29355961
TI - Application of a Dielectric Barrier Discharge Atmospheric Cold Plasma (Dbd-Acp)
for Eshcerichia Coli Inactivation in Apple Juice.
AB - : Atmospheric cold plasma (ACP) is a promising non-thermal technology in food
industry. In this study, a dielectric barrier discharge (DBD)-ACP exhibited
strong bactericidal effect on Escherichia coli in apple juice. Under a 30 to 50 W
input power, less than 40 s treatment time was required for DBD-ACP to result in
3.98 to 4.34 log CFU/mL reduction of E. coli in apple juice. The inactivation
behavior of ACP on E. coli was well described by the Weibull model. During the
treatment, the cell membrane of E. coli was damaged severely by active species
produced by plasma, such as hydrogen peroxide, ozone and nitrate. In addition,
the ACP exposure had slight effect on the degrees Brix, pH, titratable acidity
(TA), color values, total phenolic content, and antioxidant capacity of apple
juice. However, higher level of DBD-ACP treatment, 50 W for more than 10 s in
this case, resulted in significant change of the pH, TA, color and total phenolic
content of apple juice. The results in this study have provided insight in
potential use of DBD-ACP as an alternative to thermal processing for fruit juices
in food industry. PRACTICAL APPLICATION: Escherichia coli O157:H7 in apple juice
is a potential risk for public health. This study demonstrated that 30 s cold
plasma treatment resulted in more than 4 log CFU/mL reduction under 50 W, while
the quality attributes of apple juice were not significantly affected. Therefore,
cold plasma technology is a promising alternative substitute of traditional
thermal processing for juice pasteurization.
PMID- 29355962
TI - Alternative vascular constructs of lymph node flap transfer.
AB - Vascularized lymph node transfer is a quite innovative physiological surgical
procedure for the lymphedema treatment. Although is gaining more popularity due
to its promising results, there are some concerns regarding difficult to harvest
it and the potential risk of iatrogenic lymphedema. Here, we present alternative
vascular constructs of lymph node flap for the treatment of lymphedema, which
provide the benefits of a technically easier dissection and physiological
reconstruction of the damaged lymphatics. Furthermore, we introduce a
classification based on the flap vascular supply including six types of flaps and
we provide the details of the surgical technique.
PMID- 29355963
TI - Evolutionary history of mycorrhizal symbioses and global host plant diversity.
AB - Contents Summary 1108 I. Introduction 1108 II. Mycorrhizal plant diversity at
global and local scales 1108 III. Mycorrhizal evolution in plants: a brief update
1111 IV. Conclusions and perspectives 1114 References 1114 SUMMARY: The majority
of vascular plants are mycorrhizal: 72% are arbuscular mycorrhizal (AM), 2.0% are
ectomycorrhizal (EcM), 1.5% are ericoid mycorrhizal and 10% are orchid
mycorrhizal. Just 8% are completely nonmycorrhizal (NM), whereas 7% have
inconsistent NM-AM associations. Most NM and NM-AM plants are nutritional
specialists (e.g. carnivores and parasites) or habitat specialists (e.g.
hydrophytes and epiphytes). Mycorrhizal associations are consistent in most
families, but there are exceptions with complex roots (e.g. both EcM and AM). We
recognize three waves of mycorrhizal evolution, starting with AM in early land
plants, continuing in the Cretaceous with multiple new NM or EcM linages, ericoid
and orchid mycorrhizas. The third wave, which is recent and ongoing, has resulted
in root complexity linked to rapid plant diversification in biodiversity
hotspots.
PMID- 29355964
TI - Cytokinin signaling: from the ER or from the PM? That is the question!
AB - Content Summary 47 I. Introduction 47 II. Historical outline 48 III. Recent
developments 49 IV. Towards an integrative concept for cytokinin receptor
signaling 54 Acknowledgements 57 References 57 SUMMARY: Cytokinin signaling plays
an important role in plant growth and development, and therefore its molecular
characteristics are under extensive study. One characteristic is the subcellular
localization of cytokinin signal initiation. This localization determines both
the pathway for hormone delivery to the receptor, as well as molecular aspects of
signal transfer to the primary cellular targets. Subcellular sites for the onset
of cytokinin signaling are still uncertain and experimental data are in part
controversial. A few years ago, cytokinin receptors were shown to be localized
predominantly in the membrane of the endoplasmic reticulum (ER) and to possess
some features, such as their pH activity profile, typical for intracellular
proteins. Very recently, new data corroborating the functionality of ER-located
cytokinin receptors were reported. However, other work argued for cytokinin
perception to occur at the plasma membrane (PM). Here, we discuss in detail these
partially conflicting data and present an integrative model for cytokinin
perception and signaling. In our opinion, the prevailing evidence argues for the
ER being the predominant site of cytokinin signal perception but also that signal
initiation at the PM might be relevant in some circumstances as well. The roles
of these pathways in long-distance, paracrine and autocrine cytokinin signaling
are discussed.
PMID- 29355965
TI - In response to socioeconomic disparities and comorbidities, not race, affect
salivary gland malignancy survival outcomes.
PMID- 29355966
TI - Predictors for 30-day readmission after pulmonary resection for lung cancer.
AB - BACKGROUND: The purpose of this study was to assess the rate, cause, and factors
associated with readmissions following pulmonary resection for lung cancer and
their relationship with 90-day mortality. METHODS: A prospective cohort study was
conducted of 379 patients who underwent surgery for lung cancer at the university
hospitals Granada, Spain between 2012 and 2016. RESULTS: The rate of readmissions
within 30 postoperative days was 6.2%. The most common reason for readmission was
subcutaneous emphysema (21.7%), pneumonia (13%), and pleural empyema (8.5%). A
higher probability of requiring urgent readmission was associated with a higher
Charlson index (OR 2.0,95% confidence interval 1.50-2.67, P = 0.001); peripheral
arterial vasculopathy (OR 4.8, 95%CI 1.27-18.85, P = 0.021); a history of stroke
(OR 8.2, 95%CI 1.08-62.37, P = 0.04); postoperative atelectasis (OR 4.7, 95%CI
1.21-18.64, P = 0.026); and air leaks (OR 12.6, 95%CI 4.10-38.91, P = 0.001).The
prediction multivariable model for readmission represents an area under the curve
(ROC) of 0.90. Mortality at 90 postoperative days in the group of readmitted
patients was 13% versus 1.5 for the group of patients who did not require
readmission (P < 0.001). CONCLUSIONS: The factors predictive for readmission can
help design individualized outpatient follow-up plans and programs for the
reduction of readmissions.
PMID- 29355967
TI - Understanding the determinants of adolescent nutrition in Bangladesh.
AB - Evidence on the nutritional status and diets of adolescents in low- and middle
income countries is scant. We characterized the nutritional status (body mass
index Z-scores (BMIZs)) and nutrient intakes of adolescent boys and girls in
rural areas in Bangladesh using the 2011-2012 BIHS data, used regression models
to identify the socioeconomic determinants of these outcomes, and household fixed
effects models to assess whether the gap between boys and girls changed with
women's education and empowerment and household wealth. The adolescents' BMIZ and
adequacy of their nutrient intakes were suboptimal. Gender differences varied by
outcome and were not systematically in favor of boys. Household wealth was
associated with higher BMIZ and probability of adequate energy and micronutrient
intakes. Women's education and empowerment were mostly not associated with the
study outcomes and did not modify gender differences. There is an urgent need to
invest in improving the diets of adolescent boys and girls in Bangladesh. The
limited role of women's education and empowerment in improving adolescent
nutrition suggests that resources may be too constrained. It may also reflect
deeply rooted beliefs about adolescent nutrition and differences between boys and
girls that would need to be addressed to improve their nutrition.
PMID- 29355968
TI - The unfolding landscape of the congenital myasthenic syndromes.
AB - Congenital myasthenic syndromes (CMS) are heterogeneous disorders in which the
safety margin of neuromuscular transmission is impaired by one or more specific
mechanisms. Since the advent of next-generation sequencing methods, the discovery
of novel CMS targets and phenotypes has proceeded at an accelerated rate. Here,
we review the current classification of CMS and describe our findings in five of
these targets identified and investigated in our laboratory in the past 5 years.
Defects in LRP4 hinder synaptic development and maintenance; the defects in PREPL
are predicted to diminish filling of the synaptic vesicle with acetylcholine; and
defects in SNAP25, Munc13-1, and synaptotbrevin-1 impede synaptic vesicle
exocytosis.
PMID- 29355969
TI - Primary liver sarcomas in the modern era: Resection or transplantation?
AB - BACKGROUND AND OBJECTIVES: Primary liver sarcomas (PLS) are rare. Published
series are limited by small numbers of patients. METHODS: We reviewed the
National Cancer Database (2004-2014) for patients who underwent surgical
resection of PLS. RESULTS: Of 237 patients identified, the majority were female
(60.8%), with median age of 52 years. Histologies were: epithelioid
hemangioendothelioma (n = 67), angiosarcoma (n = 64), leiomyosarcoma (n = 33),
embryonal rhabdomyosarcoma (n = 31), carcinosarcoma (n = 16), giant cell sarcoma
(n = 14), spindle cell sarcoma (n = 12). Ninety-seven (40.9%) patients underwent
lobectomies or extended lobectomies, 41 patients (17.3%) underwent
transplantation. Surgical margins were negative in 82.9%. Tumors were well
differentiated in 11.3%. Histology type correlated with outcome with the best
prognosis for epithelioid hemangioendothelioma (OS: not reached, similar for
resection and transplantation) and the worst for angiosarcoma (OS:16.6 mo with
resection; 6 mo with transplantation; P = 0.04). Resections with microscopically
negative margins were associated with improved survival (58.7 vs 11.3 mo for
positive margins; P < 0.001). Chemotherapy and radiation therapy were used in a
minority of patients (32.9% and 4.3% respectively) with no improvement in
outcomes. CONCLUSIONS: Both hepatic resection and liver transplantation can be
associated with long term survival for selected primary liver sarcomas such as
epitheliod hemangioendotheliomas. Histology type and the ability to resect the
tumor with negative margins correlate with outcomes and the decision to operate
should be carefully weighed for subtypes with particularly dismal prognosis such
as angiosarcomas.
PMID- 29355971
TI - Leiomyoma in the nasal cavity of a dog.
AB - A 7-year-old, 34-kg, neutered male Labrador retriever was presented with a 1-year
history of intermittent sneezing with occasional left-sided epistaxis. CT
revealed a mass in the left nasal cavity. Histopathological analysis of
rhinoscopy-guided tissue biopsies was consistent with chronic necrotic and
ulcerative rhinitis. Surgical debridement by ventral rhinotomy was subsequently
performed and histopathological diagnosis was leiomyoma. Complete resolution of
the nasal discharge and reduced sneezing frequency were observed after surgery.
Fourteen months postoperatively, CT detected no regrowth of the mass.
PMID- 29355970
TI - Comparison of open and closed abdomen techniques for the delivery of
intraperitoneal pemetrexed using a murine model.
AB - BACKGROUND AND OBJECTIVES: Pemetrexed is an appealing agent to use for
cytoreductive surgery with hyperthermic intraperitoneal chemotherapy (HIPEC).
However, the optimal method of pemetrexed delivery still remains undefined. Using
a murine model, we compared the use of open and closed abdomen techniques on the
absorption of intraperitoneal (IP) pemetrexed in different compartments. METHODS:
Eleven Sprague-Dawley rats were submitted to a fixed dose of IP pemetrexed (1000
mg/m2 ) at a perfusion temperature of 40 degrees C during 25 min according to two
techniques: open and closed. At the end of perfusion, samples in different
compartments were harvested and the concentrations of pemetrexed were measured by
high performance liquid chromatography. RESULTS: Absorption of IP pemetrexed in
portal and systemic blood was significantly higher using the open compared to the
closed abdomen technique (93.17 vs 52.50 ug/mL, P < 0.001) and (76.26 vs 51.65
ug/mL, P < 0.001), respectively. No difference was found between the two
techniques on the peritoneal tissue concentration of pemetrexed (18.07 vs 19.17
ug/g, P = 0.51). CONCLUSION: Peritoneal absorption of pemetrexed is not modified
by the use of either technique. However, systemic concentrations of pemetrexed
increased using the open technique, suggesting it could increase systemic
toxicity.
PMID- 29355972
TI - High intraspecific genome diversity in the model arbuscular mycorrhizal symbiont
Rhizophagus irregularis.
AB - Arbuscular mycorrhizal fungi (AMF) are known to improve plant fitness through the
establishment of mycorrhizal symbioses. Genetic and phenotypic variations among
closely related AMF isolates can significantly affect plant growth, but the
genomic changes underlying this variability are unclear. To address this issue,
we improved the genome assembly and gene annotation of the model strain
Rhizophagus irregularis DAOM197198, and compared its gene content with five
isolates of R. irregularis sampled in the same field. All isolates harbor
striking genome variations, with large numbers of isolate-specific genes, gene
family expansions, and evidence of interisolate genetic exchange. The observed
variability affects all gene ontology terms and PFAM protein domains, as well as
putative mycorrhiza-induced small secreted effector-like proteins and other
symbiosis differentially expressed genes. High variability is also found in
active transposable elements. Overall, these findings indicate a substantial
divergence in the functioning capacity of isolates harvested from the same field,
and thus their genetic potential for adaptation to biotic and abiotic changes.
Our data also provide a first glimpse into the genome diversity that resides
within natural populations of these symbionts, and open avenues for future
analyses of plant-AMF interactions that link AMF genome variation with plant
phenotype and fitness.
PMID- 29355973
TI - Innervation status in chronic vocal fold paralysis and implications for laryngeal
reinnervation.
AB - OBJECTIVE: Treatment options for symptomatic unilateral vocal fold paralysis
(VFP) include vocal fold augmentation, laryngeal framework surgery, and laryngeal
reinnervation. Laryngeal reinnervation (LR) has been suggested to provide "tone"
to the paralyzed VF. This implies a loss of tone as a result of denervation
without reinnervation. We performed laryngeal electromyography (LEMG) in patients
with chronic VFP to understand the innervation status associated with a
chronically paralyzed vocal fold. STUDY DESIGN: Retrospective review of LEMG data
in adult patients with chronic VFP from January 2009 to December 2014. METHODS:
LEMG was performed at least 6 months after-onset of VFP. Qualitative LEMG,
quantitative LEMG, and adductory synkinesis testing were performed, and the
parameters were collected. RESULTS: Twenty-seven vocal folds were studied (23
unilateral VFP and 2 bilateral VFP). Average age was 59 +/- 17 years. The median
duration from recurrent laryngeal nerve injury to LEMG was 8.5 months (range 6-90
months). The majority of patients, 24 of 27 (89%), had motor unit potentials
during phonation tasks on LEMG, and only 3 of 27 (11%) patients were electrically
silent. Quantitative LEMG showed 287.8 mean turns per second (normal >= 400).
Motor unit configuration was normal in 12 of 27 (44%), polyphasic in 12 of 27
(44%), and absent in the electrically silent patients. Adductory synkinesis was
found in 6 of 20 (30%) patients. CONCLUSION: Chronic vocal fold paralysis is
infrequently associated with absent motor-unit recruitment, indicating some
degree of preserved innervation and/or reinnervation in these patients. LEMG
should be part of the routine workup for chronic VFP prior to consideration of
LR. LEVEL OF EVIDENCE: 4. Laryngoscope, 128:1628-1633, 2018.
PMID- 29355974
TI - The mediating role of coping strategy in the association between family
functioning and nonsuicidal self-injury among Taiwanese adolescents.
AB - OBJECTIVE: Nock's (2009) integrated theoretical model suggests that both
intrapersonal and interpersonal factors contribute to the development of
nonsuicidal self-injury (NSSI). Based on this model, the present study examined
the roles of family functioning and coping strategy in predicting NSSI, as well
as the mediating effect of coping strategy in the relationship between family
functioning and NSSI. Gender differences on the associations of these variables
were also examined. METHOD: A sample of 1,989 secondary school students (52.0%
females) in Taiwan was assessed by self-report measures of perceived family
functioning, coping strategy, and NSSI. RESULTS: Results showed that both family
functioning and avoidance/emotion-focused coping strategy predicted NSSI.
Additionally, the association between family functioning and NSSI was mediated by
avoidance/emotion-focused coping strategy. Gender differences were not found on
the associations among these study variables. CONCLUSIONS: These data provided
evidences that the Nock's (2009) integrated theoretical model may help to explain
how coping strategy mediates the effect of family functioning on NSSI. The
implications of the findings for future research and intervention were discussed.
PMID- 29355975
TI - C-reactive protein as a marker of the surgical stress reduction within an ERAS
protocol (Enhanced Recovery After Surgery) in colorectal surgery: A prospective
cohort study.
AB - BACKGROUND: The aim of this study is to evaluate the effectiveness of an Enhanced
Recovery After Surgery Protocol (ERAS) in relation to reduce the Systemic
Inflammatory Response (SIR) to surgery using C-reactive protein (CRP) in the
first (POD1), second (POD2) and third (POD3) postoperative day. METHODS: We
enrolled 121 patients (ERAS group) that underwent elective colorectal surgery
with ERAS, and compared them with 135 patients (preERAS group) that had undergone
surgery prior to the implementation. We made a univariate analysis to compare the
CRP values in POD1, POD2, and POD3 between preERAS/ERAS group, laparoscopic/open
surgery and the presence or not of Clavien Dindo complications. Multivariable
lineal regression was used to assess if the ERAS had a decreasing effect on the
CRP in POD1, POD2, and POD3, and was adjusted by age, male sex, use of
laparoscopy, and complications. RESULTS: The presence of complications was
independently associated with an increase in CRP values in POD1, POD2, and POD3.
Laparoscopy in POD1 and POD2, and ERAS in POD2 was independently associated with
a decrease in CRP values. CONCLUSION: The analysis shows an increase in SIR
measured as a CRP value in those patients that had complications. The SIR
decreased with laparoscopy in POD1 and POD2 and with ERAS in POD2.
PMID- 29355976
TI - Clinical evidence of prevention strategies for capecitabine-induced hand-foot
syndrome.
AB - Hand-foot syndrome (HFS) is the most common adverse effect of capecitabine
containing chemotherapy. The purpose of this study was to assess the efficacies
of various prevention and treatment strategies for capecitabine-induced HFS.
Searches of the PubMed and Embase databases were performed to identify relevant
studies. The risk ratio (RR) with the corresponding 95% confidence interval (CI)
was used as an effect measure to evaluate the efficacies of these prevention and
treatment strategies. Publication bias was evaluated using Begg's and Egger's
tests. Overall and subgroup analyses were conducted. All statistical analyses
were conducted with Stata software version 12.0. Seventeen eligible studies were
included. Our results indicated that celecoxib was significantly associated with
a lower incidence of grade >=2 capecitabine-induced HFS without heterogeneity (RR
= 0.43, 95% CI = 0.23-0.81, I2 = 0.0%). However, pyridoxine and topical
urea/lactic acid were not effective toward preventing capecitabine-induced grade
1, 2, 3, >=1 or >=2 HFS. Moreover, pyridoxine was not effective in treating
capecitabine-induced HFS. Similar results were obtained by subgroup analysis. Our
results indicate that celecoxib has potential prophylactic efficacy for
capecitabine-induced HFS. However, pyridoxine and topical urea/lactic acid are
not associated with a decrease in the incidence of capecitabine-induced HFS.
PMID- 29355977
TI - Helicobacter pylori infection is associated with favorable outcome in advanced
gastric cancer patients treated with S-1 adjuvant chemotherapy.
AB - BACKGROUND AND OBJECTIVES: Limited information exists regarding beneficial
effects of Helicobacter pylori. To examine the effect in advanced gastric cancer,
we compared survival for patients treated with surgery-only or adjuvant
chemotherapy on the basis of H. pylori infection status. METHODS: A cohort of 491
patients who underwent R0 resection for locally advanced gastric cancer between
2000 and 2009 at 12 institutions in northern Japan was included. H. pylori
infection status, was assessed from paraffin-embedded formalin-fixed samples.
Overall survival (OS) and disease-free survival (DFS) in surgery-only (Surgery)
and adjuvant chemotherapy (S-1) groups were analyzed. A propensity score matching
was employed to correct for confounding factors by indication. RESULTS: H. pylori
infection was positive in 175 patients and negative in 316 patients. H. pylori
positive patients showed significantly better survival than H. pylori-negative
patients in both OS (hazard ratio [HR] 0.593, 95% confidence interval [CI] 0.417
0.843; P = 0.003]) and DFS (HR 0.679, 95%CI 0.492-0.937; P = 0.018). Propensity
score matching further confirmed that S-1 was virtually only effective when
tumors were H. pylori-positive. CONCLUSIONS: The favorable outcome of H. pylori
positive patients implies that the host immune system is modulated by H. pylori
enhancing the chemotherapeutic efficacy.
PMID- 29355978
TI - Perception and duration of pain after office-based vocal fold injection
augmentation.
AB - OBJECTIVES/HYPOTHESIS: In-office laryngology procedures are important in the
treatment of voice and swallowing disorders. Patient tolerance determines which
procedures can be performed without sedation or formal anesthesia. This study
examines pain perception during and after in-office vocal fold injection
augmentation. STUDY DESIGN: Prospective cohort study. METHODS: Patients scheduled
for office-based vocal fold injection augmentation were prospectively enrolled at
an academic voice center. The short-form McGill Pain Questionnaire was
administered before, during, and after the procedure and on postprocedure days 1,
3, and 7. Pre- and postprocedure vital signs were recorded and heart rate was
continuously monitored. Telephone questionnaires were completed on postprocedure
days 1 and 3. RESULTS: Forty-five patients consented to participate in our study
(24 males, mean age 61 years). Most patients experienced mild to moderate pain
with increasing heart rate during the procedure. Pain remained or increased 20
minutes after the procedure and improved but persisted for 1 day. Sensory and
affective discomfort was endorsed by the majority. A minority of patients
experienced bruising and changes in swallowing with diet modification for 3 days
after the procedure. Sixteen percent had discomfort after 1 week. CONCLUSIONS:
This is the first prospective study examining patient perception of pain during
and after in-office injection augmentation using a validated scale and pain
descriptors with extended follow-up. The results may offer guidance for patient
counseling, consent, and treatment to improve tolerance and success. LEVEL OF
EVIDENCE: 4. Laryngoscope, 128:929-934, 2018.
PMID- 29355979
TI - Preoperative coagulation abnormalities as a risk factor for adverse events after
pancreas surgery.
AB - OBJECTIVE: To determine whether elevated INR or PTT values predicted 30-day
postoperative adverse events following elective pancreatectomy. METHODS: The
American college of surgeons national surgical quality improvement program (ACS
NSQIP) database was used to identify 14 747 patients undergoing elective
pancreatectomy from 2005 to 2013. The association of elevated INR or PTT with 30
day postoperative outcomes of morbidity and mortality was examined using
multivariate logistic regression analysis. RESULTS: The overall 30-day mortality
rate increased from 1.8% to 3.3% from the control to the high INR or PTT group (P
= <0.001). An elevated INR/PTT increased the odds for bleeding requiring
transfusion, superficial SSI, sepsis, unplanned intubation or >48 h on a
ventilator, cardiac arrest or myocardial infarction, acute renal failure, return
to the OR, and prolonged length of stay. With the exception of superficial SSI,
multivariate logistic regression models revealed that these same events remained
statistically significant after controlling for potential confounders.
CONCLUSION: Prolonged bleeding times (high INR/PTT) is associated with increased
mortality and adverse outcomes after pancreas surgery. A patient's coagulation
profile may serve as a risk stratification tool to identify higher risk patients
that require more resources.
PMID- 29355980
TI - Branch order regression for modeling brain vasculature.
AB - PURPOSE: Many biological objects, including neuronal dendrites, blood
vasculature, airways, phylogenetic trees, produce tree structured data. Current
methods of analysis either ignore the complex structure of trees or use distance
based methods which limit the scope of multivariate modeling. METHODS: We propose
a branching process model which enables analysis of both the branching structure
and associated properties. Our novel parametrization preserves an important
aspect of tree structure, namely its branch order. The model is amenable to
standard methods of analysis, like generalized linear/additive models. RESULTS:
The model fit the distribution of the observed data quite well when applied to a
collection of 98 brain artery systems. The estimated probability of branching
decreases log linearly with branch order. Likewise, the average diameter of
arteries decreases, while average length increases with branch order. Frontal
arterial branches are on average longer and thinner than those in the back at
equivalent branch orders. A mechanistic arterial branching model based on
Poiseuille's blood flow law, which uses vessel length and diameter information,
fit the observed branching structure significantly better. This model is further
improved by including branch order, suggesting viscoelastic flow impacts
branching in narrower vessels. CONCLUSION: After adjustment for branch order,
brain arterial branching probabilities decreased significantly with age and
length, but increased with diameter. Arteries become thicker and branch less
frequently with increasing age, but the age effect decreases with branch order.
PMID- 29355981
TI - Examination of the skin barrier repair/wound healing process using a living skin
equivalent model and matrix-assisted laser desorption-ionization-mass
spectrometry imaging.
AB - OBJECTIVE: Examination of the skin barrier repair/wound healing process using a
living skin equivalent (LSE) model and matrix-assisted laser
desorption/ionization-mass spectrometry imaging (MALDI-MSI) to identify lipids
directly involved as potential biomarkers. These biomarkers may be used to
determine whether an in vivo wound is going to heal for example if infected.
METHODS: An in vitro LSE model was wounded with a scalpel blade and assessed at
day 4 post-wounding by histology and MALDI-MSI. Samples were sectioned at wound
site and were either formalin-fixed paraffin-embedded (FFPE) for histology or
snapped frozen (FF) for MSI analysis. RESULTS: The combination of using an in
vitro wounded skin model with MSI allowed the identification of lipids involved
in the skin barrier repair/wound healing process. The technique was able to
highlight lipids directly in the wound site and distinguish differences in lipid
distribution between the epidermis and wound site. CONCLUSION: This novel method
of coupling an in vitro LSE with MSI allowed in-depth molecular analysis of the
skin barrier repair/wound healing process. The technique allowed the
identification of lipids directly involved in the skin barrier repair/wound
healing process, indicating these biomarkers may be potentially be used within
the clinic. These biomarkers will help to determine, which stage of the skin
barrier repair/wound healing process the wound is in to provide the best
treatment.
PMID- 29355982
TI - iPad applications that required a range of motor skills promoted motor
coordination in children commencing primary school.
AB - BACKGROUND/AIM: Children are reported to spend less time engaged in outdoor
activity and object-related play than in the past. The increased use and mobility
of technology, and the ease of use of tablet devices are some of the factors that
have contributed to these changes. Concern has been raised that the use of such
screen and surface devices in very young children is reducing their fine motor
skill development. We examined the effectiveness of iPad applications that
required specific motor skills designed to improve fine motor skills. METHOD: We
conducted a two-group non-randomised controlled trial with two pre-primary
classrooms (53 children; 5-6 years) in an Australian co-educational school, using
a pre- and post-test design. The effectiveness of 30 minutes daily use of
specific iPad applications for 9 weeks was compared with a control class.
Children completed the Beery Developmental Test of Visual Motor Integration (VMI)
and observation checklist, the Shore Handwriting Screen, and self-care items from
the Hawaii Early Learning Profile. RESULTS: On post testing, the experimental
group made a statistically and clinically significant improvement on the VMI
motor coordination standard scores with a moderate clinical effect size (P <
0.001; d = 0.67). Children's occupational performance in daily tasks also
improved. CONCLUSION: Preliminary evidence was gained for using the iPad, with
these motor skill-specific applications as an intervention in occupational
therapy practice and as part of at home or school play.
PMID- 29355984
TI - PCR evaluation of selected vector-borne pathogens in dogs with pericardial
effusion.
AB - OBJECTIVES: To investigate evidence for selected vector-borne pathogen infections
in dogs with pericardial effusion living in a Mediterranean area in which several
canine vector-borne diseases are endemic. MATERIALS AND METHODS: Archived EDTA
blood (n=68) and pericardial fluid samples (n=58) from dogs with pericardial
effusion (n=68) were included. Dogs without pericardial effusion examined for
other reasons were included as controls (n=60). Pericardial effusion was
classified as neoplastic in 40 dogs, idiopathic in 23 dogs and of unknown
aetiology in 5 dogs. Real-time PCR was performed for Leishmania infantum,
Ehrlichia/Anaplasma species, Hepatozoon canis, Babesia species, Rickettsia
species and Bartonella species, and sequencing of PCR products from positive
samples was used to confirm species specificity. RESULTS: Vector-borne pathogens
were found in 18 dogs: 16 of 68 dogs with pericardial effusion (23.5%) and two of
60 control dogs (3.3%). Positive dogs demonstrated DNA of Leishmania infantum
(n=7), Anaplasma platys (n=2, one dog coinfected with Leishmania infantum),
Babesia canis (n=5), Babesia gibsoni (n=3) and Hepatozoon canis (n=2). Vector
borne pathogens were more commonly detected among dogs with pericardial effusion
than controls (P=0.001). There was no relationship between aetiology of the
pericardial effusion and evidence of vector-borne pathogens (P=0.932). CLINICAL
SIGNIFICANCE: Vector-borne pathogens are often detected in dogs with pericardial
effusion and require further investigation, especially in dogs with idiopathic
pericardial effusion. PCR can provide additional information about the potential
role of vector-borne pathogens in dogs with pericardial effusion living in
endemic areas.
PMID- 29355983
TI - Early-injection laryngoplasty may lower risk of thyroplasty: A systematic review
and meta-analysis.
AB - OBJECTIVE: To determine whether injection laryngoplasty within 6 months following
the onset of unilateral vocal fold paralysis (UVFP) decreases the rate of
permanent thyroplasty in adults. DATA SOURCES: Search strategies created by a
medical librarian were implemented in multiple online research databases. REVIEW
METHODS: Inclusion and exclusion criteria were designed to capture randomized
clinical trials and cohort studies examining adults with UVFP who received
injection laryngoplasty early in the course of treatment, within 6 months of
onset, or who were observed. The primary outcome was the rate of thyroplasty. The
Newcastle-Ottawa scale was used to assess quality of included cohort studies.
Random effects meta-analysis was used to calculate an overall relative risk (RR).
Heterogeneity was evaluated with the I2 statistic. RESULTS: The search strategy
resulted in 1,177 studies, of which four cohort studies remained for meta
analysis after applying inclusion and exclusion criteria. All studies were rated
as 9 of 9 on the Newcastle-Ottawa scale. Meta-analysis of 275 patients with UVFP
revealed that the overall pooled RR of undergoing thyroplasty in those receiving
an early injection was 0.25 (95% confidence interval 0.14-0.45) compared to
conservative management (late or no injection). The I2 overall was 62.4%.
CONCLUSION: Otolaryngologists should offer injection laryngoplasty to patients
with a diagnosis of UVFP within 6 months of diagnosis (recommendation based on
grade C evidence with a preponderance of benefit over harm). Laryngoscope,
128:935-940, 2018.
PMID- 29355985
TI - Identification of T-cell epitopes from benzylpenicillin conjugated to human serum
albumin and implication in penicillin allergy.
AB - BACKGROUND: There is in vitro evidence that T cells from allergic patients react
to benzylpenicillin-human serum albumin (BP-HSA) bioconjugates. Our group has
recently shown the existence of naive CD4+ T cells recognizing BP-HSA in healthy
donors. However, BP-haptenated peptides from HSA participating in the
immunization of allergic patients have never been identified. The purpose of the
present study is to identify immunodominant BP-haptenated peptides from HSA
involved in immunization of patients to BP and to refine the frequency
calculation of naive CD4+ T cells recognizing BP. METHODS: Co-cultures were
established with CD4+ T cells from non-allergic donors and mature autologous
dendritic cells (DCs) loaded with BP-HSA or BP-haptenated peptides from HSA. The
CD4+ T-cell response specific for BP-HSA or for individual BP-haptenated peptides
was measured using an interferon-gamma (IFN-gamma) ELISpot assay. The frequency
of BP-specific CD4+ T cells was then calculated using the Poisson distribution.
BP-HSA and BP-haptenated peptides recognition by allergic patients was evaluated
on peripheral blood mononuclear cells (PBMCs) using a lymphocyte transformation
test (LTT). RESULTS: Results showed that BP-HSA and BP-haptenated peptides were
recognized by naive T cells from 15/16 and 13/14 tested healthy donors,
respectively. Most donors responded to 3 peptides with BP covalently bound on
lysines 159, 212, and 525. Two of these benzylpenicilloylated peptides (lysines
159 and 525) were also found to induce PBMCs proliferation in patients with
allergic reaction to penicillins. CONCLUSION: This study identifies and
characterizes for the first time the BP-haptenated peptides from HSA involved in
the immunization of patients to penicillins.
PMID- 29355986
TI - The role of negative cognitions, emotion regulation strategies, and attachment
style in complex post-traumatic stress disorder: Implications for new and
existing therapies.
AB - OBJECTIVE: We set out to investigate the association between negative trauma
related cognitions, emotional regulation strategies, and attachment style and
complex post-traumatic stress disorder (CPTSD). As the evidence regarding the
treatment of CPTSD is emerging, investigating psychological factors that are
associated with CPTSD can inform the adaptation or the development of effective
interventions for CPTSD. METHOD: A cross-sectional design was employed. Measures
of CPTSD, negative trauma-related cognitions, emotion regulation strategies, and
attachment style were completed by a British clinical sample of trauma-exposed
patients (N = 171). Logistic regression analysis was used to assess the
predictive utility of these psychological factors on diagnosis of CPTSD as
compared to PTSD. RESULTS: It was found that the most important factor in the
diagnosis of CPTSD was negative trauma-related cognitions about the self,
followed by attachment anxiety, and expressive suppression. CONCLUSIONS:
Targeting negative thoughts and attachment representations while promoting skills
acquisition in emotional regulation hold promise in the treatment of CPTSD.
Further research is required on the development of appropriate models to treat
CPTSD that tackle skills deficit in these areas. PRACTITIONER POINTS: Results
suggest that cognitive-behavioural interventions might be useful for the
treatment of CPTSD. Targeting negative thoughts and attachment representations
while promoting skills acquisition in emotional regulation hold promise in the
treatment of CPTSD.
PMID- 29355987
TI - Staged surgical treatment of extremity lymphedema with dual gastroepiploic
vascularized lymph node transfers followed by suction-assisted lipectomy-A
prospective study.
AB - BACKGROUND: Both physiologic and excisional procedures have been described for
the treatment of lymphedema. However, there exist few reports that combine these
procedures. The objective of this study was to evaluate the effectiveness of
combining vascularized lymph node transfer (VLNT) with suction-assisted lipectomy
(SAL) in a staged manner for the treatment of extremity lymphedema. METHODS:
Patients with unilateral late stage II lymphedema (International Society of
Lymphology), who consented to staged surgical treatment, were evaluated
prospectively. Between 2014 and 2015, 12 female patients with upper (n = 6) or
lower (n = 6) extremity lymphedema completed the treatment protocol. Primary
outcomes evaluated included limb size and number of infectious episodes. In
addition, compression garment usage was analyzed. RESULTS: The overall
circumference reduction rate was on average 37.9% after VLNT and increased to
96.4% after SAL. While all patients had experienced at least one infectious
episode prior to surgical treatment, only one patient did so after VLNT and none
after SAL. All patients were able to eventually discontinue compression therapy.
CONCLUSION: VLNT followed by SAL can allow patients with late Stage II lymphedema
achieve near normal limb size and eradication of infectious episodes. At follow
up, these desirable outcomes were maintained well after discontinuation of
compression therapy.
PMID- 29355988
TI - Variation in practice patterns and outcomes across United Network for Organ
Sharing allocation regions.
AB - BACKGROUND: The number of heart transplants performed is limited by organ
availability and is managed by the United Network for Organ Sharing (UNOS).
Efforts are underway to make organ disbursement more equitable as demand
increases. HYPOTHESIS: Significant variation exists in contemporary patterns of
care, wait times, and outcomes among patients undergoing heart transplantation
across UNOS regions. METHODS: We identified adult patients undergoing first,
single-organ heart transplantation between January 2006 and December 2014 in the
UNOS dataset and compared sociodemographic and clinical profiles, wait times, use
of mechanical circulatory support (MCS), status at time of transplantation, and 1
year survival across UNOS regions. RESULTS: We analyzed 17 096 patients
undergoing heart transplantation. There were no differences in age, sex, renal
function, and peripheral vascular resistance across regions; however, there was 3
fold variation in median wait time (range, 48-166 days) across UNOS regions.
Proportion of patients undergoing transplantation with status 1A ranged from 36%
to 79% across regions (P < 0.01), and percentage of patients hospitalized at time
of transplantation varied from 41% to 98%. There was also marked variation in MCS
and inotrope utilization (28%-57% and 25%-58%, respectively; P < 0.001). Durable
ventricular assist device implantation varied from 20% to 44% (P < 0.001), and
intra-aortic balloon pump utilization ranged from 4% to 18%. CONCLUSIONS: Marked
differences exist in patterns of care across UNOS regions that generally trend
with differences in waitlist time. Novel policy initiatives are required to
address disparities in access to allografts and ensure equitable and efficient
allocation of organs.
PMID- 29355989
TI - Modern separation techniques coupled to high performance mass spectrometry for
glycolipid analysis.
AB - Glycolipids (GLs), involved in biological processes and pathologies, such as
viral, neurodegenerative and oncogenic transformations are in the focus of
research related to method development for structural analysis. This review
highlights modern separation techniques coupled to mass spectrometry (MS) for the
investigation of GLs from various biological matrices. First section is dedicated
to methods, which, although provide the separation in a non-liquid phase, are
able to supply important data on the composition of complex mixtures. While
classical thin layer chromatography (TLC) is useful for MS analyses of the
fractionated samples, ultramodern ion mobility (IMS) characterized by high
reproducibility facilitates to discover minor species and to apply low sample
amounts, in addition to providing conformational separation with isomer
discrimination. Second section highlights the advantages, applications and
limitations of liquid-based separation techniques such as high performance liquid
chromatography (HPLC) and hydrophilic interaction liquid chromatography (HILIC)
in direct or indirect coupling to MS for glycolipidomics surveys. The on- and off
line capillary electrophoresis (CE) MS, offering a remarkable separation
efficiency of GLs is also presented and critically assessed from the technical
and application perspective in the final part of the review.
PMID- 29355991
TI - A functionally personalized boundary condition model to improve estimates of
fractional flow reserve with CT (CT-FFR).
AB - PURPOSE: The purpose of this study is to develop and evaluate a functionally
personalized boundary condition (BC) model for estimating the fractional flow
reserve (FFR) from coronary computed tomography angiography (CCTA) using flow
simulation (CT-FFR). MATERIALS AND METHODS: The CCTA data of 90 subjects with
subsequent invasive FFR in 123 lesions within 21 days (range: 0-83) were
retrospectively collected. We developed a functionally personalized BC model
accounting specifically for the coronary microvascular resistance dependency on
the coronary outlets pressure suggested by several physiological studies. We used
the proposed model to estimate the hemodynamic significance of coronary lesions
with an open-loop physics-based flow simulation. We generated three-dimensional
(3D) coronary tree geometries using automatic software and corrected manually
where required. We evaluated the improvement in CT-FFR estimates achieved using a
functionally personalized BC model over anatomically personalized BC model using
k-fold cross-validation. RESULTS: The functionally personalized BC model slightly
improved CT-FFR specificity in determining hemodynamic significance of lesions
with intermediate diameter stenosis (30%-70%, N = 72), compared to the
anatomically personalized model lesions with invasive FFR measurements as the
reference (sensitivity/specificity: 0.882/0.79 vs 0.882/0.763). For the entire
set of 123 coronary lesions, the functionally personalized BC model improved only
the area under the curve (AUC) but not the sensitivity/specificity in determining
the hemodynamic significance of lesions, compared to the anatomically
personalized model (AUC: 0.884 vs 0.875, sensitivity/specificity: 0.848/0.805).
CONCLUSION: The functionally personalized BC model has the potential to improve
the quality of CT-FFR estimates compared to an anatomically personalized BC
model.
PMID- 29355992
TI - Antithrombotic therapy in peripheral artery disease: A review of the EUCLID trial
results and current ongoing trials.
AB - In addition to risk-factor modification, antithrombotic therapy is the hallmark
of management to reduce cardiovascular ischemic events in patients with
peripheral artery disease (PAD). Currently, the guidelines recommend long-term
antiplatelet therapy with aspirin or clopidogrel in this patient population to
reduce myocardial infarction, stroke, and vascular death. Past outcomes studies
have shown some benefit of ticagrelor, another antiplatelet agent, as compared
with clopidogrel in patients with coronary disease and concomitant PAD. However,
most recently, the Examining Use of Ticagrelor in Peripheral Artery Disease
(EUCLID) trial has shown no additional benefit of ticagrelor over clopidogrel. In
this trial, a minority of patients had concomitant coronary artery disease,
making it unique to previous studies. The EUCLID trial's evidence of neutrality
between clopidogrel and ticagrelor sheds light into the complexity of studying
the PAD population and the continued need to meticulously design trials to
investigate the optimal therapies. The topics that will be discussed in this
review include the role of antiplatelet therapy in the management of patients
with PAD, a review of the EUCLID trial results and the important factors to be
considered in interpreting the surprising results, and promising recent ongoing
clinical trials assessing therapies in the treatment of patients with PAD.
PMID- 29355990
TI - A sticky end for gastrointestinal helminths; the role of the mucus barrier.
AB - Gastrointestinal (GI) nematodes are a group of successful multicellular parasites
that have evolved to coexist within the intestinal niche of multiple species. It
is estimated that over 10% of the world's population are chronically infected by
GI nematodes, making this group of parasitic nematodes a major burden to global
health. Despite the large number of affected individuals, there are few effective
treatments to eradicate these infections. Research into GI nematode infections
has primarily focused on defining the immunological and pathological consequences
on host protection. One important but neglected aspect of host protection is
mucus, and the concept that mucus is just a simple barrier is no longer tenable.
In fact, mucus is a highly regulated and dynamic-secreted matrix, underpinned by
a physical hydrated network of highly glycosylated mucins, which is increasingly
recognized to have a key protective role against GI nematode infections.
Unravelling the complex interplay between mucins, the underlying epithelium and
immune cells during infection are a major challenge and are required to fully
define the protective role of the mucus barrier. This review summarizes the
current state of knowledge on mucins and the mucus barrier during GI nematode
infections, with particular focus on murine models of infection.
PMID- 29355993
TI - Relationships between Army nursing practice environments and patient outcomes.
AB - Favorable nursing practice environments have been associated with lower patient
mortality, failure to rescue, nurse-administered medication errors, infections,
patient complaints, and patient falls. Favorable environments have also been
associated with higher nurse-reported care quality and patient satisfaction in
civilian hospitals. However, limited information exists on the relationship
between favorable nursing practice environments and positive outcomes in military
facilities. Using 4 years of secondary data collected from 45 units in 10 Army
hospitals, generalized estimating equations were used to test the associations
between nurses' scores on the Practice Environment Scale of the Nursing Work
Index (PES-NWI) and patient outcomes of falls with and without injury, medication
administration errors with and without harm, and patient experience. Four
significant associations were found between the PES-NWI subscales and the patient
outcomes under study. The Staffing and Resource Adequacy subscale was
significantly associated with patient falls, the Collegial Nurse Physician
Relations subscale was significantly associated with the rate of nurse
administered medication errors, and the Nursing Foundations for Quality Care and
Collegial Nurse Physician Relations subscales were both significantly associated
with patient experience with nursing care. As in civilian hospitals, favorable
nursing practice environment was associated with improved patient outcomes within
these military nursing units.
PMID- 29355994
TI - Quantitative analysis of sesquiterpenes and comparison of three Curcuma wenyujin
herbal medicines by micro matrix solid phase dispersion coupled with MEEKC.
AB - A simple, efficient and environmental friendly method was proposed for
determining five sesquiterpenoids of Curcuma wenyujin by MSPD extraction coupled
with MEEKC separation. Molecular sieve was applied as a solid support for
extraction of sesquiterpenoids for the first time. Various parameters affecting
extraction and separation efficiency were investigated. The optimized conditions
involved dispersing sample (200 mg) with 200 mg of TS-1 for 150 s and using 1000
MUL of methanol to elute five target analytes. Finally, they were well separated
by using a running buffer containing 1.3% SDS, 5.0% 1-butanol, 0.5% ethyl acetate
and 10% acetonitrile in 10 mM borate buffer at pH 9.0. Consequently, the
developed method was fully validated and successfully applied to determine the
five sesquiterpenoids including curdine, curcumenol, germacrone, furanodiene and
beta-elemene in Curcuma wenyujin origin's Chinese herbal medicines. Furthermore,
hierarchical cluster analysis was performed based on the contents of target
compounds for distinguishing steamed and non-steamed drugs. The present study
provided a promising method for fast investigation and discrimination of chemical
difference in steam & non-steamed Chinese medicines from Curcuma wenyujin origin.
PMID- 29355995
TI - Dysphagia secondary to focal inflammatory myopathy and consequent dorsiflexion of
the tongue in a dog.
AB - A 14-month-old female pitbull terrier mix was presented for evaluation of
dysphagia of 8 months' duration secondary to intermittent dorsiflexion of the
tongue apex. Physical and neurological examinations were unremarkable with the
exception of the dorsiflexed tongue. Serum creatine kinase activity was increased
(703 IU/L, reference interval: 55 to 257 IU/L), and electromyography of the
tongue demonstrated areas of fibrillation potentials. Histopathology of the
tongue showed myopathic changes with excessive variability in myofibre size and
endomysial fibrosis. Cytochemical stains verified mixed mononuclear cells
throughout the endomysium and perimysium consistent with a chronic inflammatory
myopathy. No improvement was reported following prednisone administration;
although the dog was able to prehend kibble, it needed assistance when drinking
water. This is the first report documenting a focal lingual myopathy in a non
corgi breed and highlights the utility of determining creatine kinase activity
and obtaining tongue biopsies when warranted in dysphagic animals.
PMID- 29355996
TI - Lymphatic vessel diameter in female pelvic cancer-related lower extremity
lymphedematous limbs.
AB - BACKGROUND: Lymphaticovenular anastomosis (LVA) has become one of the useful
surgical treatments for compression-refractory lower extremity lymphedema (LEL).
It is important to anastomose larger lymphatic vessels with abundant lymph flows
in LVA surgery. This study aimed to clarify factors associated with lymphatic
vessel diameter. METHODS: One hundred thirty-four LEL patients who underwent pre
operative indocyanine green (ICG) lymphography and LVA from June 2009 to August
2014 in a single institution were included in this retrospective observational
study. Clinical, ICG lymphography, and intraoperative findings were collected
from medical charts. A lymphatic vessel with external diameters of 0.5 mm or
larger was defined as a large lymphatic vessel (LLV). Independent factors
associated with LLV were identified using logistic regression analysis. RESULTS:
Nine hundred sixty-two lymphatic vessels were identified, among which 438 (45.5%)
were LLVs. Independent factors associated with LLV were older age (odds ration
[OR], 1.408; 95% confidence interval [CI], 1.026-1.931; P = 0.034), positive
history of radiation (OR, 1.634; 95%CI 1.228-2.173; P = 0.001), incision site in
the thigh/lower leg compared with in the groin (OR, 1.617/1.685; 95%CI 1.076
2.432/1.148-2.473; P = 0.021/0.008). Inverse associations were observed in S
region/D-region on ICG lymphography compared with L-region (OR, 0.537/0.048;
95%CI, 0.397-0.726/0.006-0.371; P < 0.001/0.004). CONCLUSIONS: D-region on ICG
lymphography had the lowest OR to find LLV, representing that lymphatic vessels
found in D-region on ICG lymphography would be significantly smaller than those
in L-region. In LVA surgery, D-region should be avoided.
PMID- 29355998
TI - Prevalence and disease associations in feline thrombocytopenia: a retrospective
study of 194 cases.
AB - OBJECTIVES: To assess the prevalence of thrombocytopenia in a referral population
of cats in the UK, to identify disease processes associated with thrombocytopenia
and to assess the proportion of thrombocytopenic cats that tested positive for
feline leukaemia virus or feline immunodeficiency virus. MATERIALS AND METHODS:
Retrospective analysis of medical records at a UK referral hospital. Cats were
grouped by mechanism of thrombocytopenia and disease process (where known).
RESULTS: Prevalence of thrombocytopenia was 5.9%. The most common disease
processes associated with thrombocytopenia were haematological or infectious
disease and neoplasia; 11% of thrombocytopenic cats tested were positive for
feline leukaemia virus, which is lower than reported previously. Cats presenting
with unexplained haemorrhage had significantly lower platelet counts than other
thrombocytopenic cats. Primary immune-mediated thrombocytopenia was less commonly
diagnosed than in dogs and associated with the most severe platelet depletion in
this study. CLINICAL SIGNIFICANCE: Thrombocytopenia in cats may be more prevalent
than previously reported and severe thrombocytopenia may be associated with
spontaneous haemorrhage. Severe thrombocytopenia in cats appears less commonly
immune-mediated than in dogs. Thrombocytopenia did not appear to be associated
with retroviral infections.
PMID- 29355997
TI - Effect of body mass index on survival after sudden cardiac arrest.
AB - BACKGROUND: Although elevated body mass index (BMI) is a risk factor for cardiac
disease, patients with elevated BMI have better survival in the context of severe
illness, a phenomenon termed the "obesity paradox." HYPOTHESIS: Higher BMI is
associated with lower mortality in sudden cardiac arrest (SCA) survivors.
METHODS: Data were collected on 1433 post-SCA patients, discharged alive from the
hospitals of the University of Pittsburgh Medical Center between 2002 and 2012.
Of those, 1298 patients with documented BMI during the index hospitalization and
follow-up data constituted the study cohort. RESULTS: In the overall cohort, 30
patients were underweight (BMI <18.5 kg/m2 ), 312 had normal weight (BMI 18.5
24.9 kg/m2 ), 417 were overweight (BMI 25.0-29.9 kg/m2 ), and 539 were obese (BMI
>=30 kg/m2 ). As expected, the prevalence of coronary artery disease, myocardial
infarction, diabetes mellitus, and hypertension increased significantly with
increasing BMI. Over a median follow-up of 3.6 years, 602 (46%) patients died.
Despite higher prevalence of cardiovascular comorbidities in more obese patients,
a higher BMI was associated with lower all-cause mortality on univariate analysis
(hazard ratio: 0.86 per increase by 1 BMI category, 95% confidence interval: 0.78
0.94, P = 0.002) and multivariate analysis after adjusting for unbalanced
baseline comorbidities (hazard ratio: 0.86 per increase by 1 BMI category, 95%
confidence interval: 0.77-0.96, P = 0.009). CONCLUSIONS: Higher BMI is associated
with lower all-cause mortality in survivors of SCA, suggesting that the obesity
paradox applies to the post-arrest population. Further investigation into its
mechanisms may inform the management of post-SCA patients.
PMID- 29355999
TI - Reassessment of cardiovascular parameters and comorbidities in implantable
cardioverter-defibrillator patients at the time of first replacement.
AB - BACKGROUND: Guidelines provide extensive recommendations regarding implantable
cardioverter-defibrillator (ICD) implantation. However, ICD replacement at the
time of battery depletion is rarely studied. HYPOTHESIS: Our objectives were to
identify patients at high-risk of death after ICD replacement, with a
reassessment of changes in risk factors and comorbidities at the time of
replacement, and to determine predictors for subsequent mortality. METHODS:
Patients undergoing ICD replacement for regular battery depletion were selected
from a prospective single-center ICD registry. Both at implant and replacement, 3
demographic parameters, 9 cardiovascular parameters, 5 comorbidities, and 4
laboratory parameters were collected. Cox proportional hazard analyses were used.
RESULTS: We included 308 patients who were predominantly male (86%) with a median
age at ICD replacement of 66 years. Replacement was performed 65 months
(interquartile range, 52-91) after implantation. Median follow-up after
replacement was 41 months, during which 82 patients (27%) died. Multivariable
analysis revealed 4 independent predictors of mortality after ICD replacement:
age/year (hazard ratio [HR]: 1.05, 95% confidence interval [CI]: 1.03-1.08, P =
0.01), worsening heart failure by 1 class (HR: 1.53, 95% CI: 1.15-2.03, P =
0.003), presence of left bundle branch block (HR: 1.98, 95% CI: 1.22-3.23, P =
0.006), and ICD therapy prior to replacement (HR: 2.22, 95% CI: 1.37-3.58, P =
0.001). Incorporated into a dichotomous score, they strongly correlated with
mortality at 5 years after replacement (5% with 0 parameters, 15% with 1
parameter, and 30%-55% with >2 parameters). CONCLUSIONS: Focused reassessment of
selected patient characteristics at the time of ICD replacement correlates with
subsequent mortality and can impact decision making at this point in time.
PMID- 29356000
TI - Generalized reactions during skin testing with clindamycin in drug
hypersensitivity: a report of 3 cases and review of the literature.
AB - BACKGROUND: The diagnostic approach to drug hypersensitivity includes a detailed
medical history, clinical examination, and skin testing and/or oral challenge
with a culprit or alternative drug, depending on the type of reaction and the
suspected drugs. Although skin testing is considered to be rather safe, cutaneous
and systemic, including fatal, reactions have been described. OBJECTIVES: To
report 3 cases with generalized delayed reactions after skin testing with
clindamycin, and to review the existing literature. METHODS: Thorough clinical
examination, blood tests and prick, intradermal and patch tests were performed in
3 patients. RESULTS: All patients experienced generalized maculopapular exanthema
after intradermal and patch testing with clindamycin and amoxicillin in the first
patient, and clindamycin alone in the second and third patient. None of the
patients showed immediate reactions to skin tests, while positive intradermal
reactions after 24 h to amoxicillin and clindamycin were observed in the first
patient, and positive intradermal reactions after 24 h to clindamycin were
observed in the second and third patients. CONCLUSIONS: Skin testing with
clindamycin in the diagnosis of drug hypersensitivity carries some risk of
adverse reactions. A stepwise and individual diagnostic work-up, considering
potential risk factors, and testing in a specialized centre with emergency
equipment available is highly recommended.
PMID- 29356002
TI - Towards a theory of functional magnetic resonance spectroscopy (fMRS): A meta
analysis and discussion of using MRS to measure changes in neurotransmitters in
real time.
AB - Proton magnetic resonance spectroscopy is a powerful tool to investigate
neurochemistry and physiology in vivo. Recently researchers have started to use
MRS to measure neurotransmitter changes related to neural activity, so called
functional MRS (fMRS). Particular interest has been placed on measuring glutamate
changes associated with neural function, but differences are reported in the size
of changes seen. This review discusses fMRS, and includes meta-analyses of the
relative size of glutamate changes seen in fMRS, and the impact experimental
design and stimulus paradigm may have. On average glutamate was found to increase
by 6.97% (+/-1.739%) in response to neural activation. However, factors of
experimental design may have a large impact on the size of these changes. For
example an increase of 4.749% (+/-1.45%) is seen in block studies compared to an
increase of 13.429% (+/-3.59) in studies using event related paradigms. The
stimulus being investigated also seems to play a role with prolonged visual
stimuli showing a small mean increase in glutamate of 2.318% (+/-1.227%) while at
the other extreme, pain stimuli show a mean stimulation effect of 14.458% (+/
3.736%). These differences are discussed with regards to possible physiologic
interpretations, as well experimental design implications.
PMID- 29356001
TI - Early versus delayed invasive strategy for intermediate- and high-risk acute
coronary syndromes managed without P2Y12 receptor inhibitor pretreatment: Design
and rationale of the EARLY randomized trial.
AB - According to recent literature, pretreatment with a P2Y12 ADP receptor antagonist
before coronary angiography appears no longer suitable in non-ST-segment
elevation acute coronary syndrome (NSTE-ACS) due to an unfavorable risk-benefit
ratio. Optimal delay of the invasive strategy in this specific context is
unknown. We hypothesize that without P2Y12 ADP receptor antagonist pretreatment,
a very early invasive strategy may be beneficial. The EARLY trial (Early or
Delayed Revascularization for Intermediate- and High-Risk Non-ST-Segment
Elevation Acute Coronary Syndromes?) is a prospective, multicenter, randomized,
controlled, open-label, 2-parallel-group study that plans to enroll 740 patients.
Patients are eligible if the diagnosis of intermediate- or high-risk NSTE-ACS is
made and an invasive strategy intended. Patients are randomized in a 1:1 ratio.
In the control group, a delayed strategy is adopted, with the coronary
angiography taking place between 12 and 72 hours after randomization. In the
experimental group, a very early invasive strategy is performed within 2 hours. A
loading dose of a P2Y12 ADP receptor antagonist is given at the time of
intervention in both groups. Recruitment began in September 2016 (n = 558
patients as of October 2017). The primary endpoint is the composite of
cardiovascular death and recurrent ischemic events at 1 month. The EARLY trial
aims to demonstrate the superiority of a very early invasive strategy compared
with a delayed strategy in intermediate- and high-risk NSTE-ACS patients managed
without P2Y12 ADP receptor antagonist pretreatment.
PMID- 29356003
TI - Principles of cross-network communication in human resting state fMRI.
AB - Directed signaling among and within the large-scale networks of the human brain
is functionally critical. Recent advances in our understanding of spontaneous
fluctuations of the fMRI BOLD signal have provided strategies to study the
spatial-temporal properties of directed signaling at infra-slow frequencies.
Herein we explore the relationship between two canonical systems of the human
brain, the default mode network (DMN) and the dorsal attention network (DAN)
whose anti-correlated relationship is well known but poorly understood. We find
that within the DMN, activity moves from retrosplenial to prefrontal cortex
whereas in the DAN activity moves from the frontal eye fields to the parietal
cortex. Bi-directional communication between the two networks occurs via their
earliest elements (i.e., from the retrosplenial cortex of the DMN to the frontal
eye fields of the DAN). This framework for network communication appears to
generalize across all networks providing an expanded basis for understanding
human brain function.
PMID- 29356004
TI - Training switching focus with a mobile-application by a patient suffering from
AVH, a case report.
AB - Auditory verbal hallucinations complicate many psychiatric disorders.
Antipsychotic medication is effective in the majority, but a significant minority
experiences high burden from resistant hallucinations. Here, we aim to improve
executive control, in an attempt to decrease burden from hallucinations. We
describe the use of a cognitive trainings app by a young woman with highly
resistant hallucinations. With modest training, a significant decrease in the
duration of hallucinations was reached. Possibilities of this training technique
are discussed.
PMID- 29356005
TI - How to get a left-ear advantage: A technical review of assessing brain asymmetry
with dichotic listening.
AB - The dichotic-listening paradigm with verbal stimuli is a widely employed
behavioral task for the assessment of hemispheric asymmetry for speech and
language processing. Participants with assumed left-hemispheric dominance report
the right-ear stimulus with higher probability than the left-ear stimulus.
However, there is substantial between-subject and trial-to-trial variability
observed in the paradigm, motivating scrutiny of the task set-up and theoretical
models. Here, we give an in-depth discussion of specific features of stimulus
material and experimental parameters, as well as the conditions of
stimulus/response selection, which explain a significant proportion of intra- and
inter-individual variability. Carefully considering these factors should be at
the heart of any experimental planning when using the dichotic-listening paradigm
to achieve an optimal testing situation for measuring laterality and avoid
confounds in between-subject and between-group comparisons.
PMID- 29356006
TI - The functional and structural asymmetries of the superior temporal sulcus.
AB - The superior temporal sulcus (STS) is an anatomical structure that increasingly
interests researchers. This structure appears to receive multisensory input and
is involved in several perceptual and cognitive core functions, such as speech
perception, audiovisual integration, (biological) motion processing and theory of
mind capacities. In addition, the superior temporal sulcus is not only one of the
longest sulci of the brain, but it also shows marked functional and structural
asymmetries, some of which have only been found in humans. To explore the
functional-structural relationships of these asymmetries in more detail, this
study combines functional and structural magnetic resonance imaging. Using a
speech perception task, an audiovisual integration task, and a theory of mind
task, this study again demonstrated an involvement of the STS in these processes,
with an expected strong leftward asymmetry for the speech perception task.
Furthermore, this study confirmed the earlier described, human-specific
asymmetries, namely that the left STS is longer than the right STS and that the
right STS is deeper than the left STS. However, this study did not find any
relationship between these structural asymmetries and the detected brain
activations or their functional asymmetries. This can, on the other hand, give
further support to the notion that the structural asymmetry of the STS is not
directly related to the functional asymmetry of the speech perception and the
language system as a whole, but that it may have other causes and functions.
PMID- 29356007
TI - The research evidence for schizophrenia as a neurodevelopmental disorder.
AB - Schizophrenia is a neurodevelopmental disorder that starts very early. In this
review we describe the empirical evidence for the neurodevelopmental model.
First, by outlining the roots of psychological research that laid the foundation
of the model. Thereafter, describing cognitive dysfunction observed in
schizophrenia, and the course of cognitive functioning in the illness. Then,
research findings that speak for and studies that speak against the view that
schizophrenia is a degenerative process is discussed. We find that there is ample
evidence that cognitive disturbance is a core element in schizophrenia. However,
we have limited understanding of what initiates the abnormal development. This
the paper ends with pointing out some of the factors that may trigger the deviant
neurocognitive development in schizophrenia.
PMID- 29356008
TI - How can genetics help understand the relationship between cognitive dysfunction
and schizophrenia?
AB - Despite the consistent finding that cognitive dysfunction is a core
characteristic of schizophrenia (SCZ), little is known about the underlying
pathophysiology. Recent progress in human genetics, driven by large genome-wide
association studies (GWAS), has provided new data about the genetic architecture
of complex human traits, including cognition and SCZ. Novel analytical tools have
provided unprecedented opportunities to leverage the large amount of information
from GWAS. Here we review the latest findings related to genetic architecture and
risk genes of SCZ and cognitive functions, and recent findings of overlapping
genetic factors. The recent GWAS of SCZ implicate over 100 risk gene loci, each
with a small effect. A similar genetic architecture seems to be present in
cognitive domains, suggesting that these phenotypes are highly polygenic.
Further, GWAS have revealed more than 20 gene loci associated with cognitive
traits, including intelligence, general cognition (g-factor), reaction time and
verbal-numerical reasoning. Several gene loci have been implicated in educational
attainment, a proxy measure of cognitive function. Recently, overlapping gene
loci were found between education and SCZ, and between SCZ and cognitive traits,
suggesting common genetic risk between SCZ and cognitive dysfunction.
Mathematical modeling of GWAS of cognition and SCZ indicate that only a fraction
of the heritability is identified. The evidence suggests a polygenic architecture
for SCZ and cognitive functions, and a large degree of shared genetic risk. This
indicates novel molecular genetic mechanisms and strengthens the notion that SCZ
is more likely a part of the normal distribution and not a separate entity.
PMID- 29356010
TI - A life in academia: My career in brief.
AB - In this article I have summarized some of the main trends and topics of my
research career, spanning a time period of 50 years, from its start as a master
student at the Department of Psychology, University of Uppsala, Sweden to seeing
the end of a long career, now at the University of Bergen, Norway. This journey
has, apart from having been a journey across various disciplines and topics in
experimental psychology, psychophysiology and neuropsychology, functional
neuroimaging and cognitive neuroscience, also been a social class journey for me
personally. I describe my academic career from my arrival as a young student at
the University of Uppsala, Sweden in the late 1960s to my graduation as PhD in
1977 at the age of 29 years, brief postdoc period at the University of
Pennsylvania, USA, and finally professor at the University of Bergen, Norway. The
article focuses on my view of the research and research findings during these
years, including studies of hemispheric asymmetry, dyslexia and language,
dichotic listening, fMRI, and during the last years, studies of auditory
hallucinations in schizophrenia. I have collaborated with numerous people, both
nationally and internationally over the years, far too many to mention in a space
limited overview article. I apologize for this, and wish that I had time and
space to mention all the fantastic colleagues and friends that I have met during
my career. This article is what I recall of dates, places, encounters, etc., and
any errors and misunderstandings are entirely due to my far from perfect memory,
for which I also apologize.
PMID- 29356009
TI - Hallucinations, neuroplasticity, and prediction errors in schizophrenia.
AB - Auditory hallucinations, a hallmark symptom of psychosis, are experienced by most
people with a diagnosis of schizophrenia at some point in their illness. Auditory
hallucinations can be understood as a failure in predictive coding, whereby
abnormalities in sensory/perceptual processing combine with biased cognitive
processes to result in a dampening of normal prediction error signaling. In this
paper, we used a roving mismatch negativity (MMN) paradigm to optimize evaluation
of prediction error signaling and short-term neuroplasticity in 30 people with
schizophrenia (n = 16 with and n = 14 without recent auditory hallucinations) and
20 healthy comparison participants. The recent hallucinations group exhibited an
abnormal roving MMN profile [F(2,27) = 3.98, p = 0.03], significantly reduced
prediction error signaling [t(28) = -2.25, p = 0.03], and a trend for diminished
short-term neuroplasticity [t(28) = 1.80, p = 0.08]. There were no statistically
significant differences between the healthy comparison group and the combined
schizophrenia group on any of the roving MMN indices. These findings are
consistent with a predictive coding account of hallucinations in schizophrenia,
which posits reduced prediction error signaling in those who are prone to
hallucinations. These results also suggest that plasticity-mediated formation and
online updating of predictive coding models may also be disrupted in individuals
with recent hallucinations.
PMID- 29356011
TI - The right-side perceptual bias in aging determined in a laboratory setting and
during a virtual driving task.
AB - Spatial perceptual rightward bias which was originally described in Dichotic
Listening studies seems to be a general phenomenon. This bias is age dependent,
being evident in children with developing executive functions, and emerging again
at older age as a function of aging and the declining executive functions. In the
two studies presented here we compared the performance of young and elderly
adults in spatial divided attention tasks with auditory and visual stimuli when
the stimulus detection performance was measured in separate sessions in a
laboratory setting (Study I), to performance when the same types of stimuli were
mixed with a task in which the subject's primary objective was to drive a car in
a virtual environment (virtual reality; Study II). The aim was to see if the
perceptual bias could be detected and also to look at how it would differ in
these two situations. 90 right-handed subjects (50 young and 40 elderly)
participated in Study I and 84 subjects (64 young and 20 elderly) participated in
Study II. Study I showed the rightward bias to be more evident in the elderly
subjects in both modalities and in more demanding tasks. Study II revealed that
in the triple task the spatial perceptual bias was evident in both modalities for
the elderly participants when the conditions were more demanding. An interesting
finding concerning the right-side perceptual bias was the simultaneous occurrence
of left-side driving errors, i.e. crossing the lane border to the left especially
by the elderly. Both of these biases may reflect the asymmetries of the attention
related neuronal networks.
PMID- 29356012
TI - Brain, mind and behavior: A tribute to Kenneth Hugdahl.
PMID- 29356013
TI - Cognitive control in the prefrontal cortex: A central or distributed executive?
AB - Cognitive control is the foundation for attaining goals by flexible adaptation of
action to changing environmental demands. It has been hypothesized to be
critically dependent upon the prefrontal cortex (PFC). In this mini-review,
evidence for domain-general versus domain-specific cognitive control is examined,
with a particular focus on attention and memory. The reviewed studies examined
different levels of cognitive control in relation to performance and patterns of
brain activity, and a few included direct comparisons of cognitive-control
modulations across cognitive domains. Within domains, increased demands on
cognitive control consistently translated into increased PFC activity, but
limited overlap in recruited PFC regions was observed between domains. It is
concluded that the PFC supports multiple cognitive-control systems that
collectively may be conceived of as a distributed executive.
PMID- 29356014
TI - Impact of carbohydrates on autoinducer-2 secretion of Bifidobacterium longum
subsp. longum BBMN68.
AB - : In this study, the regularity of autoinducer-2 (AI-2) secretion during growth
and the effect of the addition of various carbohydrates on AI-2 secretion in
Bifidobacterium longum subsp. longum BBMN68 were investigated. The results
indicated that the AI-2 concentration reached its highest level (2536.60 nmol l-1
) at the early stationary growth phase, and then decreased to 1263.72 nmol l-1 at
the late stationary growth phase in Bifidobacterium cultures. When the density of
the cultures which mannose, fructose, sucrose and lactose had been added to
reached an OD600 nm of 1.0, the AI-2 concentrations in the cultures were 1953.84,
1637.34, 1200.99 and 1077.60 nmol l-1 , respectively. These concentrations were
all significantly higher than that of the control culture (1031.33 nmol l-1 ).
Similarly, the addition of fructooligosaccharide significantly increased the AI-2
concentrations to 2094.29 nmol l-1 . This study provides the advanced evidence
that certain carbohydrates promote the secretion of AI-2, and that this occurs at
the single cell level and is therefore unaffected by cell density. SIGNIFICANCE
AND IMPACT OF THE STUDY: This study provided the advanced data of the regularity
of autoinducer-2 (AI-2) secretion during growth and the promotion on AI-2
secretion of different added carbohydrates in Bifidobacterium, which may be a new
potential strategy to improve the acid resistance of Bifidobacterium applied in
the food industry.
PMID- 29356015
TI - Acetylcholine receptor antibody-mediated animal models of myasthenia gravis and
the role of complement.
AB - Because of the failure of many promising therapeutics identified in preclinical
evaluation, funding sources have established guidelines for increased rigor in
animal evaluations. The myasthenia gravis (MG) community of scientists has
developed guidelines for preclinical assessment for potential MG treatments.
Here, we provide a focused summary of these recommendations and the role of
complement in disease development in experimental models of MG.
PMID- 29356016
TI - Predictors of arrhythmia recurrence in patients with heart failure undergoing
left atrial ablation for atrial fibrillation.
AB - BACKGROUND: Atrial fibrillation (AF) ablation is increasingly used in patients
with reduced left ventricular ejection fraction (LVEF). The aim of the present
study was to evaluate the long-term results of a single radiofrequency catheter
ablation procedure in heart failure (HF) patients with AF. HYPOTHESIS: We tested
the hypothesis that left atrial ablation is an effective therapeutic modality in
patients with heart failure. METHODS: Our study included HF patients with LVEF
<50% who underwent catheter ablation for AF at our department between January
2010 and March 2017. All patients underwent our institution's protocol for follow
up post-ablation. RESULTS: The study enrolled a total of 38 patients (mean age,
54.1 +/- 12.2 years; 28 [73.7%] males; mean LVEF, 38.2% +/- 6.3%). After a mean
follow-up period of 38.2 months (range, 5-92 months), 28 patients (73.7%) were
free from arrhythmia recurrence. In multivariate analysis, early arrhythmia
recurrence (P = 0.03) and amiodarone antiarrhythmic drug administration (P =
0.003) remained independent predictors of arrhythmia recurrence. CONCLUSIONS: The
main findings of this study are that (1) a single radiofrequency catheter
ablation procedure is an effective and safe modality for AF in patients with
concomitant HF; (2) after a mean 3.3 years of follow-up, 73.7% of HF patients
remained in sinus rhythm; and (3) early arrhythmia recurrence was a significant
predictor of arrhythmia recurrence after the blanking period.
PMID- 29356017
TI - Association between clinical factors and self-underestimation of cardiovascular
risk in subjects submitted to a routine health evaluation.
AB - BACKGROUND: The perception of cardiovascular (CV) risk is essential for adoption
of healthy behaviors. However, subjects underestimate their own risk. HYPOTHESIS:
Clinical characteristics might be associated with self-underestimation of CV
risk. METHODS: This is a retrospective, cross-sectional study of individuals
submitted to routine health evaluation between 2006 and 2012, with calculated
lifetime risk score (LRS) indicating intermediate or high risk for CV disease
(CVD). Self-perception of risk was compared with LRS. Logistic regression
analysis was performed to test the association between clinical characteristics
and subjective underestimation of CV risk. RESULTS: Data from 5863 subjects (age
49.4 +/- 7.1 years; 19.9% female) were collected for analysis. The LRS indicated
an intermediate risk for CVD in 45.7% and a high risk in 54.3% of individuals.
The self-perception of CV risk was underestimated compared with the LRS in 4918
(83.9%) subjects. In the adjusted logistic regression model, age (odds ratio
[OR]: 1.28, 95% confidence interval [CI]: 1.10-1.47 per 10 years, P = 0.001),
smoking (OR: 1.99, 95% CI: 1.40-2.83, P < 0.001), dyslipidemia (OR: 1.21, 95% CI:
1.01-1.46, P = 0.045), physical activity (OR: 1.66, 95% CI: 1.36-2.02, P <
0.001), and use of antihypertensive (OR: 1.49, 95% CI: 1.15-1.92, P = 0.002) and
lipid-lowering medications (OR: 2.13, 95% CI: 1.56-2.91, P < 0.001) were
associated with higher chance of risk underestimation, whereas higher body mass
index (OR: 0.92, 95% CI: 0.90-0.94, P < 0.001), depressive symptoms (OR: 0.46,
95% CI: 0.37-0.57, P < 0.001), and stress (OR: 0.41, 95% CI: 0.33-0.50, P <
0.001) decreased the chance. CONCLUSIONS: Among individuals submitted to routine
medical evaluation, aging, smoking, dyslipidemia, physical activity, and use of
antihypertensive and lipid-lowering medications were associated with higher
chance of CV risk underestimation. Subjects with these characteristics may
benefit from a more careful risk orientation.
PMID- 29356018
TI - The evolving view of coronary artery calcium and cardiovascular disease risk.
AB - Calcification of the coronary artery is a complex pathophysiologic process that
is intimately associated with atherosclerosis. Extensive investigation has
demonstrated the value of identifying and quantifying coronary artery calcium
(CAC) in atherosclerotic cardiovascular disease (CVD) prognostication. However,
over the last several years, an increasing body of evidence has suggested that
CAC has underappreciated aspects that modulate, and at times attenuate, future
CVD risk. The most commonly used measure of CAC, the Agatston unit, effectively
models both higher density and higher area of CAC as risk factors for future CVD
events. Recent findings from the Multi-Ethnic Study of Atherosclerosis (MESA)
have challenged this assumption, demonstrating that higher density of CAC is
protective for coronary heart disease and CVD events. Statins may be associated
with an increase in CAC, an unexpected finding given their clear benefits in the
prevention and treatment of CVD. Studies utilizing intracoronary ultrasound and
coronary computed tomography angiography have demonstrated that calcified
atherosclerotic plaque-as compared with noncalcified or sparsely calcified plaque
is associated with fewer CVD events. These studies lend support to the often
asserted (but as yet unvalidated) view that calcification may play a role in
plaque stabilization. Furthermore, vascular calcification, though a surrogate for
atherosclerotic plaque burden, may also possess identifiable aspects that can
refine CVD risk assessment.
PMID- 29356019
TI - Time-resolved fluorescence (TRF) and diffuse reflectance spectroscopy (DRS) for
margin analysis in breast cancer.
AB - PURPOSE: One of the major problems in breast cancer surgery is defining surgical
margins and establishing complete tumor excision within a single surgical
procedure. The goal of this work is to establish instrumentation that can
differentiate between tumor and normal breast tissue with the potential to be
implemented in vivo during a surgical procedure. METHODS: A time-resolved
fluorescence and reflectance spectroscopy (tr-FRS) system is used to measure
fluorescence intensity and lifetime as well as collect diffuse reflectance (DR)
of breast tissue, which can subsequently be used to extract optical properties
(absorption and reduced scatter coefficient) of the tissue. The tr-FRS data
obtained from patients with Invasive Ductal Carcinoma (IDC) whom have undergone
lumpectomy and mastectomy surgeries is presented. A preliminary study was
conducted to determine the validity of using banked pre-frozen breast tissue
samples to study the fluorescence response and optical properties. Once the
validity was established, the tr-FRS system was used on a data-set of 40 pre
frozen matched pair cases to differentiate between tumor and normal breast
tissue. All measurements have been conducted on excised normal and tumor breast
samples post surgery. RESULTS: Our results showed the process of freezing and
thawing did not cause any significant differences between fresh and pre-frozen
normal or tumor breast tissue. The tr-FRS optical data obtained from 40 banked
matched pairs showed significant differences between normal and tumor breast
tissue. CONCLUSION: The work detailed in the main study showed the tr-FRS system
has the potential to differentiate malignant from normal breast tissue in women
undergoing surgery for known invasive ductal carcinoma. With further work, this
successful outcome may result in the development of an accurate intraoperative
real-time margin assessment system. Lasers Surg. Med. 50:236-245, 2018. (c) 2018
Wiley Periodicals, Inc.
PMID- 29356020
TI - Matrine inhibits the progression of prostate cancer by promoting expression of
GADD45B.
AB - BACKGROUND: Matrine is a naturally occurring alkaloid extracted from the Chinese
herb Sophora flavescens. It has been demonstrated to exhibit antiproliferative
properties, promote apoptosis, and inhibit cell invasion in a number of cancer
cell lines by modulating the NF-kappaB pathway to downregulate the expression of
MMP2 and MM9. It has also been shown to improve the efficacy of chemotherapy when
it is combined with other chemotherapy drugs. However, the therapeutic potential
of matrine for prostate cancer needs to be further studied. METHODS: We analyzed
KEGG pathways of differential gene expression between matrine-treated and
untreated prostate cancer cell lines and identified GADD45B as one of major
target genes of matrine based on its role in apoptosis and prognosis value for
prostate cancer patients in TCGA database. We further analyzed the expression of
GADD45B protein in a tissue microarray and mRNA in TCGA database, and tested the
synergistic impacts of matrine and GADD45B overexpression on proliferation,
apoptosis, migration and invasion of prostate cancer cell DU145. RESULTS: Matrine
promoted the expression of GADD45B, a tumor suppressive gene that is involved in
the regulation of cell cycle, DNA damage repair, cell survival, aging, apoptosis
and other cellular processes through p38/JNK, ROS-GADD45B-p38, or other signal
pathways. Although GADD45B is elevated in prostate cancer tissues, levels of
GADD45B in prostate tumor tissues are reduced at late stage of tumor invasion,
and higher levels of GADD45B predict better survivals of prostate cancer
patients. CONCLUSIONS: Matrine may be used to treat prostate cancer patients to
increase the levels of GADD45B to inhibit tumor invasion and improve patient
survivals.
PMID- 29356021
TI - Evaluation of fidaxomicin usage patterns and outcomes for Clostridium difficile
infection across the United States Veterans Health Administration.
AB - WHAT IS KNOWN AND OBJECTIVE: Fidaxomicin was recently approved for the treatment
of Clostridium difficile infection (CDI). Limited data on its use exist outside
of the phase 3 trials. The purposes of this study were to assess the compliance
with the Veterans Health Administration (VHA) fidaxomicin criteria for use and
describe patient characteristics and outcomes following fidaxomicin treatment for
CDI using real-world data within the VHA system. METHODS: This was a multicentre,
retrospective, observational study including all adult patients who received at
least 1 dose of fidaxomicin at any Veterans Affairs Medical Center. RESULTS AND
DISCUSSION: A total of 880 unique patients received 1098 courses of fidaxomicin,
resulting in an overall usage rate per C. difficile-positive laboratory test of
1.98%. The rate of fidaxomicin courses per 1000 C. difficile-positive diagnostic
tests increased steadily from 2011 through 2015 and plateaued from 2015 to 2016.
Compliance with the VHA criteria for use was low (9.1%). The majority of courses
were given for a first recurrence (25.0%), followed by an initial episode (23.9%)
of CDI. The failure and recurrence rates were 6.8% and 24.4%, respectively. WHAT
IS NEW AND CONCLUSION: Although overall use of fidaxomicin was low, compliance
with the VHA criteria for use was also low, suggesting that the criteria may need
to be revised. Further studies are warranted to clarify the role of fidaxomicin
in clinical practice.
PMID- 29356022
TI - Optical treatment of amblyopia in older children and adults is essential prior to
enrolment in a clinical trial.
AB - PURPOSE: Optical treatment alone can improve visual acuity (VA) in children with
amblyopia, thus clinical trials investigating additional amblyopia therapies
(such as patching or videogames) for children require a preceding optical
treatment phase. Emerging therapies for adult patients are entering clinical
trials. It is unknown whether optical treatment is effective for adults with
amblyopia and whether an optical correction phase is required for trials
involving adults. METHODS: We examined participants who underwent optical
treatment in the Binocular Treatment for Amblyopia using Videogames (BRAVO)
clinical trial (ANZCTR ID: ACTRN12613001004752). Participants were recruited in
three age groups (7 to 12, 13 to 17, or >=18 years), and had unilateral amblyopia
due to anisometropia and/or strabismus, with amblyopic eye VA of 0.30-1.00 logMAR
(6/12 to 6/60, 20/40 to 20/200). Corrective lenses were prescribed based on
cycloplegic refraction to fully correct any anisometropia. VA was assessed using
the electronic visual acuity testing algorithm (e-ETDRS) test and near
stereoacuity was assessed using the Randot Preschool Test. Participants were
assessed every four weeks up to 16 weeks, until either VA was stable or until
amblyopic eye VA improved to better than 0.30 logMAR, rendering the participant
ineligible for the trial. RESULTS: Eighty participants (mean age 24.6 years,
range 7.6-55.5 years) completed four to 16 weeks of optical treatment. A small
but statistically significant mean improvement in amblyopic eye VA of 0.05 logMAR
was observed (S.D. 0.08 logMAR; paired t-test p < 0.0001). Twenty-five
participants (31%) improved by >=1 logMAR line and of these, seven (9%) improved
by >=2 logMAR lines. Stereoacuity improved in 15 participants (19%). Visual
improvements were not associated with age, presence of strabismus, or prior
occlusion treatment. Two adult participants withdrew due to intolerance to
anisometropic correction. Sixteen out of 80 participants (20%) achieved better
than 0.30 logMAR VA in the amblyopic eye after optical treatment. Nine of these
participants attended additional follow-up and four (44%) showed further VA
improvements. CONCLUSIONS: Improvements from optical treatment resulted in one
fifth of participants becoming ineligible for the main clinical trial. Studies
investigating additional amblyopia therapies must include an appropriate optical
treatment only phase and/or parallel treatment group regardless of patient age.
Optical treatment of amblyopia in adult patients warrants further investigation.
PMID- 29356023
TI - Biochemical evaluation of male androgen status: Beyond total testosterone.
PMID- 29356024
TI - Reply.
PMID- 29356025
TI - Identification and therapeutic intervention of coactivated anaplastic lymphoma
kinase, fibroblast growth factor receptor 2, and ephrin type-A receptor 5 kinases
in hepatocellular carcinoma.
AB - : Though kinase inhibitors have been heavily investigated in the clinic to combat
advanced hepatocellular carcinoma (HCC), clinical outcomes have been
disappointing overall, which may be due to the absence of kinase-addicted subsets
in HCC patients. Recently, strategies that simultaneously inhibit multiple
kinases are increasingly appreciated in HCC treatment, yet they are challenged by
the dynamic nature of the kinase networks. This study aims to identify clustered
kinases that may cooperate to drive the malignant growth of HCC. We show that
anaplastic lymphoma kinase, fibroblast growth factor receptor 2, and ephrin type
A receptor 5 are the essential kinases that assemble into a functional cluster to
sustain the viability of HCC cells through downstream protein kinase B-dependent,
extracellular signal-regulated kinase-dependent, and p38-dependent signaling
pathways. Their coactivation is associated with poor prognosis for overall
survival in about 13% of HCC patients. Moreover, their activities are tightly
regulated by heat shock protein 90 (Hsp90). Thereby Combined kinase inhibition or
targeting of heat shock protein 90 led to significant therapeutic responses both
in vitro and in vivo. CONCLUSION: Our findings established a paradigm that
highlights the cooperation of anaplastic lymphoma kinase, fibroblast growth
factor receptor 2, and ephrin type-A receptor 5 kinases in governing the growth
advantage of HCC cells, which might offer a conceptual "combined therapeutic
target" for diagnosis and subsequent intervention in a subgroup of HCC patients.
(Hepatology 2018).
PMID- 29356026
TI - Light-emitting diodes in dermatology: A systematic review of randomized
controlled trials.
AB - OBJECTIVE: In dermatology, patient and physician adoption of light-emitting diode
(LED) medical technology continues to grow as research indicates that LEDs may be
used to treat skin conditions. The goal of this systematic review is to
critically analyze published randomized controlled trials (RCTs) and provide
evidence-based recommendations on the therapeutic uses of LEDs in dermatology
based on published efficacy and safety data. METHODS: A systematic review of the
published literature on the use of LED treatments for skin conditions was
performed on September 13th 2017. RESULTS: Thirty-one original RCTs were suitable
for review. CONCLUSIONS: LEDs represent an emerging modality to alter skin
biology and change the paradigm of managing skin conditions. Acne vulgaris,
herpes simplex and zoster, and acute wound healing received grade of
recommendation B. Other skin conditions received grade of recommendation C or D.
Limitations of some studies include small patient sample sizes (n < 20), absent
blinding, no sham placebo, and varied treatment parameters. Due to few incidences
of adverse events, affordability, and encouraging clinical results, we recommend
that physicians use LEDs in clinical practice and researchers continue to explore
the use of LEDs to treat skin conditions. Lasers Surg. Med. 9999:1-16, 2018. (c)
2018 The Authors. Lasers in Surgery and Medicine Published by Wiley Periodicals,
Inc.
PMID- 29356027
TI - Young children's preference for solitary play: Implications for socio-emotional
and school adjustment.
AB - The purpose of this study was to provide additional psychometric support for the
Preference for Solitary Play Interview (PSPI) and to examine the associations
between self-reported preference for solitary play and indices of adjustment in
early childhood. Participants were N = 340 children attending kindergarten and
grade 1. Children completed the PSPI, and teachers provided assessments of
children's socio-emotional and school adjustment. In support of the validity of
the PSPI, preference for solitary play was positively associated with asocial
behaviours. Further, preference for solitary play displayed an indirect (but not
direct) association with peer exclusion via asocial behaviours. Findings are
discussed in terms of the social and behavioural implications of preference for
solitary play in early childhood. Statement of contribution What is already known
on this subject? Children who spend more time alone are at increased risk of
adjustment difficulties. However, some individuals desire to spend time alone
because of an appreciation for solitude. A preference for solitude is not
associated with negative adjustment in adults and older youth. What does this
study add? This study is among the first to examine self-reported preference for
solitary in early childhood. Preference for solitude may not be related to
emotional or school difficulties in young children. However, a heightened display
of solitary behaviours may still evoke negative responses from peers.
PMID- 29356028
TI - Deep learning for segmentation of brain tumors: Impact of cross-institutional
training and testing.
AB - BACKGROUND AND PURPOSE: Convolutional neural networks (CNNs) are commonly used
for segmentation of brain tumors. In this work, we assess the effect of cross
institutional training on the performance of CNNs. METHODS: We selected 44
glioblastoma (GBM) patients from two institutions in The Cancer Imaging Archive
dataset. The images were manually annotated by outlining each tumor component to
form ground truth. To automatically segment the tumors in each patient, we
trained three CNNs: (a) one using data for patients from the same institution as
the test data, (b) one using data for the patients from the other institution and
(c) one using data for the patients from both of the institutions. The
performance of the trained models was evaluated using Dice similarity
coefficients as well as Average Hausdorff Distance between the ground truth and
automatic segmentations. The 10-fold cross-validation scheme was used to compare
the performance of different approaches. RESULTS: Performance of the model
significantly decreased (P < 0.0001) when it was trained on data from a different
institution (dice coefficients: 0.68 +/- 0.19 and 0.59 +/- 0.19) as compared to
training with data from the same institution (dice coefficients: 0.72 +/- 0.17
and 0.76 +/- 0.12). This trend persisted for segmentation of the entire tumor as
well as its individual components. CONCLUSIONS: There is a very strong effect of
selecting data for training on performance of CNNs in a multi-institutional
setting. Determination of the reasons behind this effect requires additional
comprehensive investigation.
PMID- 29356029
TI - Passive transfer models of myasthenia gravis with muscle-specific kinase
antibodies.
AB - Myasthenia gravis (MG) with antibodies to muscle-specific kinase (MuSK) is
characterized by fluctuating fatigable weakness. In MuSK MG, involvement of
bulbar muscles, neck, and shoulder and respiratory weakness are more prominent
than in acetylcholine receptor (AChR) MG. MuSK autoantibodies are mainly of the
IgG4 subclass, and as such are unable to activate complement, have low affinity
for Fc receptors, and are functionally monovalent. Therefore, the pathogenicity
of IgG4 MuSK autoantibodies was initially questioned. A broad collection of in
vitro active immunization and passive transfer models has been developed that
have shed light on the pathogenicity of MuSK autoantibodies. Passive transfer
studies with purified IgG4 from MuSK MG patients confirmed that IgG4 is
sufficient to reproduce clear clinical, electrophysiological, and histological
signs of myasthenia. In vitro experiments revealed that MuSK IgG4 autoantibodies
preferably bind the first Ig-like domain of MuSK, correlate with disease
severity, and interfere with the association between MuSK and low-density
lipoprotein receptor-related protein 4 and collagen Q. Some patients have
additional IgG1 MuSK autoantibodies, but their role in the disease is unclear.
Altogether, this provides a rationale for epitope-specific or IgG4-specific
treatment strategies for MuSK MG and emphasizes the importance of the development
of different experimental models.
PMID- 29356030
TI - Protein in the Hospital: Gaining Perspective and Moving Forward.
AB - Provision of adequate protein is crucial for optimizing outcomes in hospitalized
patients. However, the methodologies upon which current recommendations are based
have limitations, and little is known about true requirements in any clinical
population. In this tutorial, we aim to give clinicians an understanding of how
current protein recommendations were developed, an appreciation for the
limitations of these recommendations, and an overview of more sophisticated
approaches that can be applied to better define protein requirements. A broader
perspective of the challenges and opportunities in determining clinical protein
requirements can help clinicians think critically about the individualized
nutrition care they provide to their patients with the goal of administering
adequate protein to optimize outcomes.
PMID- 29356031
TI - Are immunoglobulins against the HBsAg still needed in liver transplantation for
hepatitis D?
PMID- 29356033
TI - Gold nanospheres enhanced photothermal therapy in a rat model.
AB - BACKGROUND AND OBJECTIVE: Efficient photothermal conversion of gold nanoparticles
with strong light absorption suggests their wide use as selective photothermal
agents in biomedical fields. The aim of this study is to investigate the use of
gold nanospheres (GNPs) as exogenous visible light absorbers to improve laser
treatment of port-wine stains. MATERIALS AND METHODS: Thiol-terminated
methoxypolyethylene glycol modified GNPs (PEG-GNPs) with peak extinction matching
the visible light wavelength of the laser being used were synthesized. An in
vitro capillary experiment was prepared to investigate the thermal response of
blood vessels with and without injection of 4.54 mg PEG-GNPs in mice prior to
irradiation by a frequency-doubled Nd:YAG laser at a wavelength of 532 nm.
RESULTS: The in vitro results demonstrated that the photocoagulation size in
blood vessels after exposed to laser light increased with the increment of
concentration of PEG-GNPs in blood within a certain range. However, the unwanted
thermal response (i.e., cavitation) occurred when the concentration of PEG-GNPs
in blood was larger than 2.5 mg/ml. The in vivo results suggested that more
obvious blood thermal response can be induced by laser light after injection of
PEG-GNPs. After injection of 4.54 mg PEG-GNPs, laser radiant exposure required
for thread-like constriction of blood vessels decreased from 12.5 to 9.8 J/cm2
with the pulse duration of 10 ms, from 15 to 11.85 J/cm2 with the pulse duration
of 30 ms, respectively. CONCLUSION: This in vitro and in vivo experimental
results show that PEG-GNPs combined with laser light could be a promising
modality to reduce the radiant exposure required for obvious blood thermal
response, thereby providing a potential strategy for improving the laser
treatment of cutaneous vascular lesions. Lasers Surg. Med. (c) 2018 Wiley
Periodicals, Inc.
PMID- 29356034
TI - Rare germline mutations in African American men diagnosed with early-onset
prostate cancer.
AB - BACKGROUND: African Americans have both a higher incidence of prostate cancer and
greater disease-specific mortality compared with non-Hispanic whites.
Historically, the investigation of the contribution of rare genetic variants to
prostate cancer in African American men has been hampered by low participation in
large genetic studies, particularly those focused on early-onset and familial
disease. METHODS: We sequenced 160 genes purported to be involved in carcinogenic
pathways in germline DNA samples collected from 96 African American men diagnosed
with early-onset prostate cancer (<=55 years at diagnosis). REVEL software was
used to determine the pathogenic potential of observed missense variants.
RESULTS: We observed three protein-truncating mutations, one in BRCA2 and two in
BRIP1 in three African American men diagnosed with early-onset prostate cancer.
Furthermore, we observed five rare, mostly private, missense variants among four
genes (BRCA1, BRCA2, PMS2, and ATM) that were predicted to be deleterious and
hence likely pathogenic in our patient sample. CONCLUSIONS: Protein-truncating
mutations in BRCA2 and BRIP1 were discovered in African American men diagnosed
with early-onset prostate cancer. Further study is necessary to determine the
role of rare, missense variants to prostate cancer incidence, and progression in
this group of high-risk men.
PMID- 29356032
TI - Noninvasive, Quantitative Assessment of Liver Fat by MRI-PDFF as an Endpoint in
NASH Trials.
AB - Nonalcoholic fatty liver disease (NAFLD) is currently the most common cause of
chronic liver disease worldwide, and the progressive form of this condition,
nonalcoholic steatohepatitis (NASH), has become one of the leading indications
for liver transplantation. Despite intensive investigations, there are currently
no United States Food and Drug Administration-approved therapies for treating
NASH. A major barrier for drug development in NASH is that treatment response
assessment continues to require liver biopsy, which is invasive and interpreted
subjectively. Therefore, there is a major unmet need for developing noninvasive,
objective, and quantitative biomarkers for diagnosis and assessment of treatment
response. Emerging data support the use of magnetic resonance imaging-derived
proton density fat fraction (MRI-PDFF) as a noninvasive, quantitative, and
accurate measure of liver fat content to assess treatment response in early-phase
NASH trials. In this review, we discuss the role and utility, including potential
sample size reduction, of MRI-PDFF as a quantitative and noninvasive imaging
based biomarker in early-phase NASH trials. Nonalcoholic fatty liver disease
(NAFLD) is currently the most common cause of chronic liver disease worldwide.()
NAFLD can be broadly classified into two categories: nonalcoholic fatty liver,
which has a minimal risk of progression to cirrhosis, and nonalcoholic
steatohepatitis (NASH), the more progressive form of NAFLD, which has a
significantly increased risk of progression to cirrhosis.() Over the past two
decades, NASH-related cirrhosis has become the second leading indication for
liver transplantation in the United States.() For these reasons, pharmacological
therapy for NASH is needed urgently. Despite intensive investigations, there are
currently no therapies for treating NASH that have been approved by the United
States Food and Drug Administration.().
PMID- 29356035
TI - An interesting case of systemic lupus erythematosus in a patient with Moebius
syndrome.
AB - Moebius' syndrome (MS) is characterized by a nonprogressive facial palsy
associated with impairment in eye abduction, which can be uni- or bilateral. Some
authors raise the possibility that patients with MS may suffer from social
stigmatism due to their facial dysmorphism and that constant teasing and bullying
perpetrated by people in the same social circle are adjuvants in the development
of low self-esteem, behavioral problems, and even psychiatric disorders.
Psychological stress, anxiety, and depression are factors contributing to both
development and impairment of autoimmune diseases, such as systemic lupus
erythematosus (SLE). The objective of this work is to report the case of a
patient with MS who developed SLE. In the present case report, we have emphasized
the importance of both clinical dental examination and surgeon-dentist in the
early diagnosis of systemic diseases by considering that these conditions can
affect both syndromic and normoreactive patients.
PMID- 29356036
TI - DNAH6 is a novel candidate gene associated with sperm head anomaly.
AB - Globozoospermia and acephalic spermatozoa are two rare sperm head anomalies
associated with male infertility. Combination of the two phenotypes in the same
patient is extremely rare, so the underlying pathogenesis of this disorder
remains unclear. Here, we report a 35-year-old infertile male, who presented with
30% of sperm-lacked heads and 69% of sperm round-headed or small-headed with neck
thickening in his ejaculate. Subsequent whole-exome sequencing (WES) analysis
identified compound heterozygous variants within the DNAH6 gene. DNAH6 is a
testis-specific-expressed protein that was localised to the neck region in the
spermatozoa of normal control; however, immunofluorescent staining failed to
detect DNAH6 protein in the patient's spermatozoa. Quantitative real-time PCR
analysis also showed the complete absence of DNAH6 mRNA in the patient's
spermatozoa. Moreover, two cycles of in vitro fertilisation (IVF)-assisted
reproduction were carried out, but pregnancy was not achieved after embryo
transfer. Therefore, rare sequence variants in DNAH6 might be susceptibility
risks for human sperm head anomaly.
PMID- 29356037
TI - Placental growth factor: New treatment target bringing hope for hepatopulmonary
syndrome.
PMID- 29356038
TI - EXTENSOR DIGITORUM BREVIS INNERVATED BY THE TIBIAL NERVE ("ALL TIBIAL FOOT"):
ANOMALOUS INNERVATION OR TECHNICAL PITFALL? (A REPLY).
PMID- 29356039
TI - EXTENSOR DIGITORUM BREVIS INNERVATED BY THE TIBIAL NERVE ("ALL TIBIAL FOOT"):
ANOMALOUS INNERVATION OR TECHNICAL PITFALL? (A REPLY).
PMID- 29356040
TI - Basolateral CD147 induces hepatocyte polarity loss by E-cadherin ubiquitination
and degradation in hepatocellular carcinoma progress.
AB - : Hepatocytes are epithelial cells with highly specialized polarity. The disorder
and loss of hepatocyte polarity leads to a weakness of cell adhesion and
connection, the induction of epithelial-mesenchymal transition, and eventually
the occurrence of hepatocellular carcinoma (HCC). Cluster of differentiation 147
(CD147), a tumor-related glycoprotein, promotes epithelial-mesenchymal transition
and the invasion of HCC. However, the function of CD147 in hepatocyte
depolarization is unknown. Here we identified that CD147 was basolaterally
polarized in hepatocyte membrane of liver tissues and HepG2 cells. CD147 not only
promoted transforming growth factor-beta1-mediated hepatocyte polarity loss but
also directly induced endocytosis and down-regulation of E-cadherin which
contributed to hepatocyte depolarization. Overexpression of CD147 induced Src
activation and subsequently recruited ubiquitin ligase Hakai for E-cadherin
ubiquitination and lysosomal degradation, leading to decreases of partitioning
defective 3 expression and beta-catenin nuclear translocation. This signal
transduction was initiated by competitive binding of CD147 with integrin beta1
that interrupted the interaction between the Arg-Gly-Asp motif of fibronectin and
integrin beta1. The specific antibodies targeting integrin alpha5 and beta1
reversed the decrease of E-cadherin and partitioning defective 3 levels induced
by CD147 overexpression. In human liver tissues, CD147 polarity rates
significantly declined from liver cirrhosis (71.4%) to HCC (10.4%). CD147
polarized localization negatively correlated with Child-Pugh scores in human
liver cirrhosis (r = -0.6092, P < 0.0001) and positively correlated with
differentiation grades in HCC (r = 0.2060, P = 0.004). HCC patients with CD147
polarized localization had significantly better overall survival than patients
with CD147 nonpolarity (P = 0.021). CONCLUSION: The ectopic CD147-polarized
distribution on basolateral membrane promotes hepatocyte depolarization by
activation of the CD147-integrin alpha5beta1-E-cadherin ubiquitination
partitioning defective 3 decrease and beta-catenin translocation signaling
cascade, replenishing a molecular pathway in hepatic carcinogenesis. (Hepatology
2018;68:317-332).
PMID- 29356041
TI - Cryptic Emotions and the Emergence of a Metatheory of Mind in Popular Filmmaking.
AB - Hollywood movies can be deeply engaging and easy to understand. To succeed in
this manner, feature-length movies employ many editing techniques with strong
psychological underpinnings. We explore the origins and development of one of
these, the reaction shot. This shot typically shows a single, unspeaking
character with modest facial expression in response to an event or to the
behavior or speech of another character. In a sample of movies from 1940 to 2010,
we show that the prevalence of one type of these shots-which we call the cryptic
reaction shot-has grown dramatically. These shots are designed to enhance
viewers' emotional involvement with characters. They depict a facial gesture that
reflects a slightly negative and slightly aroused emotional state. Their use at
the end of conversations, and typically at the end of scenes, helps to leave
viewers in a state of speculation about what the character is thinking and what
her thoughts may mean for the ongoing narrative.
PMID- 29356042
TI - Does antiplatelet therapy really reduce the risk of hepatocellular carcinoma in
hepatitis B patients with antiviral drugs?
PMID- 29356043
TI - A Noncovalent Binding Strategy to Capture Noble Gases, Hydrogen and Nitrogen.
AB - A molecular design strategy to develop receptor systems for the entrapment of
noble gases, H2 and N2 is described using M06L-D3/6-311++G(d,p)//M06L/6
311++G(d,p) DFT method. These receptors made with two-, three-, four- and five
fluorinated benzene cores, linked with methelene units viz. RI , RII , RIII and
RIV as well as the corresponding non-fluorinated hydrocarbons viz. RIH , RIIH ,
RIIIH and RIVH show a steady and significant increase in binding energy (Eint )
with increase in the number of aromatic rings in the receptor. A stabilizing
"cage effect" is observed in the cyclophane type receptors RIV and RIVH which is
26-48% of total Eint for all except the larger sized Kr, Xe and N2 complexes.
Eint of RIV ...He, RIV ...Ne, RIV ...Ar, RIV ...Kr, RIV ...H2 and RIV ...N2 is
4.89, 7.03, 6.49, 6.19, 8.57 and 8.17 kcal/mol, respectively which is 5- to9-fold
higher than that of hexafluorobenzene. Similarly, compared to benzene, multiple
fold increase in Eint is observed for RIVH receptors with noble gases, H2 and N2
. Fluorination of the aromatic core has no significant impact on Eint (~ +/-0.5
kcal/mol) for most of the systems with a notable exception of the cage receptor
RIV for N2 where fluorination improves Eint by 1.61 kcal/mol. The Eint of the
cage receptors may be projected as one of the highest interaction energy ranges
reported for noble gases, H2 and N2 for a neutral carbon framework. Synthesis of
such systems is promising in the study of molecules in confined environment. (c)
2018 Wiley Periodicals, Inc.
PMID- 29356045
TI - Death and the Self.
AB - It is an old philosophical idea that if the future self is literally different
from the current self, one should be less concerned with the death of the future
self (Parfit, ). This paper examines the relation between attitudes about death
and the self among Hindus, Westerners, and three Buddhist populations (Lay
Tibetan, Lay Bhutanese, and monastic Tibetans). Compared with other groups,
monastic Tibetans gave particularly strong denials of the continuity of self,
across several measures. We predicted that the denial of self would be associated
with a lower fear of death and greater generosity toward others. To our surprise,
we found the opposite. Monastic Tibetan Buddhists showed significantly greater
fear of death than any other group. The monastics were also less generous than
any other group about the prospect of giving up a slightly longer life in order
to extend the life of another.
PMID- 29356044
TI - Expression analysis of HMGB1 in histological samples of malignant pleural
mesothelioma.
AB - AIMS: High mobility group box 1 (HMGB1) is a chromatin structural protein,
expressed ubiquitously in the nuclei of mammalian cells. When transported
extracellularly, it acts as a tumour suppressor and oncogenic protein. In
malignant pleural mesothelioma (MPM), high serum levels of HMGB1 have been
related to a poor prognosis. Conversely, the significance of HMGB1 expression in
MPM tissues is still unclear. METHODS AND RESULTS: Biopsy samples from 170
patients with MPM were assessed by immunohistochemistry and reverse transcription
polymerase chain reaction (RT-PCR) to evaluate HMGB1 protein and gene expression.
The expression level of HMGB1 protein was scored using a semiquantitative system
that sums the intensity (0-3) and the percentage (from 0 to 4) of positively
stained cells in nuclei, cytoplasm and in both. The final score was considered as
high (>3) or low (<3) expression. Gene expression levels were calculated using
the DeltaDeltaCt method. High expression levels of HMGB1 as total (P = 0.0011)
and cytoplasmic score (P = 0.0462) were related to a worse disease-specific
survival (DSS) in the entire cohort and in the clinicopathological subgroups. No
significant correlation was found between HMGB1 gene expression and DSS.
CONCLUSIONS: These findings indicate that HMGB1 may be a useful prognostic
biomarker in MPM when detected by immunohistochemistry. Conversely, as it is also
expressed in normal and reactive mesothelial cells, HMGB1 cannot be considered a
diagnostic biomarker in histological samples of mesothelioma.
PMID- 29356048
TI - Editorial.
PMID- 29356046
TI - A Large-Scale Analysis of Variance in Written Language.
AB - The collection of very large text sources has revolutionized the study of natural
language, leading to the development of several models of language learning and
distributional semantics that extract sophisticated semantic representations of
words based on the statistical redundancies contained within natural language
(e.g., Griffiths, Steyvers, & Tenenbaum, ; Jones & Mewhort, ; Landauer & Dumais,
; Mikolov, Sutskever, Chen, Corrado, & Dean, ). The models treat knowledge as an
interaction of processing mechanisms and the structure of language experience.
But language experience is often treated agnostically. We report a distributional
semantic analysis that shows written language in fiction books varies appreciably
between books from the different genres, books from the same genre, and even
books written by the same author. Given that current theories assume that word
knowledge reflects an interaction between processing mechanisms and the language
environment, the analysis shows the need for the field to engage in a more
deliberate consideration and curation of the corpora used in computational
studies of natural language processing.
PMID- 29356050
TI - Partially linearized external models to active-space coupled-cluster through
connected hextuple excitations.
AB - Partially linearized external models to active-space coupled-cluster through
hextuple excitations, for example, CC{SDtqph}L , CCSD{tqph}L , and CCSD{tqph}hyb,
are implemented and compared with the full active-space CCSDtqph. The
computational scaling of CCSDtqph coincides with that for the standard coupled
cluster singles and doubles (CCSD), yet with a much large prefactor. The
approximate schemes to linearize the external excitations higher than doubles are
significantly cheaper than the full CCSDtqph model. These models are applied to
investigate the bond dissociation energies of diatomic molecules (HF, F2 , CuH,
and CuF), and the potential energy surfaces of the bond dissociation processes of
HF, CuH, H2 O, and C2 H4 . Among the approximate models, CCSD{tqph}hyb provides
very accurate descriptions compared with CCSDtqph for all of the tested systems.
(c) 2018 Wiley Periodicals, Inc.
PMID- 29356052
TI - Increased risk of psoriasis following scabies infection: A nationwide population
based matched-cohort study.
AB - Both scabies and psoriasis are pruritic inflammatory skin diseases. The clinical
manifestations are similar and provocation of psoriasis by mite bite was
reported. The association between scabies and psoriasis was not investigated
before. We conducted this nationwide population-based matched-cohort study to
describe if patients with a diagnosis of scabies have a different risk of
developing new psoriasis. From the National Health Insurance Research Database of
Taiwan, patients with scabies (n = 5137) were identified and matched for age and
sex with non-scabies controls (n = 19 142). We tracked them for a 7-year period
to identify the incidence of psoriasis. One hundred and ninety (0.8%) patients
with newly diagnosed psoriasis were identified; 91 (1.8%) from the scabies group
and 99 (0.5%) from the control group. Patients with scabies had a higher risk of
subsequent psoriasis, with a crude hazard ratio of 3.45 and an adjusted hazard
ratio (aHR) of 3.03 (95% confidence interval, 2.24-4.11). An increased risk for
psoriasis among patients with scabies was observed (aHR, 3.03). Immunopathology
involving the T-helper 17 cell-mediated inflammatory pathway may contribute to
this association. Physicians may consider implementing assessments of psoriatic
symptoms in patients with scabies.
PMID- 29356053
TI - Effects of age and diet on glucose and insulin dynamics in the horse.
AB - BACKGROUND: Age and diet may affect insulin sensitivity (SI) but these factors
have received limited investigation in horses. OBJECTIVES: To measure minimal
model parameters during an insulin-modified frequently sampled intravenous
glucose tolerance test (FSIGTT) after adaptation to a forage only diet (HAY) or
forage supplemented with either starch/sugar-rich (SS) or oil/fibre-rich (FF)
concentrate feeds; and to assess glucose and insulin responses to a standardised
meal challenge (SMC, 4 g/kg BW of SS) after diet adaptation in adult and aged
mares. STUDY DESIGN: Latin square design with eight adult (5-12 years) and nine
aged (>19 years) healthy mares. METHODS: Diets were fed for 6 weeks, and the
FSIGTT and SMC were performed after 31-32 and 41 days on each diet respectively.
Data were analysed by a mixed ANOVA for repeated measures. RESULTS: Acute insulin
response to glucose (AIRg) was greater and SI was lower in aged horses, compared
with adults, regardless of diet. Both AIRg and SI were greater in aged mares
after adaptation to SS, as compared with HAY. Similar trends, although not
statistically significant, were observed after adaptation to SS in adult mares.
Peak insulin concentration and area under the insulin vs. time curve during the
SMC were greater in aged than adult mares with all diets. Furthermore, area under
the glucose vs. time curve was lower after adaptation to SS, when compared with
other diets, in both groups. MAIN LIMITATIONS: Transient weight loss occurred at
the beginning of the study and only one sex was included. Incomplete ingestion of
the SMC by four mares was another limitation. CONCLUSIONS: Insulin responses to
i.v. and enteral nonstructural carbohydrate challenge increase with age in
healthy horses, regardless of diet fed.
PMID- 29356051
TI - Optical coherence tomography angiography of normal skin and inflammatory
dermatologic conditions.
AB - BACKGROUND: In clinical dermatology, the identification of subsurface vascular
and structural features known to be associated with numerous cutaneous
pathologies remains challenging without the use of invasive diagnostic tools.
OBJECTIVE: To present an advanced optical coherence tomography angiography (OCTA)
method to directly visualize capillary-level vascular and structural features
within skin in vivo. METHODS: An advanced OCTA system with a 1310 nm wavelength
was used to image the microvascular and structural features of various skin
conditions. Subjects were enrolled and OCTA imaging was performed with a field of
view of approximately 10 * 10 mm. Skin blood flow was identified using an optical
microangiography (OMAG) algorithm. Depth-resolved microvascular networks and
structural features were derived from segmented volume scans, representing tissue
slabs of 0-132, 132-330, and 330-924 MUm, measured from the surface of the skin.
RESULTS: Subjects with both healthy and pathological conditions, such as benign
skin lesions, psoriasis, chronic graft-versus-host-disease (cGvHD), and
scleroderma, were OCTA scanned. Our OCTA results detailed variations in
vascularization and local anatomical characteristics, for example, depth
dependent vascular, and structural alterations in psoriatic skin, alongside their
resolve over time; vascular density changes and distribution irregularities,
together with corresponding structural depositions in the skin of cGvHD patients;
and vascular abnormalities in the nail folds of a patient with scleroderma.
CONCLUSION: OCTA can image capillary blood flow and structural features within
skin in vivo, which has the potential to provide new insights into the
pathophysiology, as well as dynamic changes of skin diseases, valuable for
diagnoses, and non-invasive monitoring of disease progression and treatment.
Lasers Surg. Med. 50:183-193, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29356054
TI - Japanese case of xeroderma pigmentosum complementation group C with a novel
mutation.
PMID- 29356055
TI - Abnormal locomotor muscle recruitment activity is present in horses with
shivering and Purkinje cell distal axonopathy.
AB - BACKGROUND: Cerebellar Purkinje cell axonal degeneration has been identified in
horses with shivering but its relationship with abnormal hindlimb movement has
not been elucidated. OBJECTIVES: To characterise surface electromyographic (sEMG)
hindlimb muscle activity in horses with shivering, correlate with clinical scores
and examine horses for Purkinje axonal degeneration. STUDY DESIGN: Descriptive
controlled clinical study. METHODS: The hindlimb of seven shivering and six
control draught horses were clinically scored. Biceps femoris (BF), vastus
lateralis (VL), tensor fasciae latae and extensor digitorum longus were recorded
via sEMG during forward/backward walking and trotting. Integrated (iEMG) and peak
EMG activity were compared between groups and correlated with clinical locomotor
exam scores. Sections of the deep cerebellar nuclei (DCN) of six of the seven
shivering horses were examined with calbindin immunohistochemistry. RESULTS: In
control horses, backward walking resembled forward walking (right hindlimb peak
EMG: backward: 47.5 +/- 21.9%, forward: 36.9 +/- 15.7%) but displayed
significantly higher amplitudes during trotting (76.1 +/- 3.4%). However, in
shivering horses, backward walking was significantly different from forward
(backward: 88.5 +/- 21.5%, forward: 49.2 +/- 8.9%), and resembled activity during
trotting (81.4 +/- 4.8%). Specific to backward walking, mean sEMG amplitude fell
outside two standard deviations of mean control sEMG for >=25% of the stride in
the BF for all seven and the VL for six of the seven shivering horses. Locomotor
exam scores were correlated with peak EMG (r = 0.87) and iEMG (r = 0.87).
Calbindin-positive spheroids were present in Purkinje axons in DCN of all
shivering horses examined. MAIN LIMITATIONS: The neuropathological examination
focused specifically on the DCN and, therefore, we cannot fully exclude
additional lesions that may have influenced abnormal sEMG findings in shivering
horses. CONCLUSION: Shivering is characterised by abnormally elevated muscle
recruitment particularly in BF and VL muscles during backward walking and
associated with selective Purkinje cell distal axonal degeneration.
PMID- 29356056
TI - Hypopigmented patches on the buttocks of a 7-year-old boy.
PMID- 29356058
TI - Cyclophilin D deficiency attenuates mitochondrial perturbation and ameliorates
hepatic steatosis.
AB - : Physiological opening of the mitochondrial permeability transition pore (mPTP)
is indispensable for maintaining mitochondrial function and cell homeostasis, but
the role of the mPTP and its initial factor, cyclophilin D (CypD), in hepatic
steatosis is unclear. Here, we demonstrate that excess mPTP opening is mediated
by an increase of CypD expression induced hepatic mitochondrial dysfunction.
Notably, such mitochondrial perturbation occurred before detectable triglyceride
accumulation in the liver of high-fat diet-fed mice. Moreover, either genetic
knockout or pharmacological inhibition of CypD could ameliorate mitochondrial
dysfunction, including excess mPTP opening and stress, and down-regulate the
transcription of sterol regulatory element-binding protein-1c, a key factor of
lipogenesis. In contrast, the hepatic steatosis in adenoviral overexpression of
CypD-infected mice was aggravated relative to the control group. Blocking p38
mitogen-activated protein kinase or liver-specific Ire1alpha knockout could
resist CypD-induced sterol regulatory element-binding protein-1c expression and
steatosis. Importantly, CypD inhibitor applied prior to or after the onset of
triglyceride deposition substantially prevented or ameliorated fatty liver.
CONCLUSION: CypD stimulates mPTP excessive opening, subsequently causing
endoplasmic reticulum stress through p38 mitogen-activated protein kinase
activation, and results in enhanced sterol regulatory element-binding protein-1c
transcription and hepatic steatosis. (Hepatology 2018;68:62-77).
PMID- 29356057
TI - Genetic risk of prostate cancer in Ugandan men.
AB - BACKGROUND: Men of African-ancestry have elevated prostate cancer (PCa) incidence
and mortality compared to men of other racial groups. There is support for a
genetic contribution to this disparity, with evidence of genetic heterogeneity in
the underlying risk alleles between populations. Studies of PCa among African men
may inform the contribution of genetic risk factors to the elevated disease
burden in this population. METHODS: We conducted an association study of >100
previously reported PCa risk alleles among 571 incidence cases and 485 controls
among Uganda men. Unconditional logistic regression was used to test genetic
associations and a polygenic risk score (PRS) was derived to assess the
cumulative effect of the known risk alleles in association with PCa risk. In an
exploratory analysis, we also tested associations of 17 125 421 genotyped and
imputed markers genome-wide in association with PCa risk. RESULTS: Of the 111
known risk loci with a frequency >1%, 75 (68%) had effects that were
directionally consistent with the initial discovery population,14 (13%) of which
were nominally significantly associated with PCa risk at P < 0.05. Compared to
men with average risk (25th -75th percentile in PRS distribution), Ugandan men in
the top 10% of the PRS, constructed of alleles outside of 8q24, had a 2.9-fold
(95%CI: 1.75, 4.97) risk of developing PCa; risk for the top 10% increased to
4.86 (95%CI: 2.70, 8.76) with the inclusion of risk alleles at 8q24. In genome
wide association testing, the strongest associations were noted with known risk
alleles located in the 8q24 region, including rs72725854 (OR = 3.37, P = 2.14 *
10-11 ) that is limited to populations of African ancestry (6% frequency).
CONCLUSIONS: The ~100 known PCa risk variants were shown to effectively stratify
PCa risk in Ugandan men, with 10% of men having a >4-fold increase in risk. The
8q24 risk region was also found to be a major contributor to PCa risk in Ugandan
men, with the African ancestry-specific risk variant rs72725854 estimated to
account for 12% of PCa in this population.
PMID- 29356059
TI - Signs and symptoms that distinguish detrusor underactivity from mixed detrusor
underactivity and bladder outlet obstruction in male patients.
AB - AIMS: This study aimed to identify signs and symptoms which show differences
between men with detrusor underactivity (DU) compared to those with both DU and
bladder outlet obstruction (BOO). METHODS: One thousand six hundred and twelve
urodynamic tests on male patients were analyzed retrospectively. Signs and
symptoms which showed a statistically significant difference between patients
with DU alone and patients with both DU+BOO were identified. RESULTS: In the DU
only group, considering only patients without a history of bladder outlet
surgery, the number of daytime micturitions was lower, maximum voided volume on
the bladder diary was higher, and slow stream was reported less often, whereas
urinary tract infections were reported more often than for DU+BOO males. The
average urine flow rate and abdominal pressure at maximum flow were greater in
the DU males, compared to the DU+BOO males. CONCLUSIONS: These data suggest that
by combining symptoms, medical history and signs, that could be measured without
the need for invasive urodynamics, it may be possible to identify men with DU in
a non-invasive way. By doing so, men with DU could be separated from men with
both DU+BOO, with sufficient specificity to allow the use of any new non-surgical
treatment modalities, such as new and effective medical therapy.
PMID- 29356060
TI - Utilizing transesophageal echocardiography for placement of pulmonary artery
catheters.
AB - OBJECTIVE: Pulmonary artery catheters (PACs) have routinely been positioned by
wedging into the pulmonary artery before pulling back 1-2 centimeters or
advancing the PAC several centimeters after achieving a pulmonary artery
waveform. A rare, major complication is pulmonary artery rupture. This study
presents transesophageal echocardiography (TEE) for PAC placement by leaving the
catheter tip at the one o'clock position, upper window short-axis view of the
ascending aorta at the bifurcation of the pulmonary artery (TEE distance).
DESIGN: Prospective observational cohort study. SETTING: Large urban academic
medical center. PARTICIPANTS: 30 males and 30 females undergoing cardiac surgery
requiring cardiopulmonary bypass. INTERVENTION: TEE was utilized to obtain an
upper esophageal short-axis view of the aorta with long-axis view of the main and
right pulmonary arteries. MEASUREMENTS AND RESULTS: The distance between TEE
position and wedge position was recorded along with patients' gender, height, and
weight. A correlation was found between TEE and wedge distances (P < .0001).
There were significant gender differences in TEE distance, with a mean of 43.6 cm
in females and 46.5 cm in males (P = .0004). The mean wedge distance was 47.5 cm
in females and 51.9 cm in males (P < .0001). The differences between distances of
wedge and TEE positions (5.39 cm, males; 3.93 cm, females) were also significant
(P < .0001). CONCLUSIONS: By securing the PAC at the one o'clock TEE position,
physicians are assured of a safety margin of several centimeters. This direct
visualization method for PAC placement may decrease the risk for accidental
wedging intraoperatively.
PMID- 29356061
TI - Cohort study from 11 European countries highlighted differences in the use and
efficacy of hypothermia prevention strategies after very preterm birth.
AB - AIM: This study investigated the different strategies used in 11 European
countries to prevent hypothermia, which continues to affect a large proportion of
preterm births in the region. METHODS: We examined the association between the
reported use of hypothermia prevention strategies in delivery rooms and body
temperatures on admission to neonatal intensive care units (NICUs) in 5861
infants born at 22 + 0 to 31 +6 weeks of gestation. The use of plastic bags,
wraps, caps, exothermic heat and mattresses was investigated. RESULTS: The
proportion of infants born in units that systematically used one or more
hypothermia prevention strategies was 88.2% and 50.9% of those infants were
hypothermic on admission to NICUs. Of the 9.6% born in units without systematic
hypothermia prevention, 73.2% were hypothermic. Only 2.2% of infants were born in
units with no reported prevention strategies. Lower gestational age increased the
probability of hypothermia. No significant differences were found between the
various hypothermia prevention strategies. Hyperthermia was seen in 4.8% of all
admitted infants. CONCLUSION: Very preterm infants had lower risks of hypothermia
on NICU admission if the unit used systematic prevention strategies. All the
strategies had similar effects, possibly due to implementation rather than a
strategy's specific efficacy.
PMID- 29356062
TI - Mouldable, thermoplastic, glue-on frog-supportive shoes change hoof kinetics in
normal and obese Shetland ponies.
AB - BACKGROUND: Obesity and hyperinsulinaemia are frequently encountered in the
equine population and risk factors for the development of laminitis. There are
many options for hoof support that claim a beneficial effect, but often the
scientific evidence is scarce. OBJECTIVES: To quantify the effect of frog
supportive shoes on hoof kinetics in normal and obese ponies. STUDY DESIGN:
Controlled in vivo trial. METHODS: Ten Shetland mares (n = 10) with a normal (n =
5) or obese (n = 5) body condition were led over a dynamically calibrated
pressure plate before (T0), immediately after (T1) and 72 h (T2) after
application of the shoes. The following locomotor variables were measured: stance
duration (StDur), vertical impulse (VI), peak vertical force (PVF), time to PVF
and time from PVF to lift off. The hoof print was divided into a toe and heel
region and the StDur toe-heel index was calculated. The toe-heel hoof balance
curves of the vertical force were plotted throughout the stance phase. RESULTS:
The VI and PVF increased significantly 72 h after application of the shoes, when
compared with T0 and T1. The StDur toe-heel index and toe-heel balance curves
were significantly different between the normal and obese ponies. These variables
became more comparable between the groups after application of the frog
supportive shoes. MAIN LIMITATIONS: It would have been interesting to measure the
effect of the shoe in patients with acute laminitis. However, this would have had
major welfare implications. CONCLUSIONS: The obese ponies moved more carefully
than the normal group, demonstrated by a decreased loading of the toe area. The
data illustrate that the ponies became more comfortable 72 h after application of
the shoes, with a pronounced effect in the obese group. Thus, these results
suggest that frog-supportive shoes could be beneficial, especially for obese
ponies.
PMID- 29356063
TI - Development and feasibility assessment of a 3 day electronic bladder diary as an
app for smart-phone.
AB - AIMS: To develop a 3 day bladder diary (BD) as an easy-to-use application for
smart-phone (eDM3d). To test its feasibility and acceptance in a reduced number
of patients. METHODS: An external agency developed the eDM3d following the
structure of the Spanish validated 3 day BD (DM3d(c)), which includes a frequency
volume chart, the assessment of the grade of urgency, the incontinence events and
fluid intake. The eDM3d consisted in a main interface of four buttons ("wake up,"
"go to bed," "urinate," "drink") which had to be clicked to create an event.
Results were automatically transferred to an internet server to obtain an
electronic report. We recruited 25 patients with overactive bladder syndrome or
nocturia and previous experience on paper BD. They were asked to complete the
eDM3d. Finally, a direct question about satisfaction was answered: "If you had to
complete a BD again, would you choose the paper or the app version?" RESULTS:
Three patients (12%) did not complete the eDM3d, 1 patient (4%) completed 2 days
of the eDM3d and did not register volumes of micturition nor fluid intake, 1
patient (4%) completed all 2 days variables and 20 patients (80%) completed all 3
day variables. Regarding satisfaction, 19 patients (86.4%) would choose the app
version, 2 patients (9.1%) would choose a paper version and 1 patient (4.5%)
would choose either indistinctly. CONCLUSIONS: The eDM3d is a useful tool easily
filled in by patients with a high satisfaction rate. Adequate validation of the
eDM3d is required.
PMID- 29356065
TI - Erratum.
PMID- 29356064
TI - Noonan syndrome with multiple lentigines and associated craniosynostosis.
PMID- 29356066
TI - The Morality of Disordered Eating and Recovery in Southern Italy.
AB - Scholars have traced the processes through which moral subjectivities are
constituted in culturally meaningful ways through eating disorders and recovery
practices, demonstrating how subjective meanings of eating disorders and recovery
from them are imbued with moral undertones and become meaningful ways of existing
within specific historical and cultural contexts. Drawing on ethnographic
insights and interviews with young women with disordered eating histories in
southern Italy, we show how suffering from eating disorders and recovery from
them enables women to retool their identities and craft moral selves. We draw
attention to the value of medical anthropology in the care and comprehension of
well-being of girls and women suffering from disordered eating.
PMID- 29356067
TI - Reply from authors to the Letter to the Editor on RE: Futyma et al. use of
uroflow parameters in diagnosing an overactive bladder-Back to the drawing board
and ICS News 613.
PMID- 29356068
TI - Could echocardiography determine whether spontaneous coronary dissection is
occasionally associated with Takotsubo syndrome?
PMID- 29356069
TI - Association of circulating resistin, leptin, adiponectin and visfatin levels with
Behcet disease: a meta-analysis.
AB - BACKGROUND: Behcet disease (BD) is a chronic inflammatory disease. Adipokines are
synthesized in adipose tissue, and have been reported to play important roles in
the pathogenesis of autoimmune and inflammatory diseases, including BD. AIM: To
evaluate the relationship between circulating blood adipokine levels and BD.
METHODS: We conducted a meta-analysis of papers reporting on serum/plasma
resistin, leptin, adiponectin and visfatin levels in patients with BD and in
healthy controls (HCs). We identified 82 relevant studies using electronic and
manual search methods, and selected 16 studies for full-text review based on the
title and abstract. Two of these were later excluded (one was a review, one had
no data), leaving 14 articles that met the inclusion criteria for this meta
analysis. RESULTS: The 14 included studies assessed 637 patients with BD and 520
HCs. Compared with the HCs, the BD group had significantly higher levels of
leptin [standardized mean difference (SMD) = 0.68, 95% CI 0.15-1.21, P = 0.01].
Levels of resistin (SMD = 0.51, 95% CI 0.92-0.918, P = 0.02) and adiponectin (SMD
= 0.31, 95% CI 0.06-0.56, P = 0.02) were significantly higher in the BD group
after adjustment for age, sex and body mass index (BMI), but not without such
adjustment (resistin: (SMD = 0.38, 95% CI -0.18 to 0.93, P = 0.19; adiponectin:
SMD = -0.59, 95% CI -2.23 to 1.06, P = 0.48). A significantly lower visfatin
level was found in the BD group with adjustment (SMD = -1.70, 95% CI -2.14 to
1.25, P < 0.001) but not without adjustment (SMD = 0.31, 95% CI -0.21 to 0.82, P
= 0.24). CONCLUSIONS: Our meta-analysis revealed significantly higher circulating
resistin, leptin and adiponectin levels and lower visfatin levels in patients
with BD than in HCs, indicating that adipokines probably play an important role
in BD pathogenesis.
PMID- 29356070
TI - Mitral leaflet separation to evaluate the severity of mitral stenosis: Validation
of the index by transesophageal three-dimensional echocardiography.
AB - BACKGROUND: Determining severity of mitral stenosis (MS) by planimetry of mitral
valve orifice area (MVA) has been a challenging issue in clinical practice,
especially for less experienced cardiologists. Mitral leaflet separation (MLS)
has shown a good correlation with MVA measurements. However, it has never been
validated against multiplane 3DTEE planimetry (MVA3D ). We aimed to evaluate the
accuracy of MLS index (MLSI2D ) in predicting MS severity. METHODS: We
prospectively enrolled 144 patients with MS who underwent clinically indicated
2DTTE and 3DTEE. MLSI2D was yield by averaging the maximal leaflet tip distance
in diastole, in parasternal long-axis and apical four-chamber views. MVA3D was
used as the reference method. RESULTS: MLSI2D showed an excellent discriminatory
ability between different grades of MS (P < .001). There was a significant
positive correlation between MLSI2D and MVA3D (r = .93, P < .001) irrespective of
concurrent mitral regurgitation (r = .94, P < .001) and/or atrial fibrillation (r
= .92, P < .001). By receiver operating characteristic (ROC) curves, MLSI2D <=
8.6 mm showed 100% sensitivity and 76% specificity for very severe MS. MLSI2D >=
11.2 mm determined progressive MS with 100% sensitivity and 82% specificity. The
study population was then divided into a derivation group and a validation group.
A regression equation for MVA by MLSI2D was derived in first group. Then, the MVA
was calculated by this equation in validation group and was not significantly
different from MVA3D . CONCLUSION: MLSI2D showed an excellent ability to assess
MS severity and correlates well with planimetered MVA measured by 3DTEE.
PMID- 29356071
TI - The anti-inflammatory IFITM genes ameliorate colitis and partially protect from
tumorigenesis by changing immunity and microbiota.
AB - Inflammation plays pivotal roles in different stages of tumor development.
Screening for predisposing genetic abnormalities and understanding the roles
these genes play in the crosstalk between immune and cancer cells will provide
new targets for cancer therapy and prevention. The interferon inducible
transmembrane (IFITM) genes are involved in pathogenesis of the gastro-intestinal
tract. We aimed at delineating the role of IFITM3 in colonic epithelial
homeostasis, inflammation and colitis-associated tumorigenesis using IFITM3
deficient mice. Chemical induction of colitis in IFITM3-deficient mice results in
significantly increased clinical signs of inflammation and induction of invasive
tumorigenesis. Bone marrow transplantation showed that cells of the hematopoietic
system are responsible for colitis deterioration. In these mice, impaired
cytokine expression skewed inflammatory response toward pathogenic Th17 with
reduced expression of the anti-inflammatory cytokine IL10 during the recovery
phase. Intriguingly, mice lacking the entire IFITM locus developed spontaneous
chronic colitis from the age of 14 weeks. Sequencing the 16S rRNA of naive mice
lacking IFITM3 gene, or the entire locus containing five IFITM genes, revealed
these mice had significant bacterial differences from their wild-type
littermates. Our novel results provide strong evidence for the essential role of
IFITM genes in ameliorating colitis and colitis-associated tumorigenesis.
PMID- 29356072
TI - Flash forward: a review of flash glucose monitoring.
AB - The FreeStyle Libre flash glucose monitor became available on prescription
(subject to local health authority approval) in all four nations of the UK from
November 2017, a watershed moment in the history of diabetes care. Calibration
free, the FreeStyle Libre is a disc worn on the arm for 14 days which is designed
largely to replace the recommended 4-10 painful finger-stick blood glucose tests
required each day for the self-management of diabetes. This review discusses
clinical data from randomized and observational studies, considers device
accuracy metrics and deliberates its popularity and the potential challenges that
this new device brings to diabetes care in the UK. In randomized trials,
FreeStyle Libre use is associated with a reduction in hypoglycaemia and, in
observational studies, improvements in HbA1c levels. User satisfaction is high
and adverse events are low. Accuracy of the FreeStyle Libre is comparable to
currently available real-time continuous glucose monitors in adults, children and
during pregnancy; the cost of the FreeStyle Libre is lower. Glucose data can be
visualized in multiple devices and platforms, and summarized in an ambulatory
glucose profile to aid pattern recognition and insulin dose adjustment. There is
a need for appropriate education, of both users and healthcare professionals, to
harness the full benefits. Further randomized studies to assess the long-term
impact on HbA1c , particularly in those with high baseline HbA1c and in specific
age groups, such as adolescents and young adults, are warranted. The potential
impact on complications, is yet to be realized.
PMID- 29356073
TI - National population-based cohort study found that visual-motor integration was
commonly affected in extremely preterm born children at six-and-a-half years.
AB - AIM: This study aimed to explain the relationship between visual-motor
integration (VMI) abilities and extremely preterm (EPT) birth, by exploring the
influence of perinatal variables, cognition, manual dexterity and
ophthalmological outcomes. METHODS: This was part of the population-based
national Extremely Preterm Infant Study in Sweden (EXPRESS) study. We studied 355
children, born at a gestational age of <27 weeks from April 2004 to March 2007,
and 364 term-born controls. At six-and-a-half years of age, we assessed VMI,
cognitive function, motor skills and vision. VMI impairment was classified as <-1
standard deviation (SD). RESULTS: The mean (SD) VMI score was 87 (+/-12) in
preterm children compared to 98 (+/-11) in controls (p < 0.001). VMI impairment
was present in 55% of preterm infants and in 78% of children born at 22-23 weeks.
Male sex and postnatal steroids showed a weak association with poorer visual
motor performance, whereas low manual dexterity and cognitive function showed a
stronger association. CONCLUSION: Poor VMI performance was common in this EXPRESS
cohort of children born EPT. Its strong association to cognition and manual
dexterity confirms that all of these factors need to be taken into account when
evaluating risks in preterm born children.
PMID- 29356074
TI - Diabetes technology: improving care, improving patient-reported outcomes and
preventing complications in young people with Type 1 diabetes.
AB - With the evolution of diabetes technology, those living with Type 1 diabetes are
given a wider arsenal of tools with which to achieve glycaemic control and
improve patient-reported outcomes. Furthermore, the use of these technologies may
help reduce the risk of acute complications, such as severe hypoglycaemia and
diabetic ketoacidosis, as well as long-term macro- and microvascular
complications. In addition, diabetes technology can have a beneficial impact on
psychosocial health by reducing the burden of diabetes. Unfortunately, diabetes
goals are often unmet and people with Type 1 diabetes too frequently experience
acute and long-term complications of this condition, in addition to often having
less than ideal psychosocial outcomes. Increasing realization of the importance
of patient-reported outcomes is leading to diabetes care delivery becoming more
patient-centred. Diabetes technology in the form of medical devices, digital
health and big data analytics have the potential to improve clinical care and
psychosocial support, resulting in lower rates of acute and chronic
complications, decreased burden of diabetes care, and improved quality of life.
PMID- 29356075
TI - Assessment of medication adherence and treatment satisfaction in Japanese
patients with psoriasis of various severities.
AB - Psoriasis is a chronic, relapsing, inflammatory keratotic skin disease. To
elucidate the medication adherence and treatment satisfaction, we performed a
questionnaire survey using the eight-item Morisky Medication Adherence Scale
(MMAS-8) and nine-item Treatment Satisfaction Questionnaire for Medication (TSQM
9) of 163 psoriatic patients who regularly visited hospitals or clinics. To
assess the relationship between the MMAS-8/TSQM-9 outcomes and severity of
psoriasis, two different clinical severity indices were used: the Psoriasis Area
and the Severity Index (PASI) for disease severity and the Psoriasis Disability
Index (PDI) for quality of life (QOL) impairment. The MMAS-8 score for oral
medication was significantly higher than that for topical medication. The oral
and topical MMAS-8 scores were significantly correlated with the PDI score, but
not with the PASI score, indicating that QOL impairment lowered treatment
motivation. All of the TSQM-9 domain scores (effectiveness, convenience and
global satisfaction) were significantly correlated with both the PASI and PDI
scores, suggesting that patients whose skin and QOL conditions were under good
control had high satisfaction with treatment. Patients treated with biologics had
higher satisfaction than those treated with non-biologics.
PMID- 29356077
TI - Static and dynamic pupillometry data of healthy individuals.
AB - BACKGROUND: This study sought to determine normative static and dynamic
pupillometry data in different age groups in a healthy population, and to
investigate the effects of age on pupillometric characteristics. METHODS:
Pupillometry measurements were undertaken on 155 healthy participants using an
automatic quantitative pupillometry system. Static pupillometry measurements were
undertaken; these included scotopic pupil diameter (PD), mesopic PD, low photopic
PD and high photopic PD values. Dynamic pupillometry measurements were
undertaken, including resting diameter, amplitude of pupil contraction, latency
of pupil contraction, duration of pupil contraction, velocity of pupil
contraction, latency of pupil dilation, duration of pupil dilation and velocity
of pupil dilation. RESULTS: Overall, 69 (44.5 per cent) participants were male
and 86 (55.5 per cent) were female, with a mean age of 29.7 +/- 17.8 years.
Neither static nor dynamic pupillometry measurements varied significantly between
males and females. Age was inversely and moderately correlated with each of the
static pupillometric characteristics (p < 0.05). Resting diameter, velocity of
pupil contraction, and velocity of pupil dilation values were inversely and
moderately correlated with age (p < 0.001, r = -0.63; p < 0.001, r = -0.47; and p
< 0.001, r = -0.34, respectively). Latency of pupil contraction was positively
and moderately correlated with age (p = 0.002, r = 0.29). CONCLUSIONS: The
current study presents population-specific normative data on static and dynamic
pupillometry values in different age groups and the effect of age on pupillary
characteristics.
PMID- 29356076
TI - Successful management of steroid-resistant vascular tumors associated with the
Kasabach-Merritt phenomenon using sirolimus.
AB - Vascular tumors associated with Kasabach-Merritt phenomenon (KMP) are life
threatening and the mortality is as high as 10-30%. Steroids are considered the
primary choice for drug therapy. However, there are many steroid-resistant cases.
In the present study, analyzed data are presented to support the use of sirolimus
in clinical practise for the treatment of corticosteroid-resistant vascular
tumors with KMP in eight infants between June 2015 and April 2017 in a single
hospital. The time to initial response was 6.8 +/- 2.7 days. The average
stabilization time for the platelet count was 19.1 +/- 8.5 days. At the time of
publication, the average duration of sirolimus treatment was 14.1 +/- 4.0 months,
and the average time for sirolimus treatment as a single agent was 12.6 +/- 4.2
months. The side-effects were tolerable and included oral ulcer, fever, pain,
skin rash and transient ascension of serum transaminase and cholesterol. Our
study indicated that sirolimus therapy is an effective and safe method for the
treatment of corticosteroid resistant vascular tumors associated with KMP in
infants.
PMID- 29356078
TI - Diabetes and technology in 2030: a utopian or dystopian future?
AB - The ability of an individual living with diabetes to have human-to-human contact
with their healthcare provider is not keeping pace with the number of people
developing diabetes. From a futurist perspective, however, this dichotomy of
diabetes care represents an opportunity for digital healthcare. The focus of
technological innovation is unlikely to be the replacement of the
multidisciplinary diabetes team but rather the provision of meaningful individual
and family support between clinic visits and, on a larger scale, the facilitation
of population health management for diabetes. We can also expect to see new
therapies, including implantable drug delivery systems, automated closed-loop
systems and miniaturized non-invasive glucose monitoring systems. New digital
health technologies will create a 'digital diabetes ecosystem' to enhance rather
than devolve care from humans. Concerns related to data privacy and ownership
will inevitably rise, thus a future for diabetes care relying heavily on
technology is not inevitably utopian. Nevertheless, revolutions in the
development of novel sensors, accumulation of 'big data', and use of artificial
intelligence will provide exciting opportunities for preventing, monitoring and
treating diabetes in the near future.
PMID- 29356079
TI - Molecular characterization of diarrheagenic Escherichia coli pathotypes:
Association of virulent genes, serogroups, and antibiotic resistance among
moderate-to-severe diarrhea patients.
AB - BACKGROUND: Diarrheagenic Escherichia coli (DEC) signifies as an important
etiological agent of moderate-to-severe diarrhea. This study was primarily
focused on molecular identification of DEC pathotypes; their association with
serogroups and estimates of resistance profiles against different antibiotics
regime. METHODS: Five hundred seventy-two stool specimens from diarrhea patients
were investigated for DEC pathotypes. Molecular pathotypes were identified by
amplification of virulence genes associated with distinct pathotypes followed by
sequencing. Diarrhea is a self-limiting disease, however, severity and
persistence of infection suggest antibiotic use. Therefore, AST and MIC were
determined against common antibiotic regimen. Correlations between molecular
pathotypes and serogroups were analyzed by somatic "O" antigen serotyping.
RESULTS: The present findings reveal incidence of DEC as an etiological agent up
to a level of 21% among all diarrheal age groups. DEC infection rate was higher
in children. Enteropathogenic E. coliEPEC, a molecular pathotype of DEC, was
found as a predominant pathotype with highest frequency of 13.7%. Two other
molecular pathotypes enterotoxigenic E. coli (ETEC) and enteroaggregative E. coli
(EAEC) accounted for 5.7% and 1.3%, respectively for all diarrhea incidences.
Serological analysis deciphered somatic antigens O26, O2, and O3 as major
serogroups identified among EPEC, ETEC, and EAEC pathotypes, respectively. All
DEC pathotypes exhibited high levels of antibiotic resistance except for
cotrimoxazole and norfloxacin. CONCLUSION: Comprehensive molecular
characterization of DEC pathotypes, their incidence estimates, and antibiogram
patterns will help in ascertaining better diagnostic and therapeutic measures in
management of diarrheal diseases.
PMID- 29356080
TI - Striving to meet targets for ideal treatment of acute myocardial infarction in
Brazil: Data from the Midwest region.
AB - OBJECTIVES: To evaluate the systematic chain of care for patients with acute ST
elevation myocardial infarction (STEMI) referred for primary angioplasty in a
capital city in Midwestern Brazil. BACKGROUND: Acute myocardial infarction is
recognized as an important cause of morbidity and mortality and as a public
health problem worldwide. Early specialized care is crucial for a good prognosis.
METHODS: All STEMI patients receiving care through the public health system at
two tertiary care centers from March 2012 to June 2014 were retrospectively
analyzed. Symptom onset-to-balloon time and door-to-balloon time were analyzed
and compared with current guideline recommendations. RESULTS: A total of 835
patients were included. Median symptom onset-to-balloon time was 32 h. A total of
783 (94%) patients had had symptoms for more than 12 h and 507 (61%) for more
than 24 h. Only 51 (6%) patients arrived within 12 h of symptom onset and were
treated with primary angioplasty. Among these patients, median door-to-balloon
time was 37 min, in accordance with guideline recommendations. CONCLUSION:
Treatment of STEMI through the public health system in a capital city in
Midwestern Brazil falls short of the recommended guidelines due to failure in the
initial links of the chain of care. This potentially reversible failure has an
important impact on patient outcomes and on health care burden.
PMID- 29356081
TI - When are two interventions the same? Implications for reporting guidelines in
education.
PMID- 29356082
TI - Don't miss the boat: maximise ad hoc teaching with general practice trainees.
PMID- 29356084
TI - You can't put a value on that... Or can you? Economic evaluation in simulation
based medical education.
PMID- 29356085
TI - The struggle to support the transition to medical educator.
PMID- 29356086
TI - Widening access: plant the seeds earlier.
PMID- 29356087
TI - Point-of-care ultrasound and undergraduate medical education: the perils of
learning a new way to see.
PMID- 29356088
TI - Exposure of the developing heart to diabetic environment and early cardiac
assessment: A review.
AB - Hyperglycemia during organogenesis is associated with an increased risk of
congenital cardiac defects (CHDs). The pathophysiology leading to CHDs is not
completely uncovered. However, elevated oxidative stress is considered to be the
primary trigger that causes CHDs in fetuses of diabetic mothers. Maternal
diabetes has been found to increase the risk for all types of CHDs. Diabetes may
also impact the fetal cardiac performance at all gestational ages. Early
detection of CHDs has certain advantages, such as making early decision about
termination of pregnancy, enabling early genetic testing, and early reassurance
if scan is normal. Combined transabdominal and transvaginal approach at 13-14
weeks of gestation is a reasonable strategy to assess fetal heart in diabetic
women. Diagnostic accuracy of early fetal echocardiography has reached to above a
reasonable cutoff when it is done in the late first trimester or early second
trimester in the hands of expert sonographers. However, the literature is less
certain to provide a firm conclusion about functional heart assessment in fetuses
of diabetic mothers.
PMID- 29356089
TI - Adult presentation of ALCAPA: Echo and CT diagnosis.
AB - A healthy 20-year-old male presented with aborted sudden death. Both thoracic
echocardiography and cardiac computed tomographic angiography demonstrated the
characteristic findings of ALCAPA noting a dilated and tortuous right coronary
artery, dilated coronary collateral arteries within the interventricular septum
or along the surface of the heart, and visualization of the left coronary artery
origin from the posterior aspect of the main pulmonary artery. Both imaging
modalities demonstrated all three characteristic findings of this rare coronary
anomaly and served as complementary imaging studies for surgical correction.
PMID- 29356090
TI - Useful information provided by graphic displays of automated cell counter in
hematological malignancies.
AB - BACKGROUND: Automated cell counters have become more and more sophisticated with
passing years. The numerical and graphic data both provide useful clues for
suspecting a diagnosis especially when the workload is very high. AIM: We present
our experience of useful information provided by graphic displays of an automated
cell counter in hematological malignancies in a cancer hospital where a large
number of complete blood count (CBC) requests are received either before or
during chemotherapy. This study was conducted to assess the usefulness of
hematology cell counter, viz. WBC-Diff (WBC differential), WBC/BASO (WBC
basophil) and IMI (immature myeloid information) channel scatter plots, and the
flaggings generated in various hematological malignancies. MATERIAL & METHODS:
The graphic displays have been compiled over a period of 1 year (October 2015
September 2016) from blood samples of various solid and hematological
malignancies (approximately 400 per day) received for routine CBC in the
laboratory. Approximately 50 000 scattergrams have been analyzed during the study
period. The findings were confirmed by peripheral blood smear examination.
RESULTS: The scattergram analysis on XE-2100 is very sensitive as well as
specific for diagnosing acute leukemia, viz. acute myeloid leukemia, acute
lymphoblastic leukemia; chronic myeloproliferative disorders, viz. chronic
myeloid leukemia; and chronic lymphoproliferative disorder especially chronic
lymphocytic leukemia. CONCLUSION: It is suggested that the laboratories using the
hematology analyzers be aware of graphic display patterns in addition to
flaggings generated which provide additional information and give clue toward the
diagnosis even before peripheral smear examination.
PMID- 29356091
TI - Alternatives to animal testing in basic and preclinical research of atopic
dermatitis.
AB - Atopic dermatitis (AD) is a chronic inflammatory skin disease of increasing
prevalence, especially in industrialized countries. Roughly 25% of the children
and 1%-3% of adults are affected. Although significant progress has been made in
the understanding of the pathogenesis of AD, many aspects remain poorly
understood. Moreover, there is a pressing need for improved therapeutic options.
Studies to elucidate the pathophysiological pathways of AD and to identify novel
therapeutic targets over the last few decades have been conducted almost
exclusively in animal models. However, in vitro approaches such as 3D skin
disease models have recently emerged due to an increasing awareness of distinct
interspecies-related differences that hamper the effective translation of results
from animal models to humans. In addition, there is growing political and social
pressure to develop alternatives to animal models according to the 3Rs principle
(reduction, refinement and replacement of animal models).
PMID- 29356092
TI - Reliability of a two-colour chewing gum test to assess masticatory performance in
complete denture wearers.
AB - The aim of this study was to test the reliability of a method to measure the
masticatory performance of complete denture wearers employing a colour-mixing
ability test and assessment by visual and electronic colourimetric analysis. A
sample of 75 subjects was selected from patients who received new conventional
complete dentures. Masticatory tests were performed using a two-colour chewing
gum that was masticated for 5, 10, 20, 30 and 50 chewing cycles, performed in a
random order. The mixing level of the two colours of the chewed gum was assessed
visually by two independent raters based on a 5-point ordinal scale. The
specimens were flattened into a 1-mm-width wafer, scanned and saved as a two
sided digital image. Each pair of images was submitted to an electronic
colourimetric analysis to assess the level of colour mixture, measured by the
circular variance of hue (VOH). Overall inter- and intra-rater agreements in
visual analysis were 64% and 68%, respectively (almost 99% of scores ranged
within +/-1 point), whilst overall weighted kappa was >0.80. A proportional
increase in the level of mixture occurred with increased number of chewing cycles
(P < .001). Similarly, VOH and the visual analysis were highly correlated (r =
.89; P < .001). Bland-Altman plots revealed excellent agreement and extremely low
systematic error between duplicated VOH measures. It was concluded that the two
colour chewing gum test is a reliable method to assess the masticatory
performance in complete denture wearers using both visual and electronic
colourimetric analyses.
PMID- 29356093
TI - A new checkpoint for Natural Killer cell activation.
PMID- 29356094
TI - Beta-catenin promotes macrophage-mediated acute inflammatory response after
myocardial infarction.
AB - Regulatory mechanisms for acute inflammatory responses post myocardial infarction
(MI) have yet to be fully understood. In particular, the mechanisms by which
cardiac macrophages modulate MI-induced myocardial inflammation remains unclear.
In this study, using a mouse MI model, we showed that beta-catenin-mediated
signaling was activated in cardiac macrophages post-MI, especially in Ly-6C
positive proinflammatory macrophages. Using a RAW264.7-based beta-catenin
reporter cell line, we confirmed the presence of active beta-catenin and its
downstream signaling in cardiac macrophages after MI. Moreover, lentivirus
mediated inducible expression of constitutively active beta-catenin revealed that
beta-catenin plays a role in promoting the inflammatory response by RAW264.7
cells. Depletion of endogenous macrophages and adoptive transfer of active beta
catenin-expressing RAW264.7 cells resulted in enhancement of acute myocardial
inflammation in recipient mice after MI, as demonstrated by elevated levels of
lymphocyte infiltrates and increased expression of proinflammatory cytokines.
However, infarct volume, myocardial tissue repair, and left ventricle function
were not influenced by the expression of active beta-catenin in the adoptive
transfer assay. Our research has demonstrated that beta-catenin-mediated
signaling is important for cardiac macrophages to modulate post-MI inflammatory
responses. These findings may be valuable for developing novel therapeutic
strategies for MI.
PMID- 29356095
TI - Familial Congenital Methemoglobinemia in Pomeranian Dogs Caused by a Missense
Variant in the NADH-Cytochrome B5 Reductase Gene.
AB - BACKGROUND: In veterinary medicine, congenital methemoglobinemia associated with
nicotinamide adenine dinucleotide (NADH)-cytochrome b5 reductase (b5R) deficiency
is rare. It has been reported in several breeds of dogs, but little information
is available about its etiology. OBJECTIVES: To analyze the NADH-cytochrome b5
reductase gene, CYB5R3, in a Pomeranian dog family with methemoglobinemia
suspected to be caused by congenital b5R deficiency. ANIMALS: Three Pomeranian
dogs from a family with methemoglobinemia were analyzed. Five healthy beagles and
5 nonrelated Pomeranian dogs without methemoglobinemia were used as controls.
METHODS: Methemoglobin concentration, b5R activity, and reduced glutathione (GSH)
concentration were measured, and a turbidity index was used to evaluate Heinz
body formation. The CYB5R3 genes of the affected dog and healthy dogs were
analyzed by direct sequencing. RESULTS: Methemoglobin concentrations in
erythrocytes of the affected dogs were remarkably higher than those of the
control dogs. The b5R activity of the affected dogs was notably lower than that
of the control dogs. DNA sequencing indicated that this Pomeranian family carried
a CYB5R3 gene missense variant (ATC->CTC at codon 194) that resulted in the
replacement of isoleucine (Ile) by leucine (Leu). CONCLUSIONS AND CLINICAL
IMPORTANCE: This dog family had familial congenital methemoglobinemia caused by
b5R deficiency, which resulted from a nonsynonymous variant in the CYB5R3 gene.
This variation (c.580A>C) led to an amino acid substitution (p.Ile194Leu), and
Ile194 was located in the proximal region of the NADH-binding motif. Our data
suggested that this variant in the canine CYB5R3 gene would affect function of
the b5R in erythrocytes.
PMID- 29356096
TI - Investigation of the Role of Campylobacter Infection in Suspected Acute
Polyradiculoneuritis in Dogs.
AB - BACKGROUND: Acute polyradiculoneuritis (APN) is an immune-mediated peripheral
nerve disorder in dogs that shares many similarities with Guillain-Barre syndrome
(GBS) in humans, in which the bacterial pathogen Campylobacter spp. now is
considered to be a major triggering agent. Little information is available
concerning the relationship between APN and Campylobacter spp. in dogs.
HYPOTHESIS/OBJECTIVES: To estimate the association between Campylobacter spp.
infection and APN. Associations with additional potential risk factors also were
investigated, particularly consumption of raw chicken. ANIMALS: Twenty-seven
client-owned dogs suffering from suspected APN and 47 healthy dogs, client-owned
or owned by staff members. METHODS: Case-control study with incidence density
based sampling. Fecal samples were collected from each enrolled animal to perform
direct culture, DNA extraction, and polymerase chain reaction (PCR) for detection
of Campylobacter spp. In some cases, species identification was performed by
sequence analysis of the amplicon. Data were obtained from the medical records
and owner questionnaires in both groups. RESULTS: In cases in which the fecal
sample was collected within 7 days from onset of clinical signs, APN cases were
9.4 times more likely to be positive for Campylobacter spp compared to control
dogs (P < 0.001). In addition, a significant association was detected between
dogs affected by APN and the consumption of raw chicken (96% of APN cases; 26% of
control dogs). The most common Campylobacter spp. identified was Campylobacter
upsaliensis. CONCLUSIONS AND CLINICAL IMPORTANCE: Raw chicken consumption is a
risk factor in dogs for the development of APN, which potentially is mediated by
infection with Campylobacter spp.
PMID- 29356097
TI - Association of single nucleotide polymorphisms in WNT genes with the risk of
nonsyndromic cleft lip with or without cleft palate.
AB - Nonsyndromic cleft lip with or without cleft palate is a common congenital
deformity worldwide with multifaceted etiology. Interaction of genes and
environmental factors has been indicated to be related with susceptibility to
nonsyndromic cleft lip with or without cleft palate. Some WNT genes which are
involved in craniofacial embryogenesis may play a key role in the pathogenesis of
nonsyndromic cleft lip with or without cleft palate. In the present study, we
aimed to inspect the relationship between WNT3 (rs3809857 and rs9890413), WNT3A
(rs752107 and rs3121310), and WNT10a rs201002930 (c.392 C>T) polymorphisms and
nonsyndromic cleft lip with or without cleft palate in an Iranian population. The
present case-control study was carried out on 120 unrelated nonsyndromic cleft
lip with or without cleft palate patients and 112 healthy subjects. The variants
were genotyped by polymerase chain reaction-restriction fragment length
polymorphism method. The findings suggest that the rs3809857 polymorphism
significantly decreased the risk of nonsyndromic cleft lip with or without cleft
palate in codominant (odds ratio = 0.16, 95% confidence interval = 0.03-0.75, P =
0.020, TT vs GG), recessive (odds ratio = 0.16, 95% confidence interval = 0.03
0.72, P = 0.009, TT vs GG + GT) inheritance models. The rs9890413 variant
marginally decreased the risk of nonsyndromic cleft lip with or without cleft
palate in codominant (odds ratio = 0.41, 95% confidence interval = 0.17-0.99, P =
0.047, AG vs AA) model. Regarding C392T variant, the findings revealed that this
variant significantly decreased the risk of nonsyndromic cleft lip with or
without cleft palate in codominant (odds ratio = 0.24, 95% confidence interval =
0.10-0.58, P = 0.002, CT vs CC) and allele (odds ratio = 0.26, 95% confidence
interval = 0.11-0.62, P = 0.002, T vs C) models. No significant association was
observed between the rs752107 and rs3121310 variants and risk/protection of
nonsyndromic cleft lip with or without cleft palate. Stratified analysis showed
that WNT10a rs201002930 (c.392 C>T) significantly decreased the risk of cleft lip
with cleft palate and cleft palate only. In summary, the results suggest an
association between WNT genes polymorphisms and the risk nonsyndromic cleft lip
with or without cleft palate in a sample of the southeast Iranian population.
PMID- 29356099
TI - Erratum.
PMID- 29356098
TI - A systematic review of the diagnostic accuracy of automated tests for cognitive
impairment.
AB - OBJECTIVE: The aim of this review is to determine whether automated computerised
tests accurately identify patients with progressive cognitive impairment and, if
so, to investigate their role in monitoring disease progression and/or response
to treatment. METHODS: Six electronic databases (Medline, Embase, Cochrane,
Institute for Scientific Information, PsycINFO, and ProQuest) were searched from
January 2005 to August 2015 to identify papers for inclusion. Studies assessing
the diagnostic accuracy of automated computerised tests for mild cognitive
impairment (MCI) and early dementia against a reference standard were included.
Where possible, sensitivity, specificity, positive predictive value, negative
predictive value, and likelihood ratios were calculated. The Quality Assessment
of Diagnostic Accuracy Studies tool was used to assess risk of bias. RESULTS:
Sixteen studies assessing 11 diagnostic tools for MCI and early dementia were
included. No studies were eligible for inclusion in the review of tools for
monitoring progressive disease and response to treatment. The overall quality of
the studies was good. However, the wide range of tests assessed and the non
standardised reporting of diagnostic accuracy outcomes meant that statistical
analysis was not possible. CONCLUSION: Some tests have shown promising results
for identifying MCI and early dementia. However, concerns over small sample
sizes, lack of replicability of studies, and lack of evidence available make it
difficult to make recommendations on the clinical use of the computerised tests
for diagnosing, monitoring progression, and treatment response for MCI and early
dementia. Research is required to establish stable cut-off points for automated
computerised tests used to diagnose patients with MCI or early dementia.
PMID- 29356100
TI - Layer-specific deformation analysis in severe aortic valve stenosis, primary
mitral valve regurgitation, and healthy individuals validated against invasive
hemodynamic measurements of heart function.
AB - AIM: Speckle tracking echocardiography is considered valuable in assessing left
ventricular (LV) function. The method has been refined to assess deformation in
different myocardial layers, but the effect of volume vs pressure overload on
this pattern is unknown. The aim was to test whether layer-specific myocardial
strain (LSS) obtained by speckle tracking echocardiography exhibits different
patterns in conditions with different loading conditions. METHODS AND RESULTS:
Forty patients with asymptomatic severe aortic stenosis (AS) (AVA 0.81 +/- 0.15
cm2 , LV ejection fraction [LVEF] 66% +/- 7%), 43 patients with asymptomatic or
mildly symptomatic significant primary mitral regurgitation (MR) (effective
regurgitant orifice (ERO) 0.51 (IQR 0.37-0.67) cm2 , LVEF 70% +/- 7%), and 23
healthy individuals (LVEF 65% +/- 6%) were enrolled. Echocardiography and right
heart catheterization were performed in all patients. In MR, strain values in
each myocardial layer (endocardial/global longitudinal strain (GLS)/epicardial)
were higher (25.0% +/- 3.4%/21.6% +/- 2.9%/18.8% +/- 2.6%) compared to healthy
individuals (22.6% +/- 3.2%/19.6% +/- 2.9%/17.1% +/- 2.6%) and AS (20.5% +/-
2.8%/17.7% +/- 2.5%/14.0% +/- 5.6%), P < .001. All 3 groups exhibited a similar
LSS pattern with highest values in the endocardial and lowest values in the
epicardial layer. The epicardial-endocardial strain ratio was lower in AS (0.72
+/- 0.04) than in MR (0.75 +/- 0.04, P = .04). Global longitudinal strain (GLS)
correlated significantly with LV wall stress (r = .39, P = .0003) but not with LV
stroke work or contractility. CONCLUSION: Layer-specific myocardial strain (LSS)
patterns differed in patients with severe AS, significant MR, and healthy
individuals with highest values in MR. Strain irrespective of layer assessed was
associated with LV wall stress but seemed less related to contractility and
unrelated to stroke work in the setting of normal LVEF.
PMID- 29356101
TI - Eryptosis and hemorheological responses to maximal exercise in athletes:
Comparison between running and cycling.
AB - We compared the effects of cycling and running exercise on hemorheological and
hematological properties, as well as eryptosis markers. Seven endurance-trained
subjects randomly performed a progressive and maximal exercise test on a cycle
ergometer and a treadmill. Blood was sampled at rest and at the end of the
exercise to analyze hematological and blood rheological parameters including
hematocrit (Hct), red blood cell (RBC) deformability, aggregation, and blood
viscosity. Hemoglobin saturation (SpO2), blood lactate, and glucose levels were
also monitored. Red blood cell oxidative stress, calcium content, and
phosphatidylserine exposure were determined by flow cytometry to assess eryptosis
level. Cycling exercise increased blood viscosity and RBC aggregation whereas it
had no significant effect on RBC deformability. In contrast, blood viscosity
remained unchanged and RBC deformability increased with running. The increase in
Hct, lactate, and glucose concentrations and the loss of weight at the end of
exercise were not different between running and cycling. Eryptosis markers were
not affected by exercise. A significant drop in SpO2 was noted during running but
not during cycling. Our study showed that a progressive and maximal exercise test
conducted on a cycle ergometer increased blood viscosity while the same test
conducted on a treadmill did not change this parameter because of different RBC
rheological behavior between the 2 tests. We also demonstrated that a short
maximal exercise does not alter RBC physiology in trained athletes. We suspect
that exercise-induced hypoxemia occurring during running could be at the origin
of the RBC rheological behavior differences with cycling.
PMID- 29356102
TI - Self-assessment of refractive errors using a simple optical approach.
AB - BACKGROUND: This explorative study investigated procedures for the self
assessment of spherocylindrical refractive errors. METHODS: Eighteen participants
with a mean age of 34.0 +/- 8.8 years were enrolled. Adjustable Alvarez lenses
were mounted in a rotatable ring holder and two procedures were tested for the
self-adjustment: (1) rotation of the lens in three meridians: 0 degrees , 60
degrees and 120 degrees and (2) rotation of the optotypes in the same
meridians. Starting from maximum positive power, the participants were required
to decrease the power of the Alvarez lens until the optotypes (0.0 logMAR)
appeared to be clear the first time. Best-corrected visual acuity (BVA) was
measured using a psychophysical staircase procedure. Bland-Altmann analysis was
carried out in order to calculate the limits of agreement between the self
refraction method and the standard subjective refraction. RESULTS: Using
procedure 1, 77 per cent of the subjects achieved a VA >= 0.1 logMAR (6/7.5) and
the same was true for 88 per cent of the subjects using procedure 2. Using
procedure 1, a significantly worse BVA was found, when compared to subjective
refraction (DeltaVA = -0.15 logMAR, F3,140 = 7.11, p = 0.046, median test).
Analysis of variance (ANOVA) analysis showed a significant influence of the
refraction method on the oblique astigmatism component J45 but not for the
spherical equivalent M and the straight astigmatism component J0 (M: F3,140 =
0.532, p = 0.661; J0 : F3,140 = 0.056, p = 0.983; J45 : F3,140 = 13.97, p <
0.001; ANOVA). The limits of agreement for the spherical equivalent error M were
+/- 1.10 D and +/- 1.20 D and for the astigmatic components J0 +/- 0.78 D and +/-
0.59 D and for J45 +/- 0.62 D and +/- 0.54 D, for procedure 1 and procedure 2,
respectively. CONCLUSIONS: Fixed adjustable Alvarez lenses and rotatable stimuli
can provide a fast and precise self-assessment method to measure the
spherocylindrical error of the eye.
PMID- 29356103
TI - Analysis of the skin mycobiome in adult patients with atopic dermatitis.
AB - With the recent availability of culture-independent sequencing methods, studies
have been conducted to analyse skin micro-organisms present in patients with
atopic dermatitis (AD). However, the database on the skin fungal communities,
"mycobiome," has been relatively restrictive compared with the bacterial world.
We aimed to comparatively analyse the overall skin mycobiome between patients
with AD and healthy individuals in the Korean population. We analysed skin swab
samples obtained from the antecubital fossae of 8 patients with AD and 8 healthy
controls. Using sequencing method followed by direct DNA extraction and molecular
PCR, taxonomic compositions of fungi at stepwise level ranks were analysed. The
phylogenic marker used was internal transcribed spacer 2 regions of DNA. We
observed the tendency of higher intra- and interpersonal taxonomic diversity at
genus and species levels in AD samples. Non-Malassezia fungal diversity was also
noticeable in the patient group compared with healthy controls. Malassezia
globosa and Malassezia restricta were prevalent in all samples across both study
groups, and some Malassezia species, including Malassezia sloofiae and Malassezia
dermatis, characterized AD. Our data might provide a new insight into the
mycobiome of adult AD, which contributes to building a systemic mycobiome
database in AD.
PMID- 29356105
TI - Design, synthesis, and evaluation of new alpha-aminonitrile-based benzimidazole
biomolecules as potent antimicrobial and antitubercular agents.
AB - The study explores the one-pot synthesis of novel alpha-aminonitriles by reacting
4-[(1H-benzimidazol-2-yl)methoxy]benzaldehyde, substituted anilines and sodium
cyanide using a catalytic amount of copper dipyridine dichloride (CuPy2 Cl2 ) and
employing the Strecker reaction under mild conditions. All the synthesized
compounds were screened for antimicrobial and antitubercular activity. The
promising lead compounds 4d and 4e were identified, with MIC values ranging
between 3.9 and 7.8 ug/mL against different bacterial strains. Compounds 4c-e and
4g also showed good antifungal activities against the tested fungal strain. Among
those tested, compound 4e exhibited excellent antitubercular activity (MIC 0.05
MUg/mL) with a low level of cytotoxicity, suggesting that compound 4e is a
promising lead for subsequent investigations in search for new antitubercular
agents.
PMID- 29356106
TI - Pigmented fungiform lingual papillae: dermoscopic and clinical features.
AB - The tongue is covered by fungiform, filiform and circumvallate papillae.
Fungiform papillae may be mainly pigmented in dark-skinned individuals. A single
centre study aimed to examine the clinical and dermoscopic features of pigmented
fungiform papulae of the tongue (PFPT) in children, and a concise review of the
literature has been performed. The clinical and anamnestic data of eight children
affected by PFPT visited at the Pediatric Dermatology Unit of Bologna between
2010 and 2017, and a systemic review of all studies of PFPT published on PubMed
up to 31 August 2017 has been collected and analysed. The results of our data
were consistent with the literature review: dark brown to black coloured pinhead
papules or bumps were observed in all cases of PFPT, and three types of clinical
patterns have been detected. Moreover, the dermoscopic examination showed a
cobblestone-like distribution and rose petal pattern. PFPT could be associated
with hyperpigmentation of other sites such as the proximal nail folds and gums,
and an intrafamiliar transmission is also possible. Clinical and dermoscopic
features of PFPT may help clinicians to recognize this ethnic, acquired and
benign condition.
PMID- 29356107
TI - A Note from the Journal's Editors-in-Chief.
PMID- 29356108
TI - Polarized transilluminating dermoscopy.
PMID- 29356109
TI - Congenital sacral mass in a newborn.
PMID- 29356110
TI - Comment on "Hidradenitis suppurativa in children treated with finasteride-A case
series".
PMID- 29356112
TI - A newborn with significant white hyperkeratotic plaques.
PMID- 29356111
TI - Rapidly spreading subcutaneous nodules in a 2-year-old boy.
PMID- 29356114
TI - Congenital scalp tumor with ulceration.
PMID- 29356115
TI - Itchy bumps with new-onset bullae.
PMID- 29356118
TI - Patient Perspectives: What are hives?
PMID- 29356119
TI - Asymptomatic bands on an infant's extremity.
PMID- 29356120
TI - Superexchange Effects on Oxygen Reduction Activity of Edge-Sharing [Cox Mn1-x O6
] Octahedra in Spinel Oxide.
AB - Mn-Co containing spinel oxides are promising, low-cost electrocatalysts for the
oxygen reduction reaction (ORR). Most studies are devoted to the design of porous
Mn-Co spinels or to strongly coupled hybrids (e.g., MnCo2 O4 /N-doped-rmGO) to
maximize the mass efficiency. The lack of analyses by metal oxide intrinsic
activity (activity normalized to catalysts' surface area) hinders the development
of fundamental understanding of the physicochemical principles behind the
catalytic activities. A systematic study on the composition dependence of ORR in
ZnCox Mn2-x O4 (x = 0.0-2.0) spinel is presented here with special attention to
the role of edge sharing [Cox Mn1-x O6 ] octahedra in the spinel structure. The
ORR specific activity of ZnCox Mn2-x O4 spans across a potential window of 200
mV, indicating an activity difference of ~3 orders of magnitude. The curve of
composition-dependent ORR specific activity as a function of Co substitution
exhibits a volcano shape with an optimum Mn/Co ratio of 0.43. It is revealed that
the modulated eg occupancy of active Mn cations (0.3-0.9), as a consequence of
the superexchange effect between edge sharing [CoO6 ] and [MnO6 ], reflects the
ORR activity of edge sharing [Cox Mn1-x O6 ] octahedra in the ZnCox Mn2-x O4
spinel oxide. These findings offer crucial insights in designing spinel oxide
catalysts with fine-tuned eg occupancy for efficient catalysis.
PMID- 29356121
TI - Flow cytometric method for scoring rat liver micronuclei with simultaneous
assessments of hepatocyte proliferation.
AB - The current report describes a newly devised method for automatically scoring the
incidence of rat hepatocyte micronuclei (MNHEP) via flow cytometry, with
concurrent assessments of hepatocyte proliferation-frequency of Ki-67-positive
nuclei, and the proportion of polyploid nuclei. Proof-of-concept data are
provided from experiments performed with 6-week old male Crl:CD(SD) rats exposed
to diethylnitrosamine (DEN) or quinoline (QUIN) for 3 or 14 consecutive days. Non
perfused liver tissue was collected 4 days after cessation of treatment in the
case of 3-day studies, or 1 day after last administration in the case of 14-day
studies for processing and flow cytometric analysis. In addition to livers, blood
samples were collected one day after final treatment for micronucleated
reticulocyte (MN-RET) measurements. Dose-dependent increases in MNHEP, Ki-67
positive nuclei, and polyploidy were observed in 3- and 14-day DEN studies. Both
treatment schedules resulted in elevated %MNHEP for QUIN-exposed rats, and while
cell proliferation effects were subtle, appreciable increases to normalized liver
weights were observed. Whereas DEN caused markedly higher %MNHEP when exposure
was extended to two weeks, QUIN-induced MNHEP were slightly increased with
protracted dosing. Parallel microscopy-based MNHEP frequencies were highly
correlated with flow cytometry-based measurements (four study/aggregate R2 =
0.80). No increases in MN-RET were seen in any of the four studies. Collectively,
these results suggest liver micronuclei are amenable to an automated scoring
technique that provides objective analyses and higher information content
relative to conventional microscopy. Additional work is needed to expand the
number and types of chemicals tested, identify the most advantageous treatment
schedules, and test the transferability of the method. Environ. Mol. Mutagen.
59:176-187, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29356122
TI - Monitoring changes in back fat thickness and its effect on the restoration of
ovarian activity and fertility in Bos indicus cows.
AB - With the objective of testing the hypothesis if animals with a stable layer of
body fat (FAT) during the peripartum have a better chance of becoming pregnant
after calving, fifty-nine multiparous Brahman cows in their last trimester of
pregnancy were used. Animals averaged four parturitions and were stocked at a
rate of 1.25 animal units per hectare and divided into two groups depending on
the time postpartum (dpp) that the intravaginal releasing device CIDR was
inserted; Group 1 (<30 dpp; n = 30) received the implant at 25.2 +/- 4.21 and
withdrawn 9 days later. Group 2 (>=30 dpp; n = 29) received the CIDR at 38.41 +/-
5.8. Animals were AI at detected oestrus until 170 dpp and calculated as pregnant
at first service or requiring more than one service (1s and >1s), not pregnant
but cycling (not pregnant) and those not cycling at all (anestrus). The FAT
measurements were taken twice each month from the last trimester of gestation
until 96 dpp. The onset of ovarian activity was monitored through blood levels of
progesterone (P4) at days 14 and 9 prior to CIDR insertion and days 10, 13, 30
and 33 after CIDR withdrawal. Animals pregnant did not have any major changes in
their fat thickness. In contrast, cows pregnant in the group >=30 dpp had changes
in their FAT homoeostasis, and pregnant animals in the 1s and >1s groups did not
show differences in dorsal back fat in the last trimester of pregnancy and early
postpartum. In contrast, animals not pregnant and in anestrus FAT values
decreased considerably after parturition. Overall, fertility was 49%, but 18% of
all the animals remained anestrus losing FAT. Thus, animals with adequate
metabolic conditions will have a better chance of pregnancy regardless of the
time postpartum when the reproductive programme starts.
PMID- 29356124
TI - Picosecond alexandrite laser for Naevus of Ota treatment in Chinese.
PMID- 29356123
TI - Serum Cytokine Profiling in Cats with Acute Idiopathic Cystitis.
AB - BACKGROUND: Feline idiopathic cystitis (FIC) is a common lower urinary tract
disorder of domestic cats that resembles interstitial cystitis/painful bladder
syndrome (IC/PBS) in humans. Diagnosis of FIC is based on clinical signs and
exclusion of other disorders because of a lack of specific pathologic findings or
other objective biomarkers. Cytokines are potential noninvasive biomarkers to
define the presence, severity, and progression of disease, and response to
treatment. OBJECTIVES: The objective of this pilot study was to determine
concentrations of selected cytokines in serum from healthy cats and cats with
acute FIC. ANIMALS: Serum samples from 13 healthy cats and from 12 cats with
nonobstructive acute FIC were utilized. METHODS: Multiplex analysis of 19
cytokines (CCL2, CCL5, CXCL1, CXCL12, CXCL8, Flt3L, GM-CSF, IFN-gamma, IL-12
(p40), IL-13, IL-18, IL-1beta, IL-2, IL-4, IL-6, PDGF-BB, SCF, sFas, and TNF
alpha) was performed with a commercially available feline-specific multiplex bead
based assay. RESULTS: Mean serum concentrations of IL-12 (p40; P < 0.0001),
CXCL12 (P = 0.002), IL-18 (P = 0.032), and Flt3L (P = 0.0024) were significantly
increased in FIC cats compared to healthy cats. GM-CSF, IL-1b, IL-2, and PDGF-BB
were undetectable or detected in an insufficient number of cats to allow
meaningful comparisons. CONCLUSIONS AND CLINICAL IMPORTANCE: We have identified
increased serum concentrations of pro-inflammatory cytokines and chemokines
CXCL12, IL-12, IL-18, and Flt3L in FIC-affected cats. These findings suggest
potential candidates for noninvasive biomarkers for diagnosis, staging, and
therapeutic outcome monitoring of affected cats and provide additional insight
into the etiopathogenesis of FIC.
PMID- 29356126
TI - Acute vascular reaction due to lipo-prostaglandin E1.
PMID- 29356125
TI - Recent Advances in the Synthesis of Peptoid Macrocycles.
AB - Over the past two decades, developing medical applications for peptides has, and
continues to be a highly active area of research. At present there are over 60
peptide-based drugs on the market and more than 140 in various stages of clinical
trials. The interest in peptide-based therapeutics arises from their
biocompatibility and their ability to form defined secondary and tertiary
structures, resulting in a high selectivity for complex targets. However, there
are significant challenges associated with the development of peptide-based
therapeutics, namely peptides are readily metabolised in vivo. Peptoids are an
emerging class of peptidomimetic and they offer an alternative to peptides.
Peptoids are comprised of N-substituted glycines where side-chains are located on
the nitrogen atom of the amide backbone rather than the alpha-carbon as is the
case in peptides. This change in structure confers a high degree of resistance to
proteolytic degradation but the absence of any backbone hydrogen bonding means
that peptoids exhibit a high degree of conformational flexibility. Cyclisation
has been explored as one possible route to rigidify peptoid structures, making
them more selective, and, therefore more desirable as potential therapeutics.
This review outlines the various strategies that have been developed over the
last decade to access new types of macrocyclic peptoids.
PMID- 29356127
TI - Parkinson disease with and without Dementia: A prevalence study and future
projections.
AB - BACKGROUND: Limited population-based information is available on the co
occurrence of dementia and PD. However, projecting the prevalence of PD with and
without dementia during the next 50 years is crucial for planning public-health
and patient-care initiatives. OBJECTIVES: The objective of this study was to
project the prevalence of PD with and without dementia in the United States by
2060. METHODS: We used the Rochester Epidemiology Project medical records-linkage
system to identify all persons with PD with or without dementia residing in
Olmsted County, Minnesota, on January 1, 2006. A movement disorders specialist
reviewed the complete medical records of each person to confirm the presence of
PD. We calculated the age- and sex-specific prevalence of PD with and without
dementia and projected U.S. prevalence through 2060. RESULTS: We identified 296
persons with PD with and without dementia on the prevalence date (187 men, 109
women); the overall prevalence increased with age from 0.01% (30-39 years) to
2.83% (>=90 years). The prevalence of PD without dementia increased with age from
0.01% (30-39 years) to 1.25% (>=90 years). The prevalence of PD with dementia
increased with age from 0.10% (60-69 years) to 1.59% (>=90 years). The prevalence
was higher in men than in women for all subtypes and all age groups. We project
by 2060 an approximate doubling of the number of persons with PD without dementia
and a tripling of the number of persons with PD with dementia in the United
States. CONCLUSIONS: The prevalence of PD with and without dementia increases
with age and is higher in men than women. We project that the number of persons
with PD in the United States will increase substantially by 2060. (c) 2018
International Parkinson and Movement Disorder Society.
PMID- 29356128
TI - Clay-Inspired MXene-Based Electrochemical Devices and Photo-Electrocatalyst:
State-of-the-Art Progresses and Challenges.
AB - MXene, an important and increasingly popular category of postgraphene 2D
nanomaterials, has been rigorously investigated since early 2011 because of
advantages including flexible tunability in element composition, hydrophobicity,
metallic nature, unique in-plane anisotropic structure, high charge-carrier
mobility, tunable band gap, and favorable optical and mechanical properties. To
fully exploit these potentials and further expand beyond the existing boundaries,
novel functional nanostructures spanning monolayer, multilayer, nanoparticles,
and composites have been developed by means of intercalation, delamination,
functionalization, hybridization, among others. Undeniably, the cutting-edge
developments and applications of clay-inspired 2D MXene platform as
electrochemical electrode or photo-electrocatalyst have conferred superior
performance and have made significant impact in the field of energy and advanced
catalysis. This review provides an overview of the fundamental properties and
synthesis routes of pure MXene, functionalized MXene and their hybrids,
highlights the state-of-the-art progresses of MXene-based applications with
respect to supercapacitors, batteries, electrocatalysis and photocatalysis, and
presents the challenges and prospects in the burgeoning field.
PMID- 29356129
TI - Large-Area Direct Laser-Shock Imprinting of a 3D Biomimic Hierarchical Metal
Surface for Triboelectric Nanogenerators.
AB - Ongoing efforts in triboelectric nanogenerators (TENGs) focus on enhancing power
generation, but obstacles concerning the economical and cost-effective production
of TENGs continue to prevail. Micro-/nanostructure engineering of polymer
surfaces has been dominantly utilized for boosting the contact
triboelectrification, with deposited metal electrodes for collecting the
scavenged energy. Nevertheless, this state-of-the-art approach is limited by the
vague potential for producing 3D hierarchical surface structures with conformable
coverage of high-quality metal. Laser-shock imprinting (LSI) is emerging as a
potentially scalable approach for directly surface patterning of a wide range of
metals with 3D nanoscale structures by design, benefiting from the ultrahigh
strain-rate forming process. Here, a TENG device is demonstrated with LSI
processed biomimetic hierarchically structured metal electrodes for efficient
harvesting of water-drop energy in the environment. Mimicking and transferring
hierarchical microstructures from natural templates, such as leaves, into these
water-TENG devices is effective regarding repelling water drops from the device
surface, since surface hydrophobicity from these biomicrostructures maximizes the
TENG output. Among various leaves' microstructures, hierarchical microstructures
from dried bamboo leaves are preferable regarding maximizing power output, which
is attributed to their unique structures, containing both dense nanostructures
and microscale features, compared with other types of leaves. Also, the
triboelectric output is significantly improved by closely mimicking the
hydrophobic nature of the leaves in the LSI-processed metal surface after
functionalizing it with low-surface-energy self-assembled-monolayers. The
approach opens doors to new manufacturable TENG technologies for economically
feasible and ecologically friendly production of functional devices with directly
patterned 3D biomimic metallic surfaces in energy, electronics, and sensor
applications.
PMID- 29356130
TI - High-Strength Nanotwinned Al Alloys with 9R Phase.
AB - Light-weight aluminum (Al) alloys have widespread applications. However, most Al
alloys have inherently low mechanical strength. Nanotwins can induce high
strength and ductility in metallic materials. Yet, introducing high-density
growth twins into Al remains difficult due to its ultrahigh stacking-fault
energy. In this study, it is shown that incorporating merely several atomic
percent of Fe solutes into Al enables the formation of nanotwinned (nt) columnar
grains with high-density 9R phase in Al(Fe) solid solutions. The nt Al-Fe alloy
coatings reach a maximum hardness of ~5.5 GPa, one of the strongest binary Al
alloys ever created. In situ uniaxial compressions show that the nt Al-Fe alloys
populated with 9R phase have flow stress exceeding 1.5 GPa, comparable to high
strength steels. Molecular dynamics simulations reveal that high strength and
hardening ability of Al-Fe alloys arise mainly from the high-density 9R phase and
nanoscale grain sizes.
PMID- 29356132
TI - Participatory development of incentives to coexist with jaguars and pumas.
AB - Reducing costs and increasing benefits for rural communities coexisting with
large carnivores is necessary for conservation of jaguar (Panthera onca) and puma
(Puma concolor). To design acceptable incentives, stakeholders must be involved
in the process. We conducted an innovative, structured, group communication
process based on a Delphi technique as a template for identifying potential
incentives. Community workshops with 133 members of 7 communities and surveys
with 25 multidisciplinary experts from government, nongovernmental organizations,
and academia provided iterative data to design a plan of incentives through 4
rounds of discussion. The final product integrated 862 ideas into 6 types of
incentives: organization of communities, mechanisms for improved dialogue,
citizen technical assistance, green labeling for community products, payment for
the ecosystem service of biodiversity, and an assessment of financial
alternatives. We used quantitative and qualitative techniques to indicate support
for decisions about the design of incentives, which reduced researcher
subjectivity. The diverse incentives developed and the cooperation from multiple
stakeholders resulted in an incentive plan that integrated issues of governance,
equity, and social norms.
PMID- 29356131
TI - Copper-Catalyzed Aziridination with Redox-Active Ligands: Molecular Spin
Catalysis.
AB - Small-molecule catalysts as mimics of biological systems illustrate the chemists'
attempts at emulating the tantalizing abilities displayed by nature's
metalloenzymes. Among these innate behaviors, spin multistate reactivity is used
by biological systems as it offers thermodynamic leverage towards challenging
chemical reactivity but this concept is difficult to translate into the realm of
synthetic organometallic catalysis. Here, we report a rare example of molecular
spin catalysis involving multistate reactivity in a small-molecule biomimetic
copper catalyst applied to aziridination. This behavior is supported by spin
state flexibility enabled by the redox-active ligand.
PMID- 29356133
TI - Motor cortex synchronization influences the rhythm of motor performance in
premanifest huntington's disease.
AB - BACKGROUND: In Huntington's disease there is evidence of structural damage in the
motor system, but it is still unclear how to link this to the behavioral disorder
of movement. One feature of choreic movement is variable timing and coordination
between sequences of actions. We postulate this results from desynchronization of
neural activity in cortical motor areas. OBJECTIVES: The objective of this study
was to explore the ability to synchronize activity in a motor network using
transcranial magnetic stimulation and to relate this to timing of motor
performance. METHODS: We examined synchronization in oscillatory activity of
cortical motor areas in response to an external input produced by a pulse of
transcranial magnetic stimulation. We combined this with EEG to compare the
response of 16 presymptomatic Huntington's disease participants with 16 age
matched healthy volunteers to test whether the strength of synchronization
relates to the variability of motor performance at the following 2 tasks: a grip
force task and a speeded-tapping task. RESULTS: Phase synchronization in response
to M1 stimulation was lower in Huntington's disease than healthy volunteers (P <
.01), resulting in a reduced cortical activity at global (P < .02) and local
levels (P < .01). Participants who showed better timed motor performance also
showed stronger oscillatory synchronization (r = -0.356; P < .05) and higher
cortical activity (r = -0.393; P < .05). CONCLUSIONS: Our data may model the
ability of the motor command to respond to more subtle, physiological inputs from
other brain areas. This novel insight indicates that impairments of the timing
accuracy of synchronization and desynchronization could be a physiological basis
for some key clinical features of Huntington's disease. (c) 2018 International
Parkinson and Movement Disorder Society.
PMID- 29356134
TI - Morphology, morphometry and chromatin distribution in llama sperm nuclei.
AB - The objectives of this study were as follows: (i) to describe and evaluate the
frequencies of different morphologies of llama sperm nuclei, (ii) to determine
morphometric values of nuclear parameters, (iii) to describe and estimate the
frequencies of different classes of chromatin distribution and (iv) to measure
haploid DNA content and analyse its nuclear distribution. The study was performed
using ejaculates collected from seven males, and sperm nuclei were stained with
the Feulgen reaction. Normal morphology ranged from 78.36% to 93.92%, and
abnormalities included short, small, large, pyriform, narrow, micro and round
nuclei. Important differences in nuclei considered normal were found between some
males. The following average values were obtained for each sperm nuclear
morphometric parameter analysed: area 11.64 MUm2 , perimeter 13.16 MUm, length
5.12 MUm, width 2.81 MUm, ellipticity 1.85 and form 0.83. Differences between
males were significant for all the parameters (p < .01). Light microscope
observations and cytophotometric determinations allowed discriminating between
three classes of chromatin distribution: homogeneous, diffuse and showing a clear
band. Significant differences between males were found for the frequencies of the
three classes (p < .01). Cluster analysis methods were used to estimate the
resemblance between males according to the characteristics of their sperm nuclei.
A great intermale variability was found for morphological, morphometric and
chromatin distribution data. These parameters would have low dependence between
them.
PMID- 29356135
TI - Neurogenic bladder in progressive supranuclear palsy: A comparison with
Parkinson's disease and multiple system atrophy.
AB - AIMS: Progressive supranuclear palsy (PSP) can present urinary symptoms, similar
to other parkinsonian disorders. We investigated the urodynamic parameters of PSP
and compared them with those of idiopathic Parkinson's disease (IPD) and multiple
system atrophy (MSA) METHODS: We retrospectively analyzed the urodynamic data in
patients diagnosed with parkinsonian disorders (PSP, IPD, and MSA) presenting
urinary symptoms. Clinical data, including onset age, duration, and severity, as
well as treatment status of parkinsonian disorders and urinary symptoms were
collected. RESULTS: A total of 131 patients (10 with PSP, 79 with IPD, and 42
with MSA) were included. The mean age and disease onset age of PSP patients were
similar to those of IPD patients, but older than those of MSA patients. The
disease duration until the onset of urinary symptoms in PSP patients was similar
to that in MSA patients, but shorter than that in IPD patients. According to the
urodynamic study, storage phase dysfunctions in PSP patients were similar to
those in IPD or MSA patients. However, according to a pressure-flow study, PSP
patients showed higher rates of voiding failure, as well as lower maximum flow
rate, higher post-void residual volume, and higher proportions of impaired
detrusor contraction than IPD patients, but rather similar to MSA patients.
CONCLUSIONS: Urinary dysfunctions in PSP patients were as extensive as those with
MSA, and were more severe than those with IPD, especially in the voiding phase.
This may reflect the extensive degenerative process of neural structure in PSP
patients.
PMID- 29356136
TI - Effects of uncertainty and variability on population declines and IUCN Red List
classifications.
AB - The International Union for Conservation of Nature (IUCN) Red List Categories and
Criteria is a quantitative framework for classifying species according to
extinction risk. Population models may be used to estimate extinction risk or
population declines. Uncertainty and variability arise in threat classifications
through measurement and process error in empirical data and uncertainty in the
models used to estimate extinction risk and population declines. Furthermore,
species traits are known to affect extinction risk. We investigated the effects
of measurement and process error, model type, population growth rate, and age at
first reproduction on the reliability of risk classifications based on projected
population declines on IUCN Red List classifications. We used an age-structured
population model to simulate true population trajectories with different growth
rates, reproductive ages and levels of variation, and subjected them to
measurement error. We evaluated the ability of scalar and matrix models
parameterized with these simulated time series to accurately capture the IUCN Red
List classification generated with true population declines. Under all levels of
measurement error tested and low process error, classifications were reasonably
accurate; scalar and matrix models yielded roughly the same rate of
misclassifications, but the distribution of errors differed; matrix models led to
greater overestimation of extinction risk than underestimations; process error
tended to contribute to misclassifications to a greater extent than measurement
error; and more misclassifications occurred for fast, rather than slow, life
histories. These results indicate that classifications of highly threatened taxa
(i.e., taxa with low growth rates) under criterion A are more likely to be
reliable than for less threatened taxa when assessed with population models.
Greater scrutiny needs to be placed on data used to parameterize population
models for species with high growth rates, particularly when available evidence
indicates a potential transition to higher risk categories.
PMID- 29356137
TI - Selective P-C(sp3 ) Bond Cleavage and Radical Alkynylation of alpha-Phosphorus
Alcohols by Photoredox Catalysis.
AB - Herein the first P-C(sp3 ) bond cleavage and radical alkynylation of alpha
phosphorus alcohols to construct phosphonoalkynes is reported. The phosphorus
radical is generated upon P-C bond cleavage reaction via the alkoxyl radical
through photoredox catalysis with cyclic iodine(III) reagents. Various
arylphosphinoyl-, alkylphosphinoyl-, phosphonate-, and phosphonic amide alcohols
serve as radical phosphorus precursors to construct phosphonoalkynes for the
first time.
PMID- 29356138
TI - Seasonal changes in epidermal ceramides are linked to impaired barrier function
in acne patients.
AB - Acne skin demonstrates increased transepidermal water loss (TEWL) compared with
healthy skin, which may be due, in part, to altered ceramide (CER) levels. We
analysed ceramides in the stratum corneum of healthy and acne skin, and studied
seasonal variation over the course of a year. Using ultraperformance liquid
chromatography with electrospray ionisation and tandem mass spectrometry
(UPLC/ESI-MS/MS), we identified 283 ceramides. Acne-affected skin demonstrated
overall lower levels of ceramides, with notable reductions in CER[NH] and CER[AH]
ceramides, as well as the acylceramides CER[EOS] and CER[EOH]; these differences
were more apparent in the winter months. Lower ceramide levels reflected an
increase in TEWL in acne, compared with healthy skin, which partly resolves in
the summer. Individual ceramide species with 18-carbon 6-hydroxysphingosine (H)
bases (including CER[N(24)H(18)], CER[N(26)H(18)], CER[A(24)H(18)],
CER[A(26)H(18)]) were significantly reduced in acne skin, suggesting that CER[NH]
and CER[AH] species may be particularly important in a healthy skin barrier.
PMID- 29356139
TI - Rates of Chemical Reactions Embedded in a Metabolic Network by Dissolution
Dynamic Nuclear Polarisation NMR.
AB - The isomerisation of 6-phosphogluconolactones and their hydrolyses into 6
phosphogluconic acid form a non enzymatic side cycle of the pentose-phosphate
pathway (PPP) in cells. Dissolution dynamic nuclear polarisation can be used for
determining the kinetic rates of the involved transformations in real time. It is
found that the hydrolysis of both lactones is significantly slower than the
isomerisation process, thereby shedding new light onto this subtle chemical
process.
PMID- 29356140
TI - Clinicopathological features and course of cutaneous protothecosis.
AB - BACKGROUND: Protothecosis is an uncommon infection caused by the achlorophyllic
algae found more commonly in tropical areas. Only a limited number of cases have
been reported. OBJECTIVE: We aimed to evaluate the clinicopathological features
and treatment outcomes of cutaneous protothecosis. METHODS: We retrospectively
identified 20 pathology-confirmed cases of cutaneous protothecosis based on skin
biopsies in two tertiary medical centres in Taiwan from 1997 to 2015. RESULTS:
The age of the patients at the time of diagnosis ranged from 48 to 85 years (mean
age of 74 years). All lesions developed on the limbs. Twelve (60%) patients had
adrenal insufficiency, but no patients had active malignancy at diagnosis.
Interestingly, four (20%) patients had concurrent scabies infestation.
Clinically, most lesions were erythematous plaques studded with punctate ulcers.
Microscopically, the most common finding was granulomatous inflammation. Nineteen
(95%) cases were successfully treated with itraconazole for 14-148 days with only
one case of recurrence. Concomitant scabies should be suspected if pruritus is
recalcitrant despite itraconazole treatment. CONCLUSION: Despite its rarity,
cutaneous protothecosis has become more significant due to an increased
prevalence of immunocompromised individuals. Steroid overuse or iatrogenic
adrenal insufficiency predisposes individuals to high-risk infections. Neglecting
the disease leads to a chronic and incurable state. Protothecosis should be
suspected in chronic eczematous and ulcerative plaques on the limbs refractory to
conventional antibacterial and antiviral treatments, especially in patients with
adrenal insufficiency. Clinical suspicion should be confirmed by skin biopsies,
and confirmed cases can be successfully treated with itraconazole.
PMID- 29356141
TI - Stable Molecular Diodes Based on pi-pi Interactions of the Molecular Frontier
Orbitals with Graphene Electrodes.
AB - In molecular electronics, it is important to control the strength of the molecule
electrode interaction to balance the trade-off between electronic coupling
strength and broadening of the molecular frontier orbitals: too strong coupling
results in severe broadening of the molecular orbitals while the molecular
orbitals cannot follow the changes in the Fermi levels under applied bias when
the coupling is too weak. Here, a platform based on graphene bottom electrodes to
which molecules can bind via pi-pi interactions is reported. These interactions
are strong enough to induce electronic function (rectification) while minimizing
broadening of the molecular frontier orbitals. Molecular tunnel junctions are
fabricated based on self-assembled monolayers (SAMs) of Fc(CH2 )11 X (Fc =
ferrocenyl, X = NH2 , Br, or H) on graphene bottom electrodes contacted to
eutectic alloy of gallium and indium top electrodes. The Fc units interact more
strongly with graphene than the X units resulting in SAMs with the Fc at the
bottom of the SAM. The molecular diodes perform well with rectification ratios of
30-40, and they are stable against bias stressing under ambient conditions. Thus,
tunnel junctions based on graphene with pi-pi molecule-electrode coupling are
promising platforms to fabricate stable and well-performing molecular diodes.
PMID- 29356142
TI - Engineering On-Surface Spin Crossover: Spin-State Switching in a Self-Assembled
Film of Vacuum-Sublimable Functional Molecule.
AB - The realization of spin-crossover (SCO)-based applications requires study of the
spin-state switching characteristics of SCO complex molecules within
nanostructured environments, especially on surfaces. Except for a very few cases,
the SCO of a surface-bound thin molecular film is either quenched or heavily
altered due to: (i) molecule-surface interactions and (ii) differing
intermolecular interactions in films relative to the bulk. By fabricating SCO
complexes on a weakly interacting surface, the interfacial quenching problem is
tackled. However, engineering intermolecular interactions in thin SCO active
films is rather difficult. Here, a molecular self-assembly strategy is proposed
to fabricate thin spin-switchable surface-bound films with programmable
intermolecular interactions. Molecular engineering of the parent complex system
[Fe(H2 B(pz)2 )2 (bpy)] (pz = pyrazole, bpy = 2,2'-bipyridine) with a dodecyl
(C12 ) alkyl chain yields a classical amphiphile-like functional and vacuum
sublimable charge-neutral FeII complex, [Fe(H2 B(pz)2 )2 (C12 -bpy)] (C12 -bpy =
dodecyl[2,2'-bipyridine]-5-carboxylate). Both the bulk powder and 10 nm thin
films sublimed onto either quartz glass or SiOx surfaces of the complex show
comparable spin-state switching characteristics mediated by similar lamellar
bilayer like self-assembly/molecular interactions. This unprecedented observation
augurs well for the development of SCO-based applications, especially in
molecular spintronics.
PMID- 29356143
TI - Alterations in glucose concentrations affect DNA methylation at Lrg1 in an ex
vivo rat cortical slice model of preterm brain injury.
AB - Preterm birth affects 5-18% of all babies and is associated with
neurodevelopmental impairment and increased neuropsychiatric disease risk.
Although preterm birth associates with differential DNA methylation at
neurodevelopmental genes in buccal DNA, including leucine-rich alpha-2
glycoprotein 1 (LRG1), it is not known whether these differences also occur in
the brain, or whether they persist. Thus, there is a need for animal models or in
vitro systems in which to undertake longitudinal and mechanistic studies. We used
a combination of in vivo rat studies and ex vivo experiments in rat cortical
slices to explore their utility in modelling the human preterm brain. We
identified temporal changes in DNA methylation at LRG1 in human buccal DNA over
the first year of life and found persistent differences in LRG1 methylation
between preterm and term infants at 1 year. These developmental changes also
occurred in rat brains in vivo, alongside changes in global DNA
hydroxymethylation and expression of the ten-eleven translocation (Tet1) enzyme,
and were reproducible in ex vivo rat cortical slices. On the basis of the
observation that neonatal glucose homeostasis can modify neurodevelopmental
outcome, we studied whether glucose concentration affects Lrg1 methylation using
cortical slices. Culture of slices in lower glucose concentration was associated
with lower Lrg1 methylation, lower global 5hmC and Tet1 expression. Our results
suggest that ex vivo organotypic cultures may be useful in the study of
biological and environmental influences on the epigenome and that perturbations
during early life including glucose concentration can affect methylation at
specific genes implicated in neurodevelopment.
PMID- 29356144
TI - Beneficial effects of oleuropein on glucose uptake and on parameters relevant to
the normal homeostatic mechanisms of glucose regulation in rat skeletal muscle.
AB - Oleuropein, the main constituents of leaves and fruits of the olive tree, has
been demonstrated to exert various therapeutic and pharmacological properties
including antidiabetic effect. However, the effectiveness of oleuropein on
glucose homeostasis in intact rat skeletal muscle ex vivo has never been
explored. Therefore, our current study was carried out to investigate and confirm
the beneficial effect of oleuropein (1.5 mM) on glucose uptake and on parameters
relevant to the normal homeostatic mechanisms of glucose regulation in rat
skeletal muscle. For this purpose, soleus muscles were incubated for 12 hr
without (control) or with oleuropein, in the presence or absence of AMP-activated
protein kinase (AMPK) inhibitor, compound C, or wortmannin, an inhibitor of
phosphatidylinositol kinase. Oleuropein-stimulated glucose transport,
plasmalemmal glucose transporter 4 (GLUT4), and phosphorylation of
phosphatidylinositol kinase and AMPK were examined. We observed that oleuropein
treatment enhanced glucose transport, GLUT4 translocation, and AMPK
phosphorylation. The oleuropein-stimulated glucose uptake and GLUT4 translocation
were inhibited by compound C and were not affected by wortmannin. These results
suggest that increased glucose uptake induced by oleuropein might be mediated
through activation of AMPK and the subsequent increase in GLUT4 translocation in
skeletal muscles.
PMID- 29356145
TI - Exploring Anomalous Polarization Dynamics in Organometallic Halide Perovskites.
AB - Organometallic halide perovskites (OMHPs) have attracted broad attention as
prospective materials for optoelectronic applications. Among the many anomalous
properties of these materials, of special interest are the ferroelectric
properties including both classical and relaxor-like components, as a potential
origin of slow dynamics, field enhancement, and anomalous mobilities. Here,
ferroelectric properties of the three representative OMHPs are explored,
including FAPbx Sn1-x I3 (x = 0, x = 0.85) and FA0.85 MA0.15 PbI3 using band
excitation piezoresponse force microscopy and contact mode Kelvin probe force
microscopy, providing insight into long- and short-range dipole and charge
dynamics in these materials and probing ferroelectric density of states.
Furthermore, second-harmonic generation in thin films of OMHPs is observed,
providing a direct information on the noncentrosymmetric polarization in such
materials. Overall, the data provide strong evidence for the presence of
ferroelectric domains in these systems; however, the domain dynamics is
suppressed by fast ion dynamics. These materials hence present the limit of
ferroelectric materials with spontaneous polarization dynamically screened by
ionic and electronic carriers.
PMID- 29356146
TI - Bioinspired Underwater Adhesives by Using the Supramolecular Toolbox.
AB - Nature has developed protein-based adhesives whose underwater performance has
attracted much research attention over the last few decades. The adhesive
proteins are rich in catechols combined with amphiphilic and ionic features. This
combination of features constitutes a supramolecular toolbox, to provide stimuli
responsive processing of the adhesive, to secure strong adhesion to a variety of
surfaces, and to control the cohesive properties of the material. Here, the
versatile interactions used in adhesives secreted by sandcastle worms and mussels
are explored. These biological principles are then put in a broader perspective,
and synthetic adhesive systems that are based on different types of
supramolecular interactions are summarized. The variety and combinations of
interactions that can be used in the design of new adhesive systems are
highlighted.
PMID- 29356148
TI - Oxygen Evolution Activity of Co-Ni Nanochain Alloys: Promotion by Electron
Injection.
AB - Metal alloy nanoparticles have shown promising applications in electrocatalysis.
However, the nanoparticles usually suffer from limited charge-transfer
efficiency, which can be solved by preparing one-dimensional materials. Herein,
Co-Ni alloy nanochains are prepared by a direct-current arc-discharge method. The
nanochains, comprised of mutually coupled uniform nanospheres, can range up to
several micrometers in size. When the alloy is exposed to air or under the
electro-oxidation process, a metal-metal-oxide heterostructure is obtained. The
alloy can inject electrons into the oxide, which makes it more suitable for
electrocatalysis. The composition of the samples can be changed by varying the
ratio of Ni/Co (i.e., Co, Co7 Ni3 , Co5 Ni5 , Co3 Ni7 , Ni) in the synthesis
process. The nanochains show good oxygen evolution performance that correlates
with the Ni/Co ratio. Co7 Ni3 demonstrates optimal activity with an onset point
of 1.50 V vs. reversible hydrogen electrode (RHE) and overpotential of 350 mV at
10 mA cm-2 . The alloy nanochains also show excellent durability with 95.0 %
current retention after a long-term test for 12 h.
PMID- 29356147
TI - Kisspeptin neurones in the posterodorsal medial amygdala modulate sexual partner
preference and anxiety in male mice.
AB - The posterodorsal medial amygdala (MePD) is a neural site in the limbic brain
involved in regulating emotional and sexual behaviours. There is, however,
limited information available on the specific neuronal cell type in the MePD
functionally mediating these behaviours in rodents. The recent discovery of a
significant kisspeptin neurone population in the MePD has raised interest in the
possible role of kisspeptin and its cognate receptor in sexual behaviour. The
present study therefore tested the hypothesis that the MePD kisspeptin neurone
population is involved in regulating attraction towards opposite sex
conspecifics, sexual behaviour, social interaction and the anxiety response by
selectively stimulating these neurones using the novel pharmacosynthetic DREADDs
(designer receptors exclusively activated by designer drugs) technique. Adult
male Kiss-Cre mice received bilateral stereotaxic injections of a stimulatory
DREADD viral construct (AAV-hSyn-DIO-hM3 D(Gq)-mCherry) targeted to the MePD,
with subsequent activation by i.p. injection of clozapine-N-oxide (CNO). Socio
sexual behaviours were assessed in a counter-balanced fashion after i.p.
injection of either saline or CNO (5 mg kg-1 ). Selective activation of MePD
kisspeptin neurones by CNO significantly increased the time spent by male mice in
investigating an oestrous female, as well as the duration of social interaction.
Additionally, after CNO injection, the mice appeared less anxious, as indicated
by a longer exploratory time in the open arms of the elevated plus maze. However,
levels of copulatory behaviour were comparable between CNO and saline-treated
controls. These data indicate that DREADD-induced activation of MePD kisspeptin
neurones enhances both sexual partner preference in males and social interaction
and also decreases anxiety, suggesting a key role played by MePD kisspeptin in
sexual motivation and social behaviour.
PMID- 29356149
TI - A multicenter, prospective, observational study examining the impact of risk
factors, such as BMI and waist circumference, on quality of life improvement and
clinical response in moderate-to-severe plaque-type psoriasis patients treated
with infliximab in routine care settings of Greece.
AB - BACKGROUND: Obesity has been associated with moderate-to-severe plaque psoriasis
severity and PASI 75 response attainment of biologic therapies, but findings are
inconsistent. OBJECTIVE: This study aimed to examine the association of body mass
index (BMI) and waist circumference (WC) on disease severity, to identify
potential patient characteristics associated with response attainment and to
assess the impact of infliximab on the patients' health-related quality of life
(HRQoL) among infliximab-treated patients in the routine care setting of Greece.
METHODS: This was a multicenter, prospective, observational study of adult
moderate-to-severe plaque psoriasis patients who had initiated treatment with
originator infliximab within 2 weeks prior to enrolment. Postenrolment visits
occurred at 14 +/- 4, 30 +/- 4 and 54 +/- 4 weeks following treatment onset.
RESULTS: Between October 2012 and June 2014, 136 eligible patients (62.5% males)
with a median age of 48.6 years, BMI of 29.6 kg/m2 and WC of 107.0 cm at
enrolment were recruited by 21 dermatology hospital/private offices. All patients
had received prior psoriasis treatment(s); 62.5% were biologic-naive. Mean
baseline psoriasis area severity index (PASI) and Dermatology Quality of Life
Index (DLQI) scores were 23.4 +/- 13.6 and 15.0 +/- 8.3, respectively. A low
correlation was observed between WC at enrolment and baseline PASI [rho = 0.324
(P < 0.001)]. Over a median 48.4 weeks of infliximab exposure, 89.3% of the per
protocol set achieved a PASI 75 response. At 14, 30 and 54 weeks, the PASI 75
attainment rate was 66.4%, 74.8% and 76.6%, respectively; the clinically
meaningful DLQI improvement (>=5 point decrease) rate was 68.9%, 75.7% and 69.8%,
respectively. BMI category and abdominal obesity at enrolment did not impact PASI
75 or DLQI improvement rate attainment. CONCLUSION: In the routine care of
Greece, infliximab reduced disease activity and improved the quality of life of
moderate-to-severe psoriasis patients through 1 year of treatment, independent of
their BMI and WC.
PMID- 29356150
TI - Skin-Inspired Multifunctional Autonomic-Intrinsic Conductive Self-Healing
Hydrogels with Pressure Sensitivity, Stretchability, and 3D Printability.
PMID- 29356151
TI - Recent Advances in Germanium-Based Photoinitiator Chemistry.
AB - Acylgermanes provide an outstanding photoinduced reactivity at very useful
absorption wavelengths. This encouraged multidisciplinary research groups to
utilize them as highly effective and non-toxic photoinitiators particularly for
medical applications. In this Minireview, we present the most recent
breakthroughs to synthesize acylgermanes. We also outline mechanistic aspects of
photoinduced reactions of several acylgermane derivatives based on fundamental
spectroscopic insights. These studies may aid future developments for tailor-made
photoinitiators.
PMID- 29356152
TI - A tango for two: Dopamine and alpha-synuclein synergy may explain nigrostriatal
degeneration in Parkinson's disease.
PMID- 29356153
TI - MUN (MERISTEM UNSTRUCTURED), encoding a SPC24 homolog of NDC80 kinetochore
complex, affects development through cell division in Arabidopsis thaliana.
AB - Kinetochore, a protein super-complex on the centromere of chromosomes, mediates
chromosome segregation during cell division by providing attachment sites for
spindle microtubules. The NDC80 complex, composed of four proteins, NDC80, NUF2,
SPC24 and SPC25, is localized at the outer kinetochore and connects spindle
fibers to the kinetochore. Although it is conserved across species, functional
studies of this complex are rare in Arabidopsis. Here, we characterize a
recessive mutant, meristem unstructured-1 (mun-1), exhibiting an abnormal
phenotype with unstructured shoot apical meristem caused by ectopic expression of
the WUSCHEL gene in unexpected tissues. mun-1 is a weak allele because of the
insertion of T-DNA in the promoter region of the SPC24 homolog. The mutant
exhibits stunted growth, embryo arrest, DNA aneuploidy, and defects in chromosome
segregation with a low cell division rate. Null mutants of MUN from TALEN and
CRISPR/Cas9-mediated mutagenesis showed zygotic embryonic lethality similar to
nuf2-1; however, the null mutations were fully transmissible via pollen and
ovules. Interactions among the components of the NDC80 complex were confirmed in
a yeast two-hybrid assay and in planta co-immunoprecipitation. MUN is co
localized at the centromere with HTR12/CENH3, which is a centromere-specific
histone variant, but MUN is not required to recruit HTR12/CENH3 to the
kinetochore. Our results support that MUN is a functional homolog of SPC24 in
Arabidopsis, which is required for proper cell division. In addition, we report
the ectopic generations of stem cell niches by the malfunction of kinetochore
components.
PMID- 29356154
TI - Encoding, Reading, and Transforming Information Using Multifluorescent
Supramolecular Polymeric Hydrogels.
AB - Traditional (1D, 2D, and 3D) codes are widely used to provide convenient readouts
of encoded information. However, manipulating and transforming the encoded
information is typically difficult to achieve. Here, the preparation of three
fluorescent (blue, green, and red) hydrogels containing both tetracationic
receptor-anion recognition motifs and gel-specific fluorophores is reported,
which may be used as building blocks to construct through physical adhesion
fluorescent color 3D codes (Code A, Code B, and Code C) that may be read out by a
smartphone. As a result, parts of the individual gel components that make up Code
B can be replaced with other gel building blocks to form Code A via a cut and
adhesion approach. A fluorophore responsive to ammonia is further incorporated
into one of the hydrogels. This allows the gel block-derived pattern that makes
up Code C to be converted to Code A by chemical means. Therefore, the encoded
information produced by patterns of the present hydrogels may be transformed
through either physical action or by exposure to a chemical stimulus. Due to the
nature of the soft materials involved, the codes can be used as wearable
materials.
PMID- 29356156
TI - Dynamic Polymorph Formation during Evaporative Crystallization from Solution: The
Key Role of Liquid-Like Clusters as "Crucible" at Ambient Temperature.
AB - Understanding the polymorph phenomenon for organic crystals is essential for the
development of organic solid materials. Here, the fluorescence study of the
evaporative crystallization of 1,3-dipyrrol-2-yl-1,3-propanedione boron
difluoride complex (1), which has three polymorphs showing different emission
profiles, is reported. The droplet of 1 in 1,2-dichloroethane showed blue
emission just after dropping. Solids with bluish-green emission were observed. As
time elapsed, a solid with red or orange emission was observed around the
droplet. Time evolution of the fluorescence spectra, observed for the first time,
implied that the molten state of 1 was observed by emission of an intermediate,
even at ambient temperature. These findings suggested that the liquid-like
cluster incidentally forms an ordered array as the crystallites nucleate. The
liquid-like cluster can be considered as the "crucible" in the nucleation of
polymorphs.
PMID- 29356155
TI - Metabolic Study of Dioecy in Mauritia flexuosa: NMR-based and Chemometric
Approaches.
AB - INTRODUCTION: Mauritia flexuosa is a dioecious species native to the Amazon, and
the gender identification can currently be determined through the differentiation
between female and male flowers in the first flowering. OBJECTIVES: To identify
variations in the metabolome profiles of pooled and individual samples of leaves
from female and male plants of M. flexuosa in reproductive phase, and the plant
in its vegetative phase, using two extraction systems. MATERIAL AND METHODS:
Extractions of leaves were separately conducted using deuterated water and
methanol. The extracts were evaluated by NMR spectroscopy and chemometric
methods. RESULTS: Different NMR spectroscopic profiles were observed for females,
males, and plants in the vegetative phase. Significant variations were found in
the carbohydrate and fatty acid contents for the aqueous and methanolic extracts,
respectively. Although principal component analysis (PCA) has not been efficient
to distinguish the genders, orthogonal signal correction/partial least squares
discriminant analysis (OPLS-DA) was able to successfully differentiate male and
female plants in the reproductive phase, independently on the sampling approach.
For plants in the vegetative phase, OPLS-DA method from aqueous extracts of M.
flexuosa leaves also distinguished female samples from the others and showed a
small overlap between male and young plants, while the classification model was
not able to be used for prediction. CONCLUSIONS: NMR spectroscopy and chemometric
based approach was demonstrated to be useful in the metabolic study of dioecy in
M. flexuosa. The extracts of the leaves allowed for differentiation between male
and female plants; however, for plants in the vegetative phase, the
identification of the gender was not effective. Copyright (c) 2018 John Wiley &
Sons, Ltd.
PMID- 29356157
TI - In Situ Real-Time Study of the Dynamic Formation and Conversion Processes of
Metal Halide Perovskite Films.
AB - Metal halide perovskite solar cells (PSCs) have advanced to the forefront of
solution-processed photovoltaic techniques and made stunning progress in power
conversion efficiency (PCE). Further improvements in device performances rely on
perfecting the structure and morphology of perovskite films. However, undesirable
defects such as pinholes and grain boundaries are often created in film
preparations due to lack of knowledge of the precise reaction mechanism. Here, in
situ grazing-incidence X-ray diffraction (GI-XRD) investigations are performed,
facilitated by other techniques, on the formation of the widely adopted MAPbI3
(MA = methylammonium) perovskite films from their intermediate adduct (IA)
phases. The influences of solvent vapor atmospheres on MAPbI3 films are also
systematically investigated, where the dynamic conversion processes between
different phases are visualized in real time. Further in situ GI-XRD and infrared
spectroscopy measurements reveal that the IA phases contain both N,N
dimethylformamide and dimethyl sulfoxide (DMSO) as coordinating molecules. By
tuning the DMSO concentration in perovskite precursors, the ideal perovskite film
is formed and the best PCE is achieved for the planar MAPbI3 -based PSCs. These
findings highlight the role of IA phases and the effect of solvent atmospheres on
the quality of perovskite films, providing direct insights into their growth
mechanism.
PMID- 29356159
TI - Secondary Metabolites from Escovopsis weberi and Their Role in Attacking the
Garden Fungus of Leaf-Cutting Ants.
AB - The specialized, fungal pathogen Escovopsis weberi threatens the mutualistic
symbiosis between leaf-cutting ants and their garden fungus (Leucoagaricus
gongylophorus). Because E. weberi can overwhelm L. gongylophorus without direct
contact, it was suspected to secrete toxins. Using NMR and mass spectrometry, we
identified several secondary metabolites produced by E. weberi. E. weberi
produces five shearinine-type indole triterpenoids including two novel
derivatives, shearinine L and shearinine M, as well as the polyketides, emodin
and cycloarthropsone. Cycloarthropsone and emodin strongly inhibited the growth
of the garden fungus L. gongylophorous at 0.8 and 0.7 MUmol, respectively. Emodin
was also active against Streptomyces microbial symbionts (0.3 MUmol) of leaf
cutting ants. Shearinine L instead did not affect the growth of L. gongylophorus
in agar diffusion assays. However, in dual choice behavioral assays Acromyrmex
octospinosus ants clearly avoided substrate treated with shearinine L for the
garden fungus after a 2 d learning period, indicating that the ants quickly learn
to avoid shearinine L.
PMID- 29356158
TI - Carbon-Nanotube-Based Thermoelectric Materials and Devices.
AB - Conversion of waste heat to voltage has the potential to significantly reduce the
carbon footprint of a number of critical energy sectors, such as the
transportation and electricity-generation sectors, and manufacturing processes.
Thermal energy is also an abundant low-flux source that can be harnessed to power
portable/wearable electronic devices and critical components in remote off-grid
locations. As such, a number of different inorganic and organic materials are
being explored for their potential in thermoelectric-energy-harvesting devices.
Carbon-based thermoelectric materials are particularly attractive due to their
use of nontoxic, abundant source-materials, their amenability to high-throughput
solution-phase fabrication routes, and the high specific energy (i.e., W g-1 )
enabled by their low mass. Single-walled carbon nanotubes (SWCNTs) represent a
unique 1D carbon allotrope with structural, electrical, and thermal properties
that enable efficient thermoelectric-energy conversion. Here, the progress made
toward understanding the fundamental thermoelectric properties of SWCNTs,
nanotube-based composites, and thermoelectric devices prepared from these
materials is reviewed in detail. This progress illuminates the tremendous
potential that carbon-nanotube-based materials and composites have for producing
high-performance next-generation devices for thermoelectric-energy harvesting.
PMID- 29356160
TI - Response to Letter: 'Sorrow and cardiovascular events'.
PMID- 29356161
TI - Neural bases of rhythmic entrainment in humans: critical transformation between
cortical and lower-level representations of auditory rhythm.
AB - The spontaneous ability to entrain to meter periodicities is central to music
perception and production across cultures. There is increasing evidence that this
ability involves selective neural responses to meter-related frequencies. This
phenomenon has been observed in the human auditory cortex, yet it could be the
product of evolutionarily older lower-level properties of brainstem auditory
neurons, as suggested by recent recordings from rodent midbrain. We addressed
this question by taking advantage of a new method to simultaneously record human
EEG activity originating from cortical and lower-level sources, in the form of
slow (< 20 Hz) and fast (> 150 Hz) responses to auditory rhythms. Cortical
responses showed increased amplitudes at meter-related frequencies compared to
meter-unrelated frequencies, regardless of the prominence of the meter-related
frequencies in the modulation spectrum of the rhythmic inputs. In contrast,
frequency-following responses showed increased amplitudes at meter-related
frequencies only in rhythms with prominent meter-related frequencies in the input
but not for a more complex rhythm requiring more endogenous generation of the
meter. This interaction with rhythm complexity suggests that the selective
enhancement of meter-related frequencies does not fully rely on subcortical
auditory properties, but is critically shaped at the cortical level, possibly
through functional connections between the auditory cortex and other, movement
related, brain structures. This process of temporal selection would thus enable
endogenous and motor entrainment to emerge with substantial flexibility and
invariance with respect to the rhythmic input in humans in contrast with non
human animals.
PMID- 29356162
TI - Comparison of three gamma oscillations in the mouse entorhinal-hippocampal
system.
AB - The entorhinal-hippocampal system is an important circuit in the brain, essential
for certain cognitive tasks such as memory and navigation. Different gamma
oscillations occur in this circuit, with the medial entorhinal cortex (mEC), CA3
and CA1 all generating gamma oscillations with different properties. These three
gamma oscillations converge within CA1, where much work has gone into trying to
isolate them from each other. Here, we compared the gamma generators in the mEC,
CA3 and CA1 using optogenetically induced theta-gamma oscillations. Expressing
channelrhodopsin-2 in principal neurons in each of the three regions allowed for
the induction of gamma oscillations via sinusoidal blue light stimulation at
theta frequency. Recording the oscillations in CA1 in vivo, we found that CA3
stimulation induced slower gamma oscillations than CA1 stimulation, matching in
vivo reports of spontaneous CA3 and CA1 gamma oscillations. In brain slices ex
vivo, optogenetic stimulation of CA3 induced slower gamma oscillations than
stimulation of either mEC or CA1, whose gamma oscillations were of similar
frequency. All three gamma oscillations had a current sink-source pair between
the perisomatic and dendritic layers of the same region. Taking advantage of this
model to analyse gamma frequency mechanisms in slice, we showed using
pharmacology that all three gamma oscillations were dependent on the same types
of synaptic receptor, being abolished by blockade of either type A gamma
aminobutyric acid receptors or alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid/kainate receptors, and insensitive to blockade of N
methyl-d-aspartate receptors. These results indicate that a fast excitatory
inhibitory feedback loop underlies the generation of gamma oscillations in all
three regions.
PMID- 29356163
TI - Alterations in spontaneous delta and gamma activity might provide clues to detect
changes induced by amyloid-beta administration.
AB - Alzheimer's disease (AD) is the most prevalent form of dementia and has an
increasing incidence. The neuropathogenesis of AD is suggested to be a result of
the accumulation of amyloid-beta (Abeta) peptides in the brain. To date, Abeta
induced cognitive and neurophysiologic impairments have not been illuminated
sufficiently. Therefore, we aimed to examine how spontaneous brain activities of
rats changed by injection of increasing Abeta doses into the brain hemispheres,
and whether these changes could be used as a new biomarker for the early
diagnosis of the AD. Rats were randomized into following groups: sham (Sham) and
seven Abeta-treated (i.c.v.) groups in increasing concentrations (from Abeta-1 to
Abeta-7). After recovery, EEG recordings were obtained from implanted electrodes
from eight electrode locations, and then, spectral and statistical analyses were
performed. A significant decrement in gamma activity was observed in all Abeta
groups compared with the sham group. In delta activity, we observed significant
changes from Abeta-4 to Abeta-7 group compared with sham group. Delta coherence
values were decreased from Abeta-4 to Abeta-7 and Abeta-5 to Abeta-7 groups for
frontal and temporal electrode pairs, respectively. A gradual increment was
observed in Abeta1-42 level till Abeta-4 group. Positive correlation for global
delta power and negative correlation for global gamma power between Abeta1-42
peptide levels were detected. Consequently, it is conceivable to suggest gamma
oscillation might be used to detect early stages of AD. Moreover, changes in
delta activity provide information about the onset of major pathologic changes in
the progress of AD.
PMID- 29356164
TI - Tracking actinic keratosis of face and scalp treated with 0.015% ingenol mebutate
to identify clinical and dermoscopic predictors of treatment response.
AB - BACKGROUND: Ingenol mebutate (IngMeb) 0.015% gel is an approved field treatment
option for non-hyperkeratotic non-hypertrophic actinic keratosis (AK) of face and
scalp. Efficacy of IngMeb has been assessed only on a clinical ground, in the
majority of studies. Dermoscopy is a pivotal tool for the diagnosis of AK, while
its role in evaluating the response to non-surgical therapies for AK has not been
fully defined. OBJECTIVES: Our study aims to determine whether some dermoscopic
features of AK of the face and scalp areas may independently predict the response
to IngMeb therapy. METHODS: Clinical and dermoscopic responses, 1 month after
0.015% IngMeb therapy, were retrospectively evaluated using a per-patient and per
lesion approach. Safety was evaluated through local skin reaction composite score
calculation. Demographic, clinical and dermoscopic factors were then evaluated
via univariate and multivariate logistic regression analysis to assess
independent predictors of response. RESULTS: Fifty-five patients with 245 AKs
were enrolled. Clinically, per-patient response evaluation identified 25 (45.4%)
poor/partial and 30 (54.5%) complete responders, corresponding on a per-lesion
approach to 66 (26.9%) and 179 (73.1%) AKs, respectively. Dermoscopy reclassified
14 patients in the per-patient and 48 AKs in the per-lesion analysis from
complete to poor/partial responders. Multivariate logistic regression analysis
showed that AKs dermoscopically characterized by red pseudonetwork and located on
the face were independently associated with a complete dermoscopic response to
0.015% IngMeb therapy, while microerosions were negative predictors. CONCLUSION:
Specific dermoscopic features of AK may predict the response to 0.015% IngMeb
therapy, together with the location on the face.
PMID- 29356165
TI - Period prevalence and reporting rate of medication errors among nurses in Iran: A
systematic review and meta-analysis.
AB - AIMS: To estimate the 1-year period prevalence of medication errors and the
reporting rate to nurse managers among nurses working in hospitals in Iran.
BACKGROUND: Medication errors are one of the main factors affecting the quality
of hospital services and reducing patient safety in health care systems. METHOD:
A literature search from Iranian and international scientific databases was
developed to find relevant studies. Meta-regression was used to identify which
characteristics may have a confounding effect on the pooled prevalence estimates.
RESULTS: Based on the final 22 studies with 3556 samples, the overall estimated 1
year period prevalence of medication errors and its reporting rate to nurse
managers among nurses were 53% (95% confidence interval, 41%-60%) and 36% (95%
confidence interval, 23%-50%), respectively. The meta-regression analyses
indicated that the sex (female/male) ratio was a statistically significant
predictor of the prevalence of medication errors (p < .05), but not of the
prevalence of reporting medication errors to nurse managers. CONCLUSION: The
period prevalence of medication errors among nurses working in hospitals was high
in Iran, whereas its reporting rate to nurse managers was low. IMPLICATIONS FOR
NURSING MANAGEMENT: Continuous training programmes are required to reduce and
prevent medication errors among nursing staff and to improve the reporting rate
to nurse managers in in Iran.
PMID- 29356166
TI - Different responses of the right superior temporal sulcus to visual movement
feedback during self-generated vs. externally generated hand movements.
AB - An important implication of several recent accounts of motor control is that
sensory feedback from self-generated movements is relatively attenuated based on
predictions issued by the agent's motor system. Such a relative attenuation of
sensory information during actions has already been demonstrated in the
somatosensory domain. Here, we used functional magnetic resonance imaging (fMRI)
and a virtual reality-based setup to investigate a potential attenuation of brain
responses to realistic visual movement feedback during active vs. passive right
hand movements. The participants' right unseen hand was rotated either by the
participants themselves or by the experimenter, while the participants received
visual movement feedback via a photorealistic virtual 3D hand driven by their
real hand movements, or received no visual feedback. We observed a significant
interaction between movement type (active vs. passive) and movement feedback
(vision vs. no vision) in the right superior temporal sulcus (STS), which showed
relatively attenuated blood-oxygen-level-dependent (BOLD) signal differences in
movements with vs. without visual feedback when those movements were actively vs.
passively executed. This finding suggests that STS activity caused by visual
feedback from the moving body may be attenuated based on the agent's motor
predictions.
PMID- 29356168
TI - Altered transcription of glutamatergic and glycinergic receptors in spinal cord
dorsal horn following spinal cord transection is minimally affected by passive
exercise of the hindlimbs.
AB - Gene expression is altered following a spinal transection (STx) in both motor and
sensory systems. Exercise has been shown to influence gene expression in both
systems post-STx. Gene expression alterations have also been shown in the dorsal
root ganglia and nociceptive laminae of the spinal cord following either an
incomplete spinal cord injury (SCI) or a contusive SCI. However, the effect of
STx and exercise on gene expression in spinal cord laminae I-III has not fully
been examined. Therefore, the purpose of this study was to determine whether gene
expression in laminae I-III is altered following STx and determine whether
superimposed passive exercise of the hindlimbs would influence gene expression
post-STx in laminae I-III. Laser capture microdissection was used to selectively
harvest laminae I-III of lumbar spinal cord sections, and quantitative RT-PCR was
used to examine relative expression of 23 selected genes in samples collected
from control, STx and STx plus exercise rats. We demonstrate that post-STx, gene
expression for metabotropic glutamate receptors 1, 5 and 8 were up-regulated,
whereas ionotropic glutamatergic receptor (Glur2) and glycinergic subunit GLRA1
expression was down-regulated. Daily exercise attenuated the down-regulation of
Glur2 gene expression in laminae I-III. Our results demonstrate that in a STx
model, gene expression is altered in laminae I-III and that although passive
exercise influences gene expression in both the motor and sensory systems, it had
a minimal effect on gene expression in laminae I-III post-STx.
PMID- 29356167
TI - Targeting of miR-31/96/182 to the Numb gene during head and neck oncogenesis.
AB - BACKGROUND: MicroRNAs (miRNAs) play crucial roles in head and neck squamous cell
carcinoma (HNSCC). This study investigates whether miR-31, miR-96, and miR-182
are involved in targeting Numb during HNSCC. METHODS: The expression of miR
31/96/182 in tumor tissues was analyzed. Reporter assay, knockdown, expression,
and oncogenic analysis were carried out in cell lines. RESULTS: Upregulation of
miR-31/96/182 was detected in tumor tissues. In addition, advanced tumors showed
higher expression levels of these miRNAs. The expression of these miRNAs was
upregulated after treatment with areca ingredients (P < .01 or P < .001). These
miRNAs directly targeted the 3' untranslated region (UTR) sequence of the Numb
gene. An increased migration and invasion of HNSCC cells was associated with the
exogenous expression of miR-31/96/182 (P < .01 or P < .001), and this was
reverted by expression of Numb. CONCLUSION: This study provides new evidence
demonstrating that there is frequent and concordant upregulation of miR-31, miR
96, and miR-182 during HNSCC and these miRNAs co-target Numb.
PMID- 29356169
TI - Role of dental hardware in oral cavity squamous cell carcinoma in the low-risk
nonsmoker nondrinker population.
AB - BACKGROUND: Oral cavity squamous cell carcinoma (SCC) arising in nonsmokers and
nondrinkers remains poorly characterized. We hypothesized that these patients had
prior exposure to metallic dental hardware. METHODS: We utilized a questionnaire
querying the lifetime oral health status of 54 patients. Demographics and
extensive oral health history were collected. RESULTS: The majority of patients
(74%) had prior exposure to metallic dental hardware. The younger population with
almost exclusively oral tongue cancer had a high prevalence of metallic
orthodontic braces (40%) within 15 years before diagnosis. In the 51+ year age
group, 82% had crowns, dental implants, and/or dentures with metallic elements.
CONCLUSION: Exposure to metallic dental hardware has increased in the past few
decades given the rise of orthodontic braces and older adults retaining more
teeth. Although this study does not prove a causal relationship between oral
cavity SCC and dental hardware, this is a step toward identifying and
investigating their role.
PMID- 29356171
TI - The impact of closed-loop electronic medication management on time to first dose:
a comparative study between paper and digital hospital environments.
AB - : Closed-loop electronic medication management systems (EMMS) are recognised as
an effective intervention to improve medication safety, yet evidence of their
effectiveness in hospitals is limited. Few studies have compared medication
turnaround time for a closed-loop electronic versus paper-based medication
management environment. OBJECTIVE: To compare medication turnaround times in a
paper-based hospital environment with a digital hospital equipped with a closed
loop EMMS, consisting of computerised physician order entry, profiled automated
dispensing cabinets packaged with unit dose medications and barcode medication
administration. METHOD: Data were collected during 2 weeks at three private
hospital sites (one with closed-loop EMMS) within the same organisation network
in Queensland, Australia. Time between scheduled and actual administration times
was analysed for first dose of time-critical and non-critical medications located
on the ward or sourced via pharmacy. KEY FINDINGS: Medication turnaround times at
the EMMS site were less compared to the paper-based sites (median, IQR: 35 min, 8
57 min versus 120 min, 30-180 min, P < 0.001). For time-critical medications, 77%
were administered within 60 min of scheduled time at the EMMS site versus 38% for
the paper-based sites. Similar difference was observed for non-critical
medications, 80% were administered within 60 min of their scheduled time at the
EMMS site versus 41% at the paper-based facilities. CONCLUSION: The study
indicates medication turnaround times utilising a closed-loop EMMS are less
compared to paper-based systems. This improvement may be attributable to
increased accessibility of medications using automated dispensing cabinets and
electronic medication administration records flagging tasks to nurses in real
time.
PMID- 29356170
TI - T-cell papulosis associated with B-cell malignancy: a distinctive
clinicopathologic entity.
AB - BACKGROUND: A distinctive eruption referred to as 'insect bite-like reaction' or
'eosinophilic dermatosis of haematological malignancy' has been described during
the course of haematological B-cell malignancies (BCM). However, its clinical
evolution, histopathological features and pathogenesis remain unclear.
OBJECTIVES: To characterize this eruption and to investigate its pathogenesis and
relationship with the underlying BCM. METHODS: In this multicenter retrospective
study of the French Study Group on Cutaneous Lymphomas, 37 patients with a BCM
and a cutaneous eruption consisting in chronic and/or recurrent papules, papulo
vesicles and/or nodules were included. Clinical, histopathological,
immunohistochemical and molecular data were reviewed. RESULTS: No significant
insect bite history or seasonal predominance was recorded. Patients had pruritic
papules (81%), papulo-vesicles (43%) and nodules (38%), often predominated in the
head and neck region (84%), without complete remission periods in most cases
(57%). The predominant associated BCM was chronic lymphocytic leukaemia (73%).
Histological and immunohistochemical review showed a dense dermal lymphocytic
infiltrate predominantly composed of T lymphocytes (100%), with frequent
eosinophils (77.6%); a perivascular and periadnexal (most often folliculotropic)
pattern (77.6%), sometimes suggestive of a folliculotropic mycosis fungoides;
clusters of tumour B cells were identified in 47% of cases using appropriate
phenotyping markers. In 10/14 cases (71.4%) tested for B-cell IgH gene
rearrangement, a B-cell clone was identified in skin lesions (identical to the
blood clone in nine cases), whereas no T-cell clone was present. CONCLUSION: We
propose the denomination 'T-cell papulosis associated with B-cell malignancy'
(TCP-BCM) for this distinctive eruption. Although resulting in various
histopathological pictures, it can be easily recognized by clinicians and may be
identified by informed pathologists relying on some key features. An
extravasation of tumour B cells with skin-homing properties associated with a
secondary, predominant, T-cell immune reaction could explain the
clinicopathologic aspect and the prolonged regressive and recurrent course of the
disease.
PMID- 29356172
TI - Therapy response was not altered by HLA-Cw6 status in psoriasis patients treated
with secukinumab: a retrospective case series.
PMID- 29356173
TI - 9-Membered Carbocycles: Strategies and Tactics for their Synthesis.
AB - Many natural products comprising a nine-membered carbocyclic core structure
exhibit interesting biological effects. However, only a minority have succumbed
to their synthesis in the past. The synthesis of functionalized nine-membered
carbocycles still remains a challenging goal for synthetic chemists, mainly due
to their high ring strain. Different strategies to overcome the unfavorable
enthalpic and entropic factors associated with their formation are highlighted in
this Concept article. The presented methods are classified into two different
categories: (1) the ring-expansion of smaller rings or the ring-contraction of
larger rings and (2) the direct cyclization of acyclic precursors.
PMID- 29356174
TI - Adolescents' alcohol use and strength of policy relating to youth access, trading
hours and driving under the influence: findings from Australia.
AB - AIMS: To determine (i) whether the strength of Australian alcohol control policy
in three domains (youth access, trading hours and drink driving) changed during
the 2000s; and (ii) estimate associations between these policies and adolescent
drinking after adjusting for television alcohol advertising exposures, alcohol
outlet density, alcohol price changes, exposure to negative articles about
alcohol in daily newspapers and adult drinking prevalence. DESIGN: Repeated cross
sectional surveys conducted triennially from 2002 to 2011. Multi-level modelling
examined the association between alcohol control policies and drinking prevalence
after adjusting for covariates. SETTING: Four Australian capital cities between
2002 and 2011. PARTICIPANTS: Students aged 12-17 years participating in a
triennial national representative school-based survey (sample size range/survey:
9805-13 119). MEASUREMENTS: Outcome measures were: past month drinking and risky
drinking (5+ drinks on a day) in the past 7 days. Policy strength in each of
three domains (youth access, trading hours, drink-driving) were the key predictor
variables. Covariates included: past 3-month television alcohol and alcohol
control advertising, alcohol outlet density, alcohol price change, negatively
framed newspaper alcohol articles, adult drinking prevalence and student
demographic characteristics. FINDINGS: During the study period, the strength of
youth access policies increased by 10%, trading hours policies by 14% and drink
driving policies by 58%. Past-month and risky drinking prevalence decreased (e.g.
past-month: 2002: 47.4% to 2011: 26.3%). Multivariable analyses that included all
policy variables and adjusted for year, student and other covariates showed past
month drinking to be associated inversely with stronger trading hours policies
[odds ratio (OR) = 0.80, 95% confidence interval (CI) = 0.69, 0.94], but not
youth access (OR = 0.92 95% CI = 0.81, 1.04) or drink-driving (OR = 1.00, 95% CI
= 0.93, 1.09). Risky drinking was associated inversely with stronger youth access
policies (OR = 0.82, 95% CI = 0.69, 0.98), but not trading hours (OR = 0.85, 95%
CI = 0.66, 1.09) or drink-driving (OR = 1.02, 95% CI = 0.90, 1.14) policies.
CONCLUSIONS: Population-directed policies designed to reduce alcohol availability
and promotion may reduce adolescents' alcohol use.
PMID- 29356175
TI - Radioprotective effects of dammarane sapogenins against 60 Co-induced
myelosuppression in mice.
AB - Radiotherapy frequently induces failure of hematopoietic system and leads to
myelosuppression. The objective of this study was to investigate the protective
effect of dammarane sapogenins (DS), the hydrolysed product of the constituent
ginsenosides of Panax ginseng, which are produced by gut metabolism, on radiation
induced hematopoietic injury. Mice were exposed to 3.5 Gy 60 Co gamma-rays of
total body radiation at a dose rate of 1.60 Gy per minute and treated with DS or
granulocyte colony-stimulating factor immediately after radiation. The general
condition of the mice, the peripheral blood cell counts, multiple colony forming
unit (CFU) assays of hematopoietic progenitor cells, hematopoietic stem cell
counts, bone marrow histology, and spleen colony forming unit counts were then
investigated. Our results indicated that administration with DS could ameliorate
60 Co-irradiation induced damage and significantly increase the number of
peripheral blood cells (white blood cells and platelets), 5 types of
hematopoietic progenitor cells CFU (CFU-GM, CFU-E, BFU-E, CFU-Meg, and CFU-GEMM),
hematopoietic stem cell (Lin- c-kit+ Scal-1+ ) numbers, and CFUs in the spleen,
as well as improved bone marrow histopathology. All together, these results
confirmed the enhancement of DS on hematopoiesis.
PMID- 29356176
TI - Tools of the trade: Improving nurses' ability to access and evaluate research.
AB - AIM: To evaluate the effect of a manager-required RN competency on staff nurses'
perceived knowledge, ability and frequency of information-seeking activities.
BACKGROUND: Basing clinical practice on research and standards of care is
essential to delivering appropriate care with optimal outcomes. Nurses'
information-seeking abilities are critical for acquiring evidence-based answers
to aid clinical decision-making, yet nurses under-utilize library resources and
report barriers. A unit manager sought to test the effect of an innovative
competency for acquiring and appraising evidence for practice. METHODS: This
longitudinal descriptive study evaluated 28 nurses before and after a 1-hr class,
as well as 5 months later. The class covered library information services and the
basics of critiquing research articles. RESULTS: Nurses had statistically
significant improvements in four of five items measuring knowledge/ability and
four of five items measuring frequency of information-seeking activities. At 5
months, most knowledge/ability items increased. There was no effect of nurse
characteristics on outcomes. CONCLUSIONS: A required competency improved nurses'
knowledge, ability and frequency of acquiring and appraising evidence with a
single 1-hr class and a hands-on practice activity. Unit managers can have great
impact on nurses' use of evidence for practice.
PMID- 29356177
TI - Proline-rich transmembrane protein 2-negative paroxysmal kinesigenic dyskinesia:
Clinical and genetic analyses of 163 patients.
AB - BACKGROUND: Paroxysmal kinesigenic dyskinesia is the most common type of
paroxysmal dyskinesia. Approximately half of the cases of paroxysmal kinesigenic
dyskinesia worldwide are attributable to proline-rich transmembrane protein 2
mutations. OBJECTIVE: The objective of this study was to investigate potential
causative genes and clinical characteristics in proline-rich transmembrane
protein 2-negative patients with paroxysmal kinesigenic dyskinesia. METHODS: We
analyzed clinical manifestations and performed exome sequencing in a cohort of
163 proline-rich transmembrane protein 2-negative probands, followed by filtering
data with a paroxysmal movement disorders gene panel. Sanger sequencing,
segregation analysis, and phenotypic reevaluation were used to substantiate the
findings. RESULTS: The clinical characteristics of the enrolled 163 probands were
summarized. A total of 39 heterozygous variants were identified, of which 33 were
classified as benign, likely benign, and uncertain significance. The remaining 6
variants (3 novel, 3 documented) were pathogenic and likely pathogenic. Of these,
3 were de novo (potassium calcium-activated channel subfamily M alpha 1,
c.1534A>G; solute carrier family 2 member 1, c.418G>A; sodium voltage-gated
channel alpha subunit 8, c.3640G>A) in 3 sporadic individuals, respectively. The
other 3 (paroxysmal nonkinesiogenic dyskinesia protein, c.956dupA; potassium
voltage-gated channel subfamily A member 1, c.765C>A; Dishevelled, Egl-10, and
Pleckstrin domain containing 5, c.3311C>T) cosegregated in 3 families. All 6
cases presented with typical paroxysmal kinesigenic dyskinesia characteristics,
except for the Dishevelled, Egl-10, and Pleckstrin domain containing 5 family,
where the proband's mother had abnormal discharges in her temporal lobes in
addition to paroxysmal kinesigenic dyskinesia episodes. CONCLUSIONS: Our findings
extend the genotypic spectrum of paroxysmal kinesigenic dyskinesia and establish
the associations between paroxysmal kinesigenic dyskinesia and genes classically
related to other paroxysmal movement disorders. De novo variants might be a cause
of sporadic paroxysmal kinesigenic dyskinesia. (c) 2018 International Parkinson
and Movement Disorder Society.
PMID- 29356178
TI - Programmed death ligand-1 expression as immunotherapeutic target in sinonasal
cancer.
AB - BACKGROUND: Sinonasal cancer carries a poor prognosis, especially in recurrent
stages, and it is a disease with very limited treatment options. METHODS: The
expression of programmed death ligand-1 (PD-L1) as a marker for immunotherapy was
evaluated in 53 sinonasal squamous cell carcinoma (SCC) and 126 intestinal-type
adenocarcinoma (ITAC) samples. Results were correlated to clinicopathological
characteristics and follow-up data. RESULTS: Membranous PD-L1 staining of tumor
cells was observed in 34% (18/53) of the sinonasal SCC samples and in 17%
(22/126) of the ITAC samples. The PD-L1 positivity on infiltrating immune cells
occurred in 45% (24/53) of the sinonasal SCC samples and in 33% (41/126) of the
ITAC samples. Expression of PD-L1 showed no correlation to clinicopathological
parameters and was not an independent risk factor for survival. CONCLUSION: The
PD-L1 positivity does not seem to have prognostic value. However, a proportion of
patients with sinonasal SCC and ITAC may benefit from therapy with immune
checkpoint inhibitors that recently have been approved for clinical application
in head and neck cancer.
PMID- 29356179
TI - Neutrophil-to-lymphocyte ratio in head and neck cancer prognosis: A systematic
review and meta-analysis.
AB - BACKGROUND: Hematologic markers, such as the neutrophil-to-lymphocyte ratio
(NLR), characterize the inflammatory response to cancer and are associated with
poorer survival in various malignancies. We evaluate the effect of pretreatment
NLR on overall survival (OS) in patients with head and neck squamous cell
carcinoma (HNSCC). METHODS: Using multiple databases, a systematic search for
articles evaluating the effect of NLR on OS in patients with HNSCC was performed.
An inverse variation, random-effects model was used to analyze the data. RESULTS:
A total of 24 of 241 articles, including 6479 patients, were analyzed. The
combined hazard ratio for OS in patients with an elevated NLR (range 2.04-5) was
1.78 (confidence interval [CI] 1.53-2.07; P < .0001). The hazard ratios for site
specific cancer: oral cavity 1.56 CI 1.23-1.98 (P < .001), nasopharynx 1.66 CI
1.35-2.04 (P < .001), larynx 1.55 CI 1.26-1.92 (P < .001), and hypopharynx 2.36
CI 1.54-3.61 (P < .001). CONCLUSION: An elevated NLR is predictive of poorer OS
in patients with HNSCC.
PMID- 29356180
TI - Severe adverse cutaneous drug reactions to antiepileptic drugs: 18 years of
experience in a tertiary referral dermatology clinic in Turkey.
PMID- 29356181
TI - Guideline-compliant prescription of biologicals and possible barriers in
dermatological practices in Bavaria.
AB - INTRODUCTION: Psoriasis and urticaria are chronic inflammatory skin diseases,
which account for a substantial socioeconomic burden and severely affect
patients' quality of life. According to the respective German guidelines,
biologicals can be used for the treatment of severe forms of these diseases.
However, only a minority of patients receive this advised treatment. OBJECTIVE:
To analyse the prescription of biologicals according to the German national
guidelines for psoriasis and chronic spontaneous urticaria and to assess possible
barriers to prescription. MATERIALS AND METHODS: Cross-sectional, questionnaire
based study including all Bavarian dermatologists based in private practices.
Linear and logistic regression models were used to identify significant
influencing factors on the perception of possible barriers. RESULTS: Between
January 2017 and February 2017, a total of 137 (of 499) dermatologists
participated. Of all patients with moderate to severe psoriasis and chronic
spontaneous urticaria, participating dermatologists indicated treating 14.2% and
6.9% with biologicals, respectively. The most prevalent barriers to prescription
were the high cost of the therapy, the low reimbursement and the fear of
recourse. Analysis showed that age, years spent working in a dermatological
hospital and the number of patients treated with moderate to severe psoriasis
affect the perception of many barriers. Furthermore, age and barriers related to
physician factors and external factors were identified as modifiers to the
prescription scheme of dermatologists. CONCLUSIONS: The role of clinical
education and the importance of external and economic barriers in comparison with
medical barriers have to be emphasised. Guideline-compliant use of biologicals
has to be optimised. Further research is needed to ascertain not only a barrier
pattern for Bavaria but also for wider settings. Actions based on this for
psoriasis are needed to achieve the goal of the WHO Global Psoriasis Report to
strengthen the role of patient-centred care and improve the quality of life of
affected patients. Analogue, this applies also for urticaria.
PMID- 29356182
TI - Retinoic acid signalling is a candidate regulator of the expression of pituitary
specific transcription factor Prop1 in the developing rodent pituitary.
AB - Development of the anterior pituitary proceeds via spatiotemporal patterning of
transcription factors and signalling molecules. Among them, retinoic acid (RA)
functions as an important signalling molecule for vertebrate organogenesis in
many tissues. However, little is known regarding the target genes in the
developing pituitary. The present study aimed to clarify the relationship between
endogenous RA signalling and mRNA expression of the pituitary-specific
transcription factor Prop1 in the pituitary primordium of Rathke's pouch. Gene
expression analysis and in situ hybridisation demonstrated that retinaldehyde
dehydrogenases (Raldhs) and all types of RA receptors (Rars) are expressed at the
level of transcription in the rat Rathke's pouch. Ex vivo organ culture using
Rathke's pouch and an in vitro reporter assay demonstrated that RA signalling
increases the expression level of Prop1 via RARalpha. Moreover, a reporter assay
using serial truncated constructs of the 5'-upstream region of mouse Prop1
revealed a predicted cis-regulatory element of RARalpha. This is the first report
of a relationship between RA signalling and Prop1-expression during early
pituitary development.
PMID- 29356183
TI - Whole brain white matter histogram analysis of diffusion tensor imaging data
detects microstructural damage in mild cognitive impairment and alzheimer's
disease patients.
AB - BACKGROUND: Amnestic mild cognitive impairment (MCI) is a transitional stage
between normal aging and Alzheimer's disease (AD). However, the clinical
conversion from MCI to AD is unpredictable. Hence, identification of noninvasive
biomarkers able to detect early changes induced by dementia is a pressing need.
PURPOSE: To explore the added value of histogram analysis applied to measures
derived from diffusion tensor imaging (DTI) for detecting brain tissue
differences between AD, MCI, and healthy subjects (HS). STUDY TYPE: Prospective.
POPULATION/SUBJECTS: A local cohort (57 AD, 28 MCI, 23 HS), and an Alzheimer's
Disease Neuroimaging Initiative (ADNI) cohort (41 AD, 58 MCI, 41 HS). FIELD
STRENGTH: 3T. Dual-echo turbo spin echo (TSE); fluid-attenuated inversion
recovery (FLAIR); modified-driven-equilibrium-Fourier-transform (MDEFT);
inversion-recovery spoiled gradient recalled (IR-SPGR); diffusion tensor imaging
(DTI). ASSESSMENT: Normal-appearing white matter (NAWM) masks were obtained using
the T1 -weighted volumes for tissue segmentation and T2 -weighted images for
removal of hyperintensities/lesions. From DTI images, fractional anisotropy (FA),
mean diffusivity (MD), axial diffusivity (AXD), and radial diffusivity (RD) were
obtained. NAWM histograms of FA, MD, AXD, and RD were derived and characterized
estimating: peak height, peak location, mean value (MV), and quartiles (C25, C50,
C75), which were compared between groups. Receiver operating characteristic (ROC)
and area under ROC curves (AUC) were calculated. To confirm our results, the same
analysis was repeated on the ADNI dataset. STATISTICAL TESTS: One-way analysis of
variance (ANOVA), post-hoc Student's t-test, multiclass ROC analysis. RESULTS:
For the local cohort, C25 of AXD had the maximum capability of group
discrimination with AUC of 0.80 for "HS vs. patients" comparison and 0.74 for "AD
vs. others" comparison. For the ADNI cohort, MV of AXD revealed the maximum group
discrimination capability with AUC of 0.75 for "HS vs. patients" comparison and
0.75 for "AD vs. others" comparison. DATA CONCLUSION: AXD of NAWM might be an
early marker of microstructural brain tissue changes occurring during the AD
course and might be useful for assessing disease progression. LEVEL OF EVIDENCE:
1 Technical Efficacy: Stage 2 J. Magn. Reson. Imaging 2017.
PMID- 29356184
TI - Estimation of the radiation dose for pediatric CBCT indications: a prospective
study on ProMax3D.
AB - BACKGROUND: An increasing number of CBCT units and a wide variability of
radiation doses have been reported in dentistry lately. AIM: To estimate the
effective, cumulative, and organ absorbed doses in children exposed to CBCT over
2 years. DESIGN: A prospective study was conducted in children who underwent CBCT
diagnostic imaging with the ProMax3D machine. Organ and effective doses were
calculated by Monte Carlo simulation using 5- and 8-year-old pediatric voxel
phantoms. Extrapolation procedures were applied to estimate doses for other ages
and CBCT protocols used in clinical conditions. RESULTS: The median effective
dose was 137.9 MUSv, and the median cumulative dose was 231.4 MUSv. Statistically
significant differences in the effective doses and cumulative doses were found
for various indications of CBCT in children (P < 0.001). The median absorbed
organ dose for brain and thyroid was significantly higher for the clinical
condition that required large FOVs (2.5 mGy and 1.05 mGy, respectively) compared
to medium (0.19 and 0.51 mGy) and small FOVs (0.07 and 0.24 mGy; P < 0.05). The
radiation dose of salivary glands did not vary significantly with FOV.
CONCLUSION: The results revealed the variation of CBCT doses and the influence of
FOV size in pediatric exposure.
PMID- 29356185
TI - Medicinal uses, pharmacology, and phytochemistry of Convolvulaceae plants with
central nervous system efficacies: A systematic review.
AB - Central nervous system (CNS) disorders play a major impact on individual lives
and place a severe strain on health care resources. Convolvulaceae is a family
comprising approximately 1,600-1,700 species grouped in 55-60 genera, and many
species are reported to have an effect on CNS functions. A systematic review of
the literature studies was carried out to summarize available evidences on
Convolvulaceae plants with CNS efficacies. This review is based on various data
sources such as Google Scholar, Web of Science, Scopus, PubMed, and Wanfang Data.
A total of 200 related articles were included in this review. According to the
research result, 54 Convolvulaceae species are suggested to display CNS
efficacies historically, and 46 species have been evaluated for their CNS
efficacies. In addition, 67 compounds from 16 Convolvulaceae species are
recognized to possess CNS efficacies. Despite great progress made through
pharmacology and phytochemistry studies on CNS active Convolvulaceae species,
more exploratory research is needed to gain a better understanding of the CNS
efficacies of this plant family.
PMID- 29356186
TI - The Blomia tropicalis allergen Blo t 7 stimulates innate immune signalling
pathways through TLR2.
AB - BACKGROUND: Although the house dust mite species Blomia tropicalis is a leading
cause of allergic diseases in tropical and subtropical regions, the
identification and characterization of the allergenic proteins remain incomplete.
OBJECTIVE: We aimed to characterize a recombinant form of Blo t 7 (rBlo t 7) in
terms of IgE reactivity, lipid-binding activity and ability to stimulate innate
immunity. METHODS: The mature Blo t 7 cDNA was cloned by PCR methods for the
expression of a secreted form of the allergen in P. pastoris. The IgE reactivity
to purified rBlo t 7 as well as the potential cross-reactivity with Der p 7 was
determined by ELISA. The lipid-binding capacity of rBlo t 7 was assayed using
fluorescent lipid probes. The stimulation of TLR2 signalling pathway by rBlo t 7
was examined in cell activation and reporter assays. RESULTS: The amplified
mature Blo t 7 cDNA revealed the presence of a 60 base pair insertion compared
with the reference sequence registered in the GenBank database. Multiple protein
sequence alignments of group 7 mite allergens confirmed that this longer deduced
amino acid sequence was the authentic Blo t 7 polypeptide chain. Analysis of IgE
reactivity can classify rBlo t 7 as an intermediate B. tropicalis allergen which
displayed weak cross-reactivity with Der p 7. Purified rBlo t 7 was shown to bind
selectively the naturally fluorescent lipid probe cis-parinaric (cPNA) with a
dissociation constant of 2 MUmol/L. The group 7 Blomia allergen stimulated the
TLR2-, NF-kB- and MAPK-dependent production of IL-8 and GM-CSF in respiratory
epithelial cells. CONCLUSIONS & CLINICAL RELEVANCE: Through its propensity to
transport fatty acids/lipids and to stimulate TLR2 signalling pathways in airway
epithelial cells, Blo t 7 can represent a key allergen for the initiation of the
B. tropicalis-induced airway inflammation.
PMID- 29356187
TI - Evaluation of margins in head and neck squamous cell carcinoma from the surgeon's
perspective.
AB - BACKGROUND: The surgeon's evaluation of resection status based on frozen section
analysis during operation and pathological examination of resected specimens
often differ. For this study, we recapitulated the surgeon's perspective during
an operation, accordingly classified the evaluation of margins by the surgeon,
and analyzed its impact on the outcome compared with the pathological results.
METHODS: This was a retrospective analysis. As data sources, paper-based and
digital patient files, as well as the Munich Cancer Registry database were used.
RESULTS: Three hundred ninety-six cases were included in this analysis. Only the
evaluation of margins by the surgeon influenced local control, and the
pathological results influenced disease-free survival (DFS). Surprisingly,
margins of >5 mm of normal tissue to cancer growth led to local control and
overall survival (OS) significantly worse than 1 to 5-mm resections. CONCLUSION:
The evaluation of margins by the surgeon is of significant importance for local
control and OS. It is largely based on frozen section analysis, which, therefore,
should be used whenever possible.
PMID- 29356188
TI - One-Carbon Oxidative Annulations of 1,3-Enynes by Catalytic C-H Functionalization
and 1,4-Rhodium(III) Migration.
AB - Rhodium(III)-catalyzed C-H functionalization-oxidative annulations of aromatic
substrates with 1,3-enynes that contain allylic hydrogen atoms cis to the alkyne
are described. The key step in these reactions is an alkenyl-to-allyl 1,4
rhodium(III) migration to give electrophilic pi-allylrhodium(III) species.
Nucleophilic trapping of these species gives heterocycles such as benzopyrans,
isobenzofuranones, and isoindolinones.
PMID- 29356189
TI - Effect of time to simulation and treatment for patients with oropharyngeal cancer
receiving definitive radiotherapy in the era of risk stratification using smoking
and human papillomavirus status.
AB - BACKGROUND: The effect of increasing time to definitive radiotherapy (RT) for
patients with oropharyngeal squamous cell carcinoma (SCC) is unknown. METHODS:
Nodal tumor volumes at staging and simulation were compared for patients with
oropharyngeal SCC. Time from staging to initiation of RT was tabulated. The
primary endpoint of interest was nodal progression at simulation. RESULTS:
Increasing time to simulation was associated with nodal progression in 144
patients (r = 0.474; P < .001). Patients with human papillomavirus (HPV)
associated oropharyngeal SCC were more likely to have nodal progression (50% vs
26%; P = .008). A threshold of 32 days was associated (sensitivity 77.9% and
specificity 60.2%) with nodal progression (P < .001). Increasing time from
staging to treatment initiation was associated with a greater risk of distant
failure (hazard ratio [HR] 4.157; 95% confidence interval [CI] 1.170-14.764) but
not progression-free survival (PFS; P = .179) or overall survival (OS; P = .474).
CONCLUSION: Increasing time before RT for patients with oropharyngeal SCC is
associated with nodal progression and increased hazard of distant failure,
although not PFS or OS in our population.
PMID- 29356190
TI - Decreased superficial surgical site infections, shortened hospital stay, and
improved quality of life due to incisional negative pressure wound therapy after
reversal of double loop ileostomy.
AB - This single-center prospective, controlled observational study investigates the
impact of incisional negative pressure wound therapy on wound healing processes
and its potency to prevent superficial surgical site infections (SSSI) after
reversal of a double loop ileostomy. Furthermore, this study gains insight in
socioeconomic aspects, like duration of hospital stay and, for the first time,
patient's quality of life during the incisional negative pressure wound
treatment. To address this question, an interventional group of 24 patients
treated with incisional negative pressure wound therapy (Prevena incisional wound
management system, KCI, Germany) and a respective control cohort of 25 patients
treated with a standard sterile dressing were observed for 30 days in the
postoperative course. Postoperative incisional negative pressure wound therapy
resulted in statistically significant decreasing duration of hospital stay (6
days vs. 9 days, p = 0.019) and lower rates of SSSIs (12.5% vs. 20.0%, p = 0.478)
in accordance with a not statistically significant decreased necessity of
postoperative antibiotic therapy (12.5% vs. 36%, p = 0.051). To survey subjective
items of well-being and quality of life, all patients were asked to answer a
questionnaire. Patients of both groups noticed increasing quality of life after
reversal of their ileostomy. However, patients treated with an incisional
negative pressure wound therapy had a superior improvement of a variety of
subjective items, resulting in an overall much better satisfaction with the
course of wound healing. Our findings suggest, that incisional negative pressure
wound therapy seems to be a reasonable therapeutic option to reduce incidence of
SSSIs and to have a beneficial impact to patient's quality of life, as well as,
socio-economic aspects.
PMID- 29356191
TI - Skeletal muscle mass in human athletes: What is the upper limit?
AB - OBJECTIVES: To examine the amount of absolute and relative skeletal muscle mass
(SM) in large sized athletes to investigate the potential upper limit of whole
body muscle mass accumulation in the human body. METHODS: Ninety-five large-sized
male athletes and 48 recreationally active males (control) had muscle thickness
measured by ultrasound at nine sites on the anterior and posterior aspects of the
body. SM was estimated from an ultrasound-derived prediction equation. Body
density was estimated by hydrostatic weighing technique, and then body fat
percentage and fat-free mass (FFM) were calculated. We used the SM index and FFM
index to adjust for the influence of standing height (ie, divided by height
squared). RESULTS: Ten of the athletes had more than 100 kg of FFM, including the
largest who had 120.2 kg, while seven of the athletes had more than 50 kg of SM,
including the largest who had 59.3 kg. FFM index and SM index were higher in
athletes compared to controls and the percentage differences between the two
groups were 44% and 56%, respectively. The FFM index increased linearly up to 90
kg of body mass, and then the values leveled off in those of increasing body
mass. Similarly, the SM index increased in a parabolic fashion reaching a plateau
(approximately 17 kg/m2 ) beyond 120 kg body mass. CONCLUSIONS: SM index may be a
valuable indicator for determining skeletal muscle mass in athletes. A SM index
of approximately 17 kg/m2 may serve as the potential upper limit in humans.
PMID- 29356192
TI - How much of Toyota's philosophy is embedded in health care at the organisational
level? A review.
AB - AIMS: Identify which of Toyota's principles are reported in health care
institutions at the organisational level and to identify the type of reported
outcomes related to the effectiveness of lean production reported in these
studies. BACKGROUND: No scientific research has been conducted to determine which
of Toyota's principles are embedded in health care systems. This knowledge is
needed to perform targeted adjustments in health care. EVALUATION: Sixty studies
were identified for the final analysis. KEY ISSUE(S): Some Toyota Way principles
appear more deeply embedded in health care institutions than others are.
CONCLUSION: Not all principles of Toyota's philosophy and production system were
embedded in the studies in this review. The type of reported outcomes at the
organisational level was diverse. IMPLICATIONS FOR NURSING MANAGEMENT: This
literature review increases our knowledge about how many (and which) of the
Toyota Way principles are embedded in health care. This knowledge may support
reflection by nursing managers about how the full range of lean management
principles could be embedded at the managerial and/or operational level.
PMID- 29356193
TI - Nurses' perceptions of teamwork and workplace bullying.
AB - The purpose of this study was to explore the association between nurses'
perceptions and attitudes of teamwork and workplace bullying. A total of 128
nurses in two hospitals in the northeast USA completed three surveys: Attitudes
about teamwork survey, Team characteristics survey, and Negative intention
questionnaire. A majority of nurses believed that teamwork was an important
vehicle for providing quality patient care. Two thirds of the nurses reported the
presence of important variables such as leadership, trust and communication on
their teams. Despite these positive perceptions, a third of the nurses reported
being bullied and half observed others being bullied. A number of effective team
skills were associated with fewer occurrences of workplace bullying.
PMID- 29356195
TI - Acute coronary syndrome of very unusual etiology.
AB - Aortitis is one of many possible manifestations of tertiary syphilis. Aortic
disease is the most common of all cardiovascular syphilitic lesions. Aortic
diseases caused by tertiary syphilis include aortitis, aortic root dilation,
aneurysm formation, aortic regurgitation and coronary ostial stenosis. A less
common manifestation of syphilitic aortitis is coronary artery ostial narrowing
related to aortic wall thickening. We report a case of a 40-year-old male patient
admitted with a clinical picture of acute coronary syndrome (unstable angina). He
had no risk factors for coronary artery disease. The physical examination
revealed nothing remarkable. The admission electrocardiogram (ECG) showed ST
segment depression in the anterolateral and inferior leads (Figure 1). The
coronary angiogram showed critical ostial stenosis of the right (RCA) and left
main coronary artery (Figure 2a, b). Cardiac-computed tomography showed aortic
wall thickening with involvement of bilateral coronary ostia (Figure 2b, c). The
patient was referred for coronary bypass surgery after treatment with two doses
of penicillin G. The laboratory test was strongly positive for syphilitic
infection. Postoperative treatment with benzathine penicillin, in doses
recommended for tertiary syphilis, was implemented.
PMID- 29356194
TI - A quantification of the alcohol use-consequences association in college student
and clinical populations: A large, multi-sample study.
AB - BACKGROUND: The present study sought to quantify the relationship between alcohol
use and alcohol-related consequences in both college student and clinical
samples. METHODS: We gathered 33 college student datasets comprising of 15,618
participants and nine clinical sample datasets comprising of 4,527 participants
to determine the effect size of the relationship between alcohol use and alcohol
related consequences. We used random-effects meta-analytic techniques, separately
in college and clinical samples, to account for a distribution of true effects
and to assess for heterogeneity in effect sizes. RESULTS: Results demonstrated
that the clear majority of the variability in alcohol-related consequences is not
explained by alcohol use (ie, >77% in college samples; >86% in clinical samples),
and that there was significant heterogeneity in all effect sizes. CONCLUSIONS AND
SCIENTIFIC SIGNIFICANCE: Experiencing alcohol-related consequences results from
factors that extend beyond frequency and quantity of alcohol consumed suggesting
a need to examine other predictors of alcohol-related consequences beyond alcohol
use. (Am J Addict 2018;27:116-123).
PMID- 29356196
TI - Complexes of Stiboranium Mono-, Di-, and Trications.
AB - Reaction of Ph2 SbCl3 with 2,2'-bipyridine and Me3 SiOSO2 CF3 releases
chlorobenzene, which is interpreted as a reductive (SbV /SbIII ) elimination from
a complex of a stiboranium cation. Conversely, reactions of Ph2 SbCl3 with 4
methylpyridine-N-oxide and AgOSO2 CF3 give redox-resistant complexes with the
generic formulae [Ph2 SbCl3-x Lx+1 ][OTf]x , including a compound containing a
pnictogen(V) trication.
PMID- 29356197
TI - Reference values and changes in infant sleep-wake behaviour during the first 12
months of life: a systematic review.
AB - This paper is a systematic review on the reference values and changes in infant
sleep-wake behaviour during the first 12 months of life. This systematic review
was conducted according to the Preferred Reporting Items for Systematic Reviews
and Meta-Analysis (PRISMA). Seventy-four papers were included, and the reference
values and changes in sleep-wake behaviour during the first 12 months of life
were identified. Sleep duration during the 24-h period, and day and sleep periods
during the night decreased over the first 12 months of life. Night wakings and
bedtime/sleep-onset time decreased, while the longest sleep period increased at
night during the first 6 months. High discrepancy was noted between studies in
the reference values of sleep-wake behaviour, while more congruence was noted
regarding changes, especially those occurring in the first 6 months of life.
Several methodological differences were identified between studies and may
partially explain inconsistencies in the results, including the assessment of
different sleep-wake behaviours, the focus on specific ages or age ranges, the
use of self-report, observational or direct measures, the recruitment of small or
large representative samples, and the countries where the research was conducted.
These aspects should be considered in future research and caution should be taken
when generalizing results from studies with diverse methodological
characteristics. Nonetheless, this review identifies normative reference values
and the changes occurring in infant sleep-wake behaviour, and could inform both
practitioners and researchers, helping them identify infants with sleep delays or
problems.
PMID- 29356198
TI - Defective XRN3-mediated transcription termination in Arabidopsis affects the
expression of protein-coding genes.
AB - Arabidopsis thaliana contains two nuclear XRN2/3 5'-3' exonucleases that are
homologs of yeast and human Rat1/Xrn2 proteins involved in the processing and
degradation of several classes of nuclear RNAs and in transcription termination
of RNA polymerase II. Using strand-specific short read sequencing we show that
knockdown of XRN3 leads to an altered expression of hundreds of genes and the
accumulation of uncapped and polyadenylated read-through transcripts generated by
inefficiently terminated Pol II. Our data support the notion that XRN3-mediated
changes in the expression of a subset of genes are caused by upstream read
through transcription and these effects are enhanced by RNA-mRNA chimeras
generated in xrn3 plants. In turn, read-through transcripts that are antisense to
downstream genes may trigger production of siRNA. Our results highlight the
importance of XRN3 exoribonuclease in Pol II transcription termination in plants
and show that disturbance in this process may significantly alter gene
expression.
PMID- 29356199
TI - Disulfide-Linked Dendritic Oligomeric Phthalocyanines as Glutathione-Responsive
Photosensitizers for Photodynamic Therapy.
AB - A series of disulfide-linked dendritic phthalocyanines were synthesized by using
the CuI -catalyzed alkyne-azide cycloaddition reaction as the key step. Whereas
these compounds were essentially nonaggregated in N,N-dimethylformamide, they
were stacked in citrate solution (pH 7.4, with 1 % Cremophor EL), as shown by the
broad appearance of their Q-band absorption. Having two-to-six zinc(II)
phthalocyanine units in a molecule, these compounds were significantly self
quenched, particularly in citrate solution. Both the fluorescence intensity and
singlet-oxygen generation efficiency were significantly lower than those of the
monomeric counterparts, and the self-quenching efficiency increased as the number
of phthalocyanine units increased. Upon interaction with 5 mm glutathione (GSH)
in citrate solution, the fluorescence intensity of these compounds increased as a
result of cleavage of the disulfide linkages and separation of the phthalocyanine
units, which thereby reduced the self-quenching effect. The "on/off" ratios were
found to be 7, 18, 23, and 21 for the dimeric (PC2), trimeric (PC3), tetrameric
(PC4), and hexameric (PC6) systems, respectively. GSH also enhanced the
fluorescence emission inside human colon adenocarcinoma HT29 cells and promoted
the formation of singlet oxygen of these compounds. Upon irradiation, their half
maximal inhibitory concentration (IC50 ) values were found to be in the range of
0.18 to 0.38 MUm. Finally, the biodistribution and activation of PC2 and PC6 were
also examined in HT29 tumor-bearing nude mice. For both compounds, the
fluorescence intensity per unit area at the tumor was found to grow gradually
during the first 24 h. Whereas the intensity then dropped for PC2, the intensity
for PC6 remained steady over the following 6 d, which might have been a result of
the enhanced permeability and retention effect arising from the larger molecular
mass of the hexameric system.
PMID- 29356200
TI - Cutaneous melioidosis: two cases of chronic primary forms.
PMID- 29356201
TI - Novel method to save the parathyroid gland during thyroidectomy: Subcapsular
saline injection.
AB - BACKGROUND: Saving the parathyroid gland during thyroidectomy remains
challenging. Subcapsular saline injection (SCASI) was developed in February 2015.
Its ability to spare the parathyroid gland was assessed. METHODS: All consecutive
patients who underwent total thyroidectomy with or without neck lymph node
dissection in 2013-2015 were included in this retrospective cohort study.
Patients were divided into the SCASI and non-SCASI groups. Serum parathyroid
hormone (PTH) levels were measured on day 1 and 6 months after surgery. Transient
and permanent hypoparathyroidism were defined as day 1 and 6 month PTH < 10.0 and
<15.0 pg/mL, respectively. RESULTS: The groups (both had 98 patients each) did
not differ in demographics, tumor size, operation extent, pathology, thyroiditis
rate, and incidental parathyroid gland excision rate. Compared with non-SCASI
patients, patients who underwent the SCASI method exhibited transient
hypoparathyroidism (35.7% vs 19.4%, P < .001) and permanent hypoparathyroidism
(4.1% vs 0%, P = .043) significantly less frequently. CONCLUSION: The procedure
of SCASI effectively spared the parathyroid gland during thyroidectomy.
PMID- 29356202
TI - Nurses' satisfaction with use of a personal digital assistants with a mobile
nursing information system in China.
AB - BACKGROUND: Personal digital assistants, technology with various functions, have
been applied in international clinical practice. Great benefits in reducing
medical errors and enhancing the efficiency of clinical work have been achieved,
but little research has investigated nurses' satisfaction with the use of
personal digital assistants. AIM: To investigate nurses' satisfaction with use of
personal digital assistants, and to explore the predictors of this. DESIGN: This
is a cross-sectional descriptive study. METHODS: We conducted a cross-sectional
survey targeting nurses who used personal digital assistants in a comprehensive
tertiary hospital in Beijing. RESULTS: A total of 383 nurses were recruited in
this survey in 2015. The total score of nurses' satisfaction with use of personal
digital assistants was 238.91 (SD 39.25). Nurses were less satisfied with the
function of documentation, compared with the function of administering medical
orders. The time length of using personal digital assistants, academic degree,
and different departments predicted nurses' satisfaction towards personal digital
assistant use (all P < 0.05). CONCLUSION: Nurses were satisfied with the accuracy
of administering medical orders and the safety of recording data. The stability
of the wireless network and efficiency related to nursing work were less
promising. To some extent, nurses with higher education and longer working time
with personal digital assistants were more satisfied with them.
PMID- 29356203
TI - Crystal Field in Rare-Earth Complexes: From Electrostatics to Bonding.
AB - The flexibility of first-principles (ab initio) calculations with the SO-CASSCF
(complete active space self-consistent field theory with a treatment of the spin
orbit (SO) coupling by state interaction) method is used to quantify the
electrostatic and covalent contributions to crystal field parameters. Two types
of systems are chosen for illustration: 1) The ionic and experimentally well
characterized PrCl3 crystal; this study permits a revisitation of the partition
of contributions proposed in the early days of crystal field theory; and 2) a
series of sandwich molecules [Ln(etan -Cn Hn )2 ]q , with Ln=Dy, Ho, Er, and Tm
and n=5, 6, and 8, in which the interaction between LnIII and the aromatic
ligands is more difficult to describe within an electrostatic approach. It is
shown that a model with three layers of charges reproduces the electrostatic
field generated by the ligands and that the covalency plays a qualitative role.
The one-electron character of crystal field theory is discussed and shown to be
valuable, although it is not completely quantitative. This permits a reduction of
the many-electron problem to a discussion of the energy of the seven 4f orbitals.
PMID- 29356204
TI - Robotic surgery for submandibular gland resection through a trans-hairline
approach: The first human series and comparison with applicable approaches.
AB - BACKGROUND: Many submandibular gland diseases are treated by surgical
intervention. Transcervical surgery results in an obvious cervical scar, whereas
the retroauricular approach leaves a scar in the postauricular area. Therefore,
robotic submandibular gland resection through a trans-hairline approach is
developed to improve postoperative aesthetic outcomes. METHODS: From 2012 to
2017, 24 consecutive patients who met the inclusion criteria and had received
submandibular gland resection through the trans-hairline robotic surgery were
analyzed. RESULTS: All surgical procedures were successfully performed without
conversion and appreciable complications. The incision was completely concealed
within the hairs. Treatments of submandibular gland benign or malignant tumors
were completed without any positive margins or disease recurrence. CONCLUSION:
This study demonstrated the feasibility and safety of the trans-hairline approach
for robotic submandibular gland resection in the first human series. The
procedure is applicable to many submandibular gland diseases with complete
disease control, and has aesthetic advantages over other robotic surgical
approaches.
PMID- 29356205
TI - Antiviral potential of medicinal plants against HIV, HSV, influenza, hepatitis,
and coxsackievirus: A systematic review.
AB - Viral infections are being managed therapeutically through available antiviral
regimens with unsatisfactory clinical outcomes. The refractory viral infections
resistant to available antiviral drugs are alarming threats and a serious health
concern. For viral hepatitis, the interferon and vaccine therapies solely are not
ultimate solutions due to recurrence of hepatitis C virus. Owing to the growing
incidences of viral infections and especially of resistant viral strains, the
available therapeutic modalities need to be improved, complemented with the
discovery of novel antiviral agents to combat refractory viral infections. It is
widely accepted that medicinal plant heritage is nature gifted, precious, and
fueled with the valuable resources for treatment of metabolic and infectious
disorders. The aims of this review are to assemble the facts and to conclude the
therapeutic potential of medicinal plants in the eradication and management of
various viral diseases such as influenza, human immunodeficiency virus (HIV),
herpes simplex virus (HSV), hepatitis, and coxsackievirus infections, which have
been proven in diverse clinical studies. The articles, published in the English
language since 1982 to 2017, were included from Web of Science, Cochrane Library,
AMED, CISCOM, EMBASE, MEDLINE, Scopus, and PubMed by using relevant keywords
including plants possessing antiviral activity, the antiviral effects of plants,
and plants used in viral disorders. The scientific literature mainly focusing on
plant extracts and herbal products with therapeutic efficacies against
experimental models of influenza, HIV, HSV, hepatitis, and coxsackievirus were
included in the study. Pure compounds possessing antiviral activity were
excluded, and plants possessing activity against viruses other than viruses in
inclusion criteria were excluded. Hundreds of plant extracts with antiviral
effect were recognized. However, the data from only 36 families investigated
through in vitro and in vivo studies met the inclusion criteria of this review.
The inferences from scientific literature review, focusing on potential
therapeutic consequences of medicinal plants on experimental models of HIV, HSV,
influenza, hepatitis, and coxsackievirus have ascertained the curative antiviral
potential of plants. Fifty-four medicinal plants belonging to 36 different
families having antiviral potential were documented. Out of 54 plants, 27
individually belong to particular plant families. On the basis of the work of
several independent research groups, the therapeutic potential of medicinal
plants against listed common viral diseases in the region has been proclaimed. In
this context, the herbal formulations as alternative medicine may contribute to
the eradication of complicated viral infection significantly. The current review
consolidates the data of the various medicinal plants, those are Sambucus nigra,
Caesalpinia pulcherrima, and Hypericum connatum, holding promising specific
antiviral activities scientifically proven through studies on experimental animal
models. Consequently, the original research addressing the development of novel
nutraceuticals based on listed medicinal plants is highly recommended for the
management of viral disorders.
PMID- 29356206
TI - Survey of diagnostic and treatment practices for multiple sclerosis (MS) in
Europe. Part 2: Progressive MS, paediatric MS, pregnancy and general management.
AB - BACKGROUND AND PURPOSE: The European Charcot Foundation supported the development
of a set of surveys to understand current practice patterns for the diagnosis and
management of multiple sclerosis (MS) in Europe. Part 2 of the report summarizes
survey results related to secondary progressive MS (SPMS), primary progressive MS
(PPMS), pregnancy, paediatric MS and overall patient management. METHODS: A
steering committee of MS neurologists developed case- and practice-based
questions for two sequential surveys distributed to MS neurologists throughout
Europe. RESULTS: Respondents generally favoured changing rather than stopping
disease-modifying treatment (DMT) in patients transitioning from relapsing
remitting MS to SPMS, particularly with active disease. Respondents would not
initiate DMT in patients with typical PPMS symptoms, although the presence of >=1
spinal cord or brain gadolinium-enhancing lesion might affect that decision. For
patients considering pregnancy, respondents were equally divided on whether to
stop treatment before or after conception. Respondents strongly favoured starting
DMT in paediatric MS with active disease; recommended treatments included
interferon, glatiramer acetate and, in John Cunningham virus negative patients,
natalizumab. Additional results regarding practice-based questions and management
are summarized. CONCLUSIONS: Results of part 2 of the survey of diagnostic and
treatment practices for MS in Europe largely mirror results for part 1, with
neurologists in general agreement about the treatment and management of SPMS,
PPMS, pregnancy and paediatric MS as well as the general management of MS.
However, there are also many areas of disagreement, indicating the need for
evidence-based recommendations and/or guidelines.
PMID- 29356207
TI - Mexiletine or catheter ablation after amiodarone failure in the VANISH trial.
AB - INTRODUCTION: In patients with ischemic heart disease and ventricular tachycardia
(VT) refractory to high dose amiodarone, the two most common therapeutic options
are adjunctive mexiletine therapy or catheter ablation. There are little existing
data on the efficacy of these strategies. We examined the relative efficacy of
adjunctive mexiletine and catheter ablation among patients enrolled in the VANISH
trial. METHODS: All subjects enrolled in the VANISH trial who had VT refractory
to high dose (>= 300 mg daily) amiodarone at baseline were included. Per
protocol, subjects randomized to escalated drug therapy received adjunctive
mexiletine. RESULTS: Nineteen of the 259 patients were receiving high-dose
amiodarone at baseline and 11 were randomized to escalated therapy with
mexiletine and 8 to ablation. The adjunctive mexiletine group had a higher rate
of the primary composite outcome (death, VT storm, or appropriate shock) in
comparison to catheter ablation (HR 6.87 [2.08-22.8]). Over 90% of the patients
in the adjunctive mexiletine/group experienced a primary endpoint during a median
9.2 months' follow-up. There was no difference in the rate of adverse events
between the two groups. CONCLUSIONS: Mexiletine has limited efficacy in the
treatment of recurrent VT despite high-dose amiodarone therapy, in patients with
ischemic heart disease. Catheter ablation is a superior strategy in this
population.
PMID- 29356208
TI - Improving recruitment to pharmacological trials for illicit opioid use: findings
from a qualitative focus group study.
AB - AIM: To explore potential study participants' views on willingness to join
clinical trials of pharmacological interventions for illicit opioid use to inform
and improve future recruitment strategies. DESIGN: Qualitative focus group study
[six groups: oral methadone (two groups); buprenorphine tablets (two groups);
injectable opioid agonist treatment (one group); and former opioid agonist
treatment (one group)]. SETTINGS: Drug and alcohol services and a peer support
recovery service (London, UK). PARTICIPANTS: Forty people with experience of
opioid agonist treatment for heroin dependence (26 males, 14 females; aged 33-66
years). MEASUREMENTS: Data collection was facilitated by a topic guide that
explored willingness to enrol in clinical pharmacological trials. Groups were
audio-recorded and transcribed. Transcribed data were analysed inductively via
Iterative Categorization. FINDINGS: Participants' willingness to join
pharmacological trials of medications for opioid dependence was affected by
factors relating to study burden, study drug, study design, study population and
study relationships. Participants worried that the trial drug might be worse
than, or interfere with, their current treatment. They also misunderstood aspects
of trial design despite the researchers' explanations. CONCLUSIONS: Recruitment
of participants for clinical trials of pharmacological interventions for illicit
opioid use could be improved if researchers became better at explaining clinical
trials to potential participants, dispelling misconceptions about trials and
increasing trust in the research process and research establishment. A checklist
of issues to consider when designing pharmacological trials for illicit opioid
use is proposed.
PMID- 29356209
TI - Multicenter assessment of exclusive endoscopic endonasal approach for the
treatment of 53 olfactory neuroblastomas.
AB - BACKGROUND: Given the particularities of olfactory neuroblastoma (ONB) and the
lack of studies on the subject, a multicenter collaborative study was conducted
to assess treatment strategy. METHODS: Fifty-three patients with ONB were
included from the French Rare Head and Neck Cancer Expert Network (REFCOR)
database: 16T1, 8T2, 19T3, and 10T4. All cases were treated endoscopically with
skull base removal and repair in 26 cases (49%) and without external craniotomy.
RESULTS: The overall survival (OS) and disease-free survival (DFS) rates at 5
years were 87% and 71%, respectively, with mean follow-up of 45.4 +/- 26.5
months. The complication rate was 18.8% with 4 cases of meningitis. Pathological
analysis showed positive margins in 26.8%, notably on the dura-mater and
periorbita, without impairment of OS or DFS. Forty-eight patients received
adjuvant radiotherapy on T +/- N. Ten patients had a recurrence (18.9%). Six
patients died of their disease. Prophylactic neck irradiation seemed to reduce
the recurrence rate. CONCLUSION: Exclusively endoscopic treatment proved
efficient and reliable in a large controlled series.
PMID- 29356210
TI - Clinical course and prognostic factors across different musculoskeletal pain
sites: A secondary analysis of individual patient data from randomised clinical
trials.
AB - BACKGROUND: Previous research has identified similar prognostic factors in
patients with musculoskeletal (MSK) conditions regardless of pain presentation,
generating opportunities for management based on prognosis rather than specific
pain presentation. METHODS: Data from seven RCTs (2483 participants) evaluating a
range of primary care interventions for different MSK pain conditions were used
to investigate the course of symptoms and explore similarities and differences in
predictors of outcome. The value of pain site for predicting changes in pain and
function was investigated and compared with that of age, gender, social class,
pain duration, widespread pain and level of anxiety/depression. RESULTS: Over the
initial three months of follow-up, changes in mean pain intensity reflected an
improvement, with little change occurring after this period. Participants with
knee pain due to osteoarthritis (OA) showed poorer long-term outcome (mean
difference in pain reduction at 12 months -1.85, 95% CI -2.12 to -1.57, compared
to low back pain). Increasing age, manual work, longer pain duration, widespread
pain and increasing anxiety/depression scores were significantly associated with
poorer outcome regardless of pain site. Testing of interactions showed some
variation between pain sites, particularly for knee OA, where age, manual work
and pain duration were most strongly associated with outcome. CONCLUSIONS:
Despite some differences in prognostic factors for trial participants with knee
OA who were older and had more chronic conditions, similarity of outcome
predictors across regional MSK pain sites provides evidence to support targeting
of treatment based on prognostic factors rather than site of pain. SIGNIFICANCE:
Individual patient data analysis of trials across different regional
musculoskeletal pain sites was used to evaluate course and prognostic factors
associated with pain and disability. Overall, similarity of outcome predictors
across these different pain sites supports targeting of treatment based on
prognostic factors rather than pain site alone.
PMID- 29356211
TI - Impact of anti-HCV direct antiviral agents on graft function and
immunosuppressive drug levels in kidney transplant recipients: a call to
attention in the mid-term follow-up in a single-center cohort study.
AB - The medium-term impact on graft function and immunosuppressive drug
pharmacokinetics of direct antiviral agents (DAAs) among hepatitis C virus (HCV)
infected kidney transplant (KT) recipients remain unclear. We compared pre- and
post-treatment 12-month trajectories of estimated glomerular filtration rate
(DeltaeGFR) and 24-h proteinuria (Delta24-h proteinuria) in 49 recipients treated
with DAAs (mostly sofosbuvir plus ledipasvir). Among evaluable patients, 66.7%
and 100.0% had undetectable viral load by week 4 and end of therapy (EoT). The
sustained virologic response rate at 12 weeks was 95.8%. Overall, 80.6% of
patients receiving tacrolimus required dose escalation while on DAA-based therapy
(median increase of 66.7%) to maintain target levels. Tacrolimus levels resulted
to be higher at 12 months compared to EoT (7.8 +/- 2.1 vs. 6.7 +/- 2.0 ng/ml; P
value = 0.002). No changes in graft function during the course of therapy were
observed. However, eGFR significantly decreased (P-value <0.001) throughout the
first 12 months after EoT. Median DeltaeGFR and Delta24-h over pre- and post
treatment periods were 3.9% and -6.1% (P-value = 0.002) and -5.3% and 26.2% (P
value = 0.057). Caution should be exercised when adjusting immunosuppression in
HCV-infected KT recipients upon initiation of DAAs, followed by mid-term
monitoring of immunosuppressive drug levels and graft function.
PMID- 29356212
TI - Emerging Strategies of Cancer Therapy Based on Ferroptosis.
AB - Ferroptosis, a new form of regulated cell death that is iron- and reactive oxygen
species dependent, has attracted much attention in the research communities of
biochemistry, oncology, and especially material sciences. Since the first
demonstration in 2012, a series of strategies have been developed to induce
ferroptosis of cancer cells, including the use of nanomaterials, clinical drugs,
experimental compounds, and genes. A plethora of research work has outlined the
blueprint of ferroptosis as a new option for cancer therapy. However, the
published ferroptosis-related reviews have mainly focused on the mechanisms and
pathways of ferroptosis, which motivated this contribution to bridge the gap
between biological significance and material design. Therefore, it is timely to
summarize the previous efforts on the emerging strategies for inducing
ferroptosis and shed light on future directions for using such a tool to fight
against cancer. Here, the current strategies of cancer therapy based on
ferroptosis will be elaborated, the design considerations and the advantages and
limitations are highlighted, and finally a future perspective on this emerging
field is given.
PMID- 29356213
TI - A multi-parent advanced generation inter-cross (MAGIC) population for genetic
analysis and improvement of cowpea (Vigna unguiculata L. Walp.).
AB - Multi-parent advanced generation inter-cross (MAGIC) populations are an emerging
type of resource for dissecting the genetic structure of traits and improving
breeding populations. We developed a MAGIC population for cowpea (Vigna
unguiculata L. Walp.) from eight founder parents. These founders were genetically
diverse and carried many abiotic and biotic stress resistance, seed quality and
agronomic traits relevant to cowpea improvement in the United States and sub
Saharan Africa, where cowpea is vitally important in the human diet and local
economies. The eight parents were inter-crossed using structured matings to
ensure that the population would have balanced representation from each parent,
followed by single-seed descent, resulting in 305 F8 recombinant inbred lines
each carrying a mosaic of genome blocks contributed by all founders. This was
confirmed by single nucleotide polymorphism genotyping with the Illumina Cowpea
Consortium Array. These lines were on average 99.74% homozygous but also diverse
in agronomic traits across environments. Quantitative trait loci (QTLs) were
identified for several parental traits. Loci with major effects on photoperiod
sensitivity and seed size were also verified by biparental genetic mapping. The
recombination events were concentrated in telomeric regions. Due to its broad
genetic base, this cowpea MAGIC population promises breakthroughs in genetic
gain, QTL and gene discovery, enhancement of breeding populations and, for some
lines, direct releases as new varieties.
PMID- 29356214
TI - Sub-threshold transcranial magnetic stimulation applied after the go-signal
facilitates reaction time under control but not startle conditions.
AB - The presentation of a startling acoustic stimulus (SAS) in a simple reaction time
(RT) task significantly reduces RT due to the involuntary early initiation of a
prepared movement; however, the underlying neural mechanism remains unclear. It
has been proposed that a SAS triggers a cortically stored motor program by
involuntarily increasing initiation-related activation. Sub-threshold
transcranial magnetic stimulation (TMS) can be used to investigate cortical
processes, as it increases cortical excitability for 6-30 ms and significantly
reduces RT. The purpose of the present experiments was to determine whether the
application of sub-threshold TMS over motor cortex in close temporal proximity to
a SAS would facilitate startle RT in the same manner as control RT, providing
evidence for cortical involvement in startle-related RTs. Participants completed
a simple RT task requiring targeted wrist extension in response to an auditory go
signal, which was randomly replaced by a SAS on 25% of trials. On a subset of
trials, sub-threshold TMS was applied 30 ms following the go-signal in control
trials or at -15, 0, +15 or +30 ms with respect to the SAS in startle trials. In
all three experiments, sham and real TMS significantly reduced RT in control
trials, with real TMS having a larger effect, but there was no effect of either
real or sham TMS on startle-related RT. These results suggest that there may be
limited cortical involvement in the initiation of movements in response to a SAS.
As an alternative, startle may produce the fastest possible RTs, with little room
for additional facilitation.
PMID- 29356215
TI - Appearance-based interventions to reduce UV exposure: A systematic review.
AB - PURPOSE: As a majority of skin cancer cases are behaviourally preventable, it is
crucial to develop effective strategies to reduce UV exposure. Health-focused
interventions have not proved to be sufficiently effective, and it has been
suggested that people might be more susceptible to information about the negative
effects of the sun on their appearance. METHOD: This systematic review of 30
separate papers, reporting 33 individual studies published between 2005 and 2017,
assesses the overall effectiveness of appearance interventions on participants'
UV exposure and sun protection behaviour. RESULTS: Appearance-based interventions
have positive effects on sun exposure and sun protection, immediately after the
intervention as well as up to 12 months afterwards. The meta-analysis found a
medium effect size on sun protection intentions for interventions which combined
UV photography and photoageing information: r+ = .424; k = 3, N = 319, CI =
0.279-0.568, p = .023. CONCLUSIONS: This review provides a current perspective on
the effectiveness of appearance-based interventions to reduce UV exposure, and
also highlights methodological issues. It recommends that practitioners
administer a UV photo intervention in combination with photoageing information to
reduce UV exposure. Furthermore, the review specifically recommends that future
research focuses on the use of theoretical constructs to enhance photoageing
information and is conducted with older participants and in countries where
people have less opportunity for sun exposure. Statement of contribution What is
already known on this subject? Appearance-focused interventions may in some cases
be more effective than health-focused interventions in reducing UV exposure, as
the underlying motivations for tanning are associated with appearance concerns.
Previous reviews and meta-analyses have indicated that appearance-focused
interventions such as photoageing and UV photo are associated with positive
effects in reducing UV exposure and/or increasing sun protection. Previous
reviews identified methodological issues with research on this topic, which
included limited a priori power calculations and a general lack of long-term
follow-ups. What does this study add? This review concludes that photoageing
information in combination with UV photo is associated with a medium positive
effect size on sun protection intentions. Photoageing can be manipulated
according to theoretical constructs (e.g., Theory of Alternative Behaviours),
which may contribute to its effectiveness. Issues such as homogeneity of settings
and participants and limited a priori power calculations in the included studies
have been identified. This review specifically recommends that future research is
conducted in locations with less overall sun exposure, and with a more diverse
participant range (e.g., more males and older participants).
PMID- 29356217
TI - Cleft nipple: A rare congenital breast anomaly.
PMID- 29356218
TI - Brain oxygenation with a non-vasoactive perfluorocarbon emulsion in a rat model
of traumatic brain injury.
AB - OBJECTIVE: The aim of this study was to assess, in two experiments, the safety
and efficacy of the PFC emulsion Oxycyte as an oxygen therapeutic for TBI to test
the hypothesis that early administration of this oxygen-carrying fluid post-TBI
would improve brain tissue oxygenation (Pbt O2 ). METHODS: The first experiment
assessed the effects of Oxycyte on cerebral vasoactivity in healthy, uninjured
rats using intravital microscopy. The second experiment investigated the effect
of Oxycyte on cerebral Pbt O2 using the PQM in TBI model. Animals in the Oxycyte
group received a single injection of Oxycyte (6 mL/kg) shortly after TBI, while
NON animals received no treatment. RESULTS: Oxycyte did not cause
vasoconstriction in small- (<50 MUm) or medium- (50-100 MUm) sized pial
arterioles nor did it cause a significant change in blood pressure. Treatment
with Oxycyte while breathing 100% O2 did not improve Pbt O2 . However, in rats
ventilated with ~40% O2 , Pbt O2 improved to near pre-TBI values within 105
minutes after Oxycyte injection. CONCLUSIONS: Although Oxycyte did not cause
cerebral vasoconstriction, its use at the dose tested while breathing 100% O2 did
not improve Pbt O2 following TBI. However, Oxycyte treatment while breathing a
lower enriched oxygen concentration may improve Pbt O2 after TBI.
PMID- 29356216
TI - Treatment gap for anxiety disorders is global: Results of the World Mental Health
Surveys in 21 countries.
AB - BACKGROUND: Anxiety disorders are a major cause of burden of disease. Treatment
gaps have been described, but a worldwide evaluation is lacking. We estimated,
among individuals with a 12-month DSM-IV (where DSM is Diagnostic Statistical
Manual) anxiety disorder in 21 countries, the proportion who (i) perceived a need
for treatment; (ii) received any treatment; and (iii) received possibly adequate
treatment. METHODS: Data from 23 community surveys in 21 countries of the World
Mental Health (WMH) surveys. DSM-IV mental disorders were assessed (WHO Composite
International Diagnostic Interview, CIDI 3.0). DSM-IV included posttraumatic
stress disorder among anxiety disorders, while it is not considered so in the DSM
5. We asked if, in the previous 12 months, respondents felt they needed
professional treatment and if they obtained professional treatment
(specialized/general medical, complementary alternative medical, or nonmedical
professional) for "problems with emotions, nerves, mental health, or use of
alcohol or drugs." Possibly adequate treatment was defined as receiving
pharmacotherapy (1+ months of medication and 4+ visits to a medical doctor) or
psychotherapy, complementary alternative medicine or nonmedical care (8+ visits).
RESULTS: Of 51,547 respondents (response = 71.3%), 9.8% had a 12-month DSM-IV
anxiety disorder, 27.6% of whom received any treatment, and only 9.8% received
possibly adequate treatment. Of those with 12-month anxiety only 41.3% perceived
a need for care. Lower treatment levels were found for lower income countries.
CONCLUSIONS: Low levels of service use and a high proportion of those receiving
services not meeting adequacy standards for anxiety disorders exist worldwide.
Results suggest the need for improving recognition of anxiety disorders and the
quality of treatment.
PMID- 29356220
TI - Comparison of false-negative rates and limits of detection following macrofoam
swab sampling of Bacillus anthracis surrogates via Rapid Viability PCR and plate
culture.
AB - AIMS: We evaluated the effects of Bacillus anthracis surrogates, low surface
concentrations, surface materials and assay methods on false-negative rate (FNR)
and limit of detection (LOD95 ) for recovering Bacillus spores using a macrofoam
swab sampling procedure. METHODS AND RESULTS: Bacillus anthracis Sterne or
Bacillus atrophaeus Nakamura spores were deposited over a range of low target
concentrations (2-500 per coupon) onto glass, stainless steel, vinyl tile and
plastic. Samples were assayed using a modified Rapid Viability-PCR (mRV-PCR)
method and the traditional plate culture method to obtain FNR and LOD95 results.
CONCLUSIONS: Mean FNRs tended to be lower for mRV-PCR compared to culturing, and
increased as spore concentration decreased for all surface materials. Surface
material, but not B. anthracis surrogate, influenced FNRs with the mRV-PCR
method. The mRV-PCR LOD95 was lowest for glass and highest for vinyl tile. LOD95
values overall were lower for mRV-PCR than for the culture method. SIGNIFICANCE
AND IMPACT OF STUDY: This study adds to the limited data on FNR and LOD95 for mRV
PCR and culturing methods with low concentrations of B. anthracis sampled from
various surface materials by the CDC macrofoam-swab method. These are key inputs
for planning characterization and clearance studies for low contamination levels
of B. anthracis.
PMID- 29356219
TI - Psychometric properties of the Dutch extended Cancer Survivors' Unmet Needs
measure (CaSUN-NL).
AB - Information and support needs increase emotional distress and can impede cancer
survivors' adjustment. To investigate the information and support needs of Dutch
cancer survivors, the Cancer Survivors' Unmet Needs measure (CaSUN) was
translated into Dutch and applied in two Dutch studies with cancer survivors (N =
255; N = 467). The CaSUN-NL entailed the original five CaSUN scales, extended
with respectively a returning to work and lifestyle scale. This study aimed to
determine the psychometric properties of the CaSUN-NL. To assess validity, a
maximum likelihood factor analysis was employed. Construct validity was analysed
using Pearson's and Spearman's correlation coefficients. To assess reliability,
test-retest (Kappa coefficient) and internal consistency (Cronbach's alpha)
values were determined. Factor analysis revealed the original five factors. Test
retest reliability was low (r <= .15, 93% retest response). Internal consistency
values were high (Cronbach's alpha = 0.92-0.94), except for lifestyle.
Significant correlations were found between total number of unmet needs with
anxiety (r = .55), depression (r = .49), negative adjustment (r = .50), quality
of life (r = -.52) and age (r = -.24). The CaSUN-NL is valid and reliable to
investigate the unmet information and support needs of Dutch cancer survivors.
PMID- 29356221
TI - Results of early treatment for de novo donor-specific antibodies in pediatric
kidney transplant recipients in a cross-sectional and longitudinal cohort.
AB - The development of dnDSA anti-HLA antibodies has been shown to be a significant
risk factor for graft failure. In 2008, we instituted a routine protocol of
standardized monitoring and treatment of dnDSA in pediatric kidney transplant
recipients. Of 67 first-time pediatric kidney transplant recipients, 26 (38%)
developed dnDSA after 1.36 (IQ 1-2.14) years. Coefficient of variance of
tacrolimus, a surrogate marker of non-adherence, was found to be the single most
important risk factor for dnDSA development. Overall, there was a significant
reduction in dnDSA with treatment in 19 (76%) children. No difference in graft
survival and estimated glomerular filtration rate was noted between dnDSA
negative and those treated for dnDSA. There was an increased risk of
hospitalization in those treated for dnDSA. This study suggests that early
detection and treatment of dnDSA can help to prevent graft failure and preserve
graft function in the short term. Future studies and longer follow-up are needed
to fully elucidate the effect of early detection and treatment of dnDSA in
pediatric kidney transplant recipients.
PMID- 29356222
TI - Lack of ACTPK1, an STY kinase, enhances ammonium uptake and use, and promotes
growth of rice seedlings under sufficient external ammonium.
AB - Ammonium influx into plant roots via the high-affinity transport system (HATS) is
down-modulated under elevated external ammonium, preventing ammonium toxicity. In
ammonium-fed Arabidopsis, ammonium transporter 1 (AMT1) trimers responsible for
HATS activity are allosterically inactivated in a dose-dependent manner via
phosphorylation of the conserved threonine at the carboxyl-tail by the
calcineurin B-like protein 1-calcineurin B-like protein-interacting protein
kinase 23 complex and other yet unidentified protein kinases. Using transcriptome
and reverse genetics in ammonium-preferring rice, we revealed the role of the
serine/threonine/tyrosine protein kinase gene OsACTPK1 in down-modulation of HATS
under sufficient ammonium. In wild-type roots, ACTPK1 mRNA and protein
accumulated dose-dependently under sufficient ammonium. To determine the function
of ACTPK1, two independent mutants lacking ACTPK1 were produced by
retrotransposon Tos17 insertion. Compared with segregants lacking insertions, the
two mutants showed decreased root growth and increased shoot growth under 1 mm
ammonium due to enhanced ammonium acquisition, via aberrantly high HATS activity,
and use. Furthermore, introduction of OsACTPK1 cDNA fused to the synthetic green
fluorescence protein under its own promoter complemented growth and the HATS
influx, and suggested plasma membrane localization. Root cellular expression of
OsACTPK1 also overlapped with that of ammonium-induced OsAMT1;1 and OsAMT1;2.
Meanwhile, threonine-phosphorylated AMT1 levels were substantially decreased in
roots of ACTPK1-deficient mutants grown under sufficient ammonium. Bimolecular
fluorescence complementation assay further confirmed interaction between ACTPK1
and AMT1;2 at the cell plasma membrane. Overall, these findings suggest that
ACTPK1 directly phosphorylates and inactivates AMT1;2 in rice seedling roots
under sufficient ammonium.
PMID- 29356223
TI - Sigma- versus Pi-Dimerization Modes of Triangulene.
AB - We show that the diradicaloid triangulene, a graphene nano-flake molecule, can
aggregate in a variety of dimerization modes. We found by density functional
theory modeling a number of triangulene dimers including six doubly bonded sigma
dimers in addition to the previously reported six pancake bonded pi-dimer
isomers. The sigma-dimers display a wide range of stabilities: the interaction
energy of the most stable sigma-dimer is -25.17 kcal mol-1 . Besides the doubly
bonded sigma-dimers with closed shell ground states, we also found an open-shell
singly sigma-bonded diradicaloid dimer. We found an interesting isomerization
route between a doubly bonded sigma-dimer, a singly bonded sigma-dimer with a low
lying triplet state and two pi-bonded dimers with low-lying quintet states.
Derivatives of triangulene, trioxo-triangulenes (TOTs) have been previously
characterized experimentally. Here, we show the reasons why so far only the pi
dimer but not the sigma-dimer was experimentally observed for all TOTs.
PMID- 29356224
TI - Sauchinone prevents IL-1beta-induced inflammatory response in human chondrocytes.
AB - Sauchinone is one of the active lignan isolated from Saururus chinensis, which
has been considered to possess various pharmacological activities, such as
antitumor, hepatoprotective, antioxidant, and anti-inflammatory effects. However,
the functional roles of sauchinone in interleukin-1 beta (IL-1beta)-stimulated
human osteoarthritis (OA) chondrocytes are still unknown. Thus, in this study, we
investigated the anti-inflammatory effects of sauchinone in IL-1beta-stimulated
chondrocytes. Our results demonstrated that sauchinone significantly attenuated
NO and PGE2 production, as well as inhibited iNOS and COX-2 expression in IL
1beta-stimulated OA chondrocytes. In addition, sauchinone efficiently inhibited
IL-1beta-induced MMP-3 and MMP-13 release in human OA chondrocytes. Furthermore,
sauchinone significantly attenuated the activation of NF-kappaB in human OA
chondrocytes. In conclusion, we showed for the first time that sauchinone
inhibited inflammatory response in IL-1beta-stimulated human chondrocytes
probably through inhibiting the activation of NF-kappaB signaling pathway. These
data suggest that sauchinone may be a potential agent in the treatment of OA.
PMID- 29356225
TI - Combining synthetic controls and interrupted time series analysis to improve
causal inference in program evaluation.
AB - RATIONALE, AIMS AND OBJECTIVES: Interrupted time series analysis (ITSA) is an
evaluation methodology in which a single treatment unit's outcome is studied over
time and the intervention is expected to "interrupt" the level and/or trend of
the outcome. The internal validity is strengthened considerably when the treated
unit is contrasted with a comparable control group. In this paper, we introduce a
robust evaluation framework that combines the synthetic controls method (SYNTH)
to generate a comparable control group and ITSA regression to assess covariate
balance and estimate treatment effects. METHODS: We evaluate the effect of
California's Proposition 99 for reducing cigarette sales, by comparing California
to other states not exposed to smoking reduction initiatives. SYNTH is used to
reweight nontreated units to make them comparable to the treated unit. These
weights are then used in ITSA regression models to assess covariate balance and
estimate treatment effects. RESULTS: Covariate balance was achieved for all but
one covariate. While California experienced a significant decrease in the annual
trend of cigarette sales after Proposition 99, there was no statistically
significant treatment effect when compared to synthetic controls. CONCLUSIONS:
The advantage of using this framework over regression alone is that it ensures
that a comparable control group is generated. Additionally, it offers a common
set of statistical measures familiar to investigators, the capability for
assessing covariate balance, and enhancement of the evaluation with a
comprehensive set of postestimation measures. Therefore, this robust framework
should be considered as a primary approach for evaluating treatment effects in
multiple group time series analysis.
PMID- 29356227
TI - Efficacy of Peritoneal Dialysis in Neonates Presenting With Hyperammonaemia Due
to Urea Cycle Defects and Organic Acidaemia.
AB - AIM: Newborns with inborn errors of metabolism can present with hyperammonaemic
coma. In this study, we evaluated the effect of peritoneal dialysis on plasma
ammonium levels and on the short-term outcome in neonatal patients with urea
cycle defects and organic acidaemia. METHODS: Data from infants with
hyperammonaemia due to urea cycle defects or organic acidaemia treated with
dialysis were collected and retrospectively analysed. The results of patient
groups (group I, survived; and group II, died) were compared. RESULTS: Fourteen
neonates were enrolled in this study. In group I, plasma ammonium levels before
dialysis were median (IQR) 1652 MUg/dl (1165-2098 MUg/dl); in group II, they were
1289 MUg/dl (1070-5550 MUg/dl). There was no statistically significant
difference. Urea cycle defects were diagnosed in eight, and organic acidaemia in
six patients. The duration of a blood ammonia level >200 MUg/dl was longer in
group II (p=0.04). A <60.8 % decline in the ammonia level from the beginning of
dialysis to the 12th hour of dialysis carried a 3.33-fold higher risk of
mortality, when compared with a greater decline. Five patients with urea cycle
defects, and one with organic acidaemia, died. The mortality risk was 8.33-fold
(95% CI=0.63-90.86) higher for patients with urea cycle defects than for those
with organic acidaemia. CONCLUSION: In patients with hyperammonaemia treated with
peritoneal dialysis, the rate of ammonia removal and the underlying aetiology
appear to be important prognostic factors. Neonates with organic acidaemia who
are admitted to centres without continuous renal replacement therapy facilities
can be effectively treated with peritoneal dialysis.
PMID- 29356226
TI - 'I know I'm not invincible': An interpretative phenomenological analysis of
thyroid cancer in young people.
AB - OBJECTIVE: Thyroid cancer is one of the most common cancers affecting young
people and carries an excellent prognosis. Little is known about the psychosocial
issues that face young people diagnosed with a treatable cancer. This study
explored how young people experienced diagnosis, treatment, and how they made
sense of an experience which challenged their views on what it means to have
cancer. METHOD: Semi-structured interviews were conducted with eight young people
diagnosed with either papillary or follicular thyroid cancer, and analysed with
interpretative phenomenological analysis (IPA). RESULTS: Two inter-related
aspects of their experience are discussed: (1) the range of feelings and emotions
experienced including feeling disregarded, vulnerability, shock and isolation;
(2) how they made sense of and ascribed meaning to their experience in the light
of the unique nature of their cancer. A thread running throughout the findings
highlights that this was a disruptive biographical experience. CONCLUSIONS: Young
people experienced a loss of youthful immunity which contrasted with a sense of
growth and shift in life perspective. Having a highly treatable cancer was
helpful in aiding them to reframe their situation positively but at the same time
left them feeling dismissed over a lack of recognition that they had cancer. The
young peoples' experiences point to a need for increased understanding of this
rare cancer, more effective communication from health care professionals and a
greater understanding of the experiential impact of this disease on young people.
Suggestions to improve the service provision to this patient group are provided.
Statement of contribution What is already known on this subject? Differentiated
thyroid cancer has an excellent prognosis. Quality of life of thyroid cancer has
marginally been explored in the literature. Little is known on the support needs
of young people diagnosed with thyroid cancer. What does this study add?
Increased understanding of how young people make sense and cope with thyroid
cancer despite the lack of support resources. Addressing illness perceptions
through improved information support may aid coping and adjustment. Insight into
the needs of young people diagnosed with thyroid cancer and recommendations on
service improvements.
PMID- 29356228
TI - Curcuma sp.-derived dehydrocurdione induces heme oxygenase-1 through a Michael
reaction between its alpha, beta-unsaturated carbonyl and Keap1.
AB - To elucidate the anti-inflammatory mechanism of Curcuma sp., we investigated
whether dehydrocurdione, a sesquiterpene contained in Curcuma sp., induces heme
oxygenase (HO)-1, an antioxidative enzyme, in RAW 264.7 macrophages.
Dehydrocurdione was extracted from the rhizome of Curcuma sp., and its purity was
verified by high performance liquid chromatography. Treatment with 10-100 MUM
dehydrocurdione transiently and concentration-dependently increased HO-1 mRNA and
protein levels. Docking simulation suggested the presence of the Michael reaction
between dehydrocurdione and Kelch-like ECH-associated protein (Keap)1 keeping
nuclear factor-erythroid2-related-factor (Nrf)2, a transcription factor, in the
cytoplasm. Nrf2 that was definitely free from Keap1 was detected in the nuclei
after dehydrocurdione treatment. Subsequently, the HO-1 E2 enhancer, a target of
Nrf2, was activated, resulting in HO-1 expression. Also, an investigation using 6
shogaol and 6-gingerol supported the concept that the alpha, beta-unsaturated
carbonyl structure plays an important role in the interaction with Keap1.
Dehydrocurdione suppressed lipopolysaccharide-induced NO release, a marker of
inflammation. Clarification of the HO-1 synthesis increase mechanism revealed in
this study will help contribute to the development of novel phytotherapeutic
strategies against inflammation-associated diseases.
PMID- 29356229
TI - Brain tumor segmentation in multi-spectral MRI using convolutional neural
networks (CNN).
AB - A tumor could be found in any area of the brain and could be of any size, shape,
and contrast. There may exist multiple tumors of different types in a human brain
at the same time. Accurate tumor area segmentation is considered primary step for
treatment of brain tumors. Deep Learning is a set of promising techniques that
could provide better results as compared to nondeep learning techniques for
segmenting timorous part inside a brain. This article presents a deep
convolutional neural network (CNN) to segment brain tumors in MRIs. The proposed
network uses BRATS segmentation challenge dataset which is composed of images
obtained through four different modalities. Accordingly, we present an extended
version of existing network to solve segmentation problem. The network
architecture consists of multiple neural network layers connected in sequential
order with the feeding of Convolutional feature maps at the peer level.
Experimental results on BRATS 2015 benchmark data thus show the usability of the
proposed approach and its superiority over the other approaches in this area of
research.
PMID- 29356230
TI - Maternal and child health nurses work with refugee families: Perspectives from
regional Victoria, Australia.
AB - AIMS AND OBJECTIVES: To explore service provision for Victorian regional refugee
families from the perspective of maternal and child health nurses. BACKGROUND:
Increasingly, more families from a refugee background are resettling in regional
Victoria. The refugee journey has significant effect on families. Refugee
families with infants and young children can be provided with support by maternal
and child health services; however, many families experience barriers to ongoing
engagement with this service. DESIGN: This descriptive study used focus group and
questionnaire. A purposive sample of 26 maternal and child health nurses was
drawn from six municipalities throughout regional Victoria, where higher numbers
of people from a refugee background resettle. Six focus groups were held in 2014.
Audio-recorded narratives were transcribed, prior to inductive thematic analysis.
METHODS: This descriptive study used focus group and questionnaire. A purposive
sample of 26 Maternal and Child Health nurses was drawn from six municipalities
throughout regional Victoria where higher numbers of people from a refugee
background resettle. Six focus groups were held in 2014. Audio-recorded
narratives were transcribed verbatim, prior to inductive thematic analysis.
RESULTS: Participating nurses were experienced nurses, averaging 12 years in the
service. Four major themes emerged from thematic analysis: "How to identify women
from a refugee background"; "The Maternal and Child Health nurse role when
working with families from a refugee background"; "Interpreting issues"; and
"Access to other referral agencies." Nurses worked to develop a relationship with
families, attending to a complex mix of issues which were complicated by language
barriers. Nurses found their role in supporting refugee families required
additional time and more home visits. CONCLUSIONS: To provide best practice,
maternal and child health nurses need (i) ongoing professional development; (ii)
time, flexibility and creativity to build relationships with refugee families and
(iii) better access to services that enhance communication, such as interpreting
services and translated resources. RELEVANCE TO CLINICAL PRACTICE: Nurses require
ongoing professional development to help them address the multifaceted needs of
families of refugee background. With limited resources available in regional
areas, accessing further education can be challenging. Distance education models
and organisational support could provide nurses with educational opportunities
aimed at improving service provision and clinical practice.
PMID- 29356231
TI - A case of erectile dysfunction induced by red yeast rice in lipid-lowering
therapy.
AB - This paper studies a 39-year-old male patient who takes red yeast rice (RYR) for
self-therapy in lipid-lowering for 3 weeks. Results show that RYR can decrease
most of the blood lipid components but without selectivity. During the treatment,
the patient developed erectile dysfunction (ED) and accompanied by a dramatic
decrease in sexual desire. The ED symptom gradually disappeared within 5 weeks
after stopping RYR intake.
PMID- 29356232
TI - A Modular Nanoswitch for Mix-and-Detect Protein Assay Based on Binding-Induced
Cascade Dissociation of Kissing Complex.
AB - A new modular nanoswitch was described for versatile, rapid (within 1 h),
homogeneous, and sensitive protein detection. The system employs two hairpins
(HP1 and HP2) that can be reciprocally recognized through the apical loop-loop
interaction. HP2 possesses a conformation-switching stem-loop structure, with
appended single-stranded tails on each end, which can hybridize with the
recognition-element-conjugated DNA strands to construct a protein-responsive HP2
scaffold. It works according to a simple mix-and-detect assay format, with the
first formation of a kissing complex between HP1 and HP2 scaffolds for
fluorescence quenching, and then cascade propagation from steric strain through
protein binding to the dissociation of the kissing complex for fluorescence
recovery. The detection universality of such a modular nanoswitch was
demonstrated by using three multivalent proteins, including anti-digoxigenin
(Anti-Dig) antibody, streptavidin, and thrombin, with detection limits of 0.33,
0.17, and 0.5 nm, respectively.
PMID- 29356233
TI - A Three-Component Organometallic Tyrosine Bioconjugation.
AB - Metal-based bioconjugation linkages represent a little-studied approach to
protein functionalization that provides novel reactivity, stability, and
function. Described is an organometallic bioconjugation, employing rhodium(III)
salts, to link boronic acids with tyrosine residues by an arene complex. Both
peptides and proteins are amenable to the mild bioconjugation in aqueous media,
allowing incorporation of useful functionalities, such as affinity handles or
fluorophores. Because of the metastability of the inorganic linkage, the
conjugates are susceptible to cleavage by nucleophilic redox mediators but are
stable toward typical biological conditions.
PMID- 29356234
TI - Recent Development of Thermoelectric Polymers and Composites.
AB - Thermoelectric materials can be used as the active materials in thermoelectric
generators and as Peltier coolers for direct energy conversion between heat and
electricity. Apart from inorganic thermoelectric materials, thermoelectric
polymers have been receiving great attention due to their unique advantages
including low cost, high mechanical flexibility, light weight, low or no
toxicity, and intrinsically low thermal conductivity. The power factor of
thermoelectric polymers has been continuously rising, and the highest ZT value is
more than 0.25 at room temperature. The power factor can be further improved by
forming composites with nanomaterials. This article provides a review of recent
developments on thermoelectric polymers and polymer composites. It focuses on the
relationship between thermoelectric properties and the materials structure,
including chemical structure, microstructure, dopants, and doping levels. Their
thermoelectric properties can be further improved to be comparable to inorganic
counterparts in the near future.
PMID- 29356235
TI - Contribution of intraoperative radiotherapy (IORT) for therapeutic de-escalation
in early breast cancer: Report of a single institution's experience.
AB - The spread of systematic screening and the emergence of oncoplastic techniques
allow more breast conservative treatment associating lumpectomy and external beam
radiation therapy. In order to furthermore facilitate the patient's treatment,
intraoperative radiation therapy (IORT) has been developed. The oncological
safety of this technique has been studied, and is considered acceptable. Many
questions remain unsolved in regard of the toxicity of this procedure as well as
the patient's selection criteria. In this study, we present the first results and
complications rate of patients treated by IORT in a single French institution.
Between 2012 and 2015, all patients with breast cancer treated in a one-step
procedure associating lumpectomy and IORT were retrospectively included in a
monocentric cohort. Acute and long-term toxicities were evaluated using CTCAE
v4.0 classification. 280 breast cancers were treated using IORT procedure.
Additional external beam radiation therapy was requested for 45.7% patients. The
acute complications rate was 14.6%, with 5 surgical revisions needed (1.78%).
With a median follow up of 911 days, the long-term grade-3 toxicity rate was
1.1%. The only risk factor for local fibrosis was external beam radiation therapy
(EBRT) (OR = 1.99; IC95 [1.05-3.81], P = 0.036). Three patients (1.07%) were
diagnosed with local recurrence. The results from this cohort confirm the low
complication and recurrence rate of IORT procedure. A good selection of patients
is necessary in order to lower the EBRT rate and allow therapeutic de-escalation.
The classification of tumors into IHC subsets seems a good selection gate.
Intraoperative radiation therapy appears to have a low toxicity rate with an
acceptable local recurrence risk, and should therefore be considered as an option
in the treatment of early breast cancer.
PMID- 29356237
TI - AF news.
PMID- 29356236
TI - Isolation and characterization of heterotrophic nitrifying and aerobic
denitrifying Klebsiella pneumoniae and Klebsiella variicola strains from various
environments.
AB - AIMS: We sought to isolate novel heterotrophic nitrifying and aerobic
denitrifying Klebsiella pneumoniae and Klebsiella variicola strains from various
natural environments and characterize their nitrogen removal processes. METHODS
AND RESULTS: Ten novel Klebsiella strains with heterotrophic nitrification and
aerobic denitrification abilities, including seven K. pneumoniae and three K.
variicola, were successfully isolated from faeces, sewage, plant surfaces and
sludge. A 1674-bp fragment of the hydroxylamine oxidase (hao) gene was
successfully amplified from the novel strains. The removal rates of ammonium,
nitrate and nitrite of the seven K. pneumoniae isolates were 96.42-97.38%, 61.27
82.78% and 100%, respectively, and the corresponding degradation ratios were 4.82
6.49 (higher than previously reported for K. pneumoniae CF-S9 and EGD-HP19-C),
1.15-1.38 and 1.48-3.33 mg l-1 h-1 , respectively. The removal rates of
ammonium, nitrate and nitrite of the three K. variicola isolates were 95.01
96.15%,68.60--78.37% and 100%, respectively, and the corresponding degradation
ratios were 4.79-9.5, 1.14-1.74 and 1.67-4.44 mg l-1 h-1 , respectively. The K.
variicola strain sd-3 from sludge exhibited the best heterotrophic nitrification
and aerobic denitrification abilities among the isolates. Meanwhile, the results
of simultaneous nitrification and denitrification assays with all isolated
strains showed that ammonium was removed prior to nitrate or nitrite.
CONCLUSIONS: Our results indicate that K. pneumoniae and K. variicola (isolated
from a novel natural environment) play an important role in the Earth's nitrogen
cycle in various natural environments. SIGNIFICANCE AND IMPACT OF THE STUDY: This
study is one of only a few works to successfully isolate K. pneumoniae with
heterotrophic nitrification and aerobic denitrification abilities in various
natural environments. The physiological characterization K. variicola as having
abilities to heterotrophically nitrify and aerobically denitrify is the first to
be reported. Moreover, this study may provide alternative microbial resources for
the removal of nitrogen from wastewater.
PMID- 29356238
TI - GC/MS analysis and characterization of 2-Hexadecen-1-ol and beta sitosterol from
Schimpera arabica extract for its bioactive potential as antioxidant and
antimicrobial.
AB - AIMS: We evaluated the in vitro antioxidant and antimicrobial potential of
Schimpera arabica extract and fractions (2-Hexadecen-1-ol and beta sitosterol).
METHODS AND RESULTS: Free radical scavenging activity was estimated by using ABTS
and DPPH methods, reducing power and iron chelation was studied to investigate
antioxidant potential of the extracts. Well-diffusion method was utilized to
evaluate the antimicrobial activity of the extracts. Gas chromatography-mass
spectroscopy analysis of the extract revealed the presence of 27 compounds.
Phenolic and flavonoid contents were found in high amount (7.6-18 mg GAE, mg g-1
; 3.2-10.8 mg catechin, mg g-1 ) in dry plant material. CONCLUSIONS: Among all
the extracts, 2-Hexadecen-1-ol and beta sitosterol were the major constituents of
crude extract and exhibited significant antioxidant potential as well
antimicrobial activity; however, all other fractions also exhibited fare degree
of antioxidant potential. 93% DPPH was scavenged by 100 MUg ml-1 of the ethyl
acetate fraction; in ABTS assay chelation was 86.2 and 88% in ferric chloride
reducing power assay. SIGNIFICANCE AND IMPACT OF THE STUDY: Schimpera arabica is
naturally present and used in food preparation in most Arabian nations. The
characterization of S. arabica would provide an insight into its medicinal use as
home remedial for bacterial infection and as antioxidant. Significant and
comparable antimicrobial activity was demonstrated by both 2-Hexadecen-1-ol and
beta sitosterol fractions. The results suggest that S. arabica contain a good
amount of natural antioxidants of medicinal importance. However, further
investigations are needed to characterize the further activity of 2-Hexadecen-1
ol and beta sitosterol in vivo.
PMID- 29356239
TI - Phonological processing during silent reading in teenagers who are deaf/hard of
hearing: an eye movement investigation.
AB - There has been considerable variability within the literature concerning the
extent to which deaf/hard of hearing individuals are able to process phonological
codes during reading. Two experiments are reported in which participants' eye
movements were recorded as they read sentences containing correctly spelled words
(e.g., church), pseudohomophones (e.g., cherch), and spelling controls (e.g.,
charch). We examined both foveal processing and parafoveal pre-processing of
phonology for three participant groups-teenagers with permanent childhood hearing
loss (PCHL), chronological age-matched controls, and reading age-matched
controls. The teenagers with PCHL showed a pseudohomophone advantage from both
directly fixated words and parafoveal preview, similar to their hearing peers.
These data provide strong evidence for phonological recoding during silent
reading in teenagers with PCHL.
PMID- 29356240
TI - Seroprevalence of leptospiral antibodies among market workers and food handlers
in the central state of Malaysia.
AB - OBJECTIVE: The high prevalence of leptospirosis in humans is of great public
health concern, particularly in tropical and subtropical regions. This study
aimed to determine the seroprevalence of leptospiral antibodies and distribution
of serovars, and to assess the usefulness of enzyme-linked immunosorbent assay
(ELISA) as a screening method for leptospiral antibodies in a high-risk healthy
community. METHODS: Cross-sectional study of 231 market workers and food handlers
in wet markets and food premises from two localities in central Malaysia.
Respondents' background information was obtained using a questionnaire. Serum
samples were tested for leptospiral antibodies using ELISA and microscopic
agglutination test (MAT). RESULTS: Seroprevalence of leptospirosis among healthy
workers was 46.3%. Detection of seropositivity was higher by MAT (46%) than ELISA
(15%). We observed high seropositivity among local workers (49%), food handlers
(49.5%), females (60.8%) and those aged 34 years and older (46.3%). Local strain
LEP175 was the predominant serovar, followed by WHO strain Patoc. CONCLUSION:
Overall seroprevalence among healthy food handlers and market workers was high in
this study. The workplace places susceptible individuals at risk of
leptospirosis.
PMID- 29356241
TI - Tumor necrosis factor-alpha regulates human follicular dendritic cell-secreted
protein gene transcription in gingival epithelial cells.
AB - Follicular dendritic cell-secreted protein (FDC-SP) is a secreted protein
expressed in follicular dendritic cells, periodontal ligament and junctional
epithelium. To elucidate the transcriptional regulation of the human FDC-SP gene
by tumor necrosis factor-alpha (TNF-alpha), we conducted real-time PCR, Western
blotting, transient transfection analyses with chimeric constructs of the FDC-SP
gene promoter linked to a luciferase reporter gene, gel mobility shift and
chromatin immunoprecipitation assays using Ca9-22 gingival epithelial cells. TNF
alpha (10 ng/ml) induced FDC-SP mRNA and protein levels at 3 hr and reached
maximum at 12 hr. In transient transfection assays, TNF-alpha (12 hr) increased
the LUC activities of constructs between -116FDCSP and -948FDCSP including the
human FDC-SP gene promoter. Transcriptional stimulations by TNF-alpha were
partially inhibited in the -345FDCSP constructs that included 3-bp mutations in
the YY1, GATA, CCAAT enhancer-binding protein 2 (C/EBP2) and C/EBP3.
Transcriptional activities induced by TNF-alpha were inhibited by tyrosine
kinase, MEK1/2 and phosphoinositide 3-kinase inhibitors. The results of ChIP
assays showed that YY1, GATA and C/EBPbeta transcription factors interacted with
the YY1, GATA, C/EBP2 and C/EBP3 elements that were increased by TNF-alpha. These
studies show that TNF-alpha stimulates human FDC-SP gene transcription by
targeting YY1, GATA, C/EBP2 and C/EBP3 in the FDC-SP gene promoter.
PMID- 29356242
TI - Preferable in vitro condition for maintaining faithful DNA methylation imprinting
in mouse embryonic stem cells.
AB - Epigenetic properties of cultured embryonic stem cells (ESCs), including DNA
methylation imprinting, are important because they affect the developmental
potential. Here, we tested a variety of culture media, including knockout serum
replacement (KSR) and fetal bovine serum (FBS) with or without inhibitors of
Gsk3beta and Mek1/2 (2i) at various time points. In addition to the previously
known passage-dependent global changes, unexpected dynamic DNA methylation
changes occurred in both maternal and paternal differentially methylated regions:
under the widely used condition of KSR with 2i, a highly hypomethylated state
occurred at early passages (P1-7) as well as P10, but DNA methylation increased
over further passages in most conditions, except under KSR with 2i at P25.
Dramatic DNA demethylation under KSR+2i until P25 was associated with upregulated
Tet1 and Parp1, and their related genes, whereas 2i regulated the expressions of
DNA methyltransferase-related genes for the change in DNA methylation during the
cumulative number of passages. Although DNA methylation imprinting is more labile
under KSR with and without 2i, it can be more faithfully maintained under
condition of cooperative FBS and 2i. Thus, our study will provide the useful
information for improved epigenetic control of ESCs and iPSCs in applications in
regenerative medicine.
PMID- 29356243
TI - Major limitations to achieving "4 per 1000" increases in soil organic carbon
stock in temperate regions: Evidence from long-term experiments at Rothamsted
Research, United Kingdom.
AB - We evaluated the "4 per 1000" initiative for increasing soil organic carbon (SOC)
by analysing rates of SOC increase in treatments in 16 long-term experiments in
southeast United Kingdom. The initiative sets a goal for SOC stock to increase by
40/00 per year in the 0-40 cm soil depth, continued over 20 years. Our
experiments, on three soil types, provided 114 treatment comparisons over 7-157
years. Treatments included organic additions (incorporated by inversion
ploughing), N fertilizers, introducing pasture leys into continuous arable
systems, and converting arable land to woodland. In 65% of cases, SOC increases
occurred at >70/00 per year in the 0-23 cm depth, approximately equivalent to
40/00 per year in the 0-40 cm depth. In the two longest running experiments (>150
years), annual farmyard manure (FYM) applications at 35 t fresh material per
hectare (equivalent to approx. 3.2 t organic C/ha/year) gave SOC increases of
180/00 and 430/00 per year in the 23 cm depth during the first 20 years.
Increases exceeding 70/00 per year continued for 40-60 years. In other
experiments, with FYM applied at lower rates or not every year, there were
increases of 30/00-80/00 per year over several decades. Other treatments gave
increases between zero and 190/00 per year over various periods. We conclude that
there are severe limitations to achieving the "4 per 1000" goal in practical
agriculture over large areas. The reasons include (1) farmers not having the
necessary resources (e.g. insufficient manure); (2) some, though not all,
practices favouring SOC already widely adopted; (3) practices uneconomic for
farmers-potentially overcome by changes in regulations or subsidies; (4)
practices undesirable for global food security. We suggest it is more realistic
to promote practices for increasing SOC based on improving soil quality and
functioning as small increases can have disproportionately large beneficial
impacts, though not necessarily translating into increased crop yield.
PMID- 29356244
TI - Rapid and Efficient Generation of Stable Antibody-Drug Conjugates via an Encoded
Cyclopropene and an Inverse-Electron-Demand Diels-Alder Reaction.
AB - Homogeneous antibody-drug conjugates (ADCs), generated by site-specific toxin
linkage, show improved therapeutic indices with respect to traditional ADCs.
However, current methods to produce site-specific conjugates suffer from low
protein expression, slow reaction kinetics, and low yields, or are limited to
particular conjugation sites. Here we describe high yielding expression systems
that efficiently incorporate a cyclopropene derivative of lysine (CypK) into
antibodies through genetic-code expansion. We express trastuzumab bearing CypK
and conjugate tetrazine derivatives to the antibody. We show that the
dihydropyridazine linkage resulting from the conjugation reaction is stable in
serum, and generate an ADC bearing monomethyl auristatin E that selectively kills
cells expressing a high level of HER2. Our results demonstrate that CypK is a
minimal bioorthogonal handle for the rapid production of stable therapeutic
protein conjugates.
PMID- 29356245
TI - Predicting survival of older community-dwelling individuals according to five
estimated glomerular filtration rate equations: The InChianti study.
AB - AIMS: There is uncertainty about which estimated glomerular filtration rate eGFR
equation to use in older people with respect to the prediction of prognosis. Our
aim was: (i) to compare the discriminative capacity of eGFR estimated by
different equations with respect to all-cause mortality; and (ii) to identify the
eGFR threshold at which the risk of mortality starts to increase for each
equation. METHODS: We used data from 828 community-dwelling older adults aged >65
years enrolled in the InCHIANTI study. The outcome measure was all-cause
mortality at 9 years. GFR was estimated by five different equations: Chronic
Kidney Disease Epidemiological Collaboration (creatinine equation [CKD-EPIcre ],
and creatinine and cystatin C equation [CKD-EPIcre-cys ]), Berlin Initiative
Study (BIScre and BIScre-cys ) and full age spectrum. Sensitivity, specificity,
areas under receiver operating curve (AUC) and C-statistics were used to compare
their predictive capacity. RESULTS: The best mix of sensitivity, specificity, AUC
and C-statistic value in predicting mortality was observed with BIS equations.
BIScre (AUC 0.65, 95% CI 0.61-0.69) outperformed both CKD-EPIcre (AUC 0.60, 95%
CI 0.56-0.64; P = 0.005) and full age spectrum (AUC 0.63, 95% CI 0.59-0.67; P =
0.002) in terms of predictivity. Similarly, BIScre-cys (AUC 0.67, 95% CI 0.63
0.71) outperformed CKD-EPIcre-cys (AUC 0.63, 95% CI 0.59-0.67; P = 0.01). AUC
obtained with equations also including cystatin C were not significantly
different compared with their creatinine-based counterparts. The risk of long
term mortality began to increase at under 65.6 mL/min/1.73 m2 for CKD-EPIcre-cys
, 60.5 for CKD-EPIcre , 60 for BIScre-cys , 56.3 for BIScre and 55.2 for full age
spectrum. CONCLUSIONS: The BIS equation discriminates the risk of all-cause
mortality better than other equations in older community-dwelling individuals.
The eGFR threshold under which mortality starts to increase could change as a
function of the equation used. Geriatr Gerontol Int 2018; 18: 607-614.
PMID- 29356246
TI - Amipurimycin: Total Synthesis of the Proposed Structures and Diastereoisomers.
AB - The proposed diastereoisomers (1 a-d) together with their C8'-epimers (1 e-h) of
amipurimycin, a unique antifungal peptidyl nucleoside antibiotic, have been
synthesized for the first time. The synthetic approach is efficient and
stereodivergent, and features a stereoselective aldol condensation to build the
branched C9 sugar amino acid skeleton and a regio- and stereocontrolled gold(I)
catalyzed N-glycosylation to furnish the purine nucleoside. Analysis of the NMR
data suggests that the previously assigned configuration of the tertiary C3' in
amipurimycin should be of opposite configuration.
PMID- 29356247
TI - A comprehensive survey of Aeromonas sp. and Vibrio sp. in seabirds from
southeastern Brazil: outcomes for public health.
AB - AIMS: To perform a microbiological survey regarding the presence, prevalence and
characterization of Aeromonas sp. and Vibrio sp. in debilitated wrecked marine
birds recovered from the centre-north coast of the state of Rio de Janeiro,
Brazil. METHODS AND RESULTS: Swabs obtained from 116 alive and debilitated
wrecked marine birds, comprising 19 species, from the study area were evaluated
by biochemical methods. Antimicrobial susceptibility tests and pathogenicity gene
screening were performed for bacterial strains of public health importance.
Vibrio sp. and Aeromonas sp. were identified, as well as certain pathogenic genes
and resistance to selected antimicrobials. CONCLUSIONS: This study demonstrates
that the identified bacteria, mainly Vibrio sp., are fairly prevalent and
widespread among several species of seabirds and highlights the importance of
migratory birds in bacterial dispersion. In addition, it demonstrates the
importance of the bacterial strains regarding their pathogenic potential.
Therefore, seabirds can act as bacterial reservoirs, and their monitoring is of
the utmost importance in a public health context. SIGNIFICANCE AND IMPACT OF THE
STUDY: The study comprehensively evaluates the importance of seabirds as bacteria
of public health importance reservoirs, since birds comprising several pathogenic
bacterial species were evaluated.
PMID- 29356248
TI - Effect on transcriptome and metabolome of stacked transgenic maize containing
insecticidal cry and glyphosate tolerance epsps genes.
AB - Gene stacking is a developing trend in agricultural biotechnology. Unintended
effects in stacked transgenic plants are safety issues considered by the public
and researchers. Omics techniques provide useful tools to assess unintended
effects. In this paper, stacked transgenic maize 12-5*IE034 that contained
insecticidal cry and glyphosate tolerance G10-epsps genes was obtained by
crossing of transgenic maize varieties 12-5 and IE034. Transcriptome and
metabolome analyses were performed for different maize varieties, including 12
5*IE034, 12-5, IE034, and conventional varieties collected from different
provinces in China. The transcriptome results were as follows. The nine maize
varieties had obvious differences in gene expression. There were 3561-5538
differentially expressed genes between 12-5*IE034 and its parents and transgenic
receptor, which were far fewer than the number of differentially expressed genes
in different traditional maize varieties. Cluster analysis indicated that there
were close relationships between 12-5*IE034 and its parents. The metabolome
results were as follows. For the nine detected maize varieties, the number of
different metabolites ranged from 0 to 240. Compared with its parents, 12-5 and
IE034, the hybrid variety 12-5*IE034 had 15 and 112 different metabolites,
respectively. Hierarchical cluster analysis with Pearson's correlation analysis
showed that the differences between 12-5*IE034 and its parents were fewer than
those between other maize varieties. Shikimate pathway-related genes and
metabolites analysis results showed that the effects of hybrid stacking are less
than those from transformation and differing genotypes. Thus, the differences due
to breeding stack were fewer than those due to natural variation among maize
varieties. This paper provides scientific data for assessing unintended effects
in stacked transgenic plants.
PMID- 29356249
TI - Effect of Origanum vulgare essential oil on biofilm formation and motility
capacity of Pseudomonas fluorescens strains isolated from discoloured Mozzarella
cheese.
AB - AIMS: The study was focused on Pseudomonas fluorescens strains isolated from
Mozzarella cheese, with the aim of evaluating the effects of Origanum vulgare L.
essential oil (OEO) on the biofilm formation and eradication, as well as on the
motility and blue pigment production at 10 degrees C. METHODS AND RESULTS:
Microdilution method was used to determine the minimum inhibitory and
bactericidal concentration of the OEO, which ranged between 10 and 40 MUl ml-1 .
In vitro studies demonstrated that a sublethal concentration of OEO influenced
not only P. fluorescens growth and motility but also the capability to form
biofilm and, in a lower degree, the biofilm eradication at 10 degrees C. Analysis
by confocal microscopy revealed a dramatic reduction in biofilm formation and
thickness, with scattered damage or death of cells, stained by propidium iodide.
In addition, a concentration of 5 MUl ml-1 of OEO affected the motility of the
cells and, in particular, their ability to swim. However, the essential oil did
not inhibit the blue pigment production by any of the tested strains.
CONCLUSIONS: The present findings suggest that oregano essential oil inhibits the
biofilm formation of P. fluorescens strains and alters their motility. Moreover,
in the preformed biofilm, OEO contributes to the detachment of the cells,
deteriorating the architecture of the biofilm and reducing its thickness.
SIGNIFICANCE AND IMPACT OF THE STUDY: The O. vulgare L. essential oil was
revealed as a promising agent against biofilm formation and for its detaching;
these results suggest that oregano EO could be used in the dairy food industry to
control biofilm formation, as an alternative, or in combination with conventional
sanitizers.
PMID- 29356250
TI - Application of the Interacting Quantum Atoms Approach to the S66 and Ionic
Hydrogen-Bond Datasets for Noncovalent Interactions.
AB - The interacting quantum atoms (IQA) method can assess, systematically and in
great detail, the strength and physics of both covalent and noncovalent
interactions. The lack of a pair density in density functional theory (DFT),
which precludes the direct IQA decomposition of the characteristic exchange
correlation energy, has been recently overcome by means of a scaling technique,
which can largely expand the applicability of the method. To better assess the
utility of the augmented IQA methodology to derive quantum chemical
decompositions at the atomic and molecular levels, we report the results of
Hartree-Fock (HF) and DFT calculations on the complexes included in the S66 and
the ionic H-bond databases of benchmark geometry and binding energies. For all
structures, we perform single-point and geometry optimizations using HF and
selected DFT methods with triple-zeta basis sets followed by full IQA
calculations. Pairwise dispersion energies are accounted for by the D3 method. We
analyze the goodness of the HF-D3 and DFT-D3 binding energies, the magnitude of
numerical errors, the fragment and atomic distribution of formation energies,
etc. It is shown that fragment-based IQA decomposes the formation energies in
comparable terms to those of perturbative approaches and that the atomic IQA
energies hold the promise of rigorously quantifying atomic and group energy
contributions in larger biomolecular systems.
PMID- 29356251
TI - Dedifferentiated Fat (DFAT) cells: A cell source for oral and maxillofacial
tissue engineering.
AB - Tissue engineering is a promising method for the regeneration of oral and
maxillofacial tissues. Proper selection of a cell source is important for the
desired application. This review describes the discovery and usefulness of
dedifferentiated fat (DFAT) cells as a cell source for tissue engineering.
Dedifferentiated Fat cells are a highly homogeneous cell population (high
purity), highly proliferative, and possess a multilineage potential for
differentiation into various cell types under proper in vitro inducing conditions
and in vivo. Moreover, DFAT cells have a higher differentiation capability of
becoming osteoblasts, chondrocytes, and adipocytes than do bone marrow-derived
mesenchymal stem cells and/or adipose tissue-derived stem cells. The usefulness
of DFAT cells in vivo for periodontal tissue, bone, peripheral nerve, muscle,
cartilage, and fat tissue regeneration was reported. Dedifferentiated Fat cells
obtained from the human buccal fat pad (BFP) are a minimally invasive procedure
with limited esthetic complications for patients. The BFP is a convenient and
accessible anatomical site to harvest DFAT cells for dentists and oral surgeons,
and thus is a promising cell source for oral and maxillofacial tissue
engineering.
PMID- 29356252
TI - White Matter Integrity Disruptions Correlate With Cognitive Impairments in
Asthma.
AB - BACKGROUND: Cognitive impairments are common in asthma, which is a serious global
health problem characterized by chronic airway inflammation. However, the
underlying neuromechanism is still unclear. PURPOSE/HYPOTHESIS: To investigate
the neuromechanism underlying cognitive impairments of asthma. We hypothesized
that asthma patients exhibit altered white matter (WM) microstructures, which may
contribute to their cognitive impairments. STUDY TYPE: Case-control study.
SUBJECTS: 37 patients with asthma (14 male) and 31 healthy controls (10 male).
FIELD STRENGTH/SEQUENCE: Diffusion tensor imaging (DTI) covering the whole brain
was acquired on a 3.0T scanner using a single-shot echo planar imaging sequence.
ASSESSMENT: A DTI with tract-based spatial statistics (TBSS) approach was used to
investigate the whole-brain differences in the WM fractional anisotropy (FA)
values. STATISTICAL TESTS: Demographic and neuropsychological data were performed
using two independent sample t-test or chi-square test or Mann-Whitney rank test.
The relationship between cognitive impairments and WM abnormalities was studied
using correlation analyses. RESULTS: Impairments of language ability, executive
function, and visual-spatial processing and widespread WM disruptions reflected
by FA reduction were found in asthma patients. The executive function was related
to left forceps major, cingulum, and right uncinate fasciculus, ILF (inferior
longitudinal fasciculus) positively (P < 0.05). FA abnormalities were positively
correlated with duration of asthma and asthma control test (ACT) scores. DATA
CONCLUSION: Asthma patients display multiple cognitive impairments and
universally WM integrity disruptions, among which executive dysfunction closely
correlates with WM abnormalities. LEVEL OF EVIDENCE: 1 Technical Efficacy: Stage
5 J. Magn. Reson. Imaging 2018.
PMID- 29356253
TI - Current protein and amino acid intakes among Japanese people: Analysis of the
2012 National Health and Nutrition Survey.
AB - AIM: To evaluate protein and amino acid intakes and distribution among Japanese
people in terms of prevention of sarcopenia or frailty. METHODS: We carried out a
cross-sectional study using data from Japan's 2012 National Health and Nutrition
Survey. From the original dataset, we selected individuals aged >=30 years, who
were not pregnant or lactating, and for whom dietary intake, bodyweight and body
height data were available. Protein intake was calculated using exclusive
software developed for the survey. Amino acid intake was calculated using the
database created by the National Institute for Longevity Sciences. RESULTS: More
than 95% of the participants met recommended levels of protein suggested by the
Dietary Reference Intake in Japan. However, nearly half of the participants did
not meet recommended levels in terms of prevention of sarcopenia. Leucine intake
was not sufficient for each sex and age group, probably because of decreased
intake of animal protein, especially protein from milk and dairy products.
Distribution of protein and leucine in each meal tended toward dinner.
CONCLUSIONS: The Japanese population might have insufficient quality, quantity
and distribution of protein intake required to prevent sarcopenia or frailty.
Geriatr Gerontol Int 2018; 18: 723-731.
PMID- 29356254
TI - Effects of school-based mindfulness training on emotion processing and well-being
in adolescents: evidence from event-related potentials.
AB - In a non-randomized controlled study, we investigated the efficacy of a school
based mindfulness curriculum delivered by schoolteachers to older secondary
school students (16-18 years). We measured changes in emotion processing indexed
by P3b event-related potential (ERP) modulations in an affective oddball task
using static human faces. ERPs were recorded to happy and sad face oddballs
presented in a stimulus stream of frequent faces with neutral expression, before
and after 8 weeks of mindfulness training. Whilst the mean amplitude of the P3b,
an ERP component typically elicited by infrequent oddballs, decreased between
testing sessions in the control group, it remained unchanged in the training
group. Significant increases in self-reported well-being and fewer doctor visits
for mental health support were also reported in the training group as compared to
controls. The observed habituation to emotional stimuli in controls thus
contrasted with maintained sensitivity in mindfulness-trained students. These
results suggest that in-school mindfulness training for adolescents has scope for
increasing awareness of socially relevant emotional stimuli, irrespective of
valence, and thus may decrease vulnerability to depression.
PMID- 29356255
TI - Development of an online, universal, Utstein registry-based, care practice report
card to improve out-of-hospital resuscitation practices.
AB - RATIONALE, AIMS AND OBJECTIVES: Care quality is a primary concern in health
field. In France, the care practice report card (CPRC) is compulsory for
practitioners. It is the first step towards the culture of excellence. In this
context, practitioners have to assess and improve their practices. Competent
authorities define registries as reliable sources for CPRC. The first aim of this
work is to describe how we designed and built a universally transposable CPRC
model based on an Utstein-style cardiac arrest registry. The second aim is to
measure the adherence of practitioners to this approach and to show how such a
tool can be used in real situation. METHODS: Our report card is adapted from in
hospital CA care quality and safety indicators. We built a 2-section grid. The
first part described the quality and completeness of the analysed data. The
second part distinguished medical and traumatic CA and assesses care practices.
We analysed the practitioners' adherence thanks to a satisfaction survey.
Finally, we presented a CPRC case study. RESULTS: This tool was tested in 92
centres gathering 8433 patients. The satisfaction survey showed that this CPRC
was well accepted by emergency professionals. We presented an implementation
example of this tool in a centre in real-life situation. CONCLUSIONS: We designed
and implemented a fully automated CPRC tool routinely usable for Utstein-style CA
registries. This CPRC is easily transferable in all other Utstein CA registries.
The debriefing report source codes are freely distributed upon request. This tool
enables the care assessment and improvement.
PMID- 29356258
TI - Congenital myasthenic syndrome due to DPAGT1 mutations mimicking congenital
myopathy in an Irish family.
PMID- 29356256
TI - Investigation of Microalgal Carotenoid Content Using Coherent Anti-Stokes Raman
Scattering (CARS) Microscopy and Spontaneous Raman Spectroscopy.
AB - The yield of high-value products, such as pigments that could be extracted from
microalgae, is affected by various nutritional and physical factors.
Consequently, there is a need for fast visualization techniques that investigate
the responses of individual microalgal cells to changing environmental conditions
without introducing perturbations. Here, we apply CARS microscopy to map the
distribution of pigments in the diatoms Ditylum brightwellii and Stephanopyxis
turris and report their relative change in response to varying light cycles using
a marker-based watershed analysis of the acquired images. Simultaneously, the
underlying specific pigment composition alterations are revealed using Raman
microspectroscopy at 785 nm excitation. In regards to assessing the chemical
content of microalgae, these methods present themselves as viable alternatives to
the standard techniques currently in use because of their non-disruptive nature
and the wealth of complementary information that could be obtained from them.
PMID- 29356259
TI - Miller Fisher syndrome associated with a Zika virus infection.
PMID- 29356260
TI - 18 F-NaF PET-MRI: an innovative tool to assess carotid artery plaque
vulnerability.
PMID- 29356261
TI - CLIPPERS mimickers: relapsing brainstem encephalitis associated with anti-MOG
antibodies.
PMID- 29356262
TI - Optic nerve sheath diameter measurement in patients with idiopathic normal
pressure hydrocephalus.
PMID- 29356263
TI - Pyridostigmine bromide versus fludrocortisone in the treatment of orthostatic
hypotension in Parkinson's disease - reply.
PMID- 29356264
TI - Corpus callosum agenesis, myopathy and pinpoint pupils: consider Stormorken
syndrome.
PMID- 29356265
TI - Palladium-Catalyzed Oxygenative Cross-Coupling of Ynamides and Benzyl Bromides by
Carbene Migratory Insertion.
AB - A palladium-catalyzed oxygenative cross-coupling of ynamides and benzyl bromides
has been developed. After subsequent hydrogenation, alpha,alpha-disubstituted
amide derivatives were obtained in good yields. Migratory insertion of alpha-oxo
palladium carbene species, generated by intermolecular oxidation, is proposed as
the key step in this reaction. The study demonstrates the potential of ynamides
to serve as carbene precursors in palladium-catalyzed C-C bond-forming cross
coupling reactions.
PMID- 29356266
TI - On the Action of General Anesthetics on Cellular Function: Barbiturate Alters the
Exocytosis of Catecholamines in a Model Cell System.
AB - General anesthetics are essential in many areas, however, the cellular mechanisms
of anesthetic-induced amnesia and unconsciousness are incompletely understood.
Exocytosis is the main mechanism of signal transduction and neuronal
communication through the release of chemical transmitters from vesicles to the
extracellular environment. Here, we use disk electrodes placed on top of PC12
cells to show that treatment with barbiturate induces fewer molecules released
during exocytosis and changes the event dynamics perhaps by inducing a less
stable fusion pore that is prone to close faster during partial exocytosis.
Larger events are essentially abolished. However, use of intracellular vesicle
impact electrochemical cytometry using a nano-tip electrode inserted into a cell
shows that the distribution of vesicle transmitter content does not change after
barbiturate treatment. This indicates that barbiturate selectively alters the
pore size of larger events or perhaps differentially between types of vesicles.
Alteration of exocytosis in this manner could be linked to the effects of general
anesthetics on memory loss.
PMID- 29356267
TI - Cleavable Crosslinkers as Tissue Fixation Reagents for Proteomic Analysis.
AB - Formaldehyde fixation is widely used for long-term maintenance of tissue.
However, due to formaldehyde-induced crosslinks, fixed tissue proteins are
difficult to extract, which hampers mass spectrometry (MS) proteomic analyses.
Recent years have seen the use of different combinations of high temperature and
solubilizing agents (usually derived from antigen retrieval techniques) to
unravel formaldehyde-fixed paraffin-embedded tissue proteomes. However, to
achieve protein extraction yields similar to those of fresh-frozen tissue, high
temperature heating is necessary. Such harsh extraction conditions can affect
sensitive amino acids and post-translational modifications, resulting in the loss
of important information, while still not resulting in protein yields comparable
to those of fresh-frozen tissue. Herein, the objective is to evaluate cleavable
protein crosslinkers as fixatives that allow tissue preservation and efficient
protein extraction from fixed tissue for MS proteomics under mild conditions.
With this goal in mind, disuccinimidyl tartrate (DST) and
dithiobis(succinimidylpropionate) (DSP) are investigated as cleavable fixating
reagents. These compounds crosslink proteins by reacting with amino groups,
leading to amide bond formation, and can be cleaved with sodium metaperiodate
(cis-diols, DST) or reducing agents (disulfide bonds, DSP), respectively. Results
show that cleavable protein crosslinking with DST and DSP allows tissue fixation
with morphology preservation comparable to that of formaldehyde. In addition,
cleavage of DSP improves protein recovery from fixed tissue by a factor of 18 and
increases the number of identified proteins by approximately 20 % under mild
extraction conditions compared with those of formaldehyde-fixed paraffin-embedded
tissue. A major advantage of DSP is the introduction of well-defined protein
modifications that can be taken into account during database searching. In
contrast to DSP fixation, DST fixation followed by cleavage with sodium
metaperiodate, although effective, results in side reactions that prevent
effective protein extraction and interfere with protein identification. Protein
crosslinkers that can be cleaved under mild conditions and result in defined
modifications, such as DSP, are thus viable alternatives to formaldehyde as
tissue fixatives to facilitate protein analysis from paraffin-embedded, fixed
tissue.
PMID- 29356268
TI - Stable Enantiomers Displaying Thermally Activated Delayed Fluorescence: Efficient
OLEDs with Circularly Polarized Electroluminescence.
AB - Aromatic-imide-based thermally activated delayed fluorescent (TADF) enantiomers,
(+)-(S,S)-CAI-Cz and (-)-(R,R)-CAI-Cz, were efficiently synthesized by
introducing a chiral 1,2-diaminocyclohexane to the achiral TADF unit. The TADF
enantiomers exhibited high PLQYs of up to 98 %, small DeltaEST values of 0.06
eV, as well as obvious temperature-dependent transient PL spectra, thus
demonstrating their excellent TADF properties. Moreover, the TADF enantiomers
showed mirror-image CD and CPL activities. Notably, the CP-OLEDs with CPEL
properties based on the TADF enantiomers not only achieved high EQE values of up
to 19.7 and 19.8 %, but also displayed opposite CPEL signals with gEL values of
1.7*10-3 and 2.3*10-3 , which represents the first CP-OLEDs, based on the
enantiomerically pure TADF materials, having both high efficiencies and intense
CPEL.
PMID- 29356269
TI - Bioresorbable vascular scaffold versus everolimus-eluting stents or drug eluting
balloon for the treatment of coronary in-stent restenosis: 1-Year follow-up of a
propensity score matching comparison (the BIORESOLVE-ISR Study).
AB - OBJECTIVES: to compare the 1-year outcome between bioresorbable vascular scaffold
(BVS), everolimus-eluting stent (EES), and drug-eluting balloon (DEB) for in
stent restenosis (ISR) treatment. BACKGROUND: BVS has been proposed as
alternative for ISR treatment. To date a direct comparison between BVS and DES or
DEB for ISR treatment is lacking. METHODS: We retrospectively analyzed all ISR
lesions treated with BVS, DEB, and EES from January 2012 to December 2014. A
total of 548 lesions (498 patients) were included. By applying two propensity
score matching, 93 lesions treated with BVS were compared with 93 lesions treated
with DEB, and 100 lesions treated with BVS were compared to 100 lesions treated
with EES. RESULTS: At 1-year follow-up the incidence of device-oriented
cardiovascular events (DOCE) and its components did not significantly differ
between BVS and DEB (DOCE: 10.9 vs. 11.8%, HR, 0.91; 95% CI, 0.33-2.52; P = 0.86;
Cardiac death: 2.2 vs. 1.2%, HR, 1.74, 95% CI 0.16-18.80, P = 0.65; ID-TLR: 8.9
vs. 10.7%, HR, 0.81, 95% CI 0.27-2.48, P = 0.71; TV-MI: 3.3 vs. 1.2%, HR, 2.39,
95% CI 0.27-21.32, P = 0.43) and BVS vs. EES (DOCE: 10.1 vs. 5.2% HR, 1.81, 95%
CI, 0.63-5.25; P = 0.27; Cardiac death: 3.0 vs. 1.1%; HR, 2.83, 95% CI 0.29-27.4,
P = 0.37; ID-TLR: 7.2 vs. 4.2%, HR, 1.57, 95% CI 0.47-5.23, P = 0.46; TV-MI: 3.1
vs. 0%). CONCLUSION: At 1-year follow-up the use of BVS as ISR treatment is
associated with a higher, even if not significant, DOCE rate compared with EES
while a similar rate compared to DEB.
PMID- 29356270
TI - Phytoplankton defence mechanisms: traits and trade-offs.
AB - In aquatic ecosystems, unicellular algae form the basis of the food webs.
Theoretical and experimental studies have demonstrated that one of the mechanisms
that maintain high diversity of phytoplankton is through predation and the
consequent evolution of defence mechanisms. Proposed defence mechanisms in
phytoplankton are diverse and include physiological (e.g. toxicity,
bioluminescence), morphological (e.g. silica shell, colony formation), and
behavioural (e.g. escape response) traits. However, the function of many of the
proposed defence mechanisms remains elusive, and the costs and benefits (trade
offs) are often unquantified or undocumented. Here, we provide an overview of
suggested phytoplankton defensive traits and review their experimental support.
Wherever possible we quantify the trade-offs from experimental evidence and
theoretical considerations. In many instances, experimental evidence suggests
that defences are costless. However, we argue that (i) some costs materialize
only under natural conditions, for example, sinking losses, or dependency on the
availability of specific nutrients, and (ii) other costs become evident only
under resource-deficient conditions where a rivalry for limiting resources
between growth and defence occurs. Based on these findings, we suggest two
strategies for quantifying the costs of defence mechanisms in phytoplankton: (i)
for the evaluation of defence costs that are realized under natural conditions, a
mechanistic understanding of the hypothesized component processes is required;
and (ii) the magnitude of the costs (i.e. growth reduction) must be assessed
under conditions of resource limitation.
PMID- 29356271
TI - Assessment of the efficacy and tolerance of an innovative regenerative serum on
cutaneous regeneration, following fractional laser procedure using Erbium:YAG.
AB - INTRODUCTION: Cutaneous regeneration, fractional laser, medical device, cellular
proliferation cutaneous changes linked to photoaging are currently treated with
physical treatments, such as fractional laser, which may induce epidermal
alteration. OBJECTIVE: To determine the efficacy and safety of a regenerative
serum (Matricium(r) , Laboratoire Bioderma, France) after laser procedure.
METHODS: Prospective, double-blind, controlled, and randomized study in subjects
with photoaged skin. The regenerative serum of treatment was used after a
fractional laser session twice daily for 2 months on 1 side of the face and the
placebo on the other side. The main variable to determine efficacy was the
improvement of clinical signs and histological and immunological results.
RESULTS: A superior quality of epidermal regeneration on the treated side
compared to the placebo side was observed. Likewise, a superior and faster
clinical improvement on static wrinkles was observed on the hemiface on which the
regenerative serum was used. After 60 days, the investigator and the subjects
observed a moderate to significant improvement of the skin on the treated side
and a mild to moderate improvement on the placebo side. Histological examinations
showed a superior thickness of epidermis and higher cellular proliferation rate
(Ki67 markers) as well as a superior thickness of dermis with higher increase in
elastin density with the regenerative serum compared to placebo. CONCLUSION: The
use of the regenerative serum after fractional laser on the face accelerated and
improved the cutaneous regeneration on both the clinical and histological level
and maximized the benefits of the laser procedure.
PMID- 29356272
TI - Densely Packed, Ultra Small SnO Nanoparticles for Enhanced Activity and
Selectivity in Electrochemical CO2 Reduction.
AB - Controlling the selectivity in electrochemical CO2 reduction is an unsolved
challenge. While tin (Sn) has emerged as a promising non-precious catalyst for
CO2 electroreduction, most Sn-based catalysts produce formate as the major
product, which is less desirable than CO in terms of separation and further use.
Tin monoxide (SnO) nanoparticles supported on carbon black were synthesized and
assembled and their application in CO2 reduction was studied. Remarkably high
selectivity and partial current densities for CO formation were obtained using
these SnO nanoparticles compared to other Sn catalysts. The high activity is
attributed to the ultra-small size of the nanoparticles (2.6 nm), while the high
selectivity is attributed to a local pH effect arising from the dense packing of
nanoparticles in the conductive carbon black matrix.
PMID- 29356273
TI - Unknown-onset strokes with anterior circulation occlusion treated by thrombectomy
after DWI-FLAIR mismatch selection.
AB - BACKGROUND AND PURPOSE: The DAWN trial recently showed compelling evidence in
treating late window and wake-up stroke patients with thrombectomy using a
clinical-imaging mismatch. The aim was to evaluate the results of thrombectomy
for unknown-onset strokes (UOS) treated in our centres after a diffusion weighted
imaging/fluid attenuated inversion recovery (DWI-FLAIR) mismatch based selection.
METHODS: A multicentre, cohort study was performed of consecutive UOS treated by
thrombectomy between 2012 and 2016. UOS with proximal anterior circulation
occlusion discovered beyond 6 h from 'last seen normal' were compared with known
onset strokes (KOS) for whom thrombectomy was started within 6 h from onset. Time
intervals were recorded from first time found abnormal. Results were adjusted for
age, diabetes, hypertension, National Institutes of Health Stroke Scale, site of
occlusion, DWI Alberta Stroke Programme Early CT Score, intravenous thrombolysis
and use of general anaesthesia. RESULTS: Amongst 1246 strokes with anterior
circulation occlusion treated by thrombectomy, 277 were UOS, with a 'last time
seen well' beyond 6 h and DWI-FLAIR mismatch, and 865 were KOS who underwent
groin puncture within 6 h. Favourable outcome was achieved less often in UOS than
KOS patients (45.2% vs. 53.9%, P = 0.022). After pre-specified adjustment, this
difference was not significant (adjusted relative risk 0.91; 95% confidence
interval 0.80-1.04; P = 0.17). No differences were found in secondary outcomes.
Time intervals from first found abnormal were significantly longer in UOS.
CONCLUSION: Thrombectomy of UOS with anterior circulation occlusion and DWI-FLAIR
mismatch appears to be as safe and efficient as thrombectomy of KOS within 6 h
from onset. This pattern of imaging could be used for patient selection when time
of onset is unknown.
PMID- 29356274
TI - Purpose-built national burns center: The Israeli experience.
AB - The opening of the National Burns Center (NBC) in Sheba Medical Center (SMC) in
2014 was a keystone point in the development of specialized burns trauma services
for Israel to ensure burn care and disaster planning at international standards.
The NBC is a purpose-built, level 1 burns trauma center that receives patients
from Israel, Gaza, West Bank, and abroad. Hosting six intensive care and four
step-down burns beds, the center serves as a referral center for patients in
other health-care facilities with indication for hospitalization. In the present
study, we describe the planning, design, and organization of the NBC at the SMC
with reference to some of the key areas and considerations in its conception and
development. With the improved survival rates of patients with extensive burns
and the demographics and characteristics among burn-injury patients in Israel,
the NBC has the capacity to be the center of excellence in burns care, teaching,
and research within the Middle East.
PMID- 29356275
TI - Cytological studies on the infection of rice root by Ustilaginoidea virens.
AB - In recent years, false smut disease of rice has been one of the most important
diseases of cultivated rice in China. Ustilaginoidea virens is an ascomycete
fungal pathogen that causes false smut in rice. There is always controversy about
whether the pathogen can infect the rice root and cause the occurrence of false
smut, mainly due to lack direct cytological evidence. In our study, we observed
the cytological structure of rice root invaded by U. virens. The results showed
that U. virens could attach to the surface of young roots and penetrate into the
intercellular space of the root epidermis. The cellulose microfibrils in root
epidermal cell wall are very loose and soft, and their structural features are
similar to filaments of rice. After the fungus infected the roots, a large number
of fungal secretions were accumulated outside of the cell walls. At 40 days, the
fungus began to degrade, but pathogens still had not infected the sclerenchyma,
in which the cells are arranged densely and the cell walls are thicker. U. virens
could not cross the sclerenchyma layer into the endodermis and phloem of the
root. To some extent, the U. virens infection affected the leaf and root growth
of the rice. After inoculation, there was no fungal mycelium found in transverse
sections of the rice young stem. These results suggested that root colonization
of U. virens does not lead to systemic invasion in rice.
PMID- 29356276
TI - Influence of breathing patterns and orthostatic stress on postural control in
older adults.
AB - AIM: To investigate the influence of breathing patterns and blood pressure
behavior postural control in older adults. METHODS: A total of 20 older adults
carried out spontaneous, controlled (15 cycles/min) and deep (6 cycles/min)
breathing trials, in random order. In this session, the heart rate was recorded
continuously and blood pressure measured every 3 min. In addition, the challenge
from sitting to an active standing position was carried out with eyes closed
during 6 min on a baropodometer plate with electromyography recording. The root
mean square (RMS) of electromyography was calculated. RESULTS: There were
significant differences in the postural control with spontaneous breathing from
the first minute (center of pressure [COP]-area 216.40 +/- 116.70 mm2 ) in
comparison with the third minute (COP-area 79.35 +/- 68.11 mm2 ; d = 0.89; P <
0.01) and the sixth minute (COP-area 70.24 +/- 41.26 mm2 ; d = 0.60; P < 0.05) of
active standing. Furthermore, deep breathing significantly increases the COP-area
(158.50 +/- 126.2 mm2 ) at the sixth minute of active standing in comparison with
spontaneous breathing (70.24 +/- 41.26 mm2 ; d = 0.80; P < 0.01). There were also
significant differences in systolic blood pressure during spontaneous breathing
between the baseline measures (sitting position 123 +/- 11 mmHg) and the first
minute of active standing (117 +/- 13 mmHg; d = 0.24; P < 0.05). Furthermore,
electromyography activity of the tibialis anterior increased during the deep
(17.52 +/- 9.21 RMS) and controlled breathing (16.75 +/- 5.26 RMS) compared with
the spontaneous condition (14.93 +/- 5.56 RMS; d = 0.17; P < 0.05). CONCLUSIONS:
The present data provide important insight into the respiratory and hemodynamics
effects of postural control in older adults. The current data confirm that deep
breathing causes periodic perturbation in the neuromuscular compensation of the
lower limbs. Geriatr Gerontol Int 2018; 18: 692-697.
PMID- 29356277
TI - Assessment of the efficacy of a new complex antisensitive skin cream.
AB - BACKGROUND: Sensitive skin is frequently complaint in dermatology consultation
with cutaneous manifestations such as stinging, redness, dryness, and burning
sensation that affect the quality of life. Its pathogenesis is mainly related to
dysfunction of neurosensory, skin barrier, and also immune activity. The
treatment is generally based on continuous and topical therapy by nonirritating
complex. OBJECTIVE: To evaluate the antisensitive function of a new complex cream
composed by Yunnan Portulaca oleracea extract, Prinsepia utilis oil, beta-glucan,
and sodium hyaluronate extracted from mushroom. METHODS: A randomized double
blind and self-control study was conducted on 20 selected volunteers with
sensitive skin. Subjects applied the test cream to 1 side of the face, and the
control cream (tolerance-extreme cream) to the other side of the face, twice
daily over 28 days. Evaluations were performed at baseline and at 28 days. Expert
clinical grading of facial skin including dryness, roughness, desquamation, and
erythema was assessed. Subject self-assessment questionnaires, digital
photography and noninvasive bioinstrumentation of hydration, transepidermal water
loss, lipid index, skin texture, and wettability were also included in the study.
RESULTS: Products were well tolerated. For all parameters studied, no significant
difference was observed between test and control creams. Results showed that test
cream provided a statistically significant improvement in clinical grading scores
for dryness, roughness, and erythema at 28 days compared to baseline. In
addition, statistically significant improvement of skin hydration and texture
parameters (eg, smoothness and roughness) was demonstrated. Volunteers'
questionnaire revealed self-perceived benefits consistent with expert visual
grading. CONCLUSION: This study confirmed the effectiveness and tolerance of the
new complex cream in subjects with sensitive skin. The test cream could serve as
a daily care moisturizer for face.
PMID- 29356278
TI - Antiplatelet drug selection in PCI to vein grafts in patients with acute coronary
syndrome and adverse clinical outcomes: Insights from the British Cardiovascular
Intervention Society database.
AB - OBJECTIVE: This study aims to evaluate outcomes associated with different P2Y12
agents in Saphenous Vein graft (SVG) percutaneous coronary intervention (PCI).
BACKGROUND: SVG PCI is associated with greater risks of ischemic complications,
compared with native coronary PCI. Outcomes associated with the use of potent
P2Y12 blocking drugs, Prasugrel and Ticagrelor, in SVG PCI are unknown. METHODS:
Patients included in the study underwent SVG PCI in the United Kingdom between
2007 and 2014 for acute coronary syndrome and were grouped by P2Y12 antiplatelet
use. In-hospital major adverse cardiac events, major bleeding and 30-day and 1
year mortality were examined. Multiple imputations with chained equations to
impute missing data were used. Adjustment for baseline imbalances was performed
using (1) multiple logistic regression (MLR) and (separately) (2) propensity
score matching (PSM). RESULTS: Data weres analyzed from 8,119 patients and most
cases were treated with Clopidogrel (n = 7,401), followed by Ticagrelor (n = 497)
and Prasugrel (n = 221). In both MLR and PSM models, there was no significant
evidence to suggest that either Prasugrel or Ticagrelor was associated with
significantly lower 30-day mortality compared with Clopidogrel. The odds ratios
reported from the multivariable analysis were 1.22 (95% CI: 0.60-2.51) for
Prasugrel vs. Clopidogrel and 0.48 (95% CI: 0.20-1.16) for Ticagrelor vs.
Clopidogrel. No significant differences were seen for in-hospital ischemic or
bleeding events. CONCLUSIONS: Our real world national study provides no clear
evidence to indicate that use of potent P2Y12 blockers in SVG PCI is associated
with improved clinical outcomes.
PMID- 29356279
TI - On the Synthesis of Chocolate Flavonoids (Propanols, Butanals) in the
Interstellar Medium.
AB - Complex organic molecules are ubiquitous in star- and planet-forming regions as
well as on comets such as on 67P/Churyumov-Gerasimenko, but their origins have
remained largely unexplained until now. Here, we report the first laboratory
detection of distinct C3 H8 O (propanol, methyl ethyl ether) and C4 H8 O (n
butanal, i-butanal) isomers formed within interstellar analog ices through
interaction with ionizing radiation. This study reveals that complex organics
with propyl (C3 H7 ) and butyl (C4 H9 ) groups can be synthesized easily in deep
space and may act as key evolutionary tracers of a cosmic ray driven non
equilibrium chemistry in low temperature interstellar ices at 10 K. These
processes are of vital importance in initiating a chain of chemical reactions
leading to complex organics-some of which are responsible for the flavors of
chocolate-not only in the interstellar medium, but also on comet 67P/Churyumov
Gerasimenko.
PMID- 29356280
TI - Potential role of potassium and chloride channels in regulation of silymarin
induced apoptosis in Candida albicans.
AB - Silymarin, which is derived from the seeds of Silybum marianum, has been widely
used to prevent and treat liver diseases. In our previous study, we reported that
at concentrations above the minimal inhibitory concentration (MIC), silymarin
exhibited antifungal activity against Candida albicans by targeting its plasma
membrane. However, the antifungal mechanism at concentration below the MIC
remains unknown. Therefore, we aimed to determine the underlying mechanism of
antifungal effects of silymarin at concentration below the MIC. To evaluate the
inhibitory effects on the ion channels, C. albicans cells were separately
pretreated with potassium and chloride channel blockers. The antifungal activity
of silymarin at sub-MIC was affected by the ion channel blockers. Potassium
channel blockade inhibited the antifungal effects, whereas chloride channel
blockade slightly enhanced these effects. Subsequently, we found that silymarin
induced disturbances in calcium homeostasis via the cytosolic and mitochondrial
accumulation of calcium. Furthermore, apoptotic responses, such as
phosphatidylserine exposure, loss of mitochondrial membrane potential (MMP), DNA
damage, and caspase activation were induced in response to silymarin treatment.
The increases in intracellular calcium level and pro-apoptotic changes were
prevented when potassium ion channels were blocked. In contrast, these changes
were enhanced upon chloride channels blockade; however, this did not affect the
intracellular calcium levels and MMP loss. Thus, we showed that silymarin
treatment at concentration below the MIC induced apoptosis in C. albicans;
additionally, ion channels contributed these effects. (c) 2018 IUBMB Life,
70(3):197-206, 2018.
PMID- 29356281
TI - Episode forecasting in bipolar disorder: Is energy better than mood?
AB - OBJECTIVE: Bipolar disorder is a severe mood disorder characterized by
alternating episodes of mania and depression. Several interventions have been
developed to decrease high admission rates and high suicides rates associated
with the illness, including psychoeducation and early episode detection, with
mixed results. More recently, machine learning approaches have been used to aid
clinical diagnosis or to detect a particular clinical state; however,
contradictory results arise from confusion around which of the several
automatically generated data are the most contributory and useful to detect a
particular clinical state. Our aim for this study was to apply machine learning
techniques and nonlinear analyses to a physiological time series dataset in order
to find the best predictor for forecasting episodes in mood disorders. METHODS:
We employed three different techniques: entropy calculations and two different
machine learning approaches (genetic programming and Markov Brains as
classifiers) to determine whether mood, energy or sleep was the best predictor to
forecast a mood episode in a physiological time series. RESULTS: Evening energy
was the best predictor for both manic and depressive episodes in each of the
three aforementioned techniques. This suggests that energy might be a better
predictor than mood for forecasting mood episodes in bipolar disorder and that
these particular machine learning approaches are valuable tools to be used
clinically. CONCLUSIONS: Energy should be considered as an important factor for
episode prediction. Machine learning approaches provide better tools to forecast
episodes and to increase our understanding of the processes that underlie mood
regulation.
PMID- 29356282
TI - Manipulating Band Structure through Reconstruction of Binary Metal Sulfide for
High-Performance Thermoelectrics in Solution-Synthesized Nanostructured Bi13 S18
I2.
AB - Reconstructing canonical binary compounds by inserting a third agent can
significantly modify their electronic and phonon structures. Therefore, it has
inspired the semiconductor communities in various fields. Introducing this
paradigm will potentially revolutionize thermoelectrics as well. Using a solution
synthesis, Bi2 S3 was rebuilt by adding disordered Bi and weakly bonded I. These
new structural motifs and the altered crystal symmetry induce prominent changes
in electrical and thermal transport, resulting in a great enhancement of the
figure of merit. The as-obtained nanostructured Bi13 S18 I2 is the first non
toxic, cost-efficient, and solution-processable n-type material with z T=1.0.
PMID- 29356283
TI - Intrahepatic plexiform neurofibroma with neurofibromatosis type 1.
PMID- 29356284
TI - Addressing respiratory tract diseases: Our way forward.
PMID- 29356285
TI - Response to ponatinib before hematopoietic stem cell transplantation in a child
with relapsed Philadelphia chromosome-positive acute lymphoblastic leukemia.
PMID- 29356286
TI - Medical equipment deployment in pediatric emergency prehospital medical units in
Japan.
AB - The deployment status of pediatric emergency equipment in ambulances in Japan is
unknown. To investigate the status of and issues associated with prehospital
emergency medical care for pediatric patients, we conducted a descriptive
epidemiological study. We carried out a Web-based survey of 767 fire defense
headquarters in Japan, of which 671 responded (valid response rate, 88%). Most of
the fire defense headquarters equipped all of their ambulances with oxygen masks
(82%), bag-valve masks (for neonates, 83%; for children, 84%), straight
laryngoscope blades (for neonates, 47%; for children 68%), blood pressure cuffs
for children (91%), oximeter probes (78%), and stiff neck collars (91%); but
despite the need for other equipment such as nasopharyngeal and oropharyngeal
airways, and Magill forceps, they were insufficiently deployed. In Japan,
prehospital emergency medical equipment deployment does not meet the needs of
pediatric patients. Minimum equipment standards need to be established for
pediatric prehospital care.
PMID- 29356287
TI - Acute lymphoblastic leukemia with hypereosinophilia in a 3-year-old boy.
PMID- 29356288
TI - Congenital abdominal aortic aneurysm discovered incidental to a lower back mass.
PMID- 29356290
TI - Three-dimensional computed tomography of congenital pulmonary airway
malformation.
PMID- 29356289
TI - X-linked agammaglobulinemia complicated with pulmonary aspergillosis.
PMID- 29356293
TI - Spotlights on our sister journals: Angew. Chem. Int. Ed. 5/2018.
PMID- 29356291
TI - Blood flow restriction and cuff width: effect on blood flow in the legs.
AB - : Much of the literature examining blood flow restriction in the lower body uses
cuffs of differing widths. It is currently unknown whether similar relative
pressures using cuffs of differing widths elicit the same blood flow response.
PURPOSE: To examine the hemodynamic responses to relative pressures using two
commonly used cuffs (10 and 12 cm). METHODS: In a random order over two
laboratory visits, one cuff was applied to the right proximal thigh of the
participant (men = 17, women = 14), and arterial occlusion pressure (AOP) was
measured. Ultrasound measures of blood flow, mean blood velocity, peak blood
velocity and artery diameter were taken from the posterior tibial artery at rest
and during the application of 10% increments of the AOP. RESULTS: There was no
significant difference between the 10- and 12-cm cuff relating to blood flow (
0.501 ml min-1 , SD 7.9, P = 0.728), mean blood velocity (-0.168 cm s-1 , SD 1.7,
P = 0.590), peak blood velocity (0.586 cm s-1 , SD 11.7, P = 0.783) or artery
diameter (0.003 cm, SD 0.02, P = 0.476). There was a main effect of pressure for
blood flow (P<0.0005), mean blood velocity (P<0.0005), peak blood velocity
(P<0.0005) and artery diameter (P = 0.005), with each decreasing with increasing
pressures. Peak blood velocity increased to 60% of AOP before decreasing with
increased pressure. CONCLUSION: As long as relative pressures are applied, cuff
width appears to have little to no effect on the blood flow stimulus during blood
flow restriction at rest.
PMID- 29356294
TI - Catalytic Gas-Phase Production of Lactide from Renewable Alkyl Lactates.
AB - A new route to lactide, which is a key building block of the bioplastic
polylactic acid, is proposed involving a continuous catalytic gas-phase
transesterification of renewable alkyl lactates in a scalable fixed-bed setup.
Supported TiO2 /SiO2 catalysts are highly selective to lactide, with only minimal
lactide racemization. The solvent-free process allows for easy product separation
and recycling of unconverted alkyl lactates and recyclable lactyl intermediates.
The catalytic activity of TiO2 /SiO2 catalysts was strongly correlated to their
optical properties by DR UV/Vis spectroscopy. Catalysts with high band-gap energy
of the supported TiO2 phase, indicative of a high surface spreading of isolated
Ti centers, show the highest turnover frequency per Ti site.
PMID- 29356295
TI - To share or not to share...incentivizing data sharing in life science
communities.
AB - Most scientists recognize the importance of sharing data online in an open
fashion. Nonetheless, many studies have documented the concerns that accompany
data sharing activities, including loss of credit or IP, misuse and the time
needed to curate interoperable data. To this end, discussions around data sharing
often identify incentives that could potentially ameliorate these
disincentivising concerns. Nonetheless, current Open Data discussions often rely
on evidence-based studies to identify the disincentives to overcome. This results
in highly specific and directed interventions. In contrast, this paper offers a
different interpretation of these concerns. To do so, it makes use of the Thomas
Theorem which suggests that: "If men define situations as real, they are real in
their consequences". Using empirical evidence from sub-Saharan African
(bio)chemistry laboratories, this paper illustrates how individual perceptions of
research environments - whether associated with evidence or not - are highly
influential in shaping data sharing practices. It concludes with the suggestion
that discussion on incentivising data sharing amongst scientific communities need
to take a broader set of concerns into account and offer a more creative approach
to ameliorating environmental disincentives.
PMID- 29356296
TI - Beyond pathways: genetic dissection of tocopherol content in maize kernels by
combining linkage and association analyses.
AB - Although tocopherols play an important role in plants and animals, the genetic
architecture of tocopherol content in maize kernels has remained largely unknown.
In this study, linkage and association analyses were conducted to examine the
genetic architecture of tocopherol content in maize kernels. Forty-one unique
quantitative trait loci (QTLs) were identified by linkage mapping in six
populations of recombinant inbred lines (RILs). In addition, 32 significant loci
were detected via genome-wide association study (GWAS), 18 of which colocalized
with the QTLs identified by linkage mapping. Fine mapping of a major QTL
validated the accuracy of GWAS and QTL mapping results and suggested a role for
nontocopherol pathway genes in the modulation of natural tocopherol variation. We
provided genome-wide evidence that genes involved in fatty acid metabolism,
chlorophyll metabolism and chloroplast function may affect natural variation in
tocopherols. These findings were confirmed through mutant analysis of a
particular gene from the fatty acid pathway. In addition, the favourable alleles
for many of the significant SNPs/QTLs represented rare alleles in natural
populations. Together, our results revealed many novel genes that are potentially
involved in the variation of tocopherol content in maize kernels. Pyramiding of
the favourable alleles of the newly elucidated genes and the well-known
tocopherol pathway genes would greatly improve tocopherol content in maize.
PMID- 29356297
TI - Understanding the roles of glutamine synthetase, glutaminase, and glutamate
decarboxylase autoantibodies in imbalanced excitatory/inhibitory
neurotransmission as etiological mechanisms of autism.
AB - AIM: Autism is a heterogeneous neurological disorder that is characterized by
impairments in communication and social interactions, repetitive behaviors, and
sensory abnormalities. The etiology of autism remains unclear. Animal, genetic,
and post-mortem studies suggest that an imbalance exists in the neuronal
excitation and inhibition system in autism. The aim of this study was to
determine whether alterations of the measured parameters in children with autism
are significantly associated with the risk of a sensory dysfunction. METHODS: The
glutamine synthetase (GS), kidney-type glutaminase (GLS1), and glutamic acid
decarboxylase autoantibody levels were analyzed in 38 autistic children and 33
age- and sex-matched controls using enzyme-linked immunosorbent assays. RESULTS:
The obtained data demonstrated significant alterations in glutamate and glutamine
cycle enzymes, as represented by GS and GLS1, respectively. While the glutamic
acid decarboxylase autoantibodies levels were remarkably increased, no
significant difference was observed compared to the healthy control participants.
CONCLUSION: The obtained data indicate that GS and GLS1 are promising indicators
of a neuronal excitation and inhibition system imbalance and that combined
measured parameters are good predictive biomarkers of autism.
PMID- 29356298
TI - Heterozygosis in aromatic amino acid decarboxylase deficiency: Evidence for a
positive interallelic complementation between R347Q and R358H mutations.
AB - Aromatic amino acid or Dopa decarboxylase (AADC or DDC) is a homodimeric
pyridoxal 5'-phosphate (PLP) enzyme responsible for the generation of the
neurotransmitters dopamine and serotonin. AADC deficiency is a rare inborn
disease caused by mutations of the AADC gene leading to a defect of AADC enzyme
and resulting in impaired dopamine and serotonin synthesis. Until now, only the
molecular effects of homozygous mutations were analyzed. However, although
heterozygous carriers of AADC deficiency were identified, the molecular aspects
of their enzymatic phenotypes are not yet investigated. Here, we focus our
attention on the R347Q/R358H and R347Q/R160W heterozygous mutations, and report
for the first time the isolation and characterization, in the purified
recombinant form, of the R347Q/R358H heterodimer and of the R358H homodimer. The
results, integrated with those already known of the R347Q homodimeric variant,
provide evidence that (i) the R358H mutation strongly reduces the PLP-binding
affinity and the catalytic activity, and (ii) a positive interallelic
complementation exists between the R347Q and the R358H mutations. Bioinformatics
analyses provide the structural basis for these data. Unfortunately, the
R347Q/R160W heterodimer was not obtained in a sufficient amount to allow its
purification and characterization. Nevertheless, the biochemical features of the
R160W homodimer give a contribution to the enzymatic phenotype of the
heterozygous R347Q/R160W and suggest the possible relevance of Arg160 in the
proper folding of human DDC. (c) 2018 IUBMB Life, 70(3):215-223, 2018.
PMID- 29356299
TI - Testosterone a key factor in gender related metabolic syndrome.
AB - Metabolic syndrome (MetS) is highly correlated with cardiovascular diseases.
Although an excess of body fat is a determinant factor for MetS development, a
reduced level of testosterone plays a fundamental role in its regulation. Low
testosterone level is highly related to insulin resistance, visceral obesity and
MetS. We have searched in Pubmed clinical trial with the password: testosterone
and insulin resistance, and testosterone and MetS. We found 19 studies on the
correlation between testosterone level with insulin resistance and 18 on the
effect of testosterone therapy on MetS. A high correlation between low
testosterone and insulin resistance has been found in men, but not in women.
Testosterone administration in hypogonadal men improved MetS and reduced the
mortality risk. Androgen and oestrogen receptors are expressed in adipocytes,
muscle and liver tissue, and their activation is necessary to improve metabolic
control. Normalization of testosterone level should be the primary treatment in
men, along with caloric restriction and physical exercise. These findings come
mainly from correlative data, and there remains a need for randomized trials to
strengthen this evidence. This review will consider the effects of testosterone
on the regulation and development of MetS in men and women.
PMID- 29356300
TI - Pathophysiology of thrombotic thrombocytopenic purpura and hemolytic uremic
syndrome.
AB - Thrombotic microangiopathies are rare disorders characterized by the concomitant
occurrence of severe thrombocytopenia, microangiopathic hemolytic anemia, and a
variable degree of ischemic end-organ damage. The latter particularly affects the
brain, the heart, and the kidneys. The primary forms, thrombotic thrombocytopenic
purpura (TTP) and hemolytic uremic syndrome (HUS), although their clinical
presentations often overlap, have distinctive pathophysiologies. TTP is the
consequence of a severe ADAMTS-13 deficiency, either immune-mediated as a result
of circulating autoantibodies, or caused by mutations in ADAMTS-13. HUS develops
following an infection with Shiga-toxin producing bacteria, or as the result of
excessive activation of the alternative pathway of the complement system because
of mutations in genes encoding complement system proteins.
PMID- 29356302
TI - Fast publication, a must for a journal.
AB - As Diabetes/Metabolism: Research & Reviews (DMRR) begins its transition to a new
editorial team, incoming Editor-in-Chief, Dr Paolo Pozzilli, reflects on the
lessons learned from the past editorship and envisions the future of the journal.
In today's fast-paced world, a medical journal should be fast in communicating
decisions. Authors are eager to publish their work as fast as possible, and a
journal must guarantee this, whilst at the same time ensuring a rigorous peer
review process. With this in mind, we hope to help the diabetes scientific
community.
PMID- 29356303
TI - Rapid drink challenge test during esophageal high resolution manometry in
patients with esophago-gastric junction outflow obstruction.
AB - BACKGROUND: Esophago-gastric junction (EGJ) outflow obstruction is of unclear
significance. Rapid drink challenge (RDC) test is easy to perform during
esophageal high resolution manometry. We aimed to assess the yield of RDC test in
patients with EGJ outflow obstruction. METHODS: Manometry studies of patients
with EGJ outflow obstruction according to the Chicago Classification v3.0 were
retrospectively reviewed. Pan-esophageal pressurization (PEP), esophageal
shortening, and pressure gradient across the EGJ were analyzed during RDC test
(200-mL free drinking in sitting position) and compared according to the causes
of EGJ outflow obstruction determined by charts review. KEY RESULTS: Seventy-five
patients (29 males, mean age 62 years) were included. Causes of EGJ outflow
obstruction were previous esophago-gastric surgery (40%), incomplete form of
achalasia (7%), mediastinal neoplasia (7%), other associated conditions (21%),
and undetermined (25%). Rapid drink challenge test was successfully performed in
70 patients and associated with PEP and shortening in 41% and 13%, respectively.
The causes of EGJ outflow obstruction were similarly distributed in patients with
and without PEP during RDC test. Esophageal shortening tended to be more likely
in patients with definitive findings of obstruction (achalasia, previous surgery,
neoplasia) than in the others. Dysphagia was more severe in patients with PEP
and/or shortening during RDC test compared to those without. CONCLUSIONS &
INFERENCES: Pan-esophageal pressurization and esophageal shortening were
associated with symptoms severity but did not predict the cause of this disorder.
Further prospective studies are necessary to determine if RDC test could help to
select patients who might benefit from treatment.
PMID- 29356304
TI - Fast image-based mitral valve simulation from individualized geometry.
AB - BACKGROUND: Common surgical procedures on the mitral valve of the heart include
modifications to the chordae tendineae. Such interventions are used when there is
extensive leaflet prolapse caused by chordae rupture or elongation. Understanding
the role of individual chordae tendineae before operating could be helpful to
predict whether the mitral valve will be competent at peak systole. Biomechanical
modelling and simulation can achieve this goal. METHODS: We present a method to
semi-automatically build a computational model of a mitral valve from micro CT
(computed tomography) scans: after manually picking chordae fiducial points, the
leaflets are segmented and the boundary conditions as well as the loading
conditions are automatically defined. Fast finite element method (FEM) simulation
is carried out using Simulation Open Framework Architecture (SOFA) to reproduce
leaflet closure at peak systole. We develop three metrics to evaluate simulation
results: (i) point-to-surface error with the ground truth reference extracted
from the CT image, (ii) coaptation surface area of the leaflets and (iii) an
indication of whether the simulated closed leaflets leak. RESULTS: We validate
our method on three explanted porcine hearts and show that our model predicts the
closed valve surface with point-to-surface error of approximately 1 mm, a
reasonable coaptation surface area, and absence of any leak at peak systole
(maximum closed pressure). We also evaluate the sensitivity of our model to
changes in various parameters (tissue elasticity, mesh accuracy, and the
transformation matrix used for CT scan registration). We also measure the
influence of the positions of the chordae tendineae on simulation results and
show that marginal chordae have a greater influence on the final shape than
intermediate chordae. CONCLUSIONS: The mitral valve simulation can help the
surgeon understand valve behaviour and anticipate the outcome of a procedure.
PMID- 29356305
TI - Monitoring Dissociation Kinetics during Electrophoretic Focusing to Enable High
Specificity Nucleic Acid Detection.
AB - A wide range of medical conditions can be diagnosed through sequence-specific
analysis of nucleic acids. However, a major challenge remains in detecting a
specific target in samples containing a high concentration of mismatching
sequences. A single-step kinetic homogenous (free solution) assay is presented in
which free sequence-specific probes are continuously separated from probe-target
hybrids during electrophoretic sample focusing, allowing monitoring of
dissociation kinetics. Under these conditions, the different kinetics of targets
versus mismatches result in distinct patterns of the signal (for example, linear
increase for target versus exponential decay for mismatch), allowing the
detection of desired sequences even in the presence of high background nucleic
acid content. Additionally, an analytical model provides insight into the
underlying dynamics, and allows design of assays based on this mechanism.
PMID- 29356306
TI - Evidence of interaction between genes in the folate/homocysteine metabolic
pathway in controlling risk of non-syndromic oral cleft.
AB - OBJECTIVE: Little consistent evidence is available for the association between
the risk of non-syndromic cleft lip with or without cleft palate (NSCL/P) and any
of the individual genes in the folate/homocysteine metabolic pathway. We
investigated the genes in the folate pathway to further clarify its potential
influence on the risk of NSCL/P considering gene-gene (G*G) interaction. SUBJECTS
AND METHODS: We selected markers in 18 genes from the pathway and applied
Cordell's method to test for G*G interaction using 1,908 NSCL/P case-parent trios
ascertained in an international consortium where a genomewide association study
(GWAS) of oral clefts was conducted. RESULTS: We found intriguing signals among
Asian and European ancestry groups for G*G interaction between markers in betaine
homocysteine methyltransferase gene (BHMT/BHMT2) and dimethylglycine
dehydrogenase gene (DMGDH) attaining genomewide significance. In the pooled data,
the top significant interaction was found between rs13158309 (BHMT) and
rs10514154 (DMGDH, p = 1.45 * 10-12 ). CONCLUSIONS: Our study illustrated the
importance of taking into account potential G*G interaction for genetic
association analysis in NSCL/P, and this study suggested both BHMT/BHMT2 and
DMGDH should be considered as candidate genes for NSCL/P in future studies.
PMID- 29356307
TI - Carotid artery stenting followed by open heart surgery in 323 patients: One-year
results and influencing factors.
AB - OBJECTIVES: The purpose of this study was to evaluate 1-year results and
influencing factors of carotid artery stenting (CAS) before open heart surgery
(OHS). BACKGROUND: Currently, the clinical outcomes and influencing factors of
CAS followed by OHS still remain controversial. METHODS: Three hundred twenty
three consecutive patients scheduled for CAS and OHS were enrolled in this study.
The primary endpoint was a composite of major stroke, myocardial infarction, or
death within 1 year after CAS. RESULTS: The incidence of the primary endpoint was
5.6% (18/323). The Cox regression analysis revealed that an interval of <=5 days
between CAS and OHS (HR, 4.85, 95% CI, 1.87-12.58; P = 0.001), congestive heart
failure (HR, 4.08, 95% CI, 1.45-11.51; P = 0.008), and renal insufficiency (HR,
4.56, 95% CI, 1.28-16.32; P = 0.020) could independently predict the incidence of
the primary endpoint. The rate of the primary endpoint from CAS to 30 days after
OHS was 4.6% (15/323). An interval of <=5 days between CAS and OHS (OR, 4.51, 95%
CI, 1.52-13.36; P = 0.007) and congestive heart failure (OR, 5.32, 95% CI, 1.63
17.43; P = 0.006) were identified as independent risk factors for the primary
endpoint rate from CAS to 30 days after OHS by logistic regression analysis.
CONCLUSIONS: CAS followed by OHS is a safe and effective treatment for patients
with concomitant carotid and cardiac disease within 1-year follow up. The
interval between CAS and OHS, congestive heart failure, and renal insufficiency
could obviously influence the 1-year results.
PMID- 29356309
TI - Direct Assessment of the Toxicity of Molybdenum Disulfide Atomically Thin Film
and Microparticles via Cytotoxicity and Patch Testing.
AB - The low toxicity of molybdenum disulfide (MoS2 ) atomically thin film and
microparticles is confirmed via cytotoxicity and patch testing in this report.
The toxicity of MoS2 thin film and microparticles is extensively studied but is
still inconclusive due to potential organic contamination in the preparations of
samples. Such contamination is avoided here through preparing MoS2 atomically
thin film via direct sulfurization of molybdenum thin film on quartz plate, which
permits a direct assessment of its toxicity without any contamination. Six
different types of cells, including normal, cancer, and immortal cells, are
cultured in the media containing MoS2 thin film on quartz plates or dispersed
MoS2 microparticles and their viability is evaluated with respect to the
concentrations of samples. Detached thin films from the quartz plates are also
investigated to estimate the toxicity of dispersed MoS2 in biological media.
Allergy testing on skin of guinea pigs is also conducted to understand their
effect on animal skins. By avoiding possible organic contamination, the low
toxicity of MoS2 atomically thin film and microparticles to cells and animal
skins paves the way for its applications in flexible biosensing/bioimaging
devices and biocompatible coatings.
PMID- 29356308
TI - High number of PD-1 positive intratumoural lymphocytes predicts survival benefit
of cytokine-induced killer cells for hepatocellular carcinoma patients.
AB - BACKGROUND & AIMS: Adjuvant cytokine-induced killer (CIK) cells treatment has
shown potential in reducing the recurrence rate and prolonging the survival of
patients with hepatocellular carcinoma (HCC). We aimed to identify the best
predictive biomarker for adjuvant CIK cells treatment in patients with HCC after
curative resection. METHODS: This study retrospectively included 145 pairs of HCC
patients by one-to-one propensity score matching. One group received CIK cells
transfusion after surgery (surgery-CIK group); the other one group underwent
surgery only (surgery-only group). Immunohistochemistry (IHC) was used to measure
PD-1, PD-L1, CD4, CD8 and Foxp3 expression in tumour tissues of surgery-CIK
group; IHC of PD-1 and PD-L1 was conducted in the surgery-only group. RESULTS:
The surgery-CIK group had a significantly higher disease-free survival (DFS) and
overall survival (OS) rates compared to the surgery-only group. Of all the
intratumoural biomarkers, in the surgery-CIK group, multivariate analysis showed
that a high number of PD-1+ tumour infiltrative lymphocytes (TILs) was the only
factor that independently predicted favourable OS and DFS. By contrast, in the
surgery-only group, no significant correlations between PD-1/PD-L1 expression and
survival of patients were identified. Further correlation analysis showed a high
number of PD-1+ TILs associated with a high number of both CD4+ and CD8+ TILs in
surgery-CIK group. CONCLUSIONS: A high number of PD-1+ TILs can serve as a potent
biomarker for adopting CIK cells therapy in HCC patients after curative
resection.
PMID- 29356310
TI - Adaptive evolution in the coccolithophore Gephyrocapsa oceanica following 1,000
generations of selection under elevated CO2.
AB - Coccolithophores are important oceanic primary producers not only in terms of
photosynthesis but also because they produce calcite plates called coccoliths.
Ongoing ocean acidification associated with changing seawater carbonate chemistry
may impair calcification and other metabolic functions in coccolithophores. While
short-term ocean acidification effects on calcification and other properties have
been examined in a variety of coccolithophore species, long-term adaptive
responses have scarcely been documented, other than for the single species
Emiliania huxleyi. Here, we investigated the effects of ocean acidification on
another ecologically important coccolithophore species, Gephyrocapsa oceanica,
following 1,000 generations of growth under elevated CO2 conditions (1,000
MUatm). High CO2 -selected populations exhibited reduced growth rates and
enhanced particulate organic carbon (POC) and nitrogen (PON) production, relative
to populations selected under ambient CO2 (400 MUatm). Particulate inorganic
carbon (PIC) and PIC/POC ratios decreased progressively throughout the selection
period in high CO2 -selected cell lines. All of these trait changes persisted
when high CO2 -grown populations were moved back to ambient CO2 conditions for
about 10 generations. The results suggest that the calcification of some
coccolithophores may be more heavily impaired by ocean acidification than
previously predicted based on short-term studies, with potentially large
implications for the ocean's carbon cycle under accelerating anthropogenic
influences.
PMID- 29356311
TI - Resident and facility characteristics associated with care-need level
deterioration in long-term care welfare facilities in Japan.
AB - AIM: To determine the resident and facility characteristics associated with
residents' care-need level deterioration in long-term care welfare facilities in
Japan. METHODS: A nationally representative sample of 358 886 residents who lived
in 3774 long-term care welfare facilities for at least 1 year from October 2012
was obtained from long-term care insurance claims data. Facility characteristics
were linked with a survey of institutions and establishments for long-term care
in 2012. We used a multilevel logistic regression according to the inclusion and
exclusion of lost to follow-up to define the resident and facility
characteristics associated with resident care-need level deteriorations (lost to
follow-up: the majority were hospitalized residents or had died; were treated as
deterioration in the including loss to follow-up model). RESULTS: Adjusting for
the covariates, at the resident level, older age and lower care-need level at
baseline were more likely to show deterioration in the care-need level. At the
facility level, metropolitan facilities, unit model (all private room settings)
and mixed-model facilities (partly private room settings) were less likely to
experience care-need level deterioration. A higher proportion of registered
nurses among all nurses was negatively related to care-need level deterioration
only in the model including lost to follow-up. A higher proportion of registered
dietitians among all dietitians and the facilities in business for fewer years
were negatively associated with care-need level deterioration only in the model
excluding lost to follow-up. CONCLUSIONS: The present study could help identify
residents who are at risk of care-need level deterioration, and could contribute
to improvements in provider quality performance and enhance competence in the
market. Geriatr Gerontol Int 2018; 18: 758-766.
PMID- 29356312
TI - Cenicriviroc, a cytokine receptor antagonist, potentiates all-trans retinoic acid
in reducing liver injury in cholestatic rodents.
AB - BACKGROUND & AIMS: Cholestatic liver injury is mediated by bile acid-induced
inflammatory responses. We hypothesized that superior therapeutic effects might
be achieved by combining treatments that reduce the bile acid pool size with one
that blocks inflammation. METHODS: Bile duct-ligated (BDL) rats and Mdr2(Abcb4)-/
mice were treated with all-trans retinoic acid (atRA), a potent inhibitor of
bile acid synthesis, 5 mg/kg/d by gavage, or Cenicriviroc (CVC), a known
antagonist of CCR2 and CCR5, 50 mg/kg/d alone or in combination for 14 days and 1
month respectively. RESULTS: All-trans retinoic acid alone reduced bile acid pool
size and liver necrosis in BDL rats. However, the combination with CVC further
reduced liver to body weight ratio, bile acid pool size, plasma liver enzyme,
bilirubin, liver necrosis and fibrosis when compared to the atRA treatment. The
assessment of hepatic hydroxyproline content further confirmed the reduced liver
injury concurrent with reduction of pro-inflammatory cytokines emphasizing the
synergistic effects of these two agents. Profiling of hepatic inflammatory cells
revealed that combination therapy reduced neutrophils and T cells but not
macrophages. The superior therapeutic effects of combination treatment were also
confirmed in Mdr2-/- mice where a significant reduction in plasma liver enzymes,
bilirubin, liver fibrosis, bile duct proliferation and hepatic infiltration of
neutrophils and T cells and expression of cytokines were found. CONCLUSIONS:
Multitargeted therapy is an important paradigm for treating cholestatic liver
injury. The combination of CVC with atRA or other FXR activators may warrant a
clinical trial in patients with cholestatic liver disease.
PMID- 29356313
TI - Electrocatalytic N-Doped Graphitic Nanofiber - Metal/Metal Oxide Nanoparticle
Composites.
AB - Carbon-based nanocomposites have shown promising results in replacing commercial
Pt/C as high-performance, low cost, nonprecious metal-based oxygen reduction
reaction (ORR) catalysts. Developing unique nanostructures of active components
(e.g., metal oxides) and carbon materials is essential for their application in
next generation electrode materials for fuel cells and metal-air batteries.
Herein, a general approach for the production of 1D porous nitrogen-doped
graphitic carbon fibers embedded with active ORR components, (M/MOx , i.e., metal
or metal oxide nanoparticles) using a facile two-step electrospinning and
annealing process is reported. Metal nanoparticles/nanoclusters nucleate within
the polymer nanofibers and subsequently catalyze graphitization of the
surrounding polymer matrix and following oxidation, create an interconnected
graphite-metal oxide framework with large pore channels, considerable active
sites, and high specific surface area. The metal/metal oxide@N-doped graphitic
carbon fibers, especially Co3 O4 , exhibit comparable ORR catalytic activity but
superior stability and methanol tolerance versus Pt in alkaline solutions, which
can be ascribed to the synergistic chemical coupling effects between Co3 O4 and
robust 1D porous structures composed of interconnected N-doped graphitic
nanocarbon rings. This finding provides a novel insight into the design of
functional electrocatalysts using electrospun carbon nanomaterials for their
application in energy storage and conversion fields.
PMID- 29356315
TI - Utility values for childhood obesity interventions: a systematic review and meta
analysis of the evidence for use in economic evaluation.
AB - Rigorous estimates of preference-based utilities are important inputs into
economic evaluations of childhood obesity interventions, yet no published review
currently exists examining utility by weight status in paediatric populations. A
comprehensive systematic literature review and meta-analysis was therefore
undertaken, pooling data on preference-based health state utilities by weight
status in children using a random-effects model. Tests for heterogeneity were
performed, and publication bias was assessed. Of 3,434 potentially relevant
studies identified, 11 met our eligibility criteria. Estimates of Cohen's d
statistic suggested a small effect of weight status on preference-based
utilities. Mean utility values were estimated as 0.85 (95% uncertainty interval
[UI] 0.84-0.87), 0.83 (95% UI 0.81-0.85), 0.82 (95% UI 0.79-0.84) and 0.83 (95%
UI 0.80-0.86) for healthy weight, overweight, obese and overweight/obese states,
respectively. Meta-analysis of studies reporting utility values for both healthy
weight and overweight/obese participants found a statistically significant
weighted mean difference (0.015, 95% UI 0.003-0.026). A small but statistically
significant difference was also estimated between healthy weight and overweight
participants (0.011, 95% UI 0.004-0.018). Study findings suggest that paediatric
specific benefits of obesity interventions may not be well reflected by available
utility measures, potentially underestimating cost-effectiveness if weight loss
in childhood/adolescence improves health or well-being.
PMID- 29356314
TI - Plasma metabolome analysis of patients with major depressive disorder.
AB - AIM: This study sought to characterize the plasma metabolite profiling of
patients with major depressive disorder (MDD). METHODS: Psychiatric assessments
were made with the Structured Clinical Interview for DSM-IV Axis I Disorders. In
the exploratory cohort, plasma metabolite profiles of 34 MDD patients and 31
mentally healthy controls were compared using capillary electrophoresis-mass
spectrometry. Among the candidate metabolites, we focused on a metabolite showing
the largest difference. The absolute concentrations were measured in two cohorts
from a psychiatric primary care clinic to characterize the accuracy of the
metabolite biomarker. RESULTS: Among 23 metabolites significantly lower in the
MDD group than in healthy controls, we focused on phosphoethanolamine (PEA) as a
candidate. The reduction of PEA levels in MDD was checked in independent clinical
sample sets. An ion-chromatography-fluorescence detection method was developed to
measure plasma PEA levels. In the preliminary cohort, we examined 34 MDD and 43
non-MDD subjects. The area under the receiver-operator curve (AUC) was 0.92, with
sensitivity/specificity greater than 88%, at a cut-off of 1.46 MUM. In the
checking cohort, with 10 MDD and 13 non-MDD subjects, AUC was 0.89, with
sensitivity/specificity of 86% and 100%, respectively, at a cut-off of 1.48 MUM.
Plasma PEA inversely correlated with MDD severity, depressed mood, loss of
interest, and psychomotor retardation. CONCLUSION: These results suggest that
plasma PEA level could be a candidate biomarker of MDD in the clinical setting.
Further studies comparing MDD and mentally healthy controls are needed to confirm
the utility of PEA as a biomarker for depression.
PMID- 29356316
TI - Effects of iron and vitamin B12 deficiencies on peripheral blood colony-forming
unit capacity.
AB - Iron and vitamin B12 deficiencies are two of the most common diseases in the
childhood group. Deficiencies of iron and vitamin B12 affect many systems in the
body. In this study, to discover the effects of iron and vitamin B12 deficiencies
on the hematopoietic stem cells, we studied CFU assay from peripheral blood. One
hundred and two children were included in our study and were evaluated in five
categories: iron deficiency, iron deficiency anemia, vitamin B12 deficiency, iron
and vitamin B12 deficiency, and controls. As a result of statistical analysis, no
significant difference was detected between five groups in terms of CFU assays.
The results of our study suggest that, in emergent situations, stem cell samples
can be collected before treatment with B12 or iron which are common deficiencies
in donors of hematopoietic stem cell transplantation. We conclude that we could
reach more accurate results by designing a study which contains more patients and
includes in vivo results.
PMID- 29356317
TI - The use of vascular homografts in pediatric small bowel transplantation: Single
center experience over a decade.
AB - Intestinal transplantation in children has evolved with more isolated small
intestine transplants being performed compared to combined liver-intestine
transplants. Consequently, surgical techniques have changed, frequently requiring
the use of vascular homografts of small caliber to revascularize the isolated
small intestine, the impact of which on outcomes is unknown. Among 106 pediatric
intestine and multivisceral transplants performed at our center since 2003, 33
recipients of an isolated small intestine graft were included in this study.
Outcome parameters were thrombotic complications, graft, and patient survival. A
total of 29 of 33 (87.9%) patients required arterial and/or venous homografts
from the same donor, mainly iliac or carotid artery and iliac or innominate vein,
respectively (donor's median age 1.1 years [2 months to 23 years], median weight
10 kg [14.7-48.5]). Post-transplant, there were three acute arterial homograft
thromboses and one venous thrombosis resulting in two peri-operative graft
salvages and two graft losses. Three of four thromboses occurred in patients with
primary hypercoagulable state, including the two graft losses. Overall, at a
median of 4.1 years (1-10.2) from transplant, 29 of 33 (88%) patients are alive
with 26 of 33 (79%) functioning grafts. The procurement of intact, size-matched
donor vessels and the management of effective post-transplant anticoagulation are
critical.
PMID- 29356318
TI - Standardisation of inactivated influenza vaccines-Learning from history.
AB - The single radial immunodiffusion assay has been the accepted method for
determining the potency of inactivated influenza vaccines since 1978. The
worldwide adoption of this assay for vaccine standardisation was facilitated
through collaborative studies that demonstrated a high level of reproducibility
and its applicability to the different types of influenza vaccine being produced
at that time. Clinical evidence indicated the relevance of SRID as a potency
assay. Unique features of the SRID assay are likely responsible for its longevity
even as newer technologies for vaccine characterisation have been developed and
refined. Nevertheless, there are significant limitations to the SRID assay that
indicate the need for improvement, and there has been a substantial amount of
work undertaken in recent years to develop and evaluate alternative potency
assays, including collaborative studies involving research laboratories,
regulatory agencies and vaccine manufacturers. Here, we provide an overview of
the history of inactivated influenza vaccine potency testing, the current state
of alternative assay development and the some of the major challenges to be
overcome before implementation of new assays for potency determination.
PMID- 29356319
TI - Comments on a new classification, treatment algorithm and prognosis-estimating
system for sigmoid volvulus: the role of percutaneous endoscopic colostomy.
PMID- 29356320
TI - Deconvolution models for a better understanding of natural microbial communities
enumerated by flow-cytometry.
PMID- 29356321
TI - Toward a mechanistic explanation of phenotypic evolution: The need for a theory
of theory integration.
AB - Reconciling different underlying ontologies and explanatory contexts has been one
of the main challenges and impediments for theory integration in biology. Here,
we analyze the challenge of developing an inclusive and integrative theory of
phenotypic evolution as an example for the broader challenge of developing a
theory of theory integration within the life sciences and suggest a number of
necessary formal steps toward the resolution of often incompatible (hidden)
assumptions. Theory integration in biology requires a better formal understanding
of the structure of biological theories The strategy for integrating theories
crucially depends on the relationships of the underlying ontologies.
PMID- 29356322
TI - Synthesis and characterization of biogenic selenium nanoparticles with
antimicrobial properties made by Staphylococcus aureus, methicillin-resistant
Staphylococcus aureus (MRSA), Escherichia coli, and Pseudomonas aeruginosa.
AB - Antimicrobial resistance is a global concern that affects more than two million
people each year. Therefore, new approaches to kill bacteria are needed. One of
the most promising methodologies may come from metallic nanoparticles, since
bacteria may not develop a resistance to these nanostructures as they do for
antibiotics. While metallic nanoparticle synthesis methods have been well
studied, they are often accompanied by significant drawbacks such as cost,
extreme processing conditions, and toxic waste production since they use harsh
chemicals such as corrosive agents (hydrazine) or strong acids (hydrochloride
acid). In this work, we explored the environmentally safe synthesis of selenium
nanoparticles, which have shown promise in killing bacteria. Using Escherichia
coli, Pseudomonas aeruginosa, Methicillin-resistance Staphylococcus aureus, and
S. aureus, 90-150 nm average diameter selenium nanoparticles were synthesized
using an environmentally safe approach. Nanoparticles were characterized using
transmission electron microscopy, energy dispersive X-ray spectroscopy to
determine the chemical composition, and inductively coupled plasma mass
spectrometry to validate chemistry. Nanoparticles were also characterized and
tested for their ability to inhibit bacterial growth. A decay in bacterial growth
after 24 h was achieved against both S. aureus and E. coli at biogenic selenium
nanoparticle concentrations from 25 to 250 ug/mL and showed no significant
cytotoxicity effect against human dermal fibroblasts for 24 h. Bacteria were able
to synthesize selenium nanoparticles through the use of different functional
structures within the organisms, mainly enzymes such as selenite reductases.
Therefore, biogenic selenium nanoparticles made by bacteria represent a viable
approach to reduce bacteria growth without antibiotics overcoming the drawbacks
of synthetic methods that employ toxic chemicals. (c) 2018 Wiley Periodicals,
Inc. J Biomed Mater Res Part A: 106A: 1400-1412, 2018.
PMID- 29356323
TI - Layered Aggregation with Steric Effect: Morphology-Homogeneous Semiconductor MoS2
as an Alternative 2D Probe for Visual Immunoassay.
AB - Liquid-phase exfoliation routes unavoidably generate 2D nanostructures with
inhomogeneous morphologies. Herein, thickness-dependent sorting of exfoliated
nanostructures is achieved via a treatment of differential-zone centrifugation in
the surfactant aqueous phase. With this approach, homogeneous MoS2 nanosheets are
obtained, and due to the intrinsic semiconducting characteristics, those 2D
nanosheets are endowed with desired optical properties, rivaling classic gold
nanoparticles in sensing applications. Furthermore, MoS2 nanosheets with high
uniformity and chemical inertness are coupled with proteins, exhibiting high
performance in stability and anti-interferences for bioanalysis. As a consequence
of aggregation-induced steric effect, distinguishing running shifts of antibody
anchored conjugates in gel electrophoresis are visually responsive to those
specific antigens. This assay enables the easy and fast monitoring of tumor
biomarkers just according to "naked-eye" identification of band location in
electrophoresis results, which are presented by an alternative visual probe of 2D
MoS2 -protein conjugates. The developed visual immunoassay with the synergistic
effect of gel electrophoresis techniques and 2D semiconductors pushes significant
progress in "home-made" tests for disease early diagnosis.
PMID- 29356324
TI - Kinetic Analysis and Structural Interpretation of Competitive Ligand Binding for
NO Dioxygenation in Truncated Hemoglobin N.
AB - The conversion of nitric oxide (NO) into nitrate (NO3- ) by dioxygenation
protects cells from lethal NO. Starting from NO-bound heme, the first step in
converting NO into benign NO3- is the ligand exchange reaction FeNO+O2 ->FeO2
+NO, which is still poorly understood at a molecular level. For wild-type (WT)
truncated hemoglobin N (trHbN) and its Y33A mutant, the calculated barriers for
the exchange reaction differ by 1.5 kcal mol-1 , compared with 1.7 kcal mol-1
from experiment. It is directly confirmed that the ligand exchange reaction is
rate-limiting in trHbN and that entropic contributions account for 75 % of the
difference between the WT and the mutant. Residues Tyr 33, Phe 46, Val 80, His
81, and Gln 82 surrounding the active site are expected to control the reaction
path. By comparison with electronic structure calculations, the transition state
separating the two ligand-bound states was assigned to a 2 A state.
PMID- 29356325
TI - Five New Alkaloids from the Roots of Sophora flavescens.
AB - Five new quinolizidine alkaloids, including three sparteine-type alkaloids (1 -
3) and two cytisine-type alkaloids (4 and 5), along with four known ones, were
isolated from the roots of Sophora flavescens. Their structures were determined
by extensive spectroscopic techniques including IR, UV, NMR, and HR-ESI-MS. All
the compounds were evaluated for their antibacterial activities against
Staphylococcus aureus and Escherichia coli.
PMID- 29356326
TI - Six New Polyhydroxysteroidal Glycosides, Anthenosides S1 - S6, from the Starfish
Anthenea sibogae.
AB - Six new polyhydroxysteroidal glycosides, anthenosides S1 - S6 (1 - 6), along
with a mixture of two previously known related glycosides, 7 and 8, were isolated
from the methanolic extract of the starfish Anthenea sibogae. The structures of 1
- 6 were established by NMR and HR-ESI-MS techniques as well as by chemical
transformations. All new compounds have a 5alpha-cholest-8(14)-ene
3alpha,6beta,7beta,16alpha-tetrahydroxysteroidal nucleus and differ from majority
of starfish glycosides in positions of carbohydrate moieties at C(7) and C(16) (1
- 4, 6) or only at C(16) (5). The 4-O-methyl-beta-d-glucopyranose residue (2) and
Delta24 -cholestane side chain (3) have not been found earlier in the starfish
steroidal glycosides. The mixture of 7 and 8 slightly inhibited the proliferation
of human breast cancer T-47D cells and decreased the colony size in the colony
formation assay.
PMID- 29356328
TI - Confined Self-Assembly in Two-Dimensional Interlayer Space: Monolayered
Mesoporous Carbon Nanosheets with In-Plane Orderly Arranged Mesopores and a
Highly Graphitized Framework.
AB - Although two-dimensional (2D) carbon materials are widely investigated, a well
defined 2D carbon nanosheet with an ordered mesostructure has rarely been
realized. Monolayer-ordered mesoporous carbon nanosheets (OMCNS) were prepared
through confinement assembly of resol and F127 in the interlayer of
montmorillonite (MONT). The nanoscale distance of the interlayer space of MONT
only allow the assembly of resol and F127 in the same plane, leading to ordered
mesopores perpendicular to carbon nanosheets, and favor the formation of sp2
carbon, resulting in a high degree of graphitization. The mesopores on the carbon
nanosheets provide efficient ion diffusion, and the high degree of graphitization
provides a fast electron-transport route, enabling OMCNS as excellent electrode
materials for electric double layer capacitors.
PMID- 29356329
TI - Incorporation of bacteriophages in polycaprolactone/collagen fibers for
antibacterial hemostatic dual-function.
AB - Effective and affordable, antibacterial and hemostatic materials are of great
interests in clinical wound care practices. Herein, Enterobacteria phage T4 were
incorporated in polycaprolactone/collagen I (PCL-ColI) nanofibers via
electrospinning in order to eradicate Escherichia coli infection and meanwhile
establish hemostasis. Tensile strength of the membrane was significantly enhanced
with increased PCL ratio. Those with a collagen component above 70% were
demonstrated to be more hemostatic with shorter hemostatic time and smaller
amount of bleeding. On the other hand, the T4 phage incorporated PCL-ColI
membrane (PCL:ColI = 30%/70%, w/w) exhibited the optimal antibacterial efficiency
(above 90%). The in vivo evaluation indicated that the PCL-ColI B (30%:70%, w/w)
membrane fully degraded in 8 weeks and no obvious pathological reaction to muscle
and subcutaneous layer tissues in the back of rabbit was found. The novel fibrous
hemostatic materials coupled with phage therapy hold great promise in designing
novel antibacterial, hemostatic wound dressings that addresses concerns of
antibiotic resistance. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part
B: Appl Biomater, 106B: 2588-2595, 2018.
PMID- 29356327
TI - Functions of autophagy in the tumor microenvironment and cancer metastasis.
AB - Macro-autophagy is an ancient and highly conserved self-degradative process that
plays a homeostatic role in normal cells by eliminating organelles, pathogens,
and protein aggregates. Autophagy, as it is routinely referred to, also allows
cells to maintain metabolic sufficiency and survive under conditions of nutrient
stress by recycling the by-products of autophagic degradation, such as fatty
acids, amino acids, and nucleotides. Tumor cells are more reliant than normal
cells on autophagy for survival in part due to their rapid growth rate, altered
metabolism, and nutrient-deprived growth environment. How this dependence of
tumor cells on autophagy affects their progression to malignancy and metastatic
disease is an area of increasing research focus. Here, we review recent work
identifying critical functions for autophagy in tumor cell migration and
invasion, tumor stem cell maintenance and therapy resistance, and cross-talk
between tumor cells and their microenvironment.
PMID- 29356330
TI - The Controversial Orientation of Adenine on Gold and Silver.
AB - In which orientation does adenine adsorb on gold and silver surfaces? This
question has been a matter of debate for over 30 years. Since the dawn of surface
enhanced Raman spectroscopy (SERS); it and other techniques such as tip-enhanced
Raman spectroscopy (TERS), surface-enhanced infrared absorption spectroscopy
(SEIRAS), scanning tunneling microscopy (STM), density functional theory (DFT)
simulations, and more, have been used in many attempts to answer this seemingly
straightforward, yet controversial, question. Herein, the timeline and recent
advances on this topic are explored, and the frequently contradictory findings
are put into context and discussed.
PMID- 29356331
TI - Design of Metal-Free Polymer Carbon Dots: A New Class of Room-Temperature
Phosphorescent Materials.
AB - Polymer carbon dots (PCDs) are proposed as a new class of room-temperature
phosphorescence (RTP) materials. The abundant energy levels in PCDs increase the
probability of intersystem crossing (ISC) and their covalently crosslinked
framework structures greatly suppress the nonradiative transitions. The efficient
methods allow the manufacture of PCDs with unique RTP properties in air without
additional metal complexation or complicated matrix composition. They thus
provide a route towards the rational design of metal-free RTP materials that may
be synthesized easily. Furthermore, we find that RTP is associated with a
crosslink-enhanced emission (CEE) effect, which provides further routes to design
improved PCDs with diverse RTP performance. Our results show the potential of
PCDs as a universal route to achieve effective metal-free RTP.
PMID- 29356332
TI - Feasibility and preliminary efficacy of a smartphone application intervention for
subthreshold depression.
AB - AIM: We developed a motion picture-reproducing smartphone application (app)
designed to improve self-confidence in individuals with subthreshold depression
(StD) and examined the feasibility and preliminary efficacy of an app
intervention for individuals with StD. METHODS: We conducted an open-label,
single-arm pre-post study of 22 young adults with StD. Our intervention involved
app usage for at least 70 min/wk for 5 weeks. The primary outcome measure was the
adherence rate. Secondary outcome measures were safety and Center for
Epidemiologic Studies Depression Scale (CES-D) scores. RESULTS: No participants
dropped out during the study. The adherence rate was 50%. The mean time of app
use over 5 weeks was 124.23 +/- 81.94 min/wk. No adverse events were reported.
The CES-D scores were significantly improved at 5 weeks post-intervention.
CONCLUSIONS: Although this app intervention has the potential to reduce
depressive symptoms, the app needs to be improved to increase adherence rates.
PMID- 29356333
TI - Limitations of Vaginal Birth After Cesarean Success Prediction.
AB - The Society for Maternal-Fetal Medicine endorses an algorithm for estimating the
probability of successful vaginal birth for women undergoing trial of labor after
cesarean (TOLAC). The algorithm is available online in the form of an easy-to-use
calculator. This calculator has significant limitations that are easily
overlooked by women and providers alike. The calculator has much greater positive
than negative predictive power, and it cannot predict unsuccessful TOLAC or
uterine rupture. Furthermore, the calculator cannot predict rare catastrophes,
such as unplanned hysterectomy, permanent injury, or death. Predictions are
heavily influenced by race and ethnicity, which are social and not biological
constructs. Relevant variables, such as provider attitudes and institutional
differences, are not accounted for. Providers should be mindful and transparent
about calculator limitations when counseling women, particularly Latina and
African American women. It may be appropriate to use the calculator to inform but
not restrict women's options.
PMID- 29356334
TI - OMIP-044: 28-color immunophenotyping of the human dendritic cell compartment.
AB - This work describes the first 30-parameter immunophenotyping of the human
dendritic cell (DC) compartment using fluorescent-based flow cytometry. The
optimized panel allows for simultaneous detection of 21 myeloid-centric markers
distinguishing all canonical DC subsets, with parallel enumeration of monocytes,
T and B cells as well as NK cells. Thus, this panel will be useful for extensive
phenotyping of immune cells from a variety of human samples limited in size.
PMID- 29356335
TI - Pediatric patient with end-stage kidney disease secondary to Eagle-Barrett
syndrome and metastatic unresectable hepatoblastoma treated successfully with
chemotherapy and liver-kidney transplant.
AB - HBL is the most common malignant liver neoplasm in children. The etiology of HBL
is largely unknown but there are certain syndromes, such as Beckwith-Wiedemann
syndrome, that have been clearly associated with an increased incidence of this
malignancy. EBS, also known as prune belly syndrome, is a congenital anomaly
characterized by lax abdominal musculature, bilateral cryptorchidism requiring,
in some cases, hemodialysis due to significant kidney and urinary tract
dysfunctions. Despite an improvement on the survival rates of patients with
advanced-stage HBL, the presence of concomitant end-stage renal disease that
occurs in patients with EBS constitutes a therapeutic challenge for the clinician
not only due to the use of nephrotoxic chemotherapy but also due to the potential
need for multi-organ transplant. We report case of a 2-year-old male patient with
EBS diagnosed with stage IV, metastatic HBL successfully treated with multi-agent
chemotherapy while on dialysis whom then underwent a simultaneous liver-kidney
transplant followed by adjuvant chemotherapy. Ultimately, the patient achieved
cancer remission with normalization of his renal function. Our report emphasizes
that patients with HBL in the setting of EBS will not only require careful kidney
function monitoring while receiving chemotherapy, but they might also need to
undergo multi-organ transplantation in order to achieve adequate cancer control
and also normalization of their kidney function. Awareness of this unusual
association calls for further investigation to potentially establish a genetic
association between these two disease processes.
PMID- 29356336
TI - Exploring Approximate Bayesian Computation for inferring recent demographic
history with genomic markers in nonmodel species.
AB - Approximate Bayesian computation (ABC) is widely used to infer demographic
history of populations and species using DNA markers. Genomic markers can now be
developed for nonmodel species using reduced representation library (RRL)
sequencing methods that select a fraction of the genome using targeted sequence
capture or restriction enzymes (genotyping-by-sequencing, GBS). We explored the
influence of marker number and length, knowledge of gametic phase, and tradeoffs
between sample size and sequencing depth on the quality of demographic inferences
performed with ABC. We focused on two-population models of recent spatial
expansion with varying numbers of unknown parameters. Performing ABC on simulated
data sets with known parameter values, we found that the timing of a recent
spatial expansion event could be precisely estimated in a three-parameter model.
Taking into account uncertainty in parameters such as initial population size and
migration rate collectively decreased the precision of inferences dramatically.
Phasing haplotypes did not improve results, regardless of sequence length.
Numerous short sequences were as valuable as fewer, longer sequences, and
performed best when a large sample size was sequenced at low individual depth,
even when sequencing errors were added. ABC results were similar to results
obtained with an alternative method based on the site frequency spectrum (SFS)
when performed with unphased GBS-type markers. We conclude that unphased GBS-type
data sets can be sufficient to precisely infer simple demographic models, and
discuss possible improvements for the use of ABC with genomic data.
PMID- 29356337
TI - Self-organized Ruthenium-Barium Core-Shell Nanoparticles on a Mesoporous Calcium
Amide Matrix for Efficient Low-Temperature Ammonia Synthesis.
AB - A low-temperature ammonia synthesis process is required for on-site synthesis.
Barium-doped calcium amide (Ba-Ca(NH2 )2 ) enhances the efficacy of ammonia
synthesis mediated by Ru and Co by 2 orders of magnitude more than that of a
conventional Ru catalyst at temperatures below 300 degrees C. Furthermore, the
presented catalysts are superior to the wustite-based Fe catalyst, which is known
as a highly active industrial catalyst at low temperatures and pressures.
Nanosized Ru-Ba core-shell structures are self-organized on the Ba-Ca(NH2 )2
support during H2 pretreatment, and the support material is simultaneously
converted into a mesoporous structure with a high surface area (>100 m2 g-1 ).
These self-organized nanostructures account for the high catalytic performance in
low-temperature ammonia synthesis.
PMID- 29356338
TI - In utero exposure to the 1918 pandemic influenza in Denmark and risk of dementia.
AB - BACKGROUND: Substantial but inconclusive evidence suggests in utero exposure to
influenza infection may be linked with Alzheimer's disease. OBJECTIVES: We
examined whether individuals exposed in utero to the 1918 influenza pandemic are
at increased risk of dementia. PATIENTS/METHODS: In this cohort study,
surveillance data were used to identify months when influenza activity was at its
peak during the pandemic. Using birth dates, exposed and unexposed individuals
were identified based on whether they were in utero during >=1 of the peak
months. The outcome, any type of dementia, was identified in population-based
medical registries. Time and age at risk were restricted so exposed and unexposed
had equal time at risk; diagnoses for dementia were assessed between ages 62 and
92, with a maximum of 30 years at risk. Poisson regression was used to estimate
sex-adjusted incidence rate ratios (IRRs). RESULTS: We identified 106 479 exposed
and 177 918 unexposed persons. Using the cumulative risk function, there were
similar proportions of exposed and unexposed with a dementia diagnosis at 11.9%
and 11.7%, respectively. Across all ages, the IRR for the association between in
utero influenza exposure and any dementia was 1.01 (95% CI 0.99-1.04); for
Alzheimer's disease, it was 0.97 (0.93-1.01). When stratified by age and sex, and
when dementia type was examined, estimates of association were also null or close
to null. CONCLUSIONS: Our study suggests there is likely not an association
between in utero exposure to the 1918 influenza pandemic and dementia among those
62 and older.
PMID- 29356339
TI - Caregiver active participation in psychoeducational intervention improved
caregiving skills and competency.
AB - AIM: To determine whether giving dementia caregivers active psychoeducational
intervention is more efficacious than passive intervention for improving their
caregiving skills and reducing their caregiving burden. METHODS: This study was a
prospective, single-blinded, controlled trial with 43 caregiver/person-with
dementia dyads. The dyads were randomly assigned to the active psychoeducational
intervention (AP) group, which used role-play, discussion, and development of
problem-solving capacity to build up their caregiving skills and competence, or
the passive psychoeducational intervention (PP) group, which gave caregivers
educational materials on common caregiving strategies. Primary outcomes were the
levels of caregiver competence (Care Skill Inventory [CSI]), burden (Chinese
Zarit Burden Inventory [CZBI]), and distress caused by the behavioral and
psychological symptoms of dementia (Neuropsychiatric Inventory-Questionnaire [NPI
Q]). Outcomes were assessed pre-test, post-test and after 3 months. Repeated
measures one-way analysis of variance was used to compare mean-change scores
between time-points, and generalized estimating equations (GEE) were used to
compare groups. RESULTS: Post-test or 3-month (or both) Care Skill Inventory,
Chinese Zarit Burden Inventory and Neuropsychiatric Inventory-Questionnaire
distress levels were significantly (p < 0.05) better in the AP but not in the PP
group. The generalized estimating equation intergroup comparison, adjusted for
potential confounders, showed that Care Skill Inventory in the AP group was more
significantly improved than in the PP group, and that Chinese Zarit Burden
Inventory nearly reached significance. CONCLUSIONS: Active rather than passive
psychoeducation, even in a short (3 months) intervention of six visits, was more
efficacious for improving caregiving competence. Future studies will require
larger samples. Geriatr Gerontol Int 2018; 18: 750-757.
PMID- 29356340
TI - Overweight or underweight and the risk of decline in activities of daily living
in a 22-year cohort study of a Japanese sample.
AB - AIM: The present study aimed to clarify the association between body mass index
(BMI) and the activities of daily living (ADL). Although BMI is likely to be
concerned regarding the relationship with specific diseases or mortality, few
studies have focused on the relationship of BMI and ADL. METHODS: A total of 3353
Japanese participants of a 22-year cohort study from 1990 to 2012 aged 45-74
years at baseline were divided into four groups according to their BMI levels:
<=18.5, 18.5-21.9 (reference), 22.0-24.9 and >=25.0 kg/m2 . Outcomes were
becoming dependent in ADL (including death after ADL decline) and death without
observation of ADL decline as a competing risk. Sex-specific multinomial logistic
regression analysis was carried out in 2017 to estimate the odds ratios (OR)
after adjusting for age, smoking, alcohol drinking, hypertension,
hypercholesterolemia, diabetes and serum albumin. RESULTS: After multivariable
adjustment, though the relationship between BMI and risk of ADL decline was U
shaped among women, only those with BMI >=25.0 showed a higher risk for ADL
decline (OR 1.39, 95% CI 1.01-1.92) compared with the reference. The OR for death
without observation of ADL decline was significantly lower for men with BMI
>=25.0 (OR 0.70, 95% CI 0.50-0.98). CONCLUSIONS: This study suggests being
overweight is a good predictor of future decline in ADL for women, whereas men
with BMI 22.0-24.9 had lower risks of ADL decline. Appropriate management of
weight in older women could prevent disabilities. Geriatr Gerontol Int 2018; 18:
799-805.
PMID- 29356341
TI - Cryopreserved neonatal hepatocytes may be a source for transplantation:
Evaluation of functionality toward clinical use.
AB - Neonatal livers are a potential source of good-quality hepatocytes for clinical
transplantation. We compared viability and function of neonatal hepatocytes (NHs)
and adult hepatocytes (AHs) and report their clinical use both intraportally and
in alginate microbeads. Following isolation from donor livers, hepatocyte
function was assessed using albumin, alpha-1-antitrypsin, and factor VII.
Metabolic function was investigated by measuring resorufin conjugation, ammonia
metabolism, uridine diphosphate glucuronosyltransferase enzyme activity, and
cytochrome P450 (CYP) function following induction. Activation of the instant
blood-mediated inflammatory reaction by NHs and AHs was investigated using an in
vitro blood perfusion model, and tissue factor expression was analyzed using real
time polymerase chain reaction (RT-PCR). Clinical hepatocyte transplantation (HT)
was undertaken using standard protocols. Hepatocytes were isolated from 14
neonatal livers, with an average viability of 89.4% +/- 1.8% (mean +/- standard
error of the mean) and average yield of 9.3 * 106 +/- 2.0 * 106 cells/g.
Hepatocytes were isolated from 14 adult livers with an average viability of 78.6%
+/- 2.4% and yield 2.2 * 106 +/- 0.5 * 105 cells/g. NHs had significantly higher
viability after cryopreservation than AHs, with better attachment efficiency and
less plasma membrane leakage. There were no differences in albumin, alpha-1
antitrypsin, and factor VII synthesis between NHs and AHs (P > 0.05). Neonatal
cells had inducible phase 1 enzymes as assessed by CYP function and functional
phase 2 enzymes, in which activity was comparable to AHs. In an in vitro blood
perfusion model, AHs elicited increased thrombus formation with a greater
consumption of platelets and white cells compared with NHs (28.3 * 109 versus
118.7 * 109 and 3.3 * 109 versus 6.6 * 109 ; P < 0.01). Intraportal
transplantation and intraperitoneal transplantation of alginate encapsulated
hepatocytes was safe, and preliminary data suggest the cells may activate the
immune response to a lesser degree than adult cells. In conclusion, we have shown
NHs have excellent cell viability, function, and drug metabolism making them a
suitable alternative source for clinical HT. Liver Transplantation 24 394-406
2018 AASLD.
PMID- 29356343
TI - Metataxonomic approach to decipher the polymicrobial burden in diabetic foot
ulcer and its biofilm mode of infection.
AB - Chronic diabetic foot is a global burden affecting millions of people, and the
chronicity of an ulcer is directly linked to the diverse bacterial burden and its
biofilm mode of infection. The bacterial diversity of 100 chronic diabetic ulcer
samples was profiled via traditional culturing method as well as metagenomic
approach by sequencing the 16S rRNA V3 hyper-variable region on Illumina Miseq
Platform (Illumina, Inc., San Diego, CA). All the relevant clinical metadata,
including duration of diabetes, grade of ulcer, presence of neuropathy, and
glycaemic level, were noted and correlated with the microbiota. The occurrence
and establishment of bacterial biofilm over chronic wound tissues was revealed by
Fluorescent in situ Hybridization and Scanning Electron Microscopy. The biofilm
forming ability of predominant bacterial isolates was studied via crystal violet
assay and Confocal Laser Scanning Microscopy. The dominant phyla obtained from
bacterial diversity analysis were Firmicutes, Proteobacteria, and Actinobacteria.
The dominant aerobic pathogens identified by culture method are Pseudomonas,
Proteus, Enterococcus, and Staphylococcus, whereas high-throughput sequencing
revealed heightened levels of Streptococcus and Corynebacterium along with 22
different obligate anaerobes. The biofilm occurrence in chronic diabetic ulcer
infection is well analysed. Herein, we illustrate the comprehensive pattern of
bacterial infection and identify the community composition of chronic wound
pathogenic biofilm.
PMID- 29356342
TI - The Human Eye Proteome Project: Updates on an Emerging Proteome.
AB - The human eye is a complex organ consisting of multiple compartments with unique
and specialized properties that reflect their varied functions. Although there
have been advancements in ocular imaging and therapeutics over the past decade,
the pathogenesis of many common eye diseases remains poorly understood.
Proteomics is an invaluable tool to gain insight into pathogenesis, diagnosis,
and treatment of eye diseases. By 2013, when the Human Eye Proteome Project (also
known as the EyeOme) was founded, there were 4842 nonredundant proteins
identified in the human eye. Twenty-three recent papers on the human eye proteome
were identified in PubMed searches. These papers were used to compile an updated
resource of 9782 nonredundant proteins in the human eye. This updated catalogue
sheds light on the molecular makeup of previously undescribed proteomes within
the human eye, including optic nerve, sclera, iris, and ciliary body, while
adding additional proteins to previously characterized proteomes such as aqueous
humor, lens, vitreous, retina, and retinal pigment epithelium/choroid. Although
considerable advances have been made to characterize the complete proteome of the
human eye, additional high-quality data are needed to confirm and quantify
previously discovered eye proteins in both health and disease.
PMID- 29356344
TI - Fabrication of magnetic zinc adeninate metal-organic frameworks for the
extraction of benzodiazepines from urine and wastewater.
AB - In this study, an alternative method for synthesizing magnetic cobalt adeninate
metal-organic frameworks was developed, and the synthesized materials were
examined for their potential application for separating and enriching
benzodiazepines from complex samples. Benzodiazepines, widely used as hypnotics,
muscle relaxants, sedatives, and anxiolytics, are a class of drugs that require
accurate detection and monitoring. Results showed that Fe3 O4 nanoparticles could
be well anchored onto the external surface of cobalt adeninate metal-organic
frameworks by using amino-silane as a linkage. Their adsorption of
benzodiazepines was mainly promoted by intermolecular hydrogen binding, pi-pi
interactions and electrostatic attraction. Their potential application was
evaluated by extraction of benzodiazepines in urine and wastewater samples prior
to liquid chromatography with mass spectrometry. Under optimum conditions, the
calibration curves were linear with a correlation coefficient of >=0.9928 in the
concentration range of 10-5000 ng/L for lorazepam and 5-5000 ng/L for estazolam,
chlordiazepoxide, alprazolam, midazolam and triazolam. The limits of detection
were in the range of 0.71-2.49 ng/L. The percent of extraction recoveries were
80.2-94.5% for urine and 84.1-94.4% for wastewater, respectively. Results
suggested that magnetic cobalt adeninate metal-organic frameworks could
potentially be a promising material for enriching benzodiazepines from urine and
wastewater with high accuracy and precision.
PMID- 29356345
TI - Four days of simulated shift work reduces insulin sensitivity in humans.
AB - AIM: The aim of this study was to investigate the effects of 4 consecutive
simulated night shifts on glucose homeostasis, mitochondrial function and central
and peripheral rhythmicities compared with a simulated day shift schedule.
METHODS: Seventeen healthy adults (8M:9F) matched for sleep, physical activity
and dietary/fat intake participated in this study (night shift work n = 9; day
shift work n = 8). Glucose tolerance and insulin sensitivity before and after 4
nights of shift work were measured by an intravenous glucose tolerance test and a
hyperinsulinaemic euglycaemic clamp respectively. Muscles biopsies were obtained
to determine insulin signalling and mitochondrial function. Central and
peripheral rhythmicities were assessed by measuring salivary melatonin and
expression of circadian genes from hair samples respectively. RESULTS: Fasting
plasma glucose increased (4.4 +/- 0.1 vs. 4.6 +/- 0.1 mmol L-1 ; P = .001) and
insulin sensitivity decreased (25 +/- 7%, P < .05) following the night shift,
with no changes following the day shift. Night shift work had no effect on
skeletal muscle protein expression (PGC1alpha, UCP3, TFAM and mitochondria
Complex II-V) or insulin-stimulated pAkt Ser473, pTBC1D4Ser318 and pTBC1D4Thr642.
Importantly, the metabolic changes after simulated night shifts occurred despite
no changes in the timing of melatonin rhythmicity or hair follicle cell clock
gene expression across the wake period (Per3, Per1, Nr1d1 and Nr1d2). CONCLUSION:
Only 4 days of simulated night shift work in healthy adults is sufficient to
reduce insulin sensitivity which would be expected to increase the risk of T2D.
PMID- 29356346
TI - Predicting the hearing outcome in sudden sensorineural hearing loss via machine
learning models.
AB - OBJECTIVE: Sudden sensorineural hearing loss (SSHL) is a multifactorial disorder
with high heterogeneity, thus the outcomes vary widely. This study aimed to
develop predictive models based on four machine learning methods for SSHL,
identifying the best performer for clinical application. DESIGN: Single-centre
retrospective study. SETTING: Chinese People's liberation army (PLA) hospital,
Beijing, China. PARTICIPANTS: A total of 1220 in-patient SSHL patients were
enrolled between June 2008 and December 2015. MAIN OUTCOME MEASURES: An advanced
deep learning technique, deep belief network (DBN), together with the
conventional logistic regression (LR), support vector machine (SVM) and
multilayer perceptron (MLP) were developed to predict the dichotomised hearing
outcome of SSHL by inputting six feature collections derived from 149 potential
predictors. Accuracy, precision, recall, F-score and the area under the receiver
operator characteristic curves (ROC-AUC) were exploited to compare the prediction
performance of different models. RESULTS: Overall the best predictive ability was
provided by the DBN model when tested in the raw data set with 149 variables,
achieving an accuracy of 77.58% and AUC of 0.84. Nevertheless, DBN yielded
inferior performance after feature pruning. In contrast, the LR, SVM and MLP
models demonstrated opposite trend as the greatest individual prediction powers
were obtained when included merely three variables, with the ROC-AUC ranging from
0.79 to 0.81, and then decreased with the increasing size of input features
combinations. CONCLUSIONS: With the input of enough features, DBN can be a robust
prediction tool for SSHL. But LR is more practical for early prediction in
routine clinical application using three readily available variables, that is
time elapse between symptom onset and study entry, initial hearing level and
audiogram.
PMID- 29356347
TI - Measuring exclusive breastfeeding social support: Scale development and
validation in Uganda.
AB - The World Health Organization recommends exclusive breastfeeding (EBF) for 6
months and continued breastfeeding for at least 2 years. Social support has been
widely recognized to influence breastfeeding practices. However, existing scales
do not measure exclusive breastfeeding social support (EBFSS), rather they assess
social support for any breastfeeding. Further, they are tailored towards high
income settings. Therefore, our objectives were to develop and validate a tool to
measure EBFSS in low-income settings. To develop the scale, local and
international breastfeeding experts were consulted on modifications to the
Hughes' Breastfeeding Social Support Scale. It was then implemented in an
observational cohort in Gulu, Uganda, at 1 (n = 238) and 3 (n = 237) months post
partum (NCT02925429). We performed polychoric and polyserial correlations to
remove redundant items and exploratory factor analysis at 1 month post-partum to
determine the latent factor structure of EBFSS. We further applied confirmatory
factor analysis to assess dimensionality of the scale at 3 months post-partum. We
then conducted tests of predictive, convergent, and discriminant validity against
EBF, self-efficacy, general social support, and depression. The modification of
the Hughes' scale resulted in 18 items, which were reduced to 16 after examining
variances and factor loadings. Three dimensions of support emerged: Instrumental,
Emotional, and Informational, with alpha coefficients of 0.79, 0.85, and 0.83,
respectively. Predictive, convergent, and discriminant validity of the resultant
EBFSS scale was supported. The EBFSS scale is valid and reliable for measuring
EBFSS in northern Uganda and may be of use in other low-income settings to assess
determinants of EBF.
PMID- 29356348
TI - Skeletal muscle ex vivo mitochondrial respiration parallels decline in vivo
oxidative capacity, cardiorespiratory fitness, and muscle strength: The Baltimore
Longitudinal Study of Aging.
AB - Mitochondrial function in human skeletal muscle declines with age. Most evidence
for this decline comes from studies that assessed mitochondrial function
indirectly, and the impact of such deterioration with respect to physical
function has not been clearly delineated. We hypothesized that mitochondrial
respiration in permeabilized human muscle fibers declines with age and correlates
with phosphocreatine postexercise recovery rate (kPCr), muscle performance, and
aerobic fitness. Mitochondrial respiration was assessed by high-resolution
respirometry in saponin-permeabilized fibers from vastus lateralis muscle
biopsies of 38 participants from the Baltimore Longitudinal Study of Aging (BLSA;
21 men, age 24-91 years) who also had available measures of peak oxygen
consumption (VO2max ) from treadmill tests, gait speed in different tasks, 31 P
magnetic resonance spectroscopy, isokinetic knee extension, and grip strength.
Results indicated a significant reduction in mitochondrial respiration with age
(p < .05) that was independent of other potential confounders. Mitochondrial
respiratory capacity was also associated with VO2max , muscle strength, kPCr, and
time to complete a 400-m walk (p < .05). A negative trend toward significance (p
= .074) was observed between mitochondrial respiration and BMI. Finally,
transcriptional profiling revealed a reduced mRNA expression of mitochondrial
gene networks with aging (p < .05). Overall, our findings reinforce the notion
that mitochondrial function declines with age and may contribute to age
associated loss of muscle performance and cardiorespiratory fitness.
PMID- 29356349
TI - Rice copine genes OsBON1 and OsBON3 function as suppressors of broad-spectrum
disease resistance.
AB - Breeding for disease resistance is the most effective strategy to control
diseases, particularly with broad-spectrum disease resistance in many crops.
However, knowledge on genes and mechanism of broad-spectrum resistance and trade
off between defence and growth in crops is limited. Here, we show that the rice
copine genes OsBON1 and OsBON3 are critical suppressors of immunity. Both OsBON1
and OsBON3 changed their protein subcellular localization upon pathogen
challenge. Knockdown of OsBON1 and dominant negative mutant of OsBON3 each
enhanced resistance to rice bacterial and fungal pathogens with either
hemibiotrophic or necrotrophic lifestyles. The defence activation in OsBON1
knockdown mutants was associated with reduced growth, both of which were largely
suppressed under high temperature. In contrast, overexpression of OsBON1 or
OsBON3 decreased disease resistance and promoted plant growth. However, neither
OsBON1 nor OsBON3 could rescue the dwarf phenotype of the Arabidopsis BON1
knockout mutant, suggesting a divergence of the rice and Arabidopsis copine
genes. Our study therefore shows that the rice copine genes play a negative role
in regulating disease resistance and their expression level and protein location
likely have a large impact on the balance between immunity and agronomic traits.
PMID- 29356350
TI - 1918 pandemic morbidity: The first wave hits the poor, the second wave hits the
rich.
AB - BACKGROUND: Whether morbidity from the 1918-19 influenza pandemic discriminated
by socioeconomic status has remained a subject of debate for 100 years. In lack
of data to study this issue, the recent literature has hypothesized that
morbidity was "socially neutral." OBJECTIVES: To study the associations between
influenza-like illness (ILI) and socioeconomic status (SES), gender, and wave
during the 1918-19 influenza pandemic. METHODS: Availability of incidence data on
the 1918-19 pandemic is scarce, in particular for waves other than the "fall
wave" October-December 1918. Here, an overlooked survey from Bergen, Norway (n =
10 633), is used to study differences in probabilities of ILI and ILI probability
ratios by apartment size as a measure of SES and gender for 3 waves including the
waves prior to and after the "fall wave." RESULTS: Socioeconomic status was
negatively associated with ILI in the first wave, but positively associated in
the second wave. At all SES levels, men had the highest ILI in the summer, while
women had the highest ILI in the fall. There were no SES or gender differences in
ILI in the winter of 1919. CONCLUSIONS: For the first time, it is documented a
crossover in the role of socioeconomic status in 1918 pandemic morbidity. The
poor came down with influenza first, while the rich with less exposure in the
first wave had the highest morbidity in the second wave. The study suggests that
the socioeconomically disadvantaged should be prioritized if vaccines are of
limited availability in a future pandemic.
PMID- 29356351
TI - How adolescents decide on bariatric surgery: an interpretative phenomenological
analysis.
AB - The National Institute of Clinical Excellence states that bariatric surgery may
be considered for adolescents with severe obesity in 'exceptional circumstances'.
However, it is not clear what is deemed to be exceptional, and there is a lack of
long-term outcomes data or research, which would inform patient selection. This
is an in-depth qualitative study involving five adolescents who had previously
undergone bariatric surgery (between 1 and 3 years postoperatively) and four who
were being assessed for the treatment. All patients were from one tertiary NHS
weight management service offering bariatric surgery to adolescents. Participants
were interviewed to explore how young people decide whether bariatric surgery is
an appropriate intervention for them. Of the nine adolescents recruited, four
were male and five female, aged between 17 and 20 years at the time of interview.
Participants who had already undergone surgery did so between the ages of 16 and
18. The data were analysed using interpretative phenomenological analysis, and
key themes were identified, such as (i) wanting a different future, (ii)
experiences of uncertainty, (iii) managing the dilemmas and (iv) surgery as the
last resort. The findings suggest that young people are prepared to accept a
surgical solution for obesity despite numerous dilemmas. Young people choose this
intervention as a way of 'normalizing' when they perceive there is nothing better
available. It is argued that these findings may have implications for the
counselling of young people living with overweight and obesity and for government
policy.
PMID- 29356352
TI - VIIaAT complexes, procoagulant phospholipids, and thrombin generation during
postprandial lipemia.
AB - INTRODUCTION: Factor VII activation occurs postprandially. A proportion of
activated factor VII (VIIa) circulates in complex with antithrombin (VIIaAT). Our
primary objective was to assess the effects of postprandial lipemia on
circulating VIIaAT, procoagulant phospholipid (PPL) activity, and thrombin
generation. METHODS: Plasma samples from postmyocardial infarction patients (n =
40) and controls (n = 39) were taken before and at 3 and 6 hours during a
standardized oral fat tolerance test (OFTT). Fasting PPL activity measurements
were also made in a second cohort of 108 postinfarction patients and 109
controls. VIIaAT was analyzed with the Asserachrom VIIaAT ELISA, PPL activity
with the STA-Procoag-PPL kit, and thrombin generation with calibrated automated
thrombogram with PRP-Reagent as trigger (all Diagnostica Stago products).
RESULTS: Postprandially, VIIaAT increased in all samples without significant case
control differences in the overall response during the OFTT. Thrombin generation
measures peak height and velocity, and PPL activity, were marginally affected by
the test meal in the controls. Levels of all patient baseline measures were
significantly different from controls, indicating a more hypercoagulable state,
and these differences were maintained throughout the OFTT. Fasting samples from
cases showed higher PPL activity than control samples. CONCLUSION: Viewing VIIaAT
quantitation as a surrogate for TF activity measurement, postprandial increase in
VIIaAT may reflect a mechanism that adds to the cardiovascular risk associated
with postprandial lipemia. On the other hand, the impact of postprandial lipemia
on PPL activity and thrombin generation seems to be minor.
PMID- 29356354
TI - Functional Differentiation of Three Pores for Effective Sulfur Confinement in Li
S Battery.
AB - Shuttle effect of the dissolved intermediates is regarded as the primary cause
that leads to fast capacity degradation of Li-S battery. Herein, a microporous
carbon-coated sulfur composite with novel rambutan shape (R-S@MPC) is synthesized
from microporous carbon-coated rambutan-like zinc sulfide (R-ZnS@MPC), via an in
situ oxidation process. The R-ZnS is employed as both template and sulfur
precursor. The carbon frame of R-S@MPC composite possesses three kinds of pores
that are distinctly separated from each other in space and are endowed with the
exclusive functions. The central macropore serves as buffer pool to accommodate
the dissolved lithium polysulfides (LPSs) and volumetric variation during
cycling. The marginal straight-through mesoporous, connected with the central
macropore, takes the responsibility of sulfur storage. The micropores, evenly
distributed in the outer carbon shell of the as-synthesized R-S@MPC, enable the
blockage of LPSs. These pores are expected to perform their respective single
function, and collaborate synergistically to suppress the sulfur loss. Therefore,
it delivers an outstanding cycling stability, decay rate of 0.013% cycle-1 after
500 cycles at 1 C, when the sulfur loading is kept at 4 mg cm-2 .
PMID- 29356353
TI - Effect of the Prevent Alcohol and Risk-Related Trauma in Youth (P.A.R.T.Y.)
Program among senior school students.
AB - OBJECTIVE: The Prevent Alcohol and Risk-Related Trauma in Youth (P.A.R.T.Y.)
Program at The Alfred uses vivid clinical reality to build resilience and prevent
injury by following a trauma patient's journey through hospital. The present
study aims to analyse the effect of P.A.R.T.Y. on safety perceptions of driving
after alcohol, seat belt use and risk-taking activities. METHODS: Pre-programme,
immediately post-programme and 3-5 months post-programme surveys with questions
focused on the programme aims were distributed to all consented participants.
RESULTS: There were 2502 participants during the study period and 1315 (53%)
responses were received. The mean age was 16.2 (SD 0.8) years, 724 (56%) were
women and 892 (68%) possessed a learner's permit for driving. Pre-programme, 1130
(86%) participants reported 'definitely not' likely to drive after drinking
alcohol, that improved to 1231 (94%) immediately post-programme and 1215 (93%) at
3-5 months post-programme (P < 0.01). Designating a safe driver after drinking
was reported by 1275 (97%) pre-programme, 1295 (98%) immediately post-programme
and 1286 (98.2%) 3-5 months post-programme (P = 0.02). The perception of
sustaining 'definite' injury after a motor vehicle crash without a seat belt
increased from 780 (60%) pre-programme to 1051 (80%) immediately post-programme
and 886 (69%) 3-5 months post-programme (P < 0.01). The possibility of sustaining
'definite' injury after risk-taking activities was reported by 158 (12%) pre
programme, 467 (36%) post-programme and 306 (23%) 3-5 months post-programme (P <
0.01). CONCLUSIONS: The P.A.R.T.Y. Program at The Alfred engaged substantial
numbers of youths and achieved significant improvements among key outcome
measures. Objectives were sustained at 3-5 months post-programme, but
demonstrated decay, highlighting the importance of continual reinforcement.
PMID- 29356355
TI - Improving Hemocompatibility of Membranes for Extracorporeal Membrane Oxygenators
by Grafting Nonthrombogenic Polymer Brushes.
AB - Nonthrombogenic modifications of membranes for extracorporeal membrane
oxygenators (ECMOs) are of key interest. The absence of hemocompatibility of
these membranes and the need of anticoagulation of patients result in severe and
potentially life-threatening complications during ECMO treatment. To address the
lack of hemocompatibility of the membrane, surface modifications are developed,
which act as barriers to protein adsorption on the membrane and, in this way,
prevent activation of the coagulation cascade. The modifications are based on
nonionic and zwitterionic polymer brushes grafted directly from poly(4-methyl-1
pentene) (TPX) membranes via single electron transfer-living radical
polymerization. Notably, this work introduces the first example of well
controlled surface-initiated radical polymerization of zwitterionic brushes. The
antifouling layers markedly increase the recalcification time (a proxy of
initiation of coagulation) compared to bare TPX membranes. Furthermore, platelet
and leukocyte adhesion is drastically decreased, rendering the ECMO membranes
hemocompatible.
PMID- 29356356
TI - Phase angle measured by electrical bioimpedance and global cardiovascular risk in
older adults.
AB - AIM: Population aging is a worldwide phenomenon associated with physiological and
metabolic changes that contribute to the increased prevalence of risk factors for
cardiovascular disease. The phase angle (PA) is a variable calculated by
bioelectrical impedance analysis, interpreted as a direct measure of cell
stability. The objective of the present study was to investigate the correlation
of PA with the anthropometric measures, metabolic profile and the cardiovascular
risk estimated by the Global Cardiovascular Risk Score in the elderly population.
METHODS: A cross-sectional study was carried out with 402 patients aged >60 years
(70.4 +/- 6.9 years, 74% female) treated in primary care. Patients were evaluated
by blood pressure, anthropometric measurements and body composition. The score of
the risk and the stratification of the cardiovascular risk were calculated using
the criteria from the Framingham Heart Study. RESULTS: Age, systolic blood
pressure, neck circumference and fat-free mass correlated with PA in female
patients. In men, age and systolic blood pressure were the variables that
correlated with the PA in the bivariate analysis. In the multiple linear
regression, for the female group the risk score presented an independent
variation with PA (P = 0.051) and age (P < 0.0001). The same correlation was
observed for the male group both for PA (P = 0.042) and age (P < 0.0001).
CONCLUSIONS: The present data show an independent association of PA with overall
cardiovascular risk in the elderly population. Geriatr Gerontol Int 2018; 18: 732
737.
PMID- 29356357
TI - CTAPIII/CXCL7: a novel biomarker for early diagnosis of lung cancer.
AB - It is desirable to have a biomarker which can facilitate low-dose CT in diagnosis
of early stage lung cancer. CTAPIII/CXCL7 is reported to be a potential biomarker
for diagnosis of early lung cancer. In this study, we investigated the serum
level of CTAPIII/CXCL7 in patients at different stage of lung cancer and the
diagnostic efficacy of CTAPIII/CXCL7 in NSCLC. The plasma level of CTAPIII/CXCL7
was assayed by ELISA. CEA, SCCAg, and Cyfra211 were measured using a commercial
chemiluminescent microparticle immunoassay. A total of 419 subjects were
recruited, including 265 NSCLC patients and 154 healthy individuals. The subjects
were randomly assigned to a training set and a test set. Receiver operating
characteristic (ROC) and binary logistic regression analyses were conducted to
evaluate the diagnostic efficacy and establish diagnostic mathematical model.
Plasma CTAPIII/CXCL7 levels were significantly higher in NSCLC patients than in
controls, which was independent of the stage of NSCLC. The diagnostic efficiency
of CTAPIII/CXCL7 in NSCLC (training set: area under ROC curve (AUC) 0.806, 95%
CI: 0.748-0.863; test set: AUC 0.773, 95% CI: 0.711-0.835) was greater than that
of SCCAg, Cyfra21-1, or CEA. The model combining CTAPIII/CXCL7 with CEA, SCCAg,
and Cyfra21-1 was more effective for NSCLC diagnosis than CTAPIII/CXCL7 alone. In
addition, plasma level of CTAPIII/CXCL7 may contribute to the early diagnosis of
NSCLC. CTAPIII/CXCL7 can be used as a plasma biomarker for the diagnosis of
NSCLCs, particularly early stage lung cancer, with relatively high sensitivity
and specificity.
PMID- 29356358
TI - Epigenetics and the maintenance of developmental plasticity: extending the
signalling theory framework.
AB - Developmental plasticity, a phenomenon of importance in both evolutionary biology
and human studies of the developmental origins of health and disease (DOHaD),
enables organisms to respond to their environment based on previous experience
without changes to the underlying nucleotide sequence. Although such phenotypic
responses should theoretically improve an organism's fitness and performance in
its future environment, this is not always the case. Herein, we first discuss
epigenetics as an adaptive mechanism of developmental plasticity and use
signaling theory to provide an evolutionary context for DOHaD phenomena within a
generation. Next, we utilize signalling theory to identify determinants of
adaptive developmental plasticity, detect sources of random variability - also
known as process errors that affect maintenance of an epigenetic signal (DNA
methylation) over time, and discuss implications of these errors for an
organism's health and fitness. Finally, we apply life-course epidemiology
conceptual models to inform study design and analytical strategies that are
capable of parsing out the potential effects of process errors in the
relationships among an organism's early environment, DNA methylation, and
phenotype in a future environment. Ultimately, we hope to foster cross-talk and
interdisciplinary collaboration between evolutionary biology and DOHaD
epidemiology, which have historically remained separate despite a shared interest
in developmental plasticity.
PMID- 29356359
TI - Impact of body mass index on the oncological outcomes of patients with upper and
lower urinary tract cancers treated with radical surgery: A multi-institutional
retrospective study.
AB - AIM: To evaluate the impact of body mass index (BMI) on the oncological outcomes
of urothelial carcinoma (UC) patients. PATIENTS AND METHODS: We retrospectively
analyzed data from 818 patients with upper tract urothelial cancer (UTUC) and
bladder cancer (BC) who were treated with radical nephroureterectomy (RNU) or
radical cystectomy (RC) between 1990 and 2015 at six different institutions in
Japan. Patients with distant metastasis at diagnosis and those who received
neoadjuvant therapies were excluded, leaving 727 eligible patients (UTUC: n =
441; BC: n = 286). Patients were classified into four groups according to World
Health Organization BMI criteria: underweight (BMI <18.5 kg/m2 ), normal weight
(BMI 18.5-25 kg/m2 ), overweight (BMI 25.1-30 kg/m2 ), and obese (BMI >30 kg/m2
). RESULTS: Overweight UTUC and BC patients achieved significantly better cancer
specific survival (CSS) than the other three groups. However, obese UTUC and BC
patients had significantly worse CSS than the other three groups (UTUC: P =
0.031; BC: P = 0.0019). Multivariate analysis of BC patients demonstrated that
obesity was an independent predictor of unfavorable CSS (hazard ratio [HR] =
7.47; P = 0.002) and that being underweight was an independent predictor of
favorable CSS (HR = 0.37; P = 0.029). However, BMI was not a prognostic factor
for CSS in UTUC patients according to multivariate analysis. CONCLUSIONS: Obesity
was an independent predictor of BC patients requiring RC. Conversely, being
underweight was associated with a favorable prognosis for BC patients. However,
BMI was not an independent prognostic factor in patients with upper urinary tract
cancer.
PMID- 29356360
TI - Uranyl tris nitrato as a luminescent probe for trace water detection in
acetonitrile.
AB - Uranyl tris nitrato i.e. [UO2 (NO3 )3 ]- was formed by adding tetramethylammonium
nitrate to uranyl nitrate in acetonitrile medium. The luminescence features of
this complex in acetonitrile are very sensitive to water content, which could
lead to the use of it as a luminescent probe for water present in acetonitrile.
The luminescence intensity ratio of 507 to 467 nm peak of uranyl tris nitrato
showed a linear response in the range 0-5% (v/v) water content in acetonitrile.
The present method was applied for three synthetic samples of acetonitrile for
water detection and the results obtained were compared using Karl Fischer
titration. There was a good agreement in the values obtained by both the methods.
PMID- 29356363
TI - High-Performance Photovoltaic Detector Based on MoTe2 /MoS2 Van der Waals
Heterostructure.
AB - Van der Waals heterostructures based on 2D layered materials have received wide
attention for their multiple applications in optoelectronic devices, such as
solar cells, light-emitting devices, and photodiodes. In this work, high
performance photovoltaic photodetectors based on MoTe2 /MoS2 vertical
heterojunctions are demonstrated by exfoliating-restacking approach. The
fundamental electric properties and band structures of the junction are revealed
and analyzed. It is shown that this kind of photodetectors can operate under zero
bias with high on/off ratio (>105 ) and ultralow dark current (~3 pA). Moreover,
a fast response time of 60 us and high photoresponsivity of 46 mA W-1 are also
attained at room temperature. The junctions based on 2D materials are expected to
constitute the ultimate functional elements of nanoscale electronic and
optoelectronic applications.
PMID- 29356364
TI - The effect of hormone manipulations on sex ratios varies with environmental
conditions in a turtle with temperature-dependent sex determination.
AB - Exogenous application of steroids and related substances to eggs affects
offspring sex ratios in species with temperature-dependent sex determination
(TSD). Laboratory studies demonstrate that this effect is most pronounced near
the constant temperature that produces 1:1 sex ratios (i.e., pivotal
temperature). However, the impact of such chemicals on sex determination under
natural nest temperatures (which fluctuate daily) is unknown, but could provide
insight into the relative contributions of these two factors under natural
conditions. We applied estradiol (E2) and an aromatase inhibitor (fadrozole) to
eggs of the painted turtle (Chrysemys picta), a species with TSD, and allowed
eggs to incubate under natural conditions during two field seasons (in 2012 and
2013). Exogenous E2, fadrozole, and nest temperature contributed to variation in
offspring sex ratio, but the relative contributions of these factors differed
between years. In 2012, a much hotter than average season, sex ratios were
heavily female biased regardless of nest temperature and chemical treatment.
However, in 2013, a milder season, both nest temperature and chemical treatment
were important. Moreover, a significant interaction between nest temperature and
treatment demonstrated that exogenous estradiol induces female development
regardless of nest temperature, but aromatase inhibition widens the range of
temperatures that produces both sexes.
PMID- 29356365
TI - Risk network approaches to locating undiagnosed HIV cases in Odessa, Ukraine.
AB - INTRODUCTION: Providing HIV healthcare and Treatment as Prevention both depend on
diagnosing HIV cases, preferably soon after initial infection. We hypothesized
that tracing risk networks recruits higher proportions of undiagnosed positives
than outreach-based testing or respondent-driven sampling (RDS) in Odessa,
Ukraine. METHODS: The Transmission Reduction Intervention Project (TRIP) used
risk network tracing to recruit sexual and injection networks of recently
infected and longer-term infected (LTs) seeds (2013 to 2016). Integrated
Biobehavioural Surveillance (IBBS) (2013) used RDS to recruit people who inject
drugs (PWID). Outreach Testing tested PWID for HIV at community outreach sites
(2013 to 2016). Proportions of undiagnosed positives among those tested were
compared TRIP versus IBBS; TRIP versus Outreach Testing and between TRIP arms.
Costs were compared across the projects. RESULTS: TRIP tested 1252 people (21%
women) in seeds' risk networks; IBBS tested 400 (18% women); Outreach Testing
13,936 (31% women). TRIP networks included a higher proportion of undiagnosed
positives (14.6%) than IBBS (5.0%) or Outreach Testing (2.4%); odds ratio (OR)
3.25 (95% CI 2.07, 5.12) versus IBBS and 7.03 (CI 5.95, 8.31) versus Outreach
Testing respectively. Findings remained significant in analyses stratified by sex
and when PWID in TRIP networks were compared with Outreach Testing and IBBS.
Within TRIP, recently-infected participants' networks contained higher
proportions of undiagnosed positives (16.3%) than LTs' networks (12.2%); OR 1.41
(CI 1.01, 1.95). TRIP located undiagnosed positives less expensively than did RDS
or Outreach Testing. CONCLUSIONS: TRIP's recruiting techniques, including
prioritizing networks of the recently infected, find undiagnosed HIV-positive
people efficiently. They should be integrated with standard practice to improve
case-finding. Research should test these techniques in other socio-epidemiologic
contexts. CLINICAL TRIAL REGISTRY: Registered ClinicalTrials.gov: NCT01827228.
PMID- 29356366
TI - Choroidal thickness at age 66 years in the Danish high myopia study cohort 1948
compared with follow-up data on visual acuity over 40 years: a clinical update
adding spectral domain optical coherence tomography.
AB - BACKGROUND AND PURPOSE: A population-based Copenhagen birth year 1948 cohort with
high myopia recorded since age 14 years (spherical equivalent less than or
equivalent to -6 D) has been followed over 50 years. Despite complications,
current follow-ups have outlined a better visual prognosis than usually drawn
from selected clinical series in the literature. For the present status at age 66
years, focus was on visual ability and choroidal thickness. METHODS: Twenty-eight
of the original 39 participants were available in 2014. Medical history was
updated. Best-corrected visual acuity (BCVA) data were compared with subfoveal
choroidal thickness (SFCT), now measured by enhanced depth optical coherence
tomography. RESULTS: Due to at least better eye visual acuity (VA), all patients
had maintained their everyday visual capacity. Only one participant was marginal
regarding visual status for a driver's licence; low vision was not on record.
Based on all eyes, choroidal thickness correlated negatively with axial length
(AL), which also held for the fraction with high myopia (AL >26.5 mm). In high
myopia, the mean choroidal subfoveal thickness was 114 +/- 75 MUm versus 182 +/-
94 MUm in lower myopia (p = 0.01). CONCLUSION: Despite the generally maintained
individual visual capacity in the series, significant correlation could be
demonstrated between SFCT and (i) axial elongation and (ii) recorded VA, with a
negative and a positive sign, respectively. Overall, the visual prognosis was
relatively benign, in particular when compared with the selected high myopia
hospital series that predominate in the ophthalmic literature.
PMID- 29356367
TI - New horizons in congenital glaucoma surgery.
PMID- 29356369
TI - Analysis of Economic and Environmental Aspects of Microalgae Biorefinery for
Biofuels Production: A Review.
AB - Microalgae are considered promising feedstock for the production of biofuels and
other bioactive compounds, yet there are still challenges on commercial
applications of microalgae-based products. This review focuses on the economic
analysis, environmental impact, and industrial potential of biofuels production
from microalgae. The cost of biofuels production remains higher compared to
conventional fuel sources. However, integration of biorefinery pathways with
biofuels production for the recovery of value-added products (such as
antioxidants, natural dyes, cosmetics, nutritional supplements, polyunsaturated
fatty acids, and so forth) could substantially reduce the production costs. It
also paves the way for sustainable energy resources by significantly reducing the
emissions of CO2 , NOx , SOx , and heavy metals. Large-scale biofuels production
has yet to be successfully commercialized with many roadblocks ahead and heavy
competition with conventional fuel feedstock as well as technological aspects.
One of the prominent challenges is to develop a cost-effective method to achieve
high-density microalgal cultivation on an industrial scale. The biofuels industry
should be boosted by Government's support in the form of subsidies and
incentives, for addressing the pressing climate change issues, achieving
sustainability, and energy security.
PMID- 29356370
TI - Prevalence and risk factors for problematic Internet use among rural adolescents
in Korea.
AB - INTRODUCTION: The number of Internet users is increasing dramatically due to high
speed Internet connections and the use of cellular Internet service among rural
adolescents in Korea. This study examined the prevalence of problematic Internet
use (PIU) and factors associated with PIU among rural adolescents in Korea.
METHODS: In total, 1168 adolescents aged 13 to 18 years from a rural area in
Korea participated in this cross-sectional school survey. Problematic Internet
use was categorized with Young's Internet Addiction Test using a validated
cutoff. In addition, adolescents completed a self-report questionnaire, including
questions on sociodemographic factors, the Center for Epidemiological Studies
Depression Scale, and the State Anxiety Inventory for Children. Parents completed
the Korean version of the Strengths and Difficulties Questionnaire (SDQ-P).
RESULTS: Problematic Internet use was identified in 252 of 1168 (21.6%) students.
Multivariate logistic analysis showed that male gender, academic stress, early
exposure to the Internet, depression, and total difficulties on the SDQ-P were
significantly associated with PIU. CONCLUSIONS: The findings indicate a need to
develop preventive interventions for PIU among rural adolescents in Korea.
PMID- 29356371
TI - Grounding as a Side-Effect of Grounding.
AB - In relation to semantics, "grounding" has (at least) two relevant meanings.
"Symbol grounding" is the process of connecting symbols (e.g., words) to
perception and the world. "Communicative grounding" is the process of
interactively adding to common ground in dialog. Strategies for grounding in
human communication include, crucially, strategies for resolving troubles caused
by various kinds of miscommunication. As it happens, these two processes of
grounding are closely related. As a side-effect of grounding an utterance, dialog
participants (DPs) may adjust the meanings they assign to linguistic expressions,
in a process of semantic coordination. Meanings of at least some expressions
(e.g., concrete nouns) include perceptual aspects which enable DPs to classify
entities as falling under the expression or not based on their perception of
those entities. We show how perceptual grounding of symbols can be achieved in a
process of interactively adding to common ground. This requires that perceptual
aspects of meaning can be updated as a result of participating in linguistic
interaction, thereby enabling fine-grained semantic coordination of perceptually
grounded linguistic meanings. A formal semantics for low-level perceptual aspects
of meaning is presented, tying these together with the logical-inferential
aspects of meaning traditionally studied in formal semantics. The key idea is to
model perceptual meanings as classifiers of perceptual input. This requires a
framework where intensions are (a) represented independently of extensions, and
(b) structured objects which can be modified as a result of learning. We use Type
Theory with Records (TTR), a formal semantics framework which starts from the
idea that information and meaning are founded on our ability to perceive and
classify the world, that is, to perceive objects and situations as being of
types. As an example of our approach, we show how a simple classifier of spatial
information based on the Perceptron can be cast in TTR.
PMID- 29356372
TI - Rapid generation of three-dimensional microchannels for vascularization using a
subtractive printing technique.
AB - The development of tissue-engineered products has been limited by lack of a
perfused microvasculature that delivers nutrients and maintains cell viability.
Current strategies to promote vascularization such as additive three-dimensional
printing techniques have limitations. This study validates the use of an ultra
fast laser subtractive printing technique to generate capillary-sized channels in
hydrogels prepopulated with cells by demonstrating cell viability relative to the
photodisrupted channels in the gel. The system can move the focal spot laterally
in the gel at a rate of 2500 mm/s by using a galvanometric scanner to raster the
in plane focal spot. A Galilean telescope allows z-axis movement. Blended
hydrogels of polyethylene glycol and collagen with a range of optical clarities,
mechanical properties and swelling behavior were tested to demonstrate that the
subtractive printing process for writing vascular channels is compatible with all
of the blended hydrogels tested. Channel width and patterns were controlled by
adjusting the laser energy and focal spot positioning, respectively. After
treatment, high cell viability was observed at distances greater than or equal to
18 MUm from the fabricated channels. Overall, this study demonstrates a flexible
technique that has the potential to rapidly generate channels in tissue
engineered constructs.
PMID- 29356373
TI - Atmospheric Dry Hydrogen Plasma Reduction of Inkjet-Printed Flexible Graphene
Oxide Electrodes.
AB - This study concerns a low-temperature method for dry hydrogen plasma reduction of
inkjet-printed flexible graphene oxide (GO) electrodes, an approach compatible
with processes envisaged for the manufacture of flexible electronics. The
processing of GO to reduced graphene oxide (rGO) was performed in 1-64 s, and sp2
/sp2 +sp3 carbon concentration increased from approximately 20 % to 90 %. Since
the plasma reduction was associated with an etching effect, the optimal reduction
time occurred between 8 and 16 s. The surface showed good mechanical stability
when deposited on polyethylene terephthalate flexible foils and significantly
lower sheet resistance after plasma reduction. This method for dry plasma
reduction could be important for large-area hydrogenation and reduction of GO
flexible surfaces, with present and potential applications in a wide variety of
emerging technologies.
PMID- 29356374
TI - Effective Labeling of Primary Somatic Stem Cells with BaTiO3 Nanocrystals for
Second Harmonic Generation Imaging.
AB - While nanoparticles are an increasingly popular choice for labeling and tracking
stem cells in biomedical applications such as cell therapy, their intracellular
fate and subsequent effect on stem cell differentiation remain elusive. To
establish an effective stem cell labeling strategy, the intracellular nanocrystal
concentration should be minimized to avoid adverse effects, without compromising
the intensity and persistence of the signal necessary for long-term tracking.
Here, the use of second-harmonic generating barium titanate nanocrystals is
reported, whose achievable brightness allows for high contrast stem cell labeling
with at least one order of magnitude lower intracellular nanocrystals than
previously reported. Their long-term photostability enables to investigate
quantitatively at the single cell level their cellular fate in hematopoietic stem
cells (HSCs) using both multiphoton and electron microscopy. It is found that the
concentration of nanocrystals in proliferative multipotent progenitors is over
2.5-fold greater compared to quiescent stem cells; this difference vanishes when
HSCs enter a nonquiescent, proliferative state, while their potency remains
unaffected. Understanding the nanoparticle stem cell interaction allows to
establish an effective and safe nanoparticle labeling strategy into somatic stem
cells that can critically contribute to an understanding of their in vivo
therapeutic potential.
PMID- 29356375
TI - Molecular characterization and tissue expression profiles of prepro-vasoactive
intestinal peptide in the Chinese alligator (Alligator sinensis) during the
active and hibernating periods.
AB - The Chinese alligator (Alligator sinensis), a freshwater crocodilian endemic to
China, is one of the most endangered crocodilian species; up to this date, very
little is known about the endocrine regulation of its metabolic activities during
different physiological states. In this study, we characterized the structure of
the prepro-vasoactive intestinal peptide in Chinese alligator (prepro-caVIP) for
the first time and examined its expression profiles in various tissues during the
active and hibernating periods. The prepro-caVIP cDNA consists of a 221-bp 5'
untranslated region (UTR), a 606-bp complete coding region (CDS), and a 312-bp 3'
UTR, which encodes the 201-amino acid prepro-caVIP containing a 28-amino acid
vasoactive intestinal peptide (VIP) and a 27-amino acid PHI (peptide histidine
isoleucine). Multiple alignment analysis showed that VIP shares 100% identity
with the given birds, reptiles, and African clawed frog, and 89% identity with
mammals, 96% with fishes. Real-time quantitative PCR showed that the prepro-caVIP
is widely expressed in all the examined tissues, and the expression level is
significantly higher in small intestine, stomach, pancreas, lung, and skeletal
muscle, whereas lower in heart, liver, spleen, kidney, ovary, and oviduct. During
hibernation, the expression level of caVIP was significantly decreased in small
intestine (P < 0.01), pancreas, and skeletal muscle (P < 0.05), whereas
significantly increased in liver, spleen, and lung (P < 0.01). The wide
distribution of caVIP and its differential expression changes in various tissues
during hibernation implicated that it might play multiple effects in Chinese
alligator and participate in the physiological adaptation of various organs in a
paracrine and/or neurocrine manner.
PMID- 29356376
TI - Boosting ART uptake and retention among HIV-infected pregnant and breastfeeding
women and their infants: the promise of innovative service delivery models.
AB - INTRODUCTION: With the rapid scale-up of antiretroviral treatment (ART) in the
"Treat All" era, there has been increasing emphasis on using differentiated
models of HIV service delivery. The gaps within the clinical cascade for mothers
and their infants suggest that current service delivery models are not meeting
families' needs and prompt re-consideration of how services are provided. This
article will explore considerations for differentiated care and encourage the
ongoing increase of ART coverage through innovative strategies while also
addressing the unique needs of mothers and infants. DISCUSSION: Service delivery
models should recognize that the timing of the mother's HIV diagnosis is a
critical aspect of determining eligibility. Women newly diagnosed with HIV
require a more intensive approach so that adequate counselling and monitoring of
ART initiation and response can be provided. Women already on ART with evidence
of virologic failure are also at high risk of transmitting HIV to their infants
and require close follow-up. However, women stable on ART with a suppressed viral
load before conception have a very low likelihood of HIV transmission and thus
are strong candidates for multi-month ART dispensing, community-based
distribution of ART, adherence clubs, community adherence support groups and
longer intervals between clinical visits. A number of other factors should be
considered when defining eligibility of mothers and infants for differentiated
care, including location of services, viral load monitoring and duration on ART.
To provide differentiated care that is client-centred and driven while
encompassing a family-based approach, it will be critical to engage mothers,
families and communities in models that will optimize client satisfaction,
retention in care and quality of services. CONCLUSIONS: Differentiated care for
mothers and infants represents an opportunity to provide client-centred care that
reduces the burden on clients and health systems while improving the quality and
uptake of services for families. However, with decreasing funding, stable HIV
incidence, and aspirations for sustainability, it is critical to consider
efficient, customized and cost-effective models of care for these populations as
we aspire to eliminate mother-to-child transmission of HIV.
PMID- 29356377
TI - Evidence of the sterility of allotetraploid Cobitis loaches (Teleostei,
Cobitidae) using testes ultrastructure.
AB - The diploid-polyploid populations of Cobitis distributed in Poland are usually
composed of the spined loach Cobitis taenia or, less often, the Danubian loach C.
elongatoides and their triploid (females) and tetraploid hybrids (females and
males). The aim of this study was to determine whether tetraploid males
participate in the reproduction process by analyzing their testis ultrastructure
and the process of spermatogenesis in comparison with diploid males of both
parental species. Tetraploid loaches were obtained from three different diploid
polyploid populations distributed in Poland. The structure of Cobitis testes are
typical for most Teleostei fish with cystic-type spermatogenesis. The successive
stages of developing germ cells are enclosed within cysts formed by the Sertoli
cells. This paper morphologically describes the different germ cell stages of
spermatogenesis (spermatogonia, spermatocytes, spermatids, and spermatozoa) of C.
taenia and C. elongatoides and provides a pioneering ultrastructural analysis of
tetraploid Cobitis testes which reveals their unusual structure for the first
time. Thus, cysts with normal spermatogonia and spermatocytes (pachyten or
leptoten stages) containing synaptonemal complexes were present and no spermatids
or spermatozoa were observed. Moreover, in contrast to previously analyzed
diploid species, single cells or all of the cells within the cysts displayed
chromatin condensation and/or chromatin fragmentation. The obtained results
clearly demonstrated that tetraploid males are sterile and diploids are fertile
and are the only sperm donors in the reproduction processes of diploid-polyploid
Cobitis populations.
PMID- 29356378
TI - Understanding Fundamentals and Reaction Mechanisms of Electrode Materials for Na
Ion Batteries.
AB - Development of efficient, affordable, and sustainable energy storage technologies
has become an area of interest due to the worsening environmental issues and
rising technological dependence on Li-ion batteries. Na-ion batteries (NIBs) have
been receiving intensive research efforts during the last few years. Owing to
their potentially low cost and relatively high energy density, NIBs are promising
energy storage devices, especially for stationary applications. A fundamental
understanding of electrode properties during electrochemical reactions is
important for the development of low cost, high-energy density, and long shelf
life NIBs. This Review aims to summarize and discuss reaction mechanisms of the
major types of NIB electrode materials reported. By appreciating how the material
works and the fundamental flaws it possesses, it is hoped that this Review will
assist readers in coming up with innovative solutions for designing better
materials for NIBs.
PMID- 29356379
TI - Metabolic plasticity in development: Synergistic responses to high temperature
and hypoxia in zebrafish, Danio rerio.
AB - This study investigated interactions of temperature and hypoxia on metabolic
plasticity and regulation in zebrafish, Danio rerio, in the first week of
development. Larval morphometry, oxygen consumption, and metabolic responses to
acute changes in temperature and oxygen were measured in larvae reared under four
conditions, including control (28 degrees C and partial pressures of oxygen [PO2]
of 21 kPa), high temperature (31 degrees C), hypoxia (11 kPa), and the two
stressors combined. Rearing conditions did not result in consistent morphometric
changes; substantial metabolic adjustments, however, were evident. While acute
temperature increase resulted in elevated oxygen consumption, with a Q10 of 2.2
+/- 0.08, early-staged larvae were able to compensate to chronic temperature rise
as routine metabolic rates did not differ between 28 degrees C and 31 degrees C
chronic treatments. In contrast, larval responses to chronic and acute hypoxia
were similar, with ~30% decrease in metabolic rates from normoxic values at both
temperatures. Further, prior exposure to chronic hypoxia in conjunction with
acute high temperature increased Q10 by a factor of 2.5 from 2.2 +/- 0.08 to 5.6
+/- 0.19. Metabolic suppression by acute hypoxia was independent of any prior
exposure conditions. In short, results from this study showed that zebrafish
larvae exhibited surprising temperature resilience and metabolic plasticity to a
3 degrees C temperature rise even in their first week of life. Yet exposure to a
second stressor (hypoxia) resulted in elevated sensitivity to temperature change
that may lead to bioenergetic imbalance due to synergetic effects of temperature
and hypoxia on metabolic rates.
PMID- 29356380
TI - Phenylboronic Acid Derivatives as Validated Leads Active in Clinical Strains
Overexpressing KPC-2: A Step against Bacterial Resistance.
AB - The emergence and dissemination of multidrug resistant (MDR) pathogens resistant
to nearly all available antibiotics poses a significant threat in clinical
therapy. Among them, Klebsiella pneumoniae clinical isolates overexpressing KPC-2
carbapenemase are the most worrisome, extending bacterial resistance to last
resort carbapenems. In this study, we investigate the molecular recognition
requirements in the KPC-2 active site by small phenylboronic acid derivatives.
Four new phenylboronic acid derivatives were designed and tested against KPC-2.
For the most active, despite their simple chemical structures, nanomolar affinity
was achieved. The new derivatives restored susceptibility to meropenem in
clinical strains overexpressing KPC-2. Moreover, no cytotoxicity was detected in
cell-viability assays, which further validated the designed leads. Two
crystallographic binary complexes of the best inhibitors binding KPC-2 were
obtained at high resolution. Kinetic descriptions of slow binding, time-dependent
inhibition, and interaction geometries in KPC-2 were fully investigated. This
study will ultimately lead toward the optimization and development of more
effective KPC-2 inhibitors.
PMID- 29356381
TI - Biomechanical analysis of decellularized dermis and skin: Initial in vivo
observations using optical cohesion tomography and vibrational analysis.
AB - Measurement of the mechanical properties of skin in vivo has been complicated by
the lack of methods that can accurately measure the viscoelastic properties
without assuming values of Poisson's ratio and tissue density. In this paper, we
present the results of preliminary studies comparing the mechanical properties of
skin and scar tissue measured using a technique involving optical cohesion
tomography (OCT) and vibrational analysis. This technique has been reported to
give values of the modulus that correlate with those obtained from tensile
measurements made on decellularized dermis (Shah et al., Skin Res Technol
2016;23:399-406; Shah et al., J Biomed Mater Res Part 2017;105:15-22). The high
correlation between moduli measured using vibrational studies and uniaxial
tensile tests suggest that the modulus can be determined by measuring the natural
frequency that occurs when a tissue is vibrated in tension. The results of
studies on glutaric anhydride treated decellularized dermis suggest that
vibrational analysis is a useful technique to look at changes in the properties
of skin that occur after cosmetic and surgical treatments are used. Preliminary
results suggest that the resonant frequency of scar tissue is much higher than
that of adjacent normal skin reflecting the higher collagen content of scar. OCT
in concert with vibrational analysis appears to be a useful tool to evaluate
processes that alter skin properties in animals and humans as well to study the
onset and pathogenesis of skin diseases such as cancer. This technique may be
useful to evaluate the extent of wound healing in skin diabetic ulcers and other
chronic skin conditions, scar tissue formation in response to implants, and other
therapeutic treatments that alter skin properties. (c) 2018 Wiley Periodicals,
Inc. J Biomed Mater Res Part A: 106A: 1421-1427, 2018.
PMID- 29356382
TI - Quenching of graphene quantum dots fluorescence by alkaline phosphatase activity
in the presence of hydroquinone diphosphate.
AB - In this work, a turn-off photoluminescent sensing proof-of-concept based on blue
luminescent graphene quantum dots (GQDs) as the fluorescent probe was developed.
For that purpose, GQDs optical response was related with the catalytic enzymatic
activity of alkaline phosphatase (ALP), in the presence of hydroquinone
diphosphate (HQDP). The hydrolysis of HQDP by ALP generated hydroquinone (HQ).
The oxidation of HQ, enzymatically produced, to p-benzoquinone (BQ) resulted in
the quenching of GQDs fluorescence (FL). Therefore, the developed luminescent
sensing mechanism allowed the FL quenching with ALP activity to be related and
thus quantified the concentration of ALP down to 0.5 nM of enzyme. This
innovative design principle appears as a promising tool for the development of
enzymatic sensors based on ALP labeling with fluorescent detection or even for
direct ALP luminescent quantification in an easy, fast and sensitive manner.
PMID- 29356383
TI - Does advanced lung inflammation index (ALI) have prognostic significance in
metastatic non-small cell lung cancer?
AB - BACKGROUND: Lung cancer is the most commonly diagnosed and death-related cancer
type and is more frequent in males. Non-small-cell lung cancer (NSCLC) accounts
for about 85% of all case. In this study, it was aimed to research the
relationship between advanced lung inflammation index (ALI) and the primary mass
maximum standardized uptake value (SUVmax) and C-reactive protein (CRP) at
initial diagnosis and the prognostic value of ALI in determining the survival in
metastatic NSCLC. METHODS: A total of 112 patients diagnosed as stage 4 non-small
lung cancer in our hospital between January 2006 and December 2013 were included
in this study. ALI was calculated as body mass index (BMI) * serum
albumin/neutrophil-to-lymphocyte ratio (NLR). The patients were divided into two
groups as ALI < 18 (high inflammation) and ALI >= 18 (low inflammation). The log
rank test and Cox proportional hazard model were used to identify predictors of
mortality. RESULTS: Evaluation was made of 94 male and 18 female patients with a
mean age of 59.7 +/- 9.9 years. A statistically significant negative relationship
was determined between ALI and CRP values (P < .001), but no relationship was
found between ALI and SUVmax values (P = .436). The median survival time in
patients with ALI < 18 was 12 months and, in those with ALI >= 18, it was 16
months (P = .095). CONCLUSION: ALI is an easily calculated indicator of
inflammation in lung cancer patients. Values <18 can be considered to predict a
poor prognosis.
PMID- 29356384
TI - Demographic and temporal variations in immunity and condition of polar bears
(Ursus maritimus) from the southern Beaufort Sea.
AB - Assessing the health and condition of animals in their natural environment can be
problematic. Many physiological metrics, including immunity, are highly
influenced by specific context and recent events to which researchers may be
unaware. Thus, using a multifaceted physiological approach and a context-specific
analysis encompassing multiple time scales can be highly informative.
Ecoimmunological tools in particular can provide important indications to the
health of animals in the wild. We collected blood and hair samples from free
ranging polar bears (Ursus maritimus) in the southern Beaufort Sea and examined
the influence of sex, age, and reproductive status on metrics of immunity,
stress, and body condition during 2013-2015. We examined metrics of innate
immunity (bactericidal ability and lysis) and stress (hair cortisol, reactive
oxygen species, and oxidative barrier), in relation to indices of body condition
considered to be short term (urea to creatinine ratio; UC ratio) and long term
(storage energy and body mass index). We found the factors of sex, age, and
reproductive status of the bear were critical for interpreting different
physiological metrics. Additionally, the metrics of body condition were important
predictors for stress indicators. Finally, many of these metrics differed between
years, illustrating the need to examine populations on a longer time scale. Taken
together, this study demonstrates the complex relationship between multiple
facets of physiology and how interpretation requires us to examine individuals
within a specific context.
PMID- 29356385
TI - 3D Electronic Channels Wrapped Large-Sized Na3 V2 (PO4 )3 as Flexible Electrode
for Sodium-Ion Batteries.
AB - The development of portable and wearable electronics has aroused the increasing
demand for flexible energy-storage devices, especially for the characteristics of
high energy density, excellent mechanical properties, simple synthesis process,
and low cost. However, the development of flexible electrodes for sodium-ion
batteries (SIBs) is still limited due to the intricate production methods and the
relatively high-cost of current collectors such as graphene/graphene oxide and
carbon nanotubes. Here, the hierarchical 3D electronic channels wrapped large
sized Na3 V2 (PO4 )3 is designed and fabricated by a simple electrospinning
technique. As flexible electrode material, it exhibits outstanding electrolyte
wettability, together with ultrafast electronic conductivity and high Na-ion
diffusion coefficients for SIBs, leading to superior electrochemical
performances. A high reversible specific capacity of 116 mA h g-1 (nearly 99% of
the theoretical specific capacities) can be obtained at the current density of
0.1 C. Even after a 300-fold current density increased (30 C), the discharge
specific capacity of the flexible electrode still remains 63 mA h g-1 . Such an
effective concept of fabricating 3D electronic channels for large-sized particles
is expected to accelerate the practical applications of flexible batteries at
various systems.
PMID- 29356386
TI - Significance of tumor-associated autoantibodies in the early diagnosis of lung
cancer.
AB - OBJECTIVES: Autoantibodies tumor-associated antigens (TAAs) could be a valuable
tool for the diagnosis or early detection of cancer due to their relatively high
specificity and stability. The purpose of this study is to detect the level of
tumor-associated autoantibodies in lung cancer and assess the diagnostic
potential of autoantibodies in screening strategy for early stage lung cancer.
MATERIALS AND METHODS: Levels of tumor-associated autoantibodies (AAbs) were
measured against a panel of seven TAAs (p53, PGP9.5, SOX2, GAGE7, GBU4-5, CAGE
and MAGEA1) in 397 patients with pulmonary lesions (305 with newly diagnosis of
NSCLC, 47 with SCLC and 45 with benign nodule) and 74 control persons without any
nodules in the lung after chest MDCT scan. The sensitivity, specificity for
patients and control persons, positive rate of the panel in different pathology,
stage, size of lesion, age and gender were compared and analyzed. RESULTS: The
AAbs panel could distinguish malignant lesions from benign lesions and control
people, with sensitivity of 56.53% and specificity of 91.60%. The specificity
could be further increased to 95.80%, when combined with CT. The AAbs also showed
high diagnostic value of malignant nodule, and it would be a new method for
judgment of malignant nodules that are less than 8 mm in diameter. No significant
differences were seen based on pathology, NSCLC stages, tumor size, age or
gender. CONCLUSION: This assay confirms the value of AAbs panel as a diagnostic
tool combined with CT scan.
PMID- 29356388
TI - Analysis of the moment arms and kinematics of ostrich (Struthio camelus) double
patellar sesamoids.
AB - The patella ("kneecap") is a biomechanically important feature of the tendinous
insertion of the knee extensor muscles, able to alter the moment arm lengths
between its input and output tendons, and so modify the mechanical advantage of
the knee extensor muscle. However, patellar gearing function is little-explored
outside of humans, and the patella is often simplified or ignored in
biomechanical models. Here, we investigate patellar gearing and kinematics in the
ostrich-frequently used as an animal analogue to human bipedal locomotion and
unusual in its possession of two patellae at the knee joint. We use x-ray
reconstruction of moving morphology (XROMM) techniques to capture the kinematics
of the patellae in an adult ostrich cadaver, passively manipulated in flexion
extension. Moment arm ratios between the input and output tendons of each patella
are calculated from kinematically determined centers of patellofemoral joint
rotation. Both patellae are found to decrease the mechanical advantage of the
extensor muscle-tendon complex, decreasing the tendon output force for a given
muscle input force, but potentially increasing the relative speed of knee
extension. Mechanically and kinematically, the proximal patella behaves similarly
to the single patella of most other species, whereas the distal patella has
properties of both a fixed retroarticular process and a moving sesamoid. It is
still not clear why ostriches possess two patellae, but we suggest that the
configuration in ostriches benefits their rapid locomotion and provides tendon
protection.
PMID- 29356387
TI - [(18-Crown-6)K][Fe(1)Cl(1)4 ]0.5 [Fe(2)Cl(2)4 ]0.5 : A Multifunctional Molecular
Switch of Dielectric, Conductivity and Magnetic Properties.
AB - Multifunctional materials that exhibit different physical properties in a single
phase have potential for use in multifunctional devices. Herein, we reported an
organic-inorganic hybrid compound [(18-crown-6)K][Fe(1)Cl(1)4 ]0.5 [Fe(2)Cl(2)4
]0.5 (1) by incorporating KCl and FeCl3 into a 18-crown-6 molecule, which acts as
a host of the six O atoms providing a lone pair of electrons to anchor the guest
potassium cation, and [FeCl4 ]- as a counterion for charge balance to construct a
complex salt. This salt exhibited a one-step reversible structural transformation
giving two separate high and low temperature phases at 373 K, which was confirmed
by systematic characterizations including differential scanning calorimetry (DSC)
measurements, variable-temperature structural analyses, and dielectric,
impedance, variable-temperature magnetic susceptibility measurements.
Interestingly, the structural transformation was coupled to both hysteretic
dielectric phase transition, conductivity switch and magnetic-phase transition at
373 K. This result gives an idea for designing a new type of phase-transition
materials harboring technologically important magnetic, conductivity and
dielectric properties.
PMID- 29356389
TI - Somatic MECOM mosaicism in a patient with congenital bone marrow failure without
a radial abnormality.
PMID- 29356390
TI - Adherence to antiretroviral therapy for HIV/AIDS in Latin America and the
Caribbean: Systematic review and meta-analysis.
AB - INTRODUCTION: Optimal adherence to antiretroviral therapy is closely related with
suppression of the HIV viral load in plasma, slowing disease progression and
decreasing HIV transmission rates. Despite its importance, the estimated
proportion of people living with HIV in Latin America and the Caribbean with
optimal adherence has not yet been reported in a meta-analysis. Moreover, little
is known of the factors leading to poor adherence which may be setting-specific.
We present a pooled estimate of adherence to antiretroviral therapy (ART) of
people living with HIV in Latin America and Caribbean, report the methods used to
measure adherence and describe the factors associated with poor adherence among
the selected studies. METHODS: We electronically searched published studies up to
July 2016 on the PubMed, Web of Science and Virtual Health Library (Latin America
and the Caribbean Regional Portal); considering the following databases: MEDLINE,
LILACS, PAHO and IBECS. Two independent reviewers selected and extracted data on
ART adherence and study characteristics. Pooled estimate of adherence was derived
using a random-effects model. Risk of bias in individual studies was assessed
independently by two investigators using the Risk of Bias Assessment tool for Non
randomized Studies (RoBANS). RESULTS AND DISCUSSION: The meta-analysis included
53 studies published between 2005 and 2016, which analysed 22,603 people living
with HIV in 25 Latin America and Caribbean countries. Overall adherence in Latin
America and Caribbean was 70% (95% CI: 63-76; I2 = 98%), similar to levels
identified by studies conducted in high-income regions. Self-report was the most
frequently used method to measure adherence. Subgroup analysis showed that
adherence was higher for the shortest recall time frame used, as well as in
countries with lower income level, Gross National Income (GNI) per capita and
Human Development Index (HDI). Studies reported diverse adherence barriers, such
as alcohol and substance misuse, depression, unemployment and pill burden.
CONCLUSIONS: Our study suggests that adherence to ART in Latin America and
Caribbean may be below the sufficient levels required for a successful long-term
viral load suppression.
PMID- 29356391
TI - A new HLA-C*05 allele, HLA-C*05:156, characterized by full-length hemizygous
sequencing.
AB - HLA-C*05:156 allele differs from C*05:01:01:02 by a nucleotide change in exon 2
at codon 9.
PMID- 29356392
TI - Transvenous dual-chamber pacemaker after paediatric heart transplantation using
left ventricle pacing through the coronary sinus.
AB - A 12-year-old child with end-stage heart failure due to restrictive
cardiomyopathy was submitted to orthotopic heart transplantation. Primary graft
dysfunction required venous arterial extra-corporeal membrane oxygenation. Heart
function normalized, but complete atrioventricular block remained after 3 weeks.
A dual-chamber pacing with transvenous left ventricle pacing through the coronary
sinus was performed. At 5-year follow-up, the patient is stable with the same
pacing system and with preserved ventricular function.
PMID- 29356393
TI - Improvement of diagnostic performance of pathologists by reducing the number of
pathologists responsible for thyroid fine needle aspiration cytology: An
institutional experience.
AB - BACKGROUND: Various efforts have been made to improve the diagnostic accuracy of
thyroid fine needle aspiration (FNA) cytology. We changed the diagnostic system
by reducing the number of pathologists responsible for the thyroid FNA cytology
in the routine work, and analyzed the effect on the pathologist's diagnostic
performance. METHODS: Since March 1, 2015, 3 pathologists have been responsible
for diagnostic thyroid FNA, previously undertaken by 9 equally. We compared the
prechange period (three months, 319 nodules) and the postchange period (12
months, 1194 nodules). RESULTS: The turnaround time was shortened from 1.4 +/-
0.7 days to 0.9 +/- 0.5 days (P < .001). The utilization rate of the Bethesda
system for Reporting Thyroid Cytopathology (TBSRTC) increased from 92.5% to
99.8%. Diagnostic rates of categories that are confirmative [II (benign) and VI
(malignant)] increased, while the inadequate (I) or indeterminate (III)
categories decreased. With category IV (follicular neoplasm), a challenging
category in our country, an elevated malignancy rate was found at follow-up.
Overall, the diagnostic performance was improved in terms of sensitivity (from
98.5% to 99.2%) and specificity (from 94.4% to 98.4%), with reduced false
negative rates (from 1.5% to 0.8%) and false-positive rates (from 2.9% to 1.1%).
The area under the receiver operating characteristic curve increased from 0.861
to 0.958. CONCLUSION: Reducing the number of pathologists responsible for
diagnostic thyroid FNA cytology resulted in a higher utilization rate of TBSRTC,
and more rapid and accurate diagnosis.
PMID- 29356394
TI - Strike kinematics and performance in juvenile ball pythons (Python regius).
AB - The rapid strike of snakes has interested researchers for decades. Although most
work has focused on the strike performance of vipers, recent work has shown that
other snakes outside of the Viperidae can strike with the same velocities and
accelerations. However, to date all of these examples focus on performance in
adult snakes. Here, we use high-speed video to measure the strike kinematics and
performance of 10 juvenile (<6 months of age) ball pythons, Python regius. We
find that juvenile P. regius strike at levels comparable to larger snakes, but
with shorter durations and over shorter distances. We conclude that the juvenile
P. regius maintain performance likely through manipulation of the axial
musculature and accompanying elastic tissues, and that this is a first step to
understanding ontogenetic changes in behavior and a potential avenue for
understanding how captivity may also impact behavior.
PMID- 29356396
TI - A multilocus association analysis method integrating phenotype and expression
data reveals multiple novel associations to flowering time variation in wild
collected Arabidopsis thaliana.
AB - The adaptation to a new habitat often results in a confounding between genomewide
genotype and beneficial alleles. When the confounding is strong, or the allelic
effects is weak, it is a major statistical challenge to detect the adaptive
polymorphisms. We describe a novel approach to dissect polygenic traits in
natural populations. First, candidate adaptive loci are identified by screening
for loci directly associated with the adaptive trait or the expression of genes
known to affect it. Then, a multilocus genetic architecture is inferred using a
backward elimination association analysis across all candidate loci with an
adaptive false discovery rate-based threshold. Effects of population
stratification are controlled by accounting for genomic kinship in both steps of
the analysis and also by simultaneously testing all candidate loci in the
multilocus model. We illustrate the method by exploring the polygenic basis of an
important adaptive trait, flowering time in Arabidopsis thaliana, using public
data from the 1,001 genomes project. We revealed associations between 33 (29)
loci and flowering time at 10 (16) degrees C in this collection of natural
accessions, where standard genomewide association analysis methods detected five
(3) loci. The 33 (29) loci explained approximately 55.1 (48.7)% of the total
phenotypic variance of the respective traits. Our work illustrates how the
genetic basis of highly polygenic adaptive traits in natural populations can be
explored in much greater detail using new multilocus mapping approaches taking
advantage of prior biological information, genome and transcriptome data.
PMID- 29356395
TI - Environmental calcium regulates gill remodeling in a euryhaline teleost fish.
AB - Some cyprindid and cyprinidontiform fishes undergo gill remodeling via the
proliferation or regression of an interlamellar cell mass (ILCM), resulting in
the modification of gill surface area in response to environmental hypoxia or ion
levels. We hypothesized that ion-related gill remodeling is regulated by water
hardness through the interactions of Ca2+ with tight junctions, predicting that
gills will exhibit a lower ILCM and more surface area in a high Ca2+ environment
than in a low Ca2+ environment. To test this hypothesis, we acclimated euryhaline
mangrove rivulus (Kryptolebias marmoratus) to natural hard water ([Ca2+] = 2.77
mmol/L), low Ca2+ ([Ca2+] = 0.13 mmol/L) freshwater, or high Ca2+ water (5.88
mmol/L). Fish exposed to hard water had a significantly lower ILCM height than
fish exposed to low Ca2+ water. The addition of Ca2+ to low Ca2+ water restored
gill surface area. Plasma Ca2+ activity was not significantly different between
groups. This study provides support for an influence of external Ca2+ on gill
remodeling and represents the first evidence of an ionic trigger (Ca2+) for gill
remodeling in teleost fishes.
PMID- 29356397
TI - Ingestive mastication in horses resembles rumination but not ingestive
mastication in cattle and camels.
AB - Horses achieve a higher degree of particle size reduction through ingestive
mastication than functional ruminants. We characterized mastication using chew
monitoring halters (RumiWatch) in six domestic horses, cattle, and Bactrian
camels each. All animals were offered grass hay of the same batch for 15 min. In
cattle and camels, measurements were continued after eating until rumination was
observed. Except for one horse, 96% of the horses' ingestive mastication data
were identified as "rumination" by the proprietary RumiWatch algorithm, whereas
ingestion and rumination by cattle and camels were mostly classified correctly.
There were no systematic differences between cattle and camels. In cattle and
camels, ingestive mastication was less regular than rumination, indicated by
significantly higher standard deviations of chewing peak intervals, peak heights,
and peak breadths in intraindividual comparisons. The average standard deviations
of these measures were lower in horses than in cattle and camel ingestive
mastication, indicating a more consistent chewing pattern in horses. Horse values
were similar to those of rumination mastication, suggesting equally regular
chewing motions. Regular, rhythmic chewing represents a common feature of horses
and functional ruminants, but the less uniform ingestive mastication in
functional ruminants represents a deviating pattern, the adaptive value of which
remains unclear. In particular, it does not appear to promote a higher ingestion
rate. A potential cause may be the avoidance of high tooth wear rates by delaying
a more regular, systematic mastication until ingesta has been softened and the
grit has been washed off in the forestomach.
PMID- 29356398
TI - Detection of peroxyl radicals from polluted air by free radical reaction combined
with liquid chromatography signal amplification technique.
AB - Free radicals play an important role in the oxidizing power of polluted air, the
development of aging-related diseases, the formation of ozone, and the production
of secondary particulate matter. The high variability of peroxyl radical
concentration has prevented the detection of possible trends or distributions in
the concentration of free radicals. We present a new method, free radical
reaction combined with liquid chromatography photodiode array detection, for
identifying and quantifying peroxyl radicals in polluted air. Functionalized
graphene was used for loading peroxyl radicals and reactive molecules in air
sampling system, which can facilitate reaction kinetics (charge transfers)
between peroxyl radicals and reaction molecules. Separation was performed with
and without a preliminary exposure of the polluted air sample to reactive
molecule(s) system. The integral chromatographic peak areas before and after air
sampling are used to quantify the atmospheric peroxyl radicals in polluted air.
The utility of the new technique was tested with measurements carried out in the
field.
PMID- 29356399
TI - SALL4 - KHDRBS3 network enhances stemness by modulating CD44 splicing in basal
like breast cancer.
AB - Understanding the mechanism by which cancer cells enhance stemness facilitates
cancer therapies. Here, we revealed that a stem cell transcription factor, SALL4,
functions to enhance stemness in basal-like breast cancer cells. We used shRNA
mediated knockdown and gene overexpression systems to analyze gene functions. To
evaluate stemness, we performed a sphere formation assay. In SALL4 knockdown
cells, the sphere formation ability was reduced, indicating that SALL4 enhances
stemness. CD44 is a membrane protein and is known as a stemness factor in cancer.
CD44 splicing variants are involved in cancer stemness. We discovered that SALL4
modulates CD44 alternative splicing through the upregulation of KHDRBS3, a
splicing factor for CD44. We cloned the KHDRBS3-regulated CD44 splicing isoform
(CD44v), which lacks exons 8 and 9. CD44v overexpression prevented a reduction in
the sphere formation ability by KHDRBS3 knockdown, indicating that CD44v is
positively involved in cancer stemness. In addition, CD44v enhanced anoikis
resistance under the control of the SALL4 - KHDRBS3 network. Basal-like breast
cancer is an aggressive subtype among breast cancers, and there is no effective
therapy so far. Our findings provide molecular targets for basal-like breast
cancer therapy. In the future, this study may contribute to the establishment of
drugs targeting cancer stemness.
PMID- 29356400
TI - DNA Nanostructure-Based Systems for Intelligent Delivery of Therapeutic
Oligonucleotides.
AB - In the beginning of the 21st century, therapeutic oligonucleotides have shown
great potential for the treatment of many life-threatening diseases. However,
effective delivery of therapeutic oligonucleotides to the targeted location in
vivo remains a major issue. As an emerging field, DNA nanotechnology is applied
in many aspects including bioimaging, biosensing, and drug delivery. With
sequence programming and optimization, a series of DNA nanostructures can be
precisely engineered with defined size, shape, surface chemistry, and function.
Simply with hybridization, therapeutic oligonucleotides including unmethylated
cytosine-phosphate-guanine dinucleotide oligos, small interfering RNA (siRNA) or
antisense RNA, single guide RNA of the regularly interspaced short palindromic
repeat-Cas9 system, and aptamers, are successfully loaded on DNA nanostructures
for delivery. In this progress report, the development history of DNA
nanotechnology is first introduced, and then the mechanisms and means for
cellular uptake of DNA nanostructures are discussed. Next, current approaches to
deliver therapeutic oligonucleotides with DNA nanovehicles are summarized. In the
end, the challenges and opportunities for DNA nanostructure-based systems for the
delivery of therapeutic oligonucleotides are discussed.
PMID- 29356401
TI - A genotype-phenotype association approach to reveal thermal adaptation in Daphnia
galeata.
AB - Altering thermal environments impose strong selection pressures on organisms,
whose local persistence depends on adaptive phenotypic plastic and genetic
responses. Thus far, adaptive change is monitored using phenotypic shifts or
molecular markers, although inevitable obstacles are inherent in both methods. In
order to circumvent these, it is necessary to find a causal link between adaptive
alleles and fitness. Combining both approaches by linking genetic analyses and
life-history measurements, a potential genotype-phenotype relationship can be
assessed and adaptation at the molecular level demonstrated. For our study,
clonal lineages of the freshwater keystone species D. galeata from seven
different populations distributed along a latitudinal gradient across Europe were
tested for local thermal adaptation in common garden experiments. Fitness-related
life-history responses were quantified under different thermal regimes and
experimental clones were genotyped at three candidate gene marker loci to
investigate a potential genotype-phenotype association. The analyses of the life
history data showed a significant temperature effect on several fitness-related
life-history traits recorded in our experiments. However, we could not detect
evidence for a direct association at neither candidate gene locus between
genotypes and life-history traits. The observed phenotypic shifts might therefore
not be based on the tested marker loci EA, M and TF, or in general not coding
sequence-based and thus rather reveal phenotypic plasticity in response to
thermal variation. Nonetheless, we revealed significant genotype by environment
(GxE) interactions at all tested loci, potentially reflecting a contribution of
marker loci to certain life-history trait values and contribution of multiple
genetic loci to phenotypic traits.
PMID- 29356402
TI - Captivity effects on immune response and steroid plasma levels of a Brazilian
toad (Rhinella schneideri).
AB - Stressors can increase plasma glucocorticoid (GC) levels and decrease plasma
androgen levels in different species of vertebrates. GCs can have immune
enhancing or immunosuppressive effects, which are dependent upon stress duration
and intensity. The worldwide decline in amphibian populations is strongly linked
to an array of different stressors. The impacts of stress on GCs, androgens, and
the immune response are important to clarify and should lead to the better
development of conservation strategies. The present study in adult male toads of
Rhinella schneideri investigated the effects of captivity (7, 30, and 60 days) on
plasma corticosterone (CORT) and plasma testosterone (T), as well as innate
immune responses, specifically humoral and cell mediated responses, as indicated
by bacterial killing ability (BKA) and phagocytosis by peritoneal cells,
respectively. Captivity increased CORT threefold and decreased T versus controls.
CORT maintained a threefold elevation throughout the captivity period, while body
mass and T gradually decreased with time in captivity. BKA was lower at day 30,
versus days 7 and 60, while peritoneal cell phagocytic efficiency decreased after
day 30, remaining low at day 60. Moreover, phagocytosis efficiency was positively
associated with T and body condition, suggesting that the effects of chronic
stress on reproductive potential and immune response might be associated with the
state of energetic reserves.
PMID- 29356403
TI - Do sex reversal procedures differentially affect agonistic behaviors and sex
steroid levels depending on the sexual genotype in Nile tilapia?
AB - In Nile tilapia Oreochromis niloticus, phenotypic males and females with
different sexual genotypes (XX, XY, YY) have particular behavioral and
physiological traits. Compared to natural XX females and XY males, XY and YY
females and XX males expressed higher level of aggressiveness that could be
related to higher levels of 17beta-estradiol and 11-ketotestosterone,
respectively. Our results suggest that the presence of a Y chromosome increases
aggressiveness in females. However, since the same relationship between
aggressiveness and the Y chromosome is not observed in males, we can hypothesize
that the differences in aggressiveness are not directly dependent on the genotype
but on the sex reversal procedures applied on young fry during their sexual
differentiation to produce these breeders. These hormonal treatments could have
permanently modified the development of the brain and consequently influenced the
behavior of adults independently of their genotype. In both hypotheses (genotype
or sex reversal influence), the causes of behavioral modifications have to be
searched in an early modification of the brain sexual differentiation.
PMID- 29356405
TI - Winter is coming: Diapause in the subtropical swallowtail butterfly Euryades
corethrus (Lepidoptera, Papilionidae) is triggered by the shortening of day
length and reinforced by low temperatures.
AB - Diapause is modulated by genetic responses to some environmental cues. The most
common stimulus to trigger diapause is photoperiod, but temperature and humidity
can also be important. Subtropical grasslands insects are overexposed to
seasonality and can use diapause as strategy to overcome harsh conditions,
avoiding freezing winter temperatures and drought summer conditions. Here, we
investigate if photoperiod, temperature, and humidity can induce and terminate
dormancy using the model Euryades corethrus, a butterfly from Pampa that diapause
as pupae. We hypothesize that photoperiod, temperature, and humidity can induce
dormancy; to test the hypothesis, individuals from a stock population were
subjected to experiments controlling these three factors. Photoperiod and
temperature interactions were also tested. To evaluate if the removal of the
harsh factor that induced diapause trigger diapause termination, 50% of dormant
pupae in each experiment were exposed to amenable conditions. The results
indicated that diapause is mainly induced by short photophases, while temperature
and humidity separately do not increase dormancy frequency. Short photoperiods
and low temperatures interact with each other, increasing dormancy in
experimental populations. The evidences suggest that diapause is trigger by short
day lengths and boosted by low temperatures as winter approaches. The incidence
of obligatory summer diapause was not supported, but the occurrence of dormant
pupae in high-temperature treatments suggests that high temperatures produce
facultative diapause. Regarding diapause termination, the softening of harsh
conditions that induced diapause was not sufficient to reverse the dormancy
state, suggesting that diapause termination is more complex than previously
thought, probably involving internal clocks.
PMID- 29356404
TI - Utility of perioperative skin perfusion pressure measurement for treatment of
ulcers caused by arteriovenous access ischaemic steal.
AB - Arteriovenous access ischaemic steal is a serious complication following
arteriovenous fistula (AVF) construction. The aim of treatment is to improve
distal circulation without impairing the function of the fistula. Therefore, any
repair should be performed with intraoperative monitoring. We report 2 cases of
this disorder treated using perioperative measurement of skin perfusion pressure
(SPP) for preoperative surgical planning and intraoperative guidance. A 73-year
old woman with a left cubital AVF developed gangrene of the tip of the left
little finger. Arteriovenous access ischaemic steal was suspected. The SPP of the
little finger was 18 mm Hg, which increased to 65 mm Hg after manual occlusion of
the fistula. A 58-year-old woman with a left antebrachial AVF had gangrene of the
tip of the left middle finger. The SPP was 19 mm Hg, and steal syndrome was
suspected based on angiography and the SPP with manual occlusion of the fistula.
In both cases, serial plication of the fistula was performed based on
intraoperative perfusion pressure monitoring, leading to the successful
resolution of the ischaemic steal syndrome. In both cases, haemodialysis could be
continued through the repaired fistula.
PMID- 29356406
TI - Origin of sex chromosomes in six groups of Rana rugosa frogs inferred from a sex
linked DNA marker.
AB - Each vertebrate species, as a general rule, has either the XX/XY or ZZ/ZW
chromosomes by which sex is determined. However, the Japanese Rana (R.) rugosa
frog is an exception, possessing both sex-determining combinations within one
species, varying with region of origin. We collected R. rugosa frogs from 104
sites around Japan and South Korea and determined the nucleotide sequences of the
mitochondrial 12S ribosomal RNA gene. Based on the sequences, R. rugosa frogs
were divided into four groups from Japan and one from South Korea. The ZZ/ZW type
is reportedly derived from the XX/XY type, although recently a new ZZ/ZW type of
R. rugosa was reported. However, it still remains unclear from where the sex
chromosomes in the five groups of this species were derived. In this study, we
successfully isolated a sex-linked DNA maker and used it to classify R. rugosa
frogs into several groupings. From the DNA marker as well as from nucleotide
analysis of the promoter region of the androgen receptor (AR) gene, we identified
another female heterogametic group, designated, West-Central. The sex chromosomes
in the West-Central originated from the West and Central groups. The results
indicate that a sex-linked DNA marker is a verifiable tool to determine the
origin of the sex chromosomes in R. rugosa frogs in which the sex-determining
system has changed, during two independent events, from the male to female
heterogamety.
PMID- 29356407
TI - Condition dependence of shared traits differs between sympatric Anolis lizards.
AB - In many species, sexually selected combat and display traits can confer dramatic
fitness benefits to males by aiding in mate acquisition, so individuals maximally
invest energy into their growth and maintenance. Such traits are deemed condition
dependent, as the energy that is available for investment depends on the health
and condition of the individual. Condition dependence is present in a wide range
of traits across many taxa, but the extent to which condition dependence varies
among shared traits in closely related species is poorly understood. We tested
for condition dependence in two Anolis lizards, the ground anole (Anolis humilis)
and the slender anole (Anolis limifrons). Specifically, we measured dewlap area,
jaw length, jaw width, and sprint speed, and then regressed one of two indices of
body condition on each of these traits for both species. We found that dewlap
area and jaw width exhibited condition dependence in ground anoles, but not in
slender anoles. Sprint speed and jaw length were not condition-dependent in
either species. The presence of condition dependence in ground anoles, but not
slender anoles, implies evolutionary liability in the condition dependence of
shared traits. Additionally, the fact that condition dependence was only detected
in ground anoles, which have a greater relative dewlap size, suggests a potential
role for signal evolution or strength of sexual selection in the evolution of
condition dependence. Finally, our research suggests that variation in condition
dependence of sexually selected traits could have implications for the evolution
of dewlap diversity among Anolis species.
PMID- 29356408
TI - Emerging Novel Metal Electrodes for Photovoltaic Applications.
AB - Emerging novel metal electrodes not only serve as the collector of free charge
carriers, but also function as light trapping designs in photovoltaics. As a
potential alternative to commercial indium tin oxide, transparent electrodes
composed of metal nanowire, metal mesh, and ultrathin metal film are intensively
investigated and developed for achieving high optical transmittance and
electrical conductivity. Moreover, light trapping designs via patterning of the
back thick metal electrode into different nanostructures, which can deliver a
considerable efficiency improvement of photovoltaic devices, contribute by the
plasmon-enhanced light-mattering interactions. Therefore, here the recent works
of metal-based transparent electrodes and patterned back electrodes in
photovoltaics are reviewed, which may push the future development of this
exciting field.
PMID- 29356409
TI - Scalable Synthesis of Triple-Core-Shell Nanostructures of TiO2 @MnO2 @C for High
Performance Supercapacitors Using Structure-Guided Combustion Waves.
AB - Core-shell nanostructures of metal oxides and carbon-based materials have emerged
as outstanding electrode materials for supercapacitors and batteries. However,
their synthesis requires complex procedures that incur high costs and long
processing times. Herein, a new route is proposed for synthesizing triple-core
shell nanoparticles of TiO2 @MnO2 @C using structure-guided combustion waves
(SGCWs), which originate from incomplete combustion inside chemical-fuel-wrapped
nanostructures, and their application in supercapacitor electrodes. SGCWs
transform TiO2 to TiO2 @C and TiO2 @MnO2 to TiO2 @MnO2 @C via the incompletely
combusted carbonaceous fuels under an open-air atmosphere, in seconds. The
synthesized carbon layers act as templates for MnO2 shells in TiO2 @C and organic
shells of TiO2 @MnO2 @C. The TiO2 @MnO2 @C-based electrodes exhibit a greater
specific capacitance (488 F g-1 at 5 mV s-1 ) and capacitance retention (97.4%
after 10 000 cycles at 1.0 V s-1 ), while the absence of MnO2 and carbon shells
reveals a severe degradation in the specific capacitance and capacitance
retention. Because the core-TiO2 nanoparticles and carbon shell prevent the
deformation of the inner and outer sides of the MnO2 shell, the nanostructures of
the TiO2 @MnO2 @C are preserved despite the long-term cycling, giving the
superior performance. This SGCW-driven fabrication enables the scalable synthesis
of multiple-core-shell structures applicable to diverse electrochemical
applications.
PMID- 29356410
TI - Neonatal swallowing assessment using fiberoptic endoscopic evaluation of
swallowing (FEES).
AB - OBJECTIVES: Swallowing disorders which lead to aspiration are common in premature
infants with a postmenstrual age (PMA) of >36 weeks. Aspiration is often silent
and the unique symptom is desaturation during feeding. The aim of this study was
1) to determine the number of prematures with desaturations during feeding due to
aspiration, using Fiberoptic Endoscopic Evaluation of Swallowing (FEES); 2) to
relate clinical factors and FEES findings to aspiration; and 3) to describe type
and efficacy of suggested treatments. METHODS: A retrospective review of 62 ex
premature babies with a median PMA of 40 weeks which underwent FEES due to
persistent feeding desaturations. Aspiration was related to other FEES findings
and to clinical and demographic data. The efficacy of the treatment was evaluated
during the FEES and by comparing recorded desaturations during feeding 7 days
before and after FEES. RESULTS: A total of 44 (71%) infants were diagnosed with
aspiration and/or penetration. No relation was found to demographic or clinical
data. The accumulation of saliva and residues post-swallowing were related to
aspiration (P < 0.01). In 77.3% of the infants, use of a thickener seemed to
reduce aspiration during FEES and was suggested as a treatment. 13.6% of infants
received anti-reflux treatment after FEES and 9.1% required gastrostomy.
CONCLUSIONS: Aspiration is very frequent in premature infants who present
desaturations during feeding and FEES is a useful method for diagnosing and
suggesting treatments.
PMID- 29356411
TI - Silicon-Based Anodes for Lithium-Ion Batteries: From Fundamentals to Practical
Applications.
AB - Silicon has been intensively studied as an anode material for lithium-ion
batteries (LIB) because of its exceptionally high specific capacity. However,
silicon-based anode materials usually suffer from large volume change during the
charge and discharge process, leading to subsequent pulverization of silicon,
loss of electric contact, and continuous side reactions. These transformations
cause poor cycle life and hinder the wide commercialization of silicon for LIBs.
The lithiation and delithiation behaviors, and the interphase reaction
mechanisms, are progressively studied and understood. Various nanostructured
silicon anodes are reported to exhibit both superior specific capacity and cycle
life compared to commercial carbon-based anodes. However, some practical issues
with nanostructured silicon cannot be ignored, and must be addressed if it is to
be widely used in commercial LIBs. This Review outlines major impactful work on
silicon-based anodes, and the most recent research directions in this field,
specifically, the engineering of silicon architectures, the construction of
silicon-based composites, and other performance-enhancement studies including
electrolytes and binders. The burgeoning research efforts in the development of
practical silicon electrodes, and full-cell silicon-based LIBs are specially
stressed, which are key to the successful commercialization of silicon anodes,
and large-scale deployment of next-generation high energy density LIBs.
PMID- 29356412
TI - DNA Nanotubes with Hydrophobic Environments: Toward New Platforms for Guest
Encapsulation and Cellular Delivery.
AB - Natural systems combine different supramolecular interactions in a hierarchical
manner to build structures. In contrast, DNA nanotechnology relies almost
exclusively on DNA base pairing for structure generation. Introducing other
supramolecular interactions can expand the structural and functional range of DNA
assemblies, but this requires an understanding of the interplay between these
interactions. Here, an economic strategy to build DNA nanotubes functionalized
with lipid-like polymers is reported. When these polymers are linked to the
nanotube using a spacer, they fold inside to create a hydrophobic environment
within the nanotube; the nanotube can encapsulate small molecules and
conditionally release them when specific DNA strands are added, as monitored by
single-molecule fluorescence microscopy. When the polymers are directly linked to
the nanostructure without spacers, they interact intermolecularly to form a
network of DNA bundles. This morphological switch can be directly observed using
a strand displacement strategy. The two association modes result in different
cellular uptake behavior. Nanotubes with internal hydrophobic association show
dye-mediated mitochondrial colocalization inside cells; while the bundles
disassemble into smaller polymer-coated structures that reduce the extent of
nonspecific cellular uptake. This approach uncovers parameters to direct the
hierarchical assembly of DNA nanostructures, and produces promising materials for
targeted drug delivery.
PMID- 29356413
TI - Noble Metal-Free Nanocatalysts with Vacancies for Electrochemical Water
Splitting.
AB - The fast development of nanoscience and nanotechnology has significantly advanced
the fabrication of nanocatalysts and the in-depth study of the structural
activity characteristics of materials at the atomic level. Vacancies, as typical
atomic defects or imperfections that widely exist in solid materials, are
demonstrated to effectively modulate the physicochemical, electronic, and
catalytic properties of nanomaterials, which is a key concept and hot research
topic in nanochemistry and nanocatalysis. The recent experimental and theoretical
progresses achieved in the preparation and application of vacancy-rich
nanocatalysts for electrochemical water splitting are explored. Engineering of
vacancies has shown to open up a new avenue beyond the traditional morphology,
size, and composition modifications for the development of nonprecious
electrocatalysts toward efficient energy conversion. First, an introduction
followed by discussions of different types of vacancies, the approaches to create
vacancies, and the advanced techniques widely used to characterize these
vacancies are presented. Importantly, the correlations between the vacancies and
activities of the vacancy-rich electrocatalysts via tuning the electronic states,
active sites, and kinetic energy barriers are reviewed. Finally, perspectives on
the existing challenges along with some opportunities for the further development
of vacancy-rich noble metal-free electrocatalysts with high performance are
discussed.
PMID- 29356414
TI - Bidirectional influences of caregiver utterances and supported joint engagement
in children with and without autism spectrum disorder.
AB - : This study examined sequential associations between pairs of caregiver talk and
caregiver-child joint engagement categories. Sequential associations quantify the
extent to which one event (such as a particular type of caregiver talk) follows
another event (such as a particular type of joint engagement) in a pre-specified
time window, while controlling for the chance occurrence of the sequence.
Although unable to support strong conclusions about causality, the requirement of
sequential analysis that key events occur within a close temporal sequence rules
out alternative explanation for associations that summary-level correlations
cannot. We applied sequential analysis to observational data on 98 caregiver
child dyads, fifty of which included a child with autism spectrum disorder (ASD).
Groups were matched on mental age, and all were just beginning to develop spoken
vocabulary. Sequential associations between supported joint engagement and
caregiver follow-in utterances were stronger in ASD dyads as compared to dyads
with typically developing children. Further, sequential associations between
utterances related to the child's focus of attention followed by higher order
supported joint engagement (HSJE) were stronger than between utterances that
related to the caregiver's focus of attention and HSJE, across both groups.
Finally, sequential associations between follow-in directives followed by HSJE
were stronger than between follow-in comments followed by HSJE, again across both
groups of children. Autism Res 2018, 11: 755-765. (c) 2018 International Society
for Autism Research, Wiley Periodicals, Inc. LAY SUMMARY: Our findings suggest
that caregivers of children with autism spectrum disorder (ASD) may be
particularly adept at timing their talk to follow moments of high-level joint
engagement, and that follow-in directives are particularly facilitative of high
level joint engagement. Future intervention work can capitalize on these findings
to support high level caregiver-child engagement around toys, which may promote
development in children with ASD.
PMID- 29356415
TI - Clinical and imaging spectrum of tuberculosis-associated fibrosing mediastinitis.
AB - PURPOSE: Fibrosing mediastinitis (FM) is a rare disorder characterized by an
excessive fibrotic reaction within the mediastinum, which can result in
compression of mediastinal structures. To investigate the clinical and imaging
characteristics of TB-associated FM, patients with evidence of TB infection were
retrospectively evaluated in this study. MATERIALS AND METHODS: A total of 33
patients with clinically diagnosed FM and evidence of TB infection were selected
from the database of two institutional medical centers. Medical records were
reviewed to evaluate the clinical disease spectrum, responses to therapy and
imaging characteristics. RESULTS: TB-associated FM showed distinctive
infiltrative pattern on chest CT, such as bilateral involvement and hilar
predominance. The majority of patients presented with nonspecific respiratory
symptoms due to the compression of mediastinal broncho-vascular structures.
Echocardiography discovered pulmonary hypertension to various degrees in 60% of
patients. Although only a part of patients responded to medical therapy, they
seldom showed deterioration during the follow-up. CONCLUSIONS: TB-associated FM
shows some specific imaging characteristics, reflecting immune-mediated fibrotic
reaction secondary to TB infection. The insidious progression to mediastinal
fibrosis after TB infection poses a challenge to the early diagnosis and results
in subsequent respiratory dysfunction and pulmonary hypertension.
PMID- 29356416
TI - A neuropathological study of novel RTTN gene mutations causing a familial
microcephaly with simplified gyral pattern.
AB - BACKGROUND: The RTTN gene encodes Rotatin, a large centrosomal protein involved
in ciliary functions. RTTN mutations have been reported in seven families and are
associated with two phenotypes: polymicrogyria associated with seizures and
primary microcephaly associated with primordial dwarfism. CASE: A targeted exome
sequencing of morbid genes causing cerebral malformations identified novel RTTN
compound heterozygous mutations in a family where three pregnancies were
terminated because a severe fetal microcephaly was diagnosed. An autopsy
performed on the second sib showed moderate growth restriction and a microcephaly
with simplified gyral pattern. The histopathological study discovered a malformed
cortical plate. CONCLUSIONS: The present study confirms the involvement of RTTN
gene mutations in microcephaly with simplified gyral pattern and describes the
observed abnormal neuropathological findings.
PMID- 29356417
TI - Fostering Spontaneous Visual Attention in Children on the Autism Spectrum: A
Proof-of-Concept Study Comparing Singing and Speech.
AB - : Children on the autism spectrum are reported to have lower rates of social gaze
as early as toddlerhood, and this pattern persists across the lifespan. Finding
ways to promote more natural and spontaneous engagement in social interactions
may help to boost developmental opportunities in the child's home and community
settings. This proof-of-concept study hypothesized that a video of a singer would
elicit more attention to the performer, particularly to her face, than a video of
her reading a story, and that the child's familiarity with the material would
enhance attention. Sixteen children on the autism spectrum (7-10 years old)
watched 4 videos 1 min long comprising a favorite song or story, and an
unfamiliar song and story. Eye movements were recorded, and three-way repeated
measures ANOVAs examined the proportion of total valid visual dwell time and
fixations, in each trial and each target area. For proportion of both dwell time
and fixation counts, children were significantly more likely to look at the
performer's face and body and less at the prop during singing than story-telling
and when familiar rather than unfamiliar material was presented. These findings
raise important issues for supporting children to naturally initiate looking
toward a person's face. Autism Res 2018, 11: 732-737. (c) 2018 International
Society for Autism Research, Wiley Periodicals, Inc. LAY SUMMARY: Children on the
autism spectrum may have difficulty looking at people, particularly their faces.
In this study, children watched videos of someone singing or reading a story. The
results show that children look more at the person if they were singing and if
the story was familiar to them. Using songs and familiar stories may be a way to
help children with autism to naturally engage with others.
PMID- 29356418
TI - Electrolytes, SEI Formation, and Binders: A Review of Nonelectrode Factors for
Sodium-Ion Battery Anodes.
AB - Through intense effort in recent years, knowledge of Na-ion batteries has been
advanced significantly, pertaining to electrodes. Often, such progress has been
accompanied by using a convenient choice of electrolyte or binder. Nevertheless,
it has been witnessed that "external" factors to electrodes, such as
electrolytes, solid electrolyte interphase, and binders, affect the functions of
electrodes profoundly. And generally, certain types of electrodes favor some
electrolytes or binders. With a rapidly increasing number of publications in the
area, trends in terms of electrolytes and binders are possibly exploitable.
Unfortunately, the field has yet to see a review article that devotes itself to
these nonelectrode aspects of Na-ion batteries. Here, the gap is filled by
conducting a comprehensive review of these nonelectrode external factors,
especially by looking into their correlation with electrochemical properties,
such as cycle life, and first cycle coulombic efficiency. Not only are the
representative reports reviewed, but also quantitative analyses on the database
that are constructed are provided. With such analyses, some new data-driven
perspectives are postulated, which are of great value to the community.
PMID- 29356419
TI - Photoacoustic-Enabled Self-Guidance in Magnetic-Hyperthermia Fe@Fe3 O4
Nanoparticles for Theranostics In Vivo.
AB - Magnetic nanoparticles have gained much interest for theranostics benefited from
their intrinsic integration of imaging and therapeutic abilities. Herein,
c(RGDyK) peptide PEGylated Fe@Fe3 O4 nanoparticles (RGD-PEG-MNPs) are developed
for photoacoustic (PA)-enabled self-guidance in tumor-targeting magnetic
hyperthermia therapy in vivo. In the alphav beta3 -positive U87MG glioblastoma
xenograft model, the PA signal of RGD-PEG-MNPs reaches its maximum in the tumor
at 6 h after intravenous administration. This signal is enhanced by 2.2-folds
compared to that of the preinjection and is also 2.2 times higher than that in
the blocking group. It demonstrates the excellent targeting property of RGD-PEG
MNPs. With the guidance of the PA, an effective magnetic hyperthermia to tumor is
achieved using RGD-PEG-MNPs.
PMID- 29356420
TI - Copy number variation of a protease gene of Daphnia: Its role in population
tolerance.
AB - Copy number variation (CNV) of genes coding for certain enzymes has been shown to
be responsible for adaptation of arthropods to anthropogenic toxins. Natural
toxins produced by cyanobacteria in freshwater ecosystems, that is, protease
inhibitors (PIs), have been demonstrated to increase in frequency over the last
decades due to eutrophication and global warming. These PIs inhibit digestive
proteases of Daphnia, the major herbivore of phytoplankton and cyanobacteria. The
adjustment of isoforms, differences in gene expression, and activity of gut
proteases determine tolerance to dietary PIs in single Daphnia genotypes. Here,
we tested whether similar mechanisms are also responsible for differences in
tolerance among Daphnia population. We developed a droplet digital PCR (ddPCR)
method for the analysis of CNV of Daphnia proteases. We report that one Daphnia
protease gene showed CNV between populations and that CNV correlates with
chymotrypsin gene expression among populations. We showed that populations of
Daphnia magna differ in tolerance to cyanobacterial PIs according to the
cyanobacterial background of their lake of origin, which hints at local
adaptation. The tolerance of the populations correlates with IC50 values of their
chymotrypsins, which is probably due to a combined effect of CNV (translating
into gene expression differences) and positive selection of tolerant protease
isoforms. This is the first study using ddPCR to demonstrate CNV of a gene with
ecologically relevant function, and the first report of differences in tolerance
to cyanobacterial PIs among Daphnia populations in combination with the
assessment of underlying molecular mechanisms.
PMID- 29356421
TI - Repeated immune challenges affect testosterone but not sperm quality.
AB - Mounting an immunological response is energetically demanding and necessarily
redirects allocation of resources toward immune system activation and away from
other energetically expensive processes, such as reproduction. Lipopolysaccharide
(LPS), a major component of the outer membrane of the cell wall of Gram-negative
bacteria Escherichia coli, mimics a bacterial infection without producing the
cost of replicating the pathogen and is one of the most commonly used agents to
induce an acute phase immune response. Here, we ask if a trade-off can be induced
between activation of the acute phase immune response and sperm function, a key
indicator of sperm competitive ability. Further, we ask whether repeated exposure
to this endotoxin in a social species such as the house sparrow (Passer
domesticus), where repeated pathogen exposure may be common, may have a more
pronounced effect. To address our questions, we exposed individuals to two rounds
of LPS treatment or control, to mimic a repeated pathogen exposure in the wild.
We predicted that repeated pathogen exposure would have detrimental effects on
sperm quality, and therefore, reproductive success. We compared a measure of
sperm quality (straight-line velocity) in captive male house sparrows between LPS
treated and control individuals. We found that although LPS treatment impaired
circulating testosterone and induced a hypothermic state when compared with
controls, it did not affect sperm quality within days or weeks following a single
or repeated LPS exposure.
PMID- 29356422
TI - The effects of potassium and muscle homogenate on proprioceptive responses in
crayfish and crab.
AB - Proprioception of limbs and joints is a basic sensory function throughout most of
the animal kingdom. It is important to understand how proprioceptive organs and
the associated sensory neurons function with altered environments such as
increased potassium ion concentrations ([K+]) from diseased states, ionic
imbalances, and damaged tissues. These factors can drastically alter neuronal
activity. To assess this matter, we used the chordotonal organ in a walking leg
of a blue crab (Callinectes sapidus) and the muscle receptor organ of the
crayfish (Procambarus clarkii). These organs serve as tractable models for the
analysis of proprioception. The preparations can help serve as translational
models for these effects, which may be observed in other invertebrate species as
well as mammalian species (including humans). When extracellular potassium
concentration ([K+]o) is increased to 20 mM in both preparations, mixed results
are observed with activity increasing in some preparations and decreasing in
others after mechanical displacement. However, when [K+]o is increased to 40 mM,
activity drastically decreases in all preparations. Additionally, proprioceptor
sensory activity declines upon exposure to a diluted muscle homogenate, which
contains a host of intracellular constituents. The robust effects of altered [K+]
on proprioception in these models illuminate the potential detriments on neuronal
function in cases of severe tissue damage as well as altered [K+]o.
PMID- 29356423
TI - Introduction to ecoimmunology: An integrative approach.
PMID- 29356424
TI - Immune variation during pregnancy suggests immune component-specific costs of
reproduction in a viviparous snake with disparate life-history strategies.
AB - Growing evidence suggests the existence of trade-offs between immune function and
reproduction in diverse taxa. Among vertebrates, however, there is still a
taxonomic bias toward studies in endotherms, particularly birds. We tested the
hypothesis that reproduction entails immune-related costs in the viviparous
garter snake, Thamnophis elegans, from populations that exhibit two life-history
strategies, termed ecotypes, with contrasting paces of life. Between the two
ecotypes, we predicted lower immune function in gravid than non-gravid females of
both strategies, but with relatively larger immunity costs in the ecotype that
generally invests more in current reproduction. Across individuals, we predicted
greater immune costs for females investing more in the present specific
reproductive event (i.e., higher fecundity) irrespective of their ecotype. We
assessed leukocyte profiles and measured bactericidal capacity of plasma (innate
immunity) and T- and B-lymphocyte proliferation (adaptive immunity) in gravid and
non-gravid females in their natural habitats. We also collected data on
reproductive output from these same gravid females brought into captivity. Gravid
females of both ecotypes showed lower T-lymphocyte proliferation responses to
concanavalin A than non-gravid females, but no differential costs were observed
between ecotypes. The remaining immune variables did not vary between gravid and
non-gravid females. Among gravid females within each ecotype, those with larger
reproductive output showed lower total leukocyte counts, suggesting a fecundity
dependent trade-off. Our study contributes to the comparative ecoimmunology of
vertebrates by highlighting the immune component-specificity of trade-offs
between reproduction and immune function and showing that costs can be fecundity
dependent in some, but not all cases.
PMID- 29356426
TI - The effects of acclimation temperature, salinity, and behavior on the thermal
tolerance of Mozambique tilapia (Oreochromis mossambicus).
AB - Mozambique tilapia have been shown to be incredibly stress tolerant with respect
to environmental salinity, hypoxia, and ammonia concentrations. Temperature
challenges to this species have shown that they have difficulty with cold
acclimation. The purpose of this study was to measure the effects of acclimation
temperature and salinity on the thermal tolerance of Mozambique tilapia as
assessed by critical thermal maxima (CTMax) and critical thermal minima (CTMin).
We also monitored fish behavior and quantified ventilation rate. To our
knowledge, this study was the first to investigate upper and lower thermal
tolerances, and the effect of environmental salinity in this physiologically
impressive species. Using predictive regression analyses of the thermal limits,
thermal tolerance polygons were constructed and total areas were calculated 678.9
degrees C2 for freshwater (FW)-acclimated tilapia, and 739.4 degrees C2 seawater
(SW)-acclimated tilapia. During the thermal challenges, we observed two novel
behaviors in response to thermal challenge, ventilation cessation behavior (VCB)
and aquatic surface respiration (ASR), and we conclude that the use of these
behaviors extended the thermal limits of these fish in both FW and two-thirds SW
by limiting the exposure of the gill epithelium to the changing environment.
PMID- 29356425
TI - Is there different risk of cancer among end-stage renal disease patients
undergoing hemodialysis and peritoneal dialysis?
AB - Cancer is a global issue in recent decade. Despite this alarming increase in the
incidence of cancer, to date, whether the risk of developing cancer differs among
peritoneal dialysis (PD) and hemodialysis (HD) patients is still uncertain. In
this retrospective cohort study, data were obtained from the National Health
Insurance Research Database of Taiwan, which provides coverage to almost 99% of
the nation's population. After matching, a total of 4491 (or 3369) incident PD
patients and 8982 (or 6738) incident HD patients between 2000 and 2009 were
enrolled from the database. In addition, 22,455 (or 16,845) nondialysis patients
were selected as a control group. The patients were monitored for the occurrence
of cancer until 2010, and their data were analyzed using several different
models. In general, the results showed that the risks of hepatocellular, kidney,
bladder, extra kidney/bladder urinary tract, and thyroid cancers were higher in
dialysis patients. We also compared the risk of cancer between two dialysis
groups by using the HD patients as the reference group. The result showed that
there is no significant different for each cancer risk between two dialysis
groups. In conclusion, dialysis patients had a higher risk of certain types of
cancer than those in the nonuremia group. However, there was no significant
difference in the cancer risk between the two dialysis groups when compared
directly.
PMID- 29356427
TI - A Computational Investigation of Sources of Variability in Sentence Comprehension
Difficulty in Aphasia.
AB - We present a computational evaluation of three hypotheses about sources of
deficit in sentence comprehension in aphasia: slowed processing, intermittent
deficiency, and resource reduction. The ACT-R based Lewis and Vasishth (2005)
model is used to implement these three proposals. Slowed processing is
implemented as slowed execution time of parse steps; intermittent deficiency as
increased random noise in activation of elements in memory; and resource
reduction as reduced spreading activation. As data, we considered subject vs.
object relative sentences, presented in a self-paced listening modality to 56
individuals with aphasia (IWA) and 46 matched controls. The participants heard
the sentences and carried out a picture verification task to decide on an
interpretation of the sentence. These response accuracies are used to identify
the best parameters (for each participant) that correspond to the three
hypotheses mentioned above. We show that controls have more tightly clustered
(less variable) parameter values than IWA; specifically, compared to controls,
among IWA there are more individuals with slow parsing times, high noise, and low
spreading activation. We find that (a) individual IWA show differential amounts
of deficit along the three dimensions of slowed processing, intermittent
deficiency, and resource reduction, (b) overall, there is evidence for all three
sources of deficit playing a role, and (c) IWA have a more variable range of
parameter values than controls. An important implication is that it may be
meaningless to talk about sources of deficit with respect to an abstract verage
IWA; the focus should be on the individual's differential degrees of deficit
along different dimensions, and on understanding the causes of variability in
deficit between participants.
PMID- 29356428
TI - Humic acid of commercial origin causes changes in gill morphology of silver
catfish Rhamdia quelen exposed to acidic water: Response of silver catfish gills
to low pH and humic acid.
AB - The effect of dissolved organic carbon (DOC) against the burden of low pH was
assessed in silver catfish Rhamdia quelen through the gills. A commercial humic
acid (HA) was used as the source of DOC at 0 (control), 10, 25, and 50 mg/l. For
each HA concentration, two pH levels were tested: 6.5 (control) and 5.5. After 40
days of exposure, the gills were removed and morphological variables were
analyzed through light and scanning electronic microscopy. The low water pH
caused a reduction in the length of filaments, number of lamellae, and gill
respiratory surface area (GRSA) and an increase in chloride cells (CCs) number in
the filament epithelium. When HA was added to the test water, GRSA increased and
CCs proliferated in lamellae, suggesting ionic and respiratory disturbances.
Scanning electronic microscopy revealed that CC morphometric variables, that is,
apical area, fractional area, and density, were undisturbed by the pH reduction,
but increased in the presence of HA. This study indicates that the commercial HA
did not protect silver catfish against low pH stress. Instead, it caused changes
that may affect vital processes such as ion regulation and ventilation and,
consequently, reduce growth.
PMID- 29356429
TI - The effects of incubation temperature and experimental design on heart rates of
lizard embryos.
AB - Many studies of phenotypic plasticity alter environmental conditions during
embryonic development, yet only measure phenotypes at the neonatal stage (after
embryonic development). However, measuring aspects of embryo physiology enhances
our understanding of how environmental factors immediately affect embryos, which
aids our understanding of developmental plasticity. While current research on
reptile developmental plasticity has demonstrated that fluctuating incubation
temperatures affect development differently than constant temperatures, most
research on embryo physiology is still performed with constant temperature
experiments. In this study, we noninvasively measured embryonic heart rates of
the brown anole (Anolis sagrei), across ecologically relevant fluctuating
temperatures. We incubated eggs under temperatures measured from potential nests
in the field and examined how heart rates change through a diel cycle and
throughout embryonic development. We also evaluated how experimental design
(e.g., repeated vs. single measures designs, constant vs. fluctuating
temperatures) and different protocols (e.g., removing eggs from incubators) might
influence heart rate. We found that heart rates were correlated with daily
temperature and increased through development. Our findings suggest that
experimenters have reasonable flexibility in choosing an experimental design to
address their questions; however, some aspects of design and protocol can
potentially influence estimations of heart rates. Overall, we present the first
ecologically relevant measures of anole embryonic heart rates and provide
recommendations for experimental designs for future experiments.
PMID- 29356430
TI - Morph-specific metabolic rate and the timing of reproductive senescence in a
color polymorphic dragon.
AB - Polymorphism has fascinated biologists for over a century because morphs persist
within populations through evolutionary time in spite of showing disparate
behavioral and physiological phenotypes; any one morph should go to fixation with
the slightest fitness advantage over the others. Surely there must be trade-offs
that balance selection on them. The polychromatic morphs of the Australian
painted dragon lizard, Ctenophorus pictus, are one such system. The male color
morphs of painted dragons have different physiological and behavioral traits
including reproductive tactics, hormone levels, and the rate of body condition
loss through the reproductive season. Due to their differences in physiology and
reproductive tactics, we tested the hypotheses that male morphs would differ in
resting metabolic rates (RMRs) and that the morphs' RMR would decline at
different rates through the mating season. We found that bib-morphs (yellow gular
patch) differ in RMR with bibbed (more aggressive) males having consistently
higher RMR than non-bibbed males. Furthermore, we show that male dragons
experience a decline in RMR as they age from reproductively active to inactive.
We also found that the RMR of bibbed males has higher repeatability than non
bibbed males. Our results reinforce previous hypotheses about the morph-specific
costs of bearing a gular patch in painted dragons.
PMID- 29356431
TI - Precision Fluorescent Labeling of an Adeno-Associated Virus Vector to Monitor the
Viral Infection Pathway.
AB - Adeno-associated virus 2 (AAV2) is a common vehicle for the delivery of a variety
of therapeutic genes. A better understanding of the process of infection of AAV2
will advance our knowledge of AAV2 biology and allow for the optimization of AAV2
capsids with favorable transduction profiles. However, the precise fluorescent
labeling of an AAV2 vector for probing virus tracking without affecting the
nature of the virus remains a challenge. In this study, a lab-synthesized azide
moieties on the viral capsid at modifiable sites is precisely displayed. Upon
bioorthogonal copper-less click reaction, fluorophores are subsequently
conjugated to AAV2 vectors for visualization of particles. Using this principle,
the authors demonstrate that it can be used for visibly studying the cell entry,
and intracellular trafficking of AAV2 particles, enabling the monitoring of the
intracellular dynamics of AAV2 infection. This study provides new insights into
the precision labeling of AAV2 particles with important implications for a better
understanding of the molecular mechanism of therapeutic gene delivery.
PMID- 29356432
TI - Interactive effects of algal level and predator density (Asplanchna sieboldi) on
the life-history strategy and morphology of Brachionus calyciflorus.
AB - Predation plays an important role in mediating the coexistence and the community
structure of rotifera. In response to predation stresses, prey rotifers develop
morphological defenses and change their life-history strategy for the
reallocation of energy investment. Yet, how these changes respond to different
total energy ingestion remains largely unknown. In the present study, we
investigated the life-table demographic, population growth and morphological
parameters of Brachionus calyciflorus in response to various densities of
Asplanchna sieboldi at two food levels (1.0 * 106 and 2.0 * 106 cells per ml
of Scenedesmus obliquus). To resist predators, B. calyciflorus developed long
postero-lateral spines. The life-table demographic results showed that predation
only significantly shortened the generation time of B. calyciflorus at the algal
level of 2.0 * 106 cells per ml, but not affect other demographic parameters.
The population growth tests revealed that predator density, algal level and their
interactions all significantly influenced the population growth rate of prey
rotifers. At 1.0 * 106 cells per ml of S. obliquus, treatments with two, four,
and eight A. sieboldi individuals per 50 ml significantly reduced the population
growth rate of B. calyciflorus. In contrast, the population growth rate was not
affected in response to two A. sieboldi individuals per 50 ml at 2.0 * 106
cells per ml of S. obliquus. These results suggested that algal level probably
affected the inhibitory effects of predation on the population growth of prey
rotifers, and the underlying mechanisms should be further investigated.
PMID- 29356433
TI - Cardiopulmonary exercise test to quantify enzyme replacement response in
pediatric Pompe disease.
AB - INTRODUCTION: Enzyme replacement therapy (ERT) with Myozyme improved the prospect
of Pompe disease patients. Our aim was to evaluate ERT acute effect on exercise
capacity in pediatric Pompe patients. METHODS: Five Pompe patients (10-19 years,
4 infantile-onset and 1 diagnosed at 5 years) were evaluated before and 2 days
after ERT using cardiopulmonary exercise testing (CPET), 6 min walking test
(6MWT) and motor function test (GMFM-88). RESULTS: Preserved normal peak oxygen
uptake, 6MWT and motor function were observed in the relative mild disease and
impairment of these parameters in the more advanced disease. Two days following
ERT, three patients demonstrated changes; one patient (relative mild disease)
increased both oxygen uptake (11%) and walking distance (38%). Second patient
(advanced disease) increased oxygen uptake (11%) while a small decrease in
walking distance in the 6MWT (8%) was observed. Third patient (advanced disease)
decreased oxygen uptake (39%) but increased walking distance (42%) and motor
function score (27%). CONCLUSIONS: CPET is safe for pediatric Pompe patients. ERT
may benefit exercise capacity in patients with less advanced disease.
Individualized assessment by CPET, 6MWT, and motor function may help ERT
adjustment by providing precise quantification of the response to treatment.
Additional studies are needed to clarify the benefit of this assessment protocol.
PMID- 29356434
TI - 5-Chloro-2,4-dihydroxypyridine, CDHP, prevents lung metastasis of basal-like
breast cancer cells by reducing nascent adhesion formation.
AB - A drug for metastasis prevention is necessary. The orally administered anticancer
drug S-1 contributes to cancer therapy. In a mouse xenograft model of metastatic
breast cancer from our previous study, the administration of S-1 inhibited lung
metastasis. However, the mechanism of inhibition remains elusive. S-1 contains 5
chloro-2,4-dihydroxypyridine (CDHP), which does not have the antigrowth activity,
but prevents the degradation of 5-fluorouracil, an anticancer reagent. In this
study, we found that CDHP treatment shrinks cell morphology in metastatic basal
like breast cancer cell lines. Wound healing assays showed reduced cell migration
in CDHP-treated cells. At the molecular level, CDHP treatment reduced the number
of nascent adhesions, whereas the number of mature focal adhesions was not
changed. These findings indicate that CDHP impairs focal adhesion formation,
which results in a reduction in cell migration. For the in vivo metastasis assay,
we used a highly lung-metastatic cell line. We xenografted them into
immunodeficient mice, and administered CDHP. To determine whether CDHP prevents
metastasis, we measured the weights of harvested lungs. The results showed that
the lung weights of the CDHP-treated animals were not significantly different
compared to the no-tumor controls, whereas the vehicle group showed a number of
metastatic foci and an increase in lung weight. These observations indicate that
CDHP administration prevents metastasis. This study reveals a novel effect of
CDHP for lung metastasis prevention. Our findings may facilitate the
establishment of future metastasis prevention therapies.
PMID- 29356435
TI - Correlates of melanization in multiple high- and low-elevation populations of the
lizard, Sceloporus occidentalis: Behavior, hormones, and parasites.
AB - Hormones mediate the expression of suites of correlated traits and hence may act
either to facilitate or constrain adaptive evolution. Selection on one trait
within a hormone-mediated suite of traits may lead to a change in the strength of
the hormone signal, causing changes in correlated traits. Growing evidence
suggests that melanization, which is in part regulated by hormonal signals, is
tightly linked to other traits, such as aggression and stress physiology. Here,
we examine six populations of Sceloporus occidentalis lizards differing in degree
of melanization (three dark higher-elevation populations and three less-melanized
lower-elevation populations) to investigate potential correlations between
behavior, hormones, and parasites. We measured aggression by recording behavioral
responses of males to staged territorial intrusions; behavior was summarized by
two principal components. Analysis revealed that males in the three darker
populations signaled aggression less often and made more physical contact than
males in the lighter populations. Analyses of plasma steroid hormones
(corticosterone and testosterone) revealed significant population differences,
but counter to expectation higher aggression was associated with lower
testosterone compared across populations. Finally, the three darker populations
had higher mean mite loads than the three lighter populations. Overall, this
array of phenotypic correlations does not parallel patterns of within-population
differences in melanization found in other vertebrates, suggesting that hormonal
correlations do not constrain phenotype variation across populations in this
species. Given this contradiction between population- and individual-level
variation, we urge more study at both levels of variation in traits potentially
associated with melanization in other vertebrates.
PMID- 29356436
TI - Health insurance and use of recommended routine care in adults with cystic
fibrosis.
AB - BACKGROUND: Low socioeconomic status is correlated with worse outcomes in
patients with cystic fibrosis (CF). Whether insurance status impacts adherence to
care in this population is unknown. METHODS: Patients >=18 years old in the CF
Foundation Patient Registry (2005-2013) were grouped based on reported annual
insurance as private, public (Medicaid, Medicare or state medical assistance
program), others or no insurance. Random effects logistic regression evaluated
association between change in insurance status and annual use of recommended
routine care. RESULTS: A total of 18 358 patients contributed 94 690 years of
data to the analysis. In descriptive analysis, adherence to recommended routine
care (>=4 clinic visits, >=4 respiratory cultures and >=2 pulmonary function
tests per year) and recommended chronic medications for those with moderate to
severe lung disease (dornase alfa and inhaled tobramycin or aztreonam if
Pseudomoas aeruginosa in respiratory cultures) was most common in public
insurance compared to other insurance types. In multivariable logistic
regression, public insurance was associated with greater use of recommended care
relative to private insurance (OR = 1.16; 95% confidence interval: 1.10-1.22; P <
.001), while being uninsured was associated with lower odds of using recommended
care (OR = 0.37; 95% confidence interval: 0.31-0.46; P < .001). CONCLUSIONS: For
adults with CF in the United States, public insurance was associated with greater
use of routine care than private coverage. Being uninsured was strongly
associated with not using routine care. Further efforts to improve access to CF
care should address the feasibility of universal and continuous insurance
coverage in the CF population.
PMID- 29356437
TI - Interventions to improve retention in a surgical, clinical trial: A pragmatic,
stakeholder-driven approach.
AB - OBJECTIVE: To explore stakeholder perspectives upon participant retention in
clinical trials, and to generate strategies to support retention in a surgical,
clinical trial. STUDY DESIGN AND SETTING: The SWIFFT trial is a multicenter study
comparing treatments for the fracture of the waist of the scaphoid bone in
adults. Here we report upon a multistage, iterative consultative process with
SWIFFT stakeholders, these include workshops with members of the public, with
nurses involved in data collection, and with consultant clinicians. Structured
discussions were digitally recorded and transcribed, data were handled and
analyzed following a framework approach to qualitative data analysis. RESULTS:
Removing practical barriers were identified as important factors in supporting
retention. Stakeholders also identified that (i) how well a study is understood
and (ii) how much it is valued are important factors in an individual's
willingness to maintain their involvement. A number of strategies resulted from
this consultation, these include: in-clinic data collection, co-ordinated
clinical and research appointments, a SWIFFT website, and newsletter. CONCLUSION:
A participatory approach to trial retention might engage all relevant
stakeholders in the delivery of a clinical trial, it might also support the
generation of specific and contextually relevant solutions to the challenge of
participant retention.
PMID- 29356438
TI - Impact of tobacco, alcohol and cannabis use on treatment outcomes among patients
experiencing first episode psychosis: Data from the national RAISE-ETP study.
AB - AIM: The primary aim of this study was to examine the effect of recent tobacco,
alcohol and cannabis use on treatment outcomes among participants experiencing
first episode psychosis (FEP). METHODS: Secondary data analyses were conducted on
404 participants enrolled in the Recovery After an Initial Schizophrenia Episode
Early Treatment Program (RAISE-ETP) study. RAISE-ETP investigated the
effectiveness of a coordinated specialty care (CSC) intervention for FEP in
community mental health agencies in the United States. Generalized estimating
equations were used to examine whether recent tobacco smoking, alcohol, and
cannabis use at baseline were associated with illness severity, number of
antipsychotic pills missed, psychiatric symptoms and quality of life during the
24-month treatment period, after controlling for duration of untreated psychosis
and treatment group. RESULTS: At baseline, roughly 50% (n = 209) of participants
reported recent tobacco, 28% (n = 113) alcohol and 24% (n = 95) cannabis use.
Tobacco smokers had higher levels of illness severity (beta = .24; P < .005), a
higher number of missed pills (beta = 2.89; P < .05), higher psychiatric symptoms
and lower quality of life during treatment relative to non-smokers. Alcohol users
had a higher number of missed pills (beta = 3.16; P < .05) during treatment and
cannabis users had higher levels of illness severity (beta = .18; P < .05) and
positive symptoms (beta = 1.56; P < .05) relative to non-users. CONCLUSIONS:
Tobacco, alcohol and cannabis use are common in youth seeking treatment for FEP.
Tobacco smoking was associated with more negative clinical outcomes. These
findings have implications for including interventions targeting these areas of
substance use within current CSC models.
PMID- 29356439
TI - Relationships between parasitic infection and natural antibodies, age, and sex in
a long-lived vertebrate.
AB - Reptilian immune systems are believed to rely more heavily on the innate response
than the adaptive response. Past research on reptilian immune systems has
indicated that natural antibodies (NAbs) play an important role in fighting
antigens as the first responder and initiating the innate immune response.
However, there is a gap in research on NAbs in reptiles as there is little data
on how they may influence parasite prevalence in reptiles. Furthermore, no
studies have examined NAbs at mucosal sites, which represent an important point
of entry for parasites. We investigated NAbs in male and female red-eared slider
turtles (Trachemys scripta) in order to begin to fill this gap in our
understanding. Plasma and mucosal samples were collected from T. scripta to
determine total immunoglobulin levels in relation to intestinal parasite load,
which was determined from fecal samples. We also determined antibody levels to
various antigens to examine if NAbs are present in mucosal samples and how levels
compare to plasma NAbs. In female turtles, parasite intensity decreased with
increasing host age and mucosal antibody levels. We also found that the levels of
antibodies that bind to a novel antigen and an antigen they are likely to have
encountered were significantly related in both plasma and mucosal samples,
indicating a NAb response. This study contributes to our understanding of how
reptiles may rely on a broad innate response that allows them to resist potential
pathogens while potentially avoiding some negative consequences of
immunosenescence.
PMID- 29356440
TI - Adherence and medication belief in patients with pulmonary arterial hypertension
or chronic thromboembolic pulmonary hypertension: A nationwide population-based
cohort survey.
AB - BACKGROUND: Pulmonary arterial hypertension (PAH) and chronic thromboembolic
pulmonary hypertension (CTEPH) are rare diseases with a gradual decline in
physical health. Adherence to treatment is crucial in these very symptomatic and
life threatening diseases. OBJECTIVE: To describe PAH and CTEPH patients
experience of their self-reported medication adherence, beliefs about medicines
and information about treatment. METHODS: A quantitative, descriptive, national
cohort survey that included adult patients from all PAH-centres in Sweden. All
patients received questionnaires by mail: The Morisky Medication Adherence Scale
(MMAS-8) assesses treatment-related attitudes and behaviour problems, the Beliefs
about Medicines Questionnaire-Specific scale (BMQ-S) assesses the patient's
perception of drug intake and the QLQ-INFO25 multi-item scale about medical
treatment information. RESULTS: The response rate was 74% (n = 325), mean age 66
+/- 14 years, 58% were female and 69% were diagnosed with PAH and 31% with CTEPH.
Time from diagnosis was 4.7 +/- 4.2 years. More than half of the patients (57%)
reported a high level of adherence. There was no difference in the patients'
beliefs of the necessity of the medications to control their illness when
comparing those with high, medium or low adherence. Despite high satisfaction
with the information, concerns about potential adverse effects of taking the
medication were significantly related to adherence. CONCLUSIONS: Treatment
adherence is relatively high but still needs improvement. The multi-disciplinary
PAH team should, together with the patient, seek strategies to improve adherence
and prevent concern.
PMID- 29356441
TI - Parent and clinician agreement regarding early behavioral signs in 12- and 18
month-old infants at-risk of autism spectrum disorder.
AB - : Parent and clinician agreement regarding early behavioral signs of Autism
Spectrum Disorder (ASD) in children from a high-risk cohort (siblings of children
diagnosed with ASD, n = 188) was examined. Infants were assessed prospectively at
12 and 18 months of age using the clinician administered Autism Observational
Scale for Infants (AOSI) and the Autism Parent Screen for Infants (APSI) and
underwent a blind independent diagnostic assessment for ASD at 36 months of age.
Direct comparison of parent and clinician ratings showed poor agreement on all
early behavioral signs, with parent-reported symptoms being better able to
differentiate between children with and without ASD at both 12 and 18 months of
age compared to clinician observations during a brief office visit. The results
suggest that parents may detect some clinically informative behaviors based on
their day-to-day observations more readily than do clinicians during brief
clinical assessments, a result that needs to be replicated in a non-sibling
cohort. Autism Res 2018, 11: 539-547. (c) 2018 International Society for Autism
Research, Wiley Periodicals, Inc. LAY SUMMARY: Parents of children at high-risk
of autism spectrum disorder (ASD; have an older sibling with ASD) and clinicians
were compared on their reporting of 19 early signs of autism. Direct comparison
of parent and clinician ratings showed poor agreement on all early behavioral
signs, with parent-reported symptoms being better able to differentiate between
children with and without ASD at both 12 and 18 months of age compared to
clinician observations during a brief office visit. This suggests that parents
may have important information regarding early development of their high-risk
child.
PMID- 29356442
TI - Detrimental interactions of neonicotinoid pesticide exposure and bumblebee
immunity.
AB - Pesticides are well known to have a number of ecological effects. However, it is
only now becoming understood that sublethal exposures may have effects on
nontarget insects of conservation concern through interactions with immunity,
thus increasing detrimental impacts in the presence of pathogens. Pesticides and
pathogens are suggested to have played a role in recent declines of several wild
bee pollinators. Compromised immunity from exposure to widely used neonicotinoids
has been demonstrated in honeybees, but further research on interactions between
neonicotinoids and immunity in other important bees is lacking. In this study,
adult workers of the bumblebee Bombus impatiens received 6-day pulses of either
low (0.7 ppb) or high (7 ppb) field realistic doses of the neonicotinoid
imidacloprid prior to assaying immunity and survival following a nonpathogenic
immune challenge. High-dose imidacloprid exposure reduces constitutive levels of
phenoloxidase, an enzyme involved in melanization. Hemolymph antimicrobial
activity initially increases in all groups following an immune challenge, but
while heightened activity is maintained in unexposed and low imidacloprid dose
groups, it is not maintained in the high exposure dose bees, even though exposure
had ceased 6 days prior. Additionally, imidacloprid exposure followed by an
immune challenge significantly decreased survival probability relative to control
bees and those only immune challenged or imidacloprid exposed. A temporal lag for
immune modulation and combinatorial effects on survival suggest that resource
based trade-offs may, in part, contribute to the detrimental interactions. These
interactions could have health consequences for pollinators facing multiple
stresses of sublethal neonicotinoid exposure and pathogens.
PMID- 29356443
TI - Immune profiles vary seasonally, but are not significantly related to migration
distance or natal dispersal, in a migratory songbird.
AB - A central tenet of ecoimmunology is that an organism's environment shapes its
optimal investment in immunity. For example, the benefits of acquired (relatively
pathogen specific) versus innate (nonspecific) immune defenses are thought to
vary with the risk of encountering familiar versus unfamiliar pathogens. Because
pathogen communities vary geographically, individuals that travel farther during
seasonal migration or natal dispersal are predicted to have higher exposure to
novel pathogens, and lower exposure to familiar pathogens, potentially favoring
investment in innate immunity. During the breeding season, migratory animals'
exposure to familiar pathogens should increase, potentially favoring investment
in acquired immunity. We hypothesized that song sparrows Melospiza melodia adjust
their constitutive immune profiles in response to risk of encountering novel
versus familiar pathogens. We predicted that individuals migrating longer
distances (inferred from stable hydrogen isotope analysis of claws) and less
philopatric individuals (inferred from microsatellite assignment testing) would
rely more heavily on acquired than innate defenses. We also predicted that
reliance on acquired defenses would increase throughout the early breeding
season. Consistent with trade-offs between acquired and innate defenses, levels
of immunoglobulin Y (acquired) varied negatively with macrophage phagocytosis
activity (innate). Levels of acquired relative to innate immunity did not vary
significantly with migration distance or philopatry, but increased throughout the
early breeding season. Macrophage phagocytosis was not significantly repeatable
between years. Song sparrows appear to shift from innate defenses immediately
after migration to acquired defenses with increasing time at the breeding
grounds. These patterns highlight the plasticity of constitutive immune defenses
in migratory animals.
PMID- 29356444
TI - Physiological plasticity in lizard embryos exposed to high-altitude hypoxia.
AB - Coping with novel environments may be facilitated by plastic physiological
responses that enable survival during environmentally sensitive life stages. We
tested the capacity for embryos of the common wall lizard (Podarcis muralis) from
low altitude to cope with low-oxygen partial pressure (hypoxia) in an alpine
environment. Developing embryos subjected to hypoxic atmospheric conditions (15
16% O2 sea-level equivalent) at 2,877 m above sea level exhibited responses
common to vertebrates acclimatized to or evolutionarily adapted to high altitude:
suppressed metabolism, cardiac hypertrophy, and hyperventilation. These responses
might have contributed to the unaltered incubation duration and hatching success
relative to the ancestral, low-altitude, condition. Even so, hypoxia constrained
egg energy utilization such that larger eggs produced hatchlings with relatively
low mass. These findings highlight the role of physiological plasticity in
maintaining fitness-relevant phenotypes in high-altitude environments, providing
impetus to further explore altitudinal limits to ecological diversification in
ectothermic vertebrates.
PMID- 29356445
TI - Chronic repeated exposure to weather-related stimuli elicits few symptoms of
chronic stress in captive molting and non-molting European starlings (Sturnus
vulgaris).
AB - Repeated exposure to acute stressors causes dramatic changes in an animal's
stress physiology and the cumulative effects are often called chronic stress.
Recently we showed that short-term exposure to weather-related stimuli, such as
temperature change, artificial precipitation, and food restriction, cause acute
responses in captive European starlings (Sturnus vulgaris). Here, we examined the
effect of repeated exposure to weather-related stressors on heart rate and
corticosterone (CORT) of captive non-molting and molting European starlings. Four
times every day for 3 weeks, birds were exposed to either 30 min of a subtle (3
degrees C) decrease in temperature, a short bout of simulated rain, or 2 hr of
food removal. The order and time of presentation were randomly assigned on each
day. We found no differences in heart rate or heart rate variability.
Furthermore, there were no changes in baseline CORT levels, CORT negative
feedback efficacy, or maximal adrenal capacity. Mass increased across the
experimental period only in molting birds. CORT responses to restraint were
decreased in both groups following treatment, suggesting the birds had
downregulated their responses to acute stress. Molting birds showed evidence of
suppression of the HPA axis compared with non-molting birds, which is consistent
with previous research. Overall, our data show that repeated exposure to weather
related stressors does not elicit most of the symptoms normally associated with
chronic stress.
PMID- 29356446
TI - Local and systemic immune responses to different types of phytohemagglutinin in
the green anole: Lessons for field ecoimmunologists.
AB - The phytohemagglutinin (PHA) skin test is commonly used by ecologists to assess
cell-mediated immune function of wild animals. It can be performed quickly and
easily in the field, involving injection of PHA and measurement of the resultant
swelling. There are multiple formulations of PHA used in ecological studies, with
potentially differing outcomes that could produce inconsistent results. We tested
two common types of PHA in the green anole (Anolis carolinensis) to identify
local and systemic immune responses underlying the resultant swelling at 6, 18,
24, and 48 hr post injection. There were differences in both local (injection
site) and systemic (blood) leukocyte responses to PHA-L versus PHA-P. PHA-P
injection produced a greater overall increase in local heterophil count at the
injection site compared with PHA-L, and this response was greatest at 6 and 24 hr
post injection. Systemically, heterophil percentage was higher in the blood of
PHA-P- versus PHA-L-injected anoles at 24 hr post injection; the time point at
which heterophil percentage peaked in PHA-P-injected anoles. These results
indicate that although both PHA types are effective tests of immune function in
green anoles, the PHA-P swelling response invokes a much stronger heterophilic
response. PHA-L is a more specific test of lymphocyte function, particularly at
24 hr post injection, making it preferable for ecoimmunology studies.
PMID- 29356447
TI - The full-length sequence of the HLA-C allele, HLA-C*03:40:01.
AB - Confirmed the full-length sequence of HLA-C*03:40:01 by cloning and sequencing in
a Chinese donor.
PMID- 29356448
TI - Distribution and concentration of maternal progesterone in the yolk of Greater
Rhea eggs (Rhea americana).
AB - Progesterone is the most concentrated maternal yolk steroid characterized to date
in birds; however, no information about it is available in ratite eggs. We
collected freshly laid eggs from zoo-housed Greater Rhea females (Rhea americana)
bred under similar rearing conditions during two breeding seasons to characterize
concentration and distribution of maternal yolk progesterone. After high
performance liquid chromatography analysis, yolk hormone was measured using a
commercial electrochemiluminescence immunoassay. Progesterone concentrations were
found to vary significantly among the yolk layers, supporting a follicular origin
for this steroid in Greater Rhea eggs. Additionally, highly similar mean absolute
yolk progesterone concentrations were detected between 2013 and 2015 breeding
seasons (1,332.98 +/- 82.59 and 1,313.59 +/- 85.19 ng/g, respectively). These
values are also comparable to those found in some domestic carinate species.
Findings suggest that at population level, when rearing conditions are similar,
mean absolute yolk maternal progesterone concentrations also appear bounded.
Future research on the factors and mechanisms that regulate progesterone
deposition in Greater Rhea eggs is needed to better understand whether its levels
depend on different rearing conditions.
PMID- 29356449
TI - Ectoparasites as developmental stressors: Effects on somatic and physiological
development.
AB - Developmental stress can alter resource allocation in early life, and in
altricial birds with rapid developmental trajectories and high resource demands,
nestlings may adjust early resource partitioning to cope with challenging
environments. We experimentally manipulated ectoparasite levels in nests and
assessed whether ectoparasites affected somatic and physiological development in
European starling (Sturnus vulgaris) nestlings. We hypothesized that mites act as
developmental stressors in nestlings and predicted that nestlings from infested
nests would exhibit either reduced somatic growth, or reduced physiological
development, including impaired innate immunity, and would have elevated
corticosterone concentrations. We either added ~200 mites to nests during early
incubation, or treated nests with a pesticide, permethrin, to reduce mites and
possibly other arthropods. We assessed treatment effects on egg spottiness and
mite abundance, and monitored offspring hatching and survival. We also measured
somatic growth (mass, tarsus length, and feather growth), hematocrit, immune
related metrics (bacterial killing ability [BKA] and spleen mass), and baseline
corticosterone concentrations in response to treatment. Compared with mite
treatment, permethrin reduced egg spottiness and mite abundance in nests.
Relative to nestlings in mite-reduced nests, nestlings in mite-enhanced nests had
lower survival, hematocrit, and corticosterone concentrations. Early in
development, nestlings from both treatments exhibited similar rapid somatic
growth, yet mite-treated nestlings exhibited lower BKA. Nestlings in both
treatments increased BKA across development, despite nestlings in mite-treated
nests exhibiting lower mass as nest leaving neared. Overall, we found evidence
that mites can act as development stressors, but contrary to our prediction,
mites decreased corticosterone concentrations.
PMID- 29356450
TI - A prospective study of fetal head growth, autistic traits and autism spectrum
disorder.
AB - : Altered trajectories of brain growth are often reported in Autism Spectrum
Disorder (ASD), particularly during the first year of life. However, less is
known about prenatal head growth trajectories, and no study has examined the
relation with postnatal autistic symptom severity. The current study
prospectively examined the association between fetal head growth and the spectrum
of autistic symptom severity in two large population-based cohorts, including a
sample of individuals with clinically diagnosed ASD. This study included 3,820
children from two longitudinal prenatal cohorts in The Netherlands and Australia,
comprising 60 individuals with a confirmed diagnosis of ASD. Latent growth curve
models were used to examine the relationship between fetal head circumference
measured at three different time points and autistic traits measured in postnatal
life using either the Social Responsiveness Scale or the Autism-Spectrum
Quotient. While lower initial prenatal HC was weakly associated with increasing
autistic traits in the Dutch cohort, this relationship was not observed in the
Australian cohort, nor when the two cohorts were analysed together. No
differences in prenatal head growth were found between individuals with ASD and
controls. This large population-based study identified no consistent association
across two cohorts between prenatal head growth and postnatal autistic traits.
Our mixed findings suggest that further research in this area is needed. Autism
Res 2018, 11: 602-612. (c) 2018 The Authors Autism Research published by
International Society for Autism Research and Wiley Periodicals, Inc. LAY
SUMMARY: It is not known whether different patterns of postnatal brain growth in
Autism Spectrum Disorder (ASD) also occurs prenatally. We examined fetal head
growth and autistic symptoms in two large groups from The Netherlands and
Australia. Lower initial prenatal head circumference was associated with autistic
traits in the Dutch, but not the Australian, group. No differences in head growth
were found in individuals with ASD and controls when the data was combined. Our
mixed findings suggest that more research in this area is needed.
PMID- 29356452
TI - A gross anatomy flipped classroom effects performance, retention, and higher
level thinking in lower performing students.
AB - A flipped classroom is a growing pedagogy in higher education. Many research
studies on the flipped classroom have focused on student outcomes, with the
results being positive or inconclusive. A few studies have analyzed confounding
variables, such as student's previous achievement, or the impact of a flipped
classroom on long-term retention and knowledge transfer. In the present study,
students in a Doctor of Physical Therapy program in a traditional style lecture
of gross anatomy (n = 105) were compared to similar students in a flipped
classroom (n = 112). Overall, students in the flipped anatomy classroom had an
increase in semester average grades (P = 0.01) and performance on higher-level
analytical questions (P < 0.001). Long-term retention and knowledge transfer was
analyzed in a subsequent semester's sequenced kinesiology course, with students
from the flipped anatomy classroom performing at a higher level in kinesiology (P
< 0.05). Student's pre-matriculation grade point average was also considered.
Previously lower performing students, when in a flipped anatomy class,
outperformed their traditional anatomy class counterparts in anatomy semester
grades (P < 0.05), accuracy on higher-level analytical anatomy multiple-choice
questions (P < 0.05) and performance in subsequent course of kinesiology (P <
0.05). This study suggests that the flipped classroom may benefit lower
performing student's knowledge acquisition and transfer to a greater degree than
higher performing students. Future studies should explore the underlying reasons
for improvement in lower performing students.
PMID- 29356451
TI - Gene encoding the CTP synthetase as an appropriate molecular tool for
identification and phylogenetic study of the family Bifidobacteriaceae.
AB - An alternative molecular marker with respect to the 16S rRNA gene demonstrating
better identification and phylogenetic parameters has not been designed for the
whole Bifidobacteriaceae family, which includes the genus Bifidobacterium and
scardovial genera. Therefore, the aim of the study was to find such a gene in
available genomic sequences, suggest appropriate means and conditions for
asmplification and sequencing of the desired region of the selected gene in
various strains of the bacterial family and verify the importance in
classification and phylogeny. Specific primers flanking the variable region (~800
pb) within the pyrG gene encoding the CTP synthetase were designed by means of
gene sequences retrieved from the genomes of strains belonging to the family
Bifidobacteriaceae. The functionality and specificity of the primers were
subsequently tested on the wild (7) and type strains of bifidobacteria (36) and
scardovia (7). Comparative and phylogenetic studies based on obtained sequences
revealed actual significance in classification and phylogeny of the
Bifidobacteriaceae family. Gene statistics (percentages of mean sequence
similarities and identical sites, mean number of nucleotide differences, P- and K
distances) and phylogenetic analyses (congruence between tree topologies,
percentages of bootstrap values >50 and 70%) indicate that the pyrG gene
represents an alternative identification and phylogenetic marker exhibiting
higher discriminatory power among strains, (sub)species, and genera than the 16S
rRNA gene. Sequences of the particular gene fragment, simply achieved through
specific primers, enable more precisely to classify and evaluate phylogeny of the
family Bifidobacteriaceae including, with some exceptions, health-promoting
probiotic bacteria.
PMID- 29356453
TI - Association between 1p13 polymorphisms and peripheral arterial disease in a
Chinese population with diabetes.
AB - AIMS/INTRODUCTION: Variants on chromosome 1p13 have been associated with coronary
artery disease and acute myocardial infarction risk in different ethnic groups.
The present study aimed to investigate the association between 1p13 polymorphisms
and the development of peripheral artery disease (PAD) in a Chinese population
with type 2 diabetes mellitus. MATERIALS AND METHODS: 1p13 polymorphisms,
rs599839, rs646776 and rs12740374, were assessed in a cohort of 882 type 2
diabetes mellitus patients including 440 type 2 diabetes mellitus patients with
PAD (DM + PAD group) and 442 patients without PAD (DM group). Genotyping was
carried out using TaqMan assay. RESULTS: Compared with the DM group, the
frequencies of the minor G allele of both rs599839 and rs646776 and the minor T
allele of rs12740374 decreased (P = 0.013, P = 0.019 and P = 0.005,
respectively), and the frequencies of rs599839 AG + GG, rs646776 AG + GG and
rs12740374 CT+TT genotypes were statistically significantly decreased as well (P
= 0.017, P = 0.011 and P = 0.007, respectively) in the dominant model in the DM +
PAD group than in the DM group. Multivariate unconditional logistic regression
analyses adjusted for age, glycated hemoglobin, triglyceride, low-density
lipoprotein cholesterol, smoking, hypertension, diabetes duration, coronary heart
disease and cerebral infarction showed that the genotypic distribution of
rs599839 AG + GG, rs646776 AG + GG and rs12740374 CT + TT remained statistically
different between the DM and DM + PAD group (P = 0.014, P = 0.003 and P = 0.004,
respectively). The frequencies of haplotype GGT were statistically significantly
different between groups (P = 0.08). CONCLUSIONS: The present study strongly
supports that genotypes of rs599839, rs646776 and rs12740374 on 1p13 are
protective factors for diabetic PAD in a Chinese population. Haplotype GGT
generated by rs599839, rs646776 and rs12740374 might also decrease the risk of
the disease.
PMID- 29356454
TI - Correlates of immune defenses in golden eagle nestlings.
AB - An individual's investment in constitutive immune defenses depends on both
intrinsic and extrinsic factors. We examined how Leucocytozoon parasite presence,
body condition (scaled mass), heterophil-to-lymphocyte (H:L) ratio, sex, and age
affected immune defenses in golden eagle (Aquila chrysaetos) nestlings from three
regions: California, Oregon, and Idaho. We quantified hemolytic-complement
activity and bacterial killing ability, two measures of constitutive immunity.
Body condition and age did not affect immune defenses. However, eagles with lower
H:L ratios had lower complement activity, corroborating other findings that
animals in better condition sometimes invest less in constitutive immunity. In
addition, eagles with Leucocytozoon infections had higher concentrations of
circulating complement proteins but not elevated opsonizing proteins for all
microbes, and eagles from Oregon had significantly higher constitutive immunity
than those from California or Idaho. We posit that Oregon eagles might have
elevated immune defenses because they are exposed to more endoparasites than
eagles from California or Idaho, and our results confirmed that the OR region has
the highest rate of Leucocytozoon infections. Our study examined immune function
in a free-living, long-lived raptor species, whereas most avian ecoimmunological
research focuses on passerines. Thus, our research informs a broad perspective
regarding the evolutionary and environmental pressures on immune function in
birds.
PMID- 29356455
TI - Low salinity-induced alterations in epithelial ultrastructure, Na+/K+-ATPase
immunolocalization and enzyme kinetic characteristics in the gills of the
thinstripe hermit crab, Clibanarius vittatus (Anomura, Diogenidae).
AB - Fresh caught Clibanarius vittatus [SW, 310/00 salinity (S)] were acclimated to a
dilute medium (150/00 S) for 10 days, employing silver staining to locate gill
ion transporting tissue, immunofluorescence to localize the Na+/K+-ATPase alpha
subunit in the lamellae, and electron microscopy to portray ultrastructural
changes in the gill epithelia. Na+/K+-ATPase activity was characterized
kinetically in a gill microsomal fraction, including synergistic stimulation by
NH4+ plus K+. Silver staining revealed that all 26 phyllobranchiate arthro- and
pleurobranchiae participate in ion transport. Na+/K+-ATPase alpha-subunit
staining was weak in SW crabs and distributed exclusively and irregularly within
the intralamellar septal cells, particularly at the septal-pillar cell body
junctions, and septal cell cytoplasm facing the hemolymph space. In 150/00 S
crabs, alpha-subunit localization was intense, occupying the entire thickened
septum. Pillar cells and flanges did not stain. Mitochondria and membrane
foldings increased in the pillar cell flanges and intralamellar septal cells,
greatly amplifying surface area. Only a single ATP binding site (VM = 130.8 +/-
10.5 nmol min-1 mg protein-1; K0.5 = 55.3 +/- 1.7 MUmol l-1) obeying Michaelis
Menten kinetics was disclosed. Na+/K+-ATPase activity was modulated by Mg2+, Na+,
and NH4+, exhibiting site-site interactions; K+ modulation showed Michaelis
Menten kinetics. K+ plus NH4+ synergistically stimulated activity ~ 1.7-fold.
Ouabain inhibited total ATPase activity by ~ 70% (KI = 220-300 MUmol l-1),
revealing phosphohydrolytic activities other than the Na+/K+-ATPase. Despite
ample phylogenetic separation, the phyllobranchiate lamellae of the Anomura and
Caridea share many ultrastructural features, that is, an intralamellar septum and
opposed abutting pillar cells, similar Na+/K+-ATPase distribution, and comparable
kinetic characteristics. These findings suggest either convergent evolution at
the structural and biochemical levels, or preservation of traits present in a
remote common ancestor.
PMID- 29356456
TI - Mechanisms underlying maternal care in a viviparous snake, Sistrurus miliarius:
Does maternal body condition, corticosterone, or arginine vasotocin mediate post
birth maternal investment?
AB - The level of parental investment in free-living offspring varies greatly within
and among vertebrate taxa, and the mechanisms that mediate investment are likely
targets of selection in the evolution of reproductive strategies. In mammalian
and avian systems, individual investment is adjusted according to parental
energetic status, and regulatory mechanisms have been described. Parental care is
also a component of the life history of several groups of squamates and
archosaurs, but little to nothing is known regarding the mechanisms that underlie
individual variation in parental investment in these groups. Therefore, we
examined the relationships among maternal body condition, corticosterone,
arginine vasotocin (AVT), and maternal care in postparturient pigmy rattlesnakes.
Energetic status was manipulated in pregnant females by supplemental feeding, and
AVT was manipulated in postparturient mothers by intraperitoneal injection.
Circulating corticosterone and body condition were recorded in postparturient
mothers. Mother-offspring spatial relationships were analyzed to determine the
closeness of mother-offspring associations. No significant relationship between
maternal body condition and baseline corticosterone was observed in mothers, and
no significant relationship was found between corticosterone and the level of
maternal association with offspring. Manipulation of AVT did not stimulate
maternal care. There was a strong and repeatable positive relationship between
maternal postparturient body condition and the level of maternal association with
offspring. The lack of a significant correlation between body condition and
corticosterone does not support the hypothesis that a postparturient
glucocorticoid response drives the observed condition-dependence of maternal
offspring association.
PMID- 29356457
TI - Seasonal variation and correlation between corticosterone and the antioxidant
uric acid during stress in the Northern Cardinal (Cardinalis cardinalis).
AB - Glucocorticoids modify metabolism and other physiological processes in order to
mount an appropriate response to stress. This stress response is affected by a
combination of seasonal changes, life-history events, and environmental factors.
Determining seasonal variability and the potential connection between stress
hormones and metabolism is fundamental in understanding seasonal physiological
changes in animals. Here, we compared an indicator of stress (corticosterone)
with an indicator of metabolic activity (uric acid-a non-enzymatic antioxidant
and end product of protein metabolism) during capture and restraint in Northern
Cardinals (Cardinalis cardinalis) during fall, winter, spring, and summer.
Baseline corticosterone, the acute change in corticosterone (Delta10
corticosterone), and integrated corticosterone during capture stress were
significantly different among seasons. For all corticosterone measurements birds
captured in summer consistently had the lowest values while spring birds were
highest. The lower corticosterone stress response in summer may decrease the
likelihood of abandonment and thus protect investment in eggs/chicks. Higher
glucocorticoid secretion in spring may benefit birds competing for nesting sites.
No differences in uric acid levels (baseline, 60 min, and acute change over 60
min- Delta60-uric acid) were found among seasons. While plasma uric acid
significantly decreased over an hour in all seasons examined, there were no
significant correlations between baseline corticosterone and uric acid, time-60
corticosterone and uric acid, and Delta10-corticosterone and Delta60-uric acid.
We conclude that the relationship between corticosterone and metabolism, as
measured by uric acid, is indirect, and seasonal variation occurs with
corticosterone secretion but not with uric acid, as measured here.
PMID- 29356458
TI - Corticosterone transdermal application in toads (Rhinella icterica): Effects on
cellular and humoral immunity and steroid plasma levels.
AB - Previous studies in a variety of vertebrates show stressed animals to exhibit
acute increases in glucocorticoid levels, with consequences for immune
modulation. Chronically elevated glucocorticoid levels are mostly associated with
immunosuppression. However, there is still a paucity of knowledge regarding the
amphibian physiological reaction to short- and long-term stress conditions,
including glucocorticoid effects on the immune response. In order to better
clarify the relationship between glucocorticoids and immune response, newly
captured Brazilian toads (Rhinella icterica) (1 week in captivity) were subjected
to a daily transdermal application (TA), of corticosterone or vehicle, for 30
consecutive days. Measures were made on the first day (acute stressor) and last
day (chronic stressor), at timepoints 1, 6, and 12 hr post TA. A number of
variables were analyzed: corticosterone plasma levels (CORT);
neutrophil/lymphocyte ratio (N:L); testosterone plasma levels (T); innate immune
response, as indicated by bacterial killing ability (BKA); and whole blood
phagocytosis. The corticosterone TA only simulated hormonal changes associated
with the acute stress response, even after 30 consecutive days of the treatment,
with the increased CORT in response after corticosterone TA being evident only 1
hr postapplication and not thereafter. The general responses to corticosterone TA
included increased CORT and N:L at first day of the treatment, and increased CORT
and phagocytosis on the last day of the treatment. A decrease in T and BKA
associated with the time in captivity was also evident, suggesting that captivity
may be a chronic stressor for these toads.
PMID- 29356460
TI - Repeatability and sources of variation of the bacteria-killing assay in the
common snapping turtle.
AB - Research on reptile ecoimmunology lags behind that on other vertebrates, despite
the importance of such studies for conservation and evolution. Because the innate
immune system is highly conserved across vertebrate lineages, assessments of its
performance may be particularly useful in reptiles. The bacteria-killing assay
requires a single, small blood sample and quantifies an individual's ability to
kill microorganisms. The assay's construct validity and interpretability make it
an attractive measure of innate immunity, but it requires proper optimization and
sample storage. We optimized this assay for the common snapping turtle (Chelydra
serpentina) to assess the repeatability of the assay and the effects of freezing
and thawing on bactericidal capacity. We determined whether age (adult female and
hatchlings) or incubation temperature influenced bactericidal capacity. We found
that the assay was repeatable and that freezing plasma samples for 6 weeks at -80
degrees C did not decrease bactericidal capacity nor did a single 30-min thaw and
subsequent refreezing. However, we detected subtle interassay variation and
results from one assay were 5-6% greater than those from the other two. Adult
females had significantly greater bactericidal ability than hatchlings and we
found no relationship between incubation temperature and bactericidal capacity.
This assay is a useful tool in snapping turtles and may have applicability in
other reptiles. However, species-specific optimization is required to ensure that
variation among individuals exceeds interassay variation. Consideration should be
given to optimization conditions that facilitate comparisons between or within
groups, particularly groups that differ considerably in bactericidal capacity.
PMID- 29356459
TI - Costs of immune responses are related to host body size and lifespan.
AB - A central assumption in ecological immunology is that immune responses are
costly, with costs manifesting directly (e.g., increases in metabolic rate and
increased amino acid usage) or as tradeoffs with other life processes (e.g.,
reduced growth and reproductive success). Across taxa, host longevity, timing of
maturity, and reproductive effort affect the organization of immune systems. It
is reasonable, therefore, to expect that these and related factors should also
affect immune activation costs. Specifically, species that spread their breeding
efforts over a long lifetime should experience lower immune costs than those that
mature and breed quickly and die comparatively early. Likewise, body mass should
affect immune costs, as body size affects the extent to which hosts are exposed
to parasites as well as how hosts can combat infections (via its effects on
metabolic rates and other factors). Here, we used phylogenetic meta-regression to
reveal that, in general, animals incur costs of immune activation, but small
species that are relatively long-lived incur the largest costs. These patterns
probably arise because of the relative need for defense when infection risk is
comparatively high and fitness can only be realized over a comparatively long
period. However, given the diversity of species considered here and the overall
modest effects of body mass and life history on immune costs, much more research
is necessary before generalizations are appropriate.
PMID- 29356461
TI - Association between ERalpha polymorphisms and systemic lupus erythematosus:
susceptibility and in silico analysis.
AB - BACKGROUND: Systemic lupus erythematous (SLE) is a multisystem and autoimmune
disorder leading to damage of multi-organ systems. The current study aimed to
assess the possible association between ERalpha gene polymorphisms and SLE in a
southeast Iranian population. METHODS: The ERalpha PvuII and XbaI polymorphisms
were genotyped by polymerase chain reaction restriction fragment length
polymorphism (PCR-RFLP) method in 170 SLE patients and 186 healthy subjects.
RESULTS: There was no association between ERalpha PvuII and XbaI polymorphisms
and SLE susceptibility; however, the combination of the TC/AA and CC/GG genotypes
of ESR alpha PvuII and XbaI polymorphisms were more frequent in SLE patients. The
results indicated that TT haplotype of the ERalpha gene polymorphisms could
increase the SLE risk almost 2.4-fold (odds ratio 2.4, 95% CI 1.3-4.3, P =
0.005). The in silico analysis revealed that the ERalpha PvuII and XbaI single
nucleotide polymorphisms occurred in acceptor splicing sites, and these mutations
can lead to the increase of Human Splicing Finder score of the mutant alleles.
CONCLUSIONS: The ESR alpha PvuII and XbaI polymorphisms have no association with
SLE; however, the combination of the TC/AA and CC/GG genotypes were associated
with SLE susceptibility.
PMID- 29356462
TI - A randomized multicenter clinical trial of 99 Tc-methylene diphosphonate in
treatment of rheumatoid arthritis.
AB - AIM: To investigate the efficacy and safety of technetium-99 conjugated with
methylene diphosphonate (99 Tc-MDP, Yunke Pharmaceutical industry) in the
treatment of rheumatoid arthritis (RA). METHODS: A total of 120 patients with
active RA were randomly divided into three groups: Group A (receiving oral
meloxicam tablets); Group B (receiving intravenous drip of 99 TC-MDP); Group C
(receiving combination treatment of intravenous drip of 99 Tc-MDP and oral
meloxicam tablets). The main clinical and laboratory parameters were evaluated at
baseline and after 14 days of therapy. RESULTS: After 14 days of treatment,
American College of Rheumatology 20 response was 15.62%, 34.04% and 48.78% in the
three groups, respectively. The incidence of adverse events in three groups were
3.13%, 8.51% and 9.76% respectly, and has no significant difference. In addition,
biochemical markers of bone metabolism including bone alkaline phosphatase (BAP),
tartrate resistant acid phosphatase (TRAP) and dickkopf-1 (DKK-1), all improved
in the three groups, although more significant in Group B than Group A, and more
significant in the combination group than monotherapy groups. CONCLUSION: 99 Tc
MDP has good efficacy and safety in the treatment of active RA patients; the
benefit was more remarkable when 99 Tc-MDP was combined with NSAIDs. 99 Tc-MDP
may also have potential to improve bone metabolism.
PMID- 29356464
TI - Thermal performance and acclimatization of a component of snake (Agkistrodon
piscivorus) innate immunity.
AB - Complement-an immune protein cascade involved in pathogen lysis-was discovered as
a temperature-labile component of vertebrate plasma, yet since that time the
thermal performance of complement has not received much attention from a
comparative or ecological perspective. We investigated two thermal hypotheses
involving the complement system of the cottonmouth snake (Agkistrodon
piscivorus). We tested whether complement performance would conform to optimal
thermal reaction norms commonly observed in ectotherm ecophysiological studies,
predicting that complement efficiency would be maximal at or near the
cottonmouth's field body temperatures. We also tested thermal acclimatization of
complement performance, by comparing temperature/performance curves from samples
collected in three different seasons. Complement efficiency exhibited the same
significant positive correlation with temperature in all three seasons. This
seasonally invariable temperature-performance relationship may allow easy
acquisition of behavioral fever, as well as trade-offs between immune performance
and energy balance, ultimately endowing snakes with immunological flexibility not
available to endotherms.
PMID- 29356463
TI - Spatial barriers impact upon appropriate delivery of radiotherapy in breast
cancer patients.
AB - Radiotherapy (RT) is the standard treatment for breast cancer patients after
conserving surgery or mastectomy when patients are at high risk of relapse. Major
obstacles to appropriate RT delivery are journey times. Since studies on access
to RT were carried out mostly in large countries, this study investigated factors
in an Italian region and the influence of RT delivery on survival. A total of
4735 female candidates for RT were included in the study. A geographic
information system calculated journey times from patients' homes and surgery
hospitals to RT centers. Logistic regression analyzed the influence of journey
times, socioeconomic status, and other factors on RT delivery. Survival
probabilities and excess mortality were assessed in 4364 propensity score-matched
patients. Journey times of 40 min or less from residence and from surgery
hospital to RT center played a major role in access to RT. A large survival
difference emerged between treated and untreated breast cancer patients. The
excess mortality for untreated patients compared with propensity score-matched
women receiving RT was 3.1 (95% CI: 2.2-4.3). Expansion of RT facilities during
the 11-year study period improved RT delivery and outcomes by increasing
availability but mainly by shortening journey times.
PMID- 29356493
TI - Cross-Linking-Mass Spectrometry Studies of Cholesterol Interactions with Human
alpha1 Glycine Receptor.
AB - The glycine receptor (GlyR) belongs to a superfamily of pentameric ligand-gated
ion channels (pLGICs) that mediate fast neurotransmission. GlyR typically
modulates inhibitory transmission by antagonizing membrane depolarization through
anion influx. Allosteric interactions between the receptor and its lipid
surroundings affect receptor function, and cholesterol is essential for pLGIC
activity. Cholesterol at compositions below ~33 mol percent has been shown to
have negligible chemical activity, suggesting that specific interactions between
membrane proteins and cholesterol become significant only at concentrations above
this stoichiometric threshold. Human alpha1 GlyR was purified from baculovirus
infected insect cells and reconstituted in unilamellar vesicles at
cholesterol/lipid ratios above and below the cholesterol activity threshold with
equivalent aliquots of azi-cholesterol, a photoactivatable nonspecific cross
linker. After photoactivation, cross-linked cholesterol-GlyR was trypsinized and
mass fingerprinted. Mass shifted peptides containing cholesterol were identified
by electrospray ionization quadrupole time-of-flight mass spectrometry (ESI-Q-TOF
MS), and sites of direct covalent attachment to peptides were refined by targeted
MS/MS. Differential patterns of dozens of cholesterol-GlyR cross-links were
identified in these comparative studies, with sites of cross-linking found
primarily in the fourth transmembrane helix and extramembranous connecting loops
and mapping the lipid-accessible surface of the receptor. Unique cross-linking
observed in both reduced and elevated cholesterol composition suggests different
apo-state structural conformations of GlyR as a function of cholesterol
concentration and, in the latter studies, identified potential specific binding
sites for cholesterol in the receptor.
PMID- 29356494
TI - Controlling the Release from Enzyme-Responsive Microcapsules with a Smart Natural
Shell.
AB - We design a natural and simple core-shell-structured microcapsule, which releases
its cargo only when exposed to lipase. The cargo is entrapped inside a gel
matrix, which is surrounded by a double-layer shell containing an inner solid
lipid layer and an outer polymer layer. This outer polymer layer can be designed
according to the intended biological system and is responsible for protecting the
microcapsule architecture and transporting the cargo to the desired site of
action. The lipid layer contains natural ester bonds, which are digested by
lipase, controlling the release of cargo from the microcapsule core. To
demonstrate the feasibility of this approach, our model system includes a
colorant bixin entrapped inside a kappa-carrageenan gel matrix. This core is
surrounded by an inner beeswax-palmitic acid layer and an outer casein-poloxamer
338 layer. These fabricated microcapsules are then applied into Cheddar cheese,
where they selectively color the cheese matrix.
PMID- 29356495
TI - Translation Termination Factor GSPT1 Is a Phenotypically Relevant Off-Target of
Heterobifunctional Phthalimide Degraders.
AB - Protein degradation is an emerging therapeutic strategy with a unique molecular
pharmacology that enables the disruption of all functions associated with a
target. This is particularly relevant for proteins depending on molecular
scaffolding, such as transcription factors or receptor tyrosine kinases (RTKs).
To address tractability of multiple RTKs for chemical degradation by the E3
ligase CUL4-RBX1-DDB1-CRBN (CRL4CRBN), we synthesized a series of phthalimide
degraders based on the promiscuous kinase inhibitors sunitinib and PHA665752.
While both series failed to induce degradation of their consensus targets,
individual molecules displayed pronounced efficacy in leukemia cell lines.
Orthogonal target identification supported by molecular docking led us to
identify the translation termination factor G1 to S phase transition 1 (GSPT1) as
a converging off-target, resulting from inadvertent E3 ligase modulation. This
research highlights the importance of monitoring degradation events that are
independent of the respective targeting ligand as a unique feature of small
molecule degraders.
PMID- 29356496
TI - Durably Antibacterial and Bacterially Antiadhesive Cotton Fabrics Coated by
Cationic Fluorinated Polymers.
AB - Considerable attention has been devoted to producing antibacterial fabrics due to
their very wide applications in medicine, hygiene, hospital, etc. However, the
poor antibacterial durability and bad bacterial antiadhesion capacity of most
existing antibacterial fabrics limit their applications. In this work, a series
of antibacterial and polymeric quaternary ammonium monomers with different alkyl
chain length were successfully synthesized to copolymerize with fluorine
containing and other acrylic monomers to generate cationic fluorinated polymer
emulsions and durably antibacterial and bacterially antiadhesive cotton fabrics.
The relation between antibacterial constituent and its antibacterial activity was
investigated. The study indicated that the alkyl chain length and contents of the
antibacterial monomers, as well as the add-on percentage of polymer greatly
influenced the antibacterial activities of the fabrics. In addition, it was found
that incorporation of fluorine component into the polymer greatly enhanced the
antibacterial activity and bacterial antiadhesion of the treated fabrics due to
the low surface energy induced hydrophobicity. Finally, antibacterial and
antiadhesive models of action of the obtained fabrics were illustrated.
PMID- 29356497
TI - Effect of Systematic Hydrogenation on the Phase Behavior and Nanostructural
Dimensions of Block Copolymers.
AB - Unsaturated polydienes are frequently hydrogenated to yield polyolefins that are
more chemically stable. Here, the effects of partial hydrogenation on the phase
behavior and nanostructure of polyisoprene-containing block copolymers are
investigated. To ensure access to the order-disorder transition temperature
(TODT) over a wide temperature range, we examine copolymers with at least one
random block. Dynamic rheological and scattering measurements indicate that TODT
increases linearly with increasing hydrogenation. Small-angle scattering reveals
that the temperature-dependence of the Flory-Huggins parameter changes and the
microdomain period increases, while the interfacial thickness decreases. The
influence of hydrogenation becomes less pronounced in more constrained multiblock
copolymers.
PMID- 29356498
TI - Lysosome Enlargement Enhanced Photochemotherapy Using a Multifunctional Nanogel.
AB - Large lysosomes are susceptible toward rupture because of an increased membrane
tension. Here we report a strategy to first enlarge and weaken the lysosome and
then destroy it to boost the efficiency of photochemotherapy using a hyaluronan
nanogel, carrying chloroquine as a lysosomal expander, rhodamine B as a
photosensitive lysosomal destroyer, and cisplatin as a chemotherapeutic. This all
in-one nanogel provides a facile approach and new insight into improve the
photochemotherapy, by making use of lysosome's size, as a risk factor in
lysosomal destabilization.
PMID- 29356499
TI - Mechanistic Understanding Leads to Increased Ionization Efficiency and
Selectivity in Dielectric Barrier Discharge Ionization Mass Spectrometry: A Case
Study with Perfluorinated Compounds.
AB - Perfluorinated compounds have unique properties and many practical applications,
but are difficult to ionize efficiently with soft ionization methods. An active
capillary plasma ionization source based on dielectric barrier discharge
ionization (DBDI) coupled with mass spectrometry was used to study the ionization
pathway of perfluorinated compounds (PFCs), with the aim of both increasing the
ionization efficiency and influencing the selectivity for generating product ions
in negative ion mode. Cyclic and linear perfluorinated alkanes were found to
mainly form [M - F]- and [M - F + O]- ions, respectively; the [M]-* ion was only
obtained at low discharge voltage. Additionally, fluorine attachment [M + F]- was
observed mostly for perfluorinated alkenes. An isotope labeling experiment with
18O2 showed that the primary source of oxygen in the substitution reaction is
molecular oxygen, reacting with the analyte in the form of O-* ions. The
abundance of [M - F + O]- ions can thus be enhanced by increasing the plasma
voltage to produce a higher O-* ion density. The loss of the fluorine (without
substitution by oxygen) was mainly observed at high frequency, a fact which can
be exploited for tuning the ionization toward specific product ions. Overall, the
mechanistic understanding of the ionization of PFCs allowed to increase the
selectivity of the product ions, resulting in increased ionization efficiency.
PMID- 29356500
TI - Investigation of Dual-Ion Beam Sputter-Instigated Plasmon Generation in TCOs: A
Case Study of GZO.
AB - The use of the high free-electron concentration in heavily doped semiconductor
enables the realization of plasmons. We report a novel approach to generate
plasmons in Ga:ZnO (GZO) thin films in the wide spectral range of ~1.87-10.04 eV.
In the grown GZO thin films, dual-ion beam sputtering (DIBS) instigated plasmon
is observed because of the formation of different metallic nanoclusters are
reported. Moreover, formation of the nanoclusters and generation of plasmons are
verified by field emission scanning electron microscope, electron energy loss
spectra obtained by ultraviolet photoelectron spectroscopy, and spectroscopic
ellipsometry analysis. Moreover, the calculation of valence bulk, valence
surface, and particle plasmon resonance energies are performed, and indexing of
each plasmon peaks with corresponding plasmon energy peak of the different
nanoclusters is carried out. Further, the use of DIBS-instigated plasmon-enhanced
GZO can be a novel mean to improve the performance of photovoltaic,
photodetector, and sensing devices.
PMID- 29356501
TI - Cyanostar: C-H Hydrogen Bonding Neutral Carrier Scaffold for Anion-Selective
Sensors.
AB - Cyanostar, a pentagonal macrocyclic compound with an electropositive cavity,
binds anions with CH-based hydrogen bonding. The large size of the cyanostar's
cavity along with its planarity favor formation of 2:1 sandwich complexes with
larger anions, like perchlorate, ClO4-, relative to the smaller chloride. We also
show that cyanostar is selective for ClO4- over the bulky salicylate anions by
using NMR titration studies to measure affinity. The performance of this novel
macrocycle as an anion ionophore in membrane ion sensors was evaluated. The
cyanostar-based electrodes demonstrated a Nernstian response toward perchlorate
with selectivity patterns distinctly different from the normal Hofmeister series.
Different membrane compositions were explored to identify the optimum
concentrations of the ionophore, plasticizer, and lipophilic additive that give
rise to the best perchlorate selectivity. Changing the concentration of the
lipophilic additive tridodecylmethylammonium chloride was found to impact the
selectivity pattern and the analytical dynamic range of the electrodes. The high
selectivity of the cyanostar sensors and their detection limit could enable the
determination of ClO4- in contaminated environmental samples. This novel class of
macrocycle provides a suitable scaffold for designing various anion-selective
ionophores by altering the size of the central cavity and its functionalization.
PMID- 29356502
TI - Crystallographic Structure Analysis of a Ti-Ta Thin Film Materials Library
Fabricated by Combinatorial Magnetron Sputtering.
AB - Ti-Ta thin films exhibit properties that are of interest for applications as
microactuators and as biomedical implants. A Ti-Ta thin film materials library
was deposited at T = 25 degrees C by magnetron sputtering employing the
combinatorial approach, which led to a compositional range of Ti87Ta13 to
Ti14Ta86. Subsequent high-throughput characterization methods permitted a quick
and comprehensive study of the crystallographic, microstructural, and
morphological properties, which strongly depend on the chemical composition. SEM
investigation revealed a columnar morphology having pyramidal, sharp tips with
coarser columns in the Ti-rich and finer columns in the Ta-rich region. By
grazing incidence X-ray diffraction four phases were identified, from Ta-lean to
Ta-rich: omega phase, alpha" martensite, beta phase, and a tetragonal Ta-rich
phase (Ta(tetr)). The crystal structure and microstructure were analyzed by
Rietveld refinement and clear trends could be determined as a function of Ta
content. The lattice correspondences between beta as the parent phase and alpha"
and omega as derivative phases were expressed in matrix form. The beta ? alpha"
phase transition shows a discontinuity at the composition where the martensitic
transformation temperatures fall below room temperature (between 34 and 38 at. %
Ta) rendering it first order and confirming its martensitic nature. A short study
of the alpha" martensite employing the Landau theory is included for a
mathematical quantification of the spontaneous lattice strain at room temperature
(epsilonmax = 22.4(6) % for pure Ti). Martensitic properties of Ti-Ta are
beneficial for the development of high-temperature actuators with actuation
response at transformation temperatures higher than 100 degrees C.
PMID- 29356503
TI - Frequency-Modulated Continuous Flow Analysis Electrospray Ionization Mass
Spectrometry (FM-CFA-ESI-MS) for Sample Multiplexing.
AB - A method for multiplexed sample analysis by mass spectrometry without the need
for chemical tagging is presented. In this new method, each sample is pulsed at
unique frequencies, mixed, and delivered to the mass spectrometer while
maintaining a constant total flow rate. Reconstructed ion currents are then a
time-dependent signal consisting of the sum of the ion currents from the various
samples. Spectral deconvolution of each reconstructed ion current reveals the
identity of each sample, encoded by its unique frequency, and its concentration
encoded by the peak height in the frequency domain. This technique is different
from other approaches that have been described, which have used modulation
techniques to increase the signal-to-noise ratio of a single sample. As proof of
concept of this new method, two samples containing up to 9 analytes were
multiplexed. The linear dynamic range of the calibration curve was increased with
extended acquisition times of the experiment and longer oscillation periods of
the samples. Because of the combination of the samples, salt had little effect on
the ability of this method to achieve relative quantitation. Continued
development of this method is expected to allow for increased numbers of samples
that can be multiplexed.
PMID- 29356504
TI - Morphology of OLED Film Stacks Containing Solution-Processed Phosphorescent
Dendrimers.
AB - Organic light-emitting devices containing solution-processed emissive dendrimers
can be highly efficient. The most efficient devices contain a blend of the light
emitting dendrimer in a host and one or more charge-transporting layers. Using
neutron reflectometry measurements with in situ photoluminescence, we have
investigated the structure of the as-formed film as well as the changes in film
structure and dendrimer emission under thermal stress. It was found that the as
formed film stacks comprising poly(3,4-ethylenedioxythiophene):polystyrene
sulfonate/host:dendrimer/1,3,5-tris(N-phenylbenzimidazol-2-yl)benzene (where the
host was deuterated 4,4'-N,N'-di(carbazolyl)biphenyl or tris(4-carbazol-9
ylphenyl)amine, the host:dendrimer layer was solution-processed, and the 1,3,5
tris(N-phenylbenzimidazol-2-yl)benzene evaporated) had well-defined interfaces,
indicating good wetting of each of the layers by the subsequently deposited
layer. Upon thermal annealing, there was no change in the poly(3,4
ethylenedioxythiophene):polystyrene sulfonate/host:dendrimer interface, but once
the temperature reached above the Tg of the host:dendrimer layer, it became a
supercooled liquid into which 1,3,5-tris(N-phenylbenzimidazol-2-yl)benzene
dissolved. When the film stacks were held at a temperature just above the onset
of the diffusion process, they underwent an initial relatively fast diffusion
process before reaching a quasi-stable state at that temperature.
PMID- 29356505
TI - CNT-Decorated Na3V2(PO4)3 Microspheres as a High-Rate and Cycle-Stable Cathode
Material for Sodium Ion Batteries.
AB - A novel cathode material, carbon nanotube (CNT)-decorated Na3V2(PO4)3 (NVP)
microspheres, was designed and synthesized via spray-drying and carbothermal
reduction methods. The microspheres were covered and embedded by CNTs, the
surfaces of which were also covered by amorphous carbon layers. Thus, a carbon
network composed of CNTs and amorphous carbon layers formed in the materials. The
polarization of a 10 wt % CNT-decorated NVP (NVP/C10) electrode was much less
compared with that of the electrode with pristine NVP without CNTs. The capacity
of the NVP/C10 electrode only decreased from 103.2 to 76.2 mAh g-1 when the
current rates increased from 0.2 to 60 C. Even when cycled at a rate of 20 C, the
initial discharge capacity of the NVP/C10 electrode was as high as 91.2 mAh g-1,
and the discharge capacity was 76.9 mAh g-1 after 150 cycles. The charge-transfer
resistance and ohmic resistance became smaller because of CNT decorating.
Meanwhile, the addition of CNTs can tune the size of the NVP particles and
increase the contact area between NVP and the electrolyte. Consequently, the
resulted NVP had a larger sodium ion diffusion coefficient than that of the
pristine NVP.
PMID- 29356506
TI - Comparing Strategies in the Design of Responsive Contrast Agents for Magnetic
Resonance Imaging: A Case Study with Copper and Zinc.
AB - Magnetic resonance imaging (MRI) has emerged over the years as one of the
preferred modalities for medical diagnostic and biomedical research. It has the
advantage over other imaging modalities such as positron emission tomography and
X-ray of affording high resolution three-dimensional images of the body without
using harmful radiation. The use of contrast agents has further expanded this
technique by increasing the contrast between regions where they accumulate and
background tissues. As MRI most often measures the relaxation rate of water
throughout the body, contrast agents function by modulating the intensity of the
water signal either via improved relaxation or via saturation transfer to
selected exchangeable proton. Among the growing class of MRI contrast agents, a
subset of them called "smart" contrast agents function as responsive probes.
Their ability to increase or decrease their signal intensity is modulated by the
presence of an analyte. These probes offer the unique ability to image the
distribution of an analyte in vivo, thereby opening new possibilities for
diagnostics and for elucidating the role of specific analytes in various
pathologies or biological processes. A number of different strategies can be
exploited to design responsive MRI contrast agents. The majority of contrast
agents are based on GdIII complexes. These complexes can be rendered responsive
in either of two ways: either by modulating the number of inner-sphere water
molecules, q, or via modulating the rotational correlation time, tauR, of the
contrast agent upon substrate binding. The longitudinal relaxivity increases with
the number of inner-sphere water molecules. GdIII complexes can be rendered
responsive if they contain a recognition moiety that can bind to both the open
coordination site of GdIII and to the analyte. When the recognition moiety leaves
the lanthanide ion to bind to the analyte, q increases and therefore so does the
relaxivity. The dependence of relaxivity on rotational correlation time is more
complex and more pronounced at lower magnetic fields. In general, slower tumbling
macromolecules have longer rotational correlation times and higher relaxivities.
Analyte-triggered formation of macromolecules thus also increases relaxivity.
Such macromolecules can either be analyte-templated supramolecular assemblies, or
analyte-enhanced protein-contrast agent complexes. Chemical Exchange Saturation
Transfer (CEST) agents are a newer class of contrast agents that offer the
possibility of multifrequency and thus ratiometric imaging, which in turn enables
quantitative mapping of the concentration of an analyte in vivo under conditions
where the concentration of the contrast agent is not known. Such agents can be
rendered responsive if the analyte changes the number of exchangeable proton(s),
its exchange rate, or its chemical shift. All of these approaches have been
successfully employed for detecting and imaging both copper and zinc, including
in vivo. Magnetic Iron Oxide Nanoparticles (MIONs) are powerful MRI transverse
relaxation agents. They can also be rendered responsive to an analyte if the
latter can control the aggregation of the nanoparticles. For metal ions, this can
be achieved via chemical functionalities that only react to form conjugates in
the presence of the metal ion analyte.
PMID- 29356507
TI - Mechanistic Investigation into the Selective Anticancer Cytotoxicity and Immune
System Response of Surface-Functionalized, Dichloroacetate-Loaded, UiO-66
Nanoparticles.
AB - The high drug-loading and excellent biocompatibilities of metal-organic
frameworks (MOFs) have led to their application as drug-delivery systems (DDSs).
Nanoparticle surface chemistry dominates both biostability and dispersion of DDSs
while governing their interactions with biological systems, cellular and/or
tissue targeting, and cellular internalization, leading to a requirement for
versatile and reproducible surface functionalization protocols. Herein, we
explore not only the effect of introducing different surface functionalities to
the biocompatible Zr-MOF UiO-66 but also the efficacy of three surface
modification protocols: (i) direct attachment of biomolecules [folic acid (FA)
and biotin (Biot)] introduced as modulators for UiO-66 synthesis, (ii) our
previously reported "click-modulation" approach to covalently attach polymers
[poly(ethylene glycol) (PEG), poly-l-lactide, and poly-N-isopropylacrylamide] to
the surface of UiO-66 through click chemistry, and (iii) surface ligand exchange
to postsynthetically coordinate FA, Biot, and heparin to UiO-66. The innovative
use of a small molecule with metabolic anticancer activity, dichloroacetate
(DCA), as a modulator during synthesis is described, and it is found to be
compatible with all three protocols, yielding surface-coated, DCA-loaded (10-20
w/w %) nano-MOFs (70-170 nm). External surface modification generally enhances
the stability and colloidal dispersion of UiO-66. Cellular internalization routes
and efficiencies of UiO-66 by HeLa cervical cancer cells can be tuned by surface
chemistry, and anticancer cytotoxicity of DCA-loaded MOFs correlates with the
endocytosis efficiency and mechanisms. The MOFs with the most promising coatings
(FA, PEG, poly-l-lactide, and poly-N-isopropylacrylamide) were extensively tested
for selectivity of anticancer cytotoxicity against MCF-7 breast cancer cells and
HEK293 healthy kidney cells as well as for cell proliferation and reactive oxygen
species production against J774 macrophages and peripheral blood lymphocytes
isolated from the blood of human donors. DCA-loaded, FA-modified UiO-66
selectively kills cancer cells without harming healthy ones or provoking immune
system response in vitro, suggesting a significant targeting effect and great
potential in anticancer drug delivery. The results provide mechanistic insight
into the design and functionalization of MOFs for drug delivery and underline the
availability of various in vitro techniques to potentially minimize early-stage
in vivo animal studies following the three Rs: reduction, refinement, and
replacement.
PMID- 29356508
TI - High-Efficiency Cycloruthenated Sensitizers for Dye-Sensitized Solar Cells.
AB - Four thiocyanate-free ruthenium sensitizers (DUY24-DUY27) containing 2
thienylpyridine moiety as cyclometalating core were synthesized for dye
sensitized solar cell (DSC) application. To the best of our knowledge, DUY24
DUY27 are four best-efficiency sensitizers having 42%-65% higher efficiencies
compared to those of the published sensitizers comprising the same type of the
cyclometalating ancillary ligands. The significant characteristic of DUY24-DUY27
is their beta-lowest unoccupied spin orbital (beta-LUSO) distributes remarkably
on the cyclometalating ligands, especially on the soft sulfur atom, which
strengthens the interaction between the oxidized dye and iodide ion for efficient
dye regeneration. The photovoltaic performance of DUY24-DUY27-based DSCs supports
that the dye regeneration (therefore the short-circuit photocurrent density (JSC)
of the cell) can be improved by not only lowering the highest occupied molecular
orbital energy level of the dye molecule but also distributing the beta-LUSO
properly on the soft atoms. The study provides an important new guide for
designing high-efficiency ruthenium-based dyes for DSC application.
PMID- 29356509
TI - Conjugation Chemistry-Dependent T-Cell Activation with Spherical Nucleic Acids.
AB - Spherical nucleic acids (SNAs) can be potent sequence-specific stimulators of
antigen presenting cells (APCs). When loaded with peptide antigens, they can be
used to activate the immune system to train T-cells to specifically kill cancer
cells. Herein, the role of peptide chemical conjugation to the DNA, which is used
to load SNAs with antigens via hybridization, is explored in the context of APC
activation. Importantly, though the antigen chemistry does not impede TLR-9
regulated APC activation, it significantly augments the downstream T-cell
response in terms of both activation and proliferation. A comparison of three
linker types, (1) noncleavable, (2) cleavable but nontraceless, and (3)
traceless, reveals up to an 8-fold improvement in T-cell proliferation when the
traceless linker is used. This work underscores the critical importance of the
choice of conjugation chemistry in vaccine development.
PMID- 29356510
TI - Amendment of Agricultural Soil with Metal Nanoparticles: Effects on Soil Enzyme
Activity and Microbial Community Composition.
AB - Several types of engineered nanoparticles (ENPs) are being considered for direct
application to soils to reduce the application and degradation of pesticides,
provide micronutrients, control pathogens, and increase crop yields. This study
examined the effects of different metal ENPs and their dissolved ions on the
microbial community composition and enzyme activity of agricultural soil amended
with biosolids. The activity of five extracellular nutrient-cycling enzymes was
measured in biosolid-amended soils treated with different concentrations (1, 10,
or 100 mg ENP/kg soil) of silver (nAg), zinc oxide (nZnO), copper oxide (nCuO),
or titanium dioxide (nTiO2) nanoparticles and their ions over a 30-day period. At
30 days, nZnO and nCuO either had no significant effect on soil enzyme activity
or enhanced enzyme activity. In contrast, Ag inhibited selected enzymes when
dosed in particulate or dissolved form (at 100 mg/kg). nTiO2 either had no
significant effect or slightly decreased enzyme activity. Illumina MiSeq
sequencing of microbial communities indicated a shift in soil microbial community
composition upon exposure to high doses of metal ions or nAg and negligible shift
in the presence of nTiO2. Some taxa responded differently to nAg and Ag+. This
work shows how metal ENPs can impact soil enzyme activity and microbial community
composition upon introduction into soils amended with biosolids, depending on
their type, concentration, and dissolution behavior, hence providing much needed
information for the sustainable application of nanotechnology in agriculture.
PMID- 29356511
TI - Synthesis and Characterization of Heterobimetallic Iridium-Aluminum and Rhodium
Aluminum Complexes.
AB - We demonstrate the synthesis and characterization of a new class of late
transition-metal-aluminum heterobimetallic complexes via a novel synthetic
pathway. Complexes of this type are exceedingly rare. Joint experimental and
theoretical data sheds light on the electronic effect of ligands containing
aluminum moieties on late-transition-metal complexes.
PMID- 29356512
TI - Characterization of Clinically Relevant Fungi via SERS Fingerprinting Assisted by
Novel Chemometric Models.
AB - Nonculture-based tests are gaining popularity and upsurge in the diagnosis of
invasive fungal infections (IFI) fostered by their main asset, the reduced
analysis time, which enables a more rapid diagnosis. In this project, three
different clinical isolates of relevant filamentous fungal species were
discriminated by using a rapid (less than 5 min) and sensitive surface-enhanced
Raman scattering (SERS)-based detection method, assisted by chemometrics. The
holistic evaluation of the SERS spectra was performed by employing appropriate
chemometric tools-classical and fuzzy principal component analysis (FPCA) in
combination with linear discriminant analysis (LDA) applied to the first relevant
principal components. The efficiency of the proposed robust algorithm is
illustrated on the data set including three fungal isolates (Aspergillus
fumigatus sensu stricto, cryptic A. fumigatus complex species, and Rhizomucor
pusillus) that were isolated from patient materials. The accurate and reliable
discrimination between species of common fungal pathogen strains suggest that the
developed method has the potential as an alternative, spectroscopic-based routine
analysis tool in IFI diagnosis.
PMID- 29356513
TI - Role of Synthesis Method on Luminescence Properties of Europium(II, III) Ions in
beta-Ca2SiO4: Probing Local Site and Structure.
AB - The europium ion probes the symmetry disorder in the crystal structure, although
the distortion due to charge compensation in the case of aliovalent dopant
remains interesting, especially preparation involves low and high temperatures.
This work studies the preparation of the beta-Ca2SiO4 (from here on C2S) particle
from Pechini (C2SP) and hydrothermal (C2SH) methods, and its luminescence
variance upon doping with Eu2+ and Eu3+ ions. The blue shift of the charge
transfer band (CTB) in the excitation spectra indicates a larger Eu3+-O2-
distance in Eu3+ doped C2SH. The changes in vibrational frequencies due to
stretching and bending vibrations in the FTIR and the Raman spectra and binding
energy shift in the XPS analysis confirmed the distorted SiO44- tetrahedra in
C2SH. The high hydrothermal temperature and pressure produce distortion, which
leads to symmetry lowering although doping of aliovalent ion may slightly change
the position of the Ca atoms. The increasing asymmetry ratio value from C2SP to
C2SH clearly indicates that the europium ion stabilized in a more distorted
geometry. It is also supported by Judd-Ofelt analysis. The concentration
quenching and site-occupancy of Eu3+ ions in two nonequivalent sites of C2S were
discussed. The charge state and concentration of europium ions in C2SP and C2SH
were determined using X-ray photoelectron spectroscopy measurements. The C2S
particles were studied by X-ray powder diffraction, FTIR, Raman, BET surface
area, TGA/DTA, electron microscopy, XPS, and luminescence spectroscopy. The
impact of citrate ion on the morphology and particle size of C2SH has been
hypothesized on the basis of the microscopy images. This study provides insights
that are needed for further understanding the structure of C2S and thereby
improves the applications in optical and biomedical areas and cement hydration.
PMID- 29356514
TI - One-Step Solution Combustion Synthesis of Cobalt Nanopowder in Air Atmosphere:
The Fuel Effect.
AB - In this paper, we report a new modified solution combustion synthesis technique
for one-step production of metallic Co nanoparticles. The main unique feature of
our approach is the use of microwave-assisted foam preparation. Also, the effect
of different types of fuels (urea, citric acid, glycine, and
hexamethylenetetramine) on the combustion process and characteristics of
resultant solid products were investigated. It was shown that the combination of
microwave-assisted foam and hexamethylenetetramine as a fuel allows us to produce
metallic Co nanoparticles with the broad size distribution (~5-40 nm), high
coercivity (370 Oe), and high value of saturation magnetization (137 emu/g) by
the one-step solution combustion synthesis under normal air atmosphere without
any post reduction.
PMID- 29356515
TI - Dysregulation of Intestinal Health by Environmental Pollutants: Involvement of
the Estrogen Receptor and Aryl Hydrocarbon Receptor.
AB - To determine how environmental pollutants induce dysbiosis of the gut microbiota,
we exposed adult zebrafish to model pollutants with varied modes of action
(atrazine, estradiol, polychlorinated biphenyl [PCB]126, and PCB153) for 7 days.
Subsequently, metagenomic sequencing of the intestines was performed to compare
the gut microbiomes among the groups. We observed clear compound- and sex
specific responses to xenobiotic stress. Principal component analysis revealed
involvement of the aryl hydrocarbon receptor (AhR) and, to a lesser extent, the
estrogen receptor (ER) in the dysregulation of the intestinal microbiota. The
model pollutants differentially impaired intestinal and hepatic physiological
activities, as indicated by assessments of gut motility, epithelial permeability,
inflammation, and oxidative stress. Correlation analysis showed that abnormal
Aeromonas reproduction, especially in the PCB126 groups, was significantly
positively associated with oxidative damage. Aeromonas closely interacted with
Mannheimia and Blastococcus to regulate intestinal permeability. In summary, we
demonstrated that ER and AhR signaling regulated the dynamics of the gut
microbiota. Our findings provide new mechanistic insight into the complex
interactions between the host metabolism and gut microbiota, which may contribute
to the grouped assessment of environmental pollutants in future.
PMID- 29356516
TI - Stereochemical Sequence Dictates Unimolecular Diblock Copolymer Assembly.
AB - Deciphering the significance of length, sequence, and stereochemistry in block
copolymer self-assembly remains an ongoing challenge. A dearth of methods to
access uniform block co-oligomers/polymers with precise stereochemical sequences
has precluded such studies. Here, we develop iterative exponential growth methods
for the synthesis of a small library of unimolecular stereoisomeric diblock 32
mers. X-ray scattering reveals that stereochemistry modulates the phase behavior
of these polymers, which we rationalize based on simulations carried out on a
theoretical model system. This work demonstrates that stereochemical sequence can
play a crucial role in unimolecular polymer self-assembly.
PMID- 29356517
TI - Combined Approach for the Structural Characterization of Alkali Fluoroscandates:
Solid-State NMR, Powder X-ray Diffraction, and Density Functional Theory
Calculations.
AB - The structures of several fluoroscandate compounds are presented here using a
characterization approach combining powder X-ray diffraction and solid-state NMR.
The structure of K5Sc3F14 was fully determined from Rietveld refinement performed
on powder X-ray diffraction data. Moreover, the local structures of NaScF4,
Li3ScF6, KSc2F7, and Na3ScF6 compounds were studied in detail from solid-state
19F and 45Sc NMR experiments. The 45Sc chemical shift ranges for six- and seven
coordinated scandium environments were defined. The 19F chemical shift ranges for
bridging and terminal fluorine atoms were also determined. First-principles
calculations of the 19F and 45Sc NMR parameters were carried out using plane-wave
basis sets and periodic boundary conditions (CASTEP), and the results were
compared with the experimental data. A good agreement between the calculated
shielding constants and experimental chemical shifts was obtained. This
demonstrates the good potential of computational methods in spectroscopic
assignments of solid-state 45Sc NMR spectroscopy.
PMID- 29356518
TI - Palladium(II)-Stabilized Pyridine-2-Diazotates: Synthesis, Structural
Characterization, and Cytotoxicity Studies.
AB - Well-defined diazotates are scarce. Here we report the synthesis of unprecedented
homoleptic palladium(II) diazotate complexes. The palladium(II)-mediated
nitrosylation of 2-aminopyridines with NaNO2 results in the formation of metal
stabilized diazotates, which were found to be cytotoxic to human ovarian cancer
cells.
PMID- 29356519
TI - Improved Quantitative SERS Enabled by Surface Plasmon Enhanced Elastic Light
Scattering.
AB - The application of surface-enhanced Raman spectroscopy (SERS) for everyday
quantitative analysis is hindered by the point-to-point variability of SERS
substrates that arises due to the heterogeneous distribution of localized
electromagnetic fields across a suite of plasmonic nanostructures. Herein, we
adopt surface-enhanced elastic scattering as a SERS internal standard. Both
elastic and inelastic (i.e., Raman) scattering are simultaneously enhanced by a
given "hot spot", and thus, the surface-enhanced elastic scattering signal
provides a localized intrinsic internal standard that scales across all of the
plasmon-enhanced electromagnetic fields within a substrate. Elastically scattered
light originates from the amplified spontaneous emission (ASE) of the commercial
laser, leading to the formation of a low-wavenumber pseudo band that arises from
the interaction of the ASE and the edge filter. A theoretical model was developed
to illustrate the underlying mechanism supporting this normalization approach.
The normalized Raman signals are independent of the incident laser intensity and
the density of "hot spots" for numerous SERS substrates. Following "hot-spot"
(HS) normalization, the coefficient of variation for the tested SERS substrates
decreases from 10 to 60% to 2%-7%. This approach significantly improves SERS
quantitation of four chloroanilines and enables collection of highly reproducible
analyte adsorption results under both static and dynamic imaging conditions.
Overall, this approach provides a simple means to improve SERS reproducibility
without the need to use additional chemicals as internal standards.
PMID- 29356520
TI - Cysteine-Derived Pleurotin Congeners from the Nematode-Trapping Basidiomycete
Hohenbuehelia grisea.
AB - The discovery of a Hohenbuehelia grisea specimen during a field trip in Northern
Thailand led to the isolation and identification of three novel sulfur-bearing
derivatives of dihydropleurotinic acid (4). Thiopleurotinic acid A (1) was
established by the interpretation of spectral data (HRESIMS, 2D-NMR) as a 2
hydroxy-3-mercaptopropanoic acid conjugate of dihydropleurotinic acid.
Thiopleurotinic acid B (2) was shown to be the N-acetylcysteine conjugate of 4. A
third compound (3) was established as a thiazole-containing derivative. Through
feeding experiments with [U-13C3, 15N]-l-cysteine the formation of all three
metabolites was shown to involve cysteine condensation with 4. The decreased
cytotoxicity and antimicrobial activities of the new derivatives 1-3, compared to
the parent compound 4, indicate a possible detoxification pathway of filamentous
fungi.
PMID- 29356522
TI - Kinetics of Inactivation of Waterborne Enteric Viruses by Ozone.
AB - Ozone is an effective disinfectant against all types of waterborne pathogens.
However, accurate and quantitative kinetic data regarding virus inactivation by
ozone are scarce, because of the experimental challenges associated with the high
reactivity of ozone toward viruses. Here, we established an experimental batch
system that allows tailoring and quantifying of very low ozone exposures and
simultaneously measuring virus inactivation. Second-order ozone inactivation rate
constants (kO3-virus) of five enteric viruses [laboratory and two environmental
strains of coxsackievirus B5 (CVF, CVEnv1, and CVEnv2), human adenovirus (HAdV),
and echovirus 11 (EV)] and four bacteriophages (MS2, Qbeta, T4, and Phi174) were
measured in buffered solutions. The kO3-virus values of all tested viruses ranged
from 4.5 * 105 to 3.3 * 106 M-1 s-1. For MS2, kO3-MS2 depended only weakly on
temperature (2-22 degrees C; Ea = 22.2 kJ mol-1) and pH (6.5-8.5), with an
increase in kO3-MS2 with increasing pH. The susceptibility of the selected
viruses toward ozone decreases in the following order: Qbeta > CVEnv2 > EV ~ MS2
> Phi174 ~ T4 > HAdV > CVF ~ CVEnv1. On the basis of the measured kO3-Virus and
typical ozone exposures applied in water and wastewater treatment, we conclude
that ozone is a highly effective disinfectant for virus control.
PMID- 29356521
TI - Differential Partitioning of Triterpenes and Triterpene Esters in Apple Peel.
AB - Apple peel is a rich source of secondary metabolites, and several studies have
outlined the dietary health benefits of ursane-type triterpenes in apple. Changes
in triterpene metabolism have also been associated with the development of
superficial scald, a postharvest apple peel browning disorder, and postharvest
applications of diphenylamine and 1-methylcyclopropene. Previously, studies have
generated metabolite profiles for whole apple peel or apple wax. In this study,
we report separate metabolic analyses of isolated wax fractions and peel
epidermis to investigate the spatial distribution of secondary metabolites in
peel. In addition to examining previously reported triterpenes, we identified
several unreported fatty acid esters of ursane-type triterpenes (C14-C22). All
free pentacyclic triterpenes and triterpenic acids, with the exception of beta
amyrin, were localized in the wax layer, along with esters of ursolic acid and
uvaol. All sterols, sterol derivatives and alpha-amyrin esters were localized in
the dewaxed peel epidermis.
PMID- 29356523
TI - Structural Transformation of Birnessite by Fulvic Acid under Anoxic Conditions.
AB - The structure and Mn(III) concentration of birnessite dictate its reactivity and
can be changed by birnessite partial reduction, but effects of pH and
reductant/birnessite ratios on the changes by reduction remain unclear. We found
that the two factors strongly affect the structure of birnessite (delta-MnO2) and
its Mn(III) content during its reduction by fulvic acid (FA) at pH 4-8 and
FA/solid mass ratios of 0.01-10 under anoxic conditions over 600 h. During the
reduction, the structure of delta-MnO2 is increasingly accumulated with both
Mn(III) and Mn(II) but much more with Mn(III) at pH 8, whereas the accumulated Mn
is mainly Mn(II) with little Mn(III) at pH 4 and 6. Mn(III) accumulation, either
in layers or over vacancies, is stronger at higher FA/solid ratios. At FA/solid
ratios >=1 and pH 6 and 8, additional hausmannite and MnOOH phases form. The
altered birnessite favorably adsorbs FA because of the structural accumulation of
Mn(II, III). Like during microbially mediated oxidative precipitation of
birnessite, the dynamic changes during its reduction are ascribed to the
birnessite-Mn(II) redox reactions. Our work suggests low reactivity of birnessite
coexisting with organic matter and severe decline of its reactivity by partial
reduction in alkaline environment.
PMID- 29356524
TI - Weighted Averaging Scheme and Local Atomic Descriptor for pKa Prediction Based on
Density Functional Theory.
AB - As a continuation of our work on developing a density functional theory-based pKa
predictor, we present conceptual improvements to our previously published shell
model, which is a hierarchical organization of pKa training sets and which, in
principle, covers all chemical space. The improvements concern the way the
studied chemical compound is associated with the data points from the training
sets. By introducing a new descriptor of the local atomic environment which
foregoes dependence on chemical bonding and connectivity, we are able to
automatically locate molecules from the training set that are most relevant to
the proton dissociation equilibrium under study. This new scheme leads to the
prediction of a single pKa value weighted across multiple training sets and thus
patches a defect disclosed in the formulation of our previous model. Using the
new parametrization approach, the pKa prediction gets rid of outliers reported in
previous applications of our approach, eliminates ambiguity in interpreting the
results, and improves the overall accuracy. Our new treatment accounts for
multiple conformations both on the level of energetics and parametrization.
Illustrative results are shown for several types of chemical structures
containing guanidine, amidine, amine, and phenol functional groups, and which are
representative of practically important large and flexible drug-like molecules.
Our method's performance is compared to the performance of other previously
published pKa prediction methods. Further possible improvements to the
organization of the training sets and the potential application of our new local
atomic descriptor to other kinds of parametrizations are discussed.
PMID- 29356525
TI - Direct and Sequential Two-Photon Double Ionization of Two-Electron Quantum Dots.
AB - In this work we study the double ionization yields and kinetic energy spectra of
a two-electron spherical quantum dot (QD) exposed in laser fields. The
theoretical description is based on an ab initio nonperturbative configuration
interaction theory capable of describing the two-electron QD dynamics in THz and
mid-IR ultrashort laser fields. The QD's confinement potential is approximated to
have a Gaussian-like spatial dependence. We have found that significant
variations of the two-electron kinetic energy patterns and two-photon double
ionization yields occur as we vary the QD's size. For a given laser pulse, the
double ionization yield increases by orders of magnitude when the dot size is
reduced. The size of the QD determines the sequential or direct character of the
two-photon double ionization process. Provided that it is energetically allowed,
the sequential two-photon double ionization process, requiring minimal
interelectronic correlations, becomes dominant over the direct one. In the
sequential regime, the corresponding two-electron kinetic energy spectrum changes
from a broadened single-peaked to a doubly peaked one. Moreover, we also have
identified features in the spectrum that are distinctively different than those
in its atomic counterpart.
PMID- 29356526
TI - Quantum-Chemical Modeling of the First Steps of the Strecker Synthesis: From the
Gas-Phase to Water Solvation.
AB - The two first steps of the Strecker synthesis of glycine, namely (a) addition of
ammonia to formaldehyde to give aminomethanol and (b) its dehydration leading to
methaneimine, is studied using high level quantum chemistry computations (G3B3).
Water solvation is modeled by considering the effect of adding a discrete number
of active or passive molecules of water (up to four) and by immersing the
identified water-solute complexes in a conductor-like polarizable continuum
solvent model. Activation of the reactants by protonation is also examined.
Exhaustive search of microhydrated neutral and protonated aminomethanol has been
performed using a combination of hierarchical and genealogical approaches.
Critical energies associated with all the elementary reaction steps were
estimated using the accurate G3B3 composite method thus providing benchmarks to
discuss the possible occurrence of Strecker synthesis in prebiotic chemistry.
PMID- 29356527
TI - Using Fluorescence Spectroscopy To Identify Milk from Grass-Fed Dairy Cows and To
Monitor Its Photodegradation.
AB - Owing to its high omega-3 fatty acid content, milk from grass-fed dairy cows is
becoming increasingly more attractive to consumers. Consequently, it is important
to identify the origins of such products and to measure their content, at least
relative to some standard. To date, chromatography has been the most extensively
used technique. Sample preparation and cost, however, often reduce its widespread
applicability. Here, we report the effectiveness of fluorescence spectroscopy for
such quantification by measuring the amount of chlorophyll metabolites in the
sample. Their content is significantly higher for milk from grass-fed cows
compared to milk from grain/silage-fed cows. It is 0.11-0.13 MUM in milk samples
from grass-fed cows, whereas in milk from cows fed grain/silage rations, the
concentration was 0.01-0.04 MUM. In various organic milk samples, the chlorophyll
metabolite concentration was in the range of 0.07-0.09 MUM. In addition, we
explored the mechanisms of photodegradation of milk. Riboflavin and chlorophyll
metabolites act as photosensitizers in milk for type-I and type-II reactions,
respectively. It was also observed that the presence of high levels of
chlorophyll metabolites can synergistically degrade riboflavin, contributing to
the degradation of milk quality.
PMID- 29356528
TI - Structural Characterization and Function Prediction of Immunoglobulin-like Fold
in Cell Adhesion and Cell Signaling.
AB - Domains that belong to an immunoglobulin (Ig) fold are extremely abundant in cell
surface receptors, which play significant roles in cell-cell adhesion and
signaling. Although the structures of domains in an Ig fold share common topology
of beta-barrels, functions of receptors in adhesion and signaling are regulated
by the very heterogeneous binding between these domains. Additionally, only a
small number of domains are directly involved in the binding between two
multidomain receptors. It is challenging and time consuming to experimentally
detect the binding partners of a given receptor and further determine which
specific domains in this receptor are responsible for binding. Therefore, current
knowledge in the binding mechanism of Ig-fold domains and their impacts on cell
adhesion and signaling is very limited. A bioinformatics study can shed light on
this topic from a systematic point of view. However, there is so far no
computational analysis on the structural and functional characteristics of the
entire Ig fold. We constructed nonredundant structural data sets for all domains
in Ig fold, depending on their functions in cell adhesion and signaling. We found
that data sets of domains in adhesion receptors show different binding preference
from domains in signaling receptors. Using structural alignment, we further built
a common structural template for each group of a domain data set. By mapping the
protein-protein binding interface of each domain in a group onto the surface of
its structural template, we found binding interfaces are highly overlapped within
each specific group. These overlapped interfaces, we called consensus binding
interfaces, are distinguishable among different data sets of domains. Finally,
the residue compositions on the consensus interfaces were used as indicators for
multiple machine learning algorithms to predict if they can form homotypic
interactions with each other. The overall performance of the cross-validation
tests shows that our prediction accuracies ranged between 0.6 and 0.8.
PMID- 29356529
TI - Static and Dynamic Mechanical Characteristics of Ionic Liquid Modified MWCNT-SBR
Composites: Theoretical Perspectives for the Nanoscale Reinforcement Mechanism.
AB - Well-dispersed, robust, mechanicaly long-term stable functionalized multiwalled
carbon nanotube (f-MWCNT)-styrene butadiene rubber (SBR) nanocomposites were
fabricated via a melt mixing route with the assistance of ionic liquid as a
dispersing agent. The mechanical properties of f-MWCNT/SBR vulcanizates were
compared over a range of loadings, and it was found that the network morphology
was highly favorable for mechanical performance with enlarged stiffness. A
comparative investigation of composite models found that modified Kelly-Tyson
theory gave an excellent fit to tensile strength data of the composites
considering the effect of the interphase between polymer and f-MWCNT. Dynamic
mechanical analysis highlighted the mechanical reinforcement due to the improved
filler-polymer interactions which were the consequence of proper dispersion of
the nanotubes in the SBR matrix. Effectiveness of filler, entanglement density,
and adhesion factor were evaluated to get an in depth understanding of the
reinforcing mechanism of modified MWCNT. The amount of polymer chains immobilized
by the filler surface computed from dynamic mechanical analysis further supports
a substantial boost up in mechanics. The Cole-Cole plot shows an imperfect
semicircular curve representing the heterogeneity of the system and moderately
worthy filler polymer bonding. The combined results of structural
characterizatrion by Raman spectroscopy, cure characteristics, mechanical
properties, and scanning and transmission electron microscopy (SEM, TEM) confirm
the role of ionic liquid modified MWCNT as a reinforcing agent in the present
system.
PMID- 29356530
TI - Probing the Ionic Atmosphere and Hydration of the c-MYC i-Motif.
AB - G-quadruplexes and i-motifs are noncanonical secondary structures of DNA that
appear to play a number of regulatory roles in the genome with clear connection
to disease. Characterization of the forces stabilizing these structures is
necessary for developing an ability to induce G-quadruplex and/or i-motif
structures at selected genomic loci in a controlled manner. We report here the
results of pH-dependent acoustic and densimetric measurements and UV melting
experiments at elevated pressures to scrutinize changes in hydration and ionic
atmosphere accompanying i-motif formation by the C-rich DNA sequence from the
promoter region of the human c-MYC oncogene [5'-d(TTACCCACCCTACCCACCCTCA)] (ODN).
We also conducted pH-dependent acoustic and densimetric characterizations of two
DNA molecules that are compositionally identical to ODN but do not adopt the i
motif conformation, 5'-d(CTCTCACCACACCACACCTCTC) (ODN1) and 5'
d(CACACTCCTCACCTCTCCACAC) (ODN2). Our results reveal that i-motif formation by
ODN is not accompanied by changes in volume and compressibility. The volumetric
similarity of the i-motif and coil states of ODN implies a fortuitous
compensation between changes in the intrinsic and hydration contributions to
volume and compressibility. Analysis of the pH-dependent volumetric profiles of
ODN, ODN1, and ODN2, along with the data on volumetric changes accompanying the
protonation of isolated cytosine and deoxycytidine, suggests that protonation of
the cytosines in the oligonucleotides causes release of the majority if not all
of their counterions to the bulk. Thus, in the i-motif conformation, the oligomer
no longer acts as a polyelectrolyte insofar as counterions are concerned. We
discuss the biological ramifications of our results.
PMID- 29356531
TI - Signatures of a Conical Intersection in Adiabatic Dissociation on the Ground
Electronic State.
AB - Conical intersections are known to cause nonadiabatic transitions, but their
effects on adiabatic dynamics are often ignored. Using the overtone-induced
dissociation of the hydroxymethyl radical as an example, we demonstrate that
ground-state O-H bond rupture is significantly affected by a conical intersection
with an electronically excited state along the dissociation path, despite the
much lower energy of the dissociating state than that of the conical
intersection. In addition to lifetime differences, the geometric phase leads to a
different H2CO rotational state distribution compared with that obtained using
the standard single-state adiabatic model, which constitutes a signature of the
conical intersection.
PMID- 29356533
TI - Access to Fluorazones by Intramolecular Dehydrative Cyclization of Aromatic
Tertiary Amides: A Synthetic and Mechanistic Study.
AB - An efficient synthesis has been developed for the preparation of 9H-pyrrolo[1,2
a]indol-9-ones (fluorazones) from readily available anthranilic acid derivatives
via a one-pot amide- and pyrrole-formation step, followed by an intramolecular
cyclodehydration. The cyclodehydration process is mediated by the activation of
aromatic tertiary amides by triflic anhydride (Tf2O). Comparison of various benzo
substituents is shown to demonstrate the high functional group tolerance of this
transformation. In addition, study of the reaction mechanism is also presented to
unfold the exact role of the applied base additive. Herein, as a first example,
we report our findings that Tf2O-mediated amide activation is obstructed by the
easy protonation of amides by the formed triflic acid during the activation step.
Additionally, it has been also proven that the base additive is not involved in
the transformation of O-triflyliminium triflates into reactive species (e.g.,
nitrilium triflates) and is only responsible to neutralize the superacid to avoid
the protonation of both the secondary or tertiary amides.
PMID- 29356534
TI - Anharmonic Rovibrational Partition Functions for Fluxional Species at High
Temperatures via Monte Carlo Phase Space Integrals.
AB - Monte Carlo phase space integration (MCPSI) is used to compute full dimensional
and fully anharmonic, but classical, rovibrational partition functions for 22
small- and medium-sized molecules and radicals. Several of the species considered
here feature multiple minima and low-frequency nonlocal motions, and efficiently
sampling these systems is facilitated using curvilinear (stretch, bend, and
torsion) coordinates. The curvilinear coordinate MCPSI method is demonstrated to
be applicable to the treatment of fluxional species with complex rovibrational
structures and as many as 21 fully coupled rovibrational degrees of freedom.
Trends in the computed anharmonicity corrections are discussed. For many systems,
rovibrational anharmonicities at elevated temperatures are shown to vary
consistently with the number of degrees of freedom and with temperature once
rovibrational coupling and torsional anharmonicity are accounted for. Larger
corrections are found for systems with complex vibrational structures, such as
systems with multiple large-amplitude modes and/or multiple minima.
PMID- 29356535
TI - Identification of a Novel Positron Emission Tomography (PET) Ligand for Imaging
beta-Site Amyloid Precursor Protein Cleaving Enzyme 1 (BACE-1) in Brain.
AB - Alzheimer's disease (AD) is characterized by accumulation of beta-amyloid (Abeta)
plaques and neurofibrillary tau tangles in the brain. beta-Site amyloid precursor
protein cleaving enzyme 1 (BACE1) plays a key role in the generation of Abeta
fragments via extracellular cleavage of the amyloid precursor protein (APP). We
became interested in developing a BACE1 PET ligand to facilitate clinical
assessment of BACE1 inhibitors and explore its potential in the profiling and
selection of patients for AD trials. Using a set of PET ligand design parameters,
compound 3 (PF-06684511) was rapidly identified as a lead with favorable in vitro
attributes and structural handles for PET radiolabeling. Further evaluation in an
LC-MS/MS "cold tracer" study in rodents revealed high specific binding to BACE1
in brain. Upon radiolabeling, [18F]3 demonstrated favorable brain uptake and high
in vivo specificity in nonhuman primate (NHP), suggesting its potential for
imaging BACE1 in humans.
PMID- 29356532
TI - Targeting Multiple Effector Pathways in Pancreatic Ductal Adenocarcinoma with a G
Quadruplex-Binding Small Molecule.
AB - Human pancreatic ductal adenocarcinoma (PDAC) involves the dysregulation of
multiple signaling pathways. A novel approach to the treatment of PDAC is
described, involving the targeting of cancer genes in PDAC pathways having over
representation of G-quadruplexes, using the trisubstituted naphthalene diimide
quadruplex-binding compound 2,7-bis(3-morpholinopropyl)-4-((2-(pyrrolidin-1
yl)ethyl)amino)benzo[ lmn][3,8]phenanthroline-1,3,6,8(2 H,7 H)-tetraone (CM03).
This compound has been designed by computer modeling, is a potent inhibitor of
cell growth in PDAC cell lines, and has anticancer activity in PDAC models, with
a superior profile compared to gemcitabine, a commonly used therapy. Whole
transcriptome RNA-seq methodology has been used to analyze the effects of this
quadruplex-binding small molecule on global gene expression. This has revealed
the down-regulation of a large number of genes, rich in putative quadruplex
elements and involved in essential pathways of PDAC survival, metastasis, and
drug resistance. The changes produced by CM03 represent a global response to the
complexity of human PDAC and may be applicable to other currently hard-to-treat
cancers.
PMID- 29356536
TI - Phase Behavior of Ammonium Sulfate with Organic Acid Solutions in Aqueous Aerosol
Mimics Using Microfluidic Traps.
AB - Water-soluble organic acids such as dicarboxylic acids are known to form a
significant fraction of organic aerosol mass, yet the chemical composition and
interactions between components in an organic acid-inorganic salt mixed particle
remain unclear. In this study, phase behavior of different mixing ratios of the
salt and organic acids, here 3-methyl glutaric acid and 3-methyl adipic acid, are
investigated with respect to their water activity. A microfluidic pervaporation
approach is used to study different phase transitions of internally mixed aqueous
droplets. Single droplets of varied compositions are trapped and stored in
microfluidic wells until dehydration, where both the water content and the
solution volume of the droplet decrease slowly with time. The volume is
calculated by imaging techniques and correlated with the initial known
concentration of the solution to determine concentrations at each time interval.
The phase transitions of the droplets with changing concentrations are also
observed under an inverted microscope. This study will help determine the
concentration at which a mixture droplet, mimicking organic and inorganic
atmospheric aerosols, changes phase.
PMID- 29356537
TI - Salt Sensitivity of the Thermoresponsive Behavior of PNIPAAm Brushes.
AB - We report investigations on the salt sensitivity of the thermoresponsive behavior
of PNIPAAm brushes applying the quartz crystal microbalance coupled with
spectroscopic ellipsometry technique. This approach enables a detailed study of
the optical and mechanical behavior of the polymer coatings. Additional
conclusions can be drawn from the difference between both techniques due to a
difference in the contrast mechanism of both methods. A linear shift of the phase
transition temperature to lower temperatures with the addition of sodium chloride
was found, similar to the behavior of free polymer chains in solution. The
thermal hysteresis was found to be decreased by the addition of sodium chloride
to the solution, hinting to the interaction of the ions with the amide groups of
the polymer, whereby the formation of hydrogen bonds is hindered. The results of
this study are of relevance to the application of PNIPAAm brushes in biological
fluids and demonstrate the additional potential of the ion sensitivity besides
the better known thermosensitivity.
PMID- 29356538
TI - Ionic-Liquid-Infused Nanostructures as Repellent Surfaces.
AB - In order to prepare lubricant-infused repellent coatings on silica nanostructures
using low vapor pressure ionic liquids as lubricants, we study the wetting
behavior of a set of imidazolium-based ionic liquids with different alkyl side
chains as a function of the applied surface functionalities. We take advantage of
the structural color of inverse opals prepared from a colloidal coassembly
technique to study the infiltration of ionic liquids into these nanoporous
structures. We find that the more hydrophobic ionic liquids with butyl and hexyl
side chains can completely infiltrate inverse opals functionalized with mixed
self-assembled monolayers composed of imidazole groups and aliphatic hydrocarbon
chains, which we introduce via silane chemistry. These molecular species reflect
the chemical nature of the ionic liquid, thereby increasing the affinity between
the liquid and solid surface. The mixed surface chemistry provides sufficiently
small contact angles with the ionic liquid to infiltrate the nanopores while
maximizing the contact angle with water. As a result, the mixed monolayers enable
the design of a stable ionic liquid/solid interface that is able to repel water
as a test liquid. Our results underline the importance of matching chemical
affinities to predict and control the wetting behavior in complex, multiphase
systems.
PMID- 29356539
TI - Curcumin: An Effective or Deceptive Dietary Factor? Challenges for Functional
Food Scientists.
PMID- 29356540
TI - Unravelling the Role of an Aqueous Environment on the Electronic Structure and
Ionization of Phenol Using Photoelectron Spectroscopy.
AB - Water is the predominant medium for chemistry and biology, yet its role in
determining how molecules respond to ultraviolet light is not well understood at
the molecular level. Here, we combine gas-phase and liquid-microjet photoelectron
spectroscopy to investigate how an aqueous environment influences the electronic
structure and relaxation dynamics of phenol, a ubiquitous motif in many
biologically relevant chromophores. The vertical ionization energies of
electronically excited states are important quantities that govern the rates of
charge-transfer reactions, and, in phenol, the vertical ionization energy of the
first electronically excited state is found to be lowered by around 0.8 eV in
aqueous solution. The initial relaxation dynamics following photoexcitation with
ultraviolet light appear to be remarkably similar in the gas-phase and aqueous
solution; however, in aqueous solution, we find evidence to suggest that solvated
electrons are formed on an ultrafast time scale following photoexcitation just
above the conical intersection between the first two excited electronic states.
PMID- 29356541
TI - Photoswitching an Isolated Donor-Acceptor Stenhouse Adduct.
AB - Donor-acceptor Stenhouse adducts (DASAs) are a new class of photoswitching
molecules with excellent fatigue resistance and synthetic tunability. Here,
tandem ion mobility mass spectrometry coupled with laser excitation is used to
characterize the photocyclization reaction of isolated, charge-tagged DASA
molecules over the 450-580 nm range. The experimental maximum response at 530 nm
agrees with multireference perturbation theory calculations for the S1 <- S0
transition maximum at 533 nm. Photocyclization in the gas phase involves
absorption of at least two photons; the first photon induces Z-E isomerization
from the linear isomer to metastable intermediate isomers, while the second
photon drives another E-Z isomerization and 4pi-electrocyclization reaction.
Cyclization is thermally reversible in the gas phase with collisional excitation.
PMID- 29356542
TI - Ultrafast Tailoring of Carbon Surfaces via Electrochemically Attached
Triazolinediones.
AB - The straightforward coupling between a triazolinedione (TAD) unit and citronellyl
derivatives via an Alder-ene reaction has been exploited to tailor the
physicochemical surface properties of glassy carbon (GC) surfaces in an ultrafast
and additive-free manner. For this purpose, we first covalently grafted a TAD
precursor onto GC via electrochemical reduction of an in situ generated diazonium
salt, which was then electrochemically oxidized into the desired GC-bonded TAD
unit. A kinetic study of the modification of this reactive layer with an
electroactive ferrocene probe proved that a complete functionalization was
obtained in merely 1 minute. Further modification experiments with a fluorinated
probe demonstrated that the surface properties can be swiftly tailored on demand.
The different modification steps, as well as the efficiency of this strategy,
were investigated by electrochemistry, contact angle goniometry, and X-ray
photoelectron spectroscopy analysis.
PMID- 29356543
TI - Site-Specifically Labeled Antibody-Drug Conjugate for Simultaneous Therapy and
ImmunoPET.
AB - The conjugation of antibodies with cytotoxic drugs can alter their in vivo
pharmacokinetics. As a result, the careful assessment of the in vivo behavior,
and specifically the tumor-targeting properties, of antibody-drug conjugates
represents a crucial step in their development. In order to facilitate this
process, we have created a methodology that facilitates the dual labeling of an
antibody with both a toxin and a radionuclide for positron emission tomography
(PET). To minimize the impact of these modifications, this chemoenzymatic
approach leverages strain-promoted azide-alkyne click chemistry to graft both
cargoes to the heavy chain glycans of the immuoglobulin's Fc domain. As a proof
of-concept, a HER2-targeting trastuzumab immunoconjugate was created bearing both
a monomethyl auristatin E (MMAE) toxin as well as the long-lived positron
emitting radiometal 89Zr ( t1/2 ~ 3.3 days). Both the tumor targeting and
therapeutic efficacy of the 89Zr-trastuzumab-MMAE immunoconjugate were validated
in vivo using a murine model of HER2-expressing breast cancer. The site
specifically dual-labeled construct enabled the clear visualization of tumor
tissue via PET imaging, producing tumoral uptake of ~70%ID/g. Furthermore, a
longitudinal therapy study revealed that the immunoconjugate exerts significant
antitumor activity, leading to a >90% reduction in tumor volume over the course
of 20 days.
PMID- 29356544
TI - Effect of Concentration on the Interfacial and Bulk Structure of Ionic Liquids in
Aqueous Solution.
AB - Bio and aqueous applications of ionic liquids (IL) such as catalysis in micelles
formed in aqueous IL solutions or extraction of chemicals from biologic materials
rely on surface-active and self-assembly properties of ILs. Here, we discuss
qualitative relations of the interfacial and bulk structuring of a water-soluble
surface-active IL ([C8MIm][Cl]) on chemically controlled surfaces over a wide
range of water concentrations using both force probe and X-ray scattering
experiments. Our data indicate that IL structuring evolves from surfactant-like
surface adsorption at low IL concentrations, to micellar bulk structure
adsorption above the critical micelle concentration, to planar bilayer formation
in ILs with <1 wt % of water and at high charging of the surface. Interfacial
structuring is controlled by mesoscopic bulk structuring at high water
concentrations. Surface chemistry and surface charges decisively steer
interfacial ordering of ions if the water concentration is low and/or the surface
charge is high. We also demonstrate that controlling the interfacial forces by
using self-assembled monolayer chemistry allows tuning of interfacial structures.
Both the ratio of the head group size to the hydrophobic tail volume as well as
the surface charging trigger the bulk structure and offer a tool for predicting
interfacial structures. Based on the applied techniques and analyses, a
qualitative prediction of molecular layering of ILs in aqueous systems is
possible.
PMID- 29356545
TI - Glutaredoxin-1 Silencing Induces Cell Senescence via p53/p21/p16 Signaling Axis.
AB - Glutaredoxin-1 (Grx1) catalyzes deglutathionylation with glutathione as a
cofactor. Accumulating evidence indicates important roles for Grx1 and S
glutathionylation in the aging process; however, further exploration of Grx1
regulated cellular processes is important to understand the functions of Grx1 in
aging. In the present study, we constructed stable Grx1 knockdown or
overexpression human cell lines. Grx1 silencing significantly decreased the
cellular ratio of reduced glutathione (GSH) to oxidized glutathione (GSSG)
(GSH/GSSG ratio), resulting in excessive reactive oxygen species (ROS)
accumulation, whereas Grx1 overexpression decreased cellular ROS levels. Grx1
silencing also increased glutathionylation of DJ-1 and HSP60, contributing to
decreased mitochondrial spare respiration capacity and ATP production. We applied
quantitative proteomics to identify differentially expressed proteins between
Grx1 knockdown and control cells and showed that Grx1 silencing inactivated DNA
replication and damage repair pathways. p53 signaling was activated by Grx1
silencing, which inhibited the CDK4-mediated G1-S transition, resulting in G1
phase cell-cycle arrest and cell senescence, a known hallmark of aging. Taken
together, our results indicate that Grx1 regulates DNA replication and damage
repair processes and is a potential therapeutic target for aging-related
diseases.
PMID- 29356546
TI - Impact of the Formulation Pathway on the Colloidal State and Crystallinity of
Poly-epsilon-caprolactone Particles Prepared by Solvent Displacement.
AB - The formulation pathway and/or the mixing method are known to be relevant in many
out-of-equilibrium processes. In this work, we studied the effect of the mixing
conditions on the physicochemical properties of poly-epsilon-caprolactone (PCL)
particles prepared by solvent displacement. More specifically, water was added in
one shot (fast addition) or drop by drop to PCL solution in tetrahydrofuran (THF)
to study the impact of the mixing process on particle properties including size,
stability, and crystallinity. Two distinct composition maps representing the Ouzo
domain characteristic of the presence of metastable nanoparticles have been
established for each mixing method. Polymer nanoparticles are formed in the Ouzo
domain according to a nucleation and growth (or aggregation) mechanism. The fast
addition promotes a larger nucleation rate, thus favoring the formation of small
and uniform particles. For the drop-by-drop addition, for which the polymer
solubility gradually decreases, the composition trajectories systematically cross
an intermediate unstable region between the solubility limit of the polymer and
the Ouzo domain. This leads to heterogeneous nucleation as shown by the formation
of larger and less stable particles. Particles formed in the Ouzo domain have
semi-crystalline properties. The PCL melting point is decreased with the THF
fraction trapped in particles in accordance with Flory's theory for melt
crystallization. On the other hand, the degree of crystallinity is constant,
around 20% regardless of the THF fraction. No difference between fast and slow
addition could be detected on the semi-crystalline properties of the particles
which emphasize that thermodynamic rather than kinetic factors drive the polymer
crystallization in particles. The recovery of bulk PCL crystallinity after the
removal of THF from particles tends to confirm this hypothesis.
PMID- 29356547
TI - Exploring Biological and Geological Age-related Changes through Variations in
Intra- and Intertooth Proteomes of Ancient Dentine.
AB - Proteomic analyses are becoming more widely used in archeology not only due to
the greater preservation of proteins in ancient specimens than DNA but also
because they can offer different information, particularly relating to
compositional preservation and potentially a means to estimate biological and
geological age. However, it remains unclear to what extent different burial
environments impact these aspects of proteome decay. Teeth have to date been much
less studied than bone but are ideal to explore how proteins decay with time due
to the negligible turnover that occurs in dentine relative to bone. We
investigated the proteome variability and deamidation levels of different
sections of molar teeth from archeological bovine mandibles as well as their
mandibular bone. We obtained a greater yield of proteins from the crown of the
teeth but did not find differences between the different molars analyzed within
each mandible. We also obtained the best variety of protein from a well-preserved
mandible that was not the youngest one in terms of chronological age, showing the
influence of the preservation conditions on the final proteomic outcome.
Intriguingly, we also noticed an increase in abundance levels of fetuin-A in
biologically younger mandibles as reported previously, but the opposite trend in
tooth dentine. Interestingly, we observed higher glutamine deamidation levels in
teeth from the geologically oldest mandible despite it being the biologically
youngest specimen, showing that the archeological age strongly impacts on the
level of deamidations observed, much more so than biological aging. This
indicates that the glutamine deamidation ratio of selected peptides may act as a
good predictor of the relative geochronological age of archeological specimens.
PMID- 29356548
TI - Thermoplasmonic Ignition of Metal Nanoparticles.
AB - Explosives, propellants, and pyrotechnics are energetic materials that can store
and quickly release tremendous amounts of chemical energy. Aluminum (Al) is a
particularly important fuel in many applications because of its high energy
density, which can be released in a highly exothermic oxidation process. The
diffusive oxidation mechanism (DOM) and melt-dispersion mechanism (MDM) explain
the ways powders of Al nanoparticles (NPs) can burn, but little is known about
the possible use of plasmonic resonances in NPs to manipulate photoignition. This
is complicated by the inhomogeneous nature of powders and very fast heating and
burning rates. Here, we generate Al NPs with well-defined sizes, shapes, and
spacings by electron beam lithography and demonstrate that their plasmonic
resonances can be exploited to heat and ignite them with a laser. By combining
simulations with thermal-emission, electron-, and optical-microscopy studies, we
reveal how an improved control over NP ignition can be attained.
PMID- 29356549
TI - Reversibly Switching Wormlike Micelles Formed by a Selenium-Containing Surfactant
and Benzyl Tertiary Amine Using CO2/N2 and Redox Reaction.
AB - Multiresponsive wormlike micelles (WLMs) remain a significant challenge in the
construction of smart soft materials based on surfactants. Herein, we report the
preparation of a viscoelastic wormlike micellar solution based on a new redox
responsive surfactant, sodium dodecylselanylpropyl sulfate (SDSePS), and
commercially available benzyl tertiary amine (BTA) in the presence of CO2. In
this system, SDSePS can be reversibly switched on (selenide) and off (selenoxide)
by a redox reaction, akin to that previously reported for benzylselanyl or
phenylselanyl surfactants. By alternately adding H2O2 and N2H4.H2O, WLMs can be
reversibly broken and formed because of the transformation of the hydrophilic
headgroup of SDSePS, originating from the reversible formation of selenoxide.
Moreover, WLMs can also be switched on and off by cyclically bubbling CO2 and N2
because of the variation of the binding interaction between SDSePS and BTA,
resulting from the reversible protonation of BTA. This interesting and unique
multiresponsive behavior makes the current WLMs a potential candidate for smart
control of the "sol-gel" transition or substantial thickening of solutions.
PMID- 29356550
TI - Operando Monitoring of the Solution-Mediated Discharge and Charge Processes in a
Na-O2 Battery Using Liquid-Electrochemical Transmission Electron Microscopy.
AB - Although in sodium-oxygen (Na-O2) batteries show promise as high-energy storage
systems, this technology is still the subject of intense fundamental research,
owing to the complex reaction by which it operates. To understand the formation
mechanism of the discharge product, sodium superoxide (NaO2), advanced
experimental tools must be developed. Here we present for the first time the use
of a Na-O2 microbattery using a liquid aprotic electrolyte coupled with fast
imaging transmission electron microscopy to visualize, in real time, the
mechanism of NaO2 nucleation/growth. We observe that the formation of NaO2 cubes
during reduction occurs by a solution-mediated nucleation process. Furthermore,
we unambiguously demonstrate that the subsequent oxidation of NaO2 of which
little is known also proceeds via a solution mechanism. We also provide insight
into the cell electrochemistry via the visualization of an outer shell of
parasitic reaction product, formed through chemical reaction at the interface
between the growing NaO2 cubes and the electrolyte, and suggest that this process
is responsible for the poor cyclability of Na-O2 batteries. The assessment of the
discharge-charge mechanistic in Na-O2 batteries through operando electrochemical
transmission electron microscopy visualization should facilitate the development
of this battery technology.
PMID- 29356551
TI - Exploration of the Smallest Diameter Tin Nanowires Achievable with
Electrodeposition: Sub 7 nm Sn Nanowires Produced by Electrodeposition from a
Supercritical Fluid.
AB - Electrodeposition of Sn from supercritical difluoromethane has been performed
into anodic alumina templates with pores down to 3 nm in diameter and into
mesoporous silica templates with pores of diameter 1.5 nm. Optimized deposits
have been characterized using X-ray diffraction, scanning electron microscopy,
and scanning transmission electron microscopy (bright field, high-angle annular
dark field, and energy-dispersive X-ray elemental mapping). Crystalline 13 nm
diameter Sn nanowires have been electrodeposited in symmetric pore anodic
alumina. Direct transmission electron microscopy evidence of sub 7 nm Sn
nanowires in asymmetric anodic alumina has been obtained. These same measurements
present indirect evidence for electrodeposition through 3 nm constrictions in the
same templates. A detailed transmission electron microscopy study of mesoporous
silica films after Sn deposition is presented. These indicate that it is possible
to deposit Sn through the 1.5 nm pores in the mesoporous films, but that the
nanowires formed are not stable. Suggestions of why this is the case and how such
extreme nanowires could be stabilized are presented.
PMID- 29356552
TI - Synthesis of Furo[2,3-b]pyran-2-ones through Ag(I)- or Ag(I)-Au(I)-Catalyzed
Cascade Annulation of Alkynols and alpha-Ketoesters.
AB - Ag(I)- or Ag(I)-Au(I)-catalyzed cascade annulation of alkynols (5-hexyn-1-ol
systems) with alpha-ketoesters involving a dual activation process (pi and sigma)
has been developed for the first time. This reaction proceeds through
cycloisomerization of alkynol to give the 6-endo-enol ether followed by
annulation with an alpha-ketoester to furnish furo[2,3-b]pyran-2-ones in good
yields. Chemical structures of all products were rigorously confirmed by single
crystal X-ray analysis and analogy.
PMID- 29356553
TI - Formal Synthesis of (-)-Codeine by Application of Temporary Thio Derivatization.
AB - Desymmetrization of a p-quinone monoacetal by organocatalytic sulfa-Michael
addition provided rapid access to a C-ring building block for a formal synthesis
of (-)-codeine. By means of a diastereoselective 1,2-addition for A/C-ring union,
an intramolecular nitrone cycloaddition for construction of the phenanthrene
core, and a sulfoxide elimination, an enantiopure key intermediate of the
authors' previous synthesis of racemic codeine was available in 12 steps from
isovanillin.
PMID- 29356554
TI - Development of Software for Automatic Analysis of Intervention in the Field of
Homeopathy.
AB - OBJECTIVE: To study the effect of homeopathic medicines (in higher potencies) in
normal subjects, Peripheral Pulse Analyzer (PPA) has been used to record
physiologic variability parameters before and after administration of the
medicine/placebo in 210 normal subjects. METHODS: Data have been acquired in
seven rounds; placebo was administered in rounds 1 and 2 and medicine in
potencies 6, 30, 200, 1 M, and 10 M was administered in rounds 3 to 7,
respectively. Five different medicines in the said potencies were given to a
group of around 40 subjects each. Although processing of data required human
intervention, a software application has been developed to analyze the processed
data and detect the response to eliminate the undue delay as well as human bias
in subjective analysis. This utility named Automatic Analysis of Intervention in
the Field of Homeopathy is run on the processed PPA data and the outcome has been
compared with the manual analysis. The application software uses adaptive
threshold based on statistics for detecting responses in contrast to fixed
threshold used in manual analysis. RESULTS: The automatic analysis has detected
12.96% higher responses than subjective analysis. Higher response rates have been
manually verified to be true positive. This indicates robustness of the
application software. The automatic analysis software was run on another set of
pulse harmonic parameters derived from the same data set to study cardiovascular
susceptibility and 385 responses were detected in contrast to 272 of variability
parameters. It was observed that 65% of the subjects, eliciting response, were
common. CONCLUSION: This not only validates the software utility for giving
consistent yield but also reveals the certainty of the response. This development
may lead to electronic proving of homeopathic medicines (e-proving).
PMID- 29356556
TI - Treatment of Pulmonary Lymphangioleiomyomatosis during Pregnancy.
PMID- 29356555
TI - Riboflavin Metabolism Variation among Clinical Isolates of Streptococcus
pneumoniae Results in Differential Activation of Mucosal-associated Invariant T
Cells.
AB - Streptococcus pneumoniae is an important bacterial pathogen that causes a range
of noninvasive and invasive diseases. The mechanisms underlying variability in
the ability of S. pneumoniae to transition from nasopharyngeal colonization to
disease-causing pathogen are not well defined. Mucosal-associated invariant T
(MAIT) cells are prevalent in mucosal tissues such as the airways and are
believed to play an important role in the early response to infection with
bacterial pathogens. The ability of MAIT cells to recognize and contain infection
with S. pneumoniae is not known. In the present study, we analyzed MAIT-cell
responses to infection with clinical isolates of S. pneumoniae serotype 19A, a
serotype linked to invasive pneumococcal disease. We found that although MAIT
cells were capable of responding to human dendritic and airway epithelial cells
infected with S. pneumoniae, the magnitude of response to different serotype 19A
isolates was determined by genetic differences in the expression of the
riboflavin biosynthesis pathway. MAIT-cell release of cytokines correlated with
differences in the ability of MAIT cells to respond to and control S. pneumoniae
in vitro and in vivo in a mouse challenge model. Together, these results
demonstrate first that there are genetic differences in riboflavin metabolism
among clinical isolates of the same serotype and second that these likely
determine MAIT-cell function in response to infection with S. pneumoniae. These
differences are critical when considering the role that MAIT cells play in early
responses to pneumococcal infection and determining whether invasive disease will
develop.
PMID- 29356557
TI - The Practice of Respect in the ICU.
AB - Although "respect" and "dignity" are intuitive concepts, little formal work has
addressed their systematic application in the ICU setting. After convening a
multidisciplinary group of relevant experts, we undertook a review of relevant
literature and collaborative discussions focused on the practice of respect in
the ICU. We report the output of this process, including a summary of current
knowledge, a conceptual framework, and a research program for understanding and
improving the practice of respect and dignity in the ICU. We separate our report
into findings and proposals. Findings include the following: 1) dignity and
respect are interrelated; 2) ICU patients and families are vulnerable to
disrespect; 3) violations of respect and dignity appear to be common in the ICU
and overlap substantially with dehumanization; 4) disrespect may be associated
with both primary and secondary harms; and 5) systemic barriers complicate
understanding and the reliable practice of respect in the ICU. Proposals include:
1) initiating and/or expanding a field of research on the practice of respect in
the ICU; 2) treating "failures of respect" as analogous to patient safety events
and using existing quality and safety mechanisms for improvement; and 3)
identifying both benefits and potential unintended consequences of efforts to
improve the practice of respect. Respect and dignity are important considerations
in the ICU, even as substantial additional research remains to be done.
PMID- 29356558
TI - Computed tomographic evaluation of the thymus-does obesity affect thymic fatty
involution in a healthy young adult population?
AB - OBJECTIVE: To determine a relationship between increased body mass index (BMI)
and fatty involution of the thymus in subjects aged between 20 and 30 years.
METHODS: CT images of 94 patients aged between 20 and 30 years were reviewed.
Quantitative thymic mean attenuation was recorded and qualitative thymic
attenuation was assigned to 1 of 4 possible grades. BMI and subcutaneous fat
thickness were documented. Correlations between thymic attenuation, and BMI and
subcutaneous fat thickness were assessed using linear regression models.
Differences in thymic attenuation in overweight vs normal weight patients were
assessed using t-test and Pearson Chi2 analysis. RESULTS: Low mean thymic
attenuation values were associated with higher patient BMI (p = 0.024). Normal
weight patients had a mean quantitative thymic attenuation of 15.5 Hounsfield
unit and overweight patients had a mean quantitative thymic attenuation of -16.4
Hounsfield unit (p = 0.0218). There was a significant association between
increasing subcutaneous fat thickness and reduced mean quantitative thymic
attenuation (p < 0.0001). There was also a significant difference in subcutaneous
fat thickness when comparing qualitatively assessed thymic Grade 0 with grades 2
and 3 (p = 0.027 and 0.001 respectively); and Grade 1 with Grade 3 (p = 0.001).
CONCLUSION: In patients between 20 and 30 years old, the degree of thymic fatty
infiltration is related to BMI. Advances in knowledge: Multidetector CT can
assess fatty involution of the thymus gland. This retrospective study
demonstrates a relationship between BMI and thymus gland fatty involution.
Subjects with increased subcutaneous fat have decreased mean thymus gland
attenuation.
PMID- 29356559
TI - Commemoration of Jack Fowler's life, work, impact and legacy.
AB - Jack Fowler [formally Professor John Francis Fowler PhD, DSc, MD (Hon), FInstP,
FRCR, FBIR, FAAPM, FASTRO, FACRO] was a remarkable scientist, known to many in
the field of clinical radiation biology as at the forefront of applying linear
quadratic dose-fractionation-time modelling to help improve a wide range of
cancer treatments using radiotherapy. His death on 1st December 2016 after a long
career of 60 years was marked by Obituaries in six scientific journals in his
field e.g. 1 - 4 Jack is remembered for his quantification of biologically
effective dose in a wide variety of radiotherapy practices and modified protocols
(supported by experimental-system studies), his extensive publications, his
didactic lecturing and teaching abilities, and his warm personality.
PMID- 29356560
TI - Reply to Yanagisawa: Treatment of Pulmonary Lymphangioleiomyomatosis during
Pregnancy.
PMID- 29356561
TI - Using 24-Hour Weight as Reference for Weight Loss Calculation Reduces
Supplementation and Promotes Exclusive Breastfeeding in Infants Born by Cesarean
Section.
AB - BACKGROUND AND OBJECTIVES: To promote exclusive breastfeeding, supplements are
not recommended without medical indications such as clinical evidence of
dehydration. Loss of >=10% of birth weight (BW) often triggers supplementation
due to nursery staff's concern for dehydration. Studies have demonstrated that
transplacental passage of maternal intrapartum intravenous fluids for anesthesia
may inflate BW. Researchers have proposed using newborn's 24-hour weight (24HW),
after fluid diuresis, as preferred reference for weight loss calculation. The
mother-infant unit at Hartford Hospital, a Baby-Friendly Hospital, implemented
this recommendation into routine practice in March 2014. This study was conducted
to evaluate this practice change's safety and effectiveness in decreasing
supplementation. METHODS: We performed a retrospective chart review on healthy
full-term newborns delivered by C-section in 12 months before (n = 404) and a 12
month period after (n = 263) incorporating the 24HW into routine practice.
Overall supplementation rate, maximum weight loss, length of stay (LoS), and peak
transcutaneous bilirubin (TcB) were compared. RESULTS: Overall supplementation
rate decreased from 43.6% pre- to 27.4% postintervention and in first-time
mothers from 51.9% to 31.0%. Among infants losing >=10% of BW, the
supplementation rate decreased from 63.9% to 26.2%. There was no significant
increase in maximum weight loss, peak TcB level, or LoS overall or in those with
>=10% weight loss from birth. CONCLUSION: Routine use of 24HW as the reference
for newborn weight loss calculation reduced supplementation and did not increase
untoward effects during the hospital stay.
PMID- 29356563
TI - Oxytocin Receptor Antagonist (Atosiban) in the Threat of Preterm Birth: Does It
Have Any Effect on Breastfeeding in the Term Newborn?
AB - AIM: Oxytocin is a hormone involved in the mechanism of breastfeeding, uterine
contractions, and social relationships. Atosiban (competitive oxytocin
antagonist) is one of the most commonly used tocolytics for the threat of preterm
labor in Europe. The aim of this study is to determinate if the administration of
atosiban has any influence in the type of feeding in the term newborn at
discharge. The secondary objective is to verify its effectiveness for the
prevention of preterm delivery and in the possibility of applying treatment to
complete lung maturation. MATERIALS AND METHODS: Retrospective cohort study
carried out in a tertiary University Hospital distinguished by WHO-UNICEF as a
Baby-Friendly Hospital Initiative. The analysis included 264 women exposed to
atosiban during a period of 4 years. One hundred met inclusion criteria.
Unexposed infants born right after and before the exposed ones were selected as
the not exposed subgroup (n = 200). RESULTS: Among women treated with atosiban,
82% maintained exclusively breastfed (EBF), 8% had mixed breastfeeding, and 10%
had formula feeding at discharge. In the nonexposed group, 82% maintained EBF,
9.5% had mixed breastfeeding, and 8.5% had formula feeding at discharge (p =
0.84). 97.5% of pregnant women treated with atosiban received corticosteroid for
lung maturation, and 49.5% completed gestation with term newborns. CONCLUSION:
There were no significant differences in the type of feeding at discharge between
the atosiban group and the nonexposed group. In most cases, the administration of
tocolytic therapy allowed to complete lung maturation.
PMID- 29356562
TI - A radiofrequency device for tract ablation after liver biopsy: a single
institution human feasibility study.
AB - OBJECTIVE: The need for liver biopsy has been increasing because genetic testing
of specimens has become important for determining prognosis and management in
patients with hepatic malignancy. We evaluated the feasibility of a device for
biopsy tract ablation in patients with hepatic masses. METHODS: This prospective
single-center pilot study was approved by our institutional review board and
patients provided written informed consent. Between September 2015 and March
2016, 10 patients (6 males and 4 females, 35-66-years-old) who had hepatic masses
and normal range of platelet count and prothrombin time were enrolled. After
percutaneous ultrasound-guided biopsy, the biopsy tract was ablated with the
device which consists of an insulation sheath and a radiofrequency applicator.
Complications were evaluated with Doppler ultrasound immediately after the
biopsy, with noncontrast abdominopelvic CT the day after the biopsy, and with a
telephone interview 7 days after the biopsy. RESULTS: Tract ablation did not
cause any pain in seven patients and caused minimal pain in three3 patients.
Tract ablation was performed for a mean of 4.8 s (range, 4-6 s). No adverse
events occurred during the procedure. Bleeding through the biopsy tract was not
apparent on Doppler ultrasound, and abnormal fluid was not detected in the
abdominal cavity on CT. Procedure-related complications were not reported on
telephone interview. CONCLUSION: Biopsy tract ablation with the device is
technically feasible. If the device is used appropriately considering its
potential advantages, it may help to reduce the risk of complications associated
with liver biopsy. Advances in knowledge: It is technically feasible to perform
biopsy tract ablation with the radiofrequency ablation device after liver biopsy.
PMID- 29356564
TI - Health professionals' perceptions of developing dementia services in primary care
settings in China: a qualitative study.
AB - OBJECTIVES: Primary care plays a crucial role in the timely diagnosis and proper
management of dementia. Evidence from low and middle income countries is much
needed to inform service development in primary care and to address the dementia
burden in these countries. The aim of this study was to explore community health
professionals' perceptions of dementia service development using China as a case.
METHOD: An interpretive study design was utilized and focus groups were used for
data collection guided by a semi-structured interview guide. Each focus group
lasted between 90-120 min. Thematic analysis was applied for data analysis.
RESULTS: Twenty-one community health professionals participated in this study and
three major themes were identified. These themes are: incorporating dementia
components in the government-subsidized primary care services; an under-prepared
workforce to meet the demand for dementia care; and an enabling environment to
sustain dementia care. CONCLUSION: Government policies, regulations, standards
and guidelines need to be established for dementia service development in primary
care to improve the home care for people with dementia and to create a dementia
friendly society. Regular education and training activities for health
professionals are a way to build dementia care service capacity in primary care.
PMID- 29356565
TI - Intergenerational support, satisfaction with parent-child relationship and
elderly parents' life satisfaction in Hong Kong.
AB - OBJECTIVES: This study examines in what exchange patterns that three types of
intergenerational support are associated with elderly parents' life satisfaction,
and whether elderly parents' evaluation on parent-child relationship plays a
mediation role on those associations. METHOD: Data were drawn from Hong Kong
Panel Survey for Poverty Alleviation. Respondents aged 65 and over were included
( N=504). Three types of support, namely, daily-living, financial, and emotional
support were examined in four patterns-the over-benefited , under-benefited ,
reciprocal and no flow of exchange. A multivariable linear regression was applied
to investigate the association between pattern of intergenerational exchange and
life satisfaction, and mediation analysis was employed to examine the mediating
role of satisfaction with parent-child relationship on their associations.
RESULTS: Elderly parents were less satisfied with their lives when they had no
flow of exchange in daily-living support, and more satisfied when they were under
benefited in financial support, and over-benefited or reciprocal in emotional
support. Elderly parents' satisfaction with parent-child relationship mediated
the association between exchange of emotional support and life satisfaction; but
not the association between daily-living or financial support and life
satisfaction. CONCLUSION: Different types of intergenerational support are
associated with elderly parents' life satisfaction in different patterns.
PMID- 29356567
TI - The psychometric properties of the control, autonomy, self-realisation and
pleasure scale (CASP-19) for older adults with dementia.
AB - INTRODUCTION: Asset based approaches to dementia research and measurement
emphasise the need to also assess the strengths and capabilities that people with
dementia retain, rather than assessing only losses or deficits. The CASP-19
proposes wellbeing as the satisfaction of four 'needs' (control, autonomy, self
realisation and pleasure). The CASP-19 may reflect the asses-based approach and
has been validated in over 20 countries. The aim of this study was to evaluate
the CASP-19's psychometric properties in older adults with dementia. METHODS: An
observational study was conducted at five NHS trusts across England. Participants
were asked to either complete the CASP-19 by interview or self-report, alongside
four other measures to assess psychometric properties. RESULTS: Internal
consistency overall was good (alpha = .856) but the autonomy subscale fell below
the acceptable. The CASP-19 was significantly correlated in the expected
direction with measures of quality of life (r = .707), depression (r = -.707) and
additional measures. It also remained moderately stable over a one-week period
but factor analyses indicated a 12-item measure may be more robust. CONCLUSIONS:
Despite some variations, the CASP-19 appears to have adequate psychometric
properties for older adults with dementia and can be used in future research and
practice.
PMID- 29356566
TI - Pain intensity, depressive symptoms, and functional limitations among older
adults with serious mental illness.
AB - OBJECTIVE: To examine pain-related activity interference as a mediator for the
relationship between pain intensity and depressive symptoms among older adults
with serious mental illness (SMI). METHOD: Ordinary least-squares regressions
were used to investigate the mediation analysis among older adults with SMI (n =
183) from community mental health centers. Analyses used secondary data from the
HOPES intervention study. RESULTS: Higher pain intensity was associated with
greater pain-related activity interference. Higher pain intensity and pain
related activity interference were also associated with elevated depressive
symptoms. Finally, greater pain-related activity interference significantly
mediated the association between higher pain intensity and elevated depressive
symptoms. CONCLUSIONS: These findings demonstrate that pain and depressive
symptoms may be linked to functional limitations. Clinicians and researchers in
the mental health field should better address pain-related activity interference
among older adults with SMI, especially among those with higher pain intensity
and elevated depressive symptoms.
PMID- 29356568
TI - Usability study and pilot validation of a computer-based emotion recognition test
for older adults with Alzheimer's disease and amnestic mild cognitive impairment.
AB - OBJECTIVES: This study aimed to carry out a pilot validation of Affect-GRADIOR, a
computer-based emotion recognition test, with older adults. The study evaluated
its usability, reliability and validity for the screening of people with
Alzheimer's disease (AD) and amnestic mild cognitive impairment (aMCI). METHODS:
The test was administered to 212 participants (76.37 +/- 6.20 years) classified
into three groups (healthy controls, n = 69; AD, n = 84; and aMCI, n = 59) on the
basis of detailed neurological, neuropsychological, laboratory and neuro-imaging
evidence. Data on usability were collected by means of a questionnaire and
automated evaluation. RESULTS: The validated test comprised 53 stimuli and 7
practice items (one per emotion). Participants reported that Affect-GRADIOR was
accessible and user-friendly. It had high internal consistency (ordinal
Cronbach's alpha = 0.96). Test-retest reliability correlations were significant
and robust (r = 0.840, p < 0.001). Exploratory factor analysis supported a seven
factor model of the emotions assessed (neutral expression, happiness, surprise,
disgust, sadness, anger and fear). Receiver operating characteristic curve
analyses suggested that the test discriminated healthy older adults from AD and
aMCI cases. Correct answer score improved MMSE predictive power from 0.547 to
0.560 (Cox & Snell R2, p = 0.012), and Affect-GRADIOR speed of processing score
improved MMSE predictive power from 0.547 to 0.563 (Cox & Snell R2, p = 0.010).
CONCLUSIONS: Affect-GRADIOR is a valid instrument for the assessment of the
facial recognition of emotions in older adults with and without cognitive
impairment.
PMID- 29356570
TI - How do community-dwelling LGBT people perceive sexuality in residential aged
care? A systematic literature review.
AB - OBJECTIVES: To investigate what empirical studies report on the perceptions of
community-dwelling LGBT adults regarding sexuality and sexual expression in
residential aged care (RAC), and how their sexuality should be addressed in RAC.
METHODS: Relevant papers were identified through electronic searches in
databases; and by reference tracking and citation tracking. Data were extracted
using a standardized data extraction form and were compared, related, and
synthesized using thematic analyses. We evaluated the methodological quality of
the studies. RESULTS: Eighteen articles were identified. Three major topics
emerged regarding sexuality in RAC: (1) factors affecting LGBT people's
perceptions, subdivided into (a) discrimination, (b) loss of sexual identity, (c)
failure to acknowledge the same-sex partner, and (d) lack of privacy; (2) LGBT
specific RAC facilities; and (3) characteristics of LGBT friendly RAC facilities
and caregivers. CONCLUSIONS: LGBT people have clear perceptions about how
sexuality and sexual expression is or should be managed in RAC. Despite the
general increase in acceptance of sexual minorities, many community-dwelling LGBT
people believe older LGBT residents are discriminated against because of their
sexual orientation or gender identity. Taking into account these opinions is
crucial for increasing accessibility of RAC to LGBT people and to ensure the
quality of the provided care.
PMID- 29356569
TI - Olanzapine Versus Risperidone in Children and Adolescents with Psychosis: A Meta
Analysis of Randomized Controlled Trials.
AB - OBJECTIVE: To compare the efficacy and safety of olanzapine and risperidone in
children and adolescents (aged <=18 years) with psychosis by conducting a meta
analysis of randomized controlled trials (RCTs). METHODS: Several English and
Chinese databases were searched for studies published before February 8th, 2017.
Two independent investigators screened the studies according to prespecified
criteria and extracted the data. Review Manager 5.3 was used to conduct the data
synthesis. RESULTS: Eight RCTs involving 457 participants (225 participants in
the olanzapine group and 232 participants in the risperidone group) were
included. No significant differences were observed in the mean scores on the
Positive and Negative Syndrome Scale/Brief Psychiatric Rating Scale (standard
mean difference [SMD] = -0.06, 95% confidence intervals [CI] = [-0.31, 0.19], p =
0.63), the positive symptom scores (SMD = -0.09, 95% CI = [-0.32, 0.15], p =
0.48), or the negative symptom scores (SMD = -0.11 95% CI = [-0.34, 0.13], p =
0.38) between the two groups. Regarding adverse effects, the mean increases in
weight (MD = 2.90, 95% CI = [1.41, 4.39], p = 0.0001), body mass index (MD =
0.90, 95% CI = [0.42, 1.38], p = 0.0003), and incidence of hypersomnia (risk
ratios [RR] = 1.98, 95% CI = [1.15, 3.43], p = 0.01) were higher in the
olanzapine group, while the incidence of insomnia (RR = 0.31, 95% CI = [0.11,
0.85], p = 0.02), prolactin elevation (RR = 0.11, 95% CI = [0.01, 0.85], p =
0.03), myotonia (RR = 0.12, 95% CI = [0.03, 0.49], p = 0.003), tremor (RR = 0.22,
95% CI = [0.08, 0.63], p = 0.005), and akathisia (RR = 0.27, 95% CI = [0.12,
0.57], p = 0.0007) was higher in the risperidone group. CONCLUSIONS: There is no
significant difference in efficacy between olanzapine and risperidone for the
treatment of children and adolescents with psychosis, but the side effect
profiles of these two medications differ. High-quality RCTs are needed before
recommending clinical treatment in children and adolescents.
PMID- 29356572
TI - Unmet care needs, care provision and patient satisfaction in patients with a late
life depression: a cross-sectional study.
AB - BACKGROUND: Research has shown that some 30% of total care needs in people with
late-life depression (LLD) are unmet. It is not known to what extent patients
actually don't receive any care for these needs or consider the care to be
insufficient and their satisfaction with the provided care. AIM: The aim of this
study is to obtain insight into the care provided in relation to the reported
unmet care needs and satisfaction with the total care provided is examined.
METHOD: A cross-sectional study of 99 people with LLD in an ambulatory setting.
RESULTS: In 67% of patients, at least one unmet need was ascertained. In most
cases (80%) care was actually provided for those needs by professionals and/or
informal caregivers. Patients were satisfied with the care delivered for 81% of
the reported care needs. Satisfaction was lowest for social care needs (67%). For
six specific care needs it was demonstrated that dissatisfied patients were
significantly more depressed than satisfied patients. CONCLUSION: Even though
patients might receive care for certain needs, this does not mean that their
needs are met. A substantial proportion of patients with LDD feel that they need
additional help for unmet needs.
PMID- 29356571
TI - A Biomimetic Emu Oil-Blended Electrospun Nanofibrous Mat for Maintaining Stemness
of Adipose Tissue-Derived Stem Cells.
AB - BACKGROUND: Emu oil (EO) with anti-inflammatory, antioxidative, and wound healing
properties can be blended for preparing bioactive nanofibrous scaffold. Adipose
tissue-derived stem cells (ADSCs) are promising candidates for tissue
engineering, and preserving their stemness potential is vital for further
therapeutic applications. AIM: The aim of this study was to fabricate EO-blended
nanofiber and investigate its effect on proliferation, survival, and stemness
preservation of ADSCs. MATERIALS AND METHODS: Pure EO composition was
characterized using a gas chromatograph mass spectrometer. EO-PCL-polyethylene
glycol (PEG) nanofibers were successfully fabricated using an electrospinning
technique and characterized by field emission scanning electron microscopy (FE
SEM) and fourier-transform infrared spectroscopy (FTIR). Cell viability and
adhesion were measured using the MTT assay and FE-SEM. Finally, quantitative PCR
(qPCR) was used to quantify the expression level of cell cycle regulated genes
and pluripotency-associated transcription factors. RESULTS: Findings showed that
20% (w/w) of EO is the optimum oil content in the electrospun solution to achieve
good morphology and ultrafine fibers. The relatively high optical densities and
FE-SEM images indicated that EO highly supported cell adhesion and proliferation
on the matrices. In addition, EO-PCL-PEG electrospun nanofibrous mats
significantly upregulated the expression levels of cell cycle regulated genes
(Cyclin D1, pRb, and P53) and stemness markers (Nanog, OCT-4, Rex-1, and Sox-2)
than PCL-PEG nanofiber and tissue culture polystyrene in 7 and 14 days of cell
culture. CONCLUSION: These results demonstrate that the EO-blended nanofibrous
mat can be used as a bioactive scaffold to support cell adhesion and
proliferation while simultaneously maintaining the stemness of ADSCs.
PMID- 29356573
TI - Spur-of-the-Moment Modification in National Treatment Policies Leads to a
Surprising HCV Viral Suppression in All Treated Patients: Real-Life Egyptian
Experience.
AB - The aim of this study was to retrospectively analyze the outcome of an
unscheduled change in national Egyptian policies for the treatment of hepatitis C
virus (HCV), which was transpired as a result of a reduction in interferon
supplies, and to manage patients who already started interferon-based therapy.
After completing a priming 4-weeks course of sofosbuvir/pegylated
interferon/ribavirin (SOF/PEG IFN/RBV), a 12-weeks course of
sofosbuvir/daclatasvir (SOF/DCV) combination was initiated. We evaluated the
sustained virologic response at 12 weeks posttreatment (SVR12) for 2 groups of
patients; Group 1, which included patients who had the previous regimen with IFN
priming, and group 2, which included the first consecutive group of patients who
received SOF/DCV for 12 weeks from the start without IFN priming. All group 1
patients (1,214 patients) achieved SVR12 (100%) and this was statistically
significant when compared with the overall SVR12 in group 2 [8,869 patients with
sustained virologic response [SVR] of 98.9%] (P value <0.001). No serious adverse
events were reported in both groups. In this real-life treatment experience,
interferon-based directly acting antiviral treatment with SOF/PEG IFN/RBV as a
priming for 4 weeks, followed by SOF/DCV combination for 12 weeks, led to HCV
viral suppression in all treated patients.
PMID- 29356574
TI - Pyruvate Kinase M2 Modulates the Glycolysis of Chondrocyte and Extracellular
Matrix in Osteoarthritis.
AB - Pyruvate kinase M2 (PKM2) has been wildly verified to modulate glycolysis in
tumor cells. However, the role of PKM2 on the glycolysis of osteoarthritis (OA)
chondrocytes is still unclear. In present study, we investigate the function of
PKM2 on OA chondrocyte glycolysis and the collagen matrix generation in vitro.
Results showed that PKM2 was upregulated in OA chondrocytes compared with healthy
control chondrocytes. In OA chondrocytes, ATP expression was lower compared with
healthy control chondrocytes. Loss-of-function experiment showed that PKM2
knockdown mediated by lentivirus transfection could significantly suppress the
glucose consumption and lactate secretion levels and decrease glucose transporter
1 (Glut-1), lactate dehydrogenase A (LDHA), and hypoxia inducible factor 1-alpha
(HIF-1alpha), indicating the inhibition of PKM2 knockdown on glycolysis.
Moreover, Cell Counting Kit-8 (CCK-8), flow cytometry, and terminal
deoxynucleotidyl transferase-mediated dUTP nick end labeling (TUNEL) assay showed
that PKM2 knockdown inhibited OA chondrocyte proliferation and promoted the
apoptosis. Western blot and immunocytochemical staining showed that PKM2
knockdown downregulated the expression levels of COL2A1 and SOX-9. In summary,
our results conclude that PKM2 modulates the glycolysis and extracellular matrix
generation, providing the vital role of PKM2 on OA pathogenesis and a novel
therapeutic target for OA.
PMID- 29356575
TI - Anxiety Partially Mediates Cybersickness Symptoms in Immersive Virtual Reality
Environments.
AB - The use of virtual reality (VR) in psychological treatment is expected to
increase. Cybersickness (CS) is a negative side effect of VR exposure and is
associated with treatment dropout. This study aimed to investigate the following:
(a) if gender differences in CS can be replicated, (b) if differences in anxiety
and CS symptoms between patients and controls can be replicated, and (c) whether
the relationship between exposure to VR and CS symptoms is mediated by anxiety. A
sample (N = 170) of participants with different levels of psychosis liability was
exposed to VR environments. CS and anxiety were assessed with self-report
measures before and after the VR experiment. This study replicated gender
differences in CS symptoms, most of which were present before exposure to VR. It
also replicated findings that a significant correlation between anxiety and CS
can be found in healthy individuals, but not in patients. In a VR environment,
anxiety partially mediated CS symptoms, specifically nausea and disorientation. A
partial explanation for the differences found between patients and controls may
lie in a ceiling effect for the symptoms of CS. A second explanation may be the
partial overlap between CS symptoms and physiological anxiety responses. CS
symptoms reported at baseline cannot be explained by exposure to VR, but are
related to anxiety. Caution is required when interpreting studies on both CS and
anxiety, until the specificity in measurements has been improved. Since anxiety
mediated the CS symptoms, CS is expected to decline during treatment together
with the reduction of anxiety.
PMID- 29356577
TI - History of the Treatment of Female Sexual Dysfunction(s).
AB - This article reviews the history of the treatment of women's sexual problems from
the Victorian era to the twenty-first century. The contextual nature of
determining what constitutes female sexual psychopathology is highlighted.
Conceptions of normal sexuality are subject to cultural vagaries, making it
difficult to identify female sexual dysfunctions. A survey of the inclusion,
removal, and collapsing of women's sexual diagnoses in the Diagnostic and
Statistical Manual of Mental Disorders from 1952 to 2013 illuminates the biases
in the various editions. Masters and Johnson's models of sexual response and
dysfunction paved the way for the diagnosis and treatment of women's sexual
dysfunctions. Their sex therapy paradigm is described. Conceptions of and
treatments for anorgasmia, arousal difficulties, vaginismus, dyspareunia, and low
desire are reviewed. The medicalization of human sexuality and the splintering of
sex therapy are discussed, along with current trends and new directions in sexual
health care for women.
PMID- 29356576
TI - Effect of Alpha-Lipoic Acid on Clinical and Neurophysiologic Recovery of Carpal
Tunnel Syndrome: A Double-Blind, Randomized Clinical Trial.
AB - The objective of our study was to examine the effect of alpha-lipoic acid (ALA)
on clinical and neurophysiologic outcomes after surgery for idiopathic carpal
tunnel syndrome (CTS). We conducted a randomized, double-blind, placebo
controlled clinical trial in 20 adults diagnosed with idiopathic CTS after
clinical and neurophysiologic assessment. Eligible participants took 600 mg ALA
or placebo per day for 1 month before surgery, and for 2 months afterward.
Further clinical and neurophysiologic assessments were undertaken immediately
before surgical decompression, and at 12 weeks postoperatively with additional
clinical assessments at the 4th and 8th week after surgery. Clinical outcome
measures were taken by Boston Questionnaire score, the presence or absence of
Tinel's sign, and Phalen's test findings. Median nerve conduction studies were
also undertaken and interpreted according to Dumitru's reference values. Nineteen
patients completed the study; one member of the placebo group was lost during
follow-up. There were significant improvements in clinical and neurophysiologic
variables in the ALA treatment group, present even before surgery. Boston
Questionnaire scores had improved significantly in both groups. In the ALA group,
none of the participants had positive Phalen's or Tinel's signs at 12 weeks, and
motor and sensory fiber latency and amplitude had significantly improved; in the
placebo group, only the sensory distal latency had improved significantly. In
conclusion, ALA administered 1 month before open decompression and for 2 months
afterward improves the clinical and neurophysiologic outcomes after surgery.
PMID- 29356578
TI - No Evidence for the Pathogenicity of the BRCA2 c.6937 + 594T>G Deep Intronic
Variant: A Case-Control Analysis.
AB - BACKGROUND: The role of deep intronic variants in hereditary cancer
susceptibility has been largely understudied. Previously, the BRCA2 c.6937 +
594T>G variant has been shown to preferentially promote the inclusion of a 95
nucleotide cryptic exon and to introduce a premature termination codon. Our
objective was to further assess the pathogenicity of the BRCA2 c.6937 + 594T>G
deep intronic variant. PATIENTS AND METHODS: We examined the association between
BRCA2 c.6937 + 594T>G and breast cancer (BC) risk in 464 BC cases and 497
noncancer controls from Puerto Rico. RESULTS: The overall frequency of the G
allele was 2.1% in this population. There was no association between the TG/GG
genotypes and BC risk in the uncorrected model and after correcting for
confounders. There was only one carrier of the GG genotype. This individual did
not have personal or family history of cancer and did not meet the National
Comprehensive Cancer Network criteria for hereditary cancer genetic testing.
CONCLUSIONS: Although previous work has demonstrated that the BRCA2 c.6937 +
594T>G variant affects splicing, this association study does not support a
pathogenic role for the BRCA2 c.6937 + 594T>G intronic variant in breast and
ovarian cancer syndrome susceptibility. Furthermore, it emphasizes the need to
take into account multiple diverse populations in association studies for the
assessment of variant pathogenicity.
PMID- 29356579
TI - Insulin Treatment Cannot Promote Lipogenesis in Rat Fetal Lung in Gestational
Diabetes Mellitus Because of Failure to Redress the Imbalance Among SREBP-1,
SCAP, and INSIG-1.
AB - Gestational diabetes mellitus (GDM) has a higher incidence of neonatal
respiratory distress syndrome, and lipogenesis is required for the synthesis of
pulmonary surfactants. The aim of this study was to determine the effect of
insulin treatment in GDM on the production of lipids in the lungs of fetal rats.
GDM was induced by streptozotocin, and insulin was used to manage diabetes. Type
II alveolar epithelial cells (AEC II), bronchoalveolar lavage fluid (BALF), and
lung tissues of the neonatal rats were sampled for analyses. Insulin treatment
could not decrease plasma glucose to normal level at a later gestational stage.
Lipids/phospholipids in AEC II, BALF, and lung tissues decreased in GDM, and
insulin treatment could not increase the levels; quantitative PCR and western
blotting demonstrated a lower level of sterol regulator element-binding protein 1
(SREBP-1), SREBP cleavage-activating protein (SCAP), and insulin-induced gene 1
(INSIG-1) in GDM, but insulin treatment upregulated only SREBP-1. Nuclear
translocation of the SREBP-1 protein in AEC II was impaired in GDM, which could
not be ameliorated by insulin treatment. These findings indicated that insulin
treatment in GDM cannot promote lipogenesis in the fetal lung because of failure
to redress the imbalance among SREBP-1, SCAP, and INSIG-1.
PMID- 29356580
TI - Natural Products from Single Plants as Sleep Aids: A Systematic Review.
AB - Insufficient sleep, insomnia, and sleep-related problems are important health
issues, as their overall prevalence accounts for about 30% of the general
population. The aim of this study was to systematically review previous studies
investigating the effects of orally administered single plant-derived extracts on
sleep-related outcomes in humans. Data sources were PubMed, Google Scholar, and
Cochrane Library. The data search was conducted in two steps: step 1, names of
plants which have been studied as sleep aids in humans were searched and
retrieved; and step 2, each ingredient listed in step 1 was then added into the
search term. Only original articles or reviews were applicable to the scope of
this review. Studies on human subjects, with or without sleep-related disorders,
were included. Sleep-related disorders refer to not only insomnia or sleep
behavior disorders but also diseases with sleep-related symptoms. Studies were
considered eligible for this review when the plant extracts were administered
orally. Outcome measures relevant to sleep quality, duration, or other sleep
related problems were included. Twenty-one plants were listed in the first step
of the search as potential candidates for natural sleep aids. Seventy-nine
articles using these single plant-derived natural products were included in the
final review. Although valerian was most frequently studied, conflicting results
were reported, possibly due to the various outcome measures of each study. Other
plants were not as rigorously tested in human studies. There was limited evidence
with inconclusive results regarding the effects of single plant-derived natural
products on sleep, warranting further studies.
PMID- 29356581
TI - Acanthosis Palmaris: A Rare Paraneoplastic Manifestation of Non-Small-Cell Lung
Cancer.
PMID- 29356582
TI - Treating Tretinoin Ostealgia With Pamidronate.
PMID- 29356583
TI - Comparison of Antiobesity Effects Between Gochujangs Produced Using Different
Koji Products and Tabasco Hot Sauce in Rats Fed a High-Fat Diet.
AB - In this study, we compared the antiobesity effects between gochujangs prepared
using different koji products and Tabasco hot sauce in rats fed a high-fat diet
(HFD). Male Sprague-Dawley rats were fed HFD containing four different types of
10% gochujang powder or 0.25% commercial Tabasco sauce powder for 8 weeks. The
body weight gain, liver and epididymal and mesenteric fat pad weights, serum
leptin levels, and lipogenesis-related mRNA levels of HFD-gochujang
supplementation groups were significantly decreased compared with those of the
HFD group. In addition, gochujang supplement significantly reduced adipocyte
size; hepatic triglyceride and total cholesterol levels; the occurrence of fatty
liver deposits and steatosis by inhibiting lipogenesis through downregulation of
fatty acid synthase, acetly-CoA carboxylase, and glucose-6-phosphate
dehydrogenase. These effects were greater in the gochujang-supplemented groups
than the Tabasco hot sauce-supplemented group. The gochujang prepared by
nutritious giant embryo rice koji and soybean koji was most effective in terms of
antiobesity effects, compared with the other tested gochujangs. In gochujangs,
the antiobesity effects are mediated by high levels of secondary metabolites such
as isoflavone, soyasaponin, capsaicin, and lysophosphatidylcholine. The current
results indicated that the gochujang products have the potential to reduce fat
accumulation and obesity.
PMID- 29356584
TI - Self-Reactive B Cells in the Germinal Center Reaction.
AB - Maintenance of immunological self-tolerance requires lymphocytes carrying self
reactive antigen receptors to be selectively prevented from mounting destructive
or inflammatory effector responses. Classically, self-tolerance is viewed in
terms of the removal, editing, or silencing of B and T cells that have formed
self-reactive antigen receptors during their early development. However, B cells
activated by foreign antigen can enter germinal centers (GCs), where they further
modify their antigen receptor by somatic hypermutation (SHM) of their
immunoglobulin genes. The inevitable emergence of activated, self-reactive GC B
cells presents a unique challenge to the maintenance of self-tolerance that must
be rapidly countered to avoid autoantibody production. Here we discuss current
knowledge of the mechanisms that enforce B cell self-tolerance, with particular
focus on the control of self-reactive GC B cells. We also consider how self
reactive GC B cells can escape self-tolerance to initiate autoantibody production
or instead be redeemed via SHM and used in productive antibody responses.
PMID- 29356585
TI - Correlation Between Single-Nucleotide Polymorphisms Within miR-30a and Related
Target Genes and Risk or Prognosis of Nephrotic Syndrome.
AB - This study was aimed to figure out the association of single-nucleotide
polymorphisms (SNPs) within miR-30a and its downstream molecules (i.e., Notch1,
Snail1, p53, CD73, and TET1) with susceptibility to and prognosis of nephrotic
syndrome (NS). In the aggregate, 265 patients and 281 healthy controls were
gathered, and related laboratory indicators were examined. The miR-30a, Notch1,
Snail1, TET1, p53, and CD73 expressions were also evaluated by quantitative real
time polymerase chain reaction (qRT-PCR), immunohistochemistry, or enzyme-linked
immunosorbent assay. Besides, the SNPs were genotyped by RT-PCR with aid of ABI
PRISMTM 377 DNA sequencing instrument. As a result, the NS patients were
correlated with remarkably higher 24-h protein excretion, random urine
protein/creatinine (UPCR), and serum creatinine, along with lower estimated
glomerular filtration rate and serum albumin, when compared with normal subjects
(p < 0.05). Furthermore, significant correlations were present between miR-30a
expression and the expressions of Notch1 (rs = -0.350), p53 (rs = -0.339), CD73
(rs = -0.300), TET1 (rs = -0.249), and Snail1 (rs = -0.829) (all p < 0.05). The
SNPs of miR-30a [i.e., rs2222722 (C>T)], Notch1 [i.e., rs3124599 (G>A), rs3124591
(C>T), and rs139994842 (G>A)], Snail1 [i.e., rs6020178 (T>C)], p53 [i.e.,
rs1042522 (C>G)], and CD73 [i.e., rs9444348 (G>A) and rs4431401 (T>C)] were
significantly correlated with both differed NS risk and altered hormone
sensitivity to NS (all p < 0.05). Moreover, haplotype AC of CD73 and haplotype
ATG of Notch1 were the helpful factors against NS (p < 0.05), yet haplotype GT of
CD73 functioned oppositely (p < 0.05). The haplotype AT of CD73 was beneficial to
the NS patients for that the carriers could be treated with hormones without
severe complications (p < 0.05). Conclusively, the SNPs situated within miR-30a
and its downstream molecules (i.e., Notch1, Snail1, p53, CD73, and TET1) could
become the promising biomarkers for both NS diagnosis and prediction of NS
prognosis.
PMID- 29356586
TI - Fate of Paralytic Shellfish Toxins in Southern Rock Lobster ( Jasus edwardsii)
during Cooking: Concentration, Composition, and Distribution.
AB - Paralytic shellfish toxin (PST) producing microalgal blooms have a significant
economic impact on the Southern Rock Lobster ( Jasus edwardsii) fishery in
Tasmania, Australia. The regulatory level of 0.8 mg of saxitoxin (STX) eq/kg in
place for bivalve shellfish fisheries is applied to lobster hepatopancreas during
blooms of toxic algae, resulting in harvest closures and ongoing risk management
implications for the fishery. This cooking study was undertaken to inform a human
health risk assessment, in conjunction with studies on the uptake and elimination
of PST in J. edwardsii. Live lobsters in tanks were contaminated through
consumption of PST-containing mussels harvested during an Alexandrium tamarense
Group 1 bloom event. This resulted in a mean lobster hepatopancreas level of 2.83
+/- 0.84 mg of STX.2HCl eq/kg. Other edible tissues contained negligible
concentrations of toxin. PST concentrations in all tissues did not significantly
change after boiling or steaming, although the amount of hepatopancreas available
for consumption did decrease significantly with both cooking methods, because the
tissue became more dispersed, resulting in an overall reduction in the toxin
exposure per hepatopancreas consumed. The toxin profile was dominated by STX;
gonyautoxin 2, 3; N-sulfocarbamoyl-gonyautoxin 2, 3 (C1,2); and gonyautoxin 5. No
significant changes to the toxin profile were observed after either of the
cooking methods. Pate, bisque, and souffle prepared from the hepatopancreas of
toxic lobsters contained negligible levels of PST in each serving; on average, a
serving of pate contained 0.01 mg of STX.2HCl eq, whereas a serving of bisque or
souffle contained <0.01 mg of STX.2HCl eq. The findings of this study will inform
a risk assessment of PST in J. edwardsii to determine risk management options for
this fishery in Australia.
PMID- 29356587
TI - Biochemical, Oxidative, and Physiological Changes Caused by Acute Exposure of
Fentanyl and Its 3 Analogs in Rodents.
AB - Synthesis and bioefficacy of fentanyl and its 8 new 1-substituted analogs (1-8)
were earlier reported by us. Of these 8 compounds, N-(1-(2-phenoxyethyl)-4
piperidinyl)propionanilide (2), N-isopropyl-3-(4-( N-phenylpropionamido)piperidin
1-yl)propanamide (5), and N- t-butyl-3-(4-( N-phenylpropionamido)piperidin-1-yl)
propanamide (6) were found to be more effective and less toxic compared to
fentanyl. The present study reports the acute effect of fentanyl (0.50 Median
Lethal Dose (LD50); intraperitoneal) and its 3 analogs (2, 5, and 6) on various
biochemical and oxidative parameters in mice and various physiological parameters
in rats. Blood alkaline phosphatase (1 hour and 7 days) and urea levels (1 hour)
were significantly elevated by fentanyl, while alanine aminotransferase levels (1
hour) were increased by both fentanyl and analog 2 compared to the corresponding
control. Increase in partial pressure of carbon dioxide and decrease in partial
pressure of oxygen were also caused by fentanyl and analog 2 (1 hour). Analog 6
alone elevated malondialdehyde levels in the brain, liver, and kidney tissues (7
days). The LD50 of fentanyl and analogs 2, 5, and 6 were found to be 0.879,
87.88, 69.80, and 55.44 mg/kg, respectively, in rats. Significant decrease in
heart rate, mean arterial pressure, respiratory rate (RR), and neuromuscular
transmission was produced by fentanyl and analog 2, while analog 5 decreased the
RR alone. The changes, particularly the respiratory depression, were found to be
reversed by naloxone, a MU-receptor antagonist. Thereby, indicating involvement
of MU-receptor mediated effects of the compounds. To conclude, all the analogs
were found to be less toxic compared to fentanyl, suggesting their possible role
in pain management.
PMID- 29356588
TI - Experiences of Care of Adolescents and Young Adults with Cancer in Australia.
AB - PURPOSE: To examine the care experiences of Australian Adolescents and Young
Adults (AYAs) with cancer during a period when youth cancer services (YCS) were
developing across the country. METHODS: A cross-sectional, self-report survey
completed by 207 recently diagnosed AYAs with cancer, recruited from the
population-based cancer registries of Australia's two most populous states. AYAs
were 15 to 24 years old when diagnosed with any form of cancer (except melanoma
<3 mm or stage I/II). Respondents indicated whether certain events/experiences
occurred at various points along the cancer care pathway and the treatment
centers attended. Treatment centers with YCS were identified. RESULTS:
Participating AYAs were an average of 9 months post-diagnosis. AYAs were treated
in over 60 centers, with only 31% attending YCS. While experiences relating to
delivery of treatment were generally positive, supportive care experiences and
emotional support were missing for many. Information provision at the end of
treatment was low, with 60% not receiving a treatment summary and 50% not
receiving a written follow-up care plan. In addition, 42% never/rarely received
information relevant to their age, and only 54% reported that healthcare
professionals definitely checked their understanding of the information provided.
AYAs attending YCS were more likely to report age-appropriate treatment settings,
information provision, and emotional support. CONCLUSION: While care experiences
were generally positive for most AYAs, attending YCS was associated with better
communication and supportive care experiences. As only a third of the AYAs
surveyed attended these services, efforts are needed to increase AYA access to
YCS.
PMID- 29356589
TI - Polytetrafluoroethylene-Covered Stent Graft Versus Bare Stent in Transjugular
Intrahepatic Portosystemic Shunt: Systematic Review and Meta-Analysis.
AB - BACKGROUND & AIMS: Transjugular Intrahepatic Portosystemic Shunt (TIPS) is used
to control refractory variceal bleeding secondary to portal hypertension. This
meta-analysis was conducted to systematically review polytetrafluoroethylene
covered stent grafts (CS) versus bare stents (BS) in TIPS procedure. METHODS:
Systematic search of literature databases was done from January-1990 till April
2017, using predecided keywords. Outcome measures studied were (1) primary
patency (PP) at 1 year (defined as absence of shunt insufficiency at 1 year), (2)
rebleeding (RE) (3) new-onset hepatic encephalopathy ([HE] new-onset or worsening
encephalopathy following the procedure), and (4) survival at 1 year (SU). Odds
ratio (OR) was calculated for each outcome variable. Between-study heterogeneity
was assessed by the I2 statistics and chi2 Q-test. RESULTS: Fourteen studies (4
RCTs, 2 prospective nonrandomized, and 8 retrospective) were included with 2519
patients (1548 patients in BS group and 971 patients in CS group). Three-quarter
outcome measures showed significantly better results with CS. PP was pooled from
13 studies and showed an OR = 4.75 (95% confidence interval [CI] = 3.32-6.79; P <
.00001; I2 = 44%) in favor of CS. RE was pooled from six studies with odds ratio
(OR) = 0.37(95% CI = 0.24-0.56; P < .00001; I2 = 0%) in favor of CS. SU was
pooled from 11 studies with OR = 1.85 (95% CI = 1.44-2.38; P < .00001; I2 = 0%)
in favor of CS. On subset analysis for RCTs, three outcome variables favored CS
with minimal heterogeneity [PP: OR = 4.18 (95% CI = 2.66-6.55; P < .00001; I2 =
0%). RE: OR = 0.43 (95% CI = 0.25-0.72; P < .001; I2 = 0%). SU: OR = 1.85 (95% CI
= 1.44-2.38, P < .00001; I2 = 0%)]. HE showed no difference between two stents on
the overall and subset pooled analysis. Overall: OR = 0.86 (95% CI = 0.68-1.08; P
= .19; I2 = 4%). Only RCTs: OR = 0.91 (95% CI = 0.63-1.32, P = .63; I2 = 0%).
CONCLUSIONS: CS is associated with better primary patency and survival and lesser
rate of rebleeding than BS in patients undergoing TIPS procedure. There is no
difference in new-onset hepatic encephalopathy.
PMID- 29356591
TI - Gender-Specific Differences in Access to Polysomnography and Prevalence of Sleep
Disorders.
AB - BACKGROUND: Previous studies have shown that women have less access or longer
waiting times to high-tech medicine compared with men. This study aimed to detect
possible gender differences in access to the diagnostic high-tech method of
polysomnography (PSG). Furthermore, the study explored gender differences in
prevalence of specific sleep diseases. MATERIALS AND METHODS: Source data of n =
1000 patients, who underwent PSG at the Medical University of Innsbruck, were
reviewed. Clinical data regarding time elapsed between symptom onset and PSG as
well as final diagnoses were analyzed for gender differences. RESULTS: Six
hundred sixty-nine men and 331 women were examined with PSG. There were no gender
differences in access to PSG after first presentation to the sleep laboratory.
Significantly more men than women (13.3 vs. 6.9%) were referred to medical
examination because of abnormal observations by their bed partner. In men we
found more sleep-related breathing disorders and fragmentary myoclonus, whereas
in women insomnia was more common. Sleep-related breathing disorders showed a
more severe manifestation in men, however, there was no difference in treatment
with continuous positive airway pressure/biphasic positive airway pressure
therapy between male and female patients. CONCLUSION: Twice as many men than
women received a PSG. This is explained by the referral rates to the sleep
laboratory. While there are well-established gender differences for some sleep
disorders, the fact that twice as many men than women were referred to the sleep
laboratory could indicate a lower awareness for sleep disorders in women.
PMID- 29356590
TI - Taking Psychedelics Seriously.
AB - BACKGROUND: Psychiatric research in the 1950s and 1960s showed potential for
psychedelic medications to markedly alleviate depression and suffering associated
with terminal illness. More recent published studies have demonstrated the safety
and efficacy of psilocybin, MDMA, and ketamine when administered in a medically
supervised and monitored approach. A single or brief series of sessions often
results in substantial and sustained improvement among people with treatment
resistant depression and anxiety, including those with serious medical
conditions. Need and Clinical Considerations: Palliative care clinicians
occasionally encounter patients with emotional, existential, or spiritual
suffering, which persists despite optimal existing treatments. Such suffering may
rob people of a sense that life is worth living. Data from Oregon show that most
terminally people who obtain prescriptions to intentionally end their lives are
motivated by non-physical suffering. This paper overviews the history of this
class of drugs and their therapeutic potential. Clinical cautions, adverse
reactions, and important steps related to safe administration of psychedelics are
presented, emphasizing careful patient screening, preparation, setting and
supervision. CONCLUSION: Even with an expanding evidence base confirming safety
and benefits, political, regulatory, and industry issues impose challenges to the
legitimate use of psychedelics. The federal expanded access program and right-to
try laws in multiple states provide precendents for giving terminally ill
patients access to medications that have not yet earned FDA approval. Given the
prevalence of persistent suffering and growing acceptance of physician-hastened
death as a medical response, it is time to revisit the legitimate therapeutic use
of psychedelics.
PMID- 29356592
TI - Complex Decongestive Therapy Enhances Upper Limb Functions in Patients with
Breast Cancer-Related Lymphedema.
AB - BACKGROUND: We aimed to evaluate the effects of complex decongestive therapy
(CDT) on upper extremity functions, the severity of pain, and quality of life. We
also searched the impact of the sociodemographic and clinical characteristics on
the improvement in upper extremity functions. METHODS: A total of 37 women with
breast cancer-related lymphedema (BCRL) [age, 53.6 +/- 11.2 (28-72)] were
included in this study. All patients underwent CDT-phase 1 program, including
meticulous skin care, manual lymphatic drainage, remedial exercises, and
compression bandages. Arm volume was calculated by a formula for truncated cone
using circumferential measurements. A baseline questionnaire, including
sociodemographic and clinical properties, was used for each patient. Short Form
36 (SF-36), Disabilities of the Arm, Shoulder and Hand (DASH) questionnaire, and
Visual Analog Scale (VAS) for pain and heaviness were used as clinical assessment
scales. RESULTS: The mean of the posttreatment volume of the affected limb was
lower compared to pretreatment volume (2741.81 +/- 696.85 and 2990.67 +/- 745.49,
respectively), and the mean percentage change in edema volume was 38.1% +/-
26.5%. We observed a statistically significant reduction in pain and heaviness
VAS scores and improvement of shoulder mobility among upper extremities with
lymphedema (p < 0.001) after CDT. The mean of posttreatment DASH score was lower
compared to pretreatment score (37.19 +/- 16.01, 49.81 +/- 18.84, respectively, p
< 0.001). All subgroups of the SF-36 parameters were increased after the CDT
application (p < 0.01). Besides being under 65 years old, having a body mass
index above 30 and short duration of lymphedema were found to be related to
greater improvement in upper extremity functions. CONCLUSIONS: CDT provides
enhancement of upper extremity functions and quality of life in patients with
BCRL. The reduction in lymphedema volume, pain, and heaviness and the improvement
in shoulder mobility may be the contributed factors.
PMID- 29356593
TI - A Blend of Extracts from Houttuynia cordata, Nelumbo nucifera, and Camellia
sinensis Protects Against Ethanol-Induced Liver Damage in C57BL/6 Mice.
AB - The protective activity of a mixture of aqueous and ethanolic extracts from
Houttuynia cordata Thunb, Nelumbo nucifera G. leaves, and Camellia sinensis seed
(HNC) was evaluated in C57BL/6 mice. Pretreatment with HNC prevented the
elevation of serum aspartate aminotransferase and alanine aminotransferase caused
by ethanol-induced hepatic damage. The HNC-treated mice showed significantly
lower triglyceride levels, reduced CYP2E1 activity, and increased antioxidant
enzyme activities and lipogenic mRNA levels. These results suggest that HNC might
be a candidate agent for liver protection against ethanol-induced oxidative
damage, through enhancement of antioxidant and antilipogenic activity.
PMID- 29356594
TI - Proteomic Analysis of Alterations in Aeromonas hydrophila Outer Membrane Proteins
in Response to Oxytetracycline Stress.
AB - In Gram-negative bacteria, the outer membrane proteins (OMPs) perform a crucial
role in antibiotic resistance, but it is largely unknown how they behave in
response to antibiotic stress. In this study, we treated Aeromonas hydrophila
with two different doses of oxytetracycline (OXY) to induce antibiotic stress.
Proteins were isolated from sarcosine-insoluble fractions and quantitatively
examined by using tandem mass tag labeling-based mass spectrometry to identify
differentially expressed proteins. As a result, we identified 125 differential
proteins in the 5 MUg/ml OXY treatment group, including 20 OMPs, and 150 proteins
from the 10 MUg/ml OXY group, including 22 OMPs. Gene ontology analysis showed
that translation-related proteins, including 30S and 50S ribosome proteins, were
significantly enriched in increasing abundance under OXY stress; whereas the
downregulated proteins were associated with the transport process, such as
maltodextrin, maltose, and oligosaccharide transport. We then validated a subset
of the identified differential proteins by using Western blot and quantitative
polymerase chain reaction analyses. Finally, the quantitative real-time PCR
(qPCR) results showed that at the transcription level, the expression of five OMP
genes, including AHA_1280 (protein name A0KHS0), AHA_1281 (A0KHS1), AHA_1447
(A0KI84, BamE), AHA_1861 (A0KJE1), and AHA_2766 (A0KLX3), and one lipoprotein
gene AHA_1740 (A0KJ25) was consistent with proteomic results under 5 and 10
MUg/ml OXY treatment, respectively. In addition, the Western blotting also
demonstrated that two altered OMP proteins A0KHS1 and A0KHH2 were upregulated for
both OXY treatment groups. This study indicates that bacteria regulate the
expression levels of OMPs in response to antibiotic stress and further contribute
to our understanding of the functions of OMPs in antibiotic resistance. Moreover,
our results suggest that the upregulation of translation and downregulation of
the transport process may affect bacterial fitness during OXY stress. These
findings may provide new clues to the antibiotic resistance mechanism in A.
hydrophila.
PMID- 29356595
TI - Correlation Between Changes in Extremity Volume and Bioelectrical Impedance in
Arm and Leg Lymphedema.
AB - BACKGROUND: To clarify the differences in the mode of fluid accumulation between
arm and leg lymphedema using bioelectrical impedance analysis (BIA). METHODS AND
RESULTS: In 22 arms with lymphedema (ALE) and 65 legs with lymphedema (LLE), as
well as 54 legs with venous edema (VE) for comparison, BIA was performed twice
between April 2015 and March 2017. Then, the changes in BIA-derived parameters
were correlated with the changes in extremity volumes. In ALE, the change in
extracellular fluid resistance (Re) was negatively correlated with the change in
arm volume (r = 0.51), while the change in intracellular fluid resistance (Ri)
was constant, irrespective of the change in arm volume (r = 0.19). In LLE, the
change in Re was negatively correlated with the change in leg volume (r = 0.67),
but the change in Ri was also negatively correlated with the change in leg volume
(r = 0.51). These correlations were similar to those in VE, in which the changes
in Re and Ri were each negatively correlated with the change in leg volume (r =
0.66 and 0.53, respectively). CONCLUSION: The modes of change in BIA-derived
parameters according to the change in extremity volume were different in ALE and
LLE. The changes in LLE were similar to that in VE.
PMID- 29356596
TI - Effect of Lymphaticovenous Anastomosis in Breast Cancer-Related Lymphedema: A
Review of the Literature.
AB - BACKGROUND: Lymphedema affects ~15% of all patients after breast cancer
treatment. The aim of this review was to assess the clinical effects (improvement
in arm circumference and quality of life) of lymphaticovenous anastomosis (LVA)
in treating breast cancer-related lymphedema (BCRL). METHODS AND RESULTS: A
systematic literature search was conducted in Medline, Embase and the Cochrane
Library in July 2017, to identify all studies on LVA for the treatment of BCRL.
The primary outcome was limb volume or circumference reduction and the secondary
outcome was the improvement of quality of life. The search yielded 686 results,
of which 15 articles were included in this review. All studies reported on BCRL
in terms of volume or circumference reduction. Thirteen out of the included
studies reported a positive surgical effect on reduction in volume or
circumference. Twelve articles mentioned qualitative measures, being symptom
improvement and improvement in quality of life. The number of patients who
experienced symptoms relief ranged from 50% to 100% in the studies. CONCLUSIONS:
The current review showed that the effects of LVA for the treatment of BCRL are
variable among studies, although overall LVA seems effective in early stage BCRL.
Higher quality studies are needed to confirm the effectiveness of LVA.
PMID- 29356597
TI - Rapid and Specific Methods to Differentiate Foodborne Pathogens, Campylobacter
jejuni, Campylobacter coli, and the New Species Causing Spotty Liver Disease in
Chickens, Campylobacter hepaticus.
AB - Campylobacter jejuni and Campylobacter coli play a major role in bacteria-related
foodborne illness in humans. Recently, a newly identified species, Campylobacter
hepaticus, was shown to be the causative agent of spotty liver disease in
chickens. The pathogenic potential of C. hepaticus in humans is unknown. This new
species contains genes usually used to detect C. jejuni and C. coli in DNA-based
detection methods, such as the hippuricase (hipO) gene and the glyA (serine
hydroxymethyltransferase) gene, with a high degree of similarity. Therefore,
polymerase chain reaction (PCR) primers used to detect these species need to be
evaluated carefully to prevent misidentification of these important Campylobacter
species. A multiplex PCR was developed and optimized to simultaneously and
specifically identify the presence of C. jejuni, C. coli, and C. hepaticus in
chicken samples containing high-complexity microbiota. The assay represents a new
diagnostic tool for investigating the epidemiology of Campylobacter colonization
in poultry and environmental samples. It may also be applicable to the
investigation of Campylobacter contamination in food and in outbreaks of
campylobacteriosis.
PMID- 29356598
TI - Diagnostic Accuracy of Exercise Electrocardiogram in Women.
PMID- 29356600
TI - Correction to: Cryoprotectant Toxicity: Facts, Issues, and Questions, by Best BP.
Rejuvenation Res 2015;18(5):422-436; DOI: 10.1089/rej.2014.1656.
PMID- 29356599
TI - Early Goal-Directed Therapy: The History and Ongoing Impact on Management of
Severe Sepsis and Septic Shock.
AB - The use of early goal-directed therapy (EGDT) for the management of severe sepsis
and septic shock, a practice put forth by Dr. Rivers et al. in 2001, ushered in a
new era of targeted sepsis therapy. After its publication, several further
studies helped validate the protocolized approach to sepsis management,
ultimately leading to its incorporation into the Surviving Sepsis Campaign
guidelines. Since that time, however, a trio of large multi-center randomized
controlled trials have taken place to evaluate the efficacy of EGDT when compared
with usual care and have demonstrated that strict adherence to the entirety of
the original EGDT protocol is unnecessary for improved outcomes. Some
recommendations, such as higher goal hemoglobin and hematocrit levels and liberal
crystalloid fluid resuscitation, are likely harmful. Despite controversy over a
number of the recommendations, early identification of sepsis, source control,
and prompt empiric antibiotic administration remain the mainstay of treatment for
patients with sepsis and septic shock.
PMID- 29356601
TI - The gap between behavioral risk status and willingness to change behavior among
healthcare professionals.
AB - AIMS:: This study explored behavioral health risk factors among healthcare
professionals and investigated the at-risk persons' satisfaction with their
health habits and ongoing change attempts. METHODS:: The study was based on a
cross-sectional web-based survey directed at the nurses and physicians ( N =
1233) in Finnish healthcare. Obesity, low physical activity, smoking, and risky
alcohol drinking were used as behavioral health risk factors. RESULTS:: In all,
70% of the participants had at least one behavioral risk factor, and a
significant number of at-risk persons were satisfied with their health habits and
had no ongoing change process. Good self-rated health and good self-rated work
ability were significantly associated with whether a participant had a behavioral
health risk factor. CONCLUSION:: Overall, unhealthy behaviors and a lack of
ongoing change attempts were commonly observed among healthcare professionals.
Work in healthcare is demanding, and healthy lifestyles can support coping. Thus,
healthy lifestyle programs should also be targeted to healthcare professionals.
PMID- 29356602
TI - Effect of Diabetes Sleep Education for T2DM Who Sleep After Midnight: A Pilot
Study from China.
AB - BACKGROUND: Our prior study showed that patients with sleep disorders had poor
blood pressure (BP), glycemic control, and more severe complications. Therefore,
sleep is very important for diabetic control. Our work was to investigate whether
individualized diabetes sleep education significantly improve sleep quality and
glycemic control in type 2 diabetic patients who sleep after midnight and
potential mechanism by a randomized parallel interventional study. METHODS: T2D
patients were randomly recruited to an intervention or control group. Patients
received structured special diabetes sleep education program with 3-month follow
up. Pittsburg Sleep Quality Index (PSQI) was scored for each participant.
Demographic data, HbA1c, biochemical, and some hormones were also examined. SPSS
13.0 was used for statistical analysis. RESULTS: One hundred patients were
approached, and 45 were enrolled into our trial. Eventually, 31 patients
completed the study. Patients in the intervention group greatly improved their
sleep hygiene. After intervention, PSQI scores were lowered significantly (-1.48
+/- 0.88 vs. -0.51 +/- 0.71, P < 0.001), as well as significant reduction of
HbA1c (-1.5 +/- 0.55 vs. -1.11 +/- 0.47, P < 0.05). Fasting plasma glucose was
also lowered significantly. Homeostasis model assessment of insulin resistance
was reduced significantly (-1.29 +/- 0.97 vs. 1.04 +/- 0.91, P < 0.01). Serum
concentrations for interleukin (IL)-6, cortisol, and ghrelin were decreased
significantly. Ghrelin (coefficients -0.65, P < 0.001), cortisol (coefficients
0.38, P < 0.05), and IL-6 (coefficients 0.452, P < 0.05) were correlated with
HbA1c improvement. The change of ghrelin was negatively associated with the
improvement of HbA1c. CONCLUSION: Diabetes sleep education could improve sleep
quality, better blood glucose and BP, and decrease insulin resistance through
healthier sleep hygiene. Lower serum concentration of ghrelin might be partly
involved in the reduction of HbA1c.
PMID- 29356603
TI - Effects of the Preoperative Administration of Dexketoprofen Trometamol on Pain
and Swelling After Implant Surgery: A Randomized, Double-Blind Controlled Trial.
AB - The fear of postoperative pain is often mentioned by patients as one of the
factors that is most frequently associated with dental implants. To reduce this
factor, a single oral dose of 25 mg dexketoprofen trometamol (DKT) or placebo was
administered 15 minutes before implant surgery. One hundred patients who required
single-implant treatments were randomly assigned to 1 of 2 blinded groups. The
patients in the test group were given 25 mg DKT (DKT group), and those in the
control group were given 500 mg vitamin C as a placebo (PLACEBO group). A
subjective visual analogue scale of 100 mm in length was used to evaluate pain.
Inflammation and complications were assessed using a 5-point Likert scale. An
analysis of variance, t-tests, and a Mann-Whitney U test were performed. Among
the 100 patients, 83 completed the study (there were 8 dropouts in the PLACEBO
group and 9 in the DKT group). The patients who received DKT reported a lower
pain intensity during the immediate postoperative period. The inflammatory
response was weaker in the DKT group than the control group at 48 hours, but
bleeding was greater. There were no other complications in either of the groups.
In conclusion, the preemptive use of 25 mg soluble DKT administered orally 15
minutes before implant surgery can reduce the severity of immediate postoperative
pain.
PMID- 29356605
TI - Overstating the Effects of Loving-Kindness Meditation: Comment on Kok et al.
(2013).
PMID- 29356604
TI - Procalcitonin: Usefulness in Acute Care Surgery and Trauma.
AB - BACKGROUND: Procalcitonin (PCT) is a serum biomarker currently suggested by the
Surviving Sepsis Campaign to aid in determination of the appropriate duration of
therapy in sepsis patients. We review the use of procalcitonin in patients after
trauma or acute care surgery. METHOD: A MEDLINE search via PubMed was performed
using the combination of "procalcitonin" and "humans" and "injuries, trauma,"
"wounds and injuries," or "wounds." Studies of burn patients, children, other
biomarkers, and non-acute care surgery were excluded. RESULTS: Procalcitonin may
be useful in identifying infection in trauma and post-operative acute care
surgery. However, heterogenity exists among patients, and surgery and trauma
alone elevate PCT even in the absence of infection. CONCLUSIONS: Although trends
in PCT concentrations may offer insight, no standard approach can be recommended
currently.
PMID- 29356606
TI - Predictors of Specialized Pediatric Palliative Care Involvement and Impact on
Patterns of End-of-Life Care in Children With Cancer.
AB - Purpose The impact of specialized pediatric palliative care (SPPC) teams on
patterns of end-of-life care is unknown. We sought to determine (1) which
children with cancer access SPPC and (2) the impact of accessing SPPC on the risk
of experiencing high-intensity end-of-life care (intensive care unit admission,
mechanical ventilation, or in-hospital death). Methods Using a provincial
childhood cancer registry, we assembled a retrospective cohort of Ontario
children with cancer who died between 2000 and 2012 and received care through
pediatric institutions with an SPPC team. Patients were linked to population
based administrative data capturing inpatient, outpatient, and emergency visits.
Children were classified as having SPPC, general palliative care, or no
palliative care on the basis of SPPC clinical databases, physician billing codes,
or inpatient diagnosis codes. Results Of the 572 children, 166 (29%) received
care from an SPPC team for at least 30 days before death, and 100 (17.5%)
received general palliative care. SPPC involvement was significantly less likely
for children with hematologic cancers (OR, 0.3; 95% CI, 0.3 to 0.4), living in
the lowest income areas (OR, 0.4; 95% CI, 0.2 to 0.8), and living further from
the treatment center (OR, 0.5; 95% CI, 0.4 to 0.5). SPPC was associated with a
five-fold decrease in odds of intensive care unit admission (OR, 0.2; 95% CI, 0.1
to 0.4), whereas general palliative care had no impact. Similar associations were
seen with all secondary indicators. Conclusion When available, SPPC, but not
general palliative care, is associated with lower intensity care at the end of
life for children with cancer. However, access remains uneven. These results
provide the strongest evidence to date supporting the creation of SPPC teams.
PMID- 29356607
TI - Effects of Aerobic and Resistance Exercise on Metabolic Syndrome, Sarcopenic
Obesity, and Circulating Biomarkers in Overweight or Obese Survivors of Breast
Cancer: A Randomized Controlled Trial.
AB - Purpose Metabolic syndrome is associated with an increased risk of cardiovascular
disease, type 2 diabetes, and breast cancer recurrence in survivors of breast
cancer. This randomized controlled trial assessed the effects of a 16-week
combined aerobic and resistance exercise intervention on metabolic syndrome,
sarcopenic obesity, and serum biomarkers among ethnically diverse, sedentary,
overweight, or obese survivors of breast cancer. Methods Eligible survivors of
breast cancer (N = 100) were randomly assigned to exercise (n = 50) or usual care
(n = 50). The exercise group participated in supervised moderate-to-vigorous-65%
to 85% of heart rate maximum-aerobic and resistance exercise three times per week
for 16 weeks. Metabolic syndrome z-score (primary outcome), sarcopenic obesity,
and serum biomarkers were measured at baseline, postintervention (4 months), and
3-month follow-up (exercise only). Results Participants were age 53 +/- 10.4
years, 46% were obese, and 74% were ethnic minorities. Adherence to the
intervention was 95%, and postintervention assessments were available in 91% of
participants. Postintervention metabolic syndrome z-score was significantly
improved in exercise versus usual care (between-group difference, -4.4; 95% CI,
5.9 to -2.7; P < .001). Sarcopenic obesity (appendicular skeletal mass index, P =
.001; body mass index, P = .001) and circulating biomarkers, including insulin (
P = .002), IGF-1 ( P = .001), leptin ( P = .001), and adiponectin ( P = .001),
were significantly improved postintervention compared with usual care. At 3-month
follow-up, all metabolic syndrome variables remained significantly improved
compared with baseline in the exercise group ( P < .01). Conclusion Combined
resistance and aerobic exercise effectively attenuated metabolic syndrome,
sarcopenic obesity, and relevant biomarkers in an ethnically diverse sample of
sedentary, overweight, or obese survivors of breast cancer. Our findings suggest
a targeted exercise prescription for improving metabolic syndrome in survivors of
breast cancer and support the incorporation of supervised clinical exercise
programs into breast cancer treatment and survivorship care plans.
PMID- 29356608
TI - Continued Excellent Outcomes in Previously Untreated Patients With Follicular
Lymphoma After Treatment With CHOP Plus Rituximab or CHOP Plus 131I-Tositumomab:
Long-Term Follow-Up of Phase III Randomized Study SWOG-S0016.
AB - Purpose SWOG S0016 was a phase III randomized study that compared the safety and
efficacy of R-CHOP (rituximab plus cyclophosphamide, doxorubicin, vincristine,
and prednisone) with CHOP-RIT (CHOP followed by consolidation with iodine-133
tositumomab radioimmunotherapy) for previously untreated patients with follicular
lymphoma. Understanding the long-term outcome of patients provides a benchmark
for novel treatment regimens for FL. Patients and Methods Between 2001 and 2008,
531 previously untreated patients with FL were randomly assigned to receive
either six cycles of R-CHOP or six cycles of CHOP-RIT. Patients with advanced
stage disease (bulky stage II, III, or IV) of any pathologic grade (1, 2, or 3)
were eligible. Results After a median follow-up of 10.3 years, 10-year estimates
of progression-free and overall survival were 49% and 78% among all patients,
respectively. Patients in the CHOP-RIT arm had significantly better 10-year
progression-free survival compared with patients in the R-CHOP arm (56% v 42%; P
= .01), but 10-year overall survival was not different between the two arms (75%
v 81%; P = .13). There was no significant difference between the CHOP-RIT and R
CHOP arms in regard to incidence of second malignancies (15.1% v 16.1%; P = .81)
or myelodysplastic syndrome or acute myeloid leukemia (4.9% v 1.8%; P = .058).
The estimated 10-year cumulative incidences of death resulting from second
malignancies were not different (7.1% v 3.2%; P = .16), but cumulative incidence
of death resulting from myelodysplastic syndrome or acute myeloid leukemia was
higher in the CHOP-RIT arm compared with the R-CHOP arm (4% v 0.9%; P = .02).
Conclusion Given these outstanding outcomes, immunochemotherapy should remain the
standard induction approach for patients with high-risk FL until long-term follow
up of alternative approaches demonstrates superiority.
PMID- 29356610
TI - Restoring Body Image After Cancer (ReBIC): Results of a Randomized Controlled
Trial.
AB - Purpose This study aimed to test a group psychosocial intervention focused on
improving disturbances of body image (BI), sexual functioning, and quality of
life in breast cancer (BC) survivors. Methods A prospective, randomized
controlled trial was conducted to assess the efficacy of an 8-week group
intervention in women after BC treatment. The manual-based intervention combined
two powerful ingredients: expressive guided-imagery exercises integrated within a
model of group-therapy principles. The intervention facilitates exploration of
identity, the development of new self-schemas, and personal growth. In addition,
the intervention included an educational component on the social and cultural
factors affecting women's self-esteem and BI. The control condition included
standard care plus educational reading materials. One hundred ninety-four BC
survivors who had expressed concerns about negative BI and/or difficulties with
sexual functioning participated in the study; 131 were randomly assigned to the
intervention, and 63 were assigned to the control condition. Participants were
followed for 1 year. Results Women in the intervention group reported
significantly less concern/distress about body appearance ( P < .01), decreased
body stigma ( P < .01), and lower level of BC-related concerns ( P < .01),
compared with women in the control group. BC-related quality of life was also
better in the intervention group compared with the control group at the 1-year
follow-up ( P < .01). There was no statistically significant group difference in
sexual functioning. Conclusion Restoring Body Image After Cancer (ReBIC), a group
intervention using guided imagery within a group-therapy approach, is an
effective method for addressing BI-related concerns and quality of life post-BC.
The manual-based intervention can be easily adapted to both cancer centers and
primary care settings.
PMID- 29356609
TI - Epidemiologic Evidence That Excess Body Weight Increases Risk of Cervical Cancer
by Decreased Detection of Precancer.
AB - Purpose Obesity has been inconsistently linked to increased cervical cancer
incidence and mortality; however, the effect of obesity on cervical screening has
not been explored. We investigated the hypothesis that increased body mass might
decrease detection of cervical precancer and increase risk of cervical cancer
even in women undergoing state-of-the-art screening. Methods We conducted a
retrospective cohort study of 944,227 women age 30 to 64 years who underwent
cytology and human papillomavirus DNA testing (ie, cotesting) at Kaiser
Permanente Northern California (January 2003 to December 2015). Body mass index
was categorized as normal/underweight (< 25 kg/m2), overweight (25 to < 30
kg/m2), or obese (>= 30 kg/m2). We estimated 5-year cumulative risks of cervical
precancer and cancer by category of body mass index using logistic Weibull
survival models. Results We observed lower risk of cervical precancer (n = 4,489)
and higher risk of cervical cancer (n = 490) with increasing body mass index.
Specifically, obese women had the lowest 5-year risk of precancer (0.51%; 95% CI,
0.48% to 0.54% v 0.73%; 95% CI, 0.70% to 0.76% in normal/underweight women; P
trend < .001). In contrast, obese women had the highest 5-year risk of cancer
(0.083%; 95% CI, 0.072% to 0.096% v 0.056%; 95% CI, 0.048% to 0.066% in
normal/underweight women; P trend < .001). Results were consistent in subgroups
defined by age (30 to 49 v 50 to 64 years), human papillomavirus status (positive
v negative), and histologic subtype (glandular v squamous). Approximately 20% of
cervical cancers could be attributed to overweight or obesity in the women in our
study who underwent routine cervical screening. Conclusion In this large,
screened population, overweight and obese women had an increased risk of cervical
cancer, likely because of underdiagnosis of cervical precancer. Improvements in
equipment and/or technique to assure adequate sampling and visualization of women
with elevated body mass might reduce cervical cancer incidence.
PMID- 29356611
TI - A Universal Noninvasive Continuous Blood Pressure Measurement System for Remote
Healthcare Monitoring.
AB - BACKGROUND: The effectiveness of any remote healthcare monitoring system depends
on how much accurate, patient-friendly, versatile, and cost-effective measurement
it is delivering. There has always been a huge demand for such a long-term
noninvasive remote blood pressure (BP) measurement system, which could be used
worldwide in the remote healthcare industry. Thus, noninvasive continuous BP
measurement and remote monitoring have become an emerging area in the remote
healthcare industry. INTRODUCTION: Photoplethysmography-based (PPG) BP
measurement is a continuous, unobtrusive, patient-friendly, and cost-effective
solution. However, BP measurements through PPG sensors are not much reliable and
accurate due to some major limitations like pressure disturbance, motion
artifacts, and variations in human skin tone. MATERIALS AND METHODS: A novel
reflective PPG sensor has been developed to eliminate the abovementioned pressure
disturbance and motion artifacts during the BP measurement. Considering the
variations of the human skin tone across demography, a novel algorithm has been
developed to make the BP measurement accurate and reliable. The training dataset
captured 186 subjects' data and the trial dataset captured another new 102
subjects' data. RESULTS AND DISCUSSION: The overall accuracy achieved by using
the proposed method is nearly 98%. Thus, demonstrating the efficacy of the
proposed method. CONCLUSIONS: The developed BP monitoring system is quite
accurate, reliable, cost-effective, handy, and user friendly. It is also expected
that this system would be quite useful to monitor the BP of infants, elderly
people, patients having wounds, burn injury, or in the intensive care unit
environment.
PMID- 29356612
TI - Gaps in Psychiatric Training Could Challenge Delivery of Optimal Schizophrenia
Pharmacotherapy.
PMID- 29356615
TI - From the Editor-in-Chief's Desk.
PMID- 29356614
TI - Current Advances in the Use of Therapeutic Hypothermia.
PMID- 29356616
TI - Teledermatology in the United States: An Update in a Dynamic Era.
AB - BACKGROUND: Teledermatology is rapidly advancing in the United States. The last
comprehensive survey of U.S. teledermatology programs was conducted in 2011.
INTRODUCTION: This article provides an update regarding the state of
teledermatology programs in the United States. MATERIALS AND METHODS: Active
programs were identified and surveyed from November 2014 to January 2017.
Findings regarding practice settings, consult volumes, payment methods, and
delivery modalities were compared to those from the 2011 survey. Findings from
the Veterans Affairs (VA) were reported as an aggregate. RESULTS: There were 40
active nongovernmental programs, amounting to a 48% increase and 30%
discontinuation rate over five years. Academia remained the most common practice
setting (50%). Median annual consultation volume was comparable with 263
consultations, but maximum annual consultation volume increased (range: 20
20,000). The most frequent payment method was self-pay (53%). Store-and-forward
continued to be the most common delivery modality. In Fiscal Year 2016, the VA
System consisted of 62 consultation sites and performed a total of 101,507
consultations. DISCUSSION: The limitations of this study were that consult volume
and payment methods were not available from all programs. CONCLUSION: U.S.
teledermatology programs have increased in number and annual consultation volume.
Academia is the most prevalent practice setting, and self-pay is the dominant
accepted payment method. Innovative platforms and the provision of direct-to
patient care are changing the practice of teledermatology.
PMID- 29356617
TI - Using Zebrafish to Bring Hands-On Laboratory Experiences to Urban Classrooms.
AB - Zebrafish are widely used as a model organism for research. Zebrafish embryos are
also a useful resource for teaching students about vertebrate development. Here
we describe a collaboration between two high school teachers and two university
professors that used zebrafish to bring hands-on laboratory experiences to inner
city students, with the aim of increasing tangibility, and improving student
understanding and retention, of several fundamental scientific concepts, such as
the scientific method, cell division, mitosis, and Mendelian genetics. We
describe and provide supporting material for each of the four laboratory modules
that we developed. We also discuss the obstacles that we encountered and include
suggestions of ways to overcome these. This collaboration provides an example of
how high school teachers with very little zebrafish experience can gain the
knowledge and confidence to develop and implement modules such as these in a
relatively short period of time. Owing to the wide availability of zebrafish
resources, these laboratories should provide a useful resource for other teachers
who are interested in integrating more hands-on, inquiry-based investigations
using live animals into their classes. We also hope to encourage other zebrafish
researchers to collaborate with local teachers in similar projects.
PMID- 29356619
TI - The Effect of Nasoalveolar Molding on Nasal Airway Anatomy: A 9-Year Follow-up of
Patients With Unilateral Cleft Lip and Palate.
AB - OBJECTIVE: To determine the effects of nasoalveolar molding (NAM) on nasal airway
architecture. DESIGN: Retrospective case-control study of patients with
unilateral cleft lip treated with NAM vs without NAM. SETTING: Tertiary referral
center specializing in cleft and craniofacial care. Patients, Participants, and
Interventions: Thirty-six patients with complete unilateral cleft lip and
alveolus: 19 with NAM therapy and 17 without NAM therapy. MAIN OUTCOME MEASURES:
Cone beam computed tomography (CBCT) scans were compared in multiple coronal
sections and were evaluated for linear and angular septal deviation, inferior
turbinate hypertrophy, and linear and 2-dimensional airway area. RESULTS: There
were no significant differences in linear or angular septal deviation, inferior
turbinate area, linear stenosis, or airway area between NAM- and non-NAM-treated
patients. CONCLUSIONS: NAM effectively molds the external nasal cartilage and
structures but may have limited effects on internal nasal structures.
PMID- 29356618
TI - Antibody Immunodominance: The Key to Understanding Influenza Virus Antigenic
Drift.
AB - Influenza A virus (IAV) imposes a significant socioeconomic burden on humanity.
Vaccination is effective in only 60% of individuals, even under optimal
circumstances. The difficulty stems from the remarkable ability of IAV to evade
existing immunity. IAV's error prone polymerase enables the rapid antigenic
evolution of the two virion surface glycoproteins, neuraminidase and
hemagglutinin (HA). Since the most potent antibodies (Abs) at neutralizing viral
infectivity are directed the head of the HA, amino acid substitutions in this
region enable IAV to evade Ab-based immunity. Here, we review recent progress in
understanding how immunodominance, the tendency of the immune system to respond
to foreign immunogens in a hierarchical manner, shapes IAV evolution.
PMID- 29356620
TI - Variations in Velopharyngeal Structure in Adults With Repaired Cleft Palate.
AB - OBJECTIVE: The purpose of this study was to examine differences in velopharyngeal
structures between adults with repaired cleft palate and normal resonance and
adults without cleft palate. DESIGN: Thirty-six English-speaking adults,
including 6 adults (2 males and 4 females) with repaired cleft palate (M = 32.5
years of age, SD = 17.4 years) and 30 adults (15 males and 15 females) without
cleft palate (M = 23.3 years of age, SD = 4.1 years), participated in the study.
Fourteen velopharyngeal measures were obtained on magnetic resonance images and
compared between groups (cleft and noncleft). RESULTS: After adjusting for body
size and sex effects, there was a statistically significant difference between
groups for 10 out of the 14 velopharyngeal measures. Compared to those without
cleft palate, participants with repaired cleft palate had a significantly shorter
hard palate height and length, shorter levator muscle length, shorter intravelar
segment, more acute levator angles of origin, shorter and thinner velum, and
greater pharyngeal depth. CONCLUSION: Although significant differences were
evident in the cleft palate group, individuals displayed normal resonance. These
findings suggest that a wide variability in velopharyngeal anatomy can occur in
the presence of normal resonance, particularly for those with repaired cleft
palate. Future research is needed to understand how anatomic variability impacts
function, such as during speech.
PMID- 29356621
TI - Behavioral-Social Adjustment of Adolescents with Craniofacial Microsomia.
AB - OBJECTIVE: The objective was to assess differences in psychosocial adjustment
between adolescents with and without craniofacial microsomia (CFM). DESIGN: This
is a case-control follow-up study in adolescents with and without CFM. SETTING:
Participants were originally recruited as infants from 26 cities across the
United States and Canada. PARTICIPANTS: Participants included 142 adolescents
with CFM (cases) and 316 peers without CFM (controls), their caregivers, and
their teachers. MAIN OUTCOME MEASURES: Social and behavior measures from the
Achenbach System of Empirically Based Assessments (ASEBA), the PedsQL: Core
Version, and the Children's Communication Checklist-2nd edition (CCC-2) were
used. Linear regression was used to estimate case-control differences and
corresponding standardized effect sizes (ES) and 95% confidence intervals after
adjustment for sociodemographic confounds. We also examined case-control
differences by facial phenotype and hearing status. RESULTS: The magnitude and
direction of case-control differences varied across assessment and respondent,
but were generally modest (ES = -0.4 to 0.02, P values ranged from .003 to .85).
There was little evidence for variation in case-control differences across
different facial phenotypes or as a function of hearing status. CONCLUSIONS: Our
results suggest that in spite of multiple risk factors, adolescents with CFM
exhibit behavior problems no more frequently than their peers without CFM. Future
studies of individuals with CFM should focus on resilience and social coping
mechanisms, in addition to maladjustment.
PMID- 29356623
TI - Effect of History of Mild Traumatic Brain Injury on Optic Nerve Sheath Diameter
Changes after Valsalva Maneuver.
AB - Optic nerve sheath diameter (ONSD) measured by transocular ultrasound is a marker
of real-time intracranial pressure (ICP). The objective of this study was to
evaluate the association between optic nerve sheath (ONS) dilation after a
Valsalva maneuver and a prior history of mild to moderate traumatic brain injury
(mTBI) in a heterogeneous sample of participants. Participants were excluded if
they had had a recent brain injury, were symptomatic from a prior brain injury,
had a history consistent with severe TBI and/or had undergone intracranial
surgery. Ninety-five participants with and without a history of mTBI were
included in the study. Transocular ultrasound of the ONS was performed before and
after a Valsalva maneuver. Differences in the ONSD before and after a Valsalva
maneuver were analyzed and correlated to the participants' history of mTBI.
Baseline ONSD measurements between groups were not statistically significant.
Following Valsalva, participants with a history of mTBI had a statistically
significant increase in the ONSD compared with participants with no history of
mTBI. This significant difference persisted after controlling for age, race, and
sex. This study demonstrated an association between a prior history of mTBI and
dilation of the ONS after Valsalva maneuver in a sample of asymptomatic
participants, which was not seen in participants without a history of mTBI.
PMID- 29356622
TI - Evidence-Based Review of Clinical Diagnostic Tests and Predictive Clinical Tests
That Evaluate Response to Conservative Rehabilitation for Posterior Glenohumeral
Instability: A Systematic Review.
AB - CONTEXT: Posterior glenohumeral instability is poorly understood and can be
challenging to recognize and evaluate. Using evidence-based clinical and
predictive tests can assist clinicians in appropriate assessment and management.
OBJECTIVE: To review evidence-based clinical diagnostic tests for posterior
glenohumeral instability and predictive tests that identify responders to
conservative management. DATA SOURCES: A comprehensive electronic bibliographic
search was conducted using Embase, Ovid MEDLINE, PEDro, and CINAHL databases from
their date of inception to February 2017. STUDY SELECTION: Studies were included
for further review if they (1) reported on clinical diagnostic tests for
posterior or posteroinferior instability of the glenohumeral joint, (2) assessed
predictive clinical tests for posterior instability of the glenohumeral joint,
and (3) were in English. STUDY DESIGN: Systematic review. LEVEL OF EVIDENCE:
Level 4. DATA EXTRACTION: Data were extracted from the studies by 2 independent
reviewers and included patient demographics and characteristics, index/reference
test details (name and description of test), findings, and data available to
calculate psychometric properties. RESULTS: Five diagnostic and 2 predictive
studies were selected for review. There was weak evidence for the use of the jerk
test, Kim test, posterior impingement sign, and O'Brien test as stand-alone
clinical tests for identifying posterior instability. Additionally, there was
weak evidence to support the use of the painless jerk test and the hand squeeze
sign as predictive tests for responders to conservative management. These
findings are attributed to study design limitations, including small and/or
nonrepresentative samples. CONCLUSION: Clustering of thorough history and
physical examination findings, including the aforementioned tests, may identify
those with posterior glenohumeral instability and assist in developing management
strategies.
PMID- 29356625
TI - Multi-Omics for Biomarker Discovery and Target Validation in Biofluids for
Amyotrophic Lateral Sclerosis Diagnosis.
AB - Amyotrophic lateral sclerosis (ALS) is a rare but usually fatal neurodegenerative
disease characterized by motor neuron degeneration in the brain and the spinal
cord. Two forms are recognized, the familial that accounts for 5-10% and the
sporadic that accounts for the rest. New studies suggest that ALS is a highly
heterogeneous disease, and this diversity is a major reason for the lack of
successful therapeutic treatments. Indeed, only two drugs (riluzole and
edaravone) have been approved that provide a limited improvement in the quality
of life. Presently, the diagnosis of ALS is based on clinical examination and lag
period from the onset of symptoms to the final diagnosis is ~12 months.
Therefore, the discovery of robust molecular biomarkers that can assist in the
diagnosis is of major importance. DNA sequencing to identify pathogenic gene
variants can be applied in the cases of familial ALS. However, it is not a
routinely used diagnostic procedure and most importantly, it cannot be applied in
the diagnosis of sporadic ALS. In this expert review, the current approaches in
identification of new ALS biomarkers are discussed. The advent of various multi
omics biotechnology platforms, including miRNomics, proteomics, metabolomics,
metallomics, volatolomics, and viromics, has assisted in the identification of
new biomarkers. The biofluids are the most preferable material for the analysis
of potential biomarkers (such as proteins and cell-free miRNAs), since they are
easily obtained. In the near future, the biofluid-based biomarkers will be
indispensable to classify different ALS subtypes and understand the molecular
heterogeneity of the disease.
PMID- 29356626
TI - Not Everyone Fits the Mold: Intratumor and Intertumor Heterogeneity and
Innovative Cancer Drug Design and Development.
AB - Disruptive innovations in medicine are game-changing in nature and bring about
radical shifts in the way we understand human diseases, their treatment, and/or
prevention. Yet, disruptive innovations in cancer drug design and development are
still limited. Therapies that cure all cancer patients are in short supply or do
not exist at all. Chief among the causes of this predicament is drug resistance,
a mechanism that is much more dynamic than previously understood. Drug resistance
has limited the initial success experienced with biomarker-guided targeted
therapies as well. A major contributor to drug resistance is intratumor
heterogeneity. For example, within solid tumors, there are distinct subclones of
cancer cells, presenting profound complexity to cancer treatment. Well-known
contributors to intratumor heterogeneity are genomic instability, the
microenvironment, cellular genotype, cell plasticity, and stochastic processes.
This expert review explains that for oncology drug design and development to be
more innovative, we need to take into account intratumor heterogeneity. Initially
thought to be the preserve of cancer cells, recent evidence points to the highly
heterogeneous nature and diverse locations of stromal cells, such as cancer
associated fibroblasts (CAFs) and cancer-associated macrophages (CAMs). Distinct
subpopulations of CAFs and CAMs are now known to be located immediately adjacent
and distant from cancer cells, with different subpopulations exerting different
effects on cancer cells. Disruptive innovation and precision medicine in clinical
oncology do not have to be a distant reality, but can potentially be achieved by
targeting these spatially separated and exclusive cancer cell subclones and CAF
subtypes. Finally, we emphasize that disruptive innovations in drug discovery and
development will likely come from drugs whose effect is not necessarily tumor
shrinkage.
PMID- 29356624
TI - From Genomics to Omics Landscapes of Parkinson's Disease: Revealing the Molecular
Mechanisms.
AB - Molecular mechanisms of Parkinson's disease (PD) have already been investigated
in various different omics landscapes. We reviewed the literature about different
omics approaches between November 2005 and November 2017 to depict the main
pathological pathways for PD development. In total, 107 articles exploring
different layers of omics data associated with PD were retrieved. The studies
were grouped into 13 omics layers: genomics-DNA level, transcriptomics,
epigenomics, proteomics, ncRNomics, interactomics, metabolomics, glycomics,
lipidomics, phenomics, environmental omics, pharmacogenomics, and integromics. We
discussed characteristics of studies from different landscapes, such as main
findings, number of participants, sample type, methodology, and outcome. We also
performed curation and preliminary synthesis of multiple omics data, and
identified overlapping results, which could lead toward selection of biomarkers
for further validation of PD risk loci. Biomarkers could support the development
of targeted prognostic/diagnostic panels as a tool for early diagnosis and
prediction of progression rate and prognosis. This review presents an example of
a comprehensive approach to revealing the underlying processes and risk factors
of a complex disease. It urges scientists to structure the already known data and
integrate it into a meaningful context.
PMID- 29356627
TI - Rebooting Bioresilience: A Multi-OMICS Approach to Tackle Global Catastrophic
Biological Risks and Next-Generation Biothreats.
AB - Global Catastrophic Biological Risks (GCBRs) refer to biological events-natural,
deliberate, and accidental-of a global and lasting impact. This challenges the
life scientists to raise their game on two hitherto neglected innovation
frontiers: a veritable "futures" thinking to "think the unthinkable," and
"systems thinking" so as to see both the trees and the forest when it comes to
GCBRs. This innovation analysis article outlines the promise of Omics systems
science biotechnologies, for example, to deploy rapid fire diagnostics for health
security crises at GCBR level, possibly involving neopathogens and/or incurring
epidemics (e.g., severe acute respiratory syndrome [SARS] and Ebola) that
collectively threaten the lives of global society and interdependent biological
ecosystems. Moreover, Omics encourages thinking beyond immediacy and in long-term
strategies for biopreparedness and response innovation when the timelines are
aggressive and compressed in response to crises such as GCBRs, but also to non
global but surging, multiple threats occurring as successive, overlapping, or
distinct events, rather than as distinct entities-a prospect enforcing a reboot
in Bioresilience. We define Next-Generation Bioresilience as "a systems approach
against natural, accidental and perpetrated GCBRs using Omics technologies, and a
shift in mentality, whereby the systems approach is expanded to include multiple
plausible futures and expose unchecked assumptions attendant to risks, beyond
technological determinism." In sum, it is time to think about the realistic
potential of Omics biotechnologies beyond clinical practice and precision
medicine so as to harness the opportunities and address the uncertainties
associated not only with GCBRs but also with other emerging Omics applications in
health and society.
PMID- 29356628
TI - Proteomics of the Human Olfactory Tract.
AB - Human olfactory tract plays a fundamental role in health and disease. Proteomic
analysis of the olfactory tract therefore bears fundamental importance for
integrative biology and clinical medicine. For example, olfactory dysfunction is
one of the earliest findings in neurodegenerative disorders. The objective of the
present study was to build the proteome data from human olfactory tract using a
mass spectrometry-based approach. We performed a shotgun proteomic analysis of
the human olfactory tract obtained from three healthy adult male subjects. The
proteomics workflow consisted of fractionation based on high pH reverse phase
liquid chromatography and SDS-PAGE, followed by liquid chromatography tandem-mass
spectrometry (LC-MS/MS) analysis on high-resolution mass spectrometer. In total,
6055 proteins were identified, which were further subjected to bioinformatics
analysis and contextualization to identify the associated biological processes
and molecular functions. We found the identified proteins involved in processes
and functions related to olfactory perception, cell to cell adhesion, cellular
and G-coupled receptor activity, axonal growth, and transportation. Importantly,
we report the identification of 83 olfactory tract-restricted proteins, 4 seven
transmembrane proteins, and 14 protein kinases. Pathway analysis of the
restricted proteins revealed the enrichment of olfactory transduction, adherens
junction, taste transduction, and neurotropic signaling pathways. To the best of
our knowledge, this is the first study to report the human olfactory tract
proteome. The study contributes to the knowledge of the human brain proteome and
forms a crucial knowledge base for future applications in basic and clinical
research, especially in olfactory sensation and neurodegenerative human
disorders.
PMID- 29356629
TI - Immediate Allergic Reactions to Gadolinium-based Contrast Agents: A Systematic
Review and Meta-Analysis.
PMID- 29356630
TI - Quantitative Imaging: The Translation from Research Tool to Clinical Practice.
PMID- 29356631
TI - Does Texture Analysis of MR Images of Breast Tumors Help Predict Response to
Treatment?
PMID- 29356633
TI - Case 254.
PMID- 29356632
TI - Lost Opportunities: Radiologists Are Not Sufficiently Using Reduced-Dose CT for
Kidney Stones.
PMID- 29356635
TI - Clinical Applicability of Deep Learning System in Detecting Tuberculosis with
Chest Radiography.
PMID- 29356636
TI - Recall Rate Benchmark for Screening Breast MR Imaging in Community Practice.
PMID- 29356634
TI - Radiotheranostics in Cancer Diagnosis and Management.
AB - The fundamental foundation for precision medicine is accurate and specific
targeting of cancer cells. Advances in the understanding of cancer biology,
developments in diagnostic technologies, and expansion of therapeutic options
have all contributed to the concept of personalized cancer care. Theranostics is
the systematic integration of targeted diagnostics and therapeutics. The
theranostic platform includes an imaging component that "sees" the lesions
followed by administration of the companion therapy agent that "treats" the same
lesions. This strategy leads to enhanced therapy efficacy, manageable adverse
events, improved patient outcome, and lower overall costs. Radiotheranostics
refers to the use of radionuclides for the paired imaging and therapy agents.
Radioiodine is the classic radiotheranostic agent that has been used clinically
in management of thyroid diseases for nearly 75 years. More recently there have
been major exciting strides in radiotheranostics for neuroendocrine tumors and
prostate cancer, among other conditions. Regulatory approval of a number of
radiotheranostic pairs is anticipated in the near future. Continued support will
be needed in research and development to keep pace with the current momentum in
radiotheranostics innovations. Moreover, regulatory and reimbursement agencies
need to streamline their requirements for seamless transfer of the
radiotheranostic agents from the bench to the bedside. In this review, the
concept, history, recent developments, current challenges, and outlook for
radiotheranostics in the treatment of patients with cancer will be discussed. (c)
RSNA, 2018.
PMID- 29356637
TI - Contribution of Bone Calcium to Bone Mineral Density.
PMID- 29356638
TI - Quantitative MR Imaging Is Increasingly Important in Liver Disease.
PMID- 29356639
TI - Diagnostic Ability with Abbreviated Biparametric and Full Multiparametric
Prostate MR Imaging: Is the Use of PI-RADS Version 2 Appropriate for Comparison?
PMID- 29356640
TI - Is Noise in the MR Imager a Significant Risk Factor for Hearing Loss?
PMID- 29356641
TI - Shoulder Injuries in the Overhead-Throwing Athlete: Epidemiology, Mechanisms of
Injury, and Imaging Findings.
AB - The unparalleled velocity achieved by overhead throwers subjects the shoulder to
extreme forces, resulting in both adaptive changes and pathologic findings that
can be detected at imaging. A key biomechanical principle of throwing is
achieving maximum external rotation, which initially leads to adaptive changes
that may result in a pathologic cascade of injuries. In addition to the well
established concepts of glenohumeral internal rotation deficit and internal
impingement, osseous and soft-tissue injuries of the shoulder unique to overhead
athletes are illustrated. The epidemiology and biomechanics of throwing injuries
are reviewed, and examples from the authors' institutional experience with
competitive, collegiate, and professional baseball players are provided to
demonstrate the constellation of unique imaging findings seen in overhead
throwing athletes. Given the widespread popularity of baseball, and other sports
relying on overhead throwing motions at all playing levels from recreational to
professional, it is important for radiologists in various practice settings to be
familiar with the special mechanisms, locations, and types of shoulder injuries
seen in the overhead throwing population. (c) RSNA, 2018.
PMID- 29356642
TI - Choosing the Safest Gadolinium-based Contrast Medium for MR Imaging: Not So
Simple after All.
PMID- 29356643
TI - Seymour H. Levitt, MD.
PMID- 29356644
TI - Absence of Cortical Vein Opacification is Associated with Lack of Intra-arterial
Therapy Benefit in Stroke.
PMID- 29356645
TI - Beyond BI-RADS Density: A Call for Quantification in the Breast Imaging Clinic.
PMID- 29356646
TI - Science to Practice: Decrypting the Enigma of Ablation-induced Off-Target Effects
Is Network Pathway Analysis the Final Piece of the Puzzle?
AB - As part of the ongoing effort to better understand and mitigate pro-oncogenic off
target effects of imaging-guided radiofrequency ablation (RFA), Kumar et al ( 1 )
used gene expression and network pathway analysis to examine the gene activation
profiles in the peri-ablational zone after RFA in a breast adenocarcinoma liver
metastasis animal model. Their analysis identified STAT3 (signal transducer and
activator of transcription 3) as a key transcription factor upregulated in many
signaling pathways in the peri-ablational zone after RFA. Consequently, the
authors successfully used two STAT3 inhibitors to reduce distant tumor growth
after treatment with RFA. By demonstrating that judicious and appropriate
adjuvant therapy helped contain distant tumor growth caused by ablation, Kumar et
al have managed to pave the road ahead for the definitive success of ablation.
PMID- 29356647
TI - Science to Practice: Will Gadolinium Chelates Be Replaced by Iron Chelates in MR
Imaging?
AB - Boehm-Sturm et al ( 1 ) pose a possible paradigm shift in magnetic resonance (MR)
imaging: the substitution of iron chelates for gadolinium chelates as
paramagnetic contrast agents. The advent of nephrogenic systemic fibrosis
challenges the wide-spread perception that gadolinium is benign, and that all
gadolinium-based contrast agents (GBCAs) are identical. Long-term gadolinium
retention in patients with normal renal function is now a disturbing fact. Unlike
gadolinium, iron is an endogenous metal with a tightly regulated transport and
storage mechanism. The question the article raises is therefore a compelling one.
PMID- 29356648
TI - Case 250: Alpers-Huttenlocher Syndrome.
AB - History A 10-year-old girl with global developmental delay and attention deficit
hyperactivity disorder was transferred from an outside hospital because of
confusion and multiple episodes of left face and arm jerking. Physical
examination revealed normal muscle bulk, strength, and tone in the bilateral
upper and lower extremities but insuppressible left arm and jaw twitching Lumbar
puncture revealed no white or red blood cells, a normal glucose level of 55 mg/dL
(3.0 mmol/L) (normal range, 50-80 mg/dL [2.8-4.4 mmol/L]), and an elevated
protein level of 81.6 mg/dL (normal range, 15-60 mg/100 dL). A comprehensive
metabolic panel revealed lactic acidosis. The patient was initially started on
levetiracetam, phenobarbital, phenytoin, and topiramate for status epilepticus.
Hepatic dysfunction was not present at initial admission but developed 2 months
later, with an alanine aminotransferase level of 90-406 U/L (1.5-6.8 MUkat/L)
(normal range, 8-37 U/L [0.13-0.62 MUkat/L]) and aspartate aminotransferase in
the range of 75-187 U/L (1.2-3.1 MUkat/L) (normal range, 8-35 U/L [0.13-0.58
MUkat/L]). Electroencephalography revealed right parietal and occipital spike-and
wave discharges, with bursts of up to 20 seconds, which were indicative of
subclinical status epilepticus. The family history was remarkable for a sister
with head lag, developmental delay, seizure disorder, and liver failure.
PMID- 29356649
TI - Erosion of public health capacity should be a matter of concern for all
Canadians.
PMID- 29356650
TI - The association of exposure to suicide-related Internet content and emergency
department visits in children: A population-based time series analysis.
AB - OBJECTIVES: Suicide-related emergency department (ED) visits by children are
increasing in tandem with suicide-related Internet content. Following the
announcement of Amanda Todd's suicide, her YouTube video received widespread
views, providing an opportunity to explore this association. METHODS: Our
research question was: Among Ontario children age 11-17 years, was the release of
Amanda Todd's YouTube video following her death announcement in October 2012
associated with an increase in average monthly ED visit rates for suicide-related
diagnoses? We performed an interrupted time series analysis from April 2002 to
December 2013, with the primary outcome as a composite of the average monthly
rate of initial ED visits for suicidal ideation, intentional self-poisoning, and
intentional self-harm. Secondary outcomes were average monthly rates of intensive
care unit (ICU) admission and death resulting from the index visit. RESULTS:
There was a statistically significant increase in the monthly ED visit rate for
the composite outcome (p = 0.02) and death or ICU admission (p = 0.006) from
April 2002 to December 2013. There was no significant change in ED visit rate for
the composite outcome before and after the announcement of Amanda Todd's death,
overall (119.8 versus 219.2 respectively, p = 0.5), among females (167.4 versus
316.8 respectively, p = 0.47) or among males (74.7 versus 116.9 respectively, p =
0.33). CONCLUSIONS: Ontario ED visits for suicide-related diagnoses in 11-17 year
olds increased from 2002 to 2013. However, the increase from October 2012 to
December 2013 could not be attributed to a highly publicized adolescent suicide.
Our findings suggest that suicide-related Internet content is not associated with
the increase in ED visits for suicidal behaviour.
PMID- 29356651
TI - Cyberbullying victimization and its association with health across the life
course: A Canadian population study.
AB - OBJECTIVES: To examine the prevalence of cyberbullying victimization (CV), its
associations with self-reported health and substance use and the extent to which
age moderates these associations. METHODS: We used the 2014 Canadian General
Social Survey on Victimization (N = 31 907, mean age = 45.83, SD = 18.67) and
binary logistic regression models to estimate the strength of association between
CV and health-related outcomes. RESULTS: The five-year prevalence of CV was 5.1%.
Adolescents reported the highest prevalence of CV (12.2%), compared to all other
adult age groups (1.7%-10.4%). After controlling for socio-demographic
covariates, individuals exposed to CV had increased odds of reporting poor mental
health (OR = 4.259, 95% CI = 2.853-6.356), everyday limitations due to mental
health problems (OR = 3.263, 95% CI = 2.271-4.688), binge drinking (OR = 2.897,
95% CI = 1.765-4.754), and drug use (OR = 3.348, 95% CI = 2.333-4.804), compared
to those not exposed to CV. The associations between CV and self-reported mental
health and substance use were strongest for adolescents and attenuated across the
adult age groups. CONCLUSION: Adolescence may represent a developmental period of
heightened susceptibility to CV. Developing and evaluating targeted preventive
interventions for this age group is warranted.
PMID- 29356652
TI - Cybervictimization among preadolescents in a community-based sample in Canada:
Prevalence and predictors.
AB - OBJECTIVES: To examine the prevalence and predictors associated with
cybervictimization among preadolescents in a community-based sample from Canada.
METHODS: Data were drawn from a cohort of 5783 students of grades 5-8, aged 9-14
from 109 elementary schools at the Saskatoon Health Region, Saskatchewan of
Canada based on the Student Health Survey in the year of 2010-2011. Multivariate
logistic regression with the generalized estimating equation was used to
determine the individual and contextual factors associated with self-reported
cybervictimization. RESULTS: Of the 5783 school children, 5611 (97.0%) responded
to the question regarding cybervictimization. Among those respondents, 572
(10.2%) reported being cyberbullied at least once in the past four weeks. The
students most likely to be victimized by cyberbullying were girls, students in
grades 7 and 8 compared with grade 5, Aboriginal students compared to non
Aboriginal students, those who had lived part of their life outside of Canada
compared with those who lived all of their life in Canada, those who reported
drinking alcohol in the past, those who reported very elevated depressive
symptoms, those who were traditionally bullied, those who had low self-esteem,
and those who had a poor relationship with their parents. School-level variation
in cyberbullying victimization is negligible. School neighbour-level deprivation
is not significant after adjusting for individual-level characteristics and
parent-child relationship. CONCLUSION: Our findings identified important
characteristics of preadolescents with higher susceptibility to
cybervictimization in a Canadian setting, which can be used to develop
intervention strategies for mitigating cybervictimization among the study
population.
PMID- 29356654
TI - Alcohol distribution reforms and school proximity to liquor sales outlets in New
Brunswick.
AB - OBJECTIVES: The purpose of this project was to evaluate how changes to the sale
of alcohol in New Brunswick would be distributed across urban and rural
communities, and low- and high-income neighbourhoods. The study objectives were
to 1) estimate the population living close to alcohol outlets before and after
liquor distribution reforms, 2) identify communities or regions that would be
more or less affected, and 3) determine whether expanding access to alcohol
products would reduce school proximity to retailers. METHODS: Data from
Statistics Canada, Desktop Mapping Technologies Inc. (DMTI), and geocoded
publicly available information were spatially linked and analyzed using
descriptive statistics. The populations living within 499 m, 500-999 m and 1-5 km
of an outlet were estimated, and the distances from schools to stores were
examined by geographic characteristics and neighbourhood socio-economic status.
RESULTS: Permitting the sale of alcohol in all grocery stores throughout the
province would increase the number of liquor outlets from 153 to 282 and would
increase the population residing within 499 m of an outlet by 97.49%, from 19 886
to 39 273 residents. The sale of alcohol in grocery stores would result in an
additional 35 liquor sales outlets being located within 499 m of schools. Low
income neighbourhoods would have the highest number and proportion of stores
within 499 m of schools. CONCLUSION: The findings of this study demonstrate the
importance of considering social, economic and health inequities in the context
of alcohol policy reforms that will disproportionately affect low-income
neighbourhoods and youth living within these areas.
PMID- 29356653
TI - Racial discrimination and depression among on-reserve First Nations people in
rural Saskatchewan.
AB - OBJECTIVES: To determine among rural-dwelling on-reserve Saskatchewan First
Nations people whether racial discrimination is associated with depression, and
in turn, if this relationship is moderated by gender. METHODS: As a component of
a community-based participatory research project, a cross-sectional, interviewer
administered survey of 874 adults living on 2 Cree First Nation reserves in rural
north-central Saskatchewan was conducted during May-August in 2012 and 2013. Self
reported, health-provider diagnosis of depression was the dependent variable and
experiences of interpersonal racial discrimination was the primary exposure. Chi
square and multiple logistic regression were the main analytic techniques.
Generalized estimating equations were applied to account for clustering within
households. RESULTS: Overall, 64% of participants reported being treated unfairly
in 1 or more situations because of their ethnicity; 38% indicated discrimination
occurring in 3 or more situations. Nineteen percent reported a diagnosis of
depression. Adjusted analyses indicated that compared to those with no experience
of racial discrimination, those reporting 1-2 and 3 or more situations were 1.77
times (95% CI: 1.06-2.95) and 1.91 times (95% CI: 1.19-3.04) more likely to have
diagnosed depression respectively. The relationship between racial discrimination
and depression was not modified by gender, although women were 1.85 times (95%
CI: 1.24-2.76) more likely to report depression than men. CONCLUSION:
Interpersonal racial discrimination was associated with depression among First
Nations women and men in rural Saskatchewan. Research directed at identifying the
most efficacious interventions, programs and policies to combat racism is
required to advance the goal of health equity.
PMID- 29356655
TI - Difficulty buying food, BMI, and eating habits in young children.
AB - OBJECTIVES: To determine whether parent report of difficulty buying food was
associated with child body mass index (BMI) z-score or with eating habits in
young children. METHODS: This was a cross-sectional study in primary care offices
in Toronto, Ontario. Subjects were children aged 1-5 years and their caregivers,
recruited through the TARGet Kids! Research Network from July 2008 to August
2011. Regression models were developed to test the association between parent
report of difficulty buying food because of cost and the following outcomes:
child BMI z-score, parent's report of child's intake of fruit and vegetables,
fruit juice and sweetened beverages, and fast food. Confounders included child's
age, sex, birth weight, maternal BMI, education, ethnicity, immigration status,
and neighbourhood income. RESULTS: The study sample consisted of 3333 children.
Data on difficulty buying food were available for 3099 children, and 431 of these
(13.9%) were from households reporting difficulty buying food. There was no
association with child BMI z-score (p = 0.86). Children from households reporting
difficulty buying food (compared with never having difficulty buying food) had
increased odds of consuming three or fewer servings of fruits and vegetables per
day (odds ratio [OR]: 1.31, 95% confidence interval [CI]: 1.03-1.69), more than
one serving of fruit juice/sweetened beverage per day (OR: 1.60, 95% CI: 1.28
2.00), and, among children 1-2 years old, one or more servings of fast food per
week (OR: 2.91, 95% CI: 1.67-5.08). CONCLUSION: Parental report of difficulty
buying food is associated with less optimal eating habits in children but not
with BMI z-score.
PMID- 29356656
TI - Socio-economic inequalities in exposure to industrial air pollution emissions in
Quebec public schools.
AB - OBJECTIVES: We aimed to assess the relationships between deprivation at Quebec
public schools, their proximity to polluting industries, and their exposure to
industrial air emission sources including ambient fine particulate matter
(PM2.5), sulphur dioxide (SO2) and nitrogen dioxide (NO2). METHODS: We obtained
four indicators of school deprivation using data from the 2006 Canadian census
called the low-income threshold indicator, the neighbourhood SES indicator, and
the social and material deprivation indicators of Pampalon. Using proximity
spatial tools, we constructed three buffers of 2.5, 5 and 7.5 km around each
school and summed up total emissions of PM2.5, SO2 and NO2 for each school.
Industrial air emissions were estimated using data from the 2006 Canadian
National Pollutant Release Inventory. The Pearson correlations and LOESS
regressions and natural log-transformed industrial air emissions were evaluated
for Quebec public schools within the three buffers. RESULTS: Of the 2189 public
schools in Quebec, 608 (27.8%), 1108 (50.6%) and 1384 (63.2%) schools were
located near at least one industry emitting one or more pollutants of interest in
buffers of 2.5 km, 5 km and 7.5 km of schools respectively. Weak positive Pearson
correlations (r) were found between log-transformed tons of industrial emissions
of PM2.5, SO2 and NO2 and both the social deprivation (r = {0.23; 0.33}) and low
income threshold (r = {0.17; 0.29}) indicators in a buffer of 2.5 km. However, we
found negative associations between emissions and the neighbourhood SES (r =
{0.06; 0.16}) and material deprivation (r = {-0.04; 0.08}) indicators.
CONCLUSION: Our study suggests that schools in Quebec with higher rates of socio
economic deprivation among their students may be more likely to be exposed to
higher emissions of industrial air pollutants.
PMID- 29356657
TI - ?
AB - OBJECTIF: Examiner le lien entre les conditions de travail et la sante mentale
des travailleurs-euses de la restauration.METHODE : L'echantillon se compose de
652 travailleurs-euses de la restauration issus de l'ESCC-SM de 2012. Des
analyses de regression lineaire hierarchique sont effectuees et ajustees en
fonction de divers facteurs selectionnes (sociodemographiques, habitudes de vie).
Les conditions de travail sont mesurees en partie selon le Job Content
Questionnaire (JCQ) de Karasek et Theorell. La detresse psychologique est mesuree
a partir de l'echelle K6 de Kessler. La sante mentale positive est mesuree a
partir du Continuum de sante mentale-version abregee (MHC-SF) de Keyes.RESULTATS
: Les fortes exigences psychologiques, le travail a temps partiel et les fortes
exigences physiques sont associes de facon positive a la detresse psychologique
des travailleurs-euses de la restauration, alors que le soutien social des
collegues y est associe de facon inverse. Pour la sante mentale positive des
travailleurs-euses de la restauration, l'insecurite d'emploi, les fortes
exigences psychologiques et la faible latitude decisionnelle sont associees de
facon negative tandis que le soutien social des collegues y est associe
inversement. CONCLUSION: Cette etude souligne l'importance de considerer a la
fois les aspects positifs et negatifs de la sante mentale. En effet, les facteurs
associes a la sante mentale positive et a la detresse psychologique des
travailleurs-euses de la restauration sont differents, voire associes en
direction inverse.
PMID- 29356658
TI - Prevalence of problematic cannabis use in Canada: Cross-sectional findings from
the 2013 Canadian Tobacco, Alcohol and Drugs Survey.
AB - OBJECTIVES: Cannabis is the most widely used illicit substance in Canada. There
exist a variety of tools to measure problematic characteristics of cannabis use;
however, there is no consensus on the operational definition of "problematic
use". The current study sought to estimate the prevalence of problematic cannabis
use in Canada, in terms of the kinds of problems Canadians report due to their
cannabis use, the levels of harm associated with cannabis consumption, and
potential differences among socio-demographic groups. METHODS: Cross-sectional,
nationally representative data for Canadians were obtained from the publicly
available Statistics Canada's 2013 Canadian Tobacco, Alcohol and Drugs Survey
(CTADS) (n = 13 635). Binary logistic regression analyses were conducted to
examine subgroup differences in patterns of cannabis use and problematic outcomes
defined by the World Health Organization's Alcohol, Smoking and Substance
Involvement Screening Test (ASSIST) tool embedded in CTADS. RESULTS: The findings
indicate that, while 1 in 10 Canadians reported using cannabis in the past 3
months, only 2% of the sample of Canadians who reported using cannabis in the
past 3 months were characterized as having a "high risk" of severe health or
other problems. Canadian male respondents were more likely to report social
problems than females and to be categorized as high risk. Youth and young adults
between the ages of 15 and 29 consistently reported a greater prevalence of
problems associated with their cannabis consumption than their older
counterparts. CONCLUSION: A very small proportion of Canadians report using
cannabis to a degree that is problematic. Approximately one in two young people
reported using cannabis at some point in their lives, of concern given the
negative health outcomes of early cannabis use. This study highlights the need
for the development of more sensitive instruments to detect problematic cannabis
use.
PMID- 29356659
TI - Proximity to two main sources of industrial outdoor air pollution and emergency
department visits for childhood asthma in Edmonton, Canada.
AB - OBJECTIVE: Children are recognized to be more susceptible than healthy adults to
the effects of air pollution; however, relatively few Canadian studies of
children have focused on industrial emissions. We conducted a spatial cross
sectional study to explore associations between emergency department (ED) visits
for childhood asthma and residential proximity to two industrial sources of air
pollution (coal-fired power plant and petrochemical industry) in Edmonton,
Canada. METHODS: Using administrative health care data for Alberta between 2004
and 2010, we conducted a spatial analysis of disease clusters of count data
around these two industrial sources. The distance from children's place of
residence to these industrial sources was determined by using the six-character
postal code from the children's ED visit. Clusters of cases were identified at
the census dissemination area. Negative binomial multivariable spatial regression
was used to estimate the risks of clusters in relation to the distance to these
industrial sources. RESULTS: The relative risk of ED visits for asthma,
calculated using a spatial scan test for events, was 10.4 (p value <0.01) within
the power plant area when compared with the outside area. In addition, there was
an inverse association of the distance to the power plant (coefficient = -0.01
per km) with asthma visits when multivariable models were used. No asthma
clusters were identified around the petrochemical industrial area. CONCLUSION:
Our analyses revealed that there was a cluster of ED visits for asthma among
children who lived near the coal-fired power plant just outside Edmonton.
PMID- 29356660
TI - Validating an administrative data-based case definition for identifying children
and youth with autism spectrum disorder for surveillance purposes.
AB - OBJECTIVES: To evaluate the sensitivity and positive predictive value (PPV) of
administrative health and education data for identifying cases of autism spectrum
disorder (ASD) in Manitoba, and to recommend a surveillance case definition.
METHODS: Four service providers abstracted information on children who had been
clinically diagnosed with ASD ("sensitivity cohort"). That information was linked
to Manitoba's administrative health and education data and records were extracted
into the study dataset. Records were also included for children who had an
administrative diagnosis of ASD but who were not part of the sensitivity cohort.
Study packages were mailed to the parents of the latter group in order to verify
their diagnostic status. The sensitivity and PPV of various case definitions were
calculated. RESULTS: Among the 1728 service provider-reported cases, 1532 had an
administrative diagnosis of ASD. A total of 2414 children had an administrative
diagnosis, of whom 882 were not part of the sensitivity cohort. The response to
the mail-out was very poor (<3%). Accordingly, we calculated minimum PPVs. Our
recommended surveillance case definitions are >=1 physician claim (ICD-9-CM 299)
or >=1 "ASD" special education record (2-5 years of age), and >=2 physician
claims or >=1 "ASD" special education record (6-14 years of age). The sensitivity
ranged from 80% (95% CI: 77-83) to 88% (95% CI: 83-91) and the minimum PPV from
70% (95% CI: 67-73) to 78% (95% CI: 75-81) for these definitions. CONCLUSION:
This work advances the goal of establishing a cost-effective national
surveillance system for ASD.
PMID- 29356661
TI - The impact of false positive breast cancer screening mammograms on screening
retention: A retrospective population cohort study in Alberta, Canada.
AB - OBJECTIVES: The impact of false positives on breast cancer screening retention is
inconsistent across international studies. We investigate factors associated with
screening retention, including false positive screening results, invasiveness of
diagnostic procedures, and geographic variation in Alberta, Canada. METHODS: A
total of 213 867 women aged 50-67 years who had an index screen mammogram between
July 2006 and June 2008 were evaluated at 30 months post index screen to
determine the screening retention rate. The association of screening retention
with invasiveness of the diagnostic procedure, time to diagnostic resolution, and
region of residence were investigated using multivariable log binomial
regression, adjusting for women's age. RESULTS: Women with false positive
screening results were less likely to return for their next recommended screening
than those with a true negative result (62.0% vs. 68.7%). Compared to women with
normal screening results, the adjusted risk ratios of fail-to-rescreen for women
with imaging-only follow-up, needle sampling, and open biopsy were 1.08 (95% CI:
1.05-1.12), 1.72 (95% CI: 1.44-2.07) and 2.29 (95% CI: 2.09-2.50) respectively.
Screening retention rates were slightly higher for rural residents than urban
residents. Time to diagnostic resolution was not associated with screening
retention. Screening retention peaked at one year from the index date of the
previous screening. CONCLUSION: Higher awareness of the strong negative impact
that biopsies in the case of a false positive screening have on screening
retention is needed. Such awareness can inform intervention strategies to
mitigate the impact and improve screening retention rate.
PMID- 29356662
TI - Does socio-economic status or having a chronic condition affect whether family
physicians accept a new patient? A Nova Scotia population study.
AB - OBJECTIVES: To determine whether socio-economic status (SES) and presence of a
chronic condition are associated with the response a prospective patient receives
when seeking a family physician (FP). METHODS: Scripted telephone calls
(indicating higher or lower SES and presence or absence of a chronic condition)
were made to all 327 FP offices in Nova Scotia (NS) requesting an appointment.
The main outcome measures were the responses to callers seeking a FP: being
accepted for an appointment or being offered further assistance if not accepted
(e.g., walk-in clinic, alternative provider, and telehealth), as well as the
callers' perception of the experience as positive, negative, or neutral. RESULTS:
Only 9.9% of offices accepted callers as new patients. There were no
statistically significant differences by SES or chronic condition in the
proportion of calls resulting in an appointment. Callers indicating high SES were
more likely to be provided further assistance than those with low SES (p = 0.06),
and callers indicating a chronic condition reported a better overall experience
than those without (p = 0.03). CONCLUSION: First contact accessibility for
prospective new patients was low across NS. Lower SES was associated with fewer
offers of additional assistance than higher SES. This is particularly troubling
since those with lower SES may need additional support as they may have less
access to resources and networks that could provide support. This study signals
the need to improve general and equitable accessibility to primary care
providers.
PMID- 29356663
TI - Active living in Saskatchewan: A review of official community plans.
AB - OBJECTIVE: Municipal policies may have a significant impact on the development of
environments that provide sustainable opportunities for individuals to engage in
healthy, active lifestyles. Little is known about how explicitly community
planning in Canada integrates strategies to promote physical activity. In the
context of Active Saskatchewan 2020 (AS2020), the strategic plan of Saskatchewan
in motion, such an analysis would create a basis for identifying policy gaps and
ongoing monitoring. The objective of this study was to review the official
community plan (OCP) of each city in the province of Saskatchewan, Canada and
identify policies supportive of physical activity. METHODS: A conventional
content analysis was completed of the OCPs of the 17 cities in Saskatchewan. Each
OCP was reviewed and text extracted that related to supporting physical activity.
Extracted text was thematically organized within and across cities, creating a
set of indicators for ongoing monitoring. RESULTS: Overall, 17 indicators were
identified. The frequency of inclusion of these indicators within the 17 OCPs
varied from 17.6% to 82.4%. The mean frequency of indicators identified per OCP
was 7.4. The most commonly included indicators included residential neighbourhood
plans associated with active living, downtown cycling and pedestrian plans, and
joint-use agreements between communities and schools. CONCLUSIONS: Most
Saskatchewan OCPs make little direct reference to policies supportive of physical
activity. Impacting community-level policy is an expected outcome of
AS2020/Saskatchewan in motion. This study identifies a range of indicators for
monitoring this process and highlights potential areas for policy development
within OCPs.
PMID- 29356664
TI - Geographic variation and factors associated with colorectal cancer incidence in
Manitoba.
AB - OBJECTIVES: Although individuals living in areas with lower household income have
been shown to have higher rates of mortality from colorectal cancer (CRC),
findings on the effect of income on CRC incidence in countries with universal
health care have been inconsistent. There are limited data from Canada. We
investigated the geographic variation and factors associated with CRC incidence
in Manitoba, a central Canadian province. METHODS: The Manitoba Cancer Registry
and Manitoba Health population registry were used to determine age-sex
standardized CRC incidence rates between 1985 and 2012, which were geocoded to
498 small geographic areas (SGAs). The 2001 Canadian Census was used to determine
the socio-demographic characteristics of the SGAs. Bayesian spatial Poisson
modelling was used to assess geographic variation and factors associated with CRC
incidence. RESULTS: CRC incidence in SGAs ranged from 11 to 1026 per 100 000
population per year. Importantly, in the fully adjusted model there was no
significant association between either average household income or proportion of
recent immigrants in the SGAs and CRC incidence. Individuals living in urban
areas had an overall lower CRC incidence (incidence rate ratio: 0.76; 95%
credible interval: 0.58-0.98). CONCLUSIONS: In a province with a universal health
care system, our study suggests there are no disparities in CRC incidence by
socio-economic level of the areas of residence. Rural areas should be a focus of
CRC reduction initiatives in Manitoba. Similar analysis in other jurisdictions
should be performed to evaluate the effect of the characteristics of SGAs on CRC
incidence in different settings and target some of the efforts to reduce CRC
burden.
PMID- 29356665
TI - Slim cigarette smoking prevalence among Canadian youth smokers: Implications for
federal standardized packaging legislation.
AB - OBJECTIVES: Tobacco companies market to females and young people through slim
cigarette design features and packaging. This study assessed the prevalence and
perceptions of slim cigarette smoking in grades 9-12 student smokers across
Canada using multiple data sources. METHODS: Data from three cycles of the Youth
Smoking Survey (2008/2009 to 2012/2013) and one cycle of the Cancer Risk
Assessment in Youth Survey (2015) were used. The prevalence and perceptions of
slim cigarette smoking among current smokers were compared by sex and grade.
RESULTS: In all surveys, the rate of slim cigarette use was higher among females
than males; however, this difference was not statistically significant. In the
two most recent surveys, grades 9-10 students had a significantly higher
prevalence of use compared with grades 11-12 students. The majority of students
(59.8% of females and 53.3% of males) responded, "I don't know" to the survey
item seeking to determine perceptions of harm of slim cigarettes compared with
regular cigarettes. CONCLUSION: Slim cigarette use among Canadian grades 9-12
students represents a small but growing problem. Youths' uncertainty around the
harms associated with slim cigarette use and the effect of slim cigarette
packaging and design on harm perceptions indicate the need for product design
regulations and further education in Canada.
PMID- 29356666
TI - Factors associated with shorter night-time sleep in toddlers: The Survey of Young
Canadians.
AB - OBJECTIVES: Adequate sleep in childhood is important for healthy development. No
information exists on the predictors of night-time sleep among toddlers in
Canada. The aim of this study was to determine the prevalence of and to identify
factors associated with sleeping <11 consecutive hours per night among children
aged 1-2 years in Canada. METHODS: Data from the cross sectional Survey of Young
Canadians (SYC) 2010 were used. The biological mother reported on toddlers' sleep
duration at night. Based on cut-off values used in previous studies, shorter
night-time sleep was defined as sleeping <11 hours per night. Multivariable
logistic regression was conducted to identify the associations between socio
demographic, maternal, sleep and child-related variables with shorter sleep at
night. RESULTS: Analysis of 3675 toddlers revealed that 57% slept <11 hours per
night. Results of the regression analysis showed that being from an
immigrantfamily was significantly associated with shorter night-time sleep. Being
from a higher income household, having a mother aged between 25 and 34 years at
the time of the survey, and napping >=2 hours during the day were significantly
related to sleeping >=11 hours per night. Other socio-demographic, maternal and
child-related variables were not associated with night-time sleep. CONCLUSION:
This was the first population-based, nationally representative study to examine
factors related to shorter night-time sleep in Canadian toddlers. Socio
demographic factors and nap duration were associated with night-time sleep
duration. More adequate early childhood sleep hygiene awareness efforts are
recommended, especially in vulnerable populations.
PMID- 29356667
TI - Child development in rural Ghana: Associations between cognitive/language
milestones and indicators of nutrition and stimulation of children under two
years of age.
AB - OBJECTIVES: Two studies aimed to assess the provision of nutrition and
psychosocial stimulation in the home and to examine associations between mental
development and nutrition and stimulation using a validated measure of
development milestones. METHODS: The first study consisted of secondary analyses
on health and nutrition data from 1081 mother-child pairs (the children aged 0-12
months) and their households in Ghana's Eastern Region. For the second study, the
Ghana Milestones Measure, consisting of items assessing cognitive and language
development, was used to assess child development in a subsample (N = 330) of
Study 1 participants one year later (children 10-24 months of age). This measure
was mother-reported and had been validated in a separate community in Ghana.
Correlation and linear regression analyses were used to analyze the data.
RESULTS: Family assets and maternal education were identified as key factors of
the family context. Both variables were positively associated with preventive
health practices (r = 0.08 to 0.13, p < 0.0001 to 0.01), and dietary diversity (r
= 0.15, p = 0.0001 to 0.0006), and negatively associated with maternal depressive
symptoms (r = -0.19 to -0.12, p < 0.0001). Taller children had higher receptive
(standardized beta = 0.16; p = 0.04) and expressive (0.21; 0.003) language, but
not cognitive (0.15; 0.07) milestone scores, and psychosocial stimulation was
positively associated with all three milestones (receptive = 0.13, p = 0.01;
expressive = 0.21, p < 0.0001; and cognitive = 0.24, p < 0.0001). CONCLUSION: Our
study provides the first validated measure of children's language and cognitive
development in Ghana, finding associations with nutrition and stimulation. The
Ghana Milestones Measure can be used to assess and help promote children's mental
development.
PMID- 29356668
TI - ?
AB - OBJECTIFS: Evaluer le role des commodites familiales dans l'occurrence de la
diarrhee chez les enfants de moins de 5 ans en Haiti, selon leur type (eau
potable, toilettes et refrigerateur) et leur nombre.METHODE : Nous avons mis en
commun les donnees de quatre Enquetes demographiques et de sante realisees en
Haiti entre 1994-1995 et 2012. Nous avons retenu un echantillon de 14 481 enfants
de 1-59 mois. Nous avons evalue le role des commodites familiales dans
l'occurrence de la diarrhee selon le groupe d'age d'enfants a risque (1-5; 6-11;
12-23; 24-59 mois), au moyen des modeles de regression logistique.RESULTATS : La
prevalence de la diarrhee chez les enfants de 1-59 mois en Haiti est estimee a
29,31 %. Elle atteint 42,14 % chez les enfants de 6-11 mois. Elle est plus faible
pour les enfants dont les familles ont acces a une eau potable amelioree, des
toilettes ameliorees ou un refrigerateur. Elle est d'autant plus faible que les
familles possedent au moins deux commodites simultanement. Nous ne trouvons pas
de differences significatives dans l'occurrence de la diarrhee infanto-juvenile
selon l'acces a l'eau potable (p > 0,10). Prenant en compte l'effet de periode,
une occurrence reduite de la diarrhee est significativement associee aux
toilettes ameliorees chez les enfants de 24-59 mois (RC : 0,62; p < 0,05) et au
refrigerateur chez les 6-11 mois (RC : 0,11; p < 0,05). Pour le nombre de
commodites, seuls les 24-59 mois ayant au moins deux commodites ont une
occurrence de la diarrhee statistiquement inferieure de celle des plus
defavorises (RC : 0,45; p < 0,05). CONCLUSION: Augmenter l'acces aux commodites
familiales et leur nombre par menage demeure essentiel dans la lutte contre la
diarrhee en Haiti. Une intervention integree serait donc a preconiser dans
l'environnement sociosanitaire haitien.
PMID- 29356669
TI - A critical analysis of obesity prevention policies and strategies.
AB - OBJECTIVES: Public health policies have been criticized for promoting a
simplistic narrative that may contribute to weight bias. Weight bias can impact
population health by increasing morbidity and mortality. The objectives of this
study were to: 1) critically analyze Canadian obesity prevention policies and
strategies to identify underlying dominant narratives; 2) deconstruct dominant
narratives and consider the unintended consequences for people with obesity; and
3) make recommendations to change dominant obesity narratives that may be
contributing to weight bias. METHODS: We applied Bacchi's "what's-the-problem
represented-to-be?" (WPR) approach to 15 obesity prevention policies and
strategies (1 national, 2 territorial and 12 provincial). Bacchi's WPR approach
is composed of six analytical questions designed to identify conceptual
assumptions as well as possible effects of policies. RESULTS: We identified five
prevailing narratives that may have implications for public health approaches and
unintended consequences for people with obesity: 1) childhood obesity threatens
the health of future generations and must be prevented; 2) obesity can be
prevented through healthy eating and physical activity; 3) obesity is an
individual behaviour problem; 4) achieving a healthy body weight should be a
population health target; and 5) obesity is a risk factor for other chronic
diseases, not a disease in itself. CONCLUSION: The consistent way in which
obesity is constructed in Canadian policies and strategies may be contributing to
weight bias in our society. We provide some recommendations for changing these
narratives to prevent further weight bias and obesity stigma.
PMID- 29356670
TI - Impact of the decision-making environment on policy responses to road worker
fatality in Manitoba and Saskatchewan.
AB - OBJECTIVES: Fatal accidents often lead to policy changes. However, the existing
decision-making environment is critical to policy responses. This study compares
the policy responses to similar events in Manitoba and Saskatchewan. The key
question explores the extent to which the policy decisions in each province
differ despite the similarity of the events. METHODS: Key documents were
examined. Provincial court rulings, workplace health & safety incident
investigation reports, court transcripts and police reports were used to compare
resulting policy changes as well as the socio-political and economic decision
making context. Relevant clauses in resulting legislation were also compared to
assess the specific changes that were made in each province. RESULTS: In each
province, a young, female highway construction worker was killed. However, the
provinces responded in very different ways. In Saskatchewan, the Premier called
for recommendations to improve worker safety, initiating an in-depth governmental
study and the development of a broad safety strategy. In Manitoba, political and
social pressures shifted the decision-making environment and contributed to the
rushed passing of a bill focused on traffic fine increases that resulted in
record-breaking traffic fine revenue while failing to include broader safety
measures. CONCLUSION: Different decision-making contexts can lead to vastly
different policy outcomes even when responding to very similar events. Key
differences included time constraints, access to information and the nature of
the political process invoked.
PMID- 29356671
TI - Opioid use in pregnancy and parenting: An Indigenous-based, collaborative
framework for Northwestern Ontario.
AB - Opioid use affects up to 30% of pregnancies in Northwestern Ontario. Health care
providers in Northwestern Ontario have varying comfort levels providing care to
substance-involved pregnant women. Furthermore, health care practitioners, social
service agencies and community groups in Northwestern Ontario often work in
isolation with little multidisciplinary communication and collaboration. This
article describes two workshops that brought together health and social service
providers, community organizations, as well as academic institutions and
professional organizations involved in the care of substance-involved pregnant
and parenting women. The initial workshop presented best practices and local
experience in the management of opioid dependence in pregnancy while the second
workshop asked participants to apply a local Indigenous worldview to the
implementation of clinical, research and program priorities that were identified
in the first workshop. Consensus statements developed by workshop participants
identified improved transitions in care, facilitated access to buprenorphine
treatment, stable funding models for addiction programs and a focus on Indigenous
led programming. Participants identified a critical need for a national strategy
to address the effects of opioid use in pregnancy from a culturally safe, trauma
informed perspective that takes into account the health and well-being of the
woman, her infant, her family and her community.
PMID- 29356672
TI - ?
AB - Un Centre de services sociaux et de sante (CSSS) de Montreal a mis en place une
communaute d'apprentissage des formateurs suite a la visite d'agrement relie a
l'obtention de la certification Initiative Amis des bebes. Les evaluatrices de ce
programme de qualite de soins avaient note que les parents retenaient peu
l'information transmise lors des rencontres prenatales. L'article decrit le
dispositif de developpement professionnel etabli aupres de l'equipe de
perinatalite qui cherchait a ameliorer ses pratiques d'enseignement. Il resume
aussi les deux evaluations qui ont soutenu de facon continue le perfectionnement
des professionnelles. La discussion porte sur les conditions les plus
susceptibles d'avoir favorise leur developpement des competences en enseignement.
Il ressort notamment que les parents retiennent des informations et les
appliquent lorsque les professionnelles reussissent a les rendre actifs et a les
toucher emotivement dans leur apprentissage : le contact peau a peau et le
renforcement des objectifs d'allaitement en sont des exemples eloquents.
PMID- 29356673
TI - Stories of policy change: City of Hamilton's healthy food and beverage policy.
AB - SETTING: Municipal buildings and corporate events in the City of Hamilton,
Ontario. INTERVENTION: In 2011, the City of Hamilton adopted a healthy food and
beverage policy. The intent of the policy is to demonstrate the City's commitment
to healthy eating by providing food and beverages that are healthy, safe, and
free of industrially produced trans fats, and promoting a sustainable, local food
system. The corporate policy applies to all City facilities, meetings and events.
In this article, we explore adoption and implementation of the policy, as well as
key lessons for healthy public policy change. OUTCOMES: Integrating the policy
into corporate culture and practice through the provision of ongoing supports and
resources helped the City of Hamilton overcome barriers and achieve
implementation success. IMPLICATIONS: Through exploration of the City of
Hamilton's healthy food and beverage policy, we identified a number of lessons
for policy change and implementation. When viewed in context, these lessons may
help to support policy work in other Canadian jurisdictions.
PMID- 29356674
TI - A response to "A critical analysis of obesity prevention policies and
strategies".
AB - This commentary provides a response to the article "A critical analysis of
obesity prevention policies and strategies" from a feminist fat studies
perspective. We argue that a fundamental disjuncture exists between the authors'
desire to redress fat stigma, and their understanding of "obesity as disease",
which inherently draws on a neoliberal, healthist paradigm of health and body
weight that is at the root of fat stigma.
PMID- 29356675
TI - And if there were another way out? Questioning the prevalent radicalization
models.
AB - Violent radicalization is increasingly conceptualized as a public health issue,
associated with psychological distress, a sharp increase in discrimination and
profiling, and an increase in hate crime and some types of terrorist acts.This
brief paper addresses the limitations of the current conceptual models of violent
radicalization. Beyond understanding the path leading from radicalization of
opinion to violent radicalization, it proposes to consider the non-violent
outcomes of radicalization of opinions in the current social context and to study
these outcomes in multiple settings for both minorities and majorities. Moving
beyond the implicit linearity of current models and promoting a systemic vision
would help to decrease the actual profiling of targeted communities and support
the design of community-based prevention programs structured on these alternative
outcomes, and in particular on the emergence of social solidarities in groups
expressing discontent with the status quo.
PMID- 29356676
TI - An exploration of citizen science for population health research in retail food
environments.
AB - Public engagement is an essential component of public health research, practice,
knowledge exchange processes, and decision making. Citizen science was first
documented in the early 1900s as an approach to public engagement and there is
growing interest in how it can be used in health research. This commentary
describes how citizen science approaches were incorporated into a public
engagement activity as part of a population health intervention research project
on the retail food environment, a workshop we hosted called The Food In This
Place in St. John's, Newfoundland and Labrador. We used citizen science methods
and approaches to train and support participants to critically analyze a sample
of everyday local retail food environments.
PMID- 29356677
TI - Caring for seniors living with dementia means caring for their caregivers too.
AB - To improve the care of seniors living with dementia, current initiatives
typically target better identification and treatment of the patient. Our recent
survey, however, shows we should also focus more on the needs of the informal
caregivers who care for this population in primary care settings. This three
round Delphi survey sought caregivers' views on the most frequent and difficult
decisions that seniors with dementia, their informal caregivers, and health care
providers face in primary care settings in the province of Quebec. Respondents
consisted of 31 health care professionals, informal caregivers, managers,
representatives of community-based organizations devoted to these seniors, and
clinical researchers involved in the organization of care or services to seniors
with dementia. When we asked respondents to rank 27 common but difficult
decisions involving benefit/harm trade-offs, 83% ranked the decision to choose an
option to reduce the burden of informal caregivers as one of the five most
important decisions. Choosing a treatment to manage agitation, aggression or
psychotic symptoms followed closely, with 79% of respondents selecting it as one
of the five most important decisions. Our results point to the importance of
attending to the needs of informal caregivers and improving the management of
behavioural and psychological symptoms of dementia.
PMID- 29356678
TI - Pre-exposure prophylaxis for HIV prevention in East and Southern Africa.
AB - Pre-exposure prophylaxis (PrEP) has proven to be highly effective in preventing
HIV in uninfected persons when properly adhered to. East and Southern African
countries that suffer from high HIV prevalence and incidence are increasingly
adopting PrEP as an HIV prevention strategy for their high-risk populations,
including for young women. Structural factors such as poverty, lack of education,
and gender-based violence may compromise their PrEP uptake and adherence,
however. Choice-disabled young women are most at risk of HIV infection and least
able to apply HIV prevention choices. For successful rollout of this biomedical
solution, we need structural interventions that address these underlying drivers
of the HIV epidemic.
PMID- 29356679
TI - Authors' response to Invited Commentary by Brady and Beausoleil.
PMID- 29356680
TI - Cannabis education: Prevalence and socio-demographic correlates among Ontario
middle and high school students.
PMID- 29356681
TI - Erratum.
PMID- 29356682
TI - Targeting LSD2 in breast cancer.
PMID- 29356683
TI - SLPI: a new target for stopping metastasis.
PMID- 29356684
TI - Epithelial plasticity in cancer: beyond metastasis.
PMID- 29356685
TI - The stromal fibrosis in aging ovary.
PMID- 29356687
TI - Obesity and inflammatory bowel disease: diagnostic and therapeutic implications.
AB - PURPOSE OF REVIEW: The review summarizes our current understanding of how obesity
impacts diagnostic studies and therapies used in inflammatory bowel disease (IBD)
as well as the safety and efficacy of medical and surgical weight loss therapies
in the obese IBD patient. RECENT FINDINGS: Many of the diagnostic tools we rely
on in the identification and monitoring of IBD can be altered by obesity. Obesity
is associated with increased acute phase proteins and fecal calprotectin. It can
be more difficult to obtain and interpret cross sectional imaging of obese
patients. Recent studies have also shown that common therapies used to treat IBD
may be less effective in the obese population and may impact comorbid disease.
Our understanding of how best to measure obesity is evolving. In addition to BMI,
studies now include measures of visceral adiposity and subcutaneous to visceral
adiposity ratios. An emerging area of interest is the safety and efficacy of
obesity treatment including bariatric surgery in patients with IBD. A remaining
question is how weight loss may alter the course of IBD. SUMMARY: The proportion
of obese IBD patients is on the rise. Caring for this population requires a
better understanding of how obesity impacts diagnostic testing and therapeutic
strategies. The approach to weight loss in this population is complex and future
studies are needed to determine the safety of medical or surgical weight loss and
its impact on the course of disease.
PMID- 29356686
TI - Predictors of gait speed and its change over three years in community-dwelling
older people.
AB - We aimed to assess whether and how changes in brain volume and increases in white
matter hyperintensity (WMH) volume over three years predict gait speed and its
change independently of demographics, vascular risk factors and physical status.
We analyzed 443 individuals from the Lothian Birth Cohort 1936, at mean age 73
and 76 years. Gait speed at age 76 was predicted by age, grip strength and body
mass index at mean age 73, three-year brain volume decrease and WMH volume
increase, explaining 26.1% of variance. Decline in gait speed to age 76 was
predicted by the same five variables explaining 40.9% of variance. In both
analyses, grip strength and body mass index explained the most variance. A
clinically significant decline in gait speed (>= 0.1 m/s per year) occurred in
24.4%. These individuals had more structural brain changes. Brain volume and WMH
changes were independent predictors of gait dysfunction and its three-year
change, but the impact of malleable physical factors such as grip strength or
body mass index was greater.
PMID- 29356689
TI - The impact of myeloperoxidase on HDL function in myocardial infarction.
AB - PURPOSE OF REVIEW: The focus in cardiovascular research is shifting from
determining mass HDL cholesterol levels toward investigating HDL functionalities
as biomarker for cardiovascular disease. Myeloperoxidase (MPO), a main effector
enzyme of the innate immune system, is increasingly implicated to negatively
impact HDL function by various chemical modifications of HDL-associated proteins.
This review summarizes recent insights how MPO affects HDL function in the
setting of acute myocardial infarction (MI), mainly focusing on human data.
RECENT FINDINGS: First the mechanisms how MPO renders HDL particles dysfunctional
and the usefulness of MPO as prospective biomarker for MI incidence and outcomes
are described. Then the evidence for MPO causing specific HDL function
impairments in MI and the clinical value of these observations is discussed in
the context of the different HDL function assays employed. SUMMARY: MPO
modification of HDL in acute MI generates dysfunctional HDL. Features of HDL
dysfunction can be used to stratify MI patients and seem associated with
outcomes. More prospective studies are warranted to explore, if MPO-modified HDL
is causally linked to severity and outcomes of MI. If this could be established,
MPO would represent an attractive target to improve HDL dysfunction in MI and
provide clinical benefit for patients.
PMID- 29356688
TI - Diabetes pathogenic mechanisms and potential new therapies based upon a novel
target called TXNIP.
AB - PURPOSE OF REVIEW: Thioredoxin-interacting protein has emerged as a major factor
regulating pancreatic beta-cell dysfunction and death, key processes in the
pathogenesis of type 1 and type 2 diabetes. Accumulating evidence based on basic,
preclinical, and retrospective epidemiological research suggests that TXNIP
represents a promising therapeutic target for diabetes. The present review is
aimed at providing an update regarding these developments. RECENT FINDINGS: TXNIP
has been shown to be induced by glucose and increased in diabetes and to promote
beta-cell apoptosis, whereas TXNIP deletion protected against diabetes. More
recently, TXNIP inhibition has also been found to promote insulin production and
glucagon-like peptide 1 signaling via regulation of a microRNA. beta-Cell TXNIP
expression itself was found to be regulated by hypoglycemic agents, carbohydrate
response-element-binding protein, and cytosolic calcium or the calcium channel
blocker, verapamil. Retrospective studies now further suggest that verapamil use
might be associated with a lower incidence of type 2 diabetes in humans. SUMMARY:
TXNIP has emerged as a key factor in the regulation of functional beta-cell mass
and TXNIP inhibition has shown beneficial effects in a variety of studies. Thus,
the inhibition of TXNIP may provide a novel approach to the treatment of
diabetes.
PMID- 29356690
TI - Bacterial Biofilms on Extracorporeal Membrane Oxygenation Catheters.
AB - Despite the advantages of extracorporeal membrane oxygenation (ECMO), secondary
catheter infection remains a major concern during ECMO support. In this study, to
clarify the mechanism of ECMO catheter-related infection, we evaluated the impact
of infection on biofilm formation on the surfaces of ECMO catheters, and we
investigated clinical factors associated with biofilm formation. Catheters used
for ECMO were prospectively collected aseptically from the femoral vein, internal
jugular vein, and femoral artery of 81 patients with acute cardiorespiratory
failure between January 2015 and October 2016. Prepared catheter sections were
investigated by fluorescence microscopy, confocal scanning laser microscopy,
transmission electron microscopy, and using semiquantitative culture methods. Of
the 81 patients, 51 were assigned to the infection group and 30 to a control
group. Biofilms were identified in 43.1% patients in the infection group, and in
20% controls (p = 0.034). Extracorporeal membrane oxygenation flow, systemic
infection, and carbapenem-resistant Acinetobacter baumannii (CRAB) infection were
associated with biofilm formation in a univariate analysis (odds ratio [OR]:
1.00, 95% confidence interval [CI]: 1.00-1.00, p = 0.007; OR: 3.03, 95% CI: 1.06
8.69, p = 0.039; OR: 9.60, 95% CI: 2.94-31.30, p < 0.001, respectively). However,
of these factors, only CRAB infection was found to independently predict the
presence of a biofilm by a multivariate logistic regression analysis (OR: 9.60,
95% CI: 2.94-31.30; p < 0.001). Biofilms were more prevalent in patients with an
infection than in uninfected controls. Carbapenem-resistant A. baumannii
infection was identified as an independent risk factor for biofilm formation on
ECMO catheters.
PMID- 29356691
TI - The epileptic encephalopathy jungle - from Dr West to the concepts of aetiology
related and developmental encephalopathies.
AB - PURPOSE OF REVIEW: We aim to further disentangle the jungle of terminology of
epileptic encephalopathy and provide some insights into the current understanding
about the aetiology and pathophysiology of this process. We cover also the key
features of epilepsy syndromes of infancy and childhood which are considered at
high risk of developing an epileptic encephalopathy. RECENT FINDINGS: The concept
of 'epileptic encephalopathy' has progressively been elaborated by the
International League Against Epilepsy according to growing clinical and
laboratory evidence. It defines a process of neurological impairment caused by
the epileptic activity itself and, therefore, potentially reversible with
successful treatment, although to a variable extent. Epileptic activity
interfering with neurogenesis, synaptogenesis, and normal network organization as
well as triggering neuroinflammation are among the possible pathophysiological
mechanisms leading to the neurological compromise. This differs from the newly
introduced concept of 'developmental encephalopathy' which applies to where the
epilepsy and developmental delay are both because of the underlying aetiology and
aggressive antiepileptic treatment may not be helpful. SUMMARY: The understanding
and use of correct terminology is crucial in clinical practice enabling
appropriate expectations of antiepileptic treatment. Further research is needed
to elucidate underlying pathophysiological mechanisms, define clear outcome
predictors, and find new treatment targets.
PMID- 29356692
TI - Antitumor effects of histone deacetylase inhibitor suberoylanilide hydroxamic
acid in epidermal growth factor receptor-mutant non-small-cell lung cancer lines
in vitro and in vivo.
AB - Histone acetylation is one of the most abundant post-translational modifications
in eukaryotic cells; aberrant histone acetylation is related to a range of cancer
types because of the dysregulation of histone deacetylases (HDACs). Inhibition of
HDACs leads to suppression of tumor growth in multiple cancers, whereas the
inhibitory effects of HDAC inhibitors remain incompletely understood in epidermal
growth factor receptor (EGFR)-mutant lung cancers. In this study, the antitumor
effects of HDACs inhibitor suberoylanilide hydroxamic acid (SAHA, vorinostat)
were examined in EGFR-mutant lung cancer cell lines. The results of the present
work showed that SAHA markedly inhibited cell viability and proliferation,
induced cell apoptosis by arresting the cell cycle in the G2/M phase, and
significantly reduced tumor growth in a xenograft model. Further study confirmed
that the suppression function of SAHA might be mediated by regulating the ERK
dependent and/or the AKT-dependent pathway; meanwhile, angiogenesis abrogation
induced by SAHA exerted effects on tumor regression in vivo. Taken together, our
results identify the antitumor effects of HDACs inhibitor SAHA as an alternative
therapeutic application for the epigenetic treatment of EGFR-mutant non-small
cell lung cancer.
PMID- 29356694
TI - The optimal duration of treatment for skin and soft tissue infections and acute
bacterial skin and skin structure infections.
AB - PURPOSE OF REVIEW: To summarize the current finding on SSTIs/ABSSSIs treatment
duration. RECENT FINDINGS: In 2013, the FDA approved the definition of acute
bacterial skin and skin structure infections (ABSSSIs). From a clinical point of
view, the new definition may present some advantages: the definition of the
severity of the disease, the measurement of reduction in lesion size, and
effectiveness of treatment primary endpoint at 48-72 h after treatment
initiation. New therapeutic options with improved efficacy, safety, and/or
pharmacodynamics are available for ABSSSIs and so far, several questions still
need to be addressed for the management of these infections, including treatment
duration. SUMMARY: There is a wide variation of duration of antimicrobial
treatment in skin and soft tissue infections. Plenty of published data available
suggest that we should focus on the early response to shorten duration of
treatment, and that the antimicrobial stewardship perspective is extremely
helpful in underscoring the need for composite outcomes in clinical practice, as
multiple tools are available to increase cost-efficacy, including reduction of
treatment changes, early oral switch, early discharge (even from the Emergency
Department), outpatient antimicrobial treatment, long-acting antibiotics, and all
together, de-escalation treatment strategies.
PMID- 29356693
TI - Sonic hedgehog and Wnt/beta-catenin pathways mediate curcumin inhibition of
breast cancer stem cells.
AB - Cancer stem cells (CSCs) play an essential role in the progression of many
tumors. Sonic hedgehog (Shh) and Wnt/beta-catenin pathways are crucial in
maintaining the stemness of CSCs. Curcumin has been shown to possess anticancer
activity. However, the interventional effect of curcumin on breast CSCs has not
been elucidated. In the present study, we investigated the role of Shh and
Wnt/beta-catenin pathway in curcumin inhibition of breast CSCs. We showed that
the levels of breast CSCs markers were significantly elevated in SUM159 and MCF7
sphere-forming cells. We further illustrated that curcumin effectively decreased
breast CSCs activity by inhibiting tumor sphere formation, decreasing breast CSCs
markers (CD44, ALDH1A1, Nanog, and Oct4), as well as inhibiting proliferation and
inducing apoptosis. Moreover, we showed that downregulation of Shh and Wnt/beta
catenin activity resulted in breast CSCs inhibition; curcumin exerted an
inhibitory effect on breast CSCs by suppressing both Shh and Wnt/beta-catenin
pathways. Taken together, these results indicated curcumin inhibition of breast
CSCs by downregulation of Shh and Wnt/beta-catenin pathways. Findings from this
study could provide new insights into the potential therapeutic application of
curcumin in breast CSCs elimination and cancer intervention.
PMID- 29356695
TI - Using polyphenol derivatives to prevent muscle wasting.
AB - PURPOSE OF REVIEW: To highlight recent evidence for the ability of polyphenols
and their derivatives to reduce muscle wasting in different pathological states.
RECENT FINDINGS: From January 2016 to August 2017, four articles dealt with the
effects of polyphenols on muscle wasting, which were all carried out in mice. The
four studies found that polyphenols reduced muscle mass loss associated with
cancer cachexia, acute inflammation or sciatic nerve section. One study even
showed that muscle mass was totally preserved when rutin was added to the diet of
mice undergoing cancer cachexia. The beneficial effects of polyphenols on muscle
wasting were mainly due to a reduction in the activation of the nuclear factor
kappa B pathway, a lower oxidative stress level and a better mitochondrial
function. In addition, urolithin B was found to have a testosterone-like effect
and to favorably regulate muscle protein balance. SUMMARY: During the last 20
months, additional data have been collected about the beneficial effects of
rutin, curcumin, quercetin, ellagitanins and urolithin B to limit the loss of
muscle mass associated with several pathological states. However, currently,
scientific evidence lacks for their use as nutraceuticals in human.
PMID- 29356696
TI - Parenteral nutrition in intensive care patients: medicoeconomic aspects.
AB - PURPOSE OF REVIEW: Parenteral nutrition (PN) alone or as supplemental parenteral
nutrition (SPN) has been shown to prevent negative cumulative energy balance, to
improve protein delivery and, in some studies, to reduce infectious morbidity in
ICU patients who fail to cover their needs with enteral nutrition (EN) alone.
RECENT FINDINGS: The optimization of energy provision to an individualized energy
target using either early PN or SPN within 3-4 days after admission has recently
been reported to be a cost-saving strategy mediated by a reduction of infectious
complications in selected intensive care patients. SUMMARY: EN alone is often
insufficient, or occasionally contraindicated, in critically ill patients and
results in growing energy and protein deficit. The cost benefit of using early PN
in patients with short-term relative contraindications to EN has been reported.
In selected patients SPN has been associated with a decreased risk of infection,
a reduced duration of mechanical ventilation, a shorter stay in the ICU.
Altogether four studies have investigated the costs associated with these
interventions since 2012: two of them from Australia and Switzerland have shown
that optimization of energy provision using SPN results in cost reduction,
conflicting with other studies. The latter encouraging findings require further
validation.
PMID- 29356697
TI - What is the optimal target for treat-to-target strategies in rheumatoid
arthritis?
AB - PURPOSE OF REVIEW: There has been a trend over time to aim for stricter treatment
targets in the treatment of rheumatoid arthritis (RA). We reviewed recent
literature to attempt to identify the optimal target in treat-to-target
strategies in RA. RECENT FINDINGS: Achieving lower disease activity was shown to
be beneficial, but few studies directly compared the effect of aiming for
different treatment targets. Based on the limited available evidence, aiming for
remission seems to result in more patients achieving (drug-free) remission than
aiming for low disease activity (LDA), but it does not seem to result in better
physical functioning. There are indications that adherence to a remission
targeted protocol can be lower. In randomized trials in which LDA or remission
were compared with ultrasound remission targets, treatment targeted at ultrasound
remission was associated with more intensive treatment, but it did not result in
better clinical or imaging outcomes. SUMMARY: There were no benefits of aiming
for ultrasound remission in RA-patients. To decide whether remission or LDA is
the best target in the treatment of RA-patients, a randomized clinical trial
comparing both targets would be needed. On an individual level, cotargets such as
functional ability should be considered.
PMID- 29356699
TI - A novel compound heterozygous mutations in protein C gene causing neonatal
purpura fulminans.
AB - : Neonatal purpura fulminans is a rare, life-threatening disease caused by severe
congenital deficiency of protein C (PC) because of homozygous or compound
heterozygous mutations in the PROC gene. Mutation analysis plays a critical role
in diagnosing the disorder and offering prenatal guidance. In this study, we
identified a genetic defect in the PROC gene leading to neonatal purpura
fulminans. The propositus had very low PC activity (4%) and PC antigen activity
(5%). DNA screening of the whole PROC gene revealed two compound heterozygous
mutations in exon8 (c.795_796insA) and exon9 (c.1206_1207insG). These two
variations led to the compound heterozygous mutations of Gly266Argfs4 and
Pro405Alafs20, which were inherited from the patient's father and mother,
respectively. His older sister is heterozygous for the Gly266Argfs4 mutation. The
inserted nucleotides alter the protein by introducing a stop codon at the
subsequent AA position, resulting in a truncated protein compared with the wild
type. We deduced that the compound heterozygous mutations are responsible for the
PC deficiency, the Gly266Argfs4 mutation has been confirmed to be a novel
mutation.
PMID- 29356698
TI - Development of encorafenib for BRAF-mutated advanced melanoma.
AB - PURPOSE OF REVIEW: To describe the pharmacological properties, preclinical and
clinical data of the novel V-Raf Murine Sarcoma Viral Oncogene Homolog B (BRAF)
inhibitor encorafenib (LGX818) and to compare these with established BRAF
inhibitors in the treatment of locally advanced or metastatic melanoma. RECENT
FINDINGS: Encorafenib has shown improved efficacy in the treatment of metastatic
melanoma in comparison with vemurafenib. Combination with the MEK inhibitor
(MEKi) binimetinib allows for higher dose intensities of encorafenib further
improving response rates (RRs). SUMMARY: Combination therapy with BRAF and MEKi
has evolved as a standard of care in the treatment of locally advanced or
metastatic BRAF-mutated melanoma. Despite compelling initial RRs, development of
treatment resistance eventually leads to tumor progression in the majority of
BRAF/MEK-inhibitor treated patients. Moreover, treatment-related adverse events
are frequent, resulting in a substantial proportion of dose modifications and/or
treatment discontinuations. The second-generation BRAF inhibitor encorafenib has
been developed aiming at improved efficacy and tolerability through modifications
in pharmacological properties. Clinical phase 3 data show improved progression
free survival both for encorafenib monotherapy and combination therapy with
binimetinib compared with vemurafenib. Overall survival data and regulatory
approval of this novel substance are eagerly awaited.
PMID- 29356700
TI - The effects of transport temperature and time on routine and specialized
coagulation assays.
AB - : Coagulation laboratories have largely stopped transporting whole blood
specimens on ice, due to adverse effects on factor VIII, von Willebrand factor,
and the prothrombin time. However, it is unknown whether ice should be required
or avoided for other coagulation assays. Furthermore, the amount of time that
specimens remain stable during transportation at room temperature (RT) is also
largely unknown for many coagulation tests. Therefore, this study investigated
specimen stability on ice and RT for a comprehensive panel of coagulation tests.
One tube of whole blood from each volunteer (n = 22) was centrifuged immediately
(time 0), one was stored for 4 h on ice, and one was stored for 4 h at RT before
centrifugation. Among time 0, 4 h on ice, and 4 h at RT samples, no statistically
significant differences were found for fibrinogen, activated protein C
resistance, thrombin time, reptilase time, antithrombin activity, chromogenic
protein C, factor XII, and antiplasmin activity. Prothrombin time, activated
partial thromboplastin time, factors IX, XI, protein S activity, and plasminogen
activity showed statistically, but not clinically, significant differences. On
ice, the only analytes that showed clinically significant changes (>=6.0% from
time 0) were factors VII, VIII, von Willebrand factor antigen, and ristocetin
cofactor, which were 14.0% higher, and 19.2, 9.5, and 18.8% lower than time 0,
respectively. At RT, all analytes were stable except factor VIII was 9.4% lower
than time 0. Only factors II, V, X, and PTT-LA lupus anticoagulant showed a
possible slight benefit from ice, but the statistically significant differences
were not clinically significant. Ice did not substantially benefit any of the
coagulation assays. All tests were stable at RT, except more study is needed
regarding factor VIII.
PMID- 29356701
TI - Is Surgery the Preferred Treatment for Neurologically Intact Patients With
Unilateral Facet Fractures and a Nonsubluxated Cervical Spine?
PMID- 29356702
TI - Environmental influences on Child Health Outcomes, a Research Program of the
National Institutes of Health.
PMID- 29356703
TI - Home Hearing Test: Within-Subjects Threshold Variability.
AB - BACKGROUND: The Home Hearing Test (HHT) is an automated pure-tone threshold test
that obtains an air conduction audiogram at five test frequencies. It was
developed to provide increased access to hearing testing and support home
telehealth programs. PURPOSE: Test and retest thresholds for 1000-Hz stimuli were
analyzed to determine intrasubject variability from two independent data sets.
RESEARCH DESIGN: Prospective, repeated measures. STUDY SAMPLE: In the Veterans
Affairs (VA) study, results from 26 subjects 44 to 88 years of age (mean = 65)
recruited from the Nashville VA audiology clinic were analyzed. Subjects were
required to have a Windows PC in the home and were self-reported to be
comfortable with using computers. Two subjects had normal hearing, and 24 had
hearing losses of various severities and configurations. The National Center for
Rehabilitative Auditory Research (NCRAR) sample included 100 subjects (68 males;
32 females) with a complaint of hearing difficulty recruited from the local
community and Veteran population. Subjects ranged in age from 32 to 87 years
(mean = 63.7 years). They were tested in a quiet room at the NCRAR. DATA
COLLECTION AND ANALYSIS: Subjects in the VA study were provided kits for
installing HHT on their home computers. HHT was installed on a computer at NCRAR
to test subjects in the NCRAR study. HHT obtains a five-frequency air conduction
audiogram with a retest of 1000 Hz in both ears. Only the 1000-Hz test-retest
results are analyzed in this report. Six statistical measures of test-retest
variability are reported. RESULTS: Test and retest thresholds were highly
correlated in both studies (r >= 0.96). Test-retest differences were within +/-5
dB >=92% of the time in the two studies. Standard deviations of absolute test
retest difference were <=3.5 dB in the two studies. CONCLUSIONS: Intrasubject
variability is comparable to that obtained with manual testing by audiologists in
sound-treated test rooms.
PMID- 29356704
TI - Familial hypercholesterolemia: experience from the French-Canadian population.
AB - PURPOSE OF REVIEW: There has recently been renewed interest in the study of the
various facets of familial hypercholesterolemia, a severe monogenic disease
associated with elevated LDL-cholesterol and premature cardiovascular disease
(CVD). In the present review, novel data presenting the frequency of familial
hypercholesterolemia as well as factors modulating the cardiovascular risk in
familial hypercholesterolemia will be discussed. RECENT FINDINGS: Recent studies
have showed that familial hypercholesterolemia is much more prevalent than
initially thought. Classically, it was estimated that familial
hypercholesterolemia affected one in 500 people worldwide, but a recent large
scale meta-analysis has shown a prevalence closer to one in 250. In the French
Canadian population, this disease is even more frequent reaching one in 81 in
certain regions of the Province of Quebec. Several novel studies in the French
Canadian population have shown that the clinical outcomes in familial
hypercholesterolemia seem to be greatly influenced by risk factors other than LDL
cholesterol. Also, scores to predict CVD in familial hypercholesterolemia have
been recently proposed. SUMMARY: Familial hypercholesterolemia is more frequent
than initially thought and the phenotype of this disease can be variable. Indeed,
both clinical and genetic variables can modulate the CVD risk in this population.
PMID- 29356705
TI - Genetics of familial hypercholesterolemia: a tool for development of novel lipid
lowering pharmaceuticals?
AB - PURPOSE OF REVIEW: Familial hypercholesterolemia is characterized by high LDL
cholesterol and an elevated risk to develop coronary heart disease. Mutations in
LDL receptor-mediated cholesterol uptake are the main cause of familial
hypercholesterolemia. However, multiple mutations in various other genes are also
associated with high LDL cholesterol and even familial hypercholesterolemia.
Thus, pharmaceuticals that target these genes and proteins might be attractive
treatment options to reduce LDL cholesterol. This review provides an overview of
the recent developments and clinical testing of such pharmaceuticals. RECENT
FINDINGS: About 80 genes are associated with hypercholesterolemia but only
pharmaceuticals that inhibit cholesteryl ester transfer protein (CETP),
angiopoietin-related protein 3 (ANGPTL3), and apolipoprotein C-III (apoC-III)
have recently been tested in clinical trials. Inhibition of CETP and ANGPTL3
lowered LDL cholesterol. ANGPTL3 inhibition had the largest effect and was even
effective in familial hypercholesterolemia patients. The effect of apoC-III
inhibition on LDL cholesterol is not conclusive. SUMMARY: Of the many potential
pharmaceutical targets involved in LDL cholesterol, only a few have been studied
so far. Of these, pharmaceuticals that inhibit CETP or ANGPTL3 are promising
novel treatment options to reduce LDL cholesterol but the effect of apoC-III
inhibition requires more research.
PMID- 29356706
TI - Traumatic Obturator Dislocation Following Total Hip Arthroplasty Managed with
Closed Reduction: A Case Report and Review of the Literature.
AB - CASE: A 30-year-old man sustained an obturator dislocation of a left total hip
prosthesis with a concomitant ipsilateral fracture of the greater trochanter
following a high-energy motor-vehicle accident. With the patient under general
anesthesia, the hip was successfully treated with closed reduction, as confirmed
with intraoperative fluoroscopy and postoperative radiographs. Postreduction, the
patient was able to mobilize with abduction restrictions and had no additional
instability. Short-term follow-up showed evidence of healing of the greater
trochanter. CONCLUSION: Obturator dislocation of a total hip prosthesis is an
exceedingly rare injury. Closed reduction can be considered as a treatment option
in the appropriate patient, with a satisfactory short-term outcome.
PMID- 29356707
TI - Outcomes of simultaneous liver-kidney transplantation: implications for patient
selection.
AB - PURPOSE OF REVIEW: Rates of simultaneous liver kidney (SLK) transplantation have
continued to increase despite lack of clear allocation guidelines and outcomes
data. The organ procurement and transplantation network (OPTN)/UNOS board
approved a new SLK allocation policy to standardize medical eligibility and
optimize organ utilization. This review highlights the rationale behind these new
selection criteria and posttransplant outcomes in various patient populations.
RECENT FINDINGS: Uniform criteria for SLK transplantation were adopted in August
2017 and state SLK should be reserved for select patients with cirrhosis who have
chronic kidney disease for longer than 3 months, sustained acute kidney injury,
or particular metabolic diseases. Many patients who previously underwent SLK did
not meet these criteria, reducing organ availability for patients awaiting kidney
alone transplantation. The new criteria includes a 'safety net' policy allowing
for renal transplant priority for liver-alone recipients who do not meet criteria
for SLK but fail to have renal recovery within the first year. SUMMARY: The new
SLK allocation policy was adopted to avoid kidney transplantation in those
patients who have a significant chance of recovering renal function post-liver
transplant and those with a poor chance of survival in whom SLK is futile.
PMID- 29356708
TI - Nonalcoholic steatohepatitis: the new frontier for liver transplantation.
AB - PURPOSE OF REVIEW: Nonalcoholic fatty liver disease (NAFLD) is a growing cause of
chronic liver disease globally and nonalcoholic steatohepatitis is projected to
become the most common indication for liver transplantation. The purpose of this
review is to highlight key issues surrounding NAFLD as an indication for liver
transplantation, including its increasing prevalence, outcomes related to liver
transplantation, development of post liver transplant NAFLD and NAFLD in the
liver donor pool. RECENT FINDINGS: With the advent of direct-acting antiviral
therapies, the proportion of patients on the liver transplant list or undergoing
liver transplant for chronic hepatitis C infection is steadily decreasing. In
contrast, the number transplants performed for NAFLD is increasing. By 2030, it
is estimated that the incidence of decompensated cirrhosis and hepatocellular
carcinoma will increase by 168 and 137%, respectively, and the number of deaths
will increase by 178%. SUMMARY: Liver transplantation cures cirrhosis but does
not treat the underlying metabolic disease associated with NAFLD. Thus,
strategies to control comorbidities in patients with NAFLD prior to transplant
are needed to decrease waitlist mortality and the recurrence of NAFLD after liver
transplant. NAFLD in the donor pool is also a growing concern. Strategies to
minimize steatosis and expand the number of donors are critical to meet the
growing demand for liver transplantation.
PMID- 29356709
TI - Copy number variations and fetal ventriculomegaly.
AB - PURPOSE OF REVIEW: Ventriculomegaly is one of the most common abnormal
sonographic findings, which is associated with congenital infection, chromosomal
and additional structural abnormalities. Currently, karyotype analysis is the
primary method to detect chromosomal abnormalities in fetuses with
ventriculomegaly. Recently, with the introduction of chromosomal microarray
analysis (CMA) in prenatal diagnosis, copy number variations (CNVs) have been
identified in cases of ventriculomegaly. The purpose of this review is to
summarize the current knowledge about the genetic cause of fetal
ventriculomegaly, with particular attention to primary articles regarding the
association between CNVs and fetal ventriculomegaly. RECENT FINDINGS: Recent
studies have disclosed that in addition to numerical chromosomal abnormalities
and large chromosomal imbalances, pathogenic CNVs are another important genetic
cause of fetal ventriculomegaly, which may be involved in the pathological
process of fetal ventriculomegaly as well as postnatal neurodevelopmental
disorders. Furthermore, it is reported that the incidences of pathogenic CNVs in
fetuses with ventriculomegaly were associated with the presence of other
structural anomalies, but were irrelevant to the severity of ventriculomegaly.
SUMMARY: CNVs are an important cause of fetal ventriculomegaly and CMA should be
offered to all fetuses with ventriculomegaly, regardless of the degree of
ventriculomegaly or whether combined with other structural anomalies.
PMID- 29356710
TI - Functional Trajectories Before and After Major Surgery in Older Adults.
AB - OBJECTIVES: We hypothesized that distinct sets of functional trajectories can be
identified in the year before and after major surgery, with unique transition
probabilities from pre to postsurgical functional trajectories, and that outcomes
would be better among participants undergoing elective versus nonelective
surgery. BACKGROUND: Major surgery is common and can be highly morbid in older
persons. The relationship between the course of disability (ie, functional
trajectory) before and after surgery in older adults has not been well-studied
for most operations. METHODS: Prospective cohort study of 754 community-living
persons 70 years or older. The analytic sample included 250 participants who
underwent their first major surgery during the study period. RESULTS: Before
surgery, 4 functional trajectories were identified: no disability (n = 60,
24.0%), and mild (n = 84, 33.6%), moderate (n = 73, 29.2%), and severe (n = 33,
13.2%) disability. After surgery, 4 functional trajectories were identified:
rapid (n = 39, 15.6%), gradual (n = 76, 30.4%), partial (n = 70, 28.0%), and
little (n = 57, 22.8%) improvement. Rapid improvement was seen for n = 31 (51.7%)
participants with no disability before surgery, but was uncommon among those with
mild disability (n = 8, 9.5%) and was not observed in the moderate and severe
trajectory groups. For participants with mild to moderate disability before
surgery, gradual improvement (n = 46, 54.8%) and partial improvement (n = 36,
49.3%) were most common. Most participants with severe disability (n = 27, 81.8%)
before surgery exhibited little improvement. Outcomes were better for
participants undergoing elective versus nonelective surgery. CONCLUSIONS:
Functional prognosis in the year after major surgery is highly dependent on
premorbid function.
PMID- 29356711
TI - Effect of mineralocorticoid antagonists on blood pressure lowering: overview and
meta-analysis of randomized controlled trials in hypertension.
AB - OBJECTIVES: Although mineralocorticoid antagonists (MRAs) have been proposed as
effective fourth-line blood pressure (BP)-lowering agents in resistant
hypertension, this effect in hypertension at-large is unclear. We evaluated
whether MRAs-mediated BP lowering is both effective and safe against controls and
whether the extent of BP lowering differs between resistant hypertension and
nonresistant hypertension . METHODS: We searched Medline and the Cochrane
Collaboration Library databases from 1991 to mid-September 2017 for randomized
controlled trials (RCTs), in which MRAs were compared with placebo or other
active drugs. Main outcomes were SBP and DBP lowering and treatment-related
discontinuations. Continuous outcome variables were pooled as mean difference and
the categorical variables as risk ratios, both with 95% confidence interval (CI).
The risk of bias was assessed by using the Cochrane collaboration tool. RESULTS:
We included 21 RCTs (2736 patients) of MRAs compared either with placebo or with
active agents. Whenever all selected trials were analyzed together (MRAs versus
controls), the resulting BP reduction was -7.6 (95% CI -10 to -5.3) mmHg for SBP
and -2.5 (95% CI -4.2 to -0.8) mmHg for DBP, while limiting our analysis to MRAs
versus placebo mean difference was increased by -2.1/-1.3 mmHg. We find no
differential BP lowering between resistant hypertension and non-resistant
hypertension. Treatment-related discontinuations were not different compared with
either placebo or active comparators. CONCLUSION: As the extent of BP lowering
following treatment with MRAs in patients with and without resistant hypertension
was similar and not accompanied by increased rate of treatment-related
discontinuations compared with other active comparators, these agents may have a
role in BP lowering in already treated hypertensive patients who did not reach
target BP values.
PMID- 29356712
TI - Knowledge, availability, and use of ambulatory and home blood pressure monitoring
in primary care in Spain: the MAMPA study.
AB - OBJECTIVE: To examine the degree of knowledge and management of automated devices
for office blood pressure measurement (AD), home blood pressure monitoring (HBPM)
and ambulatory blood pressure monitoring (ABPM) in primary care in Spain.
METHODS: Online self-administered survey sent between May 2016 and February 2017
to 2221 primary-care physicians working across Spain. Clinicians were mostly
identified through national primary-care scientific societies (20% overall
response rate). RESULTS: Participants' mean age was 47.7 years, 55% were women,
and 54% reported at least 20 years of primary-care practice. Among them, 47.5%
considered ABPM the best diagnostic method for hypertension, 23% chose HBPM, and
7.1% chose office blood pressure. Also, 78.2% had AD available at their centers
and 49.0% had ABPM, with slight urban/rural differences. HBPM was recommended in
daily practice for hypertension diagnosis by 67% of participants, whereas 30%
recommended ABPM. Cost to the patients was the main reason for not using HBPM
(42.7%) as was lack of accessibility for not using ABPM (69.8%). Lack of specific
training was also reported as an important reason in both cases. CONCLUSION: Even
in the possibly best primary care scenario presented by highly motivated
physicians (respondents to a voluntary anonymous survey), enormous gaps were
observed between current guidelines' recommendations on ABPM and HBPM use for
confirming hypertension and the modest degree of knowledge, availability, and use
of these technologies.
PMID- 29356713
TI - Pathologic Staging of Endometrial Carcinomas: Selected Areas of Difficulty.
AB - Accurate staging of cancers is an important determinant of prognosis and guides
optimal patient treatment. Although the International Collaboration on Cancer
Reporting recommends that endometrial cancers (including carcinosarcomas) are
pathologically staged using the International Federation of Gynecology and
Obstetrics (FIGO) 2009 system, in many areas TNM [American Joint Committee on
Cancer (AJCC) or Union for International Cancer Control (UICC)] staging is used
or even mandated; these latter systems are based on FIGO 2009. In this review,
areas of difficulty in the pathologic staging of endometrial carcinomas are
covered with practical advice for the reporting pathologist. These include issues
regarding the assessment of the depth of myometrial involvement (which may be
rendered difficult due to a variety of factors), tumor involvement of
adenomyosis, and assessment of cervical and uterine serosal involvement. Although
not included in the FIGO staging system, the issue of lymphovascular space
invasion (LVSI) is covered as this is of prognostic importance and there are
multiple problems in the pathologic assessment of this. One important point is
that tumors should not be upstaged based on the presence of LVSI alone without
tissue involvement; for example, the presence of LVSI in the outer half of the
myometrium or in cervical or adnexal vessels in a carcinoma with myoinvasion
confined to the inner half of the myometrium is still FIGO stage IA. The issue of
simultaneously occurring tumors of the endometrium and adnexa is also covered
with advice on how to distinguish between synchronous independent and metastatic
neoplasms of both endometrioid and nonendometrioid types. Recent molecular
evidence showing that simultaneously occurring endometrioid carcinomas of the
endometrium and ovary are clonal and thus probably represent metastatic disease
from the endometrium to the ovary rather than synchronous independent neoplasms,
as is widely assumed, is discussed.
PMID- 29356714
TI - Disclosure of Harmful Medical Error to Patients: A Review With Recommendations
for Pathologists.
AB - Harmful error is an infrequent but serious challenge in the pathology laboratory.
Regulatory bodies and advocacy groups have mandated and encouraged disclosure of
error to patients. Many pathologists are interested in participating in
disclosure of harmful error but are ill-equipped to do so. This review of the
literature with recommendations examines the current state of the patient safety
movement and error disclosure as it pertains to pathology and provides a
practical and explicit guide for pathologists for who, when, and how to disclose
harmful pathology error to patients. The authors provide a definition of harmful
pathology error, and the rationale and principles behind effective disclosure are
discussed. The changing culture of medicine and its effect on pathology is
examined including the trend towards increasing transparency and patient
engagement. Related topics are addressed including the management of expected
adverse events, barriers to disclosure, and additional resources for the
implementation of disclosure programs in pathology.
PMID- 29356715
TI - Postoperative Inflammation After Endoscopic Cyclophotocoagulation: Racial
Distribution and Effect on Outcomes.
AB - PURPOSE: To assess the prevalence of postoperative anterior chamber reaction or
persistent anterior uveitis (PAU) by race and its effect on intraocular pressure
(IOP) and visual acuity (VA) after combined phacoemulsification and endoscopic
cyclophotocoagulation (ECP) in primary open-angle glaucoma. PATIENTS AND METHODS:
A retrospective analysis of all patients with primary open-angle glaucoma who
underwent combined phacoemulsification cataract extraction with ECP from January
1, 2007 to October 31, 2015. VA, IOP, presence of anterior chamber cells, steroid
treatment, and number of IOP lowering drops were analyzed preoperatively and up
to 3 months postoperatively. Patients were categorized according to self-reported
race. PAU was treated according to severity and presence of symptoms. RESULTS:
Two hundred twenty-three eyes met the inclusion criteria. PAU was present in
22.4% of eyes. PAU was significantly correlated with race, particularly African
American race. PAU was also associated with a lack of improvement in inflammation
at week 1 compared with day 1 postoperatively. However, there was no significant
difference in VA, IOP, or reduction of IOP lowering drops in those with or
without PAU. When comparing African Americans to whites, PAU and its treatment
were not associated with a difference in IOP reduction at 3 months. CONCLUSIONS:
PAU is common after combined phacoemulsification and ECP and is significantly
correlated with race. Although PAU may require prolonged postoperative treatment,
our data does not support poorer VA or IOP outcomes.
PMID- 29356716
TI - Chronic Hematic Cyst of the Right Maxillary Sinus and Orbit Presenting as
Proptosis.
AB - A 28-year-old man presented to the emergency room complaining of right proptosis.
He also manifested eye pain and facial fullness and redness in this side. Seven
years ago, he had right hemifacial gunshot trauma treated with surgical
reconstruction. The CT scan showed expansion of the right maxillary sinus due to
a hypodense nonenhancing lesion extending to the nasal cavity, masticatory space,
and extraconal space of the orbit. MRI was performed showing high signal
intensity of the lesion on T2-weighted images indicating a cystic nature. T1
weighted images also demonstrated high signal intensity of the lesion suggesting
hemorrhage. At endoscopic maxillary antrostomy, the diagnosis of a chronic
hematic cyst was confirmed. Chronic hematic cysts of the orbit should be included
in the differential diagnosis of proptosis, especially if there is clinical
history of past trauma. Due to the fact that physical examination is nonspecific,
radiologic evaluation is useful to confirm the diagnosis and for presurgical
planning.
PMID- 29356717
TI - Retrospective Analysis of Physician-based Surveys Published in OPRS.
AB - PURPOSE: To review physician-based clinical surveys published in Ophthalmic
Plastic and Reconstructive Surgery. METHODS: Complementary Ovid and PubMed
searches of Ophthalmic Plastic and Reconstructive Surgery journal content were
performed for the term "survey." Results were narrowed to studies that
specifically addressed physicians' clinical practices. This search resulted in
162 articles, and after dual-investigator independent screening, 13 surveys met
inclusion criteria. RESULTS: Of the 13 surveys published from 2007 to January
2017, 6 were published since 2015, showing an increased trend in survey-based
publications. Topics included assessing practice patterns regarding eyelid
disorders, thyroid eye disease, optic nerve sheath fenestration, anophthalmic
socket, and diagnosing lacrimal disorders. Average response rate was 38.7% (range
17.5-60%), with 201 average number of replies (range 72-310). Nine out of 13
surveys included some form of statistical analysis with the remainder presenting
data in percentages. CONCLUSIONS: There has been an increased rate of survey-type
publications in Ophthalmic Plastic and Reconstructive Surgery over the past 10
years. The low response rate and frequent lack of statistical analysis raise
concerns regarding the validity and usefulness of such studies. The authors
believe that survey studies can be improved through better standardization and
the use of author guidelines. They have made specific recommendations to improve
the impact of survey papers in the future.
PMID- 29356718
TI - Suture Fixation Using Polyblend Polyethylene Sutures With Hydroxyapatite Block
for an Intra-articular Depression Fracture of the Pisiform Bone.
AB - Few cases in which open reduction and internal fixation was performed for
displaced pisiform fractures have been reported. We present a new surgical
technique for the treatment of depressed intra-articular pisiform fractures.
First, the depressed fragment was reduced by pushing the bone tamp. Then, the
fracture void resulting from the reduction of the depressed fragment was filled
with a shaped hydroxyapatite block. Finally, the fragments were sutured using
braided polyblend polyethylene sutures. The postoperative radiography could
achieve a well-reduced articular facet, and this procedure had a good clinical
outcome.
PMID- 29356719
TI - Transfer of a Radial Nerve Branch to the Brachialis Nerve for Restoration of
Elbow Flexion.
AB - Nerve transfers for brachial plexus reconstruction and the treatment of
peripheral nerve injury have demonstrated excellent clinical outcomes and may be
superior to nerve grafting. Previously described nerve transfers for restoration
of elbow flexion include the Oberlin (ulnar to musculocutaneous) and double
fasicular (median to biceps and ulnar to brachialis) transfers. However, these
transfers cannot be performed in patients with loss of elbow flexion and
concomitant high median and ulnar nerve injury. Other transfers utilizing the
thoracodorsal or intercostal nerves have been described; however, this requires
sacrifice of the latissimus dorsi muscle or potential nerve donors for a free,
functioning gracilis muscle transfer. The triceps lower medial head and anconeus
motor branch is a frequently used nerve donor with minimal morbidity. As an
alternative for this specific patient population, we report the transfer of the
triceps lower medial head and anconeus motor branch to the brachialis nerve as an
option to restore elbow flexion.
PMID- 29356720
TI - Unpacking Care Coordination Through a Multiteam System Lens: A Conceptual
Framework and Systematic Review.
AB - BACKGROUND: The 2016 President's Cancer Panel Connected Health report calls for
thoroughly characterizing the team structures and processes involved in
coordinating care for people with chronic conditions. We developed a multilevel
care coordination framework by integrating existing frameworks from the teams and
care coordination literatures, and used it to review evidence examining care
coordination processes for patients with cancer, diabetes, cardiovascular
disease, and combinations of these conditions. METHODS: We searched
Pubmed/MedLINE, CINAHL Plus, Cochrane, PsycINFO (December 2009-June 2016), and
references from previous reviews. Studies describing behavioral markers of
coordination between >=2 US health care providers caring for adults with cancer,
chronic heart disease, diabetes, or populations with a combination of these
conditions were included. Two investigators screened 4876 records and 180 full
text articles yielding 33 studies. One investigator abstracted data, a second
checked abstractions for accuracy. RESULTS: Most studies identified information
sharing or monitoring as key coordination processes. To execute these processes,
most studies used a designated role (eg, coordinator), objects and
representations (eg, survivorship plans), plans and rules (eg, protocols), or
routines (eg, meetings). Few examined the integrating conditions. None
statistically examined coordination processes or integrating conditions as
mediators of relationships between specific coordination mechanisms and patient
outcomes. LIMITATIONS: Restricted to United States, English-language studies;
heterogeneity in methods and outcomes. CONCLUSIONS: Limited research unpacks
relationships between care coordination mechanisms, coordination processes,
integrating conditions, and patient outcomes suggested by existing theory. The
proposed framework offers an organizer for examining behaviors and conditions
underlying effective care coordination.
PMID- 29356721
TI - Statewide Inferior Vena Cava Filter Placement, Complications, and Retrievals:
Epidemiology and Recent Trends.
AB - BACKGROUND: Public awareness of inferior vena cava (IVC) filter-related
controversies has been elevated by the Food and Drug Administration (FDA) safety
communication in 2010. OBJECTIVES: To examine population level trends in IVC
filter utilization, complications, retrieval rates, and subsequent pulmonary
embolism (PE) risk. DESIGN: A retrospective cohort study. SUBJECTS: Patients
receiving IVC filters during 2005-2014 in New York State. MEASURES: IVC filter
specific complications, new PE occurrences and IVC filter retrievals were
evaluated as time-to-event data using Kaplan-Meier analysis. Estimated cumulative
risks were obtained at various timepoints during follow-up. RESULTS: There were
91,873 patients receiving IVC filters between 2005 and 2014 in New York State
included in the study. The average patient age was 67 years and 46.6% were male.
Age-adjusted rates of IVC filter placement increased from 48 cases/100,000 in
2005 to 52 cases/100,000 in 2009, and decreased afterwards to 36 cases/100,000 in
2014. The estimated risks of having an IVC filter-related complication and filter
retrieval within 1 year was 1.5% [95% confidence interval (CI), 1.4%-1.6%] and
3.5% (95% CI, 3.4%-3.6%). One-year retrieval rate was higher post-2010 when
compared with pre-2010 years (hazard ratio, 2.70; 95% CI, 2.50-2.91). Among the
58,176 patients who did not have PE events before or at the time of IVC filter
placement, the estimated risk of developing subsequent PE at 1 year was 2.0% (95%
CI, 1.9%-2.1%). CONCLUSIONS: Our findings suggest that FDA communications may be
effective in modifying statewide clinical practices. Given the 2% observed PE
rate following prophylactic IVC filter placement, large scale pragmatic studies
are needed to determine contemporary safety and effectiveness of IVC filters.
PMID- 29356722
TI - Early Noninvasive Ventilation and Nonroutine Transfusion for Acute Chest Syndrome
in Sickle Cell Disease in Children: A Descriptive Study.
AB - OBJECTIVES: To describe the need for transfusion and short- and long-term
evolutions of pediatric sickle cell disease patients with acute chest syndrome
for whom early continuous noninvasive ventilation represented first-line
treatment. DESIGN: Single-center retrospective chart study in PICU. SETTING: A
tertiary and quaternary referral PICU. PATIENTS: All sickle cell disease patients
5-20 years old admitted with confirmed acute chest syndrome and not transfused in
the previous month were included. INTERVENTIONS: None. MEASUREMENTS AND MAIN
RESULTS: Demographic data, laboratory and radiologic findings, transfusions,
invasive ventilation, oxygen and noninvasive ventilation settings, duration of
opioid treatment, length of hospital stay, and severe sickle cell disease
complications in the ensuing 2 years were extracted from medical charts. Sixty
six acute chest syndrome in 48 patients were included. Continuous early
noninvasive ventilation was well tolerated in 65 episodes, with positive
expiratory pressure 4 cm H2O and pressure support 10 cm H2O (median) administered
continuously, then discontinued during 7 days (median). No patient necessitated
invasive ventilation or died. Twenty-three acute chest syndrome (35%) received
transfusions; none received blood exchange. Transfused patients had more frequent
upper lobe radiologic involvement, more severe anemia, higher reticulocyte
counts, and higher C-reactive protein than nontransfused patients. Their
evolution was more severe in terms of length of opioid requirement, length of
noninvasive ventilation treatment, overall time on noninvasive ventilation, and
length of stay. At 2-year follow-up after the acute chest syndrome episode, no
difference was observed between the two groups. CONCLUSIONS: Early noninvasive
ventilation combined with nonroutine transfusion is well tolerated in acute chest
syndrome in children and may spare transfusion in some patients. Early
recognition of patients still requiring transfusion is essential and warrants
further studies.
PMID- 29356723
TI - Architectural Patterns are a Relevant Morphologic Grading System for Clear Cell
Renal Cell Carcinoma Prognosis Assessment: Comparisons With WHO/ISUP Grade and
Integrated Staging Systems.
AB - We developed and validated an architecture-based grading for clear cell renal
cell carcinoma (ccRCC) in an observational retrospective cohort study including
506 tumors (principal cohort, n=254; validation cohort, n=252). Study endpoints
were disease-free survival (DFS) and cancer-specific survival (CSS).
Relationships with outcome were analyzed using Harrell concordance index, time
dependent receiver operating characteristic curve, area under curve, and Cox
regression model. An architecture-based grading was devised on positive
likelihood ratio (LR+) for DFS at 50 months as follows: grade 1 (LR+<0.8),
cystic, compact, acinar, clear cell papillary RCC-like, and/or regressive
patterns; grade 2 (1.2<=LR+<5), large nest, alveolar, papillary,
chromophobe/oncocytic cell-like, eosinophilic hyaline globule, and/or
intratumoral inflammatory reaction patterns; grade 3 (5<=LR+<10), rhabdoid, tumor
giant cell, enlarged vascular space, and/or hereditary leiomyomatosis renal cell
carcinoma (HLRCC)-like patterns; grade 4 (LR+>=10), sarcomatoid, infiltrative
growth patterns, and lymphatic invasion. In the principal cohort, 3-tier (grades
1-2, 3, and 4) and 4-tier architectural scores outperformed World Health
Organization/International Society of Urological Pathology, and World Health
Organization/ International Society of Urological Pathology+necrosis gradings for
DFS and CSS, and constituted an independent predictor for DFS (hazard ratio
[HR]=5.91; P<6.7E-10) and CSS (HR=4.49; P=2.2E-03), retained in the localized
(pT1-3N0M0) ccRCC subgroup (HR=6.10; P=1.3E-07 for DFS, and HR=20.09; P=9.4E-05
for CSS). On comparing with integrated staging systems, architectural grade with
1 morphologic datum remained an independent predictor of CSS, as did University
of California Los Angeles Integrated Staging System and SSIGN, and was associated
with the highest HR (HR=2.60; P=9.1E-04 in all patients; HR=4.38; P=2.0E-05 in
the localized ccRCC subgroup). Architecture-based score for ccRCC outperforms all
other morphologic grading systems and constitutes an independent predictor for
DFS and CSS. As the predictive values of 3-tier and 4-tier architecture-based
scores were similar throughout the study, we proposed to keep the simplified
version as the final score, and to define 3 risk groups as follows: low risk
(grades 1 to 2), intermediate risk (grade 3), and high risk (grade 4).
PMID- 29356728
TI - Obstructive Sleep Apnea Syndrome: Implications for Primary Care.
PMID- 29356724
TI - NUTM1 Gene Fusions Characterize a Subset of Undifferentiated Soft Tissue and
Visceral Tumors.
AB - NUT midline carcinoma is an aggressive tumor that occurs mainly in the head and
neck and, less frequently, the mediastinum and lung. Following identification of
an index case of a NUTM1 fusion positive undifferentiated soft tissue tumor, we
interrogated additional cases of primary undifferentiated soft tissue and
visceral tumors for NUTM1 abnormalities. Targeted next-generation sequencing was
performed on RNA extracted from formalin-fixed paraffin-embedded tissue, and
results validated by fluorescence in situ hybridization using custom bacterial
artificial chromosome probes. Six patients were identified: mean age of 42 years
(range, 3 to 71 y); equal sex distribution; and, tumors involved the extremity
soft tissues (N=2), kidney (N=2), stomach, and brain. On systemic work-up at
presentation all patients lacked a distant primary tumor. Morphologically, the
tumors were heterogenous, with undifferentiated round-epithelioid-rhabdoid cells
arranged in solid sheets, nests, and cords. Mitotic activity was generally brisk.
Four cases expressed pancytokeratin, but in only 2 cases was this diffuse. Next
generation sequencing demonstrated the following fusions: BRD4-NUTM1 (3 cases),
BRD3-NUTM1, MXD1-NUTM1, and BCORL1-NUTM1. Independent testing by fluorescence in
situ hybridization confirmed the presence of NUTM1 and partner gene
rearrangement. This study establishes that NUT-associated tumors transgress the
midline and account for a subset of primitive neoplasms occurring in soft tissue
and viscera. Tumors harboring NUTM1 gene fusions are presumably underrecognized,
and the extent to which they account for undifferentiated mesenchymal,
neuroendocrine, and/or epithelial neoplasms is unclear. Moreover, the
relationship, if any, between NUT-associated tumors in soft tissue and/or
viscera, and conventional NUT carcinoma, remains to be elucidated.
PMID- 29356729
TI - Literature Review.
PMID- 29356732
TI - Laparoscopic Surgery's 100 Most Influential Manuscripts: A Bibliometric Analysis.
AB - PURPOSE: Bibliometric analysis of the 100 most cited manuscripts in laparoscopic
surgery. MATERIALS AND METHODS: Web of Science database was used to identify all
English language full manuscripts with search terms "laparoscop*" and "surg*."
The 100 most cited publications were analyzed by; topic, journal, author, year,
and institution. RESULTS: In total, 71,524 eligible papers were returned with a
median citation of 585.76. The 2 most cited papers received 1635 citations each.
Nelson and colleagues, compared laparoscopic with open colectomy for malignancy,
and Clavien and colleagues, classified postoperative morbidity by severity.
Annals of Surgery published the largest number of papers (n=22), and received
most citations (n=12,356). The United States (n=55) and 2004 (n=13) had the
highest number of publications. The most ubiquitous topic was cancer treatment
(n=35), followed by surgical technique (n=24), and comparison of laparoscopic
with open surgery (n=22). CONCLUSIONS: The most cited topic compared laparoscopic
surgical techniques and comparisons thereof with open surgery.
PMID- 29356733
TI - Cardiopulmonary Aerobic Fitness Assessment During Maximal and Submaximal Exercise
Testing in Pediatric Oncology Patients After Chemotherapy.
AB - PURPOSE: The cardiopulmonary exercise test (CPET) is a valuable tool to assess
cardiopulmonary exercise capacity in pediatric oncology patients after
chemotherapy. In addition, few studies on the utility of submaximal testing have
been performed, which could be important as some patients are unable to complete
a maximal effort test secondary to deconditioning by both disease and treatment.
MATERIALS AND METHODS: We performed a retrospective chart review of pediatric
cancer patients exposed to chemotherapy from 1992 to 2013 who underwent CPET with
cycle ergometry (n=27). The study patients were compared with age-matched, sex
matched, and size-matched normal controls. The submaximal measure recorded was
the oxygen consumption (VO2)@respiratory exchange ratio (RER) 1.0 during a
maximal effort test. RESULTS: The chemotherapy group demonstrated significantly
lower exercise time (9.2+/-3.6 vs. 11.4+/-3.8; P=0.008), total work capacity
(4914.4+/-3290.3 vs. 7664.4+/-4289.5; P=0.004), systolic blood pressure at peak
exercise (162.9+/-23.2 vs. 177.3+/-23.8; P=0.01), indexed peak VO2 (33.9+/-6.9
vs. 40.0+/-6.3; P=0.001), and indexed peak oxygen pulse (6.1+/-1.3 vs. 7.0+/-1.5;
P=0.004)). For the submaximal outcome measured, 11/27 of the chemotherapy
patients had VO2@RER 1.0 values <-2 SD from the mean compared with 0/27 control
patients. CONCLUSIONS: Pediatric patients exposed to chemotherapy have impaired
cardiopulmonary exercise capacity. The VO2@RER 1.0 in chemotherapy patients
suggests that this may be a reliable submaximal measure in this population.
IMPLICATIONS FOR CANCER SURVIVORS: This study demonstrates that the CPET can be
used in pediatric cancer survivors with prior exposure to chemotherapy to
demonstrate impaired cardiopulmonary exercise tolerance, which is demonstrated on
submaximal and maximal effort testing.
PMID- 29356734
TI - Differentiation of Recurrent/Residual Glioma From Radiation Necrosis Using Semi
Quantitative 99mTc MDM (Bis-Methionine-DTPA) Brain SPECT/CT and Dynamic
Susceptibility Contrast-Enhanced MR Perfusion: A Comparative Study.
AB - PURPOSE: In this study, Tc MDM (bis-methionine-DTPA) SPECT was used for the
detection and differentiation of recurrent/residual glioma from radiation
necrosis and the results were compared with dynamic susceptibility contrast
enhanced (DSCE)-MRI and clinical findings. MATERIALS AND METHODS: Twenty-eight
patients (18 men and 10 women; mean +/- SD age, 41.4 +/- 15.03 years) with
histologically proven glioma (grade IV, 14; grade III, 7; grade II, 7) who were
planned for postsurgical standard radio/chemo therapy were recruited
prospectively. All the patients underwent technetium Tc MDM SPECT/CT and DSCE-MRI
imaging at 6 months after surgery/radio-chemotherapy, 9 of 28 patients also
underwent SPECT imaging at 1 to 2 weeks after surgery. RESULTS: Tc MDM SPECT/CT
analysis demonstrated significantly higher target to nontarget (T/NT) ratio of
the radiotracer in tumor recurrence than in radiation necrosis (3.59 +/- 1.70 vs
1.16 +/- 0.42). Likewise, the normalized cerebral blood volume (nCBV) values in
patients with tumor recurrence were also significantly higher than in radiation
necrosis (5.16 +/- 2.30 vs 1.63 +/- 0.94). A positive correlation (rho = 0.823, P
< 0.0001) between T/NT ratios and nCBV was observed. The cutoff T/NT ratios and
nCBV values estimated by receiver operating characteristic analysis were greater
than 1.50 (area under the curve, 0.944 +/- 0.34) and greater than 2.12 (area
under the curve, 0.931 +/- 0.39), respectively. Combining the results of Tc MDM
SPECT/CT, DSCE-MRI, and clinical findings, diagnosis of recurrent/residual glioma
or radiation necrosis was made in 18 and 10 patients, respectively. Sensitivity
and specificity of 2 techniques were comparable, that is, 92.0%: 78.6% for MDM
SPECT/CT and of 92.0%: 71.4% for DSCE-MRI, respectively. CONCLUSION: Thus,
combining MDM SPECT with DSCE MRI may provide an accurate method for
differentiation of tumor recurrence from radiation-induced necrosis in glioma
patients.
PMID- 29356735
TI - Flare on Serial Prostate-Specific Membrane Antigen-Targeted 18F-DCFPyL PET/CT
Examinations in Castration-Resistant Prostate Cancer: First Observations.
AB - A 71-year-old man with castration-resistant prostate cancer demonstrated a flare
phenomenon on Tc-MDP and CT after 10 weeks of enzalutamide. Prostate-specific
membrane antigen-targeted F-DCFPyL PET/CT demonstrated minimal uptake at sites of
baseline bone and lymph node disease with increasing uptake at sites of osseous
disease following therapy. Although this is likely related in part to decreased
androgen receptor activity and a consequent increase in prostate-specific
membrane antigen expression, other mechanisms (neovascularization, cell
infiltration from the bone repair process, osteoblastic turnover, or minimal
radiotracer impurity) may also be involved in causing the increased F-DCFPyL
uptake at sites of osseous flare.
PMID- 29356736
TI - Anaplastic Thyroid Cancer With Extensive Skeletal Muscle Metastases on 18F-FDG
PET/CT.
AB - A 61-year-old woman with newly diagnosed anaplastic thyroid cancer and known
metastases to the brain, lungs, and adrenal glands complained of groin muscle
pain. F-FDG PET/CT was performed to assess for extent of disease and showed
extensive hypermetabolic lesions throughout the skeletal musculature concerning
for metastatic disease. As this would be a very rare presentation for anaplastic
thyroid carcinoma, a biopsy of the left gluteal muscle was conducted. Pathology
demonstrated anaplastic thyroid carcinoma, metastatic to skeletal muscle.
PMID- 29356737
TI - Rare Variant of Intravascular Large B-Cell Lymphoma With Hemophagocytic Syndrome.
AB - Intravascular lymphoma (IVL) is a rare subtype of diffuse large B-cell lymphoma
characterized by a clonal proliferation of lymphocytes restricted to the
intravascular space. We present the case of a 60-year-old man with hemophagocytic
syndrome secondary to IVL. F-FDG PET/CT demonstrated hepatosplenomegaly with
marked diffuse hepatic, splenic, and bone marrow hypermetabolism. The case report
illustrates the imaging findings of this uncommon variant of IVL.
PMID- 29356738
TI - Rhabdomyosarcoma Revealed by a Breast Metastasis.
AB - A 38-year-old woman was referred for staging of an alveolar rhabdomyosarcoma
revealed by a breast metastasis with unknown primary. Whole-body F-FDG PET/CT
localized the primary tumor as an asymptomatic soft tissue lesion of the right
foot with a significant uptake. PET/CT detected hepatic and pancreatic metastases
and also showed a multifocal bone marrow involvement, which was not detected by
the contrast-enhanced CT. These results led to a significant change in treatment
management as the patient received local radiotherapy of the primitive lesion and
polychemotherapy.
PMID- 29356739
TI - Prostate Cancer Lytic Bone Metastases Imaged With 18F-Fluorocholine PET/CT.
AB - A 76-year-old man with metastatic prostate cancer and back pain was referred for
palliative radiotherapy. Staging F-fluorocholine PET/CT scan revealed
fluorocholine-avid prostate mass, extensive lymphadenopathy, lung nodules, and
extensive osteolytic lesions throughout the axial skeleton. Prostate cancer bone
metastases are predominantly sclerotic in nature. We present F-fluorocholine
PET/CT imaging of prostate cancer with very rare lytic bone metastases.
PMID- 29356740
TI - Incidental Detection of a Melanoma by 18F-Fluorocholine PET/CT Performed for
Evaluation of Primary Hyperparathyroidism.
AB - An 80-year-old woman was evaluated with F-fluorocholine PET/CT in suspicion of a
parathyroid adenoma. No focal parathyroid tracer uptake suggestive of adenoma was
found, and instead a unilateral multinodular goiter without elevated F
fluorocholine uptake was diagnosed. In addition, focal uptake was found in a
cutaneous nodule dorsally on the right shoulder. Upon pathological evaluation,
this proved to be a malignant melanoma.
PMID- 29356741
TI - Tumor Cystic Necrosis Following Peptide Receptor Radionuclide Therapy in
Neuroendocrine Tumors.
AB - The response assessment to peptide receptor radionuclide therapy (PRRT) in
neuroendocrine tumors is complex. We present the case of a 49-year-old man with
metastatic rectal neuroendocrine tumor whose clinical symptoms and response
evaluation criteria in solid tumors suggested progressive disease following PRRT.
However, Ga-DOTA-(Tyr3)-octreotate PET/CT showed a partial scintigraphic response
with absence of F-FDG PET/CT uptake consistent with tumor cystic necrosis. Long
term follow-up confirmed ongoing tumor response to treatment. Utilizing all
modalities of response assessment seems to be important in correctly judging the
benefit from PRRT and will need to be incorporated when developing response
assessment tools.
PMID- 29356742
TI - 18F-FDG PET/CT Detected Delayed Endoleak in an Aortoiliac Endovascular Aneurysm
Repair.
AB - We present a case of a type Ia endoleak detected using F-FDG PET/CT 10 years
after an abdominal aortoiliac endovascular aneurysm repair in an 83-year-old man.
The F-FDG PET/CT scan was performed to evaluate a solitary pulmonary nodule but,
in addition, demonstrated unexpected blood pool activity outside the stent graft
and within the abdominal aortic aneurysm sac, indicating an endoleak; no FDG
activity should be present within the aneurysm sac after stent graft placement. A
subsequent CT angiogram confirmed the endoleak. This case illustrates the value
of PET/CT in unexpectedly demonstrating this serious, late complication of
endovascular aneurysm repair.
PMID- 29356743
TI - 18F-NaF PET/CT Finding in a Patient With Abdominal Discomfort After
Schistosomiasis.
AB - A 77-year-old man with back pain and abdominal distention underwent F-NaF PET/CT
examination to evaluate potential bone lesions. Multiple foci of increased
activity were noted in the chest, which corresponded to bilateral ribs and
suggested possible occult fractures. Surprisingly, increased F-NaF uptake was
observed in the region of pelvis, which corresponded to calcification along the
colon wall, a sequela of intestinal Japanese schistosomiasis that the patient
experienced 30 years ago.
PMID- 29356744
TI - Initial Clinical Investigation of [18F]Tetrafluoroborate PET/CT in Comparison to
[124I]Iodine PET/CT for Imaging Thyroid Cancer.
AB - AIM: Recently, [F]tetrafluoroborate ([F]TFB) has been introduced as a versatile
PET probe for imaging the human sodium/iodide symporter activity. This pilot
study aimed to compare [F]TFB-PET/CT with [I]NaI-PET/CT imaging in thyroid cancer
patients. METHODS: Nine patients with newly diagnosed differentiated thyroid
cancer underwent both [F]TFB- and [I]NaI-PET/CT after total thyroidectomy. PET/CT
scans were visually analyzed for the presence of remnant thyroid tissue and for
metastatic lesions on a patient and lesion basis. For semiquantitative analysis,
thyroid remnant/tumor to blood pool ratios were calculated. RESULTS: All patients
presented with positive [F]TFB and [I]NaI-PET/CT scans. Retention of I in remnant
thyroid tissue was significantly higher as compared with [F]TFB (P < 0.01). In a
lesion-based analysis, both tracers identified an almost equal number of foci
with [F]TFB depicting a total of 41 foci and I a total of 40 foci, respectively.
In 6 of 9 patients, both radiopharmaceuticals returned an identical number of
foci. Two I-positive benign thyroid remnants were missed by [F]TFB-PET/CT in a
single patient. In another case, both tracers identified different thyroid
remnant tissues in the cervical region. Notably, [F]TFB demonstrated additional
(I-negative) cervical lymph node metastases in 2 patients, leading to an overall
agreement between the radiotracers of 91% (74/81 foci). DISCUSSION: In this pilot
study, [F]TFB-PET was not inferior to [I]NaI-PET for detecting thyroid cancer and
its metastases and was able to detect [I]NaI-PET-negative viable differentiated
thyroid cancer metastases. Further clinical evaluation as a PET tracer for
imaging thyroid pathophysiology and human sodium/iodide symporter expressing
neoplasms is highly warranted.
PMID- 29356745
TI - Virchow Node From Prostate Carcinoma by 18F-Choline PET/CT.
AB - F-choline PET/CT is increasingly being used during the follow-up of prostate
cancer and is bringing us valuable information for the delineation of local and
distant nodal recurrence in patients with hormone-resistant poorly differentiated
cell types. Lymphatic spreading usually involves pelvic and retroperitoneal
levels, being unusual at supraclavicular levels. We report a 75-year-old man with
unsuspected involvement of Virchow node from prostate cancer observed using F
choline PET/CT.
PMID- 29356746
TI - Back Pain in a Patient With Parotid Carcinoma: Serendipitous Discovery of Acute
Pancreatitis as the Cause on 18F-FDG PET/CT.
AB - Nonmalignant incidental findings in patients undergoing F-fluorodeoxyglucose (F
FDG) PET/CT for oncological indication are common. Here, we present such a case
of a 40-year-old woman, treated case of adenoid cystic carcinoma of the left
parotid gland, who subsequently presented with progressive back pain and deranged
liver function tests. F-FDG PET/CT showed no definite malignancy but incidental
finding of moderately severe acute pancreatitis, which was the cause of back
pain.
PMID- 29356747
TI - Posterior Reversible Encephalopathy Syndrome on 18F-FDG PET/CT in a Pediatric
Patient With Burkitt's Lymphoma.
AB - We present a case of posterior reversible encephalopathy syndrome (PRES) in a
pediatric patient with Burkitt's lymphoma predominantly involving the bone
marrow. F-FDG PET/CT scan obtained after the first cycle of chemotherapy,
complicated by acute kidney injury, hypertension, tumor lysis syndrome, and
lethargy with focal neurological symptoms, showed a favorable marrow and lymph
node response but increased FDG uptake in the bilateral frontal and occipital
cortical/subcortical regions. Brain MRI was consistent with PRES. The patient was
managed with IV hydration and blood pressure control with symptom resolution.
This case shows the F-FDG uptake pattern of PRES in postchemotherapy setting.
PMID- 29356749
TI - Key Factors Leading to Program Selection: A Survey of Physician Assistant Program
Interviewees.
AB - PURPOSE: The purpose of this study was to determine which factors had the
greatest influence on physician assistant (PA) interviewees' decision to choose a
PA program to attend. The information in this article may assist PA programs in
making their program more attractive to potential applicants and also may help
applicants identify programs that will best fit their needs. METHODS: Applicants
who interviewed with a PA program were asked to rate 33 different influential
factors when choosing a program to attend. RESULTS: Respondents most highly
endorsed quality of faculty and staff, first-time Physician Assistant National
Certifying Examination pass rates, and morale of faculty and staff. Results
varied by demographics, including marital status, age, and sex of respondent.
Results also varied from pre-PA students. CONCLUSIONS: Although there are
numerous factors involved in program selection, PA programs may want to focus on
the quality and morale of their faculty and staff to help improve the likelihood
of attracting and retaining the highest quality applicants.
PMID- 29356748
TI - Primary Spleen Angiosarcoma With Concomitant Hepatic Hemangiomas on 18F-FDG
PET/CT.
AB - A staging FDG PET/CT was performed for a 43-year-old woman who was suspected to
have splenic malignancy with multiple hepatic metastases revealed on CT images.
The PET/CT images showed the masses and nodules of spleen had mildly increased
uptake of FDG, whereas the uptake by the hepatic lesions was slightly higher.
However, the pathologic evaluation demonstrated that splenic lesion was malignant
as angiosarcoma, but the hepatic lesions were benign as hemangiomas.
PMID- 29356750
TI - Factors Influencing Physician Assistant Students' Attitudes Toward Deservingness
of Care for Undocumented Mexicans.
AB - PURPOSE: Attitudes regarding health-related deservingness of care for vulnerable
patient populations can impact the quality of services provided. This study was
aimed at identifying the influences that shape these attitudes among physician
assistant (PA) students. METHODS: The study focused on PA students' perspectives
toward care for a particularly vulnerable population, undocumented Mexican
immigrants. An in-person survey was administered to first- and second-year PA
students (n = 75). Multiple regression was used to assess whether familiarity,
stereotyping, language skills, cultural preparedness, societal views, and
background characteristics of PA students influenced attitudes toward
deservingness of care. RESULTS: Results suggested that cultural preparedness
among PA students was associated with positive views toward deservingness of both
emergency services (beta = 0.38, p < .001) and primary care (beta = -0.211, p =
.05) for undocumented Mexicans. Negative societal views toward this population
predicted an unwillingness to endorse emergency care (beta = -0.43, p < .001) and
primary care (beta = -0.45, p < .001). CONCLUSIONS: Demographic projections
indicate continued trends toward increasingly diverse patient populations, with
dramatic growth among Hispanics. The findings of this study suggest that greater
cultural preparedness is needed for future PAs. Furthermore, strategies for
increasing PA students' self-awareness of how their broader societal views shape
service delivery are warranted to ensure equitable care.
PMID- 29356751
TI - Knowing Your Worth: Salary Expectations and Gender of Matriculating Physician
Assistant Students.
AB - PURPOSE: The male-female wage gap is present and persistent in the health care
sector, even among physician assistants (PAs). Explanations for the persistent
gender earnings gap include differential salary expectations of men and women
based, in part, on women's lower pay entitlement. The purpose of this study was
to examine differences in salary expectations between male and female
matriculating PA students nationwide, adjusting for other factors expected to
affect salaries and pay expectations of both male and female matriculants.
METHODS: Using data from the Physician Assistant Education Association
Matriculating Student Survey of 2013, 2014, and 2015, we investigated the
relationship between first-year PA students' gender and their salary expectations
after graduation using a multinomial logistic regression analysis. We controlled
for possible confounders by including independent variables measuring student
demographics, background characteristics, qualifications, future career plans,
and financial considerations. RESULTS: We found that female PA students were less
likely than male PA students to expect a salary of $80,000-$89,999 (Odds Ratio
[OR] = 0.73), $90,000-$99,999 (OR = 0.58), or $100,000 or greater (OR = 0.42) in
comparison to an expected salary of less than $70,000, when controlling for our
independent variables. CONCLUSIONS: Our analysis shows that on entry into PA
training programs, female PA students' earnings expectations are less than those
of male PA students. Our results are consistent with research, suggesting that
women typically expect lower pay and systematically undervalue their
contributions and skills in comparison to men. Physician assistant programs
should consider strategies to promote realistic salary expectations among PA
students as one way to promote earnings equity.
PMID- 29356752
TI - From the Editor.
PMID- 29356753
TI - Case in Health Care Management.
PMID- 29356754
TI - Professional Values Competency Evaluation: Comparing Student Written Assignments
to Literature Findings.
AB - Nurse educators have had no clear evidence-based method to evaluate student
competency related to professional values. This article describes a comparative
analysis in which the list of professional values identified in a research study
of undergraduate prelicensure nursing student assignments was compared with a
list identified in an integrative review of nursing literature. Comparative
analysis allowed for a categorization of professional values that were either
congruent between student assignments and literature, exclusively evident in
literature, or exclusively evident in student assignments. Findings may
contribute to curricular process improvements promoting professional values
development in undergraduate nursing students.
PMID- 29356755
TI - The effects of physical activity on survival in patients with colorectal cancer.
AB - Mortality from colorectal cancer has been declining over the past 20 years due to
improvements in screening and treatment. Physical activity improves patient
quality of life, slows functional decline, and reduces all-cause mortality.
Although some patients may have difficulty participating in physical activity,
clinicians should always try to incorporate exercise into a management plan for
patients who have survived colorectal cancer.
PMID- 29356756
TI - Primary care providers' role in transgender healthcare.
PMID- 29356757
TI - Pro- versus Antinociceptive Nongenomic Effects of Neuronal Mineralocorticoid
versus Glucocorticoid Receptors during Rat Hind Paw Inflammation.
AB - BACKGROUND: In naive rats, corticosteroids activate neuronal membrane-bound
glucocorticoid and mineralocorticoid receptors in spinal cord and periphery to
modulate nociceptive behavior by nongenomic mechanisms. Here we investigated
inflammation-induced changes in neuronal versus glial glucocorticoid and
mineralocorticoid receptors and their ligand-mediated nongenomic impact on
mechanical nociception in rats. METHODS: In Wistar rats (n = 5 to 7/group) with
Freund's complete adjuvant hind paw inflammation, we examined glucocorticoid and
mineralocorticoid receptor expression in spinal cord and peripheral sensory
neurons versus glial using quantitative reverse transcription-polymerase chain
reaction (qRT-PCR), Western blot, immunohistochemistry, and radioligand binding.
Moreover, we explored the expression of mineralocorticoid receptors protecting
enzyme 11-betahydroxysteroid dehydrogenase type 2 as well as the nociceptive
behavioral changes after glucocorticoid and mineralocorticoid receptors agonist
or antagonist application. RESULTS: Hind paw inflammation resulted in significant
upregulation of glucocorticoid receptors in nociceptive neurons of spinal cord
(60%) and dorsal root ganglia (15%) as well as mineralocorticoid receptors, while
corticosteroid plasma concentrations remained unchanged. Mineralocorticoid (83 +/
16 fmol/mg) but not glucocorticoid (104 +/- 20 fmol/mg) membrane binding sites
increased twofold in dorsal root ganglia concomitant with upregulated 11
betahydroxysteroid dehydrogenase type 2 (43%). Glucocorticoid and
mineralocorticoid receptor expression in spinal microglia and astrocytes was
small. Importantly, glucocorticoid receptor agonist dexamethasone or
mineralocorticoid receptor antagonist canrenoate-K rapidly and dose-dependently
attenuated nociceptive behavior. Isobolographic analysis of the combination of
both drugs showed subadditive but not synergistic or additive effects.
CONCLUSIONS: The enhanced mechanical sensitivity of inflamed hind paws
accompanied with corticosteroid receptor upregulation in spinal and peripheral
sensory neurons was attenuated immediately after glucocorticoid receptor agonist
and mineralocorticoid receptor antagonist administration, suggesting acute
nongenomic effects consistent with detected membrane-bound corticosteroid
receptors.
PMID- 29356758
TI - Global scientific productivity in the field of PET: a 10-year survey of research
activities.
AB - OBJECTIVE: The objective of this study was to assess global research output in
the field of PET and to provide a general picture of PET research. MATERIALS AND
METHODS: Publications on PET from 2007 to 2016 were identified using the Web of
Science. The total number of papers, the number of papers adjusted by gross
domestic product (GDP)/population size, total citations, and average citations
were investigated. RESULTS: A total of 40 670 papers were identified in the field
of PET between 2007 and 2016. The number of papers published per year were
significantly increased during this period (P=0.000). High-income countries
published the maximum papers (89.95%), followed by middle-income countries
(10.05%), whereas no papers were published by authors from low-income countries.
The USA published the largest number of papers (11 936), followed by Japan
(3667), Germany (3424), China (2508), and the UK (2424), and the USA had the
highest total number of citations (361 498). The UK had the highest average
citations (31.81). Positive correlations were found between the total number of
papers and GDP (P=0.000, r=0.909)/population (P=0.000, r=0.772). When normalized
by GDP, Denmark ranked the first (23.56), followed by The Netherlands (17.18) and
Belgium (15.32). When adjusted for population, Denmark ranked the first (111.55),
followed by The Netherlands (87.91) and Switzerland (86.93). CONCLUSION: Global
scientific production represents a rapid increase in the PET field in recent
years. The majority of PET papers are from high-income countries. The USA is the
most prolific country, whereas some smaller European countries may be more
prolific relative to their GDP/population.
PMID- 29356759
TI - Modified Primary Prophylaxis in Previously Untreated Patients With Severe
Hemophilia A in Iran.
AB - BACKGROUND: Recently the low-dose tailoring method of primary prophylaxis has
been introduced for previously untreated patients with hemophilia A. OBJECTIVE:
To evaluate the efficacy and safety of low-dose tailoring method of primary
prophylaxis in previously untreated patients with severe hemophilia A. MATERIALS
AND METHODS: In this pre-post interventional study, 33 patients with severe
hemophilia A who were previously untreated and affiliated to universities in the
capital city and southern Iran were evaluated during 2014 to 2015. Modified
primary continuous prophylaxis was used for patients with age below 3 years old,
after first and before the second episodes of obvious clinical bleeding in large
joints or large soft tissue hematoma or large amount of bleeding. Prophylaxis was
started by 25 IU/kg once per week and increased to twice or 3 times a week
according to defined bleeding events. RESULTS: The median age at diagnosis and
age of starting prophylaxis were: 4 months (at birth, 22 mo) and 12 months (1 to
35 mo), respectively. Mean annual bleeding rate of patients after prophylaxis was
1.08+/-2.21 episodes per year. None of the patients had inhibitors before
therapy. Inhibitor was present in 5 patients (15.1%) after prophylaxis.
CONCLUSIONS: It seems that modified primary continuous prophylaxis has been
successful in reducing bleeding episodes in children with severe hemophilia A and
in the texture of lower cost it can improve quality of life of these patients,
especially in developing countries where financial resources are limited.
PMID- 29356760
TI - Comparison of the Radiologic and Clinical Findings of Adolescents With Breast
Complaints.
AB - INTRODUCTION: The American College of Radiology's Breast Imaging Reporting and
Data System (BI-RADS) was developed to guide imaging-based surgical treatment in
patients with breast cancer. Studies confirming the BI-RADS did not include
adolescents. To evaluate the validity of this classification system in
adolescents, we aim to investigate the relationship between the BI-RADS and
pathology findings in adolescents. METHODS: The medical data of 67 female
adolescent patients, aged 12 to 18, referred to our clinic for breast-related
complaints between 2013 and 2016 were reviewed retrospectively for demographic
data and the results of radiologic and surgical pathologies. RESULTS: The main
underlying reasons for the visit at the clinic were fullness, tenderness, pain,
and palpable masses. Of the 67 patients, 46 were enrolled in the study. After
breast ultrasonography, patients whose complaints had diminished were subtracted
from the follow-up. The mean age of the patients was 16 years (12 to 18 y). The
mean mass diameter size was 3.69 cm (0.9 to 15 cm), and the mean clinical follow
up was 65.3 days (11 to 1095 d). All the patients who were surgically intervened
had benign pathology. Of the 21 surgically intervened patients with BI-RADS
levels of >=3, most had fibroadenomas. CONCLUSIONS: The BI-RADS classification
based treatment algorithm may not be valid in adolescents. In the present study,
all patients with lesions with BI-RADS >=3 levels had revealed benign
pathologies. The BI-RADS classification may show an increased risk. However, to
determine the need for a biopsy in adolescents, there is a need for larger-scale
pediatric and adolescent studies using the BI-RADS classification.
PMID- 29356761
TI - Moxifloxacin in Pediatric Patients With Complicated Intra-abdominal Infections:
Results of the MOXIPEDIA Randomized Controlled Study.
AB - BACKGROUND: This study was designed to evaluate primarily the safety and also the
efficacy of moxifloxacin (MXF) in children with complicated intra-abdominal
infections (cIAIs). METHODS: In this multicenter, randomized, double-blind,
controlled study, 451 pediatric patients aged 3 months to 17 years with cIAIs
were treated with intravenous/oral MXF (N = 301) or comparator (COMP, intravenous
ertapenem followed by oral amoxicillin/clavulanate; N = 150) for 5 to 14 days.
Doses of MXF were selected based on the results of a Phase 1 study in pediatric
patients (NCT01049022). The primary endpoint was safety, with particular focus on
cardiac and musculoskeletal safety; clinical and bacteriologic efficacy at test
of cure was also investigated. RESULTS: The proportion of patients with adverse
events (AEs) was comparable between the 2 treatment arms (MXF: 58.1% and COMP:
54.7%). The incidence of drug-related AEs was higher in the MXF arm than in the
COMP arm (14.3% and 6.7%, respectively). No cases of QTc interval prolongation
related morbidity or mortality were observed. The proportion of patients with
musculoskeletal AEs was comparable between treatment arms; no drug-related events
were reported. Clinical cure rates were 84.6% and 95.5% in the MXF and COMP arms,
respectively, in patients with confirmed pathogen(s) at baseline. CONCLUSIONS:
MXF treatment was well tolerated in children with cIAIs. However, a lower
clinical cure rate was observed with MXF treatment compared with COMP. This study
does not support a recommendation of MXF for children with cIAIs when alternative
more efficacious antibiotics with better safety profile are available.
PMID- 29356762
TI - Update on Neonatal Herpes Simplex Epidemiology in the Netherlands: A Health
Problem of Increasing Concern?
AB - BACKGROUND: This article provides an update on the incidence of neonatal herpes,
guideline adherence by health care professionals (HCP) and trends in genital
herpes simplex virus (HSV) infection during pregnancy in the Netherlands.
METHODS: Questionnaires were sent to all hospitals inquiring about numbers and
characteristics of neonatal and maternal HSV infections, and guideline adherence
between 2012 and 2015. Longitudinal trends were investigated from 1999 onward
using survey data and Perinatal Registry of the Netherlands data (Perined).
Trends were smoothed with Poisson regression splines. Risk indicators for
neonatal and maternal HSV infections were examined with Poisson regression
analyses. RESULTS: Neonatal herpes incidence was 4.8/100,000 live births based on
survey data (2012-2015) and 3.4/100,000 based on Perined (2012-2014). Mortality
rate was 23% (7/30). Neonatal herpes incidence increased slightly over time as
did the prevalence of genital HSV infection among pregnant women. Non-Western
ethnicity (Rate Ratio: 1.9; 95% confidence interval: 1.5-2.5) and age <20 years
(Rate Ratio: 2.3; 95% confidence interval: 1.2-4.7) were associated with genital
herpes during pregnancy. In Perined, none of the neonatal herpes cases had a
mother diagnosed with an active genital herpes infection during pregnancy.
Preventive measures to reduce vertical herpes transmission (such as cesarean
section) were less commonly reported by HCP in 2012-2015 compared with 2006-2011.
CONCLUSIONS: Neonatal herpes incidence in the Netherlands slowly increased over
the last 15 years. An increased genital HSV prevalence during pregnancy or, to
lower extent, the decreased guideline adherence by HCP may be responsible. A rise
in asymptomatic maternal HSV shedding is also plausible, emphasizing the
challenges in preventing neonatal herpes.
PMID- 29356763
TI - Temporal Improvements in Long-term Outcome in Care Among HIV-infected Children
Enrolled in Public Antiretroviral Treatment Care: An Analysis of Outcomes From
2004 to 2012 in Zimbabwe.
AB - BACKGROUND: Increasing numbers of children are requiring long-term HIV care and
antiretroviral treatment (ART) in public ART programs in Africa, but temporal
trends and long-term outcomes in care remain poorly understood. METHODS: We
analyzed outcomes in a longitudinal cohort of infants (<2 years of age) and
children (2-10 years of age) enrolling in a public tertiary ART center in
Zimbabwe over an 8-year period (2004-2012). RESULTS: The clinic enrolled 1644
infants and children; the median age at enrollment was 39 months (interquartile
range: 14-79), with a median CD4% of 17.0 (interquartile range: 11-24) in infants
and 15.0 (9%-23%) in children (P = 0.0007). Among those linked to care, 33.5%
dropped out of care within the first 3 months of enrollment. After implementation
of revised guidelines in 2009, decentralization of care and increased access to
prevention of mother to child transmission services, we observed an increase in
infants (48.9%-68.3%; P < 0.0001) and children (48.9%-68.3%; P < 0.0001)
remaining in care for more than 3 months. Children enrolled from 2009 were
younger, had lower World Health Organization clinical stage, improved baseline
CD4 counts than those who enrolled in 2004-2008. Long-term retention in care also
improved with decreasing risk of loss from care at 36 months for infants enrolled
from 2009 (aHR: 0.57; 95% confidence interval: 0.34-0.95; P = 0.031). ART
eligibility at enrollment was a significant predictor of long-term retention in
care, while delayed ART initiation after 5 years of age resulted in failure to
fully reconstitute CD4 counts to age-appropriate levels despite prolonged ART.
CONCLUSIONS: Significant improvements have been made in engaging and retaining
children in care in public ART programs in Zimbabwe. Guideline and policy changes
that increase access and eligibility will likely to continue to support
improvement in pediatric HIV outcomes.
PMID- 29356764
TI - Pediatric Nonalcoholic Fatty Liver Disease: Current Thinking.
AB - Nonalcoholic fatty liver disease (NAFLD), an increasingly prevalent paediatric
disorder, is diagnosed and managed not only by both pediatric
gastroenterologists/hepatologists but also frequently by the general
pediatrician. This article updates recent advances in diagnostic and therapeutic
approach, which may be applied to everyday practice. Diagnosis of NAFLD takes
into account the risk factor profile and is a diagnosis of exclusion. Techniques
such as transient elastography and specific biomarkers aimed at improving
diagnosis and monitoring of NAFLD need further validation in the pediatric
population. Defining the risk to develop cirrhosis seems to be of primary
importance already in childhood and a combination of genetic, clinical, and
environmental factors can help in monitoring and making decisions on therapy.
Weight reduction therapy should be the aim of treatment approach, but the
compliance is poor and pharmacological treatment would be helpful;
docosahexaenoic acid, some probiotics, and vitamin E are to be considered, but
evidence is not sufficient to recommend widespread use.
PMID- 29356765
TI - Resolving Malnutrition With Parenteral Nutrition Before Liver Transplant in
Biliary Atresia.
AB - OBJECTIVE: Malnutrition is a common complication of end-stage liver disease
(ESLD) associated with poor liver transplant outcomes. Nasogastric feeds are used
for nutritional supplementation, but some patients remain malnourished.
Parenteral nutrition (PN) can be effective, but has potential complications. The
primary objective was to evaluate the effect of PN on anthropometric measures in
children with ESLD awaiting liver transplant. Secondary objectives were
evaluation of PN-associated complications, liver function tests, pediatric end
stage liver disease scores, waitlist time, and post-transplant length of stay
(total and time in the intensive care unit). METHODS: A single-center,
retrospective chart review analyzing pediatric patients with ESLD receiving PN
who were transplanted during a 6-year period. Data were trended and described
over time, as were the relationships between anthropometric data and time
receiving PN. RESULTS: A total of 44 patients with ESLD were transplanted between
January 2010 and December 2015. Eighteen (41%) received PN before transplant; all
had biliary atresia with median age at transplant of 10 months (range, 5-18
months). Mid-upper arm circumference and triceps skinfold thickness showed
resolution of malnutrition in 7 patients (39%) with normalization of 1 measure in
another 4 patients (22%). Of the remaining, 6 had improved z scores and 1 had
worsening malnutrition. No deaths occurred in patients receiving PN. Central line
infection rates were 3.8/1000 catheter days with 8 total infections in 6 patients
over a total of 2117 catheter days. CONCLUSIONS: Children with ESLD and
malnutrition who have failed enteral feeding may benefit from PN to improve
and/or resolve malnutrition before liver transplant.
PMID- 29356767
TI - Lack of Correlation of Liver Tests With Fibrosis Stage at Diagnosis in Pediatric
Primary Sclerosing Cholangitis.
AB - OBJECTIVES: The aims of this study were to characterize pediatric primary
sclerosing cholangitis (PSC) at a regional referral-based institution, including
scoring of biliary stricturing and liver fibrosis and correlation analyses of
scores with serum liver tests, to identify biomarkers of disease severity.
METHODS: A retrospective review of 39 PSC subjects was performed, with collection
of demographic and outcomes data. Magnetic resonance cholangiopancreaticogram
(MRCP) and liver biopsies were re-reviewed and scores of stricturing and fibrosis
were correlated with serum liver tests. RESULTS: Average age at PSC diagnosis was
11.2 years, 74% had inflammatory bowel disease and 51% had autoimmune hepatitis.
Despite 83% with symptoms at presentation, only ~1/3 were symptomatic at a mean
follow-up of 4.1 years. Using a validated MRCP biliary scoring system, the mean
intrahepatic score was 1.1 (out of 4) and extrahepatic score was 1.0 (out of 3).
The mean Ishak liver fibrosis stage was 3.5 (out of 6) and 33% had cirrhosis. 92%
were alive with their native liver and 5% had a liver transplant. Serum biomarker
analyses revealed no correlation between Ishak liver fibrosis stage or MRCP score
and laboratory values. CONCLUSIONS: Pediatric PSC patients cared for at a
regional referral center had relatively mild disease compared with previously
published reports, with low MRCP stricture scores despite significant liver
fibrosis. Liver tests at presentation did not correlate with MRCP stricture score
or liver fibrosis stage, suggesting the need for future studies to identify
potential biomarkers of disease severity.
PMID- 29356766
TI - Sarcopenia in Children With End-Stage Liver Disease.
AB - BACKGROUND: Sarcopenia, reflected by decreased psoas muscle surface area (PMSA),
has been identified as a novel and independent predictor of wait-list mortality
and outcomes in adult liver transplantation (LT). We hypothesized that children
with end-stage liver disease (ESLD) would have smaller PMSA than healthy
controls. METHODS: Computer tomography images of children (ages 0 to 18 years)
listed for LT in 2015 and a control group comprised 2:1 age- and gender-matched
healthy pediatric trauma victims were reviewed. PMSA was determined at 2
intervertebral disc (L3/4; L4/5) levels. A subset of images was reviewed by 2
radiologists to determine interrater correlation. RESULTS: A total of 23 children
with ESLD were included, and the most prevalent diagnosis was biliary atresia
(61%). On both lumbar levels, median PMSA was significantly smaller in ESLD
subjects compared with the 46 healthy controls (L4/5; median total PMSA (tPMSA)
407 mm (interquartile range 339-537) versus controls 513 mm (interquartile range
437-672); P = 0.004), independent of participants' weight z scores (r = 0.01; P =
0.95). Excellent interrater correlation was seen (intraclass correlation 0.99).
CONCLUSIONS: In this retrospective pilot study, PMSA was significantly lower in
children with ESLD compared with healthy age- and gender-matched controls.
Because this finding was independent of growth in ESLD subjects, PMSA may
represent a novel objective nutritional biomarker in children with advanced liver
disease.
PMID- 29356768
TI - Real-life Anti-tumor Necrosis Factor Experience in More Than 500 Patients: High
Co-immunosuppression Rates But Low Rates of Quantifying Treatment Response.
AB - OBJECTIVE: The aim of this study was to measure the effectiveness, safety, and
use of anti-tumor necrosis Factor (TNF) therapy in pediatric inflammatory bowel
disease in the United Kingdom (UK). METHODS: Prospective UK audit of patients
newly starting anti-TNF therapy. Disease severity was assessed using Physician
Global Assessment +/or the Paediatric Crohn Disease Activity Index. RESULTS: A
total of 37 centers participated (23/25 specialist pediatric inflammatory bowel
disease sites). A total of 524 patients were included: 429 with Crohn disease
(CD), 76 with ulcerative colitis (UC), and 19 with IBD unclassified (IBDU).
Eighty-seven percent (488/562) of anti-TNF was infliximab; commonest indication
was active luminal CD 77% (330/429) or chronic refractory UC/IBDU 56% (53/95);
79% (445/562) had concomitant co-immunosuppression. In CD (267/429 male), median
time from diagnosis to treatment was 1.42 years (interquartile range 0.63-2.97).
Disease (at initiation) was moderate or severe in 91% (156/171) by Physician
Global Assessment compared to 41% (88/217) by Paediatric Crohn Disease Activity
Index (Kappa (kappa) 0.28 = only "fair agreement"; P < 0.001.Where documented,
77% (53/69) of patients with CD responded to induction; and 65% (46/71) entered
remission. A total of 2287 infusions and 301.96 years of patient' follow-up (n =
385) are represented; adverse events affected 3% (49/1587) infliximab and 2%
(2/98) adalimumab infusions (no deaths or malignancies). Peri-anal abscess
drainage was less common after anti-TNF initiation (CD), that is 26% (27/102)
before, 7% (3/42) after (P = 0.01); however, pre and post anti-TNF data
collection was not over equal time periods. CONCLUSIONS: Anti-TNFs are effective
treatments, usually given with thiopurine co-immunosuppression. This study
highlights deficiencies in formal documentation of effect and disparity between
disease severity scoring tools, which need to be addressed to improve ongoing
patient care.
PMID- 29356771
TI - Erratum.
PMID- 29356769
TI - Enteroaggregative Escherichia coli Subclinical Infection and Coinfections and
Impaired Child Growth in the MAL-ED Cohort Study.
AB - OBJECTIVE: We evaluated the impact of subclinical enteroaggregative Escherichia
coli (EAEC) infection alone and in combination with other pathogens in the first
6 months of life on child growth. METHODS: Nondiarrheal samples from 1684
children across 8 Multisite Birth Cohort Study, Malnutrition and Enteric Diseases
(MAL-ED) sites in Asia, Africa, and Latin America were tested monthly; more than
90% of children were followed-up twice weekly for the first 6 months of life.
RESULTS: Children with subclinical EAEC infection did not show altered growth
between enrollment and 6 months. Conversely, EAEC coinfection with any other
pathogen was negatively associated with delta weight-for-length (P < 0.05) and
weight-for-age (P > 0.05) z scores between 0 and 6 months. The presence of 2 or
more pathogens without EAEC was not significantly associated with delta weight
for-length and weight-for-age. The most frequent EAEC coinfections included
Campylobacter spp, heat-labile toxin-producing enterotoxigenic E coli,
Cryptosporidium spp, and atypical enteropathogenic E coli. Myeloperoxidase levels
were increased with EAEC coinfection (P < 0.05). EAEC pathogen codetection was
associated with lower neopterin levels compared to those of no-pathogen control
children (P < 0.05). Mothers of children with EAEC coinfections had lower levels
of education, poorer hygiene and sanitation, lower socioeconomic status, and
lower breast-feeding rates compared to mothers of children in whom no pathogen
was detected (P < 0.05). CONCLUSIONS: These data emphasize the public health
importance of subclinical EAEC infection in early infancy in association with
other pathogens and the need for improved maternal and child care, hygiene,
sanitation, and socioeconomic factors.
PMID- 29356770
TI - Diagnosis and Management of Pediatric Autoimmune Liver Disease: ESPGHAN
Hepatology Committee Position Statement.
AB - Paediatric autoimmune liver disease is characterized by inflammatory liver
histology, circulating autoantibodies, and increased levels of IgG, in the
absence of a known etiology. Three conditions have a likely autoimmune
pathogenesis: autoimmune hepatitis (AIH), autoimmune sclerosing cholangitis, and
de novo AIH after liver transplantation. Two types of pediatric AIH are
recognized according to seropositivity for smooth muscle and/or antinuclear
antibody (AIH-1) or liver kidney microsomal type 1 and/or anti-liver cytosol type
1 antibodies (AIH-2).Pertinent issues addressing the diagnosis, treatment, and
long-term follow-up were formulated by a core group of ESPGHAN members. They have
commissioned the first authors with execution of this project. Initially, they
have performed a systematic literature search on MEDLINE, ResearchGate, and
Mendeley databases during the last 30 years and produced a document focusing on
prospective and retrospective studies in children. The ESPGHAN core group and
ESPGHAN Hepatology Committee members voted on each recommendation, using a formal
voting technique.
PMID- 29356772
TI - Serial Balloon Dilation to Relieve Gastric Outlet Obstruction Induced by the
Ingestion of Toilet Cleaner.
PMID- 29356773
TI - The Third American Society of Regional Anesthesia and Pain Medicine Practice
Advisory on Local Anesthetic Systemic Toxicity: Executive Summary 2017.
AB - The American Society of Regional Anesthesia and Pain Medicine's Third Practice
Advisory on local anesthetic systemic toxicity is an interim update from its 2010
advisory. The advisory focuses on new information regarding the mechanisms of
lipid resuscitation, updated frequency estimates, the preventative role of
ultrasound guidance, changes to case presentation patterns, and limited
information related to local infiltration anesthesia and liposomal bupivacaine.
In addition to emerging information, the advisory updates recommendations
pertaining to prevention, recognition, and treatment of local anesthetic systemic
toxicity. WHAT'S NEW IN THIS UPDATE?: This interim update summarizes recent
scientific findings that have enhanced our understanding of the mechanisms that
lead to lipid emulsion reversal of LAST, including rapid partitioning, direct
inotropy, and post-conditioning. Since the previous practice advisory,
epidemiological data have emerged that suggest a lower frequency of LAST as
reported by single institutions and some registries, nevertheless a considerable
number of events still occur within the general community. Contemporary case
reports suggest a trend toward delayed presentation, which may mirror the
increased use of ultrasound guidance (fewer intravascular injections), local
infiltration techniques (slower systemic uptake), and continuous local anesthetic
infusions. Small patient size and sarcopenia are additional factors that increase
potential risk for LAST. An increasing number of reported events occur outside of
the traditional hospital setting and involve non-anesthesiologists.
PMID- 29356774
TI - The Mechanisms Underlying Lipid Resuscitation Therapy.
AB - The experimental use of lipid emulsion for local anesthetic toxicity was
originally identified in 1998. It was then translated to clinical practice in
2006 and expanded to drugs other than local anesthetics in 2008. Our
understanding of lipid resuscitation therapy has progressed considerably since
the previous update from the American Society of Regional Anesthesia and Pain
Medicine, and the scientific evidence has coalesced around specific discrete
mechanisms. Intravenous lipid emulsion therapy provides a multimodal
resuscitation benefit that includes both scavenging (eg, the lipid shuttle) and
nonscavenging components. The intravascular lipid compartment scavenges drug from
organs susceptible to toxicity and accelerates redistribution to organs where
drug (eg, bupivacaine) is stored, detoxified, and later excreted. In addition,
lipid exerts nonscavenging effects that include postconditioning (via activation
of prosurvival kinases) along with cardiotonic and vasoconstrictive benefits.
These effects protect tissue from ischemic damage and increase tissue perfusion
during recovery from toxicity. Other mechanisms have diminished in favor based on
lack of evidence; these include direct effects on channel currents (eg, calcium)
and mass-effect overpowering a block in mitochondrial metabolism. In this
narrative review, we discuss these proposed mechanisms and address questions left
to answer in the field. Further work is needed, but the field has made
considerable strides towards understanding the mechanisms.
PMID- 29356775
TI - The American Society of Regional Anesthesia and Pain Medicine Checklist for
Managing Local Anesthetic Systemic Toxicity: 2017 Version.
AB - The American Society of Regional Anesthesia and Pain Medicine (ASRA) periodically
revises and updates its checklist for the management of local anesthetic systemic
toxicity. The 2017 update replaces the 2012 version and reflects new information
contained in the third ASRA Practice Advisory on Local Anesthetic Systemic
Toxicity. Electronic copies of the ASRA checklist can be downloaded from the ASRA
Web site (www.asra.com) for inclusion in local anesthetic toxicity rescue kits or
perioperative checklist repositories.
PMID- 29356776
TI - Ultrasound-Assisted Paramedian Thoracic Epidural.
PMID- 29356777
TI - Reply to Dr Yu et al.
PMID- 29356778
TI - An Alternate Approach to the Entrapped Transradial Catheter.
PMID- 29356779
TI - Reply to Dr Grocott.
PMID- 29356780
TI - The Effect of Infraclavicular Brachial Plexus Blocks on the Axillary Nerve.
PMID- 29356781
TI - Clinical Characteristics and Associated Systemic Diseases in Patients With
Esophageal "Absent Contractility"-A Clinical Algorithm.
AB - GOALS: This study was carried out to assess the clinical characteristics and
associated systemic diseases seen in patients diagnosed with absent contractility
as per the Chicago Classification version 3.0, allowing us to propose a
diagnostic algorithm for their etiologic testing. BACKGROUND: The Chicago
Classification version 3.0 has redefined major and minor esophageal motility
disorders using high-resolution esophageal manometry. There is a dearth of
publications based on research on absent contractility, which historically has
been associated with myopathic processes such as systemic sclerosis (SSc). STUDY:
We conducted a retrospective, multicenter study. Data of patients diagnosed with
absent contractility were pooled from Cleveland Clinic, Cleveland, OH (January
2006 to July 2016) and Metrohealth Medical Center, Cleveland, OH (July 2014 to
July 2016) and included: age, gender, associated medical conditions, surgical
history, medications, and specific antibody testing. RESULTS: A total of 207
patients, including 57 male individuals and 150 female individuals, with mean age
of 56.1 and 60.0 years, respectively, were included. Disease distribution was as
follows: SSc (diffuse or limited cutaneous) 132, overlap syndromes 7, systemic
lupus erythematosus17, Sjogren syndrome 4, polymyositis 3, and dermatomyositis 3.
Various other etiologies including gastroesophageal reflux disease, postradiation
esophagitis, neuromuscular disorders, and surgical complications were seen in the
remaining cohort. CONCLUSIONS: Most practitioners use the term "absent
contractility" interchangeably with "scleroderma esophagus"; however, only 63% of
patients with absent contractility had SSc. Overall, 20% had another systemic
autoimmune rheumatologic disease and 16% had a nonrheumatologic etiology for
absent contractility. Therefore, alternate diagnosis must be sought in these
patients. We propose an algorithm for their etiologic evaluation.
PMID- 29356782
TI - A Model for Adaptive Decision Making of "Ablate-and-Wait" Versus Transplantation
in Patients With Hepatocellular Carcinoma.
AB - BACKGROUND/AIMS: In patients with early-stage hepatocellular carcinoma (HCC),
selection of candidates for liver transplantation (LT) requires refinement based
on tumor biology to maximize the outcome. We aimed to prognosticate LT candidates
with HCC using a risk prediction model for post-LT recurrence. PATIENTS AND
METHODS: A total of 197 consecutive patients were included who underwent LT for
hepatitis B-related HCC within the Milan criteria. A risk prediction model was
developed for post-LT recurrence using the Cox model and was internally
validated. RESULTS: Among those undergoing LT as their first HCC treatment (n=70,
initial LT group), poor prognosis was associated with maximal tumor size and
multinodularity. The remaining 127 patients (deferred LT group) received
radiofrequency ablation (n=69) and/or transarterial chemoembolization (n=98)
before LT. Multinodularity, maximal tumor size, posttransarterial
chemoembolization progressive disease, baseline alpha-fetoprotein, and alpha
fetoprotein difference (between baseline and pre-LT) were incorporated into a
risk prediction model for the deferred LT group, which was thereby stratified
into low-risk (score<5), intermediate-risk, and high-risk (score>=8) subgroups.
Recurrence-free survival was significantly different among the deferred LT
prognostic subgroups (P<0.001). CONCLUSIONS: This risk prediction model may help
refinement of "ablate-and-wait" strategy for LT candidates by avoiding LT in
those with either high risk score at baseline or increasing score under repeated
locoregional therapies.
PMID- 29356783
TI - Hypoalbuminemia is Associated With Significantly Higher Liver Transplant Waitlist
Mortality and Lower Probability of Receiving Liver Transplant.
AB - GOALS: To evaluate the predictive value of hypoalbuminemia on liver transplant
(LT) waitlist survival and probability of receiving LT among adults with end
stage liver disease (ESLD). BACKGROUND: Growing evidence reports on the negative
prognostic value of hypoalbuminemia among ESLD patients awaiting LT. METHODS:
Using 2003 to 2015 United Network for Organ Sharing data, we retrospectively
evaluated the impact of mild-moderate (2.5 to 3.4 g/dL) and severe
hypoalbuminemia (<2.5 g/dL) on waitlist survival and probability of receiving LT
among US adults awaiting LT. Outcomes were stratified by liver disease etiology
and presence of hepatocellular carcinoma (HCC), and evaluated using Kaplan-Meier
and multivariate Cox proportional hazards models. RESULTS: Among 128,450 adults
listed for LT, 27.1% had normal albumin (>=3.5 g/dL), 53.7% mild-moderate
hypoalbuminemia (2.5 to 3.4 g/dL), and 19.2% severe hypoalbuminemia (<2.5 g/dL)
at time of listing. Patients with severe hypoalbuminemia had significantly lower
1-year waitlist survival compared with those with normal albumin (80.4% vs.
95.2%; P<0.001). On multivariate regression, severity of hypoalbuminemia was
associated with increasing waitlist mortality, even after correcting for model
for end stage liver disease-sodium and HCC [albumin, 2.5 to 3.4 g/dL: hazard
ratio (HR), 1.81; 95% confidence interval (CI), 1.62-2.01; P<0.001; <2.5 g/dL:
HR, 2.46; 95% CI, 2.20-2.76; P<0.001]. Patients with hypoalbuminemia had
significantly lower probability of receiving LT compared with those with normal
albumin (albumin <2.5 g/dL: HR, 0.80; 95% CI, 0.78-0.83; P<0.001). CONCLUSIONS:
ESLD patients with hypoalbuminemia have lower probability of LT despite
significantly higher waitlist mortality compared with patients with normal
albumin. If validated by further studies, incorporation of albumin into
prognostication systems may improve the performance of US donor organ allocation
systems.
PMID- 29356785
TI - Impact of Computed Tomography Evaluation Before Colonoscopy for the Management of
Colonic Diverticular Hemorrhage.
AB - GOALS: The purpose of this study was to investigate and summarize our experience
of a standardized strategy using computed tomography (CT) followed by colonoscopy
for the assessment of colonic diverticular hemorrhage with focus on a comparison
of CT and colonoscopy findings in patients with colonic diverticular hemorrhage.
BACKGROUND: Colonic diverticular hemorrhage is usually diagnosed by colonoscopy,
but it is difficult to identify the responsible bleeding point among many
diverticula. STUDY: We retrospectively included 257 consecutive patients with
colonic diverticular hemorrhage. All patients underwent a CT examination before
colonoscopy. All-cause mortality and rebleeding-free rate after discharge were
analyzed by Kaplan-Meier analysis and compared using the log-rank test. RESULTS:
In CT examinations, 184 patients (71.6%) had definite diverticular hemorrhage
with 31.9% showing intraluminal high-density fluid on plain CT, 39.7% showing
extravasation, and 31.1% showing arteriovenous increase of extravasation on
enhanced CT. In colonoscopy, 130 patients (50.6%) showed endoscopic stigmata of
bleeding with 12.1% showing active bleeding, 17.1% showing a nonbleeding visible
vessel, and 21.4% showing an adherent clot. A comparison of the locations of
bleeding in CT and colonoscopy showed that the agreement rate was 67.3%, and the
disagreement rate was 0.8% when the lesion was identified by both modalities
patients with definite diverticular hemorrhage identified by CT had a longer
hospital stay, higher incidences of hemodynamic instability and rebleeding events
than did patients with presumptive diverticular hemorrhage. CONCLUSION: CT
evaluation before colonoscopy can be a good option for managing patients with
colonic diverticular hemorrhage.
PMID- 29356784
TI - Gastroesophageal Reflux Frequency, Severity, Age of Onset, Family History and
Acid Suppressive Therapy Predict Barrett Esophagus in a Large Population.
AB - GOALS: To identify risk factors associated with Barrett esophagus (BE) for
potential improved surveillance and risk reduction. BACKGROUND: Gastroesophageal
reflux disease (GERD) is a known risk factor for esophageal adenocarcinoma, but
the ability of GERD symptom frequency and severity to predict presence of its
putative precursor lesion, BE, is less well-defined in large, community-based
populations. STUDY: We conducted a case-control study within the Kaiser
Permanente Northern California population. Cases had new diagnoses of BE. To
identify risk factors in the general population, we contrasted cases with
population controls; to identify risk factors only among patients with GERD, we
contrasted cases with GERD patients who lacked BE. RESULTS: We interviewed 953
patients; 320 patients with BE, 316 patients with GERD who lacked BE and 317
population controls. Compared with population controls, BE risk was highest among
patients with the most frequent and severe GERD symptoms [odds ratio (OR), 27.00;
95% confidence interval (CI), 14.52-50.21], nocturnal symptoms (OR, 5.40; 95% CI,
3.81-7.72), and family history of GERD (OR, 2.55; 95% CI, 1.80-3.62) or BE (OR,
10.08; 95% CI, 2.83-35.84). Although at least weekly proton pump inhibitor (PPI)
use was a risk factor for BE (OR, 9.85; 95% CI, 6.54-14.84), among PPI users in
the general population, GERD symptoms were not strongly associated with the risk
of BE. Compared with GERD controls, cases were more likely to have onset of GERD
symptoms before 30 years of age (OR, 1.93; 95% CI, 1.15-3.22) and a family
history of BE (OR, 3.64; 95% CI, 1.50-8.83). CONCLUSIONS: Severe and frequent
GERD symptoms are strongly associated with increased risk of BE in the general
population, especially in the absence of frequent PPI use. Among people with
GERD, family history of BE and early age of symptom onset were stronger
predictors of BE. These findings may improve identification of patients at
highest risk for BE.
PMID- 29356786
TI - Outcomes Associated With Timing of ERCP in Acute Cholangitis Secondary to
Choledocholithiasis.
AB - GOALS: To determine the outcomes associated with timing of endoscopic retrograde
cholangiopancreatography (ERCP) in patients with acute cholangitis due to
choledocholithiasis, from a population-based study. BACKGROUND: Although ERCP is
the cornerstone in the management of patients with acute cholangitis due to
choledocholithiasis, the effect of timing of ERCP on health care outcomes is not
well known. MATERIALS AND METHODS: In this retrospective study, national
inpatient sample (NIS) data were used to identify patients with a combined
primary or secondary diagnosis of cholangitis and choledocholithiasis from 1998
to 2012. Patients were divided into 4 groups based on timing of ERCP after
admission: (1) ERCP performed within 24 hours (urgent ERCP); (2) ERCP performed
between 24 and 48 hours (early ERCP); (3) ERCP performed after 48 hours (delayed
ERCP); and (4) no ERCP performed. Main outcomes measured were length of stay
(LOS), hospitalization charges, and in-hospital mortality. RESULTS: A total of
107,253 patients were identified of which 77,323 patients underwent ERCP at any
point in time. Urgent ERCP group had shortest LOS, while delayed ERCP group had
significantly longer LOS than all other groups (P<0.001). Delayed ERCP group had
also the highest costs (P<0.001). In-hospital mortality was highest in no ERCP
group, followed by delayed ERCP group (P<0.001); there was no difference in
mortality between urgent ERCP and early ERCP. CONCLUSIONS: This study provides
robust, population-based evidence that ERCP should not be delayed for >48 hours
in patients with acute cholangitis due to choledocholithiasis.
PMID- 29356787
TI - Prolonged Recurrent Abdominal Pain is Associated With Ongoing Underlying Mucosal
Inflammation in Patients who had an Episode of Acute Complicated Diverticulitis.
AB - BACKGROUND: Recent data suggest continuous chronic inflammation in patients after
an acute diverticulitis (AD) episode. GOALS: The aim of this article was to
compare clinical parameters, inflammatory cytokine expression, and immune-cell
infiltrates between patients after severe versus nonsevere AD, as defined by
radiology examination during the acute episode. STUDY: Sixteen patients, after
suffering an episode of AD, were included, and, of them, 8 had severe disease.
Demographic data, disease characteristics, and inflammatory markers were
collected. Tissue samples from diverticular and unaffected tissue were obtained
during colonoscopy. Mucosal inflammation was assessed histologically and by
measuring inflammatory cytokine mRNA expression. RESULTS: Clinically, continued
nonspecific abdominal symptoms were significantly more prevalent among patients
after severe AD compared with patients after nonsevere AD (P=0.0002). Patients
after severe AD also had significantly higher C reactive protein levels (9.85+/
7.5 vs. 3+/-2.1 mg/dL; P=0.027) and tendency for higher calprotectin levels
(115.7+/-85 vs. 35+/-8.7 mg/g; P=0.08). Reverse transcription polymerase chain
reaction-determined cytokines levels were 5.4+/-4.4, 5.14+/-10, and 0.8+/-0.82
for tumor necrosis factor alpha, interleukin-6, and interleukin-1beta,
respectively, in affected mucosa compared with 1.06+/-1.57, 1.56+/-2.1, and
0.35+/-0.5, respectively, in nonaffected mucosa (P=0.01, 0.05, 0.14,
respectively). Cytokine expression in patients after nonsevere AD did not differ
significantly between affected and nonaffected mucosa. Histologic scores for
crypt distortion, lymphoid aggregates, and lymphocyte infiltration were all
significantly higher in patients after severe AD compared with patients after
nonsevere AD (P<0.05 for all comparisons). CONCLUSIONS: Patients after severe AD
have more prolonged chronic symptoms, higher inflammatory markers, higher tissue
inflammatory cytokine levels, and more inflammatory infiltrates in diverticular
colonic tissue than patients after nonsevere AD. These results may contribute to
patients' risk stratification and guide therapeutic decisions.
PMID- 29356788
TI - Throat Clearing, Frequently Reported, But is it GERD Related?
AB - GOALS: To identify the association of throat clearing (TC) with gastroesophageal
reflux disease (GERD) during objective reflux monitoring in a large number of
patients studied in our esophageal testing laboratory. BACKGROUND: TC is
frequently reported and considered to be an atypical symptom of GERD. Atypical
GERD symptoms have been widely investigated and empirically treated with proton
pump inhibitors. STUDY: We reviewed ambulatory impedance-pH studies of 186
patients referred for evaluation of possible GERD from January 2011 to December
2015 to evaluate the symptom association (SA) of TC with both an abnormal number
of reflux episodes and also abnormal esophageal acid exposure (EAE). Patients
were divided into 2 groups; group 1: TC is the only reported symptom, group 2: TC
is one of the symptoms. All patients were studied off proton pump inhibitors
therapy. RESULTS: Group 1 where TC was the only symptom in 27/186 (14.5%)
patients. There was no significant difference in positive SA between this group
(6/27; 22%) and group 2 (43/159; 27%) (Z score P=0.59). There was also no
significant association between SA and abnormal EAE whether TC was the only
presenting symptom (chi, P=0.7) or one of the reported symptoms (chi, P=0.10).
None of the 6/27 had abnormal EAE. CONCLUSION: Although TC is often considered a
possible GERD-related symptom, we found a low probability of objective
association. TC is not likely to be the only presenting symptom, and if it
happens, it is unlikely to be associated with GERD. Perhaps, TC should not be
considered as a GERD symptom, even as an atypical one.
PMID- 29356789
TI - Tolerance and outcomes of stereotactic radiosurgery combined with anti-programmed
cell death-1 (pembrolizumab) for melanoma brain metastases.
AB - Anti-programmed cell death-1 (anti-PD1) antibodies are currently the first-line
treatment for patients with metastatic BRAF wild-type melanoma, alone or combined
with the anti-CTLA4 monoclonal antibody, ipilimumab. To date, data on safety and
the outcomes of patients treated with the anti-PD1 monoclonal antibodies,
pembrolizumab (PB), or nivolumab, combined with stereotactic radiosurgery (SRS),
for melanoma brain metastases (MBM) are scarce. We retrospectively reviewed all
patients with MBM treated with PB combined with SRS between 2012 and 2015. The
primary endpoint was neurotoxicity. The secondary endpoints were local, distant
intracranial controls and overall survival (OS). Among 74 patients with MBM
treated with SRS, 25 patients with a total of 58 MBM treated with PB combined
with SRS within 6 months were included. Radiation necrosis, occurring within a
median time of 6.5 months, was observed for four MBM (6.8%) in four patients. No
other significant SRS-related adverse event was observed. After a median follow
up of 8.4 months, local control was achieved in 46 (80%) metastases and 17 (68%)
patients. Perilesional oedema and intratumour haemorrhage appearing or increasing
after SRS were associated with local progression (P<0.001). The median OS was
15.3 months (95% confidence interval: 4.6-26). The timing between SRS and PB
administration did not seem to influence the risk of radiation necrosis,
intracranial control or OS. SRS combined with PB was well tolerated and achieved
local control in 80% of the lesions. Prolonged OS was observed compared with that
currently yielded in this population of patients. Prospective studies are
required to explore further the optimal ways to combine immunotherapy and SRS.
PMID- 29356790
TI - Clinical and radiological response of BRAF inhibition and MEK inhibition in
patients with brain metastases from BRAF-mutated melanoma.
AB - Patients with brain metastases (BM) from melanoma have an overall survival (OS)
of 2-6 months after whole-brain radiotherapy. Targeted therapy (TT) is an
effective treatment for BRAF-mutated metastatic melanoma. Moreover, recent
studies indicate intracranial responses of TT in patients with BM. We analyzed
146 patients with BM from BRAF-mutated melanoma treated with vemurafenib,
dabrafenib, or dabrafenib+trametinib between 2010 and 2016. We determined
clinical and radiological response, progression-free survival (PFS), and OS.
Median OS of patients treated with dabrafenib+trametinib was 11.2 months [n=30;
95% confidence interval (CI): 6.8-15.7], 8.8 months for dabrafenib alone (n=31;
95% CI: 3.9-13.7), and 5.7 months for vemurafenib (n=85; 95% CI: 4.6-6.8). A
significantly longer OS was observed in the dabrafenib+trametinib group than in
the vemurafenib group (hazard ratio for death, 0.52; 95% CI: 0.30-0.89; P=0.02).
Median intracranial PFS of all patients was 4.1 months. Median intracranial PFS
for patients treated with dabrafenib+trametinib was 5.8 months (95% CI: 3.2-8.5),
5.7 months (95% CI: 3.0-8.4) for dabrafenib, and 3.6 months (95% CI: 3.5-3.8) for
vemurafenib (P=0.54). A total of 63 (43%) patients had symptomatic BM.
Intracranial disease control rate at 8 weeks in these patients was 65 versus 70%
extracranially. Neurological symptoms improved in 46% of patients with
symptomatic BM, whereas in 21%, they remained stable. Median OS in patients with
BM from BRAF-mutated melanoma treated with dabrafenib+trametinib was
significantly longer than for vemurafenib. Improvement of neurological symptoms
was seen in almost half of the patients with symptomatic BM treated with TT.
PMID- 29356791
TI - Success of rechallenging dabrafenib and trametinib combination therapy after
trametinib-induced rhabdomyolysis: a case report.
AB - The mitogen-activated protein kinase pathway regulates cell growth and
differentiation and is activated by BRAF mutations. BRAF mutations are present in
about 40-50% of cutaneous melanomas. More than 90% of BRAF mutations are the
V600E type. BRAF inhibitor (dabrafenib or vemurafenib) and MEK inhibitor
(trametinib or cobimetinib) combination therapies are effective for BRAF-mutant
advanced melanomas. A variety of side effects have been observed with combination
therapy including pyrexia, fatigue, nausea, and vomiting. Rhabdomyolysis is one
of the most severe adverse events, but it is very rare. Only two cases of
rhabdomyolysis have been reported in clinical trials. A 41-year-old Japanese
woman with cutaneous melanoma was started on a combination of dabrafenib and
trametinib therapy after failure of immune checkpoint therapy. One month later,
she complained of myalgia and fatigue and was shifted to our hospital. She was
diagnosed with trametinib-induced rhabdomyolysis and showed improvement only with
a high volume of fluid infusion. We stopped combination therapy, but there were
no useful treatment options for her. After resuming dabrafenib, followed by
trametinib, she did not have any problems. This is the first case of a patient
with metastatic cutaneous melanoma who could recommence combination therapy after
trametinib-associated rhabdomyolysis. We assume that not all patients experience
recurrence of rhabdomyolysis in trametinib-induced rhabdomyolysis. As few cases
have been reported, more information is needed. We have to evaluate safety
carefully if rechallenging combination therapy.
PMID- 29356792
TI - MRI is Better Than CT Scan for Detection of Structural Pathologies After
Traumatic Posterior Hip Dislocations in Children and Adolescents.
AB - BACKGROUND: Traumatic posterior hip dislocations in children and adolescents
requires emergent closed reduction. Postreduction imaging is necessary to assess
the concentricity of reduction and structural injuries to the hip. There is no a
consensus for which imaging is a modality of choice in such condition. The
purposes of this study are to describe magnetic resonance imaging (MRI) findings
of traumatic posterior hip dislocations and to compare the effectiveness of MRI
with computerized tomography (CT) in detecting structural abnormalities of the
hip that impact patient management. METHODS: This study is a retrospective review
of imaging in traumatically dislocated hips in patients who were treated at our
institution. All CT and MRI imaging were reviewed and specific osseous and soft
tissue injuries documented by consensus among 2 musculoskeletal pediatric
radiologists who interpreted the MRI and CT scans of each patient in a blinded
manner. RESULTS: In total, 27 patients (23 males, 4 females) with mean age of
12.5 years (range, 2 to 19 y) with postreduction MRI were evaluated. MRI findings
revealed femoral head injuries in 17 (62.9%), posterior labral entrapments in 6
(22.2%), posterior labral tears in 17 (62.9%), posterior wall fractures in 15
(55.5%), fracture of the posterior unossified part of acetabulum in 4 (14.8%),
and ligamentum teres injuries in 8 (29.6%). Of 16 patients who had postreduction
CT scans, 6 (37.5%) had femoral head fractures, 9 (56.3%) had posterior wall
fractures, and 8 (50%) had intra-articular osseous entrapments. All bony
fractures and intra-articular entrapment could be seen on MRI imaging. In 16
patients with both CT and MRI, posterior acetabular injury was detected in 10/16
(62.5%) on MRI and 9/16 (56.3%) on CT. Three patients with entrapment of labrums
identified on MRI could not be seen on CT scan. One patient with persistently
unstable hip after reduction had an entrapped unossified portion of acetabular
fracture which was seen on MRI but not on CT. CONCLUSIONS: MRI is superior to CT
scan for detection of structural injuries in children and adolescents with
traumatic hip dislocation. The unique structural injuries included entrapment of
posterior labrum and posterior unossified acetabular fractures could be seen only
at MRI. These findings will impact surgical decision making of these injuries.
LEVEL OF EVIDENCE: Level IV.
PMID- 29356793
TI - Fracture Patterns Differ Between Osteogenesis Imperfecta and Routine Pediatric
Fractures.
AB - BACKGROUND: It is important to estimate the likelihood that a pediatric fracture
is caused by osteogenesis imperfecta (OI), especially the least severe type of OI
(type 1). METHODS: We reviewed records of 29,101 pediatric patients with
fractures from 2003 through 2015. We included patients with closed fractures not
resulting from motor vehicle accidents, gunshot wounds, nonaccidental trauma, or
bone lesions. Patients with OI of any type were identified through International
Classification of Diseases-9 code. We randomly sampled 500 pediatric patients in
whom OI was not diagnosed to obtain a control (non-OI) group. We reviewed age at
time of fracture, sex, fracture type, laterality, and bone and bone region
fractured. Bisphosphonate use and OI type were documented for OI patients.
Subanalysis of patients with type-1 OI was performed. The Fisher exact and chi
tests were used to compare fracture rates between groups. P<0.05 was considered
significant. Positive likelihood ratios for OI were calculated by fracture
pattern. RESULTS: The non-OI group consisted of 500 patients with 652 fractures.
The OI group consisted of 52 patients with 209 fractures. Non-OI patients were
older at the time of fracture (mean, 9.0+/-5.0 y) than OI patients (mean, 5.5+/
4.4 y) (P<0.001). OI patients had more oblique, transverse, diaphyseal, and
bilateral long-bone fractures than non-OI patients (all P<0.001). Non-OI patients
had more buckle (P=0.013), metaphyseal (P<0.001), and physeal (P<0.001) fractures
than OI patients. For patients with type-1 OI and long-bone fractures (n=18),
rates of transverse and buckle fractures were similar compared with controls.
Transverse humerus (15.2), olecranon (13.8), and diaphyseal humerus (13.0)
fractures had the highest positive likelihood ratios for OI, and physeal (0.09)
and supracondylar humerus (0.1) fractures had the lowest. CONCLUSIONS: Transverse
and diaphyseal humerus and olecranon fractures were most likely to indicate OI.
Physeal and supracondylar humerus fractures were least likely to indicate OI.
Radiographic fracture pattern is useful for estimating likelihood of OI. LEVEL OF
EVIDENCE: Level III.
PMID- 29356794
TI - Can Computed Tomography Accurately Measure Acetabular Anterversion in
Developmental Dysplasia of the Hip? Verification and Characterization Using 3D
Printing Technology.
AB - BACKGROUND: One of the important pathologic changes in developmental dysplasia of
the hip (DDH) is increased acetabular version angle (AA). Reasonable correction
for excessive AA is an important step in the treatment of DDH, making accurate AA
measurement very crucial. However, the results of different AA measurement
methods vary. Thus, this study aimed to compare the difference in AA measurements
between 2-dimensional computed tomography (2D-CT) and 3-dimensional computed
tomography (3D-CT) in children with DDH and to identify the AA degree in children
with DDH to guide treatment. METHODS: AA was measured by 2D-CT and 3D-CT in 186
children with DDH, and the measurement results were compared with the physical
measurement result in the 3D-printed pelvis (3D-PP) model. The 3D-PP was a 1:1
model identical to the human pelvis. All patients were unilaterally affected.
RESULTS: The results of AA measurement through 2D-CT, 3D-CT, and 3D-PP of normal
hips were 14.0+/-6.6, 11.9+/-5.3, and 11.9+/-3.4 degrees, respectively, whereas
those of the dislocated hips were 24.9+/-8.9, 19.8+/-5.2, and 19.5+/-4.3 degrees,
respectively. In both the normal and dislocated hip groups, the results between
2D-CT and 3D-CT was significantly different (P<0.05), but there was no difference
between the results of 3D-CT and 3D-PP (P>0.05). The AA of the normal and
dislocated hips as measured by 3D-PP was 11.9+/-3.6 and 19.6+/-4.3 degrees,
respectively, with statistically significant difference (P<0.05). In the
dislocated hips, a significant positive correlation was found between age and AA
(r=0.756, P<0.05) and between AA and degree of dislocation (r=0.837, P<0.05).
CONCLUSIONS: 3D-CT is more accurate than 2D-CT for AA measurement, and compared
with normal hips, AA in dislocated hips increased by ~7.7 degrees on average. AA
increases as age and degree of dislocation increase. LEVEL OF EVIDENCE: Level II.
PMID- 29356795
TI - Risk Factors for Prolonged Postoperative Opioid Use After Spinal Fusion for
Adolescent Idiopathic Scoliosis.
AB - BACKGROUND: Opioids are commonly used after posterior spinal instrumented fusion
(PSIF) for adolescent idiopathic scoliosis (AIS). Prescription opioids use can
potentially lead to misuse, abuse, dependence, and overdose death. Prolonged
opioid use has not been extensively studied in the postoperative AIS population.
The purpose of this study is to identify risk factors associated with prolonged
opioid use after PSIF for AIS. METHODS: A large insurance database was queried
for AIS patients undergoing PSIF. Patients with prolonged postoperative opioid
use were defined as those receiving new prescriptions for an opioid medication >6
weeks following the date of surgery, up to 8 months postoperatively. Preoperative
and intraoperative risk factors for prolonged opioid use were then examined,
including the number of spinal levels fused, preoperative opioid prescriptions,
demographic variables, pertinent comorbidities (anxiety, depression, attention
deficit hyperactivity disorder, and autism) and other preoperative prescriptions
(anxiolytics, antidepressants, nonopioid analgesics, neuropathic medications, and
attention deficit hyperactivity disorder medications). Each variable's
independent risk for prolonged postoperative opioid use was examined utilizing a
multivariable binomial regression analysis. P<0.05 was considered statistically
significant. RESULTS: A total of 511 patients were included in the study. Of this
50 patients (9.78%) were found to have prolonged opioid use following scoliosis
surgery. Preoperative opioid use (odds ratio, 2.93; P<0.001) was the most
significant predictor of prolonged postoperative opioid use. In addition, female
sex, obesity, a preoperative diagnosis of anxiety and a preoperative prescription
for a muscle relaxer were also significant positive risk factors for prolonged
postoperative opioid use. Several factors were found to be protective against
prolonged postoperative opioid use. Fewer total fusion levels, compared with >=13
levels, had a significantly lower risk of prolonged opioid use. Preoperative
anxiolytic and antidepressant use were also both negative predictors of prolonged
opioid use. CONCLUSIONS: Efforts at addressing preoperative opioid use, anxiety,
obesity, and providing multimodal pain management strategies should be considered
to reduce additional postoperative opioid prescriptions after PSIF for AIS. LEVEL
OF EVIDENCE: Level III-retrospective comparative study.
PMID- 29356796
TI - Correspondence.
PMID- 29356797
TI - Reply.
PMID- 29356798
TI - Staged Prone/Supine Fixation of High-Energy Multicolumnar Tibial Plateau
Fractures: A Multicenter Analysis.
AB - PURPOSE: We present a surgical strategy to manage multicolumnar tibial plateau
fracture variants by addressing the predominant posterior fragment employing a
Lobenhoffer approach in the prone position followed by supine patient
repositioning for anterolateral column access. DESIGN: Multicenter retrospective
analysis. SETTING: Three academic Level 1 trauma centers. PATIENTS/METHODS:
Twenty-eight cases (28 patients/28 knees) met inclusion criteria between 2003 and
2014. Patient demographic information was retrospectively reviewed with a mean
follow-up time of 16.6 months (range 12-34 months). Postoperative radiographic
analysis, physical examination findings, and patient outcome scores from the Knee
Injury and Osteoarthritis Outcome Score questionnaire were recorded. RESULTS: The
average time to union was 3.6 months (range 3-9 months). Eighty-two percent of
patients had satisfactory articular reduction (less than 2 mm articular step
off). All patients demonstrated satisfactory coronal (medial proximal tibia angle
87 +/- 5 degrees) and sagittal alignment (posterior proximal tibia angle 9 +/- 4
degrees). Condylar width averaged 2.2 mm. Twenty percent of cases required
posterior lateral columnar plating (in addition to posterior medial columnar
plating), with none of these cases requiring an extensile exposure modification
(medial gastrocnemius origin detachment) to expose posterior laterally. In 12
cases, the posterior approach was staged to allow for anterior soft tissue
recovery before subsequent staged supine positioning and lateral column fixation.
The knee range of motion averaged 123 degrees (ranged from 2 degrees of extension
to 125 degrees flexion). The average Knee Injury and Osteoarthritis Outcome Score
was 78/100 (range 29-95). Eleven percent of the patients in the series developed
a surgical site infection (n = 3) with 2 requiring formal irrigation and
debridement. The most common aseptic complication was radiographic posttraumatic
arthrosis (18%). Clinically, 1 patient eventually required a total knee
arthroplasty. CONCLUSIONS: High-energy multicolumnar tibial plateau fractures
with significant posterior articular surface involvement may be predictably
addressed with prone positioning, exposure, and fixation followed by supine
repositioning and the inclusion of an anterior approach. This study demonstrates
excellent postoperative radiographic results and acceptable clinical outcomes
resulting from the described staged protocol. LEVEL OF EVIDENCE: Therapeutic
Level IV. See Instructions for Authors for a complete description of levels of
evidence.
PMID- 29356799
TI - Rim Plate Augmentation of the Posterolateral Bare Area of the Tibial Plateau
Using a 3.5-mm Precontoured Locking Compression Plate: A Cadaveric Study.
AB - OBJECTIVE: To evaluate the effectiveness of an additional rim plate [3.5-mm
precontoured locking compression plate (LCP)] for stabilizing the posterolateral
fragment in lateral tibial plateau fractures. METHODS: Standard lateral locking
plates [either a proximal tibial plate (PTP) or a proximal tibial locking plate
(PTLP)] were applied to 40 matched pair knees from 20 fresh-frozen cadavers
followed by the application of a secondary rim plate [variable angle LCP (VALCP)]
posterior laterally. RESULTS: The mean ratio of supported articular surface in
the PTP group was 0.692, whereas that in the PTLP group was 0.569. This
difference was statistically significant (P < 0.001). Additional rim plating with
a VALCP could only be performed for 27 of 40 knees; 8 of 20 knees in the PTP
group and 5 of 20 knees in the PTLP group could not be fitted with a VALCP due to
anatomic limitations. For the total standard plating group alone, the mean ratio
of supported articular surface was 0.596, whereas the mean ratio of supported
articular surface with additional rim plating was 0.798 (P < 0.001). In contrast,
additional rim plating was possible for more knees that received a PTLP than a
PTP. Ultimately, there was no significant difference in the ratio of supported
articular surface after additional rim plating between the 2 different types of
standard plates (P = 0.087). CONCLUSION: Our results identified a bare area in
the posterolateral corner of the lateral plateau that was unsupported by rafting
screws following conventional, 3.5-mm, precontoured LCP plating. Thus, additional
rim plating may be useful for treating plateau fractures with a posterolateral
fragment.
PMID- 29356800
TI - Opioid Use Disorders Are Associated With Perioperative Morbidity and Mortality in
the Hip Fracture Population.
AB - OBJECTIVES: To determine whether opioid use disorders (OUDs) are associated with
adverse perioperative outcomes in patients undergoing surgical fixation for
proximal femur fractures. METHODS: The National Hospital Discharge Survey was
queried to identify patients surgically treated for proximal femur fractures
between 1990 and 2007. Patients were grouped into those with a diagnosis of OUD,
nonopioid drug use disorder, or neither. Demographic information and
comorbidities were included in univariable and multivariable analyses to identify
independent risk factors for perioperative outcomes. RESULTS: A total of 8154
patients with a diagnosis of drug use disorder and 4704 patients with a diagnosis
of OUD were identified from a cohort of 4,732,165 surgically treated proximal
femur fractures. Patients with OUD were significantly younger (46 vs. 79), and a
significantly smaller proportion of them had medical comorbidities (21.9% vs.
60.2%) when compared with the no drug misuse cohort. Patients with OUD had
significantly more medical complications (51.1% vs. 26.8%), mechanical
complications (3% vs. 0.3%), and adverse events (55% vs. 39.7%) when compared
with the no drug misuse group. OUD had higher odds for leaving against medical
advice [odds ratio (OR) 12.868, range 10.7771-15.375], for any adverse event (OR
4.107, range 3.869-4.360), and for mortality (OR 1.744, range 1.250-2.433) when
compared with nondrug misusers. CONCLUSIONS: Despite being younger and with
significantly less medical comorbidities, patients with OUD have higher odds for
adverse events, leaving against medical advice, and mortality after surgical
treatment of a hip fracture. LEVEL OF EVIDENCE: Prognostic Level III. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 29356801
TI - Effect of Blocking Screws on Union of Infraisthmal Femur Fractures Stabilized
With a Retrograde Intramedullary Nail.
AB - OBJECTIVE: To investigate the effect of blocking screws (BS) on the union rate
and stability of infraisthmal femur fractures treated with retrograde
intramedullary nail (RIMN) insertion. DESIGN: Retrospective cohort study.
SETTING: A single level 1 trauma center. PATIENTS/PARTICIPANTS: All patients with
an infraisthmal femur fracture treated with a RIMN from 2005 to 2012 were
included. INTERVENTION: All fractures were treated with a RIMN. BS were used at
the discretion of the treating surgeon. MAIN OUTCOME MEASUREMENTS: (1)
Radiographic time to union, (2) initial postoperative sagittal and coronal
angulation, and (3) final sagittal and coronal angulation. RESULTS: Neither the
average time to union (BS 21.1 weeks vs. 21.8 weeks), nor union rates (BS 61% vs.
77%) were statistically different between BS and non-BS constructs. No
significant alignment differences existed whether BS were used or not.
CONCLUSIONS: In this study, we were not able to verify our hypothesis. In fact,
we did not find any significant advantages when BS were added to a RIMN construct
for distal femur fractures with respect to union time, union rate, or
improvements in alignment. Additional studies are needed to determine the actual
benefit of BS in the treatment of infraisthmal femoral shaft fractures treated
with retrograde intramedullary nailing. LEVEL OF EVIDENCE: Therapeutic Level III.
See Instructions for Authors for a complete description of levels of evidence.
PMID- 29356809
TI - Relevance of Social Networks for Adolescent Obesity.
PMID- 29356802
TI - 3,5-Dicaffeoylquinic Acid Disperses Aspergillus Fumigatus Biofilm and Enhances
Fungicidal Efficacy of Voriconazole and Amphotericin B.
AB - BACKGROUND The aim of this study was to evaluate the dispersal effects of 3,5
dicaffeoylquinic acid (3,5-DCQA) against the preformed biofilm of Aspergillus
fumigatus and to investigate its potential mechanism. MATERIAL AND METHODS
Aspergillus fumigatus biofilms of laboratory strain AF293 and clinical strain
GXMU04 were generated in 24- or 96-well polystyrene microtiter plates in vitro.
Crystal violet assay and XTT reduction assay were performed to evaluate the
effects of 3,5-DCQA on biofilm biomass, extracellular matrix, and metabolic
activity alteration of cells in biofilms. Real-time PCR was performed to quantify
the expression of hydrophobin genes. The cytotoxicity of 3,5-DCQA on human
erythrocytes was evaluated by a hemolytic assay. RESULTS The results indicated
that 3,5-DCQA in subminimum inhibitory concentrations (256 to 1024 mg/L) elicited
optimal A. fumigatus biofilm dispersion activity and improved the efficacy of VRC
and AMB in minimal fungicidal concentrations (MFCs) to combat fungal cells
embedded in biofilms. The results of scanning electron microscope (SEM) and
confocal laser scanning microscopy (CLSM) revealed 3,5-DCQA facilitated the entry
of antifungal agents into the A. fumigatus biofilm through eliminating the
hydrophobic extracellular matrix (ECM) without affecting fungal growth. Real-time
PCR indicated that 3,5-DCQA down-regulated the expression of hydrophobin genes.
Hemolytic assay confirmed that 3,5-DCQA exhibited a low cytotoxicity against
human erythrocytes. CONCLUSIONS Subminimum inhibitory concentrations of 3,5-DCQA
can disperse A. fumigatus biofilm and enhance fungicidal efficacy of VRC and AMB
through down-regulating expression of the hydrophobin genes. The study indicated
the anti-biofilm potential of 3,5-DCQA for the management of A. fumigatus biofilm
associated infection.
PMID- 29356810
TI - Association Between Fluid Balance and Outcomes in Critically Ill Children: A
Systematic Review and Meta-analysis.
AB - Importance: After initial resuscitation, critically ill children may accumulate
fluid and develop fluid overload. Accruing evidence suggests that fluid overload
contributes to greater complexity of care and worse outcomes. Objective: To
describe the methods to measure fluid balance, define fluid overload, and
evaluate the association between fluid balance and outcomes in critically ill
children. Data Sources: Systematic search of MEDLINE, EMBASE, Cochrane Library,
trial registries, and selected gray literature from inception to March 2017.
Study Selection: Studies of children admitted to pediatric intensive care units
that described fluid balance or fluid overload and reported outcomes of interest
were included. No language restrictions were applied. Data Extraction and
Synthesis: All stages were conducted independently by 2 reviewers. Data extracted
included study characteristics, population, fluid metrics, and outcomes. Risk of
bias was assessed using the Newcastle-Ottawa Scale. Narrative description of
fluid assessment methods and fluid overload definitions was done. When feasible,
pooled analyses were performed using random-effects models. Main Outcomes and
Measures: Mortality was the primary outcome. Secondary outcomes included
treatment intensity, organ failure, and resource use. Results: A total of 44
studies (7507 children) were included in this systematic review and meta
analysis. Of those, 27 (61%) were retrospective cohort studies, 13 (30%) were
prospective cohort studies, 3 (7%) were case-control studies, and 1 study (2%)
was a secondary analysis of a randomized trial. The proportion of children with
fluid overload varied by case mix and fluid overload definition (median, 33%;
range, 10%-83%). Fluid overload, however defined, was associated with increased
in-hospital mortality (17 studies [n = 2853]; odds ratio [OR], 4.34 [95% CI, 3.01
6.26]; I2 = 61%). Survivors had lower percentage fluid overload than nonsurvivors
(22 studies [n = 2848]; mean difference, -5.62 [95% CI, -7.28 to -3.97]; I2 =
76%). After adjustment for illness severity, there was a 6% increase in odds of
mortality for every 1% increase in percentage fluid overload (11 studies [n =
3200]; adjusted OR, 1.06 [95% CI, 1.03-1.10]; I2 = 66%). Fluid overload was
associated with increased risk for prolonged mechanical ventilation (>48 hours)
(3 studies [n = 631]; OR, 2.14 [95% CI, 1.25-3.66]; I2 = 0%) and acute kidney
injury (7 studies [n = 1833]; OR, 2.36 [95% CI, 1.27-4.38]; I2 = 78%).
Conclusions and Relevance: Fluid overload is common and is associated with
substantial morbidity and mortality in critically ill children. Additional
research should now ideally focus on interventions aimed to mitigate the
potential for harm associated with fluid overload.
PMID- 29356811
TI - Association of Time to Treatment With Short-term Outcomes for Pediatric Patients
With Refractory Convulsive Status Epilepticus.
AB - Importance: Treatment delay for seizures can lead to longer seizure duration.
Whether treatment delay is associated with major adverse outcomes, such as death,
remains unknown. Objective: To evaluate whether untimely first-line
benzodiazepine treatment is associated with unfavorable short-term outcomes.
Design, Setting, and Participants: This multicenter, observational, prospective
cohort study included 218 pediatric patients admitted between June 1, 2011, and
July 7, 2016, into the 11 tertiary hospitals in the United States within the
Pediatric Status Epilepticus Research Group. Patients, ranging in age from 1
month to 21 years, with refractory convulsive status epilepticus (RCSE) that did
not stop after the administration of at least 2 antiseizure medications were
included. Patients were divided into 2 cohorts: those who received the first-line
benzodiazepine treatment in less than 10 minutes and those who received it 10 or
more minutes after seizure onset (untimely). Data were collected and analyzed
from June 1, 2011, to July 7, 2016. Main Outcomes and Measures: The primary
outcome was death during the related hospital admission. The secondary outcome
was the need for continuous infusion for seizure termination. Multivariate
analysis of mortality controlled for structural cause, febrile RCSE, age, and
previous neurological history (including previous RCSE events). Use of continuous
infusions was additionally adjusted for generalized RCSE, continuous RCSE, and 5
or more administrations of antiseizure medication. Results: A total of 218
patients were included, among whom 116 (53.2%) were male and the median
(interquartile range) age was 4.0 (1.2-9.6) years. The RCSE started in the
prehospital setting for 139 patients (63.8%). Seventy-four patients (33.9%)
received their first-line benzodiazepine treatment in less than 10 minutes, and
144 (66.1%) received untimely first-line benzodiazepine treatment. Multivariate
analysis showed that patients who received untimely first-line benzodiazepine
treatment had higher odds of death (adjusted odds ratio [AOR], 11.0; 95% CI, 1.43
to infinity; P = .02), had greater odds of receiving continuous infusion (AOR,
1.8; 95% CI, 1.01-3.36; P = .047), had longer convulsive seizure duration (AOR,
2.6; 95% CI, 1.38-4.88; P = .003), and had more frequent hypotension (AOR 2.3;
95% CI, 1.16-4.63; P = .02). In addition, the timing of the first-line
benzodiazepine treatment was correlated with the timing of the second-line (95%
CI, 0.64-0.95; P < .001) and third-line antiseizure medications (95% CI, 0.25
0.78; P < .001). Conclusions and Relevance: Among pediatric patients with RCSE,
an untimely first-line benzodiazepine treatment is independently associated with
a higher frequency of death, use of continuous infusions, longer convulsion
duration, and more frequent hypotension. Results of this study raise the question
as to whether poor outcomes could, in part, be prevented by earlier
administration of treatment.
PMID- 29356812
TI - Comparison of Observed Harms and Expected Mortality Benefit for Persons in the
Veterans Health Affairs Lung Cancer Screening Demonstration Project.
PMID- 29356813
TI - Association of Veterans Health Administration Home-Based Programs With Access to
and Participation in Cardiac Rehabilitation.
PMID- 29356815
TI - Position matching between the visual fields in strabismus.
AB - The misalignment of visual input in strabismus disrupts positional judgments. We
measured positional accuracy in the extrafoveal visual field (1 degrees -7
degrees eccentricity) of a large group of strabismic subjects and a normal
control group to identify positional distortions associated with the direction of
strabismus. Subjects performed a free localization task in which targets were
matched in opposite hemifields whilst fixating on a central cross. The constant
horizontal error of each response was taken as a measure of accuracy, in addition
to radial and angular error. In monocular conditions, all stimuli were viewed by
one eye; thus, the error reflected spatial bias. In dichoptic conditions, the
targets were seen by separate eyes; thus, the error reflected the perceived
stimulus shift produced by ocular misalignment in addition to spatial bias. In
both viewing conditions, both groups showed reliable over- and underestimations
of visual field position, here termed a compression of response coordinates. The
normal group showed compression in the left periphery, regardless of eye of
stimulation. The strabismic group showed a visual field-specific compression that
was clearly associated with direction of strabismus. The variation in perceived
shift of strabismic subjects was largely accounted for by the biases present in
monocular viewing, suggesting that binocular correspondence was uniform in the
tested region. The asymmetric strabismic compression could not be reproduced in
normal subjects through prism viewing, and its presence across viewing conditions
suggests a hemifield-specific change in spatial coding induced by long-standing
ocular misalignment.
PMID- 29356814
TI - Recentering bias for temporal saccades only: Evidence from binocular recordings
of eye movements.
AB - It is well known that the saccadic system presents multiple asymmetries. Notably,
temporal (as opposed to nasal) saccades, centripetal (as opposed to centrifugal)
saccades (i.e., the recentering bias) and saccades from the abducting eye (as
opposed to the concomitant saccades from the adducting eye) exhibit higher peak
velocities. However, these naso-temporal and centripetal-centrifugal asymmetries
have always been studied separately. It is thus unknown which asymmetry prevails
when there is a conflict between both asymmetries, i.e., in case of centripetal
nasal saccades or centrifugal temporal saccades. This study involved binocular
recordings of eye movements to examine both the naso-temporal and centripetal
centrifugal asymmetries so as to determine how they work together. Twenty-eight
participants had to make saccades toward stimuli presented either centrally or in
the periphery in binocular conditions. We found that temporal and abducting
saccades always exhibit higher peak velocities than nasal and adducting saccades,
irrespective of their centripetal or centrifugal nature. However, we showed that
the velocity advantage for centripetal saccades is only found for temporal and
not for nasal saccades. Such a result is of importance as it could provide new
insights about the physiological origins of the asymmetries found in the saccadic
system.
PMID- 29356816
TI - Assessing Social Contagion in Body Mass Index, Overweight, and Obesity Using a
Natural Experiment.
AB - Importance: Little is known about whether the substantial clustering of obesity
and overweight within social and geographic networks results from causal
pathways, such as social contagion and shared environments, or from self
selection. Objectives: This study aimed to determine whether exposure to
communities with higher rates of obesity increases the body mass index (BMI) of
individuals, calculated as weight in kilograms divided by height in meters
squared, and their risk of being overweight or obese, and whether social
contagion, shared environments, or self-selection can account for identified
differences. Design, Setting, and Participants: This natural experiment study
used the routine assignment of military service members to installations as a
source of exogenous variation in exposure to communities with higher vs lower
rates of obesity. The study, which used data collected by the Military Teenagers'
Environments, Exercise, and Nutrition Study, examined families from 38 military
installations around the United States to determine if individuals had higher BMI
and greater odds of overweight and obesity when assigned to installations in
counties with higher rates of obesity. The study also examined if the
relationship persisted after controlling for shared built environments. The
participants included 1 parent and 1 child aged 12 or 13 years from 1519 families
of Army-enlisted personnel. Data analysis was completed from November 2016 to
October 2017. Exposures: Adult obesity rate in the county where the assigned
installation of the service member was located. Time at installation and location
of residence (on-installation vs off-installation) were used to measure the
degree of exposure. Main Outcomes and Measures: For parents, outcomes were BMI,
overweight/obesity (BMI, >=25) and obesity (BMI, >=30). For children, outcomes
were BMI z score, overweight/obesity (BMI percentile for age and sex, >=85), and
obesity (BMI percentile for age and sex, >=95). These outcomes were based on self
reports for parents, self-reports and parent reports for all children, and
anthropometric measurements for a subsample of children. Results: Members of 1519
families participated, including 1314 adults (of whom 740, or 56%, were fathers)
and 1111 children (of whom 576, or 52%, were boys); anthropometric measurements
were performed on 458 children. The sample was 40% white, 22% black, 24%
Hispanic, and 14% other races/ethnicities. A 1-percentage point higher county
obesity rate was associated with a higher BMI (a difference of 0.08; 95% CI, 0.02
0.13) and greater odds of obesity (adjusted odds ratio [aOR], 1.05; 95% CI, 1.02
1.08) in parents, and a higher BMI z score (0.01; 95% CI, 0.003-0.02) and greater
odds of overweight/obesity (aOR, 1.04; 95% CI, 1.01-1.06) in children. The
evidence supported stronger associations among families with more time at
installation and off-installation residence. Associations persisted even after
controlling for shared built environments. Conclusions and Relevance: Exposure to
counties with higher rates of obesity was associated with higher BMI and higher
odds of overweight and/or obesity in parents and children. There was no evidence
to support self-selection or shared built environments as possible explanations,
which suggests the presence of social contagion in obesity.
PMID- 29356817
TI - Ascent to the Summit of the CME Pyramid.
PMID- 29356818
TI - Evolution of Continuing Medical Education: Promoting Innovation Through
Regulatory Alignment.
PMID- 29356819
TI - Reducing Harms in Lung Cancer Screening-Bach to the Future.
PMID- 29356821
TI - The Federal Right to Try Act of 2017-A Wrong Turn for Access to Investigational
Drugs and the Path Forward.
PMID- 29356820
TI - Web Platform vs In-Person Genetic Counselor for Return of Carrier Results From
Exome Sequencing: A Randomized Clinical Trial.
AB - Importance: A critical bottleneck in clinical genomics is the mismatch between
large volumes of results and the availability of knowledgeable professionals to
return them. Objective: To test whether a web-based platform is noninferior to a
genetic counselor for educating patients about their carrier results from exome
sequencing. Design, Setting, and Participants: A randomized noninferiority trial
conducted in a longitudinal sequencing cohort at the National Institutes of
Health from February 5, 2014, to December 16, 2016, was used to compare the web
based platform with a genetic counselor. Among the 571 eligible participants, 1
to 7 heterozygous variants were identified in genes that cause a phenotype that
is recessively inherited. Surveys were administered after cohort enrollment,
immediately following trial education, and 1 month and 6 months later to
primarily healthy postreproductive participants who expressed interest in
learning their carrier results. Both intention-to-treat and per-protocol analyses
were applied. Interventions: A web-based platform that integrated education on
carrier results with personal test results was designed to directly parallel
disclosure education by a genetic counselor. The sessions took a mean (SD) time
of 21 (10.6), and 27 (9.3) minutes, respectively. Main Outcomes and Measures: The
primary outcomes and noninferiority margins (deltaNI) were knowledge (0 to 8,
deltaNI = -1), test-specific distress (0 to 30, deltaNI = +1), and decisional
conflict (15 to 75, deltaNI = +6). Results: After 462 participants (80.9%)
provided consent and were randomized, all but 3 participants (n = 459) completed
surveys following education and counseling; 398 (86.1%) completed 1-month surveys
and 392 (84.8%) completed 6-month surveys. Participants were predominantly well
educated, non-Hispanic white, married parents; mean (SD) age was 63 (63.1) years
and 246 (53.6%) were men. The web platform was noninferior to the genetic
counselor on outcomes assessed at 1 and 6 months: knowledge (mean group
difference, -0.18; lower limit of 97.5% CI, -0.63; deltaNI = -1), test-specific
distress (median group difference, 0; upper limit of 97.5% CI, 0; deltaNI = +1),
and decisional conflict about choosing to learn results (mean group difference,
1.18; upper limit of 97.5% CI, 2.66; deltaNI = +6). There were no significant
differences between the genetic counselors and web-based platform detected
between modes of education delivery in disclosure rates to spouses (151 vs 159;
relative risk [RR], 1.04; 95% CI, 0.64-1.69; P > .99), children (103 vs 117; RR,
1.07; 95% CI, 0.85-1.36; P = .59), or siblings (91 vs 78; RR, 1.17; 95% CI, 0.94
1.46; P = .18). Conclusions and Relevance: This trial demonstrates noninferiority
of web-based return of carrier results among postreproductive, mostly healthy
adults. Replication studies among younger and more diverse populations are needed
to establish generalizability. Yet return of results via a web-based platform may
be sufficient for subsets of test results, reserving genetic counselors for
return of results with a greater health threat. Trial Registration:
clinicaltrials.gov Identifier: NCT00410241.
PMID- 29356824
TI - Time May Be of the Essence in the Treatment of Pediatric Patients With Refractory
Convulsive Status Epilepticus.
PMID- 29356822
TI - The Effective Dynamic Ranges for Glaucomatous Visual Field Progression With
Standard Automated Perimetry and Stimulus Sizes III and V.
AB - Purpose: It has been shown that threshold estimates below approximately 20 dB
have little effect on the ability to detect visual field progression in glaucoma.
We aimed to compare stimulus size V to stimulus size III, in areas of visual
damage, to confirm these findings by using (1) a different dataset, (2) different
techniques of progression analysis, and (3) an analysis to evaluate the effect of
censoring on mean deviation (MD). Methods: In the Iowa Variability in Perimetry
Study, 120 glaucoma subjects were tested every 6 months for 4 years with size III
SITA Standard and size V Full Threshold. Progression was determined with three
complementary techniques: pointwise linear regression (PLR), permutation of PLR,
and linear regression of the MD index. All analyses were repeated on "censored''
datasets in which threshold estimates below a given criterion value were set to
equal the criterion value. Results: Our analyses confirmed previous observations
that threshold estimates below 20 dB contribute much less to visual field
progression than estimates above this range. These findings were broadly similar
with stimulus sizes III and V. Conclusions: Censoring of threshold values < 20 dB
has relatively little impact on the rates of visual field progression in patients
with mild to moderate glaucoma. Size V, which has lower retest variability,
performs at least as well as size III for longitudinal glaucoma progression
analysis and appears to have a larger useful dynamic range owing to the upper
sensitivity limit being higher.
PMID- 29356823
TI - Association of beta-Amyloid and Apolipoprotein E epsilon4 With Memory Decline in
Preclinical Alzheimer Disease.
AB - Importance: Older age, high levels of beta-amyloid (Abeta), and the presence of
the apolipoprotein E (APOE) epsilon4 allele are risk factors for Alzheimer
disease (AD). However, the extent to which increasing age, Abeta, and epsilon4
are associated with memory decline remains unclear, and the age at which memory
decline begins for Abeta-positive epsilon4 carriers and noncarriers has not been
determined. Objective: To determine the association of age, Abeta level, and APOE
epsilon4 with memory decline in a large group of cognitively healthy older
adults. Design, Setting, and Participants: This longitudinal observational study
included cognitively healthy older adults (age >60 years) enrolled in the
Australian Imaging, Biomarkers and Lifestyle (AIBL) study from March 31, 2006,
through March 31, 2017; of 1583 individuals enrolled, 1136 refused or were
excluded owing to other criteria (eg, having mild cognitive impairment or AD).
Participants underwent Abeta imaging in research clinics in Perth and Melbourne
and more than 72 months of follow-up (at 18-month intervals). The association of
age with memory was fitted to a quadratic model. Age was treated as a continuous,
time-dependent variable. Exposures: beta-Amyloid imaging using positron emission
tomography, genotyping for APOE E4, and longitudinal neuropsychological
assessments of episodic memory during the 72-month follow-up. Main Outcomes and
Measures: Episodic memory composite score. Results: Of the 447 participants, 203
(45.4%) were men and 244 (54.6%) were women; mean (SD) age was 72.5 (6.6) years.
Equal proportions of female participants were observed in each Abeta-E4 group (24
of 51 Abeta-positive epsilon4 noncarriers [47.1%] ; 35 of 64 Abeta-negative
epsilon4 carriers [54.7%]; 40 of 72 Abeta-positive epsilon4 carriers [55.6%]; and
145 of 260 Abeta-negative epsilon4 noncarriers [55.8%]). Adults with Abeta
findings (mean [SD] age, 74.4 [6.8] years) were approximately 4 years older than
those negative for Abeta (mean [SD] age, 69.8 [6.1] years). Memory decline
diverged significantly from Abeta-negative E4 noncarriers at an earlier age in
Abeta-positive E4 carriers (64.5 years) than in Abeta-positive E4 noncarriers
(76.5 years), such that by 85 years of age, Abeta-positive epsilon4 carriers
performed approximately 1.5 SD units worse on the episodic memory composite than
Abeta-negative epsilon4 noncarriers and approximately 0.8 SD units worse than
Abeta-positive epsilon4 noncarriers. Memory performance of Abeta-negative E4
carriers did not differ from that of the Abeta-negative E4 noncarriers (estimate
[SE], 0.001 [0.001]; t = 0.526; P = .77). Conclusions and Relevance: Prior work
has shown that Abeta and epsilon4 combine to influence memory decline in
nondemented older adults. Results of this study indicate that increasing age may
further exacerbate these effects. The estimates provided may be used to determine
the risk of memory decline associated with Abeta and epsilon4 at each age.
PMID- 29356825
TI - Association of Baseline Statin Use Among Older Adults Without Clinical
Cardiovascular Disease in the SPRINT Trial.
PMID- 29356826
TI - Globus Pallidus Interna or Subthalamic Nucleus Deep Brain Stimulation for
Parkinson Disease: A Review.
AB - Importance: Selection of the best deep brain stimulation (DBS) target-subthalamic
nucleus (STN) or globus pallidus interna (GPi)-for treatment of motor
complications in Parkinson disease remains a matter of debate. Observations:
Increasing evidence from randomized clinical trials indicates that motor benefit
is similar between both targets, including an effect on dyskinesia and
improvement in quality of life. Deep brain stimulation of the STN offers
consistently greater dopaminergic medication reduction, possible mild benefit in
nonmotor domains, and potential economic advantage. Deep brain stimulation of the
GPi provides a probable advantage in dyskinesia suppression, management of
symptoms with unilateral leads, and flexibility in medications and programming
adjustments. Overall, STN DBS is at potentially higher or equal risk for
neuropsychiatric changes compared with GPi DBS. Conclusions and Relevance: Both
GPi and STN DBS provide similar, consistent, marked motor benefits, but subtle
target differences exist. Target selection should be tailored to each patient's
clinical presentation, neuropsychiatric profile, and goals of surgery, allowing
customization of this therapy and improved individual outcomes.
PMID- 29356828
TI - Out-of-Pocket Spending and Premium Contributions After Implementation of the
Affordable Care Act.
AB - Importance: The Affordable Care Act (ACA) was associated with a reduced number of
Americans who reported being unable to afford medical care, but changes in actual
health spending by households are not known. Objectives: To estimate changes in
household spending on health care nationwide after implementation of the ACA.
Design, Setting, and Participants: Population-based data from the Medical
Expenditure Panel Survey from January 1, 2012, through December 31, 2015, and
multivariable regression were used to examine changes in out-of-pocket spending,
premium contributions, and total health spending (out-of-pocket plus premiums)
after the ACA's coverage expansions on January 1, 2014. The study population
included a nationally representative sample of US adults aged 18 to 64 years (n =
83 431). In addition, changes were assessed in the likelihood of exceeding
affordability thresholds for each outcome and spending changes for income
subgroups defined under the ACA to determine program eligibility at 138% or less,
139% to 250%, 251% to 400%, and greater than 400% of the federal poverty level
(FPL). Exposure: Implementation of the ACA's major insurance programs on January
1, 2014. Main Outcomes and Measures: Mean individual-level out-of-pocket spending
and premium payments and the percentage of persons experiencing high-burden
spending, defined as more than 10% of family income for out-of-pocket expenses,
more than 9.5% for premium payments, and more than 19.5% for out-of-pocket plus
premium payments. Results: In this nationally representative survey of 83 431
adults (weighted frequency, 49.1% men and 50.9% women; median age, 40.3 years;
interquartile range, 28.6-52.4 years), ACA implementation was associated with an
11.9% decrease (95% CI, -17.1% to -6.4%; P < .001) in mean out-of-pocket spending
in the full sample, a 21.4% decrease (95% CI, -30.1% to -11.5%; P < .001) in the
lowest-income group (<=138% of the FPL), an 18.5% decrease (95% CI, -27.0% to
9.0%; P < .001) in the low-income group (139%-250% of the FPL), and a 12.8%
decrease (95% CI, -22.1% to -2.4%; P = .02) in the middle-income group (251%-400%
of the FPL). Mean premium spending increased in the full sample (12.1%; 95% CI,
1.9%-23.3%) and the higher-income group (22.9%; 95% CI, 5.5%-43.1%). Combined out
of-pocket plus premium spending decreased in the lowest-income group only (
16.0%; 95% CI, -27.6% to -2.6%). The odds of household out-of-pocket spending
exceeding 10% of family income decreased in the full sample (odds ratio [OR],
0.80; 95% CI, 0.70-0.90) and in the lowest-income group (OR, 0.80; 95% CI, 0.67
0.97). The odds of high-burden premium spending increased in the middle-income
group (OR, 1.28; 95% CI, 1.03-1.59). Conclusions and Relevance: Implementation of
the ACA was associated with reduced out-of-pocket spending, particularly for low
income persons. However, many of these individuals continue to experience high
burden out-of-pocket and premium spending. Repeal or substantial reversal of the
ACA would especially harm poor and low-income Americans.
PMID- 29356827
TI - Effect of the Apolipoprotein E Genotype on Cognitive Change During a Multidomain
Lifestyle Intervention: A Subgroup Analysis of a Randomized Clinical Trial.
AB - Importance: The role of the apolipoprotein E (APOE) epsilon4 allele as an effect
modifier in lifestyle interventions to prevent cognitive impairment is still
unclear. Objective: To examine whether the APOE epsilon4 allele modifies the
previously reported significant cognitive benefits of a multidomain lifestyle
intervention (prespecified subgroup analysis). Design, Setting, and Participants:
The Finnish Geriatric Intervention Study to Prevent Cognitive Impairment and
Disability (FINGER) was a randomized clinical trial in 6 centers across Finland
(screening and randomization performed from September 7, 2009, through November
24, 2011; intervention duration, 2 years). Data analysis was performed from
August 1, 2015, to March 31, 2016. The study population was at-risk older
individuals from the general population. Inclusion criteria were age of 60 to 77
years; Cardiovascular Risk Factors, Aging, and Dementia risk score of at least 6
points; and cognition at a mean level or slightly lower than expected for age.
Individuals with dementia or substantial cognitive impairment and conditions that
prevented cooperation or safe engagement in the intervention were excluded. APOE
genotype data were available for 1175 of the 1260 participants. Interventions:
Participants were randomly assigned in a 1:1 ratio to a multidomain intervention
group (diet, exercise, cognitive training, and vascular risk management) or a
control group (general health advice). Group allocation was not actively
disclosed to participants, and outcome assessors were masked to group allocation.
Main Outcomes and Measures: Primary outcome was change in cognition measured
through a comprehensive neuropsychological test battery. Analysis was based on
modified intention to treat (participants with at least 1 postbaseline
assessment). Results: A total of 1109 participants (mean [SD] age, 69.3 [4.7]
years; 514 [46.3%] female) were included in the analysis: 362 APOE epsilon4
allele carriers (173 intervention and 189 control) and 747 noncarriers (380
intervention and 367 control). The APOE epsilon4 carriers and noncarriers were
not significantly different at baseline (except for serum cholesterol level). The
difference between the intervention and control groups in annual
neuropsychological test battery total score change was 0.037 (95% CI, 0.001 to
0.073) among carriers and 0.014 (95% CI, -0.011 to 0.039) among noncarriers.
Intervention effect was not significantly different between carriers and
noncarriers (0.023; 95% CI, -0.021 to 0.067). Conclusions and Relevance: Healthy
lifestyle changes may be beneficial for cognition in older at-risk individuals
even in the presence of APOE-related genetic susceptibility to dementia. Whether
such benefits are more pronounced in APOE epsilon4 carriers compared with
noncarriers should be further investigated. The findings also emphasize the
importance of early prevention strategies that target multiple modifiable risk
factors simultaneously. Trial Registration: ClinicalTrials.gov Identifier:
NCT01041989.
PMID- 29356830
TI - Informed Consent Training in Pediatrics-Are We Doing Enough?
PMID- 29356831
TI - ?
PMID- 29356829
TI - Clinical, Biomarker, and Molecular Delineations and Genotype-Phenotype
Correlations of Ataxia With Oculomotor Apraxia Type 1.
AB - Importance: Ataxia with oculomotor apraxia type 1 (AOA1) is an autosomal
recessive cerebellar ataxia due to mutations in the aprataxin gene (APTX) that is
characterized by early-onset cerebellar ataxia, oculomotor apraxia, axonal motor
neuropathy, and eventual decrease of albumin serum levels. Objectives: To improve
the clinical, biomarker, and molecular delineation of AOA1 and provide genotype
phenotype correlations. Design, Setting, and Participants: This retrospective
analysis included the clinical, biological (especially regarding biomarkers of
the disease), electrophysiologic, imaging, and molecular data of all patients
consecutively diagnosed with AOA1 in a single genetics laboratory from January 1,
2002, through December 31, 2014. Data were analyzed from January 1, 2015, through
January 31, 2016. Main Outcomes and Measures: The clinical, biological, and
molecular spectrum of AOA1 and genotype-phenotype correlations. Results: The
diagnosis of AOA1 was confirmed in 80 patients (46 men [58%] and 34 women [42%];
mean [SD] age at onset, 7.7 [7.4] years) from 51 families, including 57 new (with
8 new mutations) and 23 previously described patients. Elevated levels of alpha
fetoprotein (AFP) were found in 33 patients (41%); hypoalbuminemia, in 50 (63%).
Median AFP level was higher in patients with AOA1 (6.0 ng/mL; range, 1.1-17.0
ng/mL) than in patients without ataxia (3.4 ng/mL; range, 0.8-17.2 ng/mL; P <
.01). Decreased albumin levels (rho = -0.532) and elevated AFP levels (rho =
0.637) were correlated with disease duration. The p.Trp279* mutation, initially
reported as restricted to the Portuguese founder haplotype, was discovered in 53
patients with AOA1 (66%) with broad white racial origins. Oculomotor apraxia was
found in 49 patients (61%); polyneuropathy, in 74 (93%); and cerebellar atrophy,
in 78 (98%). Oculomotor apraxia correlated with the severity of ataxia and
mutation type, being more frequent with deletion or truncating mutations (83%)
than with presence of at least 1 missense variant (17%; P < .01). Mean (SD) age
at onset was higher for patients with at least 1 missense mutation (17.7 [11.4]
vs 5.2 [2.6] years; P < .001). Conclusions and Relevance: The AFP level, slightly
elevated in a substantial fraction of patients, may constitute a new biomarker
for AOA1. Oculomotor apraxia may be an optional finding in AOA1 and correlates
with more severe disease. The p.Trp279* mutation is the most frequent APTX
mutation in the white population. APTX missense mutations may be associated with
a milder phenotype.
PMID- 29356832
TI - Nine-month clinical outcomes in patients with diabetes treated with polymer-free
sirolimus-eluting stents and 6-month vs. 12-month dual-antiplatelet therapy
(DAPT).
AB - BACKGROUND: Diabetes mellitus is known to be associated with worse clinical
outcomes in patients with coronary artery disease (CAD) undergoing percutaneous
coronary interventions (PCI) with drug-eluting stents (DES). Defining the optimal
duration of dual antiplatelet therapy (DAPT) after DES implantation is still
under debate. The objective of this subgroup analysis of the all-comers ISAR 2000
registry was to assess the safety and efficacy of a short DAPT (<6 month) versus
a longer DAPT (>6 month) in patients with diabetes electively treated with the
polymer-free sirolimus-coated ultrathin strut drug-eluting stent (PF-SES).
METHODS: Patients who received the PF-SES were investigated in a multicenter all
comers observational study. The primary endpoint was the 9-month target lesion
revascularization (TLR) rate, whereas secondary endpoints included the 9-month
major adverse cardiac event (MACE) and procedural success rates. RESULTS: In all,
167 patients were treated with DAPT for <=6 months (S-DAPT group) and 350
patients underwent DAPT treatment for 12 months (L-DAPT group). There was no
significant difference in the overall MACE rate (4.6% vs. 3.1%, p = 0.441), the 9
month accumulated stent thrombosis rates (0.8% vs. 0.3%, p = 0.51), or the
accumulated rate of bleeding complications (5.3% vs. 3.4%, p = 0.341).
CONCLUSION: PF-SES are safe and effective in daily clinical routine with low
rates of TLR and MACE in patients with diabetes and stable disease. Our data
suggest that extending the duration of DAPT beyond 6 months does not improve MACE
or TLR at 9 months in patients with stable CAD (ClinicalTrials.gov Identifier
NCT02629575).
PMID- 29356834
TI - ?
PMID- 29356833
TI - [Severe lymphopenia in a patient with Crohn's disease].
AB - We report on a 25-year-old female patient with Crohn's disease and profound
lymphocytopenia while receiving corticosteroids and azathioprine. Discontinuation
of azathioprine only resulted in a mild increase in CD4+ T cell numbers; however,
therapy with the TNFalpha inhibitor adalimumab was initiated for a clinical flare
and resulted in long-lasting clinical remission and rapid normalization of the
lymphocytopenia including the respective lymphocyte subsets. Lymphocytopenia is
frequently observed as a side effect of immunosuppressive therapy. This case
illustrates that lymphocytopenia may also occur in relation to Crohn's disease
activity as an extraintestinal manifestation and may then be efficiently treated
by escalation of immunosuppressive therapy.
PMID- 29356835
TI - Diabetes in pregnancy: a new decade of challenges ahead.
AB - Every 10 years, the Diabetic Pregnancy Study Group, a study group of the EASD,
conducts an audit meeting to review the achievements of the preceding decade and
to set the directions for research and clinical practice improvements for the
next decade. The most recent meeting focused on the following areas: improving
pregnancy outcomes for women with pregestational type 1 diabetes and type 2
diabetes; the influence of obesity and gestational diabetes on pregnancy
outcomes; the determinants and assessment of fetal growth and development; and
public health issues, including consideration of transgenerational consequences
and economic burden. The audit meeting also considered the likely impact of
'omics' on research within the field and the potential of these technologies to
enable precision-medicine approaches to management. Through sharing of the
findings and ideas of audit meeting participants, the DPSG hopes to promote
networking, research and advances in clinical care, to improve outcomes for all
women and their offspring affected by diabetes and obesity in pregnancy.
PMID- 29356836
TI - Chronic Toxicity of Ferric Iron for North American Aquatic Organisms: Derivation
of a Chronic Water Quality Criterion Using Single Species and Mesocosm Data.
AB - Iron is a common pollutant in waters near coal and hard rock mine disturbances.
The current 1000 ug/L total recoverable chronic criterion for iron (Fe) for
protection of aquatic life in the United States was developed using very limited
data in 1976 and has not been revised since. To develop a more scientifically
based criterion, several chronic laboratory toxicity experiments (> 30 days) were
conducted with ferric Fe at circumneutral pH on a taxonomically diverse group of
organisms including brown trout (Salmo trutta), mountain whitefish (Prosopium
williamsoni), boreal toad tadpoles (Bufo boreas), the oligochaete worm
Lumbriculus variegatus, the mayfly Hexagenia limbata, and the planarian Dugesia
dorotocephala. Results of these tests and those of previously published toxicity
data were used to derive a Final Chronic Value (FCV) of 499 ug/L by using the US
Environmental Protection Agency's recommended methods based on single species
toxicity tests. In addition to single species toxicity tests, ferric Fe toxicity
experiments (10 days) were performed on mesocosms containing naturally colonized
communities of benthic macroinvertebrates. Fourteen genera in the mesocosms
occurred at sufficient densities to estimate an iron concentration resulting in
20% reduction in abundance (EC20). Three of these taxa had EC20s less than the
FCV of 499 ug/L derived from single species tests: the mayfly Epeorus sp. (335
ug/L), the caddisfly Micrasema sp. (356 ug/L), and midge Tanytarsini (234 ug/L).
When mesocosm results were included, the FCV was lowered to 251 ug/L. These
findings support the suggestion that modernization of water quality criteria
should include data generated from mesocosm experiments and other lines of
evidence.
PMID- 29356837
TI - Limoniibacter endophyticus gen. nov., sp. nov., an alphaproteobacterium isolated
from the roots of Limonium otolepis.
AB - A Gram-negative bacterium, designated as strain YIM 690229T, was isolated from
the roots of Limonium otolepis. The strain was able to grow at 10-40 degrees C
(optimum, 28-37 degrees C), pH 6.0-8.0 (optimum, 7.0) and in the presence of up
to 7% NaCl (w/v) (optimum, up to 2.5%). Comparative 16S rRNA gene sequence
analysis revealed that strain YIM 690229T shared less than 93.9% sequence
similarities with members within the order Rhizobiales, and was remotely related
to members of the family Hyphomicrobiaceae. Strain YIM 690229T was characterized
by the presence of Q-10 as the predominant respiratory lipoquinone. The major
fatty acids (> 10%) detected were C18:1 omega7c, C16:0, anteiso-C15:0 and summed
feature 4 (iso-C17:1 I and/or anteiso-C17:1 B). The polar lipids consisted of
diphosphatidylglycerol, phosphatidylcholine, phosphatidylethanolamine,
phosphatidylglycerol, phosphatidylmethylethanoamine and two unidentified lipids.
The genomic DNA G + C content was 57.2 mol%. Data from this polyphasic taxonomy
study suggested that strain YIM 690229T should be classified as a new species of
a new genus within the family Hyphomicrobiaceae for which the name Limoniibacter
endophyticus gen. nov., sp. nov., is proposed. The type species of the genus
Limoniibacter gen. nov. is Limoniibacter endophyticus. The type strain of the
species Limoniibacter endophyticus sp. nov. is YIM 690229T (= KCTC 42097T = JCM
30141T = CCTCC AB 2014130T = CGMCC 1.12906T).
PMID- 29356839
TI - ?
PMID- 29356838
TI - ["Antibiotic stewardship throughout the country"].
PMID- 29356840
TI - ?
PMID- 29356842
TI - Test yourself: weakness and wasting of forearm.
PMID- 29356841
TI - Catechin supplementation prevents kidney damage in rats repeatedly exposed to
cadmium through mitochondrial protection.
AB - Nephrotoxicity is recognized as a serious disorder affected by chronic cadmium
exposure. Imbalance between radical generation and elimination is considered a
critical factor involved in the initiation and progression of renal injury caused
by this heavy metal. The present study investigated the possible protection by
catechin, a natural phenolic antioxidant, against cadmium nephrotoxicity and
elucidated its potential mechanism. Male Wistar rats were assigned to receive
vehicle, cadmium (CdCl2 2 mg/kg, i.p.) and cadmium plus catechin (25, 50, and 100
mg/kg, orally, respectively). After 4 weeks of treatment, rats exposed to cadmium
demonstrated a marked rise in blood urea nitrogen and creatinine, a fall in
creatinine clearance, and renal pathologies like severe tubular damage,
apoptosis, and abnormal mitochondrial structure. Significant increases in
malondialdehyde, nitric oxide, and tumor necrosis factor-alpha, while reductions
in antioxidant thiols, superoxide dismutase, and catalase, were also detected in
the kidney tissues of cadmium-intoxicated rats. These alterations were associated
with mitochondrial dysfunction as supported by an increase in mitochondrial
reactive oxygen species production and a decline in mitochondrial membrane
potential. Treatment with catechin significantly attenuated all the changes
caused by cadmium. These findings suggest that catechin effectively protects the
kidney against toxic effect of cadmium, presumably through its antioxidant, anti
inflammation, and mitochondrial protection. The study outcomes not only add
evidence to reinforce the medical benefits of catechin but also, most
importantly, give rise to a prospect of developing renal preventive strategy for
individuals who are at risk of cadmium contamination by means of catechin
supplementation.
PMID- 29356843
TI - Hairline fractures following volar plating of the distal radius: a recently
recognized hardware-related complication.
AB - OBJECTIVES: Intraoperative hairline longitudinal fractures were recently reported
in association with distal radius volar plating. Our aim was to further analyze
this newly described complication. METHODS: A retrospective radiographic and
chart review was performed on 225 patients who underwent distal radius plating
between June 2013 and June 2015. The Acu-Loc/Acu-Loc2(c) plating system (Acumed,
Hillsboro, OR, USA) was used in 208 cases, and the VariAx(c) plating system
(Stryker, Kalamazoo, MI, USA) was used in 17 cases. Three independent reviewers
performed a blind evaluation of all relevant radiographs for the occurrence of
longitudinal fractures around the plate, and validity was considered only when
there was agreement among all three of them. RESULTS: Hairline longitudinal
fractures were identified in 57 cases (25%), 55 with the Acu-Loc/Acu-Loc2(c)
system and 2 with the VariAx(c) system. All fractures occurred with volar
plating. Fracture occurrence was associated with age over 59 years, female
gender, extra-articular fractures, and the use of Hexalobe screws (Acu-Loc/Acu
Loc2(c) system). CONCLUSIONS: We believe that the source of fracture occurrence
lies within the screw design and that better screw design and possibly tapping in
patients at risk may reduce the occurrence of intraoperative hairline
longitudinal fractures. Further clinical and biomechanical research is needed to
better understand this newly reported complication.
PMID- 29356844
TI - Characteristics and management of penetrating abdominal injuries in a German
level I trauma center.
AB - PURPOSE: Penetrating abdominal injuries caused by stabbing or firearms are rare
in Germany, thus there is lack of descriptive studies. The management of
hemodynamically stable patients is still under dispute. The aim of this study is
to review and improve our management of penetrating abdominal injuries. METHODS:
We retrospectively reviewed a 10-year period from the Trauma Registry of our
level I trauma center. The data of all patients regarding demographics, clinical
and outcome parameters were examined. Further, charts were reviewed for FAST and
CT results and correlated with intraoperative findings. RESULTS: A total of 115
patients with penetrating abdominal trauma (87.8% men) were analyzed. In 69
patients, the injuries were caused by interpersonal violence and included 88 stab
and 4 firearm wounds. 8 patients (6.9%) were in a state of shock at presentation.
52 patients (44.8%) suffered additional extraabdominal injuries. 38 patients were
managed non-operatively, while almost two-thirds of all patients underwent
surgical treatment. Hereof, 20 laparoscopies and 3 laparotomies were
nontherapeutic. There were two missed injuries, but no patient experienced
morbidity or mortality related to delay in treatment. 106 (92.2%) FAST and 91
(79.3%) CT scans were performed. Sensitivity and specificity of FAST were 59.4
and 94.2%, while those of CT were 93.2 and 85.1%, respectively. CONCLUSION: In
hemodynamically stable patients presenting with penetrating abdominal trauma, CT
is indicated and the majority of injuries can be managed conservatively. If
surgical treatment is required, diagnostic laparoscopy for stable patients is
feasible to avoid nontherapeutic laparotomy.
PMID- 29356845
TI - Risk of Subsequent Fragility Fractures Observed After Low-Trauma Ankle Fractures.
AB - While fragility fractures (FFs) are one of the strongest predictors of subsequent
osteoporotic fractures, it remains unclear whether low-trauma ankle fractures
have this ability. The aim of the study was to identify the characteristics of
patients with low-trauma ankle FFs who develop subsequent FFs. The OPTIMUS
initiative is a strategy to improve osteoporosis treatment post-FF in orthopedic
clinics. FRAX scores without BMD (FRAX-BMI) were calculated at time of inclusion.
Recurrent FFs were recorded over a 48-month follow-up. All FFs were X-ray
confirmed. A total of 265 patients with initial ankle FF were included (190
women; mean age 62.8 +/- 9.6 years), of whom 15 developed new FFs. Patients with
ankle FFs had longer time until recurrence and lower 2-year incidence of
recurrent FFs (3.2%) compared with those having wrist FFs (9.0%) or other initial
FFs (9.6%), and 4-year incidence rates of 6.2, 13.4, and 15.3%, respectively (log
rank test, p = 0.001). With an ankle FF at inclusion, recurrent FFs were more
frequent in patients with previous FF (6.2 per 100 patient-years; p < 0.01) or
high-risk FRAX-BMI scores pre- or post-FF (2.4 or 2.0 per 100 patient-years,
respectively; ns), compared to patients without any of these conditions (0.7 per
100 patient-years). Ankle FFs represent a clinical opportunity for identifying at
risk patients who should be targeted for treatment (previous FFs and/or high-risk
FRAX). Because of mechanical factors and other contributors involved, ankle FFs
themselves do not predict subsequent FFs overall, and their inclusion in FRAX-BMI
risk calculation may thus not be warranted.
PMID- 29356846
TI - Epigenetics and Epigenomics of Plants.
AB - The genetic material DNA in association with histone proteins forms the complex
structure called chromatin, which is prone to undergo modification through
certain epigenetic mechanisms including cytosine DNA methylation, histone
modifications, and small RNA-mediated methylation. Alterations in chromatin
structure lead to inaccessibility of genomic DNA to various regulatory proteins
such as transcription factors, which eventually modulates gene expression.
Advancements in high-throughput sequencing technologies have provided the
opportunity to study the epigenetic mechanisms at genome-wide levels. Epigenomic
studies using high-throughput technologies will widen the understanding of
mechanisms as well as functions of regulatory pathways in plant genomes, which
will further help in manipulating these pathways using genetic and biochemical
approaches. This technology could be a potential research tool for displaying the
systematic associations of genetic and epigenetic variations, especially in terms
of cytosine methylation onto the genomic region in a specific cell or tissue. A
comprehensive study of plant populations to correlate genotype to epigenotype and
to phenotype, and also the study of methyl quantitative trait loci (QTL) or
epiGWAS, is possible by using high-throughput sequencing methods, which will
further accelerate molecular breeding programs for crop improvement. Graphical
Abstract.
PMID- 29356847
TI - Revolution in Genotyping Platforms for Crop Improvement.
AB - In the past decade, the application of high-throughput sequencing to crop
genotyping has given rise to novel platforms capable of genotyping tens of
thousands of genome-wide DNA markers. Coupled with the decreasing costs of
sequencing, this rapid increase in markers allows accelerated and highly accurate
genotyping of entire crop populations and diversity sets using single nucleotide
polymorphisms (SNPs). These revolutionary advances accelerate crop improvement by
facilitating a more precise connection of phenotype to genotype through
association studies, linkage mapping and diversity analysis. The platforms
driving the advances in genotyping are array technologies and genotyping by
sequencing (GBS) methods, which include both low-coverage whole genome
resequencing (skim sequencing) and reduced representation sequencing (RRS)
approaches. Here, we outline and compare these genotyping platforms and provide a
perspective on the promising future of crop genotyping. While SNP arrays provide
high quality, simple handling, and unchallenging analysis, the lower cost of RRS
and the greater data volume produced by skim sequencing suggest that use of GBS
will become more prevalent in crop genomics as sequencing costs decrease and data
analysis becomes more streamlined. Graphical Abstract.
PMID- 29356848
TI - Artificial Gene Amplification in Escherichia coli Reveals Numerous Determinants
for Resistance to Metal Toxicity.
AB - When organisms are subjected to environmental challenges, including growth
inhibitors and toxins, evolution often selects for the duplication of endogenous
genes, whose overexpression can provide a selective advantage. Such events occur
both in natural environments and in clinical settings. Microbial cells-with their
large populations and short generation times-frequently evolve resistance to a
range of antimicrobials. While microbial resistance to antibiotic drugs is well
documented, less attention has been given to the genetic elements responsible for
resistance to metal toxicity. To assess which overexpressed genes can endow gram
negative bacteria with resistance to metal toxicity, we transformed a collection
of plasmids overexpressing all E. coli open reading frames (ORFs) into naive
cells, and selected for survival in toxic concentrations of six transition
metals: Cd, Co, Cu, Ni, Ag, Zn. These selections identified 48 hits. In each of
these hits, the overexpression of an endogenous E. coli gene provided a selective
advantage in the presence of at least one of the toxic metals. Surprisingly, the
majority of these cases (28/48) were not previously known to function in metal
resistance or homeostasis. These findings highlight the diverse mechanisms that
biological systems can deploy to adapt to environments containing toxic
concentrations of metals.
PMID- 29356849
TI - En route to the liver: an fishbone's unexpected journey.
PMID- 29356850
TI - Are septic children really just "septic little adults"?
PMID- 29356851
TI - Fast confirmation of correct position of distal perfusion cannula during
venoarterial extracorporeal membrane oxygenation.
PMID- 29356852
TI - Reduction of cardiac herniation following intrapericardial pneumonectomy with
pleural perfusion of saline.
PMID- 29356853
TI - Should this elderly patient be admitted to the ICU?
PMID- 29356854
TI - Limited value of end-expiratory inferior vena cava diameter to predict fluid
responsiveness impact of intra-abdominal pressure.
AB - PURPOSE: We sought to determine the diagnostic ability of the end-expiratory
inferior vena cava diameter (IVCEE) to predict fluid responsiveness (FR) and the
potential confounding effect of intra-abdominal pressure (IAP). METHODS: In this
multicenter study, 540 consecutive ventilated patients with shock of various
origins underwent an echocardiographic assessment by experts. The IVCEE, velocity
time integral (VTI) of the left ventricular outflow tract (LVOT) and intra
abdominal pressure (IAP) were measured. Passive leg raising (PLR) was then
systematically used to perform a reversible central blood volume expansion. FR
was defined by an increase in LVOT VTI >= 10% after 1 min of PLR. RESULTS: Since
IVCEE was not obtained in 117 patients (22%), 423 were studied (septic shock:
56%), 129 of them (30%) having elevated IAP (>= 12 mmHg) and 172 of them (41%)
exhibiting FR. IVCEE <= 13 mm predicted FR with a specificity of at least 80% in
62 patients (15%), while IVCEE >= 25 mm predicted the absence of FR with a
specificity of at least 80% in 61 patients (14%). In the remaining 300 patients
(71%), the intermediate value of IVCEE did not allow predicting FR. An adjusted
relationship between IVCEE and FR was observed while this relationship was less
pronounced in patients with IAP >= 12 mmHg. CONCLUSIONS: Measurement of IVCEE in
ventilated patients is moderately feasible and poorly predicts FR, with IAP
acting as a confounding factor. IVCEE might add some value to guide fluid therapy
but should not be used alone for fluid prediction purposes.
PMID- 29356855
TI - Combining monoenergetic extrapolations from dual-energy CT with iterative
reconstructions: reduction of coil and clip artifacts from intracranial aneurysm
therapy.
AB - PURPOSE: To compare and to combine iterative metal artifact reduction (MAR) and
virtual monoenergetic extrapolations (VMEs) from dual-energy computed tomography
(DECT) for reducing metal artifacts from intracranial clips and coils. METHODS:
Fourteen clips and six coils were scanned in a phantom model with DECT at 100 and
150SnkVp. Four datasets were reconstructed: non-corrected images (filtered-back
projection), iterative MAR, VME from DECT at 120 keV, and combined iterative MAR
+ VME images. Artifact severity scores and visibility of simulated, contrast
filled, adjacent vessels were assessed qualitatively and quantitatively by two
independent, blinded readers. RESULTS: Iterative MAR, VME, and combined iterative
MAR + VME resulted in a significant reduction of qualitative (p < 0.001) and
quantitative clip artifacts (p < 0.005) and improved the visibility of adjacent
vessels (p < 0.05) compared to non-corrected images, with lowest artifact scores
found in combined iterative MAR + VME images. Titanium clips demonstrated less
artifacts than Phynox clips (p < 0.05), and artifact scores increased with clip
size. Coil artifacts increased with coil size but were reducible when applying
iterative MAR + VME compared to non-corrected images. However, no technique
improved the severe artifacts from large, densely packed coils. CONCLUSIONS:
Combining iterative MAR with VME allows for an improved metal artifact reduction
from clips and smaller, loosely packed coils. Limited value was found for large
and densely packed coils.
PMID- 29356856
TI - Ruptured middle cerebral artery aneurysms with a concomitant intraparenchymal
hematoma: the role of hematoma volume.
AB - PURPOSE: To study whether clinical outcome data from our patient cohort could
give support to the new recommendation in the AHA/ASA guidelines for the
management of aneurysmal subarachnoid hemorrhage that states "that microsurgical
clipping may receive increased consideration in patients with ruptured middle
cerebral artery (MCA) aneurysms and large (>50 mL) intraparenchymal hematomas",
while clinical outcome data supporting this recommendation are sparse. METHODS:
We reviewed the clinical and radiological data of 81 consecutive patients with
MCA aneurysms and concomitant hematomas admitted between January 2006 and
December 2015. The relation between (semi-automatically quantified) hematoma
volume (< or > 50 ml), neurological condition on admission (poor: GCS < 8 or non
reactive pupils), treatment strategies (no treatment, coiling, or clipping with
or without decompression and/or clot removal), and outcome (favorable: mRS score
0-3) was evaluated. RESULTS: Clinical outcome data were available for 76
patients. A significant difference in favorable outcome (17 vs 68%) was seen when
comparing patients with poor and good neurological condition on admission (p <
0.01). Patients with hematomas > 50 ml had similar outcomes for coiling and
clipping, all underwent decompression. Patients with hematomas < 50 ml did not
show differences in favorable outcome when comparing coiling and clipping with
(33 and 31%) or without decompression (90 and 88%). CONCLUSION: Poor neurological
condition on admission, and not large intraparenchymal hematoma volume, was
associated with poor clinical outcome. Therefore, even in patients with large
hematomas, the neurological condition on admission and the aneurysm configuration
seem to be equally important factors to determine the most appropriate treatment
strategy.
PMID- 29356858
TI - [Rare cause of a supraclavicular swelling].
PMID- 29356857
TI - Fate of the three embryonic dural sinuses in infants: the primitive tentorial
sinus, occipital sinus, and falcine sinus.
AB - PURPOSE: The primitive tentorial, occipital, and falcine sinuses are thought to
attain the adult pattern or regress between the fetal stage and adulthood. The
anatomy of these three primitive dural sinuses has seldom been studied in the
infant population, and it remains unclear when these dural sinuses reach the
adult condition. Using computed tomography digital subtraction venography (CT
DSV), we analyzed the anatomy of these embryonic dural sinuses in infants.
METHODS: We included 13 infants who underwent CT-DSV prior to neurosurgery and 35
cases with unruptured cerebral aneurysms as normal adult controls. Three
embryonic dural sinuses, i.e., the primitive tentorial, occipital, and falcine
sinuses, were retrospectively analyzed in CT-DSV images of infants and adults. We
also analyzed the drainage patterns of the superficial middle cerebral vein
(SMCV), determined by the connection between the primitive tentorial sinus and
the cavernous sinus. RESULTS: The primitive tentorial, occipital, and falcine
sinuses were present in 15.4%, 46.2%, and none of the infants, respectively, and
in 10.0, 8.6, and 2.9% of the adults, respectively. The difference in SMCV
draining pattern between infants and adults was insignificant. The incidence of
the occipital sinus was significantly higher in infants than in adults.
CONCLUSIONS: The connection between the primitive tentorial sinus and the
cavernous sinus appears to be established before birth. The occipital sinus is
formed at the embryonic stage and mostly regresses after infancy. The falcine
sinus is usually obliterated prenatally. Our findings form the basis for
interventions by pediatric interventional neuroradiologists and neurosurgeons.
PMID- 29356859
TI - Correction to: Association between vaginal bulge and anatomical pelvic organ
prolapse during pregnancy and postpartum: an observational study.
AB - The last paragraph of the "Interpretation" section contains an error.
PMID- 29356860
TI - Anti-estrogenic activity of tris(2,3-dibromopropyl) isocyanurate through
disruption of co-activator recruitment: experimental and computational studies.
AB - As a potential endocrine disruptor, tris(2,3-dibromopropyl) isocyanurate (TBC)
has previously been demonstrated to reduce expression of estrogen-dependent
vitellogenin (vtg) mRNA in adult zebrafish. However, the underlying toxicity
pathways and molecular mechanisms involved in TBC-induced endocrine disruption
remain elusive. In the current study, E-Screen and MVLN assays were employed to
explore the potential anti-estrogenic effects of TBC via the estrogen receptor
alpha (ERalpha)-mediated signaling pathway. Within a dose range between 1 * 10- 9
and 1 * 10- 7 M, TBC significantly inhibited 17beta-estradiol (E2)-induced cell
proliferation in a breast cancer cell line. The luciferase activity induced by E2
was also significantly inhibited by TBC in a dose-dependent manner. Moreover,
neither TBC nor E2 affected proliferation of the ERalpha-negative breast cancer
cell line MDA-MB-231. These experimental results confirmed that TBC has anti
estrogenic effects by affecting the ERalpha-mediated signaling pathway. By
comparing TBC with known antagonists of ERalpha, we found that TBC has similar
molecular structure as certain co-activator binding inhibitors. Therefore, using
molecular docking and molecular dynamics simulations, TBC was further predicted
to competitively occupy the surface site of ERalpha rather than the canonical E2
binding pocket of ERalpha, thus disrupt subsequent co-activator recruitment and
transcription activation. Our findings elucidate the anti-estrogenic mechanism of
TBC at the atomic level and highlight the biological importance of surface sites
of nuclear receptors for a risk assessment of potential environmental pollutants.
PMID- 29356861
TI - Identification of approved drugs as potent inhibitors of pregnane X receptor
activation with differential receptor interaction profiles.
AB - Activation of pregnane X receptor (PXR) results in the induction of first-pass
metabolism and drug efflux. Hereby, PXR may cause adverse drug reactions or
therapeutic failure of drugs. PXR inhibition is thus an attractive option to
minimise adverse effects or to improve therapeutic efficiencies; however, only a
limited number of antagonists have been identified so far. We performed a cell
based high-throughput screen to identify PXR antagonists, using a library of
approved and investigational drugs. Two approved drugs, pimecrolimus and
pazopanib, emerged as novel potent antagonists of PXR activation, with IC50
values of 1.2 and 4.1 uM, respectively. We further characterised these with
respect to receptor specificity, assembly of the PXR ligand-binding domain (LBD)
and interactions with co-factors. In vitro and in silico assays were carried out
to identify the site(s) of interaction with the PXR LBD. Primary human
hepatocytes were used to investigate antagonism of the induction of endogenous
PXR target genes. Pimecrolimus and pazopanib did not affect the transcriptional
activity of other nuclear receptors. Both induced the release of co-repressor
from PXR and likewise interfered with agonist-induced recruitment of co
activator. Cumulative evidence from cellular and in vitro assays, as well as
molecular docking, suggested additional or exclusive binding outside the PXR
ligand-binding pocket for both. The compounds differentially antagonised the
induction of PXR-regulated genes by rifampicin in primary human hepatocytes. In
conclusion, we here have identified two approved drugs as novel potent PXR
inhibitors with differential receptor interaction profiles and gene selectivity
in primary human hepatocytes.
PMID- 29356862
TI - Flame retardants, hexabromocyclododecane (HCBD) and tetrabromobisphenol a
(TBBPA), alter secretion of tumor necrosis factor alpha (TNFalpha) from human
immune cells.
AB - Hexabromocyclododecane (HBCD) and tetrabromobisphenol A (TBBPA) are flame
retardants, used in a variety of applications, which contaminate the environment
and are found in human blood. HBCD and TBBPA have been shown to alter the tumor
killing function of natural killer (NK) lymphocytes and the secretion of the
inflammatory cytokines interferon gamma (IFNgamma) and interleukin 1 beta (IL
1beta). The current study examined the effects of HBCD and TBBPA on secretion of
the critical pro-inflammatory cytokine tumor necrosis factor alpha (TNFalpha)
from human immune cells. Preparations of human immune cells that ranged in
complexity were studied to determine if the effects of the compounds were
consistent as the composition of the cell preparation became more heterogeneous.
Cell preparations studied were: NK cells, monocyte-depleted (MD) peripheral blood
mononuclear cells (PBMCs), and PBMCs. Exposure of NK cells to higher
concentrations of HBCD (5 and 2.5 uM) caused decreased secretion of TNFalpha.
However, when the cell preparation contained T lymphocytes (MD-PBMCs and PBMCs)
these same concentrations of HBCD increased TNFalpha secretion as did nearly all
other concentrations. This suggests that HBCD's ability to increase TNFalpha
secretion from immune cells was dependent on the presence of T lymphocytes. In
contrast, exposures to TBBPA decreased the secretion of TNFalpha from all immune
cell preparations regardless of the composition of the cell preparation. Further,
HBCD-induced increases in TNFalpha secretion utilized the p38 MARK pathway. Thus,
both HBCD and TBBPA may have the capacity to disrupt the inflammatory response
with HBCD having the potential to cause chronic inflammation.
PMID- 29356863
TI - Somatosensory modulation of perceptual vestibular detection.
AB - Vestibular-multisensory interactions are essential for self-motion, navigation
and postural stability. Despite evidence suggesting shared brain areas between
vestibular and somatosensory inputs, no study has yet investigated whether
somatosensory information influences vestibular perception. Here, we used signal
detection methods to identify whether somatosensory stimulation might interact
with vestibular events in a vestibular detection task. Participants were
instructed to detect near-threshold vestibular roll-rotation sensations delivered
by galvanic vestibular stimulation in one-half of experimental trials. A
vibrotactile signal occurred to the index fingers of both hands in half of the
trials, independent of vestibular signals. We found that vibrotactile
somatosensory stimulation decreased perceptual vestibular sensitivity. The
results are compatible with a gain regulation mechanism between vestibular and
somatosensory modalities.
PMID- 29356864
TI - Ceci n'est pas un walrus: lexical processing in vigilance performance.
AB - Vigilance, or the ability to sustain attention for extended periods of time, has
traditionally been examined using a myriad of symbolic, cognitive, and sensory
tasks. However, the current literature indicates a relative lack of empirical
investigation on vigilance performance involving lexical processing. To address
this gap in the literature, the present study examined the effect of stimulus
meaning on vigilance performance (i.e., lure effects). A sample of 126 observers
completed a 12-min lexical vigilance task in a research laboratory. Observers
were randomly assigned to a standard task (targets and neutral events only) or a
lure task (lures, targets, and neutral events presented), wherein lures were
stimuli that were categorically similar to target stimuli. A novel analytical
approach was utilized to examine the results; the lure groups were divided based
on false alarm performance post hoc. Groups were further divided to demonstrate
that the presence of lure stimuli significantly affects the decision-making
criteria used to assess the performance of lexical vigilance tasks. We also
discuss the effect of lure stimuli on measures related to signal detection theory
(e.g., sensitivity and response bias).
PMID- 29356865
TI - Testing the perceptual equivalence hypothesis in mental rotation of 3D stimuli
with visual and tactile input.
AB - Previous studies on mental rotation (i.e., the ability to imagine objects
undergoing rotation; MR) have mainly focused on visual input, with comparatively
less information about tactile input. In this study, we examined whether the
processes subtending MR of 3D stimuli with both input modalities are perceptually
equivalent (i.e., when learning within-modalities is equal to transfers-of
learning between modalities). We compared participants' performances in two
consecutive task sessions either in no-switch conditions (Visual->Visual or
Tactile->Tactile) or in switch conditions (Visual->Tactile or Tactile->Visual).
Across both task sessions, we observed MR response differences with visual and
tactile inputs, as well as difficult transfer-of-learning. In no-switch
conditions, participants showed significant improvements on all dependent
measures. In switch conditions, however, we only observed significant
improvements in response speeds with tactile input (RTs, intercepts, slopes:
Visual->Tactile) and close to significant improvement in response accuracy with
visual input (Tactile->Visual). Model fit analyses (of the rotation angle effect
on RTs) also suggested different specification in learning with tactile and
visual input. In "Session 1", the RTs fitted similarly well to the rotation
angles, for both types of perceptual responses. However, in "Session 2", trend
lines in the fitting analyses changed in a stark way, in the switch and tactile
no-switch conditions. These results suggest that MR with 3D objects is not
necessarily a perceptually equivalent process. Specialization (and priming) in
the exploration strategies (i.e., speed-accuracy trade-offs) might, however, be
the main factor at play in these results-and not MR differences in and of
themselves.
PMID- 29356866
TI - Retrofitting baculoviral vector with Sleeping Beauty transposon system: competent
for long-term reporter gene imaging in vivo.
AB - Reporter gene imaging is widely used for non-invasively detecting tumorigenesis,
trafficking therapeutic cells, and monitoring treatment effect. Baculoviral
vectors (BVs) have been utilized as transgenic vectors in the reporter gene
imaging systems in recent years. However, BV-mediated report gene imaging can
only provide short-term investigation due to its transient transgene expression,
which is incompetent for the long-term applications. In the current study, we
reconstructed a series of hybrid BVs with several elements, to investigate the
feasibility of this hybrid BV-mediated long-term reporter gene imaging in vivo.
We showed that with the indispensable assistance of a positive-selection process,
hybrid BV containing Sleeping Beauty 100* (SB) transposon system (BV-SB) could
significantly prolong the enhanced green fluorescent protein (eGFP) expression
for at least 180 days in vitro at nearly 100% eGFP positive percentage and over
1011 arbitrary unit total fluorescence intensity, whereas other hybrid BV
mediated transgene expression gradually faded in only 20 days. Furthermore, BV-SB
mediated eGFP fluorescent reporter gene imaging monitored tumorigenesis in the
nude mice for at least 35 days. In addition, we exploited the glucagon-like
peptide 1 receptor (glp-1r) gene as a radionuclide reporter gene for in vivo
micro-PET imaging. At 50th day post-tumor transplantation, the micro-PET imaging
showed considerable radiotracer-receptor-binding in vivo, resulted by stable high
level of BV-SB-mediated GLP-1R expression in tumor. In summary, we retrofitted BV
with the SB transposon system to make it competent for the long-term reporter
gene imaging in vivo, which might broaden the application scopes of BV in the
long-term molecular imaging and other biomedicine research fields.
PMID- 29356867
TI - Effect of different CO2 concentrations on biomass, pigment content, and lipid
production of the marine diatom Thalassiosira pseudonana.
AB - The marine diatom Thalassiosira pseudonana grown under air (0.04% CO2) and 1 and
5% CO2 concentrations was evaluated to determine its potential for CO2 mitigation
coupled with biodiesel production. Results indicated that the diatom cultures
grown at 1 and 5% CO2 showed higher growth rates (1.14 and 1.29 div day-1,
respectively) and biomass productivities (44 and 48 mgAFDWL-1 day-1) than air
grown cultures (with 1.13 div day-1 and 26 mgAFDWL-1 day-1). The increase of CO2
resulted in higher cell volume and pigment content per cell of T. pseudonana.
Interestingly, lipid content doubled when air was enriched with 1-5% CO2.
Moreover, the analysis of the fatty acid composition of T. pseudonana revealed
the predominance of monounsaturated acids (palmitoleic-16:1 and oleic-18:1) and a
decrease of the saturated myristic acid-14:0 and polyunsaturated fatty acids
under high CO2 levels. These results suggested that T. pseudonana seems to be an
ideal candidate for biodiesel production using flue gases.
PMID- 29356868
TI - Enhancement of Schizochytrium DHA synthesis by plasma mutagenesis aided with
malonic acid and zeocin screening.
AB - Schizochytrium sp. accumulates valuable polyunsaturated fatty acid (PUFA), such
as docosahexaenoic acid (DHA). In order to increase DHA synthesis in this
microorganism, physical or chemical mutagenesis aided with powerful screening
methods are still preferable, as its DHA synthetic pathway has not yet been
clearly defined for gene manipulation. To breed this agglomerate microorganism of
thick cell wall and rather large genome for increasing lipid content and DHA
percentage, a novel strategy of atmospheric and room temperature plasma (ARTP)
mutagenesis coupled with stepped malonic acid (MA) and zeocin resistance
screening was developed. The final resulted mutant strain mz-17 was selected with
1.8-fold increased DHA production. Accompanied with supplementation of Fe2+ in
shake flask cultivation, DHA production of 14.0 g/L on average was achieved. This
work suggests that ARTP mutation combined with stepped MA and zeocin resistance
screening is an efficient method of breeding Schizochytrium sp. of high DHA
production, and might be applied on other microorganisms for obtaining higher
desired PUFA products.
PMID- 29356869
TI - Thymoquinone inhibits biofilm formation and has selective antibacterial activity
due to ROS generation.
AB - The present study was aimed to investigate the antibacterial potential and
antibiofilm activity of thymoquinone and its mechanism of action. Antibacterial
activity of thymoquinone was studied using minimum inhibitory concentration,
minimum bactericidal concentration, time-kill assay, and post-antibiotic effect.
Thymoquinone exhibited antibacterial activity against both Gram-negative and Gram
positive bacteria. In this study, the minimum inhibitory concentration was found
to be in the range of 1.56 to 100 MUg/ml. Scanning electron microscopy imaging
revealed changes in cell morphology with dents, cell lysis, and reduction in cell
size. Live/dead imaging using acridine orange and ethidium bromide confirmed the
bactericidal activity as treated bacteria showed selective uptake of ethidium
bromide over acridine orange. Cell viability was also studied using HaCaT (human
keratinocytes) cell line by MTT assay, and IC90 value was found to be 50 MUg/ml.
This IC90 value was higher than that of MICbacteria (except for MIC of E. coli),
demonstrating that its selectivity is higher towards bacteria than normal human
cells. Thymoquinone also showed promising antibiofilm activity against Gram
negative (E. coli and P. aeruginosa) and Gram-positive bacteria (B. subtilis and
S. aureus), which was studied by crystal violet assay, CFU method, and SEM. For
understanding the mechanism of action of thymoquinone, DiSC3, NPN, and ROS assay
was performed. DiSC3 and NPN assay has not shown any membrane damage whereas
bacterial cells treated with thymoquinone at MIC showed increased
dichlorofluorescin fluorescence, suggesting that the probable mechanism of action
of thymoquinone against bacterial cells is due to the production of reactive
oxygen species.
PMID- 29356870
TI - A multi-phase approach to select new wine yeast strains with enhanced
fermentative fitness and glutathione production.
AB - The genetic improvement of winemaking yeasts is a virtually infinite process, as
the design of new strains must always cope with varied and ever-evolving
production contexts. Good wine yeasts must feature both good primary traits,
which are related to the overall fermentative fitness of the strain, and
secondary traits, which provide accessory features augmenting its technological
value. In this context, the superiority of "blind," genetic improvement
techniques, as those based on the direct selection of the desired phenotype
without prior knowledge of the genotype, was widely proven. Blind techniques such
as adaptive evolution strategies were implemented for the enhancement of many
traits of interest in the winemaking field. However, these strategies usually
focus on single traits: this possibly leads to genetic tradeoff phenomena, where
the selection of enhanced secondary traits might lead to sub-optimal primary
fermentation traits. To circumvent this phenomenon, we applied a multi-step and
strongly directed genetic improvement strategy aimed at combining a strong
fermentative aptitude (primary trait) with an enhanced production of glutathione
(secondary trait). We exploited the random genetic recombination associated to a
library of 69 monosporic clones of strain UMCC 855 (Saccharomyces cerevisiae) to
search for new candidates possessing both traits. This was achieved by
consecutively applying three directional selective criteria: molybdate resistance
(1), fermentative aptitude (2), and glutathione production (3). The strategy
brought to the selection of strain 21T2-D58, which produces a high concentration
of glutathione, comparable to that of other glutathione high-producers, still
with a much greater fermentative aptitude.
PMID- 29356871
TI - Cold atmospheric pressure plasma causes protein denaturation and endoplasmic
reticulum stress in Saccharomyces cerevisiae.
AB - Cold atmospheric pressure plasma (CAP) does not cause thermal damage or generate
toxic residues; hence, it is projected as an alternative agent for sterilization
in food and pharmaceutical industries. The fungicidal effects of CAP have not yet
been investigated as extensively as its bactericidal effects. We herein examined
the effects of CAP on yeast proteins using a new CAP system with an improved
processing capacity. We demonstrated that protein ubiquitination and the
formation of protein aggregates were induced in the cytoplasm of yeast cells by
the CAP treatment. GFP-tagged Tsa1 and Ssa1, an H2O2-responsive molecular
chaperone and constitutively expressed Hsp70, respectively, formed cytoplasmic
foci in CAP-treated cells. Furthermore, Tsa1 was essential for the formation of
Ssa1-GFP foci. These results indicate that the denaturation of yeast proteins was
caused by CAP, at least partially, in a H2O2-dependent manner. Furthermore,
misfolded protein levels in the endoplasmic reticulum (ER) and the
oligomerization of Ire1, a key sensor of ER stress, were enhanced by the
treatment with CAP, indicating that CAP causes ER stress in yeast cells as a
specific phenomenon to eukaryotic cells. The pretreatment of yeast cells at 37
degrees C significantly alleviated cell death caused by CAP. Our results strongly
suggest that the induction of protein denaturation is a primary mechanism of the
fungicidal effects of CAP.
PMID- 29356873
TI - Clinical outcomes and costs of reusable and single-use flexible
ureterorenoscopes: a prospective cohort study.
AB - The purpose of this study is to analyze clinical outcomes and costs of single-use
flexible ureterorenoscopes in comparison with reusable flexible ureterorenoscopes
in a tertiary referral center. Prospectively, 68 flexible ureterorenoscopies
utilizing reusable (Flex-X2S, Flex-XC, Karl Storz) and 68 applying single-use
flexible ureterorenoscopes (LithoVue, Boston Scientific) were collected. Clinical
outcome parameters such as overall success rate, complication rates according to
Clavien-Dindo, operation time and radiation exposure time were measured. Cost
analysis was based on purchase costs and recurrent costs for repair and
reprocessing divided by number of procedures. In each group 68 procedures were
available for evaluation. In 91% of reusable and 88% of single-use
ureterorenoscopies stone disease was treated with a mean stone burden of 101 +/-
226 and 90 +/- 244 mm2 and lower pole involvement in 47 and 41%, respectively (p
> 0.05). Comparing clinical outcomes of reusable vs. single-use instruments
revealed no significant difference for overall success rates (81 vs. 87%), stone
free rates (82 vs. 85%), operation time (76.2 +/- 46.8 vs. 76.8 +/- 40.2 min),
radiation exposure time (3.83 +/- 3.15 vs. 3.93 +/- 4.43 min) and complication
rates (7 vs. 17%) (p > 0.05). A wide range of repair and purchase costs resulted
in total to $1212-$1743 per procedure for reusable ureterorenoscopy whereas price
of single-use ureterorenoscopy was $1300-$3180 per procedure. The current work
provided evidence for equal clinical effectiveness of reusable and single-use
flexible ureterorenoscopes. Partially overlapping ranges of costs for single-use
and reusable scopes stress the importance to precisely know the expenses and
caseload when negotiating purchase prices, repair prices and warranty conditions.
PMID- 29356874
TI - In vitro feasibility of next generation non-linear beamforming ultrasound methods
to characterize and size kidney stones.
AB - Ultrasound imaging for kidney stones suffers from poorer sensitivity, diminished
specificity, and overestimation of stone size compared to computed tomography
(CT). The purpose of this study was to demonstrate in vitro feasibility of novel
ultrasound imaging methods comparing traditional B-mode to advanced beamforming
techniques including plane wave synthetic focusing (PWSF), short-lag spatial
coherence (SLSC) imaging, mid-lag spatial coherence (MLSC) imaging with
incoherent compounding, and aperture domain model image reconstruction (ADMIRE).
The ultrasound techniques were evaluated using a research-based ultrasound system
applied to an in vitro kidney stone model at 4 and 8 cm depths. Stone diameter
sizing and stone contrast were compared among the different techniques. Analysis
of variance was used to analyze the differences among group means, with p < 0.05
considered significant, and a Student's t test was used to compare each method
with B-mode, with p < 0.0025 considered significant. All stones were detectable
with each method. MLSC performed best with stone sizing and stone contrast
compared to B-mode. On average, B-mode sizing error +/- SD was > 1 mm (1.2 +/-
1.1 mm), while those for PWSF, ADMIRE, and MLSC were < 1 mm (- 0.3 +/- 2.9 mm,
0.6 +/- 0.8, 0.8 +/- 0.8, respectively). Subjectively, MLSC appeared to suppress
the entire background thus highlighting only the stone. The ADMIRE and SLSC
techniques appeared to highlight the stone shadow relative to the background. The
detection and sizing of stones in vitro are feasible with advanced beamforming
methods with ultrasound. Future work will include imaging stones at greater
depths and evaluating the performance of these methods in human stone formers.
PMID- 29356875
TI - Upper metastable limit osmolality of urine as a predictor of kidney stone
formation in children.
AB - High fluid intake has been universally recommended for kidney stone prophylaxis.
We evaluated 24-h urine osmolality regarded as the best biomarker of optimal
hydration and upper metastable limit osmolality after water evaporation from
urine sample to the onset of spontaneous crystallization and its usefulness as a
new risk index that would describe an individual lithogenic potential. We
collected 24-h urine from 257 pediatric patients with kidney stones and 270
controls. After volume and osmolality assessment, the urine samples were
subjected to volume reduction in vacuum rotavapor continued to the onset of an
induced urinary crystallization. The upper metastable limit osmolality of urine
sample was calculated based on its initial osmolality value and the amount of
water reduction. Pediatric stone formers presented with higher urine volume and
lower urine osmolality than healthy controls. Despite that, their urine samples
required much lower volume reduction to induce the spontaneous crystallization
than those of controls. The ROC analysis revealed an AUC for the upper metastable
limit osmolality of 0.9300 (95% CI 0.9104-0.9496) for distinguishing between
stone formers and healthy subjects. At the cutoff of 2696 mOsm/kg, the test
provided sensitivity and specificity of 0.8638 and 0.8189, respectively. 24-h
urine osmolality provided the information about current hydration status, whereas
evaporation test estimated the urinary potential to crystalize dependent on urine
composition. Upper metastable limit osmolality may estimate the individual
lithogenic capability and identify people at risk to stone formation when exposed
to dehydration.
PMID- 29356876
TI - Safety of percutaneous nephrolithotomy in patients on chronic anticoagulant or
antiplatelet therapy.
AB - In developed countries, the incidence of cardiovascular disease is increasing,
therefore, anticoagulant and antiplatelet drugs are a widespread treatment
nowadays. Percutaneous nephrolithotomy (PNL) is the first-line treatment for
large or complex stones (> 2 cm) and remains an alternative for the smaller ones.
The objective of this study is to analyze whether PNL surgery is a safe procedure
in patients under a treatment discontinuation protocol for anticoagulant or
antiplatelet therapies. We retrospectively studied 301 patients who underwent PNL
in our hospital between 2008 and 2016 and identified 46 patients on chronic
antiplatelet or anticoagulation treatment. With respect to PNL outcomes, the
stone-free rate was similar (78 vs 74%, p = 0.762) in both groups, without any
significant differences in the overall postoperative complications (17 vs 26%, p
= 0.203). The incidence of hemorrhagic complications was similar between groups
(12 vs 9%, p = 0.492), as demonstrated by the mean drop in hemoglobin (Hb), which
was comparable in both cohorts (2.2 +/- 1.3 vs 2.0 +/- 1.4 p = 0.270) and the
blood transfusion rate (14% in group A and 8% in group B, p = 0.205). No
thromboembolic events were found within the year after the PNL procedure. PNL is
a safe and effective intervention in patients under a treatment discontinuation
protocol for anticoagulant or antiplatelet therapies. Although our study
demonstrates the feasibility of this protocol, new scientific evidence aims to
stratify the thromboembolic and bleeding risk of each patient to individualize
the perioperative management thereafter.
PMID- 29356877
TI - Cervical Cancer Induction Enhancement Potential of Chlamydia Trachomatis: A
Systematic Review.
AB - Human papillomavirus (HPV) persistent infection is the necessary but not
sufficient cause of cervical cancer. Other co-factors are required to induce cell
transformation that will evolve to malignant cervical cancer. These co-factors
include physical elements, other sexually transmitted infections, and immune
response. Chlamydia trachomatis the most common bacterial sexually transmitted
infection is often asymptomatic but causes various syndromes such as cervicitis,
endometritis, pelvic inflammatory disease, and infertility. It is established
that this bacterium is involved in cell proliferation process and inhibit
apoptosis. Furthermore, C. trachomatis may induce chronic inflammation, interfere
with immune response by decreasing the number of antigen presenting cells, and
reduce the cell-mediated immunity allowing the persistence of HPV. However, it is
unclear whether this bacterium plays a particular role in cervical cancer
induction. We therefore aimed at enlightening the actual knowledge about the
relationship between C. trachomatis and cervical cancer or precursor lesions
through a systematic literature review. We summarized and analyzed the
epidemiological data on C. trachomatis and its co-infection with HPV and their
association to cervical cancer.
PMID- 29356878
TI - Complete Genome Sequence of Pseudomonas Parafulva PRS09-11288, a Biocontrol
Strain Produces the Antibiotic Phenazine-1-carboxylic Acid.
AB - Rhizoctonia solani is a plant pathogenic fungus, which can infect a wide range of
economic crops including rice. In this case, biological control of this pathogen
is one of the fundmental way to effectively control this pathogen. The
Pseudomonas parafulva strain PRS09-11288 was isolated from rice rhizosphere and
shows biocontrol ability against R. solani. Here, we analyzed the P. parafulva
genome, which is ~ 4.7 Mb, with 4310 coding sequences, 76 tRNAs, and 7 rRNAs.
Genome analysis identified a phenazine biosynthetic pathway, which can produce
antibiotic phenazine-1-carboxylic acid (PCA). This compound is responsible for
biocontrol ability against R. solani Kuhn, which is one of the most serious
fungus disease on rice. Analysis of the phenazine biosynthesis gene mutant,
DeltaphzF, which is very important in this pathway, confirmed the relationship
between the pathway and PCA production using LC-MS profiles. The annotated full
genome sequence of this strain sheds light on the role of P. parafulva PRS09
11288 as a biocontrol bacterium.
PMID- 29356879
TI - New ultrasonographic evaluation of stool and/or gas distribution for treatment of
chronic constipation.
AB - PURPOSE: The first aim of this study was to develop a new ultrasonographic method
(US) to evaluate stool and/or gas distribution. The second aim was to apply this
method to compare stool and/or gas distribution between healthy subjects and
patients with chronic constipation and evaluate whether US parameters could be an
alternative to the colonic transit time (CTT). METHODS: We enrolled seven healthy
volunteers (four men, three women; mean age 29.3 +/- 5.2 years) who underwent US
and computed tomography (CT) on the same day to evaluate the reproducibility of
US results. We then enrolled 268 patients with chronic constipation (94 men, 174
women; mean age 63.3 +/- 4.2 years) and 66 age- and sex-matched healthy subjects
(controls). The transverse diameters of four segments of the colon [ascending
(AC), transverse (TC), descending (DC), and sigmoid (SC)] and the rectum (R) were
measured, and their stool and/or gas distribution was evaluated using the
constipation index (CI) [AC + TC + DC + SC + R/5] and left/right (L/R)
distribution [(DC + SC)/(AC + TC)]. The CTT was assessed using radiopaque
markers. RESULTS: All healthy subjects underwent US and CT successfully, with a
sufficiently high reproducibility coefficient for this method and significant
correlation between the US and CT parameters. The stool and/or gas distribution
evaluated by US showed a significant difference in one of the US parameters
between healthy subjects and patients, and the CI was an indirect indicator for
the CTT. CONCLUSIONS: These findings may assist physicians evaluate stool and/or
gas distribution of patients with chronic constipation, which is an indirect
indicator for CTT.
PMID- 29356880
TI - Anesthesia Technique for Cosmetic Breast Augmentation: Does One Size Fit All?
PMID- 29356881
TI - Temporal changes in outcomes of women and men undergoing percutaneous coronary
intervention for chronic total occlusion: 2005-2013.
AB - OBJECTIVE: Percutaneous coronary intervention (PCI) for chronic total occlusion
(CTO) has undergone impressive progress during the last decade, both in
strategies and equipment. It is unknown whether technical refinement has
translated into improved outcomes in women undergoing CTO-PCI. METHOD AND
RESULTS: A total of 2002 consecutive patients (17% females, mean age 65.2 +/-
10.7 years) undergoing PCI of at least one CTO lesion at our center between
01/2005 and 12/2013 were evaluated. The incidence of adverse events was compared
between two time series (2005-2009 and 2010-2013). A significant increase in
adverse lesion characteristics over time was noted in both, women and men (p <
0.001), while technical success rates significantly increased in men but not in
women (ptrend < 0.001 in men and ptrend=0.9 in women). The incidence of
procedural complications was significantly higher in women as compared to men and
increased over the study period in women (p < 0.05) but not in men. Accordingly,
multivariate logistic regression analysis identified female sex as a strong
predictor of PCI-related complications in recent years, while this was not the
case in earlier years (adjusted HR 2.03, 95% CI 0.62-6.6, p = 0.2 and adjusted HR
4.7, 95% CI 1.8-12.3, p = 0.002, respectively, p < 0.001 for log LH ratio). In
addition, major adverse cardiovascular events (MACE) after a 3-year follow-up
significantly declined in men (log rank = 0.046), while no changes were observed
in women. CONCLUSION: While higher success rates and a reduced rate of MACE have
been achieved in men, the incidence of procedural complications in women
undergoing CTO-PCI has increased over time.
PMID- 29356882
TI - Spanish transcultural adaptation and validation of the English version of the
compliance questionnaire in rheumatology.
AB - To perform a transcultural adaptation and validation of a Spanish version of the
compliance questionnaire in rheumatology (sCQR). In this transversal study of
transcultural adaptation of the sCQR, validity was evaluated in patients with
rheumatoid arthritis (RA) and a minimum 6-month follow-up by determining
compliance with the electronic prescription system in consuming steroids or
nonbiologic disease-modifying antirheumatic drugs. A two-week retest was proposed
to all patients. All patients completed the health assessment questionnaire
(HAQ), and the Morisky-Green test was also performed. Reliability was analyzed
using Cronbach's alpha and the intraclass correlation coefficient (ICC).
Convergent construct validity was tested in the electronic prescription system
using discriminative analysis, and divergent construct validity was tested by
comparing it to the HAQ. Sensitivity, specificity and ROC curves were evaluated
for the sCQR and the Morisky-Green test. Of 123 recruited patients, 101 fulfilled
the inclusion criteria, and 61 were on biologic therapy. 23 performed the retest.
Test-retest reliability (ICC) was 0.76 (Cronbach's alpha 0.86). Multiple
regression analysis showed correlation with each item of the sCQR as independent
variables (r2 = 0.60). No correlation was seen between total score punctuation of
the sCQR and the HAQ (r2 = 0.22). Discriminative analysis weighting each sCQR
item showed a cutoff point of - 0.9991 (sensibility and 58.8%, specificity
98.3%). The likelihood ratio of the sCQR to detect <= 80% adherence with
electronic prescriptions was 35.3. The Morisky-Green test revealed sensibility
and specificity were 29.4 and 83.3%, respectively. This study validates the
transcultural adaptation of sCQR in RA patients. A high reliability of sCQR for
measuring adherence was found. Its predictive value suggests that it could be
used as a screening instrument.
PMID- 29356883
TI - Evaluation of ITGB2 (CD18) and SELL (CD62L) genes expression and methylation of
ITGB2 promoter region in patients with systemic sclerosis.
AB - Systemic sclerosis (SSc), an autoimmune disease of connective tissue, is
characterized by inflammation, fibrosis, and vessel endothelial damage. Products
of Integrin subunit beta 2 (ITGB2) and selectin L (SELL) genes participate in
several functional pathways of immune system. The aim of this investigation was
to survey the transcript level of ITGB2 and SELL genes as well as methylation
status of CpG sites in promoter region of differently expressed gene in PBMCs of
SSc patients. PBMCs were isolated from whole blood of 50 SSc patients and 30
healthy controls. Total RNA and DNA contents of PBMCs were extracted. Gene
expression was analyzed by real-time PCR using the SYBR Green PCR Master Mix. To
investigate the methylation status of CpG sites, DNA samples were treated by
bisulfite, amplified through nested PCR, and sequenced through Sanger difficult
sequencing method. ITGB2 gene in PBMCs of SSc patients was overexpressed
significantly in comparison to healthy controls. However, no altered SELL
expression was observed. Three CpG sites of 12, 13 and 14 were significantly
hypomethylated in patients group, despite overall methylation status of ITGB2
gene promoter revealed no significant difference between study groups. There was
no statistically significant correlation between methylation status of ITGB2
promoter and the gene expression in patients. Regarding to lack of correlation of
increased expression of ITGB2 with its promoter hypomethylation in SSc patients,
our study suggests that upregulation of ITGB2 in PBMCs from SSc patients is
probably due to another mechanism other than methylation alteration.
PMID- 29356884
TI - Correction to: Impacts and Policy Implications of Metals Effluent Discharge into
Rivers within Industrial Zones: A Sub-Saharan Perspective from Ethiopia.
AB - The original version of this article unfortunately contained mistakes in the
Tables (1 and 2) caption and article title is updated.
PMID- 29356886
TI - The XEN45 Gel Stent as a minimally invasive procedure in glaucoma surgery:
success rates, risk profile, and rates of re-surgery after 261 surgeries.
AB - PURPOSE: The XEN45 Gel Stent is a flexible hydrophilic tube placed under the
conjunctiva via the anterior chamber. This study investigates the IOP
(intraocular pressure)-lowering potential, the risk profile, and the success rate
of the XEN45 Gel Stent. METHODS: Two hundred and sixty-one eyes underwent
surgery. The mean follow-up time was 8.5 months. The aim of the treatment was to
achieve adequate IOP reduction without medication. Therefore, all patients who
did not show sufficiently reduced IOP underwent a surgical revision with opening
of the conjunctiva. To determinate the success rate, we carried out two kinds of
analysis: 1) the primary success rate: eyes with appropiate IOP control without
medication or surgical revision, and 2) overall success rate: one surgical
revision was allowed. RESULTS: Intraocular pressure was lowered from 24.3 mmHg
(SD 6.6) to 16.8 mmHg (SD 7.6), and the medication score was lowered from 2.6 (SD
1.1) to 0.2 (SD 0.7). Revisional surgery was performed in 80 eyes (34%). After a
first revision, intraocular pressure was lowered to 14.0 mmHg (SD 5.1), and the
medication score was lowered to 0.2 (SD 0.6). The primary success rate was 66%
and the overall success rate 90%. The primary success rate was higher in
pseudophakic eyes (73%) than in phakic eyes (53%) or combined surgery (55%).
CONCLUSIONS: We conclude from our data that the XEN45 Gel Stent has an IOP
lowering potential and few side-effects. Pseudophakic eyes seem to have a better
primary prognosis compared to combined surgery or surgery in phakic eyes.
PMID- 29356888
TI - The use of complementary and alternative medicine by patients in routine care and
the risk of interactions.
AB - BACKGROUND: Patients suffering from cancer often make use of complementary and
alternative medicine (CAM). Only few data exist on the prevalence and clinical
significance of interactions of a biological CAM method and conventional drugs.
METHODS: From February 2014 to March 2016, consecutive patients from five
oncological practices in Germany were asked to fulfill a standardized
questionnaire regarding use of CAM. Data on diagnosis, date of first diagnosis,
ECOG and the past and current treatment were derived from the patients' files.
Interactions were evaluated by systematically using a database on potential
interactions. RESULTS: From 1000 patients asked to participate, we received a
total of 720 questionnaires of which 711 were completed and eligible for
evaluation. 29% of the patients reported any CAM usage. Women showed a
significantly higher use of CAM with 35.6 versus 23.6% of men. For 54.9% of CAM
users (15.9% of all patients), we found a combination of conventional drugs and
biological based CAM methods with a risk for interactions. Vitamins A, C and E
were the most frequently used CAM substances in these cases (39.3%), followed by
herbs with 17.5%. CONCLUSION: There was a risk of interactions between a
biological CAM method and conventional drugs in 54.9% of the patients using CAM.
To raise knowledge on interactions a better training for doctors with respect to
CAM is strongly needed. Furthermore, patients' awareness should also be raised
and communication between physician and patient on the topic improved.
PMID- 29356887
TI - Risk for cancer in living kidney donors and recipients.
AB - OBJECTIVE: Malignancy following renal transplantation remains inconsistent with
the reported safety of kidney donation during the long-term follow-up. METHODS:
We conducted searches of the published literature which included healthy
participants, recipients, living kidney donors (LKDs), and the availability of
outcome data for malignancy. Eight from 938 potentially relevant studies were
analyzed by means of fixed-effects model or random-effects model, as
appropriately. RESULTS: In 48,950 participants, the follow-up range was 18 months
to 20 years, and the mean age of the subjects was approximately 41 years. The
incidence rate with 95% confidence interval (CI) for malignancy after kidney
transplantation was 0.03 (0.01-0.05) in recipients and 0.03 (0.1-0.07) in LKDs,
giving a pooled incidence rate of 0.03 (95% CI 0.02-0.04). LKDs contrasted
nondonors by the overall odds ratio and 95% CI for total cancer of 2.80 (2.69
2.92). CONCLUSIONS: Kidney transplantation was associated with an increased risk
of cancer during a long-term follow-up. Long-term risk for cancer in LKDs and
kidney recipients should be monitored.
PMID- 29356889
TI - National changes in pediatric tracheotomy epidemiology during 36 years.
AB - BACKGROUND: Information on the incidence, indications and morbidity of pediatric
tracheotomy from a nationwide setting is sparse. METHODS: From the nationwide
Danish National Patient Registry, we identified all cases: 0-15-year-old children
registered with a first-time tracheotomy from 1979 to 2014. We extracted the date
of surgery, admission, discharge, age, gender, hospital, department,
hospitalization length, hospital contacts, and diagnosis-code related to the
surgery. We estimated age-adjusted incidence rates (AAIR) and annual (APC) and
average annual percentage change (AAPC) of tracheotomy incidence. RESULTS: A
total of 510 children (328 boys, 63%) underwent tracheotomy. The median age at
surgery was 8 years. The AAIR was 1.4/100,000 person-years (range 1.0-1.8) from
1980 to 2014. During 1979-2014, the AAPC decreased - 0.9% (95% confidential
interval - 2.4; 0.8, p < 0.3). From 1979 to 2003 the APC decreased - 4.1% (95% CI
- 5.4; - 2.8, p < 0.001) and from 2003 to 2014 the APC increased 6.6% (95% CI
2.0; 11.5, p < 0.001). Infants had the highest incidence (4.0/100,000 years)
compared with the 12-15-year-olds (AAIR: 0.4/100,000 years). From 1979 to 2014
the most common indication for tracheotomy among children aged 0-2 years was
congenital malformations (n = 48, 30%) and among children aged 3-11 and 12-15
years the most common indication was trauma (respectively n = 67, 36% and n = 85,
52%). During 2006-2014 the most common indications for all ages was neurological
impairment (n = 25, 21%) and neoplasms (n = 20, 17%). CONCLUSIONS: Pediatric
tracheotomy was a rare surgical procedure with decreasing incidence rates from
1980-89 to 1990-99 and increasing incidence rates from 2000-2009 to 2010-2014.
Indications and postoperative morbidity have changed adjunct to the treatment of
chronic disorders.
PMID- 29356890
TI - Patterns of care and impact of prognostic factors in the outcome of NUT midline
carcinoma: a systematic review and individual patient data analysis of 119 cases.
AB - INTRODUCTION: NUT midline carcinoma is a rare tumour occurring in young adults
which is frequently misdiagnosed as poorly differentiated squamous cell carcinoma
or germ cell tumour. Though considered highly aggressive, there is limited
information about the clinical behaviour of such patients. We intended to perform
this review of published literature to assess the demographic profile, pattern of
care and assess survival outcomes. METHODS: Two authors independently searched
PubMed and Google search for eligible studies from 1950 till July 1 2017
published in English language using MESH terms NUT midline carcinoma; NUT midline
carcinoma and radiotherapy and translocation 15:19 tumour. RESULTS: Data of 119
patients were retrieved from 64 publications for statistical analysis. Median age
of the entire cohort was 23 years (range 0-68 years). The analysis revealed equal
incidence in males and females (60:58). The present analysis revealed that the
most common location is the lung (n = 42) followed by head and neck (n = 40).
Median OS for the entire cohort was only 5 months with 1 and 5 year OS for the
entire cohort was 24.99 and 7.09% respectively. Radiotherapy and chemotherapy
inclusion in primary treatment had a significant impact on overall survival on
univariate analysis while surgery did not affect survival significantly. No
impact on overall survival was found based on type of molecular translocation,
i.e., NUT-BRD4, NUT-BRD3 or other variants. Inadequate data were available for
identify impact of BET inhibitors and HiDAc on PFS and OS. CONCLUSION: NUT
midline carcinoma has dismal prognosis. Radiotherapy and chemotherapy improves
survival, but do not provide long term control except in anecdotal cases. Further
research is needed to improve outcomes in future.
PMID- 29356891
TI - High immune cell score predicts improved survival in pancreatic cancer.
AB - Increasing evidence suggests that cancer progression is strongly influenced by
host immune response, which is represented by immune cell infiltrates. T
lymphocyte-based immunoscore has proved to be a prognostic factor in colon
cancer, but its significance in pancreatic cancer is poorly known. Total of 108
patients operated (R0/R1) for pancreatic ductal adenocarcinoma (PDAC) (TNM stage
I-II) were included in the study. Immune cell score (IS) was determined by
scoring the samples from grade 0 to 4 according to the number of immune cells
(CD3+ and CD8+) in tumor core and invasion margin using tissue microarrays,
immunohistochemistry, and digital analysis. Tumors with microsatellite
instability were identified by MLH1 immunostaining. High IS and low histological
grade were significantly associated with better disease-specific survival (DSS)
and overall survival (OS). The 5-year DSS rate for low, moderate, and high IS
groups were 5.0, 15.2, and 33.4%, respectively (p = 0.007). The 5-year OS rate
for the low, moderate, and high IS groups were 4.2, 13.4, and 31.5%, respectively
(p = 0.004). In addition, IS and prognosis varied within a single TNM stage.
There was no association between IS and any of the clinicopathological variables.
IS was shown to be an independent prognostic factor for better DSS and OS in
multivariate analysis, together with the histological grade of the tumor and
perineural invasion. Five MLH1-negative tumors (4.6%) were found showing no
correlation with IS. IS could be a useful prognostic marker in patients with PDAC
treated by primary surgery.
PMID- 29356892
TI - Skin depigmenting action of silkworm (Bombyx mori L.) droppings in zebrafish.
AB - The excrement of silkworms (Bombyx mori L.), referred to here as silkworm
droppings (SDs), is used as a traditional drug in eastern medicine to treat skin
diseases such as urticaria and atopy. However, the depigmentation effects of SDs
have not previously been evaluated. We focused on the depigmentation effect of a
methanol extract of SDs and isolated components of the extract using a zebrafish
model system. (+)-Dehydrovomifoliol (M-1), (6R,7E,9R)-9-hydroxy-4,7
megastigmadien-3-one (M-2), (3S,5R,8R)-3,5-dihydroxymegastigma-6,7-dien-9-one (M
3), roseoside (M-4), and citroside A (M-5) were isolated from only SDs extract
(SDE), and chemical structures were identified through spectroscopic methods.
Toxicity of SDE was evaluated by assessing its effect on the viability of human
fibroblast cells and the hatching rate of zebrafish embryos. In addition, the
depigmentation ability of SDE and isolated constituents was evaluated using a
zebrafish model. Binary threshold, histograms, and the size of the black spots on
the dorsal region of zebrafish larvae were analyzed using image analysis tools.
Finally, SDE is a non-toxic material and has a dose-dependent depigmentation
effect in zebrafish larvae. Moreover, various doses of compounds isolated from
SDE, namely, M-1 to M-5, had a depigmentation effect. In particular, M-5
inhibited melanin synthesis in melanocytes stimulated by alpha-melanocyte
stimulating hormone (alpha-MSH). Together, our results suggest that SDs can be
used for depigmentation purposes in health and/or cosmetic applications.
PMID- 29356893
TI - Attentional control transfers beyond the reference frame.
AB - Much research has shown that humans can allocate attentional control
differentially to multiple locations based on the amount of conflict historically
associated with a given location. Additionally, once established, these control
settings can transfer to nearby locations that themselves have no conflict bias.
Here we examined if these control settings also extend to nearby locations that
are presented outside of the original frame of reference of biased stimuli.
During training, participants first responded to biased flanker stimuli that were
likely high conflict in one location and low conflict in another location. Then
they were exposed to two sets of unbiased stimuli presented in novel transfer
locations outside of the established reference frame of biased stimuli. Across
three experiments, attentional control settings transferred beyond the reference
frame including when there was a visual border (Experiment 2) or meaningful
categorical distinction (Experiment 3) delineating training and transfer
locations. These novel findings further support the idea that stimulus-driven
attention control can be flexibly allocated, perhaps in a categorical manner.
PMID- 29356894
TI - Development of Thinopyrum ponticum-specific molecular markers and FISH probes
based on SLAF-seq technology.
AB - MAIN CONCLUSION: Based on SLAF-seq, 67 Thinopyrum ponticum-specific markers and
eight Th. ponticum-specific FISH probes were developed, and these markers and
probes could be used for detection of alien chromatin in a wheat background.
Decaploid Thinopyrum ponticum (2n = 10x = 70) is a valuable gene reservoir for
wheat improvement. Identification of Th. ponticum introgression would facilitate
its transfer into diverse wheat genetic backgrounds and its practical utilization
in wheat improvement. Based on specific-locus-amplified fragment sequencing (SLAF
seq) technology, 67 new Th. ponticum-specific molecular markers and eight Th.
ponticum-specific fluorescence in situ hybridization (FISH) probes have been
developed from a tiny wheat-Th. ponticum translocation line. These newly
developed molecular markers allowed the detection of Th. ponticum DNA in a
variety of materials specifically and steadily at high throughput. According to
the hybridization signal pattern, the eight Th. ponticum-specific probes could be
divided into two groups. The first group including five dispersed repetitive
sequence probes could identify Th. ponticum chromatin more sensitively and
accurately than genomic in situ hybridization (GISH). Whereas the second group
having three tandem repetitive sequence probes enabled the discrimination of Th.
ponticum chromosomes together with another clone pAs1 in wheat-Th. ponticum
partial amphiploid Xiaoyan 68.
PMID- 29356895
TI - Management of spinal infection: a review of the literature.
AB - Spinal infection (SI) is defined as an infectious disease affecting the vertebral
body, the intervertebral disc, and/or adjacent paraspinal tissue and represents 2
7% of all musculoskeletal infections. There are numerous factors, which may
facilitate the development of SI including not only advanced patient age and
comorbidities but also spinal surgery. Due to the low specificity of signs, the
delay in diagnosis of SI remains an important issue and poor outcome is
frequently seen. Diagnosis should always be supported by clinical, laboratory,
and imaging findings, magnetic resonance imaging (MRI) remaining the most
reliable method. Management of SI depends on the location of the infection (i.e.,
intraspinal, intervertebral, paraspinal), on the disease progression, and of
course on the patient's general condition, considering age and comorbidities.
Conservative treatment mostly is reasonable in early stages with no or minor
neurologic deficits and in case of severe comorbidities, which limit surgical
options. Nevertheless, solely medical treatment often fails. Therefore, in case
of doubt, surgical treatment should be considered. The final result in
conservative as well as in surgical treatment always is bony fusion. Furthermore,
both options require a concomitant antimicrobial therapy, initially applied
intravenously and administered orally thereafter. The optimal duration of
antibiotic therapy remains controversial, but should never undercut 6 weeks. Due
to a heterogeneous and often comorbid patient population and the wide variety of
treatment options, no generally applicable guidelines for SI exist and management
remains a challenge. Thus, future prospective randomized trials are necessary to
substantiate treatment strategies.
PMID- 29356896
TI - The search for attitude-a hidden curriculum assessment from a central European
perspective.
AB - BACKGROUND: Little is known about the development of the hidden curriculum in the
medical education system. It refers to a conglomeration of implicit beliefs,
attitudes and forms of conduct that are unwittingly transmitted from one
generation of teaching physicians to the next. How can we describe this process,
what are the potential positive or negative impacts, and last but not least, how
can we measure it? METHODS: Students of the Medical University of Vienna complete
their clinical rotations in Vienna and in other accredited, mostly central
European hospitals. They were subsequently invited to evaluate their rotations in
an online questionnaire regarding dimensions, such as professionalism, teaching,
integration and appreciation. RESULTS: In total, 133 students participated in
this pilot study and the average response rate was 10.1%, similar to evaluations
conducted prior to that. Although the evaluation results on average were
positive, several experiences of deprecation and less professional conduct were
present in each evaluated rotation. Giving the students the opportunity to
reflect upon their experiences could be seen as an intervention and investigation
at the same time. CONCLUSIONS: This survey serves as a precursor to a qualitative
interview-based study, accompanying the implementation of case-based learning
designed by collaborating residents and medical students. The findings of this
pilot-study support the necessity of fostering a reflective capacity in the
education of medical students, enabling them to speak up and live up to the
expected professionalism despite shortcomings within the hidden curriculum.
PMID- 29356898
TI - Vitamin D pathway gene polymorphisms and hepatocellular carcinoma in chronic
hepatitis C-affected patients treated with new drugs.
AB - PURPOSE: Since HCV infection may lead to hepatocellular carcinoma (HCC) and
vitamin D (deficiency) is related to cancer, we investigated if SNPs in genes
involved in vitamin D pathway could predict HCV-related HCC presence in patients
treated with new anti-HCV drugs. METHODS: Patients with chronic hepatitis C and
treated with direct-acting antivirals were enrolled. SNPs in VDR, CYP27B1,
CYP24A1 and GC genes were assessed through real-time PCR. 258 patients were
analyzed. RESULTS: HCC was present in six patients, all taking sofosbuvir, all
males and five/six had cirrhosis. HCV-RNA log levels at baseline were
statistically different between patients with and without HCC. VDR FokI T > C SNP
resulted associated with HCC: all the CC patients were free from HCC. An
association between HCC presence and undetectable HCV-RNA at 1 month of therapy
was suggested; cirrhosis was related to HCC. HCC risk factors were age, ribavirin
administration, IL28Brs12979860CC and previous treatments; VDR FokICC, sex and
insulin resistance were protective factors. CONCLUSIONS: These data highlighted
vitamin D pathway gene SNPs and HCC relationship in the Italian population;
further studies are required.
PMID- 29356897
TI - Inter-individual variation in adaptations to endurance and resistance exercise
training: genetic approaches towards understanding a complex phenotype.
AB - Exercise training which meets the recommendations set by the National Physical
Activity Guidelines ensues a multitude of health benefits towards the prevention
and treatment of various chronic diseases. However, not all individuals respond
well to exercise training. That is, some individuals have no response, while
others respond poorly. Genetic background is known to contribute to the inter
individual (human) and -strain (e.g., mice, rats) variation with acute exercise
and exercise training, though to date, no specific genetic factors have been
identified that explain the differential responses to exercise. In this review,
we provide an overview of studies in human and animal models that have shown a
significant contribution of genetics in acute exercise and exercise training
induced adaptations with standardized endurance and resistance training regimens,
and further describe the genetic approaches which have been used to demonstrate
such responses. Finally, our current understanding of the role of genetics and
exercise is limited primarily to the nuclear genome, while only a limited focus
has been given to a potential role of the mitochondrial genome and its
interactions with the nuclear genome to predict the exercise training-induced
phenotype(s) responses. We therefore discuss the mitochondrial genome and
literature that suggests it may play a significant role, particularly through
interactions with the nuclear genome, in the inherent ability to respond to
exercise.
PMID- 29356900
TI - Structural insights on bioremediation of polycyclic aromatic hydrocarbons using
microalgae: a modelling-based computational study.
AB - Research on bioremediation of polycyclic aromatic hydrocarbons (PAHs) has
established that several remediating microbial species are capable of degrading
only low molecular weight (LMW)-PAHs, whereas high molecular weight (HMW)-PAHs
are hardly degradable. In the present study, the efficiency of degradation of
both LMW and HMW-PAHs by cytochrome P450 monooxygenase (CYP) of microalgae was
studied. CYP have a key role in the detoxification of xenobiotics. So far, the
structure of CYP in microalgae is not predicted; the protein structure was
constructed by molecular modelling in the current study using the available
template of microalgal CYP. Modelled microalgae 3D structures were docked against
38 different PAH compounds, and the information regarding the interaction between
protein and PAHs viz. binding sites along with mode of interactions was
investigated. We report that CYP from the microalgae Haematococcus pluvialis and
Parachlorella kessleri was found to possess broad oxidising capability towards
both LMW and HMW-PAHs. P. kessleri showed a least value with extra precision
glide score of - 10.23 and glide energy of - 23.48 kcal/mol. PAHs bind to CYP
active sites at Lys 69, Trp 96, Gln 397 and Arg 398 through intermolecular
hydrogen bonding. Also, study revealed that PAHs interacted with CYP active sites
through intermolecular hydrogen bonding, hydrophobic bonding, pi-pi interactions
and van der waals interactions. Thus, structural elucidation study confirms that
microalgae Parachlorella kessleri have the capacity to remediate HMW more
efficiently than other microorganisms. Our results provide a framework in
understanding the structure and the possible binding sites of CYP protein for
degradation of PAH and that could be a screening tool in identifying the
phycoremediation potential.
PMID- 29356899
TI - Mindfulness vs psychoeducation in adult ADHD: a randomized controlled trial.
AB - BACKGROUND: Mindfulness training is a promising treatment approach in adult ADHD.
However, there has not yet been a randomized controlled trial comparing
mindfulness to an active control condition. In this study, we assessed the
efficacy of a mindfulness training program (MAP) compared to structured
psychoeducation (PE). METHODS: After randomization 81 medication-free adult ADHD
patients participated either in an 8-week MAP or PE group program. At baseline
(T1), after 8 weeks (T2) and after 8 months (T3), severity of ADHD and associated
symptoms (depression, general psychopathology, quality of life) were measured
with the Conner's ADHD Rating Scales (CAARS), the Beck Depression Inventory
(BDI), the Brief Symptom Inventory (BSI) and the SF-36 by self and blind observer
ratings. RESULTS: Both groups showed significant pre-post improvements in
observer-rated Inattention scale (p < .001, partial eta2 = 0.18) and in
associated symptomatology, which persisted through 6 months of follow-up. There
were no significant differences regarding symptom reduction between the treatment
groups. Women benefited more compared to men irrespective of treatment group. Men
showed the most pronounced changes under MAP. CONCLUSIONS: In the current study,
MAP was not superior to PE regarding symptom reduction in adult ADHD. Both
interventions, mindfulness meditation and PE, were efficacious in reducing
symptom load in adult ADHD. Furthermore in exploratory post hoc tests the study
provides evidence for a potential gender-specific treatment response in adult
ADHD.
PMID- 29356901
TI - Glycine enhances expression of adiponectin and IL-10 in 3T3-L1 adipocytes without
affecting adipogenesis and lipolysis.
AB - Glycine supplementation has been reported to enhance white-fat loss and improve
sensitivity to insulin in animals with obesity or type 2 diabetes. However, the
underlying mechanisms responsible for the beneficial effects of glycine remain
largely unknown. The purpose of this study was to test the hypothesis that
glycine regulates adipocyte differentiation, adipogenesis, and lipolysis,
therefore, contributing to white-fat reduction. 3T3-L1 pre-adipocytes were
induced to differentiate into adipocytes in the presence of glycine (0, 0.25,
1.0, and 2.0 mmol/L) or resveratrol (50 or 100 MUmol/L, served as a positive
control) during the differentiation process. Hela and HepG2 cells cultured with
oleic acid to induce lipid accumulation in the presence of glycine (0, 1.0, and
2.0 mmol/L) or 10 MUmol/L isoproterenol (served as a positive control) for 24 h.
Intracellular lipid accumulation, intracellular triglycerides, lipid droplets'
diameters of mature adipocytes, mRNA, and protein levels of genes involved in the
adipogenesis and lipolysis were analyzed. Isobutylxanthine-dexamethasone-insulin
(MDI)-induced adipogenesis in 3T3-L1 cells were blocked by resveratrol, but not
by glycine, as shown by decreased lipid contents, reduced diameters of lipid
droplets, decreased protein abundances for peroxisome proliferator-activated
receptor gamma (PPARgamma), CCAAT-enhancer-binding protein alpha (C/EBPalpha), as
well as increased protein abundance of peroxisome proliferator-activated receptor
coactivator-1alpha (PGC-1alpha), critical transcriptional factors that regulates
adipogenesis. However, the mRNA levels of adiponectin and interleukin-10 (IL-10),
two adipose-derived adipocytokines with anti-inflammatory effects, were greatly
enhanced (P < 0.05) by 2 mmol/L glycine. Compared with non-treated controls, 10
MUmol/L isoproterenol significantly decreased (P < 0.05) the intracellular lipid
and triglyceride contents induced by oleic acid in Hela and HepG2 cells. mRNA
level of fatty acid synthase (FASN), a gene involved in fatty acid synthesis, was
significantly reduced (P < 0.05), while that for ATGL (adipose triglyceride
lipase) and HSL (hormone-sensitive lipase), genes involved in lipolysis were
significantly enhanced (P < 0.05) by isoproterenol. However, oleic acid induced
the accumulation of intracellular triglyceride and lipid contents were not
affected by glycine. In conclusion, glycine exposure enhanced the mRNA levels of
adipose-derived adiponectin and IL-10 without affecting adipogenesis and
lipolysis in 3T3-L1 adipocytes. These findings provide a possible explanation for
the anti-obesity and anti-diabetic effects of glycine that were previously
reported in animal models. More studies are needed to uncover the underlying
mechanisms responsible for this regulatory effect of glycine on anti-inflammatory
adipocytokines expression in both in vitro and in vivo models.
PMID- 29356902
TI - The effects of road building on arbuscular mycorrhizal fungal diversity in
Huangshan Scenic Area.
AB - Arbuscular mycorrhizal (AM) fungi are vital soil microbes that connect many
individual plants into a large functional organism via a vast mycelial network
under the ground. In this study, the changes of soil AM fungal community in
response to road-building disturbance caused by tourism development in Huangshan
(Yellow Mountain) Scenic Area are assessed. Road building have brought negative
effects on AM fungal community, inducing lower diversity parameters, including
species number, spore density and diversity indices. However, the dominant genus
and species of AM fungi which play key roles in the AM fungal community
composition are quite similar before and after road building. Moreover, there are
no significant differences in species richness of AM fungi associated with
plants, suggesting the tolerance of AM fungal community to the disturbance of
road building.
PMID- 29356904
TI - An orangutan in Paris: pondering Proximity at the Museum d'histoire naturelle in
1836.
AB - When the Museum d'histoire naturelle in Paris learned in 1836 that it had the
chance to buy a live, young orangutan, it was excited by the prospect. Specimens
were the focus of the Museum's activities, and this particular specimen seemed
especially promising, not only because the Museum had very few orangutan
specimens in its collection, but also because of what was perceived to be the
orangutan's unique place in the natural order of things, namely, at the very
boundary between the animal kingdom and humans. Frederic Cuvier, the
superintendent of the Museum's menagerie, urged that studying the orangutan's
mental faculties would help resolve fundamental questions regarding the
similarities and differences between animals and humans. Archival and printed
sources allow one to reconstruct the orangutan's capture, acquisition, and
subsequent career at the menagerie in greater detail than has generally been
possible for animals of nineteenth-century zoos. Scientists, artists, the public,
the press, and even musicians (Franz Liszt included) sought to engage with the
orangutan, seeing in it not just another ape or monkey but a special creature
unto itself at the animal/human boundary. Key to their fascination with the
orangutan was the question of proximity-just how close was the orangutan to
humans? The orangutan's story illuminates not only how the animal-human boundary
was conceived at the time but also the problematic status of the zoo as a site
for scientific research and the roles of scientific and non-scientific actors
alike in constructing how the orangutan was understood.
PMID- 29356903
TI - Tubercular retinal vasculitis mimicking frosted branch angiitis: a case report.
AB - BACKGROUND: Tubercular vasculitis is an important manifestation of ocular
tuberculosis and this report highlights the mimicking nature of the disease with
frosted branch angiitis. RESULTS: A patient presented with a severe form of
retinal vasculitis in both eyes and a branch retinal vein occlusion in the left
eye. He had a positive tuberculin skin test (TST) and a raised erythrocyte
sedimentation rate (ESR) and serum angiotensin-converting enzyme (ACE) levels.
Radiological investigations revealed a sub-pleural nodule and mediastinal lymph
nodes, which on histopathological evaluation confirmed a granulomatous etiology.
CONCLUSION: Retinal vasculitis secondary to tubercular etiology may mimic a viral
vasculitis; however, a clinical suspicion with a timely diagnosis and management
helps in preventing loss of vision and the eye.
PMID- 29356905
TI - Actinidia callosa var. callosa suppresses metastatic potential of human hepatoma
cell SK-Hep1 by inhibiting matrix metalloproteinase-2 through PI3K/Akt and MAPK
signaling pathways.
AB - BACKGROUND: Cancer cell metastasis involving multi-step procedures and
cytophysiological property changes may make difficult in the clinical management
and death rate increasing. RESULTS: In this study, we first observed that ethyl
acetate fraction of Actinidia callosa var. callosa (EAAC) carry out a dose
dependent inhibitory effect without cytotoxicity on the mobility and invasion of
highly metastatic SK-Hep1 cells. To investigate the EAAC in cancer metastasis, SK
Hep1 cells were treated with EAAC at various concentrations and then subjected to
gelatin zymography, casein zymography and western blot to study the impacts of
EAAC on metalloproteinase-2 (MMP-2) and tissue inhibitor of metalloproteinase-1/2
(TIMP-1/2), respectively. Our results showed that EAAC treatment may decrease the
expressions of MMP-2 and enhance the expression of TIMP-1/2 in a concentration
dependent manner. EAAC also inhibited effect on the phosphorylation of mitogen
activated protein kinase (MAPK) and phosphatidylinositol-3
kinase/serine/threonine protein kinase [or protein kinase B (PI3K/Akt)] and focal
adhesion kinase (FAK). CONCLUSIONS: These results indicate that EAAC inhibited SK
Hep1 cell of metastasis by reduced protein level of MMP-2 through the suppression
of MAPK and FAK signaling pathway and of the activity of PI3K/Akt. These findings
suggest that EAAC may be used as an antimetastatic agent.
PMID- 29356906
TI - Sialolith removal in the submandibular region using surgical diode laser: report
of two cases and literature review.
AB - PURPOSE: Sialolithiasis is defined as the presence of one or more calcified
structures within the duct of a major or minor salivary gland. It occurs as a
result of deposition of calcium salts around an accumulation of organic debris in
the duct lumen. The main signs and symptoms are edema and bacterial infection
with abscess formation. METHODS: This study aimed to report two cases of
submandibular sialolithiasis treated surgically with diode laser and conduct a
review of the literature by means of a systematic search. In the two cases, the
calculi were located in the distal part of the submandibular duct and could be
palpated intraorally. Surgery was performed in an outpatient setting under local
anesthesia. A linear incision was made in the floor of the mouth, in the region
of the opening of Wharton's duct, to expose and remove the calculi. Laser cutting
was performed using a diode laser module coupled to a 400-MUm optical fiber
emitting at a wavelength of 980 nm (infrared), 2.5 W output power, and in
continuous pulse mode. RESULTS: The use of diode laser is a safe and minimally
invasive option for this type of procedure. CONCLUSION: Offering advantages such
as enhanced coagulation properties and high-quality incision, absence of
bleeding, low risk of nerve damage, and few comorbidities.
PMID- 29356907
TI - Minimally invasive fixation techniques for thoracolumbar fractures: comparison
between percutaneous pedicle screw with intermediate screw (PPSIS) and
percutaneous pedicle screw with kyphoplasty (PPSK).
AB - PURPOSE: To assess and compare the efficacy of two minimally invasive techniques
(percutaneous pedicle screw with intermediate screw vs. percutaneous pedicle
screw with kyphoplasty) for spinal fracture fixation by comparing the segmental
kyphosis and vertebral kyphosis angles after trauma before surgery, after
surgery, and at 4-month and 12-month follow-up. METHODS: Data from 49 patients
without neurological deficit treated by either percutaneous pedicle screw with
intermediate screw or percutaneous pedicle screw with kyphoplasty were
retrospectively analysed. The segmental kyphosis and vertebral kyphosis angles
over time were calculated and correlated with the type of procedure, AO
classification, lumbar or thoracic site and the age and sex of the patients.
RESULTS: After surgery, both techniques were found to be efficacious means of
bringing about a significant correction of the segmental kyphosis angle (p =
0.002) and a just significant correction of the vertebral kyphosis angle (p =
0.06), although less effectively in thoracic fractures (p = 0.004). At follow-up,
the vertebral kyphosis angle was stable in both groups, while there was a
significant loss of segmental kyphosis angle stability in the percutaneous
pedicle screw with kyphoplasty group at 1 year (p = 0.004); fractured thoracic
vertebrae maintained a greater vertebral kyphosis angle (p = 0.06) and segmental
kyphosis angle (p < 0.001), than the lumbar. CONCLUSION: At 1 year after surgery,
the use of intermediate screws in fractured vertebrae seemed to maintain a more
efficacious correction with respect to kyphoplasty, although thoracic fracture
sites appear to be associated with greater post-traumatic segmental kyphosis and
lesser stability in the long term after both percutaneous surgical techniques.
PMID- 29356909
TI - Summary for patients: International guidelines for groin hernia management.
PMID- 29356910
TI - Comment to: Pre-peritoneal local anaesthetic does not reduce post-operative pain
in laparoscopic total extra-peritoneal inguinal hernia repair: double-blinded
randomized controlled trial. Kulasegaran S, Rohan M, Pearless L, Hulme-Moir M.
PMID- 29356908
TI - Potential presence of metals in patients treated with metal-metal coupling
prostheses for hip arthroplasty at 7 and 10 years of follow-up.
AB - BACKGROUND: Beginning in 2008, metal-on-metal prostheses have been in the
spotlight owing to much higher revision rates than expected. Adverse local tissue
reactions have been well described in the literature as potential complications.
METHODS: Between 2012 and 2013, 13 patients with metal-on-metal total hip
replacements were evaluated clinically and radiologically and with laboratory
samples. The same tests were repeated between 2015 and 2016 on eight patients to
assess any changes. In the laboratory assessment, we searched for chromium,
cobalt, molybdenum, and nickel in blood and urine samples over 24 h. RESULTS:
Clinical assessment has shown good score in all patients except one. On a second
examination, between 2015 and 2016, all patients obtained results similar to
those obtained in the first assessment, except a patient, who reported a recent
fall. In the radiological assessment between 2012 and 2013, results were optimal,
apart from a case of aseptic mobilization. The patients reassessed 3 years after
the first examination showed radiological results similar to those previously
obtained, apart from a patient, who showed signals of mobilization. Metal levels
found in their blood decreased in most cases after 3 years. Urine levels of
nickel increased in five subjects, and chromium levels increased in four, but
levels of cobalt and molybdenum decreased in four patients. CONCLUSION: It could
be hypothesized that the decreasing trend of metal ion levels is associated with
a stable wear status. On the contrary, a progressive increase in metal ion levels
must be considered as early proof of implant loosening.
PMID- 29356911
TI - Simple method to make a supersaturated oxygen fluid.
AB - Intravenous oxygenation has demonstrated significant increase in partial pressure
of oxygen (PO2) in animal models. A highly dissolved oxygen solution might be
able to provide a sufficient level of oxygen delivery to the tissues and organs
in patients with hypoxia. However, conventional fluid oxygenation methods have
required the use of original devices. If simpler oxygenation of a solution is
possible, it will be a useful strategy for application in clinical practice. We
simply developed its administration by injection of either air or oxygen gas into
conventional saline. We determined the PO2 values in the solutions in comparison
with conventional saline in vitro. To examine the effects of the administration
of the new solutions on the blood gas profile, we diluted bovine blood with
either conventional or the new solutions and analyzed PO2, oxygen saturation
(SO2) and total oxygen content. PO2 levels in the blood and new solution mixture
significantly increased with each additional injected gas volume. Significant
increases in the PO2 and SO2 of the bovine blood were found in those blood
samples with the new solution, as compared with those with the control solution.
These results suggest that this solution promotes oxygen delivery to the hypoxic
tissue and recovery from hypoxia. This method is simpler and easier than previous
methods.
PMID- 29356912
TI - Current status and issues of the artificial pancreas: abridged English
translation of a special issue in Japanese.
AB - Surgical stress induces hyperglycemia and gives rise to glucose toxicity, which
causes infectious diseases, resulting in unfavorable surgical outcomes. Intensive
insulin treatment can control short- and long-term complications in patients with
not only diabetes mellitus, but also surgical diabetes; however, it is associated
with an increased risk of hypoglycemia. The wearable artificial pancreas was
originally developed to control glucose levels in patients with type 1 diabetes,
progressing to a device with enhanced stability and safety for these patients.
Its usability has further progressed to include patients with type 2 diabetes.
The bedside artificial pancreas is the only closed-loop-type artificial pancreas
which can maintain stable glycemic control in accordance with a target blood
glucose range, based on the patient's actual blood glucose levels. Moreover, this
stable glycemic control with a low variation in blood glucose concentration
within the target range is produced without any hypoglycemia. Significant
advances of this device will now occur due to the approval of treatment for
perioperative glycemic control by the Japanese Health Care Insurance System in
2016. Along with an increase in the number of mainly elderly patients with low
glucose tolerance, it is expected that the role of the artificial pancreas will
increase in the future. Considering the current state and expense of regenerative
and transplant medicine, along with donor shortages, further development of the
artificial pancreas and associated glycemic control can be expected.
PMID- 29356913
TI - Effect of a calcium chloride solution treatment on physical and mechanical
properties of glass ionomer cements.
AB - This paper details a novel method to improve wear resistance of dental glass
ionomer cement (GIC) restorations. The purpose of this in vitro study was to
evaluate the effect of a calcium chloride (CaCl2) solution treatment on surface
hardness, surface roughness, compressive strength, water sorption and solubility
of three conventional glass ionomer cements (GICs). Additionally, the changes of
the Ca composition and morphology of the surface of the tested GICs were also
investigated. The experimental groups of the study were: Group 1 (control)
specimens after mixing were left in the mold to set without any treatment for 5
min; Group 2 specimens were left in the mold to set for 5 min and then each
specimen was immersed in 10 ml of 42.7 wt% CaCl2 solution for 60 s. Statistical
analysis was performed using one-way ANOVA and Tukey post hoc tests (a = 0.05).
Calcium chloride solution treatment increased surface hardness of the GICs
compared to control groups (P < 0.05), while surface roughness and compressive
strength did not change after the treatment (P > 0.05). Reduction in water
sorption and solubility was detected but not in all CaCl2 solution-treatment
groups. No alterations were observed in surface morphology of the GICs, while
surface calcium composition was increased after the treatment (P < 0.05). The use
of a CaCl2 solution immediately after initial setting of GICs may be advantageous
for some properties of the materials and possibly leads to improved prognosis and
wear resistance of their restorations.
PMID- 29356915
TI - Single-Walled Carbon Nanotubes in Solar Cells.
AB - Photovoltaics, more generally known as solar cells, are made from semiconducting
materials that convert light into electricity. Solar cells have received much
attention in recent years due to their promise as clean and efficient light
harvesting devices. Single-walled carbon nanotubes (SWNTs) could play a crucial
role in these devices and have been the subject of much research, which continues
to this day. SWNTs are known to outperform multi-walled carbon nanotubes (MWNTs)
at low densities, because of the difference in their optical transmittance for
the same current density, which is the most important parameter in comparing
SWNTs and MWNTs. SWNT films show semiconducting features, which make SWNTs
function as active or charge-transporting materials. This chapter, consisting of
two sections, focuses on the use of SWNTs in solar cells. In the first section,
we discuss SWNTs as a light harvester and charge transporter in the photoactive
layer, which are reviewed chronologically to show the history of the research
progress. In the second section, we discuss SWNTs as a transparent conductive
layer outside of the photoactive layer, which is relatively more actively
researched. This section introduces SWNT applications in silicon solar cells,
organic solar cells, and perovskite solar cells each, from their prototypes to
recent results. As we go along, the science and prospects of the application of
solar cells will be discussed.
PMID- 29356914
TI - Clinical oral dryness score: evaluation of a new screening method for oral
dryness.
AB - The purpose of this study was to explore the association of the clinical oral
dryness score (CODS) with salivary flow rates, xerostomia inventory (XI), and
bother index (BI). 147 patients were screened using CODS, which determined 10
features of oral dryness. Each feature contributed 1 point, and the total score
varied from 0 to 10. Unstimulated (UWS), chewing-stimulated (CH-SWS) and acid
stimulated (A-SWS) whole salivary flows and the XI and BI were measured.
Associations were explored with a bootstrapped Spearman rank correlation test
(1000 * bootstrapping). Based on unstimulated salivary flow, 55 patients were
classified as hyposalivators, 31 as low salivators, 48 as normosalivators and 13
as high salivators. Median CODS in the hyposalivation group was 5 (IQR 3-6)
compared with 3 (IQR 2-5) in the low salivation group, 2 (IQR 1-4) in the normal
salivation group and 2 (IQR 1-2.5) in the high salivation group. Significant
associations between CODS and the other parameters were only found in the
hyposalivation group between CODS and UWS (rho(53) = - 0.513; p < 0.01), between
CODS and CH-SWS (rho(53) = - 0.453; p < 0.01), between CODS and A-SWS (rho(53) =
0.500; p < 0.01), CODS and XI (rho(53) = 0.343; p < 0.001) and between CODS and
BI (rho(53) = 0.375; p = 0.01). In patients with hyposalivation, CODS is
associated with unstimulated and stimulated salivary flow and XI and BI. CODS
alone or a combination of CODS with a subjective measure, such as the XI or BI,
could be recommended during routine clinical assessment to detect hyposalivation.
PMID- 29356916
TI - Management and outcomes of women diagnosed with primary breast lymphoma: a multi
institution experience.
AB - PURPOSE: Primary breast lymphoma (PBL) comprises < 1% of breast malignancies,
leading to a paucity of data to guide management. We evaluated PBL recurrence
patterns across two academic hospitals in the era of modern systemic-therapy and
radiotherapy. METHODS: Patients diagnosed with PBL between October 1994 and June
2016 were identified. Demographic/clinical variables were assessed via primary
chart review. Local control (LC) was estimated using the cumulative incidence
function and overall survival (OS) using the Kaplan-Meier method. RESULTS: Thirty
five patients were identified. Median follow-up 5.8 years (range 0.3-17.8 years).
Median age at diagnosis 66 years (range 35-86 years). Indolent versus aggressive
lymphoma represented 57% (n = 20) and 43% (n = 15) of the cohort, respectively.
All patients with aggressive lymphoma received systemic therapy. Thirty patients
(86%) received radiotherapy (RT). Breast-only RT was used in 57% (n = 20); 23% (n
= 7) received regional nodal irradiation (RNI), and 6% (n = 2) received limited
field RT. Local recurrences were observed in 3% (n = 1), contralateral breast 9%
(n = 3), CNS 6% (n = 2), distant non-CNS 30% (n = 10), both local and distant 3%
(n = 1). There were no regional nodal recurrences. The 6-year LC rate was 95% for
indolent and 81% for aggressive subtypes. The 6-year OS rate was 87% for indolent
and 70% for aggressive subtypes. CONCLUSIONS: The majority of patients in this
PBL cohort received breast-only RT with no nodal relapses, suggesting that
prophylactic RNI may be unnecessary. Given the prevalence of contralateral breast
involvement at diagnosis and at recurrence, vigilant surveillance of bilateral
breasts may be warranted. The role of CNS prophylaxis requires further
investigation.
PMID- 29356917
TI - Identification and analysis of CHEK2 germline mutations in Chinese BRCA1/2
negative breast cancer patients.
AB - PURPOSE: Cell-cycle-checkpoint kinase 2 (CHEK2) is an important moderate
penetrance breast cancer predisposition gene; however, recurrent CHEK2 mutations
found in Caucasian women are very rare in Chinese population. We investigated the
mutation spectrum and clinical relevance of CHEK2 germline mutations in Chinese
breast cancer patients. METHODS: The entire coding regions and splicing sites of
CHEK2 were screened in 7657 Chinese BRCA1/2-negative breast cancer patients,
using 62-gene panel-based sequencing. RESULTS: Out of 7657 BRCA1/2-negative
breast cancer patients, 26 (0.34%) carried CHEK2 pathogenic germline mutations.
Most of these mutations (92.3%, 24/26) were nonsense or frameshift mutations;
84.6% (22/26) of them were in forkhead-associated (FHA) or kinase domains. Of the
18 types of CHEK2 mutations we found, 61.1% (11/18) of were novel mutations and
two recurrent mutations (Y139X and R137X) were found in this cohort. Patients
with CHEK2 mutations were significantly more likely to have family histories of
breast and/or ovarian cancer (23.1% vs. 8.6%, p = 0.022) and family histories of
any cancer (50.0% vs. 31.6%, p = 0.044); and were significantly more likely to
have lymph node-positive (53.8% vs. 27.3%, p = 0.002) and progesterone receptor
(PR)-positive (88.5% vs. 64.5%, p = 0.011) breast cancers. CONCLUSIONS: Among
Chinese breast cancer patients, the CHEK2 germline mutation rate is approximately
0.34% and two specific mutations (Y139X and R137X) are recurrent. Patients with
CHEK2 mutations are significantly more likely to have family histories of cancer,
and to develop lymph node-positive and/or PR-positive breast cancers.
PMID- 29356918
TI - Possible Breathing Influences on the Control of Arterial Pressure After Sino
aortic Denervation in Rats.
AB - PURPOSE OF REVIEW: Surgical removal of the baroreceptor afferents [sino-aortic
denervation (SAD)] leads to a lack of inhibitory feedback to sympathetic outflow,
which in turn is expected to result in a large increase in mean arterial pressure
(MAP). However, few days after surgery, the sympathetic nerve activity (SNA) and
MAP of SAD rats return to a range similar to that observed in control rats. In
this review, we present experimental evidence suggesting that breathing
contributes to control of SNA and MAP following SAD.The purpose of this review
was to discuss studies exploring SNA and MAP regulation in SAD rats, highlighting
the possible role of breathing in the neural mechanisms of this modulation of
SNA. RECENT FINDINGS: Recent studies show that baroreceptor afferent stimulation
or removal (SAD) results in changes in the respiratory pattern. Changes in the
neural respiratory network and in the respiratory pattern must be considered
among mechanisms involved in the modulation of the MAP after SAD.
PMID- 29356919
TI - Influence of the oscillation frequency of different side-to-side toothbrushes on
noncontact biofilm removal.
AB - OBJECTIVES: The objective of this study was to investigate the influence of
different oscillation frequencies of three powered toothbrushes with side-to-side
action for noncontact biofilm removal in an artificial interdental space model.
MATERIALS AND METHODS: A three-species biofilm (Porphyromonas gingivalis,
Fusobacterium nucleatum and Streptococcus sanguinis) was formed in vitro on
protein-coated titanium disks using a flow chamber system combined with a static
biofilm growth model. The oscillation frequencies of three commercial side-to
side toothbrushes were evaluated by means of a dose response. The frequency was
decreased in steps (100, 85, 70, 55, and 40%). Subsequently, the biofilm-coated
substrates were exposed to the side-to-side toothbrushes. The biofilm volumes
were measured using volumetric analyses (Imaris 8.1.2) with confocal laser
scanning microscope images (Zeiss LSM700). RESULTS: Compared to maximum
oscillation frequency (100%), lower oscillation frequencies (up to 40%) resulted
in reduced median percentages of biofilm reduction (median biofilm reduction up
to 53% for maximum oscillation frequency, and up to 13% for 40% oscillation
frequency) (p >= 0.03). In addition, decreasing the oscillation frequencies of
the side-to-side toothbrushes showed an enhanced variety in the results of
repeated experiments. CONCLUSIONS: The oscillation frequency of the tested side
to-side toothbrushes affected the biofilm reduction in an interdental space
model. CLINICAL RELEVANCE: Within a toothbrush, higher oscillation frequencies
may lead to beneficial effects on interdental biofilm removal by noncontact
brushing.
PMID- 29356921
TI - Comparative study on the incision healing of the palatal mucosa by using Er:YAG
laser or traditional scalpel in the SD rats.
AB - The aim of this study was to compare the histology of wound healing following
incisions with the scalpel or the Er:YAG laser in the palatal mucosa of SD rats.
Two types of wounds were performed with the stainless steel scalpel or the Er:YAG
laser in the palatal mucosa of SD rats, while the adjacent untreated palatal
mucosa was chosen as control. Rats were sacrificed on day 1, day 3, day 7, and
day 30 post-surgery. Biopsy samples from each wound were examined and the
expression of IL-1beta and TGF-beta1 was determined by enzyme-linked
immunosorbent assay (ELISA). The early postoperative incision of the scalpel
group had obvious bleeding and swelling, while the laser wound mainly covered the
surface of white pseudomembrane. The infiltration of neutrophils and lymphocytes
in the stroma of the scalpel incision was more than that of the laser group.
Compared to the laser group, 1 and 3 days after operation, the TGF-beta1 content
of the scalpel group were significantly increased (P = 0.032 and 0.019). Seven
days after operation, the TGF-beta1 content of two groups was decreased. TGF
beta1 expression of control group was obviously increased (P > 0.05); 1, 3, and 7
days after operation, the traditional scalpel amount of IL-1beta expression was
significantly higher than that of control group (P = 0.000, 0.000, and 0.001).
Postoperative day 1, IL-1beta expression of laser group and control group had no
significant difference (P = 0.572). Three days after operation, IL-1beta
expression of laser incision was increased and was significantly higher than that
in control group (P = 0.032), however lower than the scalpel group (P = 0.03).
Seven days after operation, the IL-1beta expression of two groups had no
significant difference (P = 0.333); however, the IL-1beta expression of two
groups were significantly higher than that of the control group (P = 0.02 and
0.001). Compared to the traditional scalpel, the incision of Er:YAG laser has
smaller inflammation reaction, more pseudomembrane coverage, and minimal damage
of the mucoperiosteal tissue.
PMID- 29356920
TI - 3D accuracy of implant positions in template-guided implant placement as a
function of the remaining teeth and the surgical procedure: a retrospective
study.
AB - OBJECTIVE: The aim of this study was to investigate differences between the
virtually planned and clinically achieved implant positions in completely
template-guided implantations as a function of the type of edentulous space, the
residual natural dentition, and the surgical implementation. MATERIALS AND
METHODS: Fifty-six patient cases with a total of 122 implants were evaluated
retrospectively. The implantations were completely template-based. The data of
the planned implant positions were overlaid with the actual clinical implant
positions, followed by measurements of the 3D deviations in terms of coronal (xc)
and apical distance, height (xh), and angulation (ang) and statistical analysis.
RESULTS: The mean xc was 1.2 mm (SD 0.7 mm); the mean xa was 1.8 mm (SD 0.9 mm),
the mean xh was 0.8 mm (SD 0.7 mm); and the mean ang was 4.8 degrees (SD 3.1).
The type of edentulous space and the jaw (maxilla/mandible) had no significant
effect on the results in terms of implant positions. The presence of an adjacent
natural tooth at the time of implantation had a significant influence on xh (p =
0.04) and ang (p = 0.05). No significant differences were found regarding the
surgical approach for any of the parameters examined. CONCLUSION: The results of
our study are in the same range as those of other studies. Template-guided
implantation offers a high degree of accuracy even in the presence of different
configurations of the residual dentition or different surgical approaches. A
clinical benefit is therefore present, especially from a prosthetic point of
view. CLINICAL RELEVANCE: The clinically achievable accuracy can be described as
sufficient for further prosthetic treatment, given the intrinsic and
methodological tolerances, making prosthetic rehabilitation safe and predictable.
PMID- 29356923
TI - Expression pattern of YAP and TAZ during orthodontic tooth movement in rats.
AB - Orthodontic tooth movement (OTM) is a periodontal tissue remodeling and
regeneration process that is caused by bio-mechanical stimulation. This
mechanical-chemical transduction process involves a variety of biological factors
and signaling pathways. It has been shown that the Hippo-YAP/TAZ signaling
pathway plays a pivotal role in the mechanical-chemical signal transduction
process. Moreover, YAP and TAZ proteins interact with RUNX family proteins via
different mechanisms. To explore the regulation of the Hippo signaling pathway
during periodontal tissue remodeling, we examined the upper first molar OTM model
in rats. We examined YAP, TAZ and RUNX2 expression at 12 hours, 24 hours, 2 days
(2d), 4 days, 7 days (7d) and 14 days (14d) after force application. Haemotoxylin
and eosin staining, immunohistochemical staining and western blot analysis were
used to examine the expression level and localization of these proteins. We found
that YAP, TAZ and RUNX2 expression started increasing at 2d, YAP and TAZ
expression was proportional to the orthodontic force applied until peaking at 7d,
and at 14d the expression started to decrease. YAP and TAZ were observed in
osteocytes, bone matrix and periodontal ligament cells during OTM. Furthermore,
using double labeling immunofluorescence staining, we found that the increase in
TAZ expression was associated with RUNX2 expression, however, YAP and RUNX2
showed different expression patterns. These results suggest that the Hippo
YAP/TAZ signaling pathway participates in periodontal tissue remodeling through
various mechanisms; TAZ may adjust bone tissue remodeling through RUNX2 during
OTM, while YAP may regulate periodontal cell proliferation and differentiation.
PMID- 29356922
TI - Point-by-point versus multisite electrode mapping in VT ablation: does freedom
from VT recurrences depend on mapping catheter? An observational study.
AB - PURPOSE: This study was conducted with the purpose of determining whether or not
the potential technical advantages of multi-electrode mapping catheters in
catheter ablation (CA) of ventricular tachycardia (VT) result in any relevant
clinical benefit for VT patients. METHODS: A single-center VT study, having taken
place from 2012 to 2014 using a standard 3.5-mm catheter (Thermocool SF(r) group
1) and from 2014 to 2016 using a 1-mm multi-electrode-mapping catheter
(PentaRay(r) group 2), was conducted. The endpoint was the complete elimination
of late potentials (LPs), local abnormal ventricular activities (LAVA), and VT
non-inducibility. Follow-up consisted of device interrogation to monitor for VT
recurrence. RESULTS: Out of 74 VT patients aged 64.5 +/- 12.0 years (66 male
[89.2%], 56 with ICM [75.7%], and 18 with NICM [24.3%)]), 48 patients (64.9%)
were investigated in group 1 and 26 (35.1%) in group 2. Using the multi-point
acquisition approach, a tendency to require less mapping time (group 1 65.2 +/-
37.6 min, group 2 55.6 +/- 34.4 min, p ns) was determined. During 12-month follow
up, 57 patients had freedom from VT recurrences (79.2%). The result was
insignificant between the groups (38 patients (79.2%) in group 1 and 19 patients
(73.1%) in group 2). CONCLUSIONS: In a single-center observational study, both
conventional and high-density mapping approaches in VT patients are comparable in
terms of procedure duration and outcome. Mapping time when using a multi
electrode catheter seems to have the tendency of being shorter. We should be
encouraged to recruit more patients comparing the benefit of different catheter
types.
PMID- 29356924
TI - Reflections on Six Decades of Research on Adolescent Behavior and Development.
AB - These reflections, spanning six decades of involvement with developmental
behavioral science, report on several salutarytrends that have shaped that field
of social inquiry, e.g., its increasingly trans-disciplinary character. They also
take note ofsome of its enduring limitations, e.g., its failure to engage with
theory. In addition, the reflections confront some currentissues, such as the
widespread stereotyping of adolescents as risk takers, and the growing recourse
to reductionistexplanation. On balance, however, developmental behavioral science
can be seen in retrospect as having evolved in adecidedly positive direction.
Clearly, it has yielded a firmer grasp on adolescent behavior and development.
PMID- 29356925
TI - Latent Class Analysis of Intimate Partner Violence Perpetration and Victimization
among Latino Emerging Adults.
AB - While there are known developmental consequences and correlates of intimate
partner violence perpetration and victimization, research focused on
bidirectional and multiple forms of partner violence among Latino emerging adults
is needed. This longitudinal study identified latent classes of intimate partner
violence perpetration and victimization patterns among emerging adult Latinos (N
= 1060; 60.6% female). A second aim examined acculturation and cumulative
substance use correlates in high school, as predictors of intimate partner
violence perpetration and victimization classes in emerging adulthood. Average
age of participants was 15.5 years in 10th grade and 22.7 years in emerging
adulthood. We identified four distinct subgroups of intimate partner violence
perpetration and victimization, with 22% of individuals identified in a violence
perpetration and victimization subgroup. Cumulative heavy episodic drinking and
marijuana use in high school predicted belonging to the psychological
bidirectional intimate partner violence group rather than the group with no
violence. Cumulative marijuana use in high school, predicted belonging to the
sexual bidirectional partner violence group compared to the no violence group.
Our study extends the literature across developmental periods among Latino youth.
The findings have implications for early adolescent prevention strategies and
promotion of healthy intimate relationships.
PMID- 29356926
TI - Examining Relationships among Choice, Affect, and Engagement in Summer STEM
Programs.
AB - Out-of-school time programs focused on science, technology, engineering and
mathematics (STEM) have proliferated recently because they are seen as having
potential to appeal to youth and enhance STEM interest. Although such programs
are not mandatory, youth are not always involved in making the choice about their
participation and it is unclear whether youth's involvement in the choice to
attend impacts their program experiences. Using data collected from experience
sampling, traditional surveys, and video recordings, we explore relationships
among youth's choice to attend out-of-school time programs (measured through a
pre-survey) and their experience of affect (i.e., youth experience sampling
ratings of happiness and excitement) and engagement (i.e., youth experience
sampling ratings of concentration and effort) during program activities. Data
were collected from a racially and ethnically diverse sample of 10-16 year old
youth (n = 203; 50% female) enrolled in nine different summer STEM programs
targeting underserved youth. Multilevel analysis indicated that choice and affect
are independently and positively associated with momentary engagement. Though
choice to enroll was a significant predictor of momentary engagement, positive
affective experiences during the program may compensate for any decrements to
engagement associated with lack of choice. Together, these findings have
implications for researchers, parents, and educators and administrators of out-of
school time programming.
PMID- 29356927
TI - Image-guided resection of glioblastoma in eloquent brain areas facilitated by
laser surface thermal therapy: clinical outcomes and long-term results.
AB - The increased interest in the application of lasers in neuro-oncology prompted us
to present our experience of using the laser technologies in the treatment of
cerebral gliomas. The aim of the study was to evaluate the clinical efficacy of
image-guided laser surface thermal therapy (LSTT) and its influence on survival
of patients with glioblastoma (GBM).Data of 91 patients (49 males, 42 females,
mean age 51.4 years, range 23-70 years) with supratentorial GBMs located in close
vicinity to or within the eloquent brain areas were retrospectively analyzed.All
patients were divided into two groups: LSTT group (n = 28) and control group (n =
63). There were no significant differences by gender, age, Karnofsky Performance
Scale (KPS) score, and tumor location between groups. Total removal in the LSTT
group was performed in 67.9%, in the control group-31.7% (p < 0.01); on the
contrary, subtotal removal prevailed in the control group-52.4%; in the LSTT
group, it was 32.1%. In postoperative period, there was no significant difference
in KPS score between the groups (p = 0.89). A higher degree of resection provided
an increase in survival rates (p < 0.01). The median overall survival was 15.5 +/
10.5 months, in the LSTT group 18.4 +/- 11.7 and in the control group 14.3 +/-
9.1 (p = 0.03). The application of image-guided LSTT in patients with GBMs of
eloquent brain areas allowed the high rate of complete resection and improved
overall survival without the negative effect on the functional status after
surgery.
PMID- 29356928
TI - The Closing Digital Divide: Delivery Modality and Family Attendance in the
Pathways for African American Success (PAAS) Program.
AB - Although family-focused, evidence-based programs (EBPs) have the potential to
reduce disparities in health and behavioral outcomes for youth, access to such
programs is severely limited in the most affected areas, including African
American communities in the rural South. As expanding the reach of EBPs is the
primary goal of translational research, interest is growing in the potential of
technology as a viable platform to disseminate services to areas with limited
resources. To test whether African American families in the rural South would be
willing to engage in a technology-based family-focused EBP to prevent adolescent
risk behavior, we examined attendance using data from two arms of a three-arm
community-based trial of the Pathways for African American Success (PAAS)
program. In the overall study, sixth graders (N = 412) and their primary
caregivers were randomly assigned to the following conditions: (a) in-person,
small group sessions led by facilitators; (b) self-directed, technology-based
sessions; or (c) a literature control with home-mailed educational materials.
Results indicated that attendance was higher in the technology condition than in
the small group condition. Parental age, education, and socioeconomic status did
not limit attendance in the technology condition. We conclude from these results
that the use of technology can be an acceptable strategy for disseminating
parenting EBPs to African American families in the rural South.
PMID- 29356929
TI - Dealing with the Conflicting Results of Psycholinguistic Experiments: How to
Resolve Them with the Help of Statistical Meta-analysis.
AB - This paper proposes the use of the tools of statistical meta-analysis as a method
of conflict resolution with respect to experiments in cognitive linguistics. With
the help of statistical meta-analysis, the effect size of similar experiments can
be compared, a well-founded and robust synthesis of the experimental data can be
achieved, and possible causes of any divergence(s) in the outcomes can be
revealed. This application of statistical meta-analysis offers a novel method of
how diverging evidence can be dealt with. The workability of this idea is
exemplified by a case study dealing with a series of experiments conducted as non
exact replications of Thibodeau and Boroditsky (PLoS ONE 6(2):e16782, 2011.
https://doi.org/10.1371/journal.pone.0016782 ).
PMID- 29356930
TI - Correction to: Heat stress alters genome-wide profiles of circular RNAs in
Arabidopsis.
AB - Due to an unfortunate turn of events, the first name of the fifth author appeared
incorrectly in the original publication and should have read Guangbing. The
correct representation of the authors' names and their affiliation is listed here
and should be treated as definitive.
PMID- 29356932
TI - Impact of a new cryotherapy device on early rehabilitation after primary total
knee arthroplasty (TKA): a prospective randomised controlled trial.
AB - BACKGROUND: The aim of this prospective, randomised and single blinded study was
to evaluate the efficiency and safety of a new cryotherapy device in patients
undergoing unilateral, primary total knee arthroplasty (TKA). Our hypothesis was
that patients administered to the new cryotherapy device would perform better
than patients receiving a conventional standard cold therapy regimen. METHODS:
Ninety-seven patients were randomised into two groups receiving either the
cTreatment(r) (new cryotherapy device) or the standard cold therapy protocol
(including cold pack application for six days after the surgical intervention).
We evaluated the following endpoints consisting of range of motion (ROM), pain
intensity, and knee girth on admission day and the second, fourth, and sixth post
operative day (POD). RESULTS: A statistically significant benefit of the new
cryotherapy device was detected regarding the ROM on the sixth POD with an
average gain of 7 degrees (p = 0.021). Pain in the numeric rating scale (NRS)
score in motion was significantly lower in the cTreatment(r) group on the second
POD (p = 0.034). There were no statistically significant differences between
groups regarding the NRS in rest, patient controlled analgesia (PCA) consumption,
and girth measurements. No adverse effects were observed in both study groups.
CONCLUSION: The new computer-controlled cooling therapy device provides benefits
in terms of early post-operative remobilisation with respect to ROM and pain,
which might be attributed to a reduced inflammatory response, as well as reduced
secretion and bleeding. The cTreatment(r) system appears to be a safe and
efficient procedure.
PMID- 29356931
TI - CX3CL1/CX3CR1 Axis Contributes to Angiotensin II-Induced Vascular Smooth Muscle
Cell Proliferation and Inflammatory Cytokine Production.
AB - Angiotensin II (Ang II) dysregulation has been determined in many diseases. The
CX3CL1/CX3CR1 axis, which has a key role in cardiovascular diseases, is involved
in the proliferation and inflammatory cytokine production of vascular smooth
muscle cells (VSMCs). In this study, we aim to explore whether Ang II has a role
in the expression of CX3CL1/CX3CR1, thus contributing to the proliferation and
pro-inflammatory status of VSMCs. Cultured mouse aortic VSMCs were stimulated
with 100 nmol/L of Ang II, and the expression of CX3CR1 was assessed by western
blot. The results demonstrated that Ang II significantly up-regulated CX3CR1
expression in VSMCs and induced the production of reactive oxygen species (ROS)
and the phosphorylation of p38 MAPK. Inhibitors of NADPH oxidase, ROS, and AT1
receptor significantly reduced Ang II-induced CX3CR1 expression. Targeted
disruption of CX3CR1 by transfection with siRNA significantly attenuated Ang II
induced VSMC proliferation as well as down-regulated the expression of
proliferating cell nuclear antigen (PCNA). Furthermore, CX3CR1-siRNA suppressed
the effect of Ang II on stimulating Akt phosphorylation. Besides, the use of
CX3CR1-siRNA decreased inflammatory cytokine production induced by Ang II
treatment. Our results indicate that Ang II up-regulates CX3CR1 expression in
VSMCs via NADPH oxidase/ROS/p38 MAPK pathway and that CX3CL1/CX3CR1 axis
contributes to the proliferative and pro-inflammatory effects of Ang II in VSMCs.
PMID- 29356933
TI - How to accurately determine the distal femoral valgus cut angle in the valgus
knee arthroplasty.
AB - PURPOSE: Distal femoral resection in total knee arthroplasty (TKA) is commonly
performed using intramedullary jigs with a pre-operatively planned valgus cut
angle (VCA). For valgus knees with lateral femoral condyle hypoplasia, the method
of determining the accurate VCA has not been clarified. The aim of the present
study is to introduce a method that can accurately determine the distal femoral
VCA in the valgus knee arthroplasty. METHODS: Twenty patients with valgus
deformity caused by lateral femoral condylar hypoplasia underwent primary TKA
with individually measured VCA. The VCA was defined as the acute crossing angle
of the anatomical and mechanical axes of the femur on a pre-operative X-ray film,
and the two axes almost always crossed at the distal femoral diaphysis, but not
the centre of the knee as generally described. The entry point of the femoral
intramedullary guide rod was determined on the extension of the femoral
anatomical axis and was usually medial to the centre of the knee. According to
the pre- and post-operative X-ray films, the mechanical lateral distal femoral
angle (mLDFA), and coronal alignment of the femoral components were measured. The
post-operative knee pain and function were evaluated using the Visual Analog
Scale and Knee Society Score, respectively. RESULTS: The mean VCA measured
according to the above method was 6.4 degrees +/- 1.0 degrees (4.7-8.2 degrees
), and the femoral entry point was located at a mean distance of 7.4 +/- 2.1 mm
(4.5-10.9 mm) medial to the centre of the knee joint. The mean mLDFA before and
after operation was 77.4 degrees +/- 5.7 degrees (74-82 degrees ) and 88.4
degrees +/- 1.7 degrees (86-90 degrees ), respectively, showing a statistically
significant difference (P < 0.01). CONCLUSIONS: The deformity of the distal
femoral diaphysis is quite various in different valgus knees. The VCA and the
femoral entry point should be determined individually for each case. The
application of the current method resulted in good post-operative mechanical axis
alignment and clinical results after TKA. LEVEL OF EVIDENCE: Level IV.
PMID- 29356934
TI - Elevated levels of serum sclerostin are linked to adverse cardiovascular outcomes
in peritoneal dialysis patients.
AB - PURPOSE: To investigate the correlation between elevated serum sclerostin levels
and chronic kidney disease outcomes for patients receiving peritoneal dialysis
(PD). METHODS: We performed a prospective observational study in stable PD
patients. Serum sclerostin levels were determined via enzyme immunoassay, and
median levels of sclerostin were used to divide patients into high and low
sclerostin groups. New-onset cardiovascular events (CVEs) and cardiovascular
mortality were evaluated during a 6-year follow-up period. RESULTS: Ninety-eight
patients [mean age 52.5 +/- 10.9 years, 49% males, 21.4% diabetic, median
dialysis vintage 40.7 (range 17.9-72.2) months] were recruited. Compared with
those in the low sclerostin group, patients in the high sclerostin group
demonstrated higher levels of total-cholesterol, NT-proBNP, and osteoprotegerin
(all P < 0.05). During the 6-year study period, 25 CVEs and 17 cardiovascular
deaths occurred in the high sclerostin group, whereas 11 CVEs and four
cardiovascular deaths occurred in the low sclerostin group. A Cox regression
analysis determined that high sclerostin levels significantly increased the risk
for CVEs (HR 2.475, 95% CI 1.116-5.489, P = 0.026) and cardiovascular death (HR
3.484, 95% CI1.134-10.706, P = 0.029), after multiple adjustments were made.
CONCLUSIONS: Our data suggest that high sclerostin levels may predict the onset
of CVEs and cardiovascular mortality among PD patients.
PMID- 29356935
TI - Patterning of individual heterogeneity in body mass index: evidence from 57 low-
and middle-income countries.
AB - Modeling variation at population level has become increasingly valued, but no
clear application exists for modeling differential variation in health between
individuals within a given population. We applied Goldstein's method (in:
Everrit, Howell (eds) Encyclopedia of statistics in behavioral science, Wiley,
Hoboken, 2005) to model individual heterogeneity in body mass index (BMI) as a
function of basic sociodemographic characteristics, each independently and
jointly. Our analytic sample consisted of 643,315 non-pregnant women aged 15-49
years pooled from the latest Demographic Health Surveys (rounds V, VI, or VII;
years 2005-2014) across 57 low- and middle-income countries. Individual
variability in BMI ranged from 9.8 (95% CI: 9.8, 9.9) for the youngest to 23.2
(95% CI: 22.9, 23.5) for the oldest age group; 14.2 (95% CI: 14.1, 14.3) for
those with no formal education to 19.7 (95% CI: 19.5, 19.9) for those who have
completed higher education; and 13.6 (95% CI: 13.5, 13.7) for the poorest
quintile to 20.1 (95% CI: 20.0, 20.2) for the wealthiest quintile group.
Moreover, variability in BMI by age was also different for different
socioeconomic groups. Empirically testing the fundamental assumption of constant
variance and identifying groups with systematically large differentials in health
experiences have important implications for reducing health disparity.
PMID- 29356936
TI - Does Aeroallergen Sensitization Cause or Contribute to Eosinophilic Esophagitis?
AB - Eosinophilic esophagitis is an atopic disease defined clinically by esophageal
symptoms in combination with a dense esophageal eosinophilia. EoE is triggered
and maintained by exposure to certain foods and it is known that dietary
modification controls symptoms and achieves disease remission. Recently,
aeroallergens have been implicated in the pathogenesis of EoE. To examine the
role of aeroallergens in EoE, we reviewed the published literature. Sensitization
and production of IgE antibodies to foods and aeroallergens in subjects with EoE
has been demonstrated. However, the evidence suggests only a minor role for IgE
mediated immune reactions in EoE. There is some evidence to support an
association of EoE diagnosis and flares with environmental allergen exposure, and
animal studies support the notion that EoE may be induced by exposure to inhalant
allergens. Some studies show that newly diagnosed cases of EoE follow a seasonal
pollen distribution (summer and spring), but the weight of evidence does not
support the seasonal occurrence of diagnosis or worsening of symptoms. Overall,
we conclude that the current evidence does not support causality in inhalant
allergen exposure and the genesis nor exacerbations of EoE in humans, although
there is a possibility that inhalant allergen sensitization could play a
modifying role in EoE in the context of cross-reacting food allergens.
PMID- 29356937
TI - A Retrospective Assessment of Four Antigen Assays for the Detection of Invasive
Candidiasis Among High-Risk Hospitalized Patients.
AB - Because of their high mortality rates and non-specific symptoms, invasive Candida
infections pose a huge diagnostic and therapeutic challenge. In this study, we
evaluated the three mannan antigen assays Platelia, Platelia Plus and Serion, and
the (1-3)-beta-D-glucan assay Fungitell in a group of high-risk (hematological
and surgical) patients. Test results of 305 patients hospitalized at the Vienna
General Hospital and the University Hospital of Innsbruck were retrospectively
analyzed. We assessed the test accuracy by means of descriptive statistics. Nine
(2.95%) patients were affected by invasive candidiasis (IC), and 25 (8.2%)
patients had a probable/possible infection. The majority of patients (271; 88.9%)
showed no signs of infection. The Platelia and Serion mannan assays had a low
sensitivity (65% and 52%, respectively), but high specificity (98% for both
tests). The newer version of the Platelia assay, the Platelia Plus, had a higher
sensitivity (85%) but a lower specificity (89%). The sensitivity of the Fungitell
assay was high (100%), while its specificity was low (58%). The positive
predictive values were 0.48 for the Platelia and 0.41 for the Serion assay, 0.26
for the Platelia Plus and 0.09 for the Fungitell assay. Our limited,
retrospective study suggests the efficacy of mannan assays as screening (Platelia
Plus) and confirmatory (Serion) tests, while the Fungitell assay can be used to
exclude invasive Candida infections.
PMID- 29356938
TI - Reconstructing the demographic history of the Himalayan and adjoining
populations.
AB - The rugged topography of the Himalayan region has hindered large-scale human
migrations, population admixture and assimilation. Such complexity in
geographical structure might have facilitated the existence of several small
isolated communities in this region. We have genotyped about 850,000 autosomal
markers among 35 individuals belonging to the four major populations inhabiting
the Himalaya and adjoining regions. In addition, we have genotyped 794
individuals belonging to 16 ethnic groups from the same region, for uniparental
(mitochondrial and Y chromosomal DNA) markers. Our results in the light of
various statistical analyses suggest a closer link of the Himalayan and adjoining
populations to East Asia than their immediate geographical neighbours in South
Asia. Allele frequency-based analyses likely support the existence of a specific
ancestry component in the Himalayan and adjoining populations. The admixture time
estimate suggests a recent westward migration of populations living to the East
of the Himalaya. Furthermore, the uniparental marker analysis among the Himalayan
and adjoining populations reveal the presence of East, Southeast and South Asian
genetic signatures. Interestingly, we observed an antagonistic association of Y
chromosomal haplogroups O3 and D clines with the longitudinal distance. Thus, we
summarise that studying the Himalayan and adjoining populations is essential for
a comprehensive reconstruction of the human evolutionary and ethnolinguistic
history of eastern Eurasia.
PMID- 29356939
TI - Identification of rare RTN3 variants in Alzheimer's disease in Han Chinese.
AB - Reticulon 3 (RTN3) is a neuronally-expressed reticulon family protein that was
previously shown to negatively regulate BACE1, a protease that is required for
the generation of beta-amyloid peptides (Abeta) from amyloid precursor protein.
Despite biochemical and morphological evidence that supports a role of RTN3 in
the formation of neuritic amyloid plaques, no systematic analyses of RTN3
mutations in patients with Alzheimer's disease (AD) have yet been reported. RTN3
were targeted sequenced in 154 sporadic early-onset and 285 late-onset AD
patients. Luciferase reporter assay and kymographs were performed to analysis the
expression of RNT3 and BACE1-RFP particle mobility on cells transfected with wild
type or variants RTN3 constructs. We identified heterozygous variants such as c.
8G > T, c.17C > A, c.42C > T, and c.116C > T from patients in the early-onset AD
group and c.-8G > T, c.17C > A, from patients in the late-onset AD group. Such
variants of RTN3 were not observed in control individuals. Further biochemical
studies show that the RTN3 c.-8G > T variant in the 5'-untranslated region
appears to cause reduced expression of RTN3. The RTN3 c.116 C > T variant causes
a change of codon T39 to M39 (T39 M). Overexpression of RTN3 T39 M in cultured
neurons led to impaired axonal transport of BACE1. The variants found in this
study are likely genetic modifiers for RTN3-mediated formation of neuritic
plaques in AD.
PMID- 29356940
TI - The effect of humeral-fenestration diameter in Outerbridge-Kashiwagi arthroplasty
on failure load of the distal humerus: a cadaveric biomechanical study.
AB - INTRODUCTION: Outerbridge-Kashiwagi ulnohumeral arthroplasty is an effective
method in treating elbow osteoarthritis; however, distal humerus fracture after
surgery can become a critical issue. A previous biomechanical study has shown
that the failure load of the distal humerus decreases after a fenestration, but
the size of the fenestration hole has not yet been discussed. MATERIALS AND
METHODS: 32 fresh-frozen cadaveric distal humeri were obtained. Two drill sizes
were chosen for fenestration: 12 and 15 mm in diameter. Two directions of force
were applied with a materials testing machine for biomechanical testing: 5
degrees flexion for axial loading and 75 degrees flexion for anterior-posterior
(AP) loading. Each specimen randomly received one of the two fenestration sizes,
and force directions. All specimens were loaded to failure at a rate of 2 mm/min.
RESULTS: The failure loads of the 12 and 15 mm groups were not significantly
different in either axial loading(3886 +/- 1271 N vs 4286 +/- 901 N) or AP
loading(2303 +/- 803 N vs 1897 +/- 357 N). All specimens loaded with axial force
failed via the fenestration holes; however, during AP loading, some specimens
failed through the fenestration holes, while others at diaphysis (p = 0.28).
CONCLUSIONS: The failure load of distal humeri did not differ significantly after
fenestration of 12 or 15 mm. The clinical relevance is that as the risk of distal
humerus fracture is not exacerbated, a larger-size fenestration hole could be of
help to improve the effectiveness of this surgical procedure.
PMID- 29356941
TI - Femoral component alignment in unicompartmental knee arthroplasty leads to
biomechanical change in contact stress and collateral ligament force in knee
joint.
AB - BACKGROUND: In recent years, the popularity of unicompartmental knee arthroplasty
(UKA) has increased. However, the effect of femoral component positioning in UKA
continues to invite a considerable debate. The purpose of this study involved
assessing the biomechanical effect of mal-alignment in femoral components in UKA
under dynamic loading conditions using a computational simulation. METHODS: A
validated finite element model was used to evaluate contact stresses in
polyethylene (PE) inserts and lateral compartment and force on collateral
ligament in the femoral component ranging from 9 degrees of varus to 9 degrees
of valgus. RESULTS: The results indicated that contact stress on the PE insert
increased with increases in the valgus femoral alignment when compared to the
neutral position while contact stress on the lateral compartment increased with
increases in the varus femoral alignment. The forces on medial and lateral
collateral ligaments increased with increases in valgus femoral alignments when
compared to the neutral position. However, there was no change in popliteofibular
and anterior lateral ligaments with respect to the malpositioning of femoral
component. CONCLUSION: The results of the study confirm the importance of
conservation in post-operative accuracy of the femoral component since the valgus
and varus femoral malalignments affect the collateral ligament and lateral
compartment, respectively. Our results suggest that surgeons should avoid valgus
malalignment in the femoral component and especially malalignment exceeding 9
degrees , which may induce higher medial collateral ligament forces.
PMID- 29356942
TI - Mid-term outcome of arthroscopic AMIC for the treatment of articular cartilage
defects in the knee joint is equivalent to mini-open procedures.
AB - INTRODUCTION: We present the first retrospective study that compares two various
autologous matrix-induced chondrogenesis (AMIC) surgical interventions to repair
grade III-IV cartilage defects in the knee. Patients who underwent minimally
invasive (arthroscopy) or open (mini-arthrotomy) AMIC were followed up to 2 years
to investigate if minimally invasive AMIC is superior to open procedures.
MATERIALS AND METHODS: Overall n = 50 patients with focal and contained grade III
IV articular cartilage defects in the knee joint were followed in a consecutive
cohort study. 20 patients were treated arthroscopically (female 7, male 13; age:
mean 38.2 years, range 18-70 years; BMI: mean 27.0, range 18.7-34.7; defect size:
mean 3.1 cm2, range 1.0-6.0 cm2), and 30 patients via mini-arthrotomy (female 13,
male 17; age: mean 34.4 years, range 14-53 years, BMI: mean 23.9, range 18.4
28.7; defect size: mean 3.4 cm2, range 1.5-12.0 cm2). The primary defect
localization was the medial femoral condyle. RESULTS: AMIC led to a significant
improvement of VAS pain, KOOS and Lysholm scoring for up to 2 years compared to
pre-op. Outcome analysis revealed no significant differences between the two
different surgical approaches. CONCLUSIONS: Our results suggest that mini-open
AMIC is equivalent to the arthroscopic procedure. The anticipatory hypothesis
that minimally invasive approaches bring greater patient benefit per se could not
be confirmed. Therefore, we recommend to perform AMIC where indicated and suggest
that the surgeon's personal skills profile guide the choice of surgical approach.
LEVEL OF EVIDENCE: III.
PMID- 29356943
TI - Inhibition of KLF7-Targeting MicroRNA 146b Promotes Sciatic Nerve Regeneration.
AB - A previous study has indicated that Kruppel-like factor 7 (KLF7), a transcription
factor that stimulates Schwann cell (SC) proliferation and axonal regeneration
after peripheral nerve injury, is a promising therapeutic transcription factor in
nerve injury. We aimed to identify whether inhibition of microRNA-146b (miR-146b)
affected SC proliferation, migration, and myelinated axon regeneration following
sciatic nerve injury by regulating its direct target KLF7. SCs were transfected
with miRNA lentivirus, miRNA inhibitor lentivirus, or KLF7 siRNA lentivirus in
vitro. The expression of miR146b and KLF7, as well as SC proliferation and
migration, were subsequently evaluated. In vivo, an acellular nerve allograft
(ANA) followed by injection of GFP control vector or a lentiviral vector encoding
an miR-146b inhibitor was used to assess the repair potential in a model of
sciatic nerve gap. miR-146b directly targeted KLF7 by binding to the 3'-UTR,
suppressing KLF7. Up-regulation of miR-146b and KLF7 knockdown significantly
reduced the proliferation and migration of SCs, whereas silencing miR-146b
resulted in increased proliferation and migration. KLF7 protein was localized in
SCs in which miR-146b was expressed in vivo. Similarly, 4 weeks after the ANA,
anti-miR-146b increased KLF7 and its target gene nerve growth factor cascade,
promoting axonal outgrowth. Closer analysis revealed improved nerve conduction
and sciatic function index score, and enhanced expression of neurofilaments, P0
(anti-peripheral myelin), and myelinated axon regeneration. Our findings provide
new insight into the regulation of KLF7 by miR-146b during peripheral nerve
regeneration and suggest a potential therapeutic strategy for peripheral nerve
injury.
PMID- 29356944
TI - A case of lipoprotein glomerulopathy with a rare apolipoprotein E isoform
combined with neurofibromatosis type I.
AB - Lipoprotein glomerulopathy (LPG) is a rare inherited disease characterized by
histopathological features of lipoprotein thrombi in dilated glomerular
capillaries and type III like hyperlipoproteinemia with heterozygous mutation of
the apolipoprotein (apo) E gene. We herein present the case of a 50-year-old
woman with LPG complicated by neurofibromatosis type 1 (NF1). To the best of our
knowledge, this is the first report of a case of LPG complicated by NF1. On the
other hand, she had not only a heterozygous apoE-Sendai mutation, which is one of
the most frequent apoE variants in LPG patients, but also a rare isoform of ApoE5
(Glu3Lys). Although apoE mutation has been recognized as having a principal role
in the pathogenesis of LPG, some other factors are assumed to be present in the
pathogenesis of LPG, because many asymptomatic carriers of apoE variants are
recognized. The coexistence of NF1 or apoE5 (Glu3Lys) allele might play a role as
an additional factor in the development of LPG.
PMID- 29356945
TI - Mechanical birth-related trauma to the neonate: An imaging perspective.
AB - Mechanical birth-related injuries to the neonate are declining in incidence with
advances in prenatal diagnosis and care. These injuries, however, continue to
represent an important source of morbidity and mortality in the affected patient
population. In the United States, these injuries are estimated to occur among
2.6% of births. Although more usual in context of existing feto-maternal risk
factors, their occurrence can be unpredictable. While often superficial and
temporary, functional and cosmetic sequelae, disability or even death can result
as a consequence of birth-related injuries. The Agency for Healthcare research
and quality (AHRQ) in the USA has developed, through expert consensus, patient
safety indicators which include seven types of birth-related injuries including
subdural and intracerebral hemorrhage, epicranial subaponeurotic hemorrhage,
skeletal injuries, injuries to spine and spinal cord, peripheral and cranial
nerve injuries and other types of specified and non-specified birth trauma.
Understandably, birth-related injuries are a source of great concern for the
parents and clinician. Many of these injuries have imaging manifestations. This
article seeks to familiarize the reader with the clinical spectrum, significance
and multimodality imaging appearances of neonatal multi-organ birth-related
trauma and its sequelae, where applicable. Teaching points * Mechanical trauma
related to birth usually occurs with pre-existing feto-maternal risk factors.*
Several organ systems can be affected; neurologic, musculoskeletal or visceral
injuries can occur.* Injuries can be mild and transient or disabling, even life
threatening.* Imaging plays an important role in injury identification and triage
of affected neonates.
PMID- 29356946
TI - Genetic characterization of Rio de Janeiro for different Y-STR sets.
AB - In this work, the YfilerPlus kit was used to investigate a sample of 258 males
from Rio de Janeiro. In addition, the previous database of 760 Yfiler profiles
deposited in the YHRD was updated to 1610. YfilerPlus markers showed a high
haplotype diversity (0.99997), with only one haplotype shared by two individuals.
When only considering the Yfiler markers, the haplotype diversity was slightly
lower (0.99976), with 5 haplotypes shared by two individuals and 1 haplotype
shared by three individuals. Low genetic distances were found between the Rio de
Janeiro and European populations as well as the European/Hispanic American
samples.
PMID- 29356947
TI - Forensic application of epidermal AQP3 expression to determination of wound
vitality in human compressed neck skin.
AB - In forensic practices, it is often difficult to determine wound vitality in
compression marks of the neck with naked eyes. AQP1 and AQP3 are the major water
channels associated with skin. Thus, we immunohistochemically examined the
expression of AQP1 and AQP3 in neck skin samples to discuss their forensic
applicability to determination of the wound vitality. Skin samples were obtained
from 56 neck compression cases (hanging, 35 cases; strangulation, 21 cases). The
intact skin from the same individual was taken as a control. Although AQP1 was
immnunostained in dermal capillaries in both the neck compression marks and
intact skin samples, there was no significant difference in the magnitude of AQP1
expression between both groups. On the contrary, AQP3-positive signals could be
faintly detected in uninjured skin samples, and the positive signals seemed more
intense in the keratinocytes in compression regions. Morphometrical analyses
revealed that the ratio of AQP3-expressed keratinocytes was significantly
enhanced in neck compression regions, compared with control groups. From the
viewpoints of forensic pathology, immunohistochemical detection of AQP3 in the
neck skin can be considered a valuable marker to diagnose the trace of antemortem
compression.
PMID- 29356948
TI - Effect of dietary nitrate supplementation on thermoregulatory and cardiovascular
responses to submaximal cycling in the heat.
AB - PURPOSE: This study investigated whether reported improvements in blood flow
distribution, and the possible related effects on thermoregulation during
exercise following supplementation with beetroot juice (BR), a rich source of
dietary nitrate (NO3-), are mitigated in the heat. METHODS: 12 male endurance
trained cyclists (age 27 +/- 6 years, VO2peak 68.6 +/- 8.1 ml kg-1 min-1)
completed two 60 min submaximal cycling trials at 60% of VO2peak power output.
Trials were performed in hot environmental conditions (33.3 +/- 0.4 degrees C,
48.8 +/- 3.0% RH) following 3 days of supplementation with either NO3--rich BR
(6.5 mmol NO3- for 2 days and 13 mmol NO3- on the final day) or NO3--depleted
placebo (PLA). Salivary NO3- and nitrite (NO2-) were measured before and after
the supplementation period. During exercise, cutaneous blood flow, blood pressure
(MAP), core temperature (Tc), mean skin temperature (Tsk), indices of muscle
oxygenation and oxygen (O2) consumption were measured. RESULTS: Salivary NO3- and
NO2- increased significantly following BR by 680 and 890%, respectively. There
were no significant differences observed for cutaneous blood flow, MAP, Tc, Tsk,
muscle oxygenation, or O2 consumption between BR and PLA. CONCLUSION: This
investigation shows that the ergogenic effects and health benefits of BR
supplementation, such as augmented cutaneous blood flow, reduced MAP, increased
muscle oxygenation, and improved aerobic efficiency may be attenuated when
exercise is performed in hot conditions.
PMID- 29356949
TI - Validity of the Polar V800 monitor for measuring heart rate variability in
mountain running route conditions.
AB - PURPOSE: This study was conducted to test, in mountain running route conditions,
the accuracy of the Polar V800TM monitor as a suitable device for monitoring the
heart rate variability (HRV) of runners. METHOD: Eighteen healthy subjects ran a
route that included a range of running slopes such as those encountered in trail
and ultra-trail races. The comparative study of a V800 and a Holter SEER 12 ECG
RecorderTM included the analysis of RR time series and short-term HRV analysis. A
correction algorithm was designed to obtain the corrected Polar RR intervals. Six
5-min segments related to different running slopes were considered for each
subject. RESULTS: The correlation between corrected V800 RR intervals and Holter
RR intervals was very high (r = 0.99, p < 0.001), and the bias was less than 1
ms. The limits of agreement (LoA) obtained for SDNN and RMSSD were (- 0.25 to
0.32 ms) and (- 0.90 to 1.08 ms), respectively. The effect size (ES) obtained in
the time domain HRV parameters was considered small (ES < 0.2). Frequency domain
HRV parameters did not differ (p > 0.05) and were well correlated (r >= 0.96, p <
0.001). CONCLUSION: Narrow limits of agreement, high correlations and small
effect size suggest that the Polar V800 is a valid tool for the analysis of heart
rate variability in athletes while running high endurance events such as
marathon, trail, and ultra-trail races.
PMID- 29356950
TI - The reliability of methods to estimate the number and size of human motor units
and their use with large limb muscles.
AB - PURPOSE: Current methods for estimating muscle motor unit (MU) number provide
values which are remarkably similar for muscles of widely differing size,
probably because surface electrodes sample from similar and relatively small
volumes in each muscle. We have evaluated an alternative means of estimating MU
number that takes into account differences in muscle size. METHODS: Intramuscular
motor unit potentials (MUPs) were recorded and muscle cross-sectional area (CSA)
was measured using MRI to provide a motor unit number estimate (iMUNE). This was
compared to the traditional MUNE method, using compound muscle action potentials
(CMAP) and surface motor unit potentials (sMUPs) recorded using surface
electrodes. Data were collected from proximal and distal regions of the vastus
lateralis (VL) in young and old men while test-retest reliability was evaluated
with VL, tibialis anterior and biceps brachii. RESULTS: MUPs, sMUPs and CMAPs
were highly reliable (r = 0.84-0.91). The traditional MUNE, based on surface
recordings, did not differ between proximal and distal sites of the VL despite
the proximal CSA being twice the distal CSA. iMUNE, however, gave values that
differed between young and old and were proportional to the muscle size.
CONCLUSION: When evaluating the contribution that MU loss makes to muscle
atrophy, such as in disease or ageing, it is important to have a method such as
iMUNE, which takes into account any differences in total muscle size.
PMID- 29356951
TI - Partial and Full-Thickness RCT: Modern Repair Techniques.
AB - PURPOSE OF REVIEW: The purpose of this article is to review the recent literature
concerning modern repair techniques related to partial- and full-thickness
rotator cuff tears. RECENT FINDINGS: The understanding of rotator cuff pathology
and healing continues to evolve, beginning with emerging descriptions of the
anatomic footprint and natural history of rotator cuff tears. Significant
controversy remains in treatment indications for partial-thickness rotator cuff
lesions as well as optimal surgical repair techniques for both partial- and full
thickness tears. Techniques such as margin convergence and reduction of the so
called "comma" tissue have improved the ability to anatomically reduce large and
retracted tears. Repair strength and contact pressures are improved with double
row repairs and transosseus-equivalent techniques compared to traditional single
row repairs. Future work is directed towards obtaining reliable radiographic
healing and demonstrating clinical superiority and cost-effectiveness of a single
technique. Much recent work regarding rotator cuff anatomy and pathology has been
reported. Newer techniques improve repair strength. Despite these advances,
significant questions remain concerning surgical indications and clinical
outcomes.
PMID- 29356953
TI - The use of PIPAC (pressurized intraperitoneal aerosol chemotherapy) in
gynecological oncology: a statement by the German "Arbeitsgemeinschaft
Gynaekologische Onkologie Studiengruppe Ovarialkarzinom (AGO-OVAR)", the Swiss
and Austrian AGO, and the North-Eastern German Society of Gynaecologic Oncology.
AB - BACKGROUND: Ovarian, tubal, and peritoneal carcinomas primarily affect the
peritoneal cavity, and they are typically diagnosed at an advanced tumor stage
(Foley, Rauh-Hain, del Carmen in Oncology (Williston Park) 27:288-294, 2013). In
the course of primary surgery, postoperative tumor residuals are, apart from the
tumor stage, the strongest independent factors of prognosis (du Bois, Reuss,
Pujade-Lauraine, Harter, Ray-Coquard, Pfisterer in Cancer 115:1234-1244, 2009).
Due to improved surgical techniques, including the use of multi-visceral
procedures, macroscopic tumor clearance can be achieved in oncological centers,
in most cases (Harter, Muallem, Buhrmann et al in Gynecol Oncol 121:615-619,
2011). However, to date, it has not been shown that peritoneal carcinomatosis is,
per se, an independent factor of prognosis or that it excludes the achievement of
tumor clearance. Several studies have shown that a preceding drug therapy in
peritoneal carcinomatosis could positively influence the overall prognosis
(Trimbos, Trimbos, Vergote et al in J Natl Cancer Inst 95:105-112, 2003). In
relapses of ovarian carcinoma, studies have shown that peritoneal carcinomatosis
is a negative predictor of complete tumor resection; however, when it is possible
to resect the tumor completely, peritoneal carcinomatosis does not play a role in
the prognosis (Harter, Hahmann, Lueck et al in Ann Surg Oncol 16:1324-1330,
2009). RESULTS: PIPAC is a highly experimental method for treating patients with
ovarian, tubal, and peritoneal cancer. To date, only three studies have
investigated a total of 184 patients with peritoneal carcinomatosis (Grass,
Vuagniaux, Teixeira-Farinha, Lehmann, Demartines, Hubner in Br J Surg 104:669
678, 2017). Only some of those studies were phase I/II studies that included
PIPAC for patients with different indications and different cancer entities. It
is important to keep in mind that the PIPAC approach is associated with
relatively high toxicity. To date, no systematic dose-finding studies have been
reported. Moreover, no studies have reported improvements in progression-free or
overall survival associated with PIPAC therapy. CONCLUSIONS: Randomized phase III
studies are required to evaluate the effect of this therapy compared to other
standard treatments (sequential or simultaneous applications with systemic
chemotherapy). In cases of ovarian, tubal, and peritoneal cancer, PIPAC should
not be performed outside the framework of prospective, controlled studies.
PMID- 29356952
TI - Massive Rotator Cuff Tear: When to Consider Reverse Shoulder Arthroplasty.
AB - PURPOSE OF REVIEW: The purpose of this review is to discuss the indications for
reverse shoulder arthroplasty (RSA) in the treatment of massive rotator cuff tear
(MCT), review the reported outcomes in the literature, and outline our approach
and surgical technique for treating these patients. RECENT FINDINGS: While RSA
remains a successful and well-accepted treatment for cuff tear arthropathy (CTA),
management of MCT in the absence of arthritis is controversial. In this
particular setting, patients best suited for RSA are elderly, lower-demand
individuals with chronic, irreparable MCT, and pseudoparalysis. Age < 60, better
pre-operative function and upper extremity neurologic dysfunction are potential
risk factors for poor outcome with RSA in this population. Long-term follow-up
studies of RSA for CTA and MCT show good functional outcomes and implant survival
> 90% at 10 years. Treatment of MCT must be individualized for each patient. When
patient selection is optimized, RSA is a reliable means of relieving pain and
improving function with excellent success. Further investigation is necessary to
better define its indications and assess the role of alternative, joint-salvaging
procedures.
PMID- 29356954
TI - Frequency distribution of HLA alleles and haplotypes in Uyghur women with
advanced squamous cell cervical cancer and relation to HPV status and clinical
outcome.
AB - PURPOSE: This study aims to investigate the association of human leukocyte
antigen (HLA) alleles and haplotypes in Uyghur women with advanced squamous cell
cervical cancer (SCC). METHODS: A total of 131 Uyghur patients with advanced SCC
(IIb-IVa) and 91 healthy subjects from Xinjiang province were genotyped for HLA-I
and II genes using Polymerase Chain Reaction Sequence Based Typing. The different
frequencies of HLA alleles and haplotypes between patients and controls were
compared and the correlations were analyzed between HLA distribution and HPV
status and prognosis. RESULTS: (1) The frequencies of B*51:01, DRB1*07:01,
DQB1*02:01, A*01:01-C*06:02, A*01:01-DRB1*07:01, C*06:02-DQB1*02:01, DRB1*07:01
DQB1*02:01 and C*06:02-DRB1*07:01-DQB1*02:01 in cancer group were higher than
control group whereas the frequencies of B*44:02, B*58:01, C*05:01, DRB1*04:01,
DRB1*12:01, DRB1*13:01, DQB1*02:02, DQB1*05:02, DRB1*03:01-DQB1*02:02 and
DRB1*04:01-DQB1*03:02 in cancer group were lower than control group (P < 0.05).
(2) The frequencies of A*01:01-C*06:02, A*01:01-DRB1*07:01, C*06:02-DQB1*02:01,
DRB1*07:01-DQB1*02:01 and C*06:02-DRB1*07:01-DQB1*02:01 in HPV positive group
were lower than HPV negative group, differences of which were statistically
significant (P < 0.05). (3) B*44:02 and B*58:01 were associated with reduced
disease-specific survival (DSS) (P = 0.010 and 0.007). (4) Multivariate Cox
proportional hazard models revealed that age, International Federation of
Gynaecology and Obstetrics (FIGO) stage, tumor differentiation and allele B*58:01
as independent predictors for DSS while FIGO stage and tumor differentiation as
independent factors for DFS. CONCLUSIONS: In the development and progression of
advanced SCC among Uyghur population, the HLA alleles and its haplotypes play an
important role. B*58:01 allele may act as an independent predictor for DSS.
PMID- 29356955
TI - Trial of labor following one previous cesarean delivery: the effect of
gestational age.
AB - PURPOSE: To stratify maternal and neonatal outcomes of trials of labor after
previous cesarean delivery (TOLAC) by gestational age. METHODS: Retrospective
cohort study of all singleton pregnancies with one previous cesarean delivery in
TOLAC at term between 2007 and 2014. We compared outcomes of delivery at an index
gestational week, with outcomes of women who remained undelivered at this index
gestational week (ongoing pregnancy). Odds ratios and 95% confidence intervals
were adjusted for maternal age, previous vaginal delivery, induction of labor,
epidural use, presence of meconium, and birth weight > 4000 g. RESULTS: Overall,
2849 women were eligible for analysis. Of those, 2584 (90.7%) had a successful
TOLAC and 16 women (0.56%) had uterine rupture. Those rates did not differ
significantly for any gestational age (GA) group. Following adjustment for
possible confounders, GA was not found to be independently associated with
adverse maternal or neonatal outcomes. CONCLUSION: Among women at term with a
single previous cesarean delivery, GA at delivery was not found to be an
independent risk factor for TOLAC success or uterine rupture. We suggest that GA
by itself will not serve as an argument for or against TOLAC.
PMID- 29356957
TI - Recurrent stroke-like episodes of Wilson disease with a novel Val176fs mutation.
PMID- 29356956
TI - Paediatric Patients with Coeliac Disease on a Gluten-Free Diet: Nutritional
Adequacy and Macro- and Micronutrient Imbalances.
AB - PURPOSE OF REVIEW: A strict, lifelong gluten-free diet is the cornerstone for
management of coeliac disease. Elimination of gluten from the diet may be
associated with nutritional imbalance; however, the completeness of this diet in
energy and macro- and micronutrients in children is not well described.
Understanding the nutritional adequacy of the gluten-free diet in children during
this critical period of growth and development when dietary intake is strongly
influential is important. RECENT FINDINGS: Children, regardless of whether they
have eliminated gluten from their diet, have a tendency to consume excess fat and
insufficient fibre, iron, vitamin D and calcium, compared to recommendations. In
the context of a gluten-free diet, these imbalances may be worsened or have more
significant consequences. Paediatric studies have demonstrated that intakes of
folate, magnesium, zinc and selenium may decrease on a gluten-free diet.
Nutritional inadequacies may be risks of a gluten-free diet in a paediatric
population. The potential implications of these inadequacies, both short and long
term, remain unclear and warrant further investigation and clarification.
PMID- 29356958
TI - Comparison between a nurse-led weaning protocol and weaning based on physician's
clinical judgment in tracheostomized critically ill patients: a pilot randomized
controlled clinical trial.
AB - BACKGROUND: Weaning protocols expedite extubation in mechanically ventilated
patients, yet the literature investigating the application in tracheostomized
patients remains scarce. The primary objective of this parallel randomized
controlled pilot trial (RCT) was to assess the feasibility and safety of a nurse
led weaning protocol (protocol) compared to weaning based on physician's clinical
judgment (control) in tracheostomized critically ill patients. RESULTS: We
enrolled 65 patients, 27 were in the protocol group and 38 in the control group.
Of 27 patients in the protocol group, 1 (3.7%) died in the ICU, 24 (88.9%) were
successfully weaned from tracheostomy, and 2 (7.4%) were transferred still on the
ventilator. Of 38 patients in the control group, 2 (5.3%) died in the ICU, 22
(57.9%) were successfully weaned from tracheostomy, and 14 were transferred still
on the ventilator (36.8%). Risk of being discharged from the ICU on the
ventilator was higher in the control group (relative risk: 1.5, IC 95% 1.14
2.01). Concerning safety and feasibility, no patients were excluded after
randomization. There was no crossover between the two study arms nor missing
data, and no severe adverse event related to the study protocol application was
recorded by the staff. Weaning time and rate of successful weaning were not
different in the protocol group compared to the control group (long-rank test, p
= 0.31 for MV duration, p = 0.45 for weaning time). Based on our results and
assuming a 30% reduction of the weaning time for the protocol group, 280 patients
would be needed for a RCT to establish efficacy. CONCLUSIONS: In this pilot RCT
we demonstrated that a nurse-led weaning protocol from tracheostomy was feasible
and safe. A larger RCT is justified to assess efficacy.
PMID- 29356959
TI - Hepatic portal venous gas due to polystyrene sulfonate-induced enteritis.
AB - A 78-year-old man with acute right lower abdominal pain and nausea was referred
to our hospital. Computed tomography (CT) demonstrated hepatic portal venous gas
and a thickened wall of the terminal ileum, and colonoscopy demonstrated ulcers
and erosions of the ileocecal region. Histological examination of biopsy samples
revealed basophilic crystals consistent with the component of calcium polystyrene
sulfonate (CPS). This patient started taking CPS 2 months prior for chronic
hyperkalemia. The symptoms resolved soon after ceasing CPS, and subsequent
imaging studies confirmed the disappearance of the portal venous gas and
ileocolitis.
PMID- 29356960
TI - Headache following head injury: a population-based longitudinal cohort study
(HUNT).
AB - BACKGROUND: Headache is the most frequent symptom following head injury, but long
term follow-up of headache after head injury entails methodological challenges.
In a population-based cohort study, we explored whether subjects hospitalized due
to a head injury more often developed a new headache or experienced exacerbation
of previously reported headache compared to the surrounding population. METHODS:
This population-based historical cohort study included headache data from two
large epidemiological surveys performed with an 11-year interval. This was linked
with data from hospital records on exposure to head injury occurring between the
health surveys. Participants in the surveys who had not been hospitalized because
of a head injury comprised the control group. The head injuries were classified
according to the Head Injury Severity Scale (HISS). Multinomial logistic
regression was performed to investigate the association between head injury and
new headache or exacerbation of pre-existing headache in a population with known
pre-injury headache status, controlling for potential confounders. RESULTS: The
exposed group consisted of 294 individuals and the control group of 25,662
individuals. In multivariate analyses, adjusting for age, sex, anxiety,
depression, education level, smoking and alcohol use, mild head injury increased
the risk of new onset headache suffering (OR 1.74, 95% CI 1.05-2.87), stable
headache suffering (OR 1.70, 95% CI 1.15-2.50) and exacerbation of previously
reported headache (OR 1.93, 95% CI 1.24-3.02). The reference category was
participants without headache in both surveys. CONCLUSION: Individuals
hospitalized due to a head injury were more likely to have new onset and
worsening of pre-existing headache and persistent headache, compared to the
surrounding general population. The results support the entity of the ICHD-3 beta
diagnosis "persistent headache attributed to traumatic injury to the head".
PMID- 29356962
TI - Growth plate-derived hedgehog-signal-responsive cells provide skeletal tissue
components in growing bone.
AB - Longitudinal bone growth progresses by continuous bone replacement of epiphyseal
cartilaginous tissue, known as "growth plate", produced by columnar proliferated-
and differentiated-epiphyseal chondrocytes. The endochondral ossification process
at the growth plate is governed by paracrine signals secreted from terminally
differentiated chondrocytes (hypertrophic chondrocytes), and hedgehog signaling
is one of the best known regulatory signaling pathways in this process. Here, to
investigate the developmental relationship between longitudinal endochondral bone
formation and osteogenic progenitors under the influence of hedgehog signaling at
the growth plate, genetic lineage tracing was carried out with the use of
Gli1CreERT2 mice line to follow the fate of hedgehog-signal-responsive cells
during endochondral bone formation. Gli1CreERT2 genetically labeled cells are
detected in hypertrophic chondrocytes and osteo-progenitors at the chondro
osseous junction (COJ); these progeny then commit to the osteogenic lineage in
periosteum, trabecular and cortical bone along the developing longitudinal axis.
Furthermore, in ageing bone, where longitudinal bone growth ceases, hedgehog
signal responsiveness and its implication in osteogenic lineage commitment is
significantly weakened. These results show, for the first time, evidence of the
developmental contribution of endochondral progenitors under the influence of
epiphyseal chondrocyte-derived secretory signals in longitudinally growing bone.
This study provides a precise outline for assessing the skeletal lineage
commitment of osteo-progenitors in response to growth-plate-derived regulatory
signals during endochondral bone formation.
PMID- 29356961
TI - Runx2, an inducer of osteoblast and chondrocyte differentiation.
AB - Runx2 is a transcription factor that is essential for osteoblast differentiation
and chondrocyte maturation. Ihh, expressed in prehypertrophic and hypertrophic
chondrocytes, is required for the specification of Runx2+ osteoprogenitors in
endochondral bone development. Runx2 induces Sp7, an essential transcription
factor for osteoblast differentiation. Canonical Wnt signaling is also required
for osteoblast differentiation. Runx2+ osteoprogenitors retain the capacity to
differentiate into chondrocytes, and Sp7 and canonical Wnt signaling direct cells
to osteoblasts, thereby inhibiting chondrocyte differentiation. The function of
Runx2 after the commitment to osteoblasts remains controversial. Runx3 has a
redundant function with Runx2 in chondrocyte maturation. Runx2 regulates the
expression of Ihh, Col10a1, Spp1, Ibsp, Mmp13, and Vegfa in the respective layers
in growth plates. Runx2 enhances chondrocyte proliferation through the induction
of Ihh. Ihh induces Pthlh, which inhibits Runx2 and chondrocyte maturation,
forming a negative feedback loop for chondrocyte maturation. Runx2 is one of the
genes responsible for the pathogenesis of osteoarthritis (OA) because RUNX2 is up
regulated in chondrocytes in OA cartilage and a germline haplodeficiency or
deletion of Runx2 in articular chondrocytes decelerates OA progression. Runx2
plays an important role in the bone metastasis of breast and prostate cancers by
up-regulating Spp1, Ibsp, Mmp9, Mmp13, Vegfa, Tnfsf11, and Ihh expression and
down-regulating Tnfrsf11b expression. Cbfb forms a heterodimer with Runx2 and is
required for the efficient DNA binding of Runx2. Cbfb stabilizes Runx proteins at
different levels among Runx family proteins by inhibiting their ubiquitination
mediated degradation. Cbfb plays more important roles in endochondral
ossification than in intramembranous ossification.
PMID- 29356963
TI - Pathologic conditions of hard tissue: role of osteoclasts in osteolytic lesion.
AB - Hard tissue homeostasis is regulated by the balance between bone formation by
osteoblasts and bone resorption by osteoclasts. This physiologic process allows
adaptation to mechanical loading and calcium homeostasis. Under pathologic
conditions, however, this process is ill-balanced resulting in either over
resorption or over-formation of hard tissue. Local over-resorption by osteoclasts
is typically observed in osteolytic metastases of malignancies, autoimmune
arthritis, and giant cell tumor of bone (GCTB). In tumor-related local
osteolysis, tumor-derived osteoclast-activating factors induce bone resorption
not by directly acting on osteoclasts but by indirectly upregulating receptor
activator of NFkappaB ligand (RANKL) on osteoblastic cells. Similarly, synovial
tissue in the autoimmune arthritis model does overexpress RANKL and contains
numerous osteoclast precursors, and like a landing craft, when it comes in
contact with eroded bone surfaces, osteoclast precursors are immediately
polarized to become mature osteoclasts, inducing rapidly progressive bone
destruction at a late stage of the disease. GCTB, on the other hand, is a common
primary bone tumor, usually arising at the metaphysis of the long bone in young
adults. After the discovery of RANKL, the concept of GCTB as a tumor of RANKL
expressing stromal cells was established, and comprehensive exosome studies
finally disclosed the causative single-point mutation at histone H3.3 (H3F3A) in
stromal cells. Thus, osteolytic lesions under various pathological conditions are
ultimately attributable to the overexpression of RANKL, which opens up a common,
practical and useful therapeutic target for diverse osteolytic conditions.
PMID- 29356964
TI - Histomorphometric analyses of human adipose tissues using intact, flash-frozen
samples.
AB - Histomorphometric analyses of adipose tissue usually require formalin fixation of
fresh samples. Our objective was to determine if intact, flash-frozen whole
adipose tissue samples stored at - 80 degrees C could be used for measurements
developed for fresh-fixed adipose tissues. Portions of adipose tissue samples
were either formalin-fixed immediately upon sampling or flash-frozen and stored
at - 80 degrees C and then formalin-fixed during the thawing process. Mean
adipocyte diameter was measured. Immunohistochemistry was performed on additional
samples to identify macrophage subtypes (M1, CD14 + and M2, CD206 +) and total
(CD68 +) number. All slides were counterstained using haematoxylin and eosin
(H&E). Visual inspection of H&E-stained adipose tissue slides performed in a
blinded fashion showed little or no sign of cell breakage in 74% of frozen-fixed
samples and in 68% of fresh-fixed samples (p > 0.5). There was no difference in
the distribution frequencies of adipocyte sizes in fresh-fixed vs. frozen-fixed
tissues in both depots (p > 0.9). Mean adipocyte size from frozen-fixed samples
correlated significantly and positively with adipocyte size from fresh-fixed
samples (r = 0.74, p < 0.0001, for both depots). The quality of
staining/immunostaining and appearance of tissue architecture were comparable in
fresh-fixed vs. frozen-fixed samples. In conclusion, intact flash-frozen adipose
tissue samples stored at - 80 degrees C can be used to perform techniques
conventionally applied to fresh-fixed samples. This approach allows for
retrospective studies with frozen human adipose tissue samples.
PMID- 29356965
TI - NKG2D ligands in glioma stem-like cells: expression in situ and in vitro.
AB - Glioblastoma multiforme (GBM) is a highly malignant brain tumor. Tumor stem cells
have a major influence on tumor malignancy, and immunological escape mechanisms,
involving the Natural Killer Group 2, member D (NKG2D) receptor-ligand-system,
are key elements in tumor immuno-surveillance. We analyzed the expression profile
and localization of NKG2D ligands (NKG2DL) and embryonic and neural stem cell
markers in solid human GBM and stem-like cells isolated from glioma cell lines by
qRT-PCR and immunohistochemistry, including quantitative analysis. We also
evaluated the effect of Temozolomide (TMZ), the standard chemotherapeutic agent
used in GBM therapy, on NKG2DL expression. NKG2DL-positive cells were mostly
found scattered and isolated, were detectable in glial fibrillary acidic protein
(GFAP)-positive tumor regions and partly in the penumbra of tumor vessels. NKG2DL
were found in a distinct tumor stem-like cell subpopulation and were broadly
costained with each other. Quantitative analysis revealed, that dependent on the
individual NKG2DL investigated, cell portions costained with different stem cell
markers varied between small (Musashi-1) and high (KLf-4) amounts. However, a
costaining of NKG2DL with CD3gamma, typically found in T cells, was also
observable, whereas CD11b as a marker for tumor micoglia cells was only rarely
costained with NKG2DL. Stem-like cells derived from the glioma cell lines T98G
and U251MG showed a distinct expression pattern of NKG2DL and stem cell markers,
which seemed to be balanced in a cell line-specific way. With differentiation,
T98G displayed less NKG2DL, whereas in U251MG, only expression of most stem cell
markers decreased. In addition, stimulation with TMZ led to a significant
upregulation of NKG2DL in stem-like cells of both lines. As stem-like glioma
cells tend to show a higher expression of NKG2DL than more differentiated tumor
cells and TMZ treatment supports upregulation of NKG2DL, the NKG2D system might
play an important role in tumor stem cell survival and in GBM therapy.
PMID- 29356966
TI - Pheochromocytomas and Hypertension.
AB - PURPOSE OF REVIEW: Pheochromocytomas and paragangliomas (PPGLs) are uncommon
catecholamine-producing neuroendocrine neoplasms that usually present with
secondary hypertension. This review is to update the current knowledge about
these neoplasms, the pathophysiology, genetic aspects and diagnostic and
therapeutic algorithms based on scientific literature mostly within the past 3
years. RECENT FINDINGS: Eighty to eighty-five percent of PPGLs arise from the
adrenal medulla (pheochromocytomas; PCCs) and the remainder from the autonomic
neural ganglia (paragangliomas; PGLs). Catecholamine excess causes chronic or
paroxysmal hypertension associated with sweating, headaches and palpitations, the
presenting features of PPGLs, and increases the cardiovascular morbidity and
mortality. Genetic testing should be considered in all cases as mutations are
reported in 35-40% of cases; 10-15% of PCCs and 20-50% of PGLs can be malignant.
Measurements of plasma-free metanephrines or 24-h urine-fractionated
metanephrines help biochemical diagnosis with high sensitivity and specificity.
Initial anatomical localization after biochemical confirmation is usually with
computed tomography (CT) or magnetic resonance imaging (MRI). 123Iodine
metaiodobenzylguanidine (123I-MIBG) scintigraphy, positron emission tomography
(PET) or single-photon emission computed tomography (SPECT) is often performed
for functional imaging and prognostication prior to curative or palliative
surgery. Clinical and biochemical follow-up is recommended at least annually
after complete tumour excision. Children, pregnant women and older people have
higher morbidity and mortality risk. De-bulking surgery, chemotherapy,
radiotherapy, radionuclide agents and ablation procedures are useful in the
palliation of incurable disease. PPGLs are unique neuroendocrine tumours that
form an important cause for endocrine hypertension. The diagnostic and
therapeutic algorithms are updated in this comprehensive article.
PMID- 29356967
TI - Sporadic late-onset nemaline myopathy: clinical, pathology and imaging findings
in a single center cohort.
AB - Sporadic late-onset nemaline myopathy (SLONM) is a rare acquired myopathy
characterized by rapid-onset proximal weakness in late adulthood, and the
presence of nemaline bodies on muscle biopsy. In recent years, several
therapeutic interventions, including immunomodulating agents and autologous stem
cell transplantation, have shown variable degrees of efficacy in different
patients, but no consensus has been reached to allow an effective tailoring of
treatments in this severe disease. We performed a retrospective evaluation of
clinical, pathological, laboratory, muscle MRI, and follow-up data of SLONM
patients diagnosed in the period 2010-2015 in our neuromuscular center. Six
patients (three males and three females) were identified. Average time elapsed
from the onset of symptoms to referral to the neuromuscular specialist was 23.7
months. Monoclonal gammopathy was detectable in five patients. Nemaline bodies
were detected in all the patients, and their abundance correlated with clinical
severity. Signs of cardiac involvement were present in all the patients to
different extents. Muscle MRI showed a preferential involvement of neck
extensors, paraspinal, gluteal, hamstring and soleus muscles. All patients were
treated with prednisone and repeated courses of intravenous immunoglobulins, and
a favorable outcome was reached in five patients. Our experience confirms that
SLONM is clinically characterized by subacute proximal and axial muscle weakness.
Time to referral was relatively long and should be reduced with increasing
awareness of the disease. Muscle MRI could be of help as a diagnostic tool to
identify this potentially treatable myopathy. Cardiac evaluation should be
warranted in all SLONM patients to detect subclinical heart involvement.
PMID- 29356968
TI - Low signal intensity in motor cortex on susceptibility-weighted MR imaging is
correlated with clinical signs of amyotrophic lateral sclerosis: a pilot study.
AB - There is no reliable objective indicator for upper motor neuron dysfunction in
amyotrophic lateral sclerosis (ALS). To determine the clinical significance and
potential utility of magnetic resonance (MR) signals, we investigated the
relationship between clinical symptoms and susceptibility changes in the motor
cortex measured using susceptibility-weighted MR imaging taken by readily
available 3-T MRI in clinical practice. Twenty-four ALS patients and 14 control
subjects underwent 3-T MR T1-weighted imaging and susceptibility-weighted MR
imaging with the principles of echo-shifting with a train of observations
(PRESTO) sequence. We analysed relationships between relative susceptibility
changes in the motor cortex assessed using voxel-based analysis (VBA) and
clinical scores, including upper motor neuron score, ALS functional rating scale
revised score, and Medical Research Council sum score on physical examination.
Patients with ALS exhibited significantly lower signal intensity in the
precentral gyrus on susceptibility-weighted MR imaging compared with controls.
Clinical scores were significantly correlated with susceptibility changes.
Importantly, the extent of the susceptibility changes in the bilateral precentral
gyri was significantly correlated with upper motor neuron scores. The results of
our pilot study using VBA indicated that low signal intensity in motor cortex on
susceptibility-weighted MR imaging may correspond to clinical symptoms,
particularly upper motor neuron dysfunction. Susceptibility-weighted MR imaging
may be a useful diagnostic tool as an objective indicator of upper motor neuron
dysfunction.
PMID- 29356969
TI - Johann Paul Karplus (1866-1936).
PMID- 29356970
TI - Cognitive phenotypes in multiple sclerosis.
AB - BACKGROUND: Cognitive impairment is a debilitating symptom experienced by ~ 50%
of multiple sclerosis patients, with processing speed (PS) and memory most
affected. Until now, the field has considered cognition in a binary fashion:
patients are designated as impaired or not impaired. This designation is
typically arrived at by administering a full cognitive battery and assigning a
cutoff (e.g., 4 of 11 tests failed) to distinguish impaired/non-impaired. This
relatively coarse approach yields a heterogeneous group of "impaired" patients,
some of whom may have isolated memory or PS deficits, others with combined
deficits. The goal of this study is to determine whether predominant patterns of
deficits, "cognitive phenotypes", can be identified in a large sample of MS
patients. Proportional representation of four cognitive phenotypes will be
evaluated: (1) not impaired, (2) PS-impaired only, (3) memory-impaired only, (4)
PS + memory impaired. METHODS: Cognition was measured in 128 relapsing-remitting
MS patients using validated tests of verbal/visual memory, and PS. Cognitive
phenotype representation was evaluated. Differences in age, education, disease
duration, and IQ across cognitive phenotype groups were evaluated. RESULTS: Four
cognitive phenotype groups were represented: 56.3% not impaired, 7.8% PS
impaired, 18.8% memory-impaired, 17.2% PS + memory impaired. Across groups, there
were no differences in age, education, disease duration. IQ in non-impaired was
higher than PS + memory impaired. CONCLUSIONS: Adopting a novel classification
taxonomy for cognitive phenotypes will advance understanding of cognitive
impairment and enable a precision medicine approach to the development of
effective, targeted treatments for cognition in persons with MS.
PMID- 29356972
TI - Patent foramen ovale closure versus medical therapy in cases with cryptogenic
stroke, meta-analysis of randomized controlled trials.
AB - BACKGROUND: PFO is more common in cases with cryptogenic stroke compared to cases
with no stroke or stroke of identified etiology. Several randomized controlled
trials (RCTs) comparing PFO closure with medical therapy have been published with
controversial findings. METHODS: PubMed, Embase and Cochrane library databases
were searched for RCT comparing PFO closure with medical therapy including
antiplatelet therapy (aspirin or clopidogrel or combination) or anticoagulation.
We identified 5 trials, including 3627 cases. The mean duration of follow-up was
4 years. Relative risk (RR) and 95% confidence intervals (CI) were calculated
using fixed and random-effects models. RESULTS: There was a significant reduction
in the incidence of stroke among the PFO closure group compared to medical
therapy group, 2.0 versus 4.2%, RR 0.48; 95% CI (0.3, 0.7), p < 0.001. The
incidence of AF was higher in the PFO closure group compared to medical therapy
group, 4.2 versus 0.7%, respectively, RR 5.9, 95% CI (3, 11), p < 0.001. After
exclusion of oral anticoagulants cases (19%), analysis showed a lower incidence
of stroke in the PFO closure group (2%) compared to antiplatelet therapy (5.2%),
RR 0.4; 95% CI (0.3, 0.6), p < 0.001. There was no significant difference between
both groups in the incidence of transient ischemic attacks or all-cause deaths.
CONCLUSION: PFO closure results in a significant reduction in the recurrence of
ischemic stroke compared to medical therapy alone, primarily antiplatelet, among
cases with PFO and cryptogenic stroke.
PMID- 29356971
TI - Pathological examination of cerebral amyloid angiopathy in patients who underwent
removal of lobar hemorrhages.
AB - Cerebral amyloid angiopathy (CAA) is a degenerative disorder characterized by
amyloid-beta (Abeta) deposition in the brain microvessels. CAA is also known to
contribute not only to cortical microbleeds but also lobar hemorrhages. This
retrospective study examined CAA pathologically in patients who underwent direct
surgeries for lobar hemorrhage. Thirty-three patients with lobar hemorrhage
underwent open surgery with biopsy from 2007 to 2016 in our hospital. Cortical
tissues over hematomas obtained surgically were pathologically examined using
hematoxylin, eosin stain, and anti-Abeta antibody to diagnose CAA. We also
investigated the advanced degree of CAA and clinical features of each patient
with lobar hemorrhage. In the 33 patients, 4 yielded specimens that were
insufficient to evaluate CAA pathologically. Twenty-four of the remaining 29
patients (82.8%) were pathologically diagnosed with CAA. The majority of CAA
positive patients had moderate or severe CAA based on a grading scale to estimate
the advanced degree of CAA. About half of the CAA-positive patients had
hypertension, and four took anticoagulant or antiplatelet agents. In five
patients who were not pathologically diagnosed with CAA, one had severe liver
function disorder, three had uncontrollable hypertension, and one had no obvious
risk factor. Our pathological findings suggest that severe CAA with vasculopathic
change markedly contributes to lobar hemorrhage. The coexistence of severe CAA
and risk factors such as hypertension, anticoagulants or antiplatelets may
readily induce lobar hemorrhage.
PMID- 29356973
TI - Pitfalls in clinical diagnosis of anti-NMDA receptor encephalitis.
AB - OBJECTIVES: To report pitfalls in the clinical diagnosis of anti-N-methyl-D
aspartate receptor (NMDAR) encephalitis. METHODS: We retrospectively reviewed the
clinical information of 221 patients with clinically suspected autoimmune
neurological disorders who underwent testing for autoantibodies against neuronal
cell-surface antigens between January 1, 2007 and September 10, 2017. Forty-one
patients met the diagnostic criteria for probable anti-NMDAR encephalitis
(probable criteria), but one was excluded because neither serum nor CSF was
examined at the active stage. Thus, in 220 patients, sensitivity and specificity
of the probable criteria were assessed. RESULTS: NMDAR-antibodies were detected
in 34 of 40 patients (85%) with the probable criteria; however, 2 of the 6
antibody-negative patients had ovarian teratoma. The median age at onset was
higher in antibody-negative patients than those with antibodies (49 vs. 27 years,
p = 0.015). The age at onset was associated with the probability of antibody
detection (p = 0.014); the probability was less than 50% in patients aged 50
years or older. NMDAR-antibodies were also detected in 5 of 180 patients who did
not fulfill the probable criteria; these patients presented with isolated
epileptic syndrome (n = 2), atypical demyelinating syndrome (n = 2; one with
aquaporin 4 antibodies), and autoimmune post-herpes simplex encephalitis (post
HSE) (n = 1). Sensitivity and specificity of the probable criteria was 87.2 and
96.7%, respectively. CONCLUSION: The probable criteria are valid, but the
diversity of clinical phenotype should be taken into account in diagnosing anti
NMDAR encephalitis particularly in patients aged 50 years or older, or with
isolated epileptic syndrome, atypical demyelinating syndrome, or post-HSE.
PMID- 29356976
TI - Scribonius Largus (probably before 1CE-after 48CE).
PMID- 29356974
TI - Structural cerebellar correlates of cognitive functions in spinocerebellar ataxia
type 2.
AB - Spinocerebellar ataxia type 2 (SCA2) is an autosomal dominant neurodegenerative
disease involving the cerebellum and characterized by a typical motor syndrome.
In addition, the presence of cognitive impairment is now widely acknowledged as a
feature of SCA2. Given the extensive connections between the cerebellum and
associative cerebral areas, it is reasonable to hypothesize that cerebellar
neurodegeneration associated with SCA2 may impact on the cerebellar modulation of
the cerebral cortex, thus resulting in functional impairment. The aim of the
present study was to investigate and quantitatively map the pattern of cerebellar
gray matter (GM) atrophy due to SCA2 neurodegeneration and to correlate that with
patients' cognitive performances. Cerebellar GM maps were extracted and compared
between SCA2 patients (n = 9) and controls (n = 33) by using voxel-based
morphometry. Furthermore, the relationship between cerebellar GM atrophy and
neuropsychological scores of the patients was assessed. Specific cerebellar GM
regions were found to be affected in patients. Additionally, GM loss in cognitive
posterior lobules (VI, Crus I, Crus II, VIIB, IX) correlated with visuospatial,
verbal memory and executive tasks, while additional correlations with motor
anterior (V) and posterior (VIIIA, VIIIB) lobules were found for the tasks
engaging motor and planning components. Our results provide evidence that the
SCA2 neurodegenerative process affects the cerebellar cortex and that MRI indices
of atrophy in different cerebellar subregions may account for the specificity of
cognitive symptomatology observed in patients, as result of a cerebello-cerebral
dysregulation.
PMID- 29356975
TI - Electrophysiological and behavioral effects of frontal transcranial direct
current stimulation on cognitive fatigue in multiple sclerosis.
AB - Fatigue is one of the most common and debilitating symptoms affecting patients
with multiple sclerosis (MS). Sustained cognitive effort induces cognitive
fatigue, operationalized as subjective exhaustion and fatigue-related objective
alertness decrements with time-on-task. During prolonged cognitive testing, MS
patients show increased simple reaction times (RT) accompanied by lower
amplitudes and prolonged latencies of the P300 event-related potential. Previous
studies suggested a major role of structural and functional abnormalities in the
frontal cortex including a frontal hypo-activation in fatigue pathogenesis. In
the present study we investigated the neuromodulatory effect of transcranial
direct current stimulation (tDCS) over the left dorsolateral prefrontal cortex
(DLPFC) on objective measures of fatigue-related decrements in cognitive
performance in MS patients. P300 during an auditory oddball task and simple
reaction times in an alertness test were recorded at baseline, during and after
stimulation. Compared to sham, anodal tDCS caused an increase in P300 amplitude
that persisted after the end of stimulation and eliminated the fatigue-related
increase in RT over the course of a testing session. Our findings demonstrate
that anodal tDCS over the left DLPFC can counteract performance decrements
associated with fatigue thereby leading to an improvement in the patient's
ability to cope with sustained cognitive demands. This provides causal evidence
for the functional relevance of the left DLPFC in fatigue pathophysiology. The
results indicate that tDCS-induced modulations of frontal activity can be an
effective therapeutic option for the treatment of fatigue-related declines in
cognitive performance in MS patients.
PMID- 29356978
TI - Caribbean (English-Speaking) Women in the United States: Cooking for Diabetes
Prevention and Management.
AB - This study surveyed 152 Caribbean-American women about their acculturation
levels; their health behaviors; and their perceptions about a website portal for
diabetes prevention and management. Participants followed a study link to
documents created via SurveyMonkey. The study link included seven edited videos
each fewer than 2 min; the videos included ingredients; preparation/cooking
instructions; and plating tips for modifying traditional Caribbean meals for
diabetes management and prevention. Overall engagement in six healthy living
behaviors was moderate Mean = 2.07; Minimum = 1 (Never); Maximum = 3.0 (Always).
Self-efficacy for cooking 'healthy' before exploring the website was a mean 3.52
between 40 and 60% confident (SD = 1.509) versus the after Mean of 4.59 closest
to 80% confident (SD = 1.154); t = - 10.353, df = 147 (P < 0.001). The results
suggest the website did serve as a brief intervention for increasing self
efficacy in cooking meals more consistent with diabetes prevention and
management.
PMID- 29356979
TI - Analysis of US FDA-Approved Drugs Containing Sulfur Atoms.
AB - In this review, we discuss all sulfur-containing FDA-approved drugs and their
structures. The second section of the review is dedicated to structural analysis
and is divided into 14 subsections, each focusing on one type of sulfur
containing moiety. A concise graphical representation of each class features
drugs that are organized on the basis of structural similarity, evolutionary
relevance, and medical indication. This review offers a unique and comprehensive
overview of the structural features of all sulfur-containing FDA-approved drugs
to date.
PMID- 29356977
TI - Short- and long-term clinical outcomes of use of beta-interferon or glatiramer
acetate for people with clinically isolated syndrome: a systematic review of
randomised controlled trials and network meta-analysis.
AB - BACKGROUND: Beta-interferon (IFN-beta) and glatiramer acetate (GA) have been
evaluated in people with clinically isolated syndrome (CIS) with the aim to delay
a second clinical attack and a diagnosis of clinically definite multiple
sclerosis (CDMS). We systematically reviewed trials evaluating the short- and
long-term clinical effectiveness of these drugs in CIS. METHODS: We searched
multiple electronic databases. We selected randomised controlled studies (RCTs)
conducted in CIS patients and where the interventions were IFN-beta and GA. Main
outcomes were time to CDMS, and discontinuation due to adverse events (AE). We
compared interventions using random-effect network meta-analyses (NMA). We also
reported outcomes from long-term open-label extension (OLE) studies. RESULTS: We
identified five primary studies. Four had open-label extensions following double
blind periods comparing outcomes between early vs delayed DMT. Short-term
clinical results (double-blind period) showed that all drugs delayed CDMS
compared to placebo. Indirect comparisons did not suggest superiority of any one
active drug over another. We could not undertake a NMA for discontinuation due to
AE. Long-term clinical results (OLE studies) showed that the risk of developing
CDMS was consistently reduced across studies after early DMT treatment compared
to delayed DMT (HR = 0.64, 95% CI 0.55, 0.74). No data supported the benefit of
DMTs in reducing the time to, and magnitude of, disability progression.
CONCLUSIONS: Meta-analyses confirmed that IFN-beta and GA delay time to CDMS
compared to placebo. In the absence of evidence that early DMTs can reduce
disability progression, future research is needed to better identify patients
most likely to benefit from long-term DMTs.
PMID- 29356980
TI - Vanillic acid attenuates cerebral hyperemia, blood-brain barrier disruption and
anxiety-like behaviors in rats following transient bilateral common carotid
occlusion and reperfusion.
AB - Transient bilateral common carotid artery occlusion (tBCCAO), followed by
reperfusion, is a model of transient global hypoperfusion. In the present study
we aimed to investigate the probable effects of Vanillic acid (VA) on some
physiological parameters including cerebral hyperemia, blood-brain barrier (BBB)
disruption, anxiety behaviors and neurological deficits induced by bilateral
occlusion of the common carotid arteries and reperfusion (BCCAO/R) in rats. Rats
were randomly divided into four groups; Sham, BCCAO/R, VA and VA+ BCCAO/R.
Chronic cerebral hypoperfusion was induced after 2 weeks of pretreatment by VA.
Subsequently, sensorimotor scores, elevated plus maze tests, cerebral hyperemia,
and BBB disruption were evaluated 72 h after 30 min of BCCAO. Pretreatment of
rats by VA improved sensory motor signs, anxiolytic behavior in BCCAO/R rats
compared with untreated rats (p < 0.05). Further, VA attenuated reactive
hyperemia and BBB disruption in BCCAO/R rats compared with untreated rats (p <
0.01). To our knowledge, this study is the first to reveal VA could attenuate
reactive hyperemia and improve BBB disruption following BCCAO/R, and could
improve neurological scores and anxiety like behaviors in this model of cerebral
hypoperfusion. These results suggest that VA could be a promising pretreatment
agent in cerebral hypoperfusion.
PMID- 29356982
TI - Everything is ok on YouTube! Quality assessment of YouTube videos on the topic of
phacoemulsification in eyes with small pupil.
AB - OBJECTIVE: Usage of YouTube as an educational tool is gaining attention in
academic research. To date, there has been no study on the content and quality of
eye surgery videos on YouTube. The aim of this study was to analyze YouTube
videos on phacoemulsification in eyes with small pupil. METHODS: We searched for
the phrases "small pupil cataract surgery," "small pupil phacoemulsification,"
"small pupil cataract surgery complications," and "small pupil
phacoemulsification complications" in January 2015. Each resulting video was
evaluated by all authors, and Krippendorff's alpha was calculated to measure
agreement. Videos were classified according to pupil size (small/very small) in
the beginning of the surgery, and whether pupillary diameter was large enough to
continue surgery safely after pupillary dilation by the surgeon in the video
(safe/not safe). Methods of dilatation were also analyzed. Any stated ocular
comorbidity or surgical complications were noted. RESULTS: A total of 96 videos
were reviewed. No mechanical intervention for pupillary dilatation was performed
in 46 videos. Fifty-eight operated eyes had no stated ocular comorbidity. Ninety
five operations ended successfully without major complication. There was fair
agreement between the evaluators regarding pupil sizes (Kalpha = 0.670) but poor
agreement regarding safety (Kalpha = 0.337). CONCLUSIONS: YouTube videos on small
pupil phacoemulsification have low complication rates when compared to the
literature, although no reliable mechanical dilatation methods are used in almost
half of these videos. Until YouTube's place in e-learning becomes clearer, we
suggest that viewers be cautious regarding small pupil phacoemulsification videos
on YouTube.
PMID- 29356981
TI - Ocimum basilicum improve chronic stress-induced neurodegenerative changes in mice
hippocampus.
AB - Alzheimer's disease (AD), one of the progressive neurodegenerative diseases might
be associated with exposure to stress and altered living conditions. This study
aimed to evaluate the effectiveness of Ocimum basilicum (OB) essential oils in
improving the neurodegenerative-like changes induced in mice after exposed to
chronic unpredictable mild stress (CUMS). Forty male Swiss albino mice divided
into four groups (n = 10); the control, CUMS, CUMS + Fluoxetine, CUMS + OB were
used. Behavioral tests, serum corticosterone level, hippocampus protein level of
the glucocorticoid receptors (GRs) and brain-dreived neurotropic factor (BDNF)
were determined after exposure to CUMS. Hippocampus was histopathologically
examined. Data were analyzed using statistical package for the social sciences
(SPSS) and P value of less than 0.05 was considered significant. OB diminished
the depression manifestation as well as impaired short term memory observed in
the mice after exposure to the CUMS as evidenced by the forced swimming and
elevated plus maze test. OB also up-regulated the serum corticosterone level,
hippocampal protein level of the glucocorticoid receptor and the brain-derived
neurotropic factor and reduced the neurodegenerative and atrophic changes induced
in the hippocampus after exposure to CUMS. Essential oils of OB alleviated the
memory impairment and hippocampal neurodegenerative changes induced by exposure
to the chronic unpredictable stress indicating that it is the time to test its
effectiveness on patients suffering from Alzheimer disease.
PMID- 29356983
TI - Assessment of target-mediated uptake with immuno-PET: analysis of a phase I
clinical trial with an anti-CD44 antibody.
AB - BACKGROUND: Ideally, monoclonal antibodies provide selective treatment by
targeting the tumour, without affecting normal tissues. Therefore, antibody
imaging is of interest, preferably in early stages of drug development. However,
the imaging signal consists of specific, as well as non-specific, uptake. The aim
of this study was to assess specific, target-mediated uptake in normal tissues,
with immuno-PET in a phase I dose escalation study, using the anti-CD44 antibody
RG7356 as example. RESULTS: Data from thirteen patients with CD44-expressing
solid tumours included in an imaging sub-study of a phase I dose escalation
clinical trial using the anti-CD44 antibody RG7356 was analysed. 89Zirconium
labelled RG7356 (1 mg; 37 MBq) was administered after a variable dose of
unlabelled RG7356 (0 to 675 mg). Tracer uptake in normal tissues (liver, spleen,
kidney, lung, bone marrow, brain and blood pool) was used to calculate the area
under the time antibody concentration curve (AUC) and expressed as tissue-to
blood AUC ratios. Within the dose range of 1 to 450 mg, tissue-to-blood AUC
ratios decreased from 10.6 to 0.75 +/- 0.16 for the spleen, 7.5 to 0.86 +/- 0.18
for the liver, 3.6 to 0.48 +/- 0.13 for the bone marrow, 0.69 to 0.26 +/- 0.1 for
the lung and 1.29 to 0.56 +/- 0.14 for the kidney, indicating dose-dependent
uptake. In all patients receiving >= 450 mg (n = 7), tumour uptake of the
antibody was observed. CONCLUSIONS: This study demonstrates how immuno-PET in a
dose escalation study provides a non-invasive technique to quantify dose
dependent uptake in normal tissues, indicating specific, target-mediated uptake.
PMID- 29356984
TI - Minimally invasive percutaneous endoscopic treatment for acute pyogenic
spondylodiscitis following vertebroplasty.
AB - INTRODUCTION: Acute pyogenic spondylodiscitis caused by percutaneous
vertebroplasty is a rare complication. We present the first report of minimally
invasive endoscopic treatment for acute spondylodiscitis caused by
vertebroplasty. CASE PRESENTATION: A 60-year-old female was transferred with the
symptom of right hip flexion weakness for 1 day. The patient underwent a
vertebroplasty procedure because of L3 osteoporotic compression fracture at other
hospital 6 weeks ago. Physical examination, laboratory finding and magnetic
resonance imaging revealed an acute pyogenic spondylodiscitis with right L2 nerve
root palsy caused by compression of bone and cement after L3 body collapse.
Percutaneous endoscopic procedures including needle biopsy, debridement, root
decompression and drainage were performed. One week after endoscopic treatment,
her symptoms of back pain and nerve palsy improved significantly. After
endoscopic treatment, the patient underwent conservative treatment with
appropriate antibiotics according to the bacterial culture test results. Six
weeks postoperatively, she was pain free with no neurological deficits or signs
of infection. Five months later, spontaneous fusion between L2 and L3 body was
observed. CONCLUSION: We report a case treated with endoscopic procedure without
open surgery for acute pyogenic spondylodiscitis following vertebroplasty.
PMID- 29356985
TI - Neoadjuvant denosumab for the treatment of a sacral osteoblastoma.
AB - PURPOSE: To present a case of aggressive sacral osteoblastoma (OB) treated with
neoadjuvant denosumab therapy and en bloc resection. METHODS: Case report of a 14
year-old male with an aggressive OB affecting the superior articular process of
the left first sacral segment. The lesion was lytic and metabolically active and
involved the left-sided posterior elements of S1-S3 with extension into the
spinal canal, affecting the left S1, S2, S3, S4 and S5 nerve roots. He was
treated for 1 month with neoadjuvant denosumab followed by en bloc resection.
RESULTS: Denosumab therapy caused regression of the tumour and converted the
diffuse infiltrative mass into a well-defined solid (osteoma-like) structure,
aiding surgical resection and preserving the S1, S4 and S5 nerve roots.
Histologically, the treated lesion showed abundant sclerotic woven bone and
osteoblasts with absence of osteoclasts. CONCLUSIONS: A short course of denosumab
caused tumour regression, ossification and conversion of an aggressive OB into a
sclerotic, well-defined lesion thus aiding surgical resection and preservation of
neural structures. Neoadjuvant therapy reduced osteoclast numbers but PET showed
that the lesion remained FDG avid post-therapy.
PMID- 29356986
TI - Bisphosphonate therapy for spinal aneurysmal bone cysts.
AB - PURPOSE: To assess the efficacy of bisphosphonate therapy in the management of
spinal aneurysmal bone cysts (ABCs). METHODS: A prospective study of six
consecutive patients aged between 7 and 22 years with spinal ABCs treated with
pamidronate (1 mg/kg) or zoledronate (4 mg). A visual analogue scale (VAS) for
pain and radiological (contrast-enhanced MRI and CT scan at 3 and 6 months, then
yearly X-rays) follow-up was continued for a minimum of 6 years. RESULTS: One
patient with an unstable C2/3 failed to respond to a single dose of
bisphosphonate and required surgical resection and stabilisation with autologous
bone grafting. Another, with a thoraco-lumbar ABC, experienced progression of
neurological dysfunction after one cycle of bisphosphonate and, therefore,
required surgical resection and stabilisation. In all other patients pain
progressively improved and was resolved after two to four cycles (VAS 7.3-0).
These patients all showed reduction in peri-lesional oedema and increased
ossification by 3 months. No patients have had a recurrence within the timeframe
of this study. CONCLUSIONS: Bisphosphonate therapy can be used as the definitive
treatment of spinal ABCs, except in patients with instability or progressive
neurology, where surgical intervention is required. Clinicians should expect a
patients symptoms to rapidly improve, their bone oedema to resolve by 3 months
and their lesion to partially or completely ossify by 6-12 months.
PMID- 29356987
TI - The influence of cellular senescence on intracellular vitamin C transport,
accumulation, and function.
AB - In humans, vitamin C (VC) accumulates at higher concentrations in cells than in
plasma, and this intracellular accumulation appears critical to several important
physiological functions. However, although VC accumulation decreases in the
elderly, the influence of cellular senescence on the transport, accumulation, and
function of VC is poorly understood. In this study, we investigated the effects
of supplementation with both ascorbic acid (AsA) and dehydroascorbic acid
(DehAsA) on the uptake and accumulation of VC, AsA, and DehAsA into cells and the
effect of AsA on the levels of intracellular reactive oxygen species (ROS) in
human fibroblast TIG-1 cells. We also assessed how that supplementation affected
senescence-associated changes in intracellular VC transport and accumulation. AsA
supplementation significantly increased intracellular levels of AsA, DehAsA, and
total VC (i.e., reduced AsA plus oxidized DehAsA) in senescent cells compared
with young cells. DehAsA supplementation also significantly increased
intracellular AsA and total VC levels in senescent cells, but not DehAsA, and the
increases were less than after adding AsA. Among the molecules related to VC
accumulation, the mRNA and protein expressions of sodium-dependent VC transporter
2 (SLC23A2) were increased in senescent cells. Furthermore, intracellular
peroxide and superoxide anion levels were higher in senescent cells, with AsA
supplementation markedly attenuating spontaneous intracellular peroxide
accumulation. Overall, our results therefore suggest that VC transport and
accumulation improved in senescent human fibroblast TIG-1 cells due to the
adaptive upregulation of sodium-dependent VC transporter 2 in response to
increased ROS levels. We conclude that adequate supplementation with AsA can
effectively mitigate senescence-associated intracellular ROS.
PMID- 29356988
TI - The biological changes of umbilical cord mesenchymal stem cells in inflammatory
environment induced by different cytokines.
AB - Mesenchymal stem cells (MSCs) are used as therapeutic tool for the treatment of
immune diseases. The inflammatory environment also influences the characteristics
of MSCs after transplantation. The aim of the study was to investigate the
effects of pro-inflammatory cytokines on the characteristics of umbilical cord
mesenchymal stem cells (UCMSCs). UCMSCs were exposed to pro-inflammatory
cytokines in vitro for 3 and 7 days, and the biological properties were analyzed.
The results showed that the proliferation ability was suppressed by interferon
gamma (IFN-gamma), tumor necrosis factor-alpha (TNF-alpha), and interleukin-1beta
(IL-1beta). The adipogenic capacity was inhibited in all conditioned medium,
while the chondrogenic and osteogenic capacity was enhanced by TNF-alpha and IL
1beta in vitro. Prostaglandin E2 (PGE2) was increased by IL-1beta on the third
day, and angiopoietin-1 (Ang-1) was inhibited appreciably by TNF-alpha on the
seventh day. Interleukin-6 (IL-6) was increased by TNF-alpha and IL-1beta, and
hepatocyte growth factor (HGF) was inhibited by all inflammatory cytokines. IFN
gamma secretion level from human peripheral mononuclear cells (hPBMCs) was
lowered by UCMSCs which had been stimulated by TNF-alpha or IL-1beta for 3 days.
Moreover, IFN-gamma and TNF-alpha secretion level was only inhibited by UCMSCs
which had been by stimulated IFN-gamma for 3 days but not 7 days. Our data
demonstrated that different inflammatory cytokines and the duration of treatment
had different effects on the properties of UCMSCs, which might be instructive for
clinical pretreatment in cellular therapeutics.
PMID- 29356989
TI - Eplerenone pretreatment protects the myocardium against ischaemia/reperfusion
injury through the phosphatidylinositol 3-kinase/Akt-dependent pathway in
diabetic rats.
AB - We investigated the eplerenone-induced, PI3K/Akt- and GSK-3beta-mediated
cardioprotection against ischemia/reperfusion (I/R) injury in diabetic rats. The
study groups comprising diabetic rats were treated for 14 days with 150 mg/kg/day
eplerenone orally and 1 mg/kg wortmannin (PI3K/Akt antagonist) intraperitoneally
with eplerenone. On the 15th day, the rats were exposed to I/R injury by 20-min
occlusion of the left anterior descending coronary artery followed by 30 min of
reperfusion. The hearts were processed for biochemical, molecular, and
histological investigations. The I/R injury in diabetic rats inflicted a
significant rise in the oxidative stress and apoptosis along with a decrease in
the arterial and ventricular function and the expressions of PI3K/Akt and GSK
3beta proteins. Eplerenone pretreatment reduced the arterial pressure, cardiac
inotropy, and lusitropy. It significantly reduced apoptosis and cardiac injury
markers. The histology revealed cardioprotection in eplerenone-treated rats.
Eplerenone up-regulated the PI3K/Akt and reduced the GSK-3beta expression. The
group receiving wortmannin with eplerenone was deprived eplerenone-induced
cardioprotection. Our results reveal the eplerenone-induced cardioprotection
against I/R injury in diabetic rats and substantiate the involvement of PI3K/Akt
and GSK-3beta pathways in its efficacy.
PMID- 29356991
TI - Detection and analysis of mycovirus-related RNA viruses from grape powdery mildew
fungus Erysiphe necator.
AB - The fungus, Erysiphe necator Schw., is an important plant pathogen causing
powdery mildew disease in grapevines worldwide. In this study, high-throughput
sequencing of double-stranded RNA extracted from the fungal tissue combined with
bioinformatics was used to examine mycovirus-related sequences associated with E.
necator. The results showed the presence of eight mycovirus-related sequences.
Five of these sequences representing three new mycoviruses showed alignment with
sequences of viruses classified in the genus Alphapartitivirus in the family
Partitiviridae. Another three sequences representing three new mycoviruses showed
similarity to classifiable members of the genus Mitovirus in the family
Narnaviridae. These mycovirus isolates were named Erysiphe necator partitivirus
1, 2, and 3 (EnPV 1-3) and Erysiphe necator mitovirus 1, 2, and 3 (EnMV 1-3)
reflecting their E. necator origin and their phylogenetic affiliation with other
mycoviruses.
PMID- 29356992
TI - Identification and production of mouse scFv to specific epitope of enterovirus-71
virion protein-2 (VP2).
AB - Enterovirus-71 (EV71) and coxsackievirus-A16 (CA16) frequently cause hand-foot
mouth disease (HFMD) epidemics among infants and young children. CA16 infections
are usually mild, while EV71 disease may be fatal due to neurologic
complications. As such, the ability to rapidly and specifically recognize EV71 is
needed to facilitate proper case management and epidemic control. Accordingly,
the aim of this study was to generate antibodies to EV71-virion protein-2 (VP2)
by phage display technology for further use in specific detection of EV71. A
recombinant peptide sequence of EV71-VP2, carrying a predicted conserved B cell
epitope fused to glutathione-S-transferase (GST) (designated GST-EV71-VP2/131
160), was produced. The fusion protein was used as bait in in-solution biopanning
to separate protein-bound phages from a murine scFv (MuscFv) phage display
library constructed from an immunoglobulin gene repertoire from naive ICR mice.
Three phage-transformed E. coli clones (clones 63, 82, and 83) produced MuscFvs
that bound to the GST-EV71-VP2/131-160 peptide. The MuscFv of clone 83
(MuscFv83), which produced the highest ELISA signal to the target antigen, was
further tested. MuscFv83 also bound to full-length EV71-VP2 and EV71 particles,
but did not bind to GST, full-length EV71-VP1, or the antigenically related CA16.
MuscFv83 could be a suitable reagent for rapid antigen-based immunoassay, such as
immunochromatography (ICT), for the specific detection and/or diagnosis of EV71
infection as well as epidemic surveillance.
PMID- 29356990
TI - Taxonomy of prokaryotic viruses: 2017 update from the ICTV Bacterial and Archaeal
Viruses Subcommittee.
PMID- 29356993
TI - Phantom and clinical evaluation of the effect of full Monte Carlo collimator
modelling in post-SIRT yttrium-90 Bremsstrahlung SPECT imaging.
AB - BACKGROUND: Post-therapy SPECT/CT imaging of 90Y microspheres delivered to
hepatic malignancies is difficult, owing to the continuous, high-energy
Bremsstrahlung spectrum emitted by 90Y. This study aimed to evaluate the utility
of a commercially available software package (HybridRecon, Hermes Medical
Solutions AB) which incorporates full Monte Carlo collimator modelling. Analysis
of image quality was performed on both phantom and clinical images in order to
ultimately provide a recommendation of an optimum reconstruction for post-therapy
90Y microsphere SPECT/CT imaging. A 3D-printed anthropomorphic liver phantom was
filled with 90Y with a sphere-to-background ratio of 4:1 and imaged on a GE
Discovery 670 SPECT/CT camera. Datasets were reconstructed using ordered-subsets
expectation maximization (OSEM) 1-7 iterations in order to identify the optimal
OSEM reconstruction (5 iterations, 15 subsets). Quantitative analysis was
subsequently carried out on phantom datasets obtained using four reconstruction
algorithms: the default OSEM protocol (2 iterations, 10 subsets) and the
optimised OSEM protocol, both with and without full Monte Carlo collimator
modelling. The quantitative metrics contrast recovery (CR) and background
variability (BV) were calculated. The four algorithms were then used to
retrospectively reconstruct 10 selective internal radiation therapy (SIRT)
patient datasets which were subsequently blind scored for image quality by a
consultant radiologist. RESULTS: The optimised OSEM reconstruction (5 iterations,
15 subsets with full MC collimator modelling) increased the CR by 42% (p < 0.001)
compared to the default OSEM protocol (2 iterations, 10 subsets). The use of full
Monte Carlo collimator modelling was shown to further improve CR by 14% (30 mm
sphere, CR = 90%, p < 0.05). The consultant radiologist had a significant
preference for the optimised OSEM over the default OSEM protocol (p < 0.001),
with the optimised OSEM being the favoured reconstruction in every one of the 10
clinical cases presented. CONCLUSIONS: OSEM (5 iterations, 15 subsets) with full
Monte Carlo collimator modelling is quantitatively the optimal image
reconstruction for post-SIRT 90Y Bremsstrahlung SPECT/CT imaging. The use of full
Monte Carlo collimator modelling for correction of image-degrading effects
significantly increases contrast recovery without degrading clinical image
quality.
PMID- 29356994
TI - Mutations in SUFU and PTCH1 genes may cause different cutaneous cancer
predisposition syndromes: similar, but not the same.
AB - Many cancer predisposition syndromes are preceded or accompanied by a range of
typical skin signs. Gorlin syndrome is a rare multisystem inherited disorder
which can predispose to basal cell carcinomas (BCCs), childhood medulloblastomas
in addition to various developmental abnormalities; the majority of cases are due
to mutations in the PTCH1 gene. Approximately 5% of cases have been attributed to
a mutation in the SUFU gene. Certain phenotypic features have been identified as
being more prevalent in individuals with a SUFU mutation such as childhood
medulloblastoma, infundibulocystic BCCs and trichoepitheliomas. Recently
hamartomatous skin lesions have also been noted in families with childhood
medulloblastoma, a "Gorlin like" phenotype and a SUFU mutation. Here we describe
a family previously diagnosed with Gorlin syndrome with a novel SUFU splice site
deleterious genetic variant, who have several dermatological features including
palmar sclerotic fibromas which has not been described in relation to a SUFU
mutation before. We highlight the features more prominent in individuals with a
SUFU mutation. It is important to note that emerging therapies for treatment of
BCCs in patients with a PTCH1 mutation may not be effective in those with a SUFU
mutation.
PMID- 29356995
TI - Effect of qGN4.1 QTL for Grain Number per Panicle in Genetic Backgrounds of
Twelve Different Mega Varieties of Rice.
AB - BACKGROUND: Rice is a major source of food, particularly for the growing Asian
population; hence, the utilization of genes for enhancing its yield potential is
important for ensuring food security. Earlier, we have mapped a major
quantitative trait loci (QTL) for the grain number per panicle, qGN4.1, using
biparental recombinant inbred line (RIL) populations involving a new plant type
Indica rice genotype Pusa 1266. Later, three independent studies have confirmed
the presence of a major QTL for spikelet number by three different names (SPIKE,
GPS and LSCHL4) in the same chromosomal region, and have implicated the
overexpression of Nal1 gene as the causal factor for high spikelet number.
However, the effect of qGN4.1 in different rice genetic backgrounds and
expression levels of the underlying candidate genes is not known. RESULTS: Here,
we report the effect of qGN4.1 QTL in the genetic backgrounds of 12 different
high-yielding mega varieties of rice, introgressed by marker assisted-backcross
breeding (MABB) using two QTL positive markers for foreground selection and two
QTL negative flanking markers for recombinant selection together with phenotypic
selection for the recovery of recipient parent genetic background. Analysis of
the performance of BC2F3 plants showed a significant increase in the average
number of well-filled grains per panicle in all the backgrounds, ranging from
21.6 in CSR 30-GN4.1 to 147.6 in Samba Mahsuri-GN4.1. Furthermore, qGN4.1 caused
a significant increase in flag leaf width and panicle branching in most
backgrounds. We identified BC3F3 qGN4.1 near-isogenic lines (NILs) with 92.0
98.0% similarity to the respective recipient parent by background analysis using
a 50 K rice SNP genotyping chip. Three of the NILs, namely Pusa Basmati 1121
GN4.1, Samba Mahsuri-GN4.1 and Swarna-GN4.1, showed a significant yield
superiority to their recipient parents. Analysis of differential gene expression
revealed that high grain number in these QTL-NILs was unlikely due to the
overexpression of Nal1 gene (LOC_Os04g52479). Instead, another tightly linked
gene (LOC_Os04g52590) coding for a protein kinase domain-containing protein was
consistently overexpressed in the high grain number NILs. CONCLUSION: We have
successfully introgressed the qGN4.1 QTL for high grain number per panicle into
12 different mega varieties of rice using marker-assisted backcross breeding. The
advanced near-isogenic lines are promising for the development of even higher
yielding versions of these high-yielding mega varieties of rice.
PMID- 29356996
TI - The Application of Pulsed Electromagnetic Fields (PEMFs) for Bone Fracture
Repair: Past and Perspective Findings.
AB - Bone fractures are one of the most commonly occurring injuries of the
musculoskeletal system. A highly complex physiological process, fracture healing
has been studied extensively. Data from in vivo, in vitro and clinical studies,
have shown pulsed electromagnetic fields (PEMFs) to be highly influential in the
fracture repair process. Whilst the underlying mechanisms acting to either
inhibit or advance the physiological processes are yet to be defined
conclusively, several non-invasive point of use devices have been developed for
the clinical treatment of fractures. With the complexity of the repair process,
involving many components acting at different time steps, it has been a challenge
to determine which PEMF exposure parameters (i.e., frequency of field, intensity
of field and dose) will produce the most optimal repair. In addition, the
development of an evidence-backed device comes with challenges of its own, with
many elements (including process of exposure, construct materials and tissue
densities) being highly influential to the field exposed. The objective of this
review is to provide a broad recount of the applications of PEMFs in bone
fracture repair and to then demonstrate what is further required for enhanced
therapeutic outcomes.
PMID- 29356997
TI - Hydroxyapatite Crystal Thickness and Buckling Phenomenon in Bone Nanostructure
During Mechanical Tests.
AB - An investigation of bone samples taken from the left ulna of New Zealand white
rabbits, with and without stresses and hysteresis loop, was undertaken using
Small Angle X-ray Scattering technique. The purpose of this study is to
investigate the nanostructural changes in the mean size of hydroxyapatite
crystals thickness (T) during different mechanical conditions. The experiments
were performed using bone samples aged 2 and 4 weeks, with and without strontium
ranelate treatment, after compressive load and hysteresis loop. We did not
observe any clear effects of strontium ranelate on the bones since the MANOVA
test for epiphysis and diaphysis were found. On the other hand, a significant
difference appears in epiphysis between 2 and 4 weeks. Furthermore, a reduction
in the mean size of hydroxyapatite crystal thickness was observed when the
loading pressure force increased, due to the buckling phenomenon. A return of
memory points in the elastic region of the bone was observed. The significance of
these results lays on the development of nanoproducts, with properties that are
closer to the actual bone structure.
PMID- 29356998
TI - Real-Time Closed Loop Diastolic Interval Control Prevents Cardiac Alternans in
Isolated Whole Rabbit Hearts.
AB - Cardiac alternans, a beat-to-beat alternation in action potential duration (APD),
can lead to fatal arrhythmias. During periodic pacing, changes in diastolic
interval (DI) depend on subsequent changes in APD, thus enhancing cardiac
instabilities through a 'feedback' mechanism. Recently, an anti-arrhythmic
Constant DI pacing protocol was proposed and shown to be effective in suppressing
alternans in 0D and 1D in silico studies. However, previous experimental
validation of Constant DI pacing in the heart has been unsuccessful due to the
spatio-temporal complexity of 2D cardiac tissue and the technical challenges in
its real-time implementation. Here, we developed a novel closed loop system to
detect T-waves from real-time ECG data, enabling successful implementation of
Constant DI pacing protocol, and performed high-resolution optical mapping
experiments on isolated whole rabbit hearts to validate its anti-arrhythmic
effects. The results were compared with: (1) Periodic pacing (feedback inherent)
and (2) pacing with heart rate variability (HRV) (feedback modulation) introduced
by using either Gaussian or Physiological patterns. We observed that Constant DI
pacing significantly suppressed alternans in the heart, while maintaining APD
spatial dispersion and flattening the slope of the APD restitution curve,
compared to traditional Periodic pacing. In addition, introduction of HRV in
Periodic pacing failed to prevent cardiac alternans, and was arrhythmogenic.
PMID- 29356999
TI - [Urethral strictures : From diagnostic workup to appropriate and situation
dependent treatment].
PMID- 29357000
TI - [Editorial].
PMID- 29357007
TI - Oral prednisolone versus non-steroidal anti-inflammatory drugs in the treatment
of acute gout: a meta-analysis of randomized controlled trials.
AB - OBJECTIVES: To evaluate the efficacy and safety of oral prednisolone in the
treatment of acute gout compared with non-steroidal anti-inflammatory drugs
(NSAIDs). METHODS: A comprehensive search of databases in both Chinese and
English was performed. Data from the selected studies were extracted and analyzed
independently by two authors. RESULTS: Three double-blind, randomized, controlled
trials were included in the final analysis, with a total of 584 patients.
Regarding the efficacy, oral prednisolone (30-35 mg/day) was comparable with
NSAIDs (naproxen at 500 mg/day or indomethacin at 50-100 mg/day) on the pain
relief scale, both in activity (difference in means 0.259, 95% CI - 1.532 to
2.050, P = 0.777) and at rest (difference in means - 0.502, 95% CI - 4.961 to
3.956, P = 0.825) during the first 2-6 h. During the following 4 to 6 days,
prednisolone acted with comparable efficacy either in activity (difference in
means - 0.552, 95% CI - 1.364 to 0.260, P = 0.183) or at rest (difference in
means - 0.164, 95% CI - 0.463 to 0.134, P = 0.281). Regarding safety,
prednisolone did not increase the total adverse events (AEs) (risk ratios [RR]
0.765, 95% CI 0.473 to 1.238, P = 0.275) and reduced the withdrawal rate because
of the AEs (RR 0.127, 95% CI 0.021-0.763, P = 0.024). Prednisolone decreased the
risks of several AEs (including indigestion: RR 0.544, 95% CI 0.311-0.952, P =
0.033; nausea: RR 0.296, 95% CI 0.136-0.647, P = 0.002; and vomiting: RR 0.155,
95% CI 0.033-0.722, P = 0.018) but increased the risk of skin rashes (RR 4.049,
95% CI 1.241-13.158, P = 0.021). CONCLUSIONS: Oral prednisolone may be of similar
efficacy and a slightly safer strategy for treatment of active, acute gout
compared with NSAIDs. Further clinical studies are still warranted to investigate
its long-term efficacy and safety.
PMID- 29357008
TI - Matricellular CCN6 (WISP3) protein: a tumor suppressor for mammary metaplastic
carcinomas.
AB - Located at 6q22-23, Ccn6 (WISP3) encodes for a matrix-associated protein of the
CCN family, characterized by regulatory, rather than structural, roles in
development and cancer. CCN6, the least studied member of the CCN family, shares
the conserved multimodular structure of CCN proteins, as well as their tissue and
cell-type specific functions. In the breast, CCN6 is a critical regulator of
epithelial-to-mesenchymal transitions (EMT) and tumor initiating cells. Studies
using human breast cancer tissue samples demonstrated that CCN6 messenger RNA and
protein are expressed in normal breast epithelia but reduced or lost in
aggressive breast cancer phenotypes, especially inflammatory breast cancer and
metaplastic carcinomas. Metaplastic carcinomas are mesenchymal-like triple
negative breast carcinomas, enriched for markers of EMT and stemness. RNAseq
analyses of the TCGA Breast Cancer cohort show reduced CCN6 expression in
approximately 50% of metaplastic carcinomas compared to normal breast. Our group
identified frameshift mutations of Ccn6 in a subset of human metaplastic breast
carcinoma. Importantly, conditional, mammary epithelial-cell specific ccn6
(wisp3) knockout mice develop invasive high-grade mammary carcinomas that
recapitulate human spindle cell metaplastic carcinomas, demonstrating a tumor
suppressor function for ccn6. Our studies on CCN6 functions in metaplastic
carcinoma highlight the potential of CCN6 as a novel therapeutic approach for
this specific type of breast cancer.
PMID- 29357009
TI - The matricellular protein CCN1 in tissue injury repair.
AB - The expression of Ccn1 (Cyr61) is essential for cardiovascular development during
embryogenesis, whereas in adulthood it is associated with inflammation, wound
healing, injury repair, and related pathologies including fibrosis and cancer.
Recent studies have found that CCN1 plays a critical role in promoting wound
healing and tissue repair. Mechanistically, CCN1 functions through direct
interaction with specific integrin receptors expressed in various cell types in
the wound tissue microenvironment to coordinate diverse cellular functions for
repair. Here we briefly summarize the current knowledge on the functions of CCN1
in tissue injury repair and discuss pertinent unanswered questions.
PMID- 29357010
TI - Lower life satisfaction, active coping and cardiovascular disease risk factors in
older African Americans: outcomes of a longitudinal church-based intervention.
AB - This study examined lower life satisfaction, active coping and cardiovascular
disease risk factors (diastolic and systolic blood pressure, body mass index, and
circumferences) in older African Americans over the phases of an 18-month church
based intervention, using a quasi-experimental design. Participants (n = 89) were
45 years of age and older from six churches (three treatment, three comparison)
in North Florida. Lower life satisfaction had a persistent unfavorable effect on
weight variables. Active coping showed a direct beneficial effect on selected
weight variables. However, active coping was adversely associated with blood
pressure, and did not moderate the association between lower life satisfaction
and cardiovascular risk factors. The intervention had a beneficial moderating
influence on the association between lower life satisfaction and weight variables
and on the association between active coping and these variables. Yet, this
pattern did not hold for the association between active coping and blood
pressure. The relationship of lower life satisfaction and selected cardiovascular
risk factors and the positive effect of active coping were established, but
findings regarding blood pressure suggest further study is needed.
PMID- 29357011
TI - Immunogenomics: A Negative Prostate Cancer Outcome Associated with TcR
gamma/delta Recombinations.
AB - We developed a scripted algorithm, based on previous, earlier editions of the
algorithm, to mine prostate cancer exome files for T-cell receptor (TcR)
recombination reads: Reads representing TcR gene recombinations were identified
in 497 prostate cancer exome files from the cancer genome atlas (TCGA). As has
been reported for melanoma, co-detection of productive TcR-alpha and TcR-beta
recombination reads correlated with an RNA expression signature representing T
cell exhaustion, particularly with high RNA levels for PD-1 and PD-L1, in
comparison to several different control sets of samples. Co-detection of TcR
alpha and TcR-beta recombination reads also correlated with high level expression
of genes representing antigen presenting functions, further supporting the
conclusion that co-detection of TcR-alpha and TcR-beta recombination reads
represents an immunologically relevant microenvironment. Finally, detection of
unproductive TcR-delta recombinations, and unproductive and productive TcR-gamma
recombinations, strongly correlated with, and may represent a convenient
biomarker for a poor clinical outcome. These results underscore the value of the
genomics-based assessment of unproductive TcR recombinations and raise questions
about the impact of tumor microenvironment lymphocytes in the absence of
antigenicity.
PMID- 29357013
TI - Validation of the prognostic impact of the new tumor-node-metastasis clinical
staging in patients with gastric cancer.
AB - BACKGROUND: In the 8th edition of the tumor-node-metastasis (TNM) classification,
the gastric cancer staging system includes two classifications: the clinical
stage (cStage) and the postoperative pathologic stage. However, the correlation
between the new cStage and overall survival has not been studied. Moreover,
clinical N (cN) grade analysis is not included in the new clinical staging
system. This study validated the prognostic value of cStage in the 8th edition of
the TNM classification and the significance of N classification for pretreatment
staging in gastric cancer. METHODS: A total of 4374 patients with primary gastric
cancer who underwent surgery at the Shizuoka Cancer Center were included.
Survival analysis was conducted based on the newly proposed cStage criteria of
the TNM 8th edition. Prognostic accuracy was evaluated using Harrell's
concordance index (C-index). RESULTS: The five-year survival rates according to
cStage were as follows: cStageI, 91.2%, cStageIIA: 75.1%, cStageIIB: 57.7%,
cStageIII: 43.2%, cStageIVA: 31.6%, and cStageIVB: 7.7%. Significant differences
were observed among all stages (P < 0.001). The cStage C-index was 0.802.
Meanwhile, the five-year survival rates based on cN were as follows: cN0: 83.7%,
cN1: 57.2%, cN2: 42.4%, cN3a: 22.1%, and cN3b: 0.0%. Significant differences were
also observed among all cN grades (P < 0.001). CONCLUSIONS: The cStage of the 8th
edition of the TNM classification has a good capability to predict prognosis;
thus, it may be a useful indicator for selecting appropriate gastric cancer
treatment modalities. In addition, cN can be included in developing a more
precise clinical staging of gastric cancer.
PMID- 29357012
TI - Efficacy of polyglycolic acid sheets and fibrin glue for prevention of bleeding
after gastric endoscopic submucosal dissection in patients under continued
antithrombotic agents.
AB - BACKGROUND: A novel method for the prevention of bleeding after gastric
endoscopic submucosal dissection (ESD) is necessary, as the numbers of patients
taking antithrombotic agents have increased. This study aimed to assess the
efficacy and safety of the covering method using polyglycolic acid (PGA) sheets
and fibrin glue for ESD-induced ulcer in preventing post-ESD bleeding in patients
under continued antithrombotic agents. METHODS: One hundred five consecutive
gastric tumors among 84 patients who were treated by ESD under continued
antithrombotic agents between April 2014 and September 2015 were enrolled in this
study. The patients were classified into two groups, the covering group (52
lesions among 38 patients; those with ESD in whom PGA sheets and fibrin glue were
used as the covering method) and the control group (53 lesions among 46 patients;
ESD only), and their post-ESD bleeding rates were compared. RESULTS: No
significant differences were seen in the number and type of antithrombotic
agents, lesion location, median procedure time, and median resected specimen size
between the groups. ESD was completed in all cases, with no cases of
uncontrollable bleeding during the procedure. Post-ESD bleeding occurred in 5.8%
(3/52) and 20.8% (11/53) in the covering and control groups, respectively. The
post-ESD bleeding rate significantly differed between the groups (P = 0.04; odds
ratio, 0.23; 95% confidential interval, 0.06-0.89). No adverse events were
associated with the use of PGA sheets and fibrin glue. CONCLUSIONS: The covering
method using PGA sheets and fibrin glue has the potential to reduce post-ESD
bleeding in patients receiving continued antithrombotic agents.
PMID- 29357014
TI - Ipsilateral Saccade Hypometria and Contralateral Saccadic Pursuit in a Focal
Brainstem Lesion: a Rare Oculomotor Pattern.
AB - Eye movement examination may be used to rapidly differentiate peripheral and
central vestibular syndromes in patients with acute unsteadiness. The analysis of
oculomotor impairments may also support the accurate localization of cerebral
lesions, particularly those in the brainstem, that are often loosely defined by
cerebral MRIs. Saccades, smooth pursuit, and nystagmus were recorded with video
oculography in a patient who had developed sudden vertigo as a consequence of a
focal lesion in the depth of the brachium pontis. The patient had shown a
previously unreported pattern of eye movement impairments consisting of (i)
ipsilesional hypometric saccades, (ii) contralesional saccadic smooth pursuit,
and (iii) unilateral gaze-evoked nystagmus. These symptoms enabled the precise
localization of the trajectory of pontocerebellar saccadic tracts in the depth of
the brachium pontis. We propose that this rare association resulted from a
disruption of cerebellar afferents of saccadic pathways and of cerebellar
efferents of horizontal smooth pursuit pathways. This reported case emphasizes
the crucial role of careful bedside oculomotor examination in order to
discriminate between peripheral and central vestibular syndromes in the diagnosis
of sudden vertigo. Moreover, it reveals an exceptional pattern of oculomotor
impairments that may allow for the precise localization of the trajectory of
cerebellar saccadic afferent pathways in the depth of the brachium pontis.
PMID- 29357015
TI - Influence of principal component analysis acceleration factor on velocity
measurement in 2D and 4D PC-MRI.
AB - OBJECTIVE: The objective of the study was to determine how to optimize 2D and 4D
phase-contrast magnetic resonance imaging (PC-MRI) acquisitions to acquire flow
velocities in millimetric vessels. In particular, we search for the best
compromise between acquisition time and accuracy and assess the influence of the
principal component analysis (PCA). MATERIALS AND METHODS: 2D and 4D PC-MRI
measurements are conducted within two in vitro vessel phantoms: a Y-bifurcation
phantom, the branches of which range from 2 to 5 mm in diameter, and a
physiological subject-specific phantom of the carotid bifurcation. The same
sequences are applied in vivo in carotid vasculature. RESULTS: For a vessel
oriented in the axial direction, both 2D and axial 4D PC-MRI provided accuracy
measurements regardless of the k-t PCA factor, while the acquisition time is
reduced by a factor 6 for k-t PCA maximum value. The in vivo measurements show
that the proposed sequences are adequate to acquire 2D and 4D velocity fields in
millimetric vessels and with clinically realistic time durations. CONCLUSION: The
study shows the feasibility of conducting fast, high-resolution PC-MRI flow
measurements in millimetric vessels and that it is worth maximizing the k-t PCA
factor to reduce the acquisition time in the case of 2D and 4D axial
acquisitions.
PMID- 29357016
TI - New Developments in the Diagnosis, Therapy, and Monitoring of Eosinophilic
Esophagitis.
AB - PURPOSE OF REVIEW: Eosinophilic esophagitis (EoE) has transformed over the past
two decades from a little-known entity to a significant cause of morbidity in the
adult and pediatric population. We reviewed the most recent advancements in the
diagnosis, therapy, and long-term monitoring of EoE. RECENT FINDINGS: Based on
clinical, endoscopic, histologic, immunologic, and genetic similarities, there is
growing consensus to move away from distinguishing proton pump inhibitor
responsive esophageal eosinophilia as an entity distinct from EoE. An increasing
number of studies have identified duration of untreated disease as an important
determinant of esophageal stricture formation. New approaches to the empiric
elimination diet including one, two, four, and step-up protocols were developed
to reduce the need for repeated endoscopies during reintroduction of food
triggers. Topical steroids remain the mainstay of medical therapy but newer
formulations are under development to optimize esophageal delivery. Novel,
disease activity monitoring techniques are being evaluated that assess esophageal
inflammatory activity without the need for endoscopy. Understanding of EoE has
increased remarkably from the first identification of the disease. The underlying
pathogenesis continues to be explored leading to shifts in diagnostic criteria as
well as novel therapeutic targets. Innovative methods to monitor disease are
under investigation and more research is needed to understand the natural history
of EoE.
PMID- 29357018
TI - Glutamate Dehydrogenase as a Neuroprotective Target Against Neurodegeneration.
AB - Regulation of glutamate metabolism via glutamate dehydrogenase (GDH) might be the
promising therapeutic approach for treating neurodegenerative disorders. In the
central nervous system, glutamate functions both as a major excitatory
neurotransmitter and as a key intermediate metabolite for neurons. GDH converts
glutamate to alpha-ketoglutarate, which serves as a TCA cycle intermediate.
Dysregulated GDH activity in the central nervous system is highly correlated with
neurological disorders. Indeed, studies conducted with mutant mice and allosteric
drugs have shown that deficient or overexpressed GDH activity in the brain can
regulate whole body energy metabolism and affect early onset of Parkinson's
disease, Alzheimer's disease, temporal lobe epilepsy, and spinocerebellar
atrophy. Moreover, in strokes with excitotoxicity as the main pathophysiology,
mice that overexpressed GDH exhibited smaller ischemic lesion than mice with
normal GDH expression. In additions, GDH activators improve lesions in vivo by
increasing alpha-ketoglutarate levels. In neurons exposed to an insult in vitro,
enhanced GDH activity increases ATP levels. Thus, in an energy crisis, neuronal
mitochondrial activity is improved and excitotoxic risk is reduced. Consequently,
modulating GDH activity in energy-depleted conditions could be a sound strategy
for maintaining the mitochondrial factory in neurons, and thus, protect against
metabolic failure.
PMID- 29357017
TI - Berberine Ameliorates MCAO Induced Cerebral Ischemia/Reperfusion Injury via
Activation of the BDNF-TrkB-PI3K/Akt Signaling Pathway.
AB - Cerebral ischemic injury remains associated with high mortality rates and lacks
effective therapeutic intervention. Berberine (BBR) possesses anti-oxidant, anti
inflammatory, and anti-tumor activities, as well as potent neuroprotective
effects. Although recent studies have examined the neuroprotective effects of
berberine, little is known regarding its usefulness in treating cerebral
ischemia. Thus, the aim of this study is to investigate the possible effect and
the mechanism of berberine against cerebral ischemic injury using the middle
cerebral artery occlusion (MCAO) model. Rats were randomly divided into three
groups: control group, MCAO group, and MCAO + BBR group. Modified neurological
severity score tests (mNSS) and infarct volumes were measured to determine the
neuroprotective effects of berberine. Neuronal survival in striatum was examined
by TUNEL staining and immunohistochemistry. Western blotting measured the
expression of BDNF, TrkB, p-Akt and cleaved caspase-3. The results demonstrated
that BBR could significantly protect against MCAO. Berberine also increased the
expression of BDNF, TrkB, and p-Akt, which were reduced after MCAO. Furthermore,
treatment with BBR declined the apoptosis-related proteins induced by MCAO.
However, treatment with LY294002 (PI3K inhibitor) reversed the BBR-induced
increases in BDNF and p-Akt proteins and decreased cleaved caspase-3 protein
expression in focal cerebral ischemic rats. In summary, our results demonstrated
that BBR could exert neuroprotective effects through reduction of striatum
apoptosis via the BDNF-TrkB-PI3K/Akt signaling pathway.
PMID- 29357019
TI - Disruption to the 5-HT7 Receptor Following Hypoxia-Ischemia in the Immature
Rodent Brain.
AB - It has become increasingly evident the serotonergic (5-hydroxytryptamine, 5-HT)
system is an important central neuronal network disrupted following neonatal
hypoxic-ischemic (HI) insults. Serotonin acts via a variety of receptor subtypes
that are differentially associated with behavioural and cognitive mechanisms. The
5-HT7 receptor is purported to play a key role in epilepsy, anxiety, learning and
memory and neuropsychiatric disorders. Furthermore, the 5-HT7 receptor is highly
localized in brain regions damaged following neonatal HI insults. Utilising our
well-established neonatal HI model in the postnatal day 3 (P3) rat pup we
demonstrated a significant decrease in levels of the 5-HT7 protein in the frontal
cortex, thalamus and brainstem one week after insult. We also observed a relative
decrease in both the cytosolic and membrane fractions of 5-HT7. The 5-HT7
receptor was detected on neurons throughout the cortex and thalamus, and 5-HT
cell bodies in the brainstem. However we found no evidence of 5-HT7 co
localisation on microglia or astrocytes. Moreover, minocycline treatment did not
significantly prevent the HI-induced reductions in 5-HT7. In conclusion, neonatal
HI injury caused significant disruption to 5-HT7 receptors in the forebrain and
brainstem. Yet the use of minocycline to inhibit activated microglia, did not
prevent the HI-induced changes in 5-HT7 expression.
PMID- 29357020
TI - Evaluating the Effectiveness of an Integrated Cognitive-Behavioural Intervention
(CBI) Model for Male Problem Gamblers in Hong Kong: A Matched-Pair Comparison
Design.
AB - Very few clinical cognitive-behavioural intervention (CBI) studies were conducted
with the Chinese gamblers. There is a lack of attention paid to intervening in
negative emotions that may also adversely affect PGs in current CBI treatment
modality. This study is the first attempt to systematically and rigorously
evaluate both the short-term and longer-term effects of a culturally-attuned CBI
group treatment with an emotion regulation component for a group of Chinese PGs
in Hong Kong. A quasi-experimental matched-pairs design was adopted and 84
participants were allocated to the CBI (N = 42) and social activity groups (N =
42). There are 3 assessment points in the study: baseline at recruitment, post
intervention at the end of CBI and social activity groups, and at 6-month follow
up. When compared to the social activity group and after controlling for general
group effects, there were significantly greater decreases in the severity of
gambling, gambling-related cognitions (i.e. interpretive bias), negative
psychological states (i.e. stress) and money spent on gambling in the past month
in the CBI group between pre- and post-intervention and between pre-intervention
and 6-month follow-up. Results also indicated that both reduction in gambling
related cognitions and negative psychological states could mediate the treatment
effect of the CBI on the reduction of problematic gambling behaviours. The
culturally attuned integrated CBI group treatment with an emotional regulation
component appeared to be effective in treating Chinese problem gamblers in Hong
Kong and the effects could be sustained at 6-month follow-up.
PMID- 29357021
TI - Candidate molecular pathways of white matter vulnerability in the brain of normal
aging rhesus monkeys.
AB - Mammalian aging is associated with decline in cognitive functions. Studies
searching for a cause of cognitive aging initially focused on neuronal loss but
quantitative investigations of rat, monkey, and human brain using stereology
demonstrated that in normal aging, unlike in neurodegenerative disease, neurons
are not lost. Instead, electron microscopic and MRI studies in normal aging
monkeys revealed age-related damage to myelin sheaths, loss of axons, and
reduction in white matter volume which correlates with cognitive impairments.
However, little is known about the cause of myelin defects or associated axon
loss. The present study investigates the effect of age on signaling pathways
between oligodendroglia and neurons using a custom PCR array to assess the
expression of 87 genes of interest in cortical gray matter and white matter from
the inferior parietal lobe (IPL) of normal rhesus monkeys ranging in age from 4.2
to 30.4 years old. From this array data, five target genes of interest were
selected for further analysis to confirm gene expression and measure protein
expression. The most interesting target gene identified is brain-derived
neurotrophic factor (BDNF), which was the only gene that was altered at both mRNA
and protein levels. In gray matter, BDNF mRNA was decreased. While the level of
the mature form of the protein was unchanged, there was a specific decrease in
the precursor form of BDNF. These alterations in the BDNF in gray matter could
contribute to the vulnerability and loss of the axons with age.
PMID- 29357022
TI - Impact on disease-free survival of the duration of ovarian function suppression,
as postoperative adjuvant therapy, in premenopausal women with hormone receptor
positive breast cancer: a retrospective single-institution study.
AB - INTRODUCTION: Although tamoxifen (TAM) plus ovarian function suppression (OFS) is
considered as a standard adjuvant treatment for premenopausal women with hormone
receptor-positive breast cancer, the optimal duration of OFS has not yet been
established. This retrospective study was designed to assess the duration of OFS
and the impact of the duration of OFS on the DFS in these patients. METHODS: We
retrospectively reviewed the data of premenopausal patients with breast cancer
who received TAM + OFS (goserelin or leuprorelin) as adjuvant therapy between
February 2004 and June 2015. The primary analysis was a comparison of the disease
free survival (DFS) between patients who received OFS for 3 years or less (OFS <=
3 years group) and those who received OFS for longer than 3 years (OFS > 3 years
group). RESULTS: We analyzed the data of 215 premenopausal patients diagnosed as
having hormone receptor-positive breast cancer. A propensity score-matched model
showed the absence of any significant difference in the DFS between the OFS <= 3
years group and OFS > 3 years group (6-year DFS rate, 93.2 vs. 94.0%; log-rank
test p = 0.767). CONCLUSIONS: Our data showed that among premenopausal women with
hormone receptor-positive breast cancer who received TAM + OFS as adjuvant
endocrine therapy, there was no significant difference in the DFS between the OFS
<= 3-year group and OFS > 3-year group. A randomized trial is needed to establish
the optimal duration of OFS for these patients.
PMID- 29357023
TI - Early prediction of triple negative breast cancer response to cisplatin treatment
using diffusion-weighted MRI and 18F-FDG-PET.
AB - BACKGROUND: We evaluated the potential of diffusion-weighted MRI (DW-MRI) and 18F
FDG-PET for the early prediction of a triple negative breast cancer (TNBC)
response to cisplatin. METHODS: Cisplatin-treated TNBC tumor-bearing mice were
categorized as responders or non-responders based on the tumor growth rate. DW
MRI and 18F-FDG-PET were performed before and after treatment (day 0 and days 3
and 7, respectively). The average apparent diffusion coefficient value (ADCmean),
the highest standardized uptake value (SUVmax), and the metabolic tumor volume
(MTV) were measured. The ratios of each parameter relative to day 0 were
calculated [DeltaADCmean (day 3) and (day 7), DeltaSUVmax (day 3) and (day 7),
and DeltaMTV (day 3) and (day 7), respectively]. Overall survival rates were
compared based on the thresholds determined by these parameters. RESULTS: Both
the day 3 and day 7 ratios of ADCmean and MTV showed significant differences
between the responder and non-responder groups, whereas the ratios of SUVmax did
not. Mice with DeltaADCmean (day 3) exceeding the threshold showed a longer
overall survival rate. Mice with DeltaSUVmax (day 7), DeltaMTV (day 3), and
DeltaMTV (day 7) below the respective thresholds showed a longer overall survival
rate. CONCLUSIONS: The ratios of ADCmean, SUVmax, and MTV have the potential to
predict the therapeutic response and to screen non-responders in the ultra-early
phase following cisplatin treatment in patients with TNBC.
PMID- 29357024
TI - Plant regeneration via direct somatic embryogenesis from leaf explants of
Tolumnia Louise Elmore 'Elsa'.
AB - BACKGROUND: Tolumnia genus (equitant Oncidium) is a group of small orchids with
vivid flower color. Thousands of hybrids have been registered on Royal
Horticulture Society and showed great potential for ornamental plant market. The
aim of this study is to establish an efficient method for in vitro propagation.
RESULTS: Leaf explants taken from in vitro-grown plants were used to induce
direct somatic embryogenesis on a modified 1/2 MS medium supplemented with five
kinds of cytokinins, 2iP, BA, kinetin, TDZ and zeatin at 0.3, 1 and 3 mg l-1 in
darkness. TDZ at 3 mg l-1 gave the highest percentage of explants with somatic
globular embryos after 90 days of culture. It was found that 2,4-D and light
regime highly retarded direct somatic embryogenesis and showed 95-100% of explant
browning. Histological observations revealed that the leaf cells divided into
meristematic cells firstly, followed by somatic proembryos, and then somatic
globular embryos. Eventually, somatic embryos developed a bipolar structure with
the shoot apical meristem and the root meristem. Scanning electron microscopy
observations showed that the direct somatic embryogenesis from leaf explants was
asynchronously. The somatic embryos were found on the leaf tip, the adaxial
surface and also the mesophyll through a cleft, and it reflected the
heterogeneity of the explant. The 90-day-old globular embryos were detached from
the parent explants and transferred onto a hormone-free 1/2 MS medium in light
condition for about 1 month to obtain 1-cm-height plantlets. After another 3
months for growth, the plantlets were potted with Sphagnum moss and were
acclimatized in a shaded greenhouse. After 1 month of culture, the survival rate
was 100%. CONCLUSIONS: In this report, a protocol for efficient regenerating a
Tolumnia orchid, Louise Elmore 'Elsa', was established via direct somatic
embryogenesis and might reveal an alternative approach for mass propagation of
Tolumnia genus in orchid industry.
PMID- 29357025
TI - A higher incidence of cleavage failure in oocytes containing smooth endoplasmic
reticulum clusters.
AB - PURPOSE: In human oocytes, sERCs are one of the dysmorphic phenotypes that have
been reported. Significantly reduced pregnancy rates and a comparatively higher
number of abnormities in live births appear to be associated with the presence of
sERCs in oocytes. However, some reports have shown that healthy babies can be
born, without any reduced pregnancy rates, from oocytes observed to contain
sERCs. Thus, the clinical and scientific significance of oocytes that harbor
sERCs remains controversial. METHODS: The presence of sERCs was evaluated using a
time-lapse system while studying the dynamic changes within oocytes and embryos.
Logistic regression analysis was carried out to explore the independent variables
for meiotic and mitotic cleavage failure.. RESULTS: The incidence of mitotic
cleavage failure and the incidence of meiotic cleavage failure during the second
polar body extrusion in oocytes with sERCs were found to be significantly higher
than that in oocytes without sERCs. Furthermore, ICSI was found to have a greater
frequency of meiotic failure than IVF. CONCLUSIONS: In cases of cleavage failure,
an embryonic cell could become tetraploid and may induce abnormal chromosomal
configurations. Some cells exposed to cleavage failure may become trophectoderm
cells and form placental abnormalities. Even if they develop into trophectoderm
cells, the ICM can be susceptible to further cleavage failure and may in turn
cause further aneuploidy. For these reasons, it is important to monitor
pregnancies and births derived from oocytes that contained sERCs.
PMID- 29357026
TI - Effect of mouse ovarian tissue cryopreservation by vitrification with Rapid-i
closed system.
AB - PURPOSE: Currently, open systems are mainly used for cryopreservation of ovarian
tissue, oocytes, and embryos, but there is a potential risk of contamination.
This study was performed to assess ovarian tissue cryopreservation by a closed
vitrification system (Rapid-i vitrification systemTM), which is already used
clinically for oocyte/embryo cryopreservation. METHODS: Ovaries of C57BL/6J mice
were frozen and thawed by using the Rapid-i vitrification systemTM (Rapid-i)
followed by implantation into recipient mice. Hematoxylin-eosin staining was
performed for histological examination of the frozen-thawed ovaries to assess
follicle grade. Fertility after implantation of the ovaries was assessed from the
live birth rate and the number of live pups. RESULTS: There was no significant
difference in grade 1 primary follicles between fresh ovaries (control group,
94.2 +/- 2.9%) and frozen-thawed ovaries (Rapid-i group, 87.1 +/- 1.8%). However,
there was a significant decrease in grade 1 early and late secondary follicles in
the Rapid-i group compared with the control group. The live-birth rate was
significantly lower in the Rapid-i group compared with the control group (29.2
vs. 83.3%, p < 0.05). On the other hand, there was no significant difference in
the average number of live pups between the control group and the Rapid-i group
(3 +/- 0.4 vs. 2.7 +/- 0.3). CONCLUSIONS: The Rapid-i seems to be effective for
cryopreservation of mouse ovarian tissue. Under appropriate conditions, the Rapid
i could be employed for ovarian tissue cryopreservation and preservation of
fertility in humans.
PMID- 29357028
TI - Superpredator proximity and landscape characteristics alters nest site selection
and breeding success of a subordinate predator.
AB - Selecting nesting habitat that minimizes predation risk but maximizes foraging
success is one of the most important decisions in avian life history. This takes
on added complexity when a predator is faced with the challenge of avoiding
fellow predators. We assessed the importance of local and landscape vegetation,
food abundance, and predation risk on nest site selection and nest survival in a
subordinate raptor (Mississippi Kite; Ictinia mississippiensis) nesting in
proximity to two superpredators, Red-tailed hawk (Buteo jamaicensis) and Great
horned owl (Bubo virginianus). All three species nested in trees in a grassland
landscape. In this landscape, kites favored upland trees and shrubs, avoiding
their more typical riparian forest association elsewhere in the species' range.
Compared to random conditions, kites selected nest sites with high tree density
and more closed canopy in the surrounding area. Mississippi Kite selection was
not related to food abundance but could be explained by the presence of
superpredators (i.e., hawks and owls) selecting riparian woodland for their
nests. Nest survival declined with proximity to superpredator nesting sites.
Overall, our study demonstrates how landscape structure and superior predators
shapes predation risk for subordinate predators. Our results emphasize the
importance of spatial heterogeneity in presenting opportunities for subordinate
predators to coexist in a landscape with important superpredators.
PMID- 29357027
TI - Longitudinal study of quality of life among children with acute respiratory
infection and cough.
AB - PURPOSE: Acute respiratory infections (ARIs), and associated symptoms such as
cough, are frequently experienced among children and impose a burden on families
(e.g., use of medical resources and time off work/school). However, there are
little data on changes in, and predictors of, quality of life (QoL) over the
duration of an ARI with cough (ARIwC) episode. We therefore aimed to determine
cough-specific QoL and identify its influencing factors among children with
ARIwC, at the time of presentation to a pediatric emergency department (ED), and
over the following 4 weeks. METHODS: Data from 283 children aged < 15 years were
included in our analyses. We used the validated parent-proxy children's acute
cough-specific QoL questionnaire (PAC-QoL) at each time-point. Linear regression
and mixed effect modeling were used to identify factors influencing QoL at
baseline and over the follow-up period. RESULTS: Median PAC-QoL at baseline was
2.7 (IQR 2.1-3.6) and significantly improved by Day-7 (4.9, IQR 3.8-6.1) and Day
14 (6.59, IQR 5.1-7.0), both p < 0.001. The improvements in median PAC-QoL
between Days-14, -21, and -28 were not significant. Regression modeling
identified that day-cough severity, night-cough severity, and financial concerns
had the highest impact on both baseline, and follow-up, PAC-QoL scores. There
were five additional independent significant factors at baseline and six at
follow-up. CONCLUSIONS: Quality of life is considerably impaired at presentation
to ED, but improves significantly by Days-7 and -14. As cough severity and
financial concerns had the highest impact on QoL, effectively managing cough to
reduce the clinical and financial burden on children and families is important.
PMID- 29357029
TI - Fine-scale movement and habitat use of a prairie stream fish assemblage.
AB - Measuring an organism's movement and habitat use is highly dependent on the
spatial and temporal scale of the study, with most studies measuring
distributions once a day or at less frequent intervals. Yet, to fully understand
the rates of intra- and interspecific encounters among individuals, observations
at finer spatial and temporal scales might be necessary. We used passive
integrated transponder tags and antenna arrays to continuously monitor habitat
use and vagility of three stream minnows; southern redbelly dace Chrosomus
erythrogaster, central stoneroller Campostoma anomalum, and creek chub Semotilus
atromaculatus, among and within pools of an intermittent stream. Most fish
remained in the pool where they were caught and released, or returned after
emigrating from the pool. Despite largely remaining within the release pool,
distribution among four microhabitats differed significantly over six, 4-h time
periods for all three species. Vagility, the summed distance moved among
antennas, differed significantly among species. Individual vagility (m day-1)
increased significantly with body length for stoneroller and chub, but not dace.
Some individuals moved as much as 110 m day-1 within the pool, showcasing
extensive movement at fine scales. Finally, we found no evidence that feeding
activity changed as a result of differential habitat use over a 24-h period. Our
findings indicate considerable variation in habitat use and movement occurs among
species over a 24-h period. This suggests ecologists can broaden the
interpretation of processes influencing community structure (e.g., resource
partitioning, avoidance of predators) by quantifying species distributions across
a range of spatial and temporal scales.
PMID- 29357030
TI - Seed supply limits seedling recruitment of Eucalyptus miniata: interactions
between seed predation by ants and fire in the Australian seasonal tropics.
AB - Seed predation can cause substantial seed losses and influence plant population
dynamics, but the impact depends on the extent to which populations are limited
by seed availability or favorable microsites for recruitment. Harvester ants are
the dominant post-dispersal seed predators in Australia's tropical savannas, and
their abundance and foraging efficiency, as well as the availability of seed and
microsites, are affected by fire history. We undertook a predator-exclusion
experiment to examine the interactive effects of fire history (no fire compared
with annual burning over 5 years) and seed predation by ants on seedling
establishment of the dominant savanna tree, Eucalyptus miniata, in northern
Australia. Despite its large seed size, the rate of removal (~ 20-60%) was
similar or higher than typically reported for eucalypts, although it was lower
than that recorded for the smaller seeds of the co-occurring E. tetrodonta. Seed
predation rates were twice as high in annually burnt compared to unburnt sites,
but there was no significant difference in the proportion of seedlings that
emerged from the initial seed available. Seedling emergence in both regimes was
low, representing < 7% of seed available after harvesting. About one-third of
emergent seedlings were still alive during the middle of the following dry
season. Our results indicate that seedling recruitment in E. miniata is limited
by both seed supply and microsite availability. However, seed predation by ants
reduces the likelihood of seedling establishment from low to virtually zero,
which suggests that it plays a potentially important role in the population
dynamics of savanna eucalypts.
PMID- 29357031
TI - A natural history model of New England salt marsh die-off.
AB - Natural history gave birth to ecology and evolutionary biology, but today its
importance is sometimes marginalized. Natural history provides context for
ecological research, a concept that we illustrate using a consumer-driven
vegetation die-off case study. For three decades, local predator depletion
promoted the formation of high-density crab (Sesarma reticulatum) grazing and
burrowing fronts, resulting in the spread of vegetation die-off through southern
New England and Long Island marshes. We review results from a decade of research
on this phenomenon and synthesize these findings with new field surveys,
experiments, and historical reconstructions to test the hypothesis that the
locations and processes of vegetation die-off and recovery are spatially
predictable. We discovered that crab-driven die-off consistently begins on marsh
creek heads, where peat and high flow conditions overlap, before spreading to
inner creeks following peat availability, stunted cordgrass, and flow.
Eventually, die-off eliminates most low marsh vegetation, leaving behind
unvegetated substrate too soft to support burrows. Vegetation recovery exhibits
the reverse patterns of die-off; it consistently begins in the low marsh within
inner creeks, where soft substrate and low flow conditions overlap, before
spreading to creek heads. This spatially explicit, substrate-dependent recovery
eventually leads to ungrazed cordgrass abutting grazed cordgrass on the high
marsh border. We present a conceptual model of die-off through recovery
progression to provide managers and landowners with a diagnostic tool for
identifying marsh die-off and recovery status. Collectively, this work
illustrates the fundamental importance of long-term, natural history-based
investigations of ecosystem dynamics in informing ecology, conservation, and
management practices.
PMID- 29357033
TI - The effects of resveratrol on markers of oxidative stress in patients with type 2
diabetes: a randomized, double-blind, placebo-controlled clinical trial.
AB - AIMS: Oxidative stress plays a pivotal role in the pathogenesis of type 2
diabetes (T2D). In vitro and animal studies have shown that resveratrol exerts an
antioxidant effect, but clinical trials addressing this effect in patients with
T2D are limited. The aim of this study was to determine whether resveratrol
supplementation affects oxidative stress markers in a randomized, placebo
controlled, double-blind clinical trial. METHODS: A total of 48 patients with T2D
randomly were assigned to receive 800 mg/day resveratrol or placebo for 2 months.
Plasma total antioxidant capacity, malondialdehyde concentration, protein
carbonyl and total thiol contents, intracellular superoxide anion (O2-.) and
hydrogen peroxide (H2O2) in PBMCs, the expression of genes involved in oxidative
stress responses (Nrf2, SOD, Cat, HO-1, RAGE, NOS) in PBMCs, and metabolic and
anthropometric parameters were measured at the baseline and at the trial end.
RESULTS: Compared with the placebo group, resveratrol reduced plasma protein
carbonyl content and PBMCs O2-. level and significantly increased plasma total
antioxidant capacity and total thiol content. Furthermore, the expression of Nrf2
and SOD was significantly increased after resveratrol consumption. Resveratrol
had no significant effects on the metabolic and anthropometric parameters except
for a significant reduction in weight, BMI, and blood pressure levels.
Resveratrol was well tolerated, and no serious adverse event was occurred.
CONCLUSIONS: Our study demonstrated that 8 weeks of supplementation with 800
mg/day resveratrol has an antioxidant effect in the blood and PBMCs of patients
with T2D. Clinical Trial Registry number and website IRCT registration number:
IRCT2015072523336N1 and http://en.search.irct.ir/view/24752 .
PMID- 29357032
TI - Seagrass collapse due to synergistic stressors is not anticipated by phenological
changes.
AB - Seagrasses are globally declining and often their loss is due to synergies among
stressors. We investigated the interactive effects of eutrophication and burial
on the Mediterranean seagrass, Posidonia oceanica. A field experiment was
conducted to estimate whether shoot survival depends on the interactive effects
of three levels of intensity of both stressors and to identify early changes in
plants (i.e., morphological, physiological and biochemical, and expression of
stress-related genes) that may serve to detect signals of imminent shoot density
collapse. Sediment burial and nutrient enrichment produced interactive effects on
P. oceanica shoot survival, as high nutrient levels had the potential to
accelerate the regression of the seagrass exposed to high burial (HB). After 11
weeks, HB in combination with either high or medium nutrient enrichment caused a
shoot loss of about 60%. Changes in morphology were poor predictors of the
seagrass decline. Likewise, few biochemical variables were associated with P.
oceanica survival (the phenolics, ORAC and leaf delta34S). In contrast, the
expression of target genes had the highest correlation with plant survival:
photosynthetic genes (ATPa, psbD and psbA) were up-regulated in response to high
burial, while carbon metabolism genes (CA-chl, PGK and GADPH) were down
regulated. Therefore, die-offs due to high sedimentation rate in eutrophic areas
can only be anticipated by altered expression of stress-related genes that may
warn the imminent seagrass collapse. Management of local stressors, such as
nutrient pollution, may enhance seagrass resilience in the face of the
intensification of extreme climate events, such as floods.
PMID- 29357034
TI - Prevalence and management of diabetes in immigrants resident in the Lombardy
Region: the importance of ethnicity and duration of stay.
AB - AIMS: To describe the prevalence and management of diabetes among immigrants
according to ethnic group and duration of stay, compared to Italian citizens.
METHODS: Diabetic immigrant and Italian residents aged 20-69 years in the
administrative database of the Lombardy Region. Immigrants were classified by
region of origin and as long-term residents (LTR) and short-term residents (STR).
Age- and sex-adjusted prevalence and indicators of diabetes management were
calculated for immigrants by region of origin and by length of stay using Cox
proportional models. RESULTS: In 2010 19,992 immigrants (mean age 49.1 +/- 10.8,
53.7% males) and 195,049 Italians (mean age 58.7 +/- 9.3, 61.1 males) with
diabetes were identified. Immigrants had a higher adjusted diabetes prevalence
than Italians (OR 1.48; 95% CI 1.45-1.50). STR received significantly fewer
recommended cardiovascular drugs (antiplatelets, statins and ACE-inhibitors/ARBs)
than Italians, although prescription was higher among LTR from some ethnic
groups. Immigrants were less likely to be seen by a diabetologist and to do at
least one HbA1c test per year. Although the recommended tests/visits were more
often done for the LTR than the STR, in the majority of ethnic groups these
indicators were still far from optimal. CONCLUSION: The prevalence and management
of diabetes differ between immigrants and Italians, although some improvement can
be seen among LTR.
PMID- 29357035
TI - Cryptogenic acute limb ischemia: a retrospective cohort study defining a
previously undescribed clinical entity.
AB - Acute limb ischemia (ALI) is generally secondary to cardioembolism or progression
of peripheral vascular disease, however, a discrete population of patients with
ALI exists in which no precipitant is ever established. Unlike cryptogenic
arterial occlusion in other arenas, such as cryptogenic stroke, cryptogenic acute
limb ischemia (cALI) has not been well-described, and no routine management has
been established. The aim of this study is to describe patients with cALI, and
the risk of recurrence based on the treatment they received. We performed a
retrospective cohort study of patients evaluated for ALI at a single academic
center, excluding patients with known peripheral artery disease, polytrauma,
critical illness, or a history of recent vascular access. Out of 608 individual
patients analyzed, 37 were deemed to have cALI on their initial presentation.
After extended follow up, 29 patients were eventually found to have a
precipitating cause, with 8 patients remaining cryptogenic. On follow up, the
overall rate of recurrent ALI was 13% in the group eventually found to have a
precipitating cause, and 25% in the cALI group. The median time to recurrence was
16.5 months in the precipitated acute limb ischemia (pALI) group, and 23.3 months
in the cALI group. Of pALI patients who recurred, 40% did so despite being
therapeutic on anticoagulation. None of the recurring cALI patients were
therapeutically anticoagulated. Based on our analysis, nearly 20% of patients
presenting with ALI in the absence of known risk factors will remain cryptogenic.
Rates of recurrent ALI in patients who present with cALI are significant,
particularly in patients who are not maintained on anticoagulation. This suggests
that the etiology of ALI in patients without peripheral vascular disease may not
have a strong bearing on treatment decisions, and that indefinite anticoagulation
may be warranted in patients with no obvious cause on presentation. Future
studies are needed to better gauge the risk for bleeding complications and to
provide a better understanding of the risks and benefits of recurrence and
complications of anticoagulation over time.
PMID- 29357036
TI - Recent transmission of Mycobacterium tuberculosis in China: the implication of
molecular epidemiology for tuberculosis control.
AB - Tuberculosis (TB) has remained an ongoing concern in China. The national scale-up
of the Directly Observed Treatment, Short Course (DOTS) program has accelerated
the fight against TB in China. Nevertheless, many challenges still remain,
including the spread of drug-resistant strains, high disease burden in rural
areas, and enormous rural-to-urban migrations. Whether incident active TB
represents recent transmission or endogenous reactivation has helped to
prioritize the strategies for TB control. Evidence from molecular epidemiology
studies has delineated the recent transmission of Mycobacterium tuberculosis (M.
tuberculosis) strains in many settings. However, the transmission patterns of TB
in most areas of China are still not clear. Studies carried out to date could not
capture the real burden of recent transmission of the disease in China because of
the retrospective study design, incomplete sampling, and use of low-resolution
genotyping methods. We reviewed the implementations of molecular epidemiology of
TB in China, the estimated disease burden due to recent transmission of M.
tuberculosis strains, the primary transmission of drug-resistant TB, and the
evaluation of a feasible genotyping method of M. tuberculosis strains in
circulation.
PMID- 29357037
TI - Blood-threshold CMR volume analysis of functional univentricular heart.
AB - PURPOSE: To validate a blood-threshold (BT) segmentation software for cardiac
magnetic resonance (CMR) cine images in patients with functional univentricular
heart (FUH). MATERIALS AND METHODS: We evaluated retrospectively 44 FUH patients
aged 25 +/- 8 years (mean +/- standard deviation). For each patient, the
epicardial contour of the single ventricle was manually segmented on cine images
by two readers and an automated BT algorithm was independently applied to
calculate end-diastolic volume (EDV), end-systolic volume (ESV), stroke volume
(SV), ejection fraction (EF), and cardiac mass (CM). Aortic flow analysis (AFA)
was performed on through-plane images to obtain forward volumes and used as a
benchmark. Reproducibility was tested in a subgroup of 24 randomly selected
patients. Wilcoxon, Spearman, and Bland-Altman statistics were used. RESULTS: No
significant difference was found between SV (median 57.7 ml; interquartile range
47.9-75.6) and aortic forward flow (57.4 ml; 48.9-80.4) (p = 0.123), with a high
correlation (r = 0.789, p < 0.001). Intra-reader reproducibility was 86% for SV
segmentation, and 96% for AFA. Inter-reader reproducibility was 85 and 96%,
respectively. CONCLUSION: The BT segmentation provided an accurate and
reproducible assessment of heart function in FUH patients.
PMID- 29357039
TI - Evolution of post-mortem coronary imaging: from selective coronary arteriography
to post-mortem CT-angiography and beyond.
AB - Since the 1970s, remarkable efforts have been made in the post-mortem coronary
study, especially by angiography, as an added tool to diagnose heart-related
deaths. In more recent times, post-mortem CT (PMCT) and post-mortem CT
angiography (PMCTA) have become an established practice in numerous forensic
units, because of the undeniable advantages these diagnostic instruments can
offer: data acquisition times are increasingly fast, costs have become lower and,
once acquired, data can be re-utilized and re-evaluated at any given time. This
review aims to chart the history of post-mortem cardiac imaging, highlighting its
evolution both in terms of methodology and technology as well as the contribution
that forensic radiology has been able to offer to forensic pathology, not as an
alternative to autopsy but as a guide and aid when performing one. Finally, the
latest advances in the study of cardiac deaths are explored, namely by cardiac
post-mortem MRI (PMMR), able to visualize all the various stages of a myocardial
infarction, post-mortem MRI-angiography (PMMRA), useful in investigating coronary
artery pathology and post-mortem cardiac micro-CT, able to provide near
histological levels of myocardial, coronary and valvular detail.
PMID- 29357038
TI - Uterine fibroid embolization efficacy and safety: 15 years experience in an
elevated turnout rate center.
AB - OBJECTIVE: To evaluate effectiveness and safety of UFE as alternative to surgery,
in treatment of uterine fibromatosis. METHODS/MATERIALS: 255 patients (aged 26
55) with symptomatic UF, indication for surgery, followed in our center (2000
2014), single or multiple fibroids, pain and/or functional/compressive disorders,
underwent embolization: injection of PVA particles (150-900 MUm) from distal
portion of uterine arteries (ascending section). Primary end-point: flow-stop
distally to injection site, disappearance of lesion design, preservation of flow
in main trunk of UA. Secondary end-point: control of pain and
functional/compressive disorders during follow-up (2-7 years). RESULTS: Procedure
was performed bilaterally in 250 patients (98%). Mean duration: 47 min (average
fluoroscopy: 10:50 min). Post-embolization pelvic pain (according with VAS score)
was on average 2.2 at discharge (24 h). Follow-up at 2 years: resolution of
menstrual disorders in 78% of patients and improvement in 14%; pain disappeared
in 66%; significant improvement of menstrual flow and HCT/HB levels, decrease in
total uterine (57.7%)/dominant fibroid (76.1%) volume. Recurrence in 18 patients.
CONCLUSIONS: UFE represents an excellent alternative to surgical treatment: it is
safe, tolerable and effective both in short and long term, with evident
advantages in economic and social terms.
PMID- 29357040
TI - Hepatic cavernous hemangiomas: long-term (> 5 years) follow-up changes on
contrast-enhanced dynamic computed tomography or magnetic resonance imaging and
determinant factors of the size change.
AB - PURPOSE: To investigate the very long-term (> 5 years) follow-up changes of
hepatic cavernous hemangiomas and to evaluate possible determinant factors for
the changes. MATERIALS AND METHODS: Among 1115 consecutive patients suspected of
having hepatic hemangiomas based on imaging features, 101 patients with
comparable computed tomography or magnetic resonance imaging data during a 5-year
follow-up interval in the Picture Archiving and Communication System were
analyzed. Two radiologists independently determined the largest dimension of each
lesion on axial images. In addition to background liver fibrosis or steatosis on
imaging, histories of use of chemotherapeutic agents were checked from the
patients' records. The final size change of the hemangioma was categorized into
three groups compared with the initial diameter (increased, > 120%; no change, 80
120%; decreased, < 80%). RESULTS: Among the 101 hemangiomas, 32 lesions (31.7%)
were enlarged and 21 lesions (20.8%) were shrunken during intervals of 60-157
(median, 81) months. Younger patients showed a higher prevalence of lesion
enlargement (mean age: enlarged, 47.3 years; no change, 52.8 years; shrunken,
57.1 years; p = 0.003). In 15 patients with cirrhosis, the lesions (shrunken, n =
7; enlarged, n = 1) showed a higher tendency of size decrease (p = 0.009),
whereas other factors did not show statistical significance (p > 0.05). Only a
minor proportion (1%, n = 1) of the lesions showed size fluctuation during follow
up. CONCLUSION: During the long-term (5-13 years) follow-up, about 50% of the
hepatic hemangiomas were enlarged or shrunken to > 20% of the initial diameter.
Aside from the cirrhosis and aging factors, the size changes seemed sporadic.
PMID- 29357042
TI - Nimura lecture: "Three EBMs".
AB - The three EBMs in the title refer to the following concepts: evidence-based
medicine, experience-based medicine, and echo-based medicine. Evidence-based
medicine: I have carried out the following clinical research using transthoracic
Doppler echocardiography: (1) noninvasive pulsed-wave Doppler echocardiographic
detection of the direction of shunt flow in patients with atrial septal defect:
usefulness of the right parasternal approach (1985), (2) significance of laminar
systolic regurgitant flow in patients with tricuspid regurgitation: a combined
pulsed-wave, continuous-wave, and two-dimensional echocardiography (1990), (3)
obstruction of the inferior vena caval orifice by the giant left atrium in
patients with mitral stenosis: a Doppler echocardiographic study from the right
parasternal approach (1992), and (4) demonstration of a localized acceleration
flow signal in the transmural penetrating coronary artery using transthoracic
color and pulsed-wave Doppler echocardiography in patients with hypertrophic
cardiomyopathy (1996-2017). Experience-based medicine: Dr. Eugene Braunwald says
"The best book of cardiology is the patient itself." I have conducted my modest
research activities gleaning hints through day-to-day routine work and sometimes
investigating experimentally using the Doppler echocardiographic method. I have
also learned from the Japanese Society of Echocardiography that a physician
should stand between evidence-based medicine and experience-based medicine. Echo
based medicine: This term is intended to express my personal determination. I
believe that echocardiography is the stethoscope of the 21st century. It is a
safe, painless, low-cost, and repeatable tool at the bedside. I expect that
echocardiography can reduce unnecessary healthcare costs and appropriately select
reasonable examinations for patients. I would like to devote the time left in my
career to the study of cardiovascular medicine, believing in the power of
echocardiography and the Doppler method to provide a link between evidence-based
medicine and experience-based medicine.
PMID- 29357041
TI - Resolvin D1 Halts Remote Neuroinflammation and Improves Functional Recovery after
Focal Brain Damage Via ALX/FPR2 Receptor-Regulated MicroRNAs.
AB - Remote damage is a secondary phenomenon that usually occurs after a primary brain
damage in regions that are distant, yet functionally connected, and that is
critical for determining the outcomes of several CNS pathologies, including
traumatic brain and spinal cord injuries. The understanding of remote damage
associated mechanisms has been mostly achieved in several models of focal brain
injury such as the hemicerebellectomy (HCb) experimental paradigm, which helped
to identify the involvement of many key players, such as inflammation, oxidative
stress, apoptosis and autophagy. Currently, few interventions have been shown to
successfully limit the progression of secondary damage events and there is still
an unmet need for new therapeutic options. Given the emergence of the novel
concept of resolution of inflammation, mediated by the newly identified omega3
derived specialized pro-resolving lipid mediators, such as resolvins, we reported
a reduced ability of HCb-injured animals to produce resolvin D1 (RvD1) and an
increased expression of its target receptor ALX/FPR2 in remote brain regions. The
in vivo administration of RvD1 promoted functional recovery and neuroprotection
by reducing the activation of Iba-1+ microglia and GFAP+ astrocytes as well as by
impairing inflammatory-induced neuronal cell death in remote regions. These
effects were counteracted by intracerebroventricular neutralization of ALX/FPR2,
whose activation by RvD1 also down-regulated miR-146b- and miR-219a-1-dependent
inflammatory markers. In conclusion, we propose that innovative therapies based
on RvD1-ALX/FPR2 axis could be exploited to curtail remote damage and enable
neuroprotective effects after acute focal brain damage.
PMID- 29357043
TI - Cell wall layers delimit cell groups derived from cell division in the foliose
trebouxiophycean alga Prasiola japonica.
AB - The cells in the foliose thallus of trebouxiophycean alga Prasiola japonica
apparently develop into 2 * 2 cell groups composed of two two-celled groups, each
of which is a pair of derivative cells of the latest cell division. In the
present study, the structural features of cell walls of the alga P. japonica
concerning the formation of the cell groups were investigated using histochemical
methods. Thin cell layers stained by Calcofluor White appeared to envelope the
two-celled and four-celled groups separately and, hence, separated them from
neighboring cell groups, and the Calcofluor White-negative gaps between
neighboring four-celled groups were specifically stained by lectins, such as
soybean agglutinin, jacalin, and Vicia villosa lectin conjugated with
fluorescein. These results indicated that the Calcofluor White-positive cell wall
layer of parent cell that existed during two successive cell divisions
structurally distinguished two-celled and four-celled groups from others in this
alga. Moreover, the results suggested that the cell wall components of the
Calcofluor White-negative gaps would possibly contribute to the formation of the
planar thallus through lateral union of the cell groups.
PMID- 29357044
TI - Greetings from the New Editor.
PMID- 29357045
TI - Religion and Spirituality as a Cultural Asset in Medical Students.
AB - We explored the ways that religion and spirituality (R/S) work as a cultural
asset in the lives of medical students and how students anticipate using this
asset as physicians. A group of sixteen religiously diverse medical students were
interviewed, and data were analyzed using grounded theory. The results indicate
that regardless of faith, students repurposed their R/S to help them cope with
the stress of medical school, make clinical decisions, resolve inexplicable
events, and practice patient-centered care. Medical educators should leverage
this asset to help students understand how to practice in ways that are
consistent with patient-centered care.
PMID- 29357046
TI - Translational neonatology research: transformative encounters across species and
disciplines.
AB - This paper explores the laborious and intimate work of turning bodies of research
animals into models of human patients. Based on ethnographic research in the
interdisciplinary Danish research centre NEOMUNE, we investigate collaboration
across species and disciplines, in research aiming at improving survival for
preterm infants. NEOMUNE experimental studies on piglets evolved as a platform on
which both basic and clinical scientists exercised professional authority. Guided
by the field of multi-species research, we explore the social and material agency
of research animals in the production of human health. Drawing on Anna Tsing's
concept of "collaborative survival", we show that sharing the responsibility of
the life and death of up to twenty-five preterm piglets fostered not only a
collegial solidarity between basic and clinical scientists, but also a
transformative cross-fertilization across species and disciplines-a productive
"contamination"-facilitating the day-to-day survival of piglets, the academic
survival of scientists and the promise of survival of preterm infants.
Contamination spurred intertwined identity shifts that increased the porosity
between the pig laboratory and the neonatal intensive care unit. Of particular
significance was the ability of the research piglets to flexibly become animal
infant-patient hybrids in need of a united effort from basic and clinical
researchers. However, 'hybrid pigs' also entailed a threat to the demarcation
between humans and animals that consolidates the use of animals in biomedical
research, and efforts were continuously done to keep contamination within spatial
limits. We conclude that contamination facilitates transformative encounters, yet
needs spatial containment to materialize bench-to-bedside translation.
PMID- 29357047
TI - Human Decisions in Moral Dilemmas are Largely Described by Utilitarianism:
Virtual Car Driving Study Provides Guidelines for Autonomous Driving Vehicles.
AB - Ethical thought experiments such as the trolley dilemma have been investigated
extensively in the past, showing that humans act in utilitarian ways, trying to
cause as little overall damage as possible. These trolley dilemmas have gained
renewed attention over the past few years, especially due to the necessity of
implementing moral decisions in autonomous driving vehicles (ADVs). We conducted
a set of experiments in which participants experienced modified trolley dilemmas
as drivers in virtual reality environments. Participants had to make decisions
between driving in one of two lanes where different obstacles came into view.
Eventually, the participants had to decide which of the objects they would crash
into. Obstacles included a variety of human-like avatars of different ages and
group sizes. Furthermore, the influence of sidewalks as potential safe harbors
and a condition implicating self-sacrifice were tested. Results showed that
participants, in general, decided in a utilitarian manner, sparing the highest
number of avatars possible with a limited influence by the other variables.
Derived from these findings, which are in line with the utilitarian approach in
moral decision making, it will be argued for an obligatory ethics setting
implemented in ADVs.
PMID- 29357048
TI - The role of calcium, silicon and salicylic acid treatment in protection of canola
plants against boron toxicity stress.
AB - Boron (B) toxicity often limits crop yield and the quality of production in
agricultural areas. Here, we investigated the effects of calcium (Ca), silicon
(Si) and salicylic acid (SA) on development of B toxicity, B allocation in canola
(Brassica napus cultivar Sarw 4) and its role in non-enzymatic antioxidants in
relation to yield of this cultivar under B toxicity. Canola seedlings were
subjected to four B levels induced by boric acid in the absence or presence of
Ca, Si and SA. The results showed that Ca, Si and SA addition ameliorated the
inhibition in canola growth, water content (WC), and improved siliqua number,
siliqua weight and seed index. The B content in shoots and roots and total B
accumulation in the whole plant were increased in control plants under B-toxicity
stress, and these parameters were significantly decreased by addition of Ca, Si
and SA. The shoot ascorbate pool (ascorbate, AsA, and dehydroascorbate, DHA),
alpha-tocopherol and phenolics (free and bound) were increased under B toxicity,
and were significantly decreased in most cases by addition of Ca, Si and SA,
except alpha-tocopherol, which increased at low B levels (0, 25 and 50 mg kg soil
1). The glutathione content did not obviously change by B stress, while added Ca,
Si and SA inhibited its accumulation under B stress. In addition, B toxicity
reduced the shoot flavonoids content; however, this reduction was not alleviated
by the use of Ca, Si and SA treatments. It could be concluded that growth and
yield of canola plants grown under high B concentration improved after external
application of Ca, Si or SA.
PMID- 29357049
TI - Multiple small bowel perforations due to invasive aspergillosis in a patient with
acute myeloid leukemia: case report and a systematic review of the literature.
AB - PURPOSE: Invasive aspergillosis (IA) represents a major cause of morbidity and
mortality in immunocompromised patients. Involvement of the gastrointestinal
tract by Aspergillus is mostly reported as part of a disseminated infection from
a primary pulmonary site and only rarely as an isolated organ infection. METHODS:
We report a case of small bowel perforation due to IA in a patient with acute
leukemia under chemotherapy and pulmonary aspergillosis. We performed a
systematic review of the literature as well. RESULTS: A 43-year-old man with
acute myeloid leukemia under chemotherapy developed severe neutropenia and
pulmonary aspergillosis due to Aspergillus flavus. He developed melena and
hemodynamic failure and a contrast-enhanced ultrasound scan suggested active
intestinal bleeding. During emergency laparotomy we found multiple intestinal
abscesses, several perforations of intestinal loop and Aspergillus flavus was
isolated from the abscesses. Resection of the jejunum was performed. The patient
received voriconazole and finally recovered. The patient is now alive and in
complete disease remission. From literature review we found 35 intestinal IA
previously published in single case reports or small case series as well.
CONCLUSION: Clinical manifestations of gastrointestinal aspergillosis are
nonspecific, such as abdominal pain, and only occasionally it presents as an
acute abdomen. Antemortem detection of bowel involvement is rarely achieved and,
only in cases of complicated gastrointestinal aspergillosis, the diagnosis is
achieved thanks to the findings during surgery. Gastrointestinal aspergillosis
should be suspected in patients with severe and prolonged neutropenia with or
without pulmonary involvement in order to consider the right therapy and prompt
surgery.
PMID- 29357050
TI - Clinical variation in the use of echocardiography in Staphylococcus aureus
bacteraemia: a multi-centre cohort study.
AB - The objective of this investigation was to assess whether between-hospital
variation in echocardiography usage for patients with Staphylococcus aureus
bacteraemia (SAB) is explained by differences in patients' pre-test probability
of endocarditis. This was a retrospective cohort study at three neighbouring
hospitals in Australia. Consecutive episodes of SAB were reviewed for the
presence of three endocarditis risk factors (community onset, prolonged
bacteraemia and the presence of an intracardiac prosthetic device) and the
performance and results of all echocardiography studies within 30 days.
Multivariate logistic regression was used to examine the effect of hospital site
on the performance of (i) transoesophageal and (ii) transthoracic
echocardiography controlling for major endocarditis risk factors. Significant
variation in echocardiography usage was demonstrated between sites in a total
cohort of 1167 episodes of SAB. None of the three sites were found to exhibit
echocardiography usage that could be considered consistent with current
guidelines, and each differed from the guidelines in different ways. Hospital
site, rather than endocarditis risk factors, was the strongest predictor of
transthoracic echocardiography use; however, the use of transoesophageal
echocardiography was strongly predicted by endocarditis risk factors. Variation
in echocardiography use between these hospitals is not adequately explained by
differences in the risk factor profile of their SAB cohorts.
PMID- 29357051
TI - Historical Perspective of Eukaryotic DNA Replication.
AB - The replication of the genome of a eukaryotic cell is a complex process requiring
the ordered assembly of multiprotein replisomes at many chromosomal sites. The
process is strictly controlled during the cell cycle to ensure the complete and
faithful transmission of genetic information to progeny cells. Our current
understanding of the mechanisms of eukaryotic DNA replication has evolved over a
period of more than 30 years through the efforts of many investigators. The aim
of this perspective is to provide a brief history of the major advances during
this period.
PMID- 29357052
TI - Regulation of Replication Origins.
AB - In eukaryotes, genome duplication starts concomitantly at many replication
initiation sites termed replication origins. The replication initiation program
is spatially and temporally coordinated to ensure accurate, efficient DNA
synthesis that duplicates the entire genome while maintaining other chromatin
dependent functions. Unlike in prokaryotes, not all potential replication origins
in eukaryotes are needed for complete genome duplication during each cell cycle.
Instead, eukaryotic cells vary the use of initiation sites so that only a
fraction of potential replication origins initiate replication each cell cycle.
Flexibility in origin choice allows each eukaryotic cell type to utilize
different initiation sites, corresponding to unique nuclear DNA packaging
patterns. These patterns coordinate replication with gene expression and
chromatin condensation. Budding yeast replication origins share a consensus
sequence that marks potential initiation sites. Metazoan origins, on the other
hand, lack a consensus sequence. Rather, they are associated with a collection of
structural features, chromatin packaging features, histone modifications,
transcription, and DNA-DNA/DNA-protein interactions. These features confer cell
type-specific replication and expression and play an essential role in
maintaining genomic stability.
PMID- 29357053
TI - Molecular Mechanism for Chromatin Regulation During MCM Loading in Mammalian
Cells.
AB - DNA replication is a fundamental process required for the accurate and timely
duplication of chromosomes. During late mitosis to G1 phase, the MCM2-7 complex
is loaded onto chromatin in a manner dependent on ORC, CDC6, and Cdt1, and
chromatin becomes licensed for replication. Although every eukaryotic organism
shares common features in replication control, there are also some differences
among species. For example, in higher eukaryotic cells including human cells, no
strict sequence specificity has been observed for replication origins, unlike
budding yeast or bacterial replication origins. Therefore, elements other than
beyond DNA sequences are important for regulating replication. For example, the
stability and precise positioning of nucleosomes affects replication control.
However, little is known about how nucleosome structure is regulated when
replication licensing occurs. During the last decade, histone acetylation enzyme
HBO1, chromatin remodeler SNF2H, and histone chaperone GRWD1 have been identified
as chromatin-handling factors involved in the promotion of replication licensing.
In this review, we discuss how the rearrangement of nucleosome formation by these
factors affects replication licensing.
PMID- 29357054
TI - Initiation of DNA Replication at the Chromosomal Origin of E. coli, oriC.
AB - The Escherichia coli chromosomal origin consists of a duplex-unwinding region and
a region bearing a DNA-bending protein, IHF-binding site, and clusters of binding
sites for the initiator protein DnaA. ATP-DnaA molecules form highly organized
oligomers in a process stimulated by DiaA, a DnaA-binding protein. The resultant
ATP-DnaA complexes promote local unwinding of oriC with the aid of IHF, for which
specific interaction of DnaA with the single-stranded DNA is crucial. DnaA
complexes also interact with DnaB helicases bound to DnaC loaders, promoting
loading of DnaB onto the unwound DNA strands for bidirectional replication.
Initiation of replication is strictly regulated during the cell cycle by multiple
regulatory systems for oriC and DnaA. The activity of oriC is regulated by its
methylation state, whereas that of DnaA depends on the form of the bound
nucleotide. ATP-DnaA can be yielded from initiation-inactive ADP-DnaA in a timely
manner depending on specific chromosomal DNA elements termed DARS (DnaA
reactivating sequences). After initiation, DnaA-bound ATP is hydrolyzed by two
systems, yielding ADP-DnaA. In this review, these and other mechanisms of
initiation and its regulation in E. coli are described.
PMID- 29357055
TI - Initiation of DNA Replication in the Archaea.
AB - Organisms within the archaeal domain of life possess a simplified version of the
eukaryotic DNA replication machinery. While some archaea possess a bacterial-like
mode of DNA replication with single origins of replication per chromosome, the
majority of species characterized to date possess chromosomes with multiple
replication origins. Genetic, structural, and biochemical studies have revealed
the nature of archaeal origin specification. Recent work has begun to shed light
on the mechanisms of replication initiation in these organisms.
PMID- 29357056
TI - Mechanism of Lagging-Strand DNA Replication in Eukaryotes.
AB - This chapter focuses on the enzymes and mechanisms involved in lagging-strand DNA
replication in eukaryotic cells. Recent structural and biochemical progress with
DNA polymerase alpha-primase (Pol alpha) provides insights how each of the
millions of Okazaki fragments in a mammalian cell is primed by the primase
subunit and further extended by its polymerase subunit. Rapid kinetic studies of
Okazaki fragment elongation by Pol delta illuminate events when the polymerase
encounters the double-stranded RNA-DNA block of the preceding Okazaki fragment.
This block acts as a progressive molecular break that provides both time and
opportunity for the flap endonuclease 1 (FEN1) to access the nascent flap and cut
it. The iterative action of Pol delta and FEN1 is coordinated by the replication
clamp PCNA and produces a regulated degradation of the RNA primer, thereby
preventing the formation of long-strand displacement flaps. Occasional long flaps
are further processed by backup nucleases including Dna2.
PMID- 29357057
TI - Functions of Multiple Clamp and Clamp-Loader Complexes in Eukaryotic DNA
Replication.
AB - Proliferating cell nuclear antigen (PCNA) and replication factor C (RFC) were
identified in the late 1980s as essential factors for replication of simian virus
40 DNA in human cells, by reconstitution of the reaction in vitro. Initially,
they were only thought to be involved in the elongation stage of DNA replication.
Subsequent studies have demonstrated that PCNA functions as more than a
replication factor, through its involvement in multiple protein-protein
interactions. PCNA appears as a functional hub on replicating and replicated
chromosomal DNA and has an essential role in the maintenance genome integrity in
proliferating cells.Eukaryotes have multiple paralogues of sliding clamp, PCNA
and its loader, RFC. The PCNA paralogues, RAD9, HUS1, and RAD1 form the
heterotrimeric 9-1-1 ring that is similar to the PCNA homotrimeric ring, and the
9-1-1 clamp complex is loaded onto sites of DNA damage by its specific loader
RAD17-RFC. This alternative clamp-loader system transmits DNA-damage signals in
genomic DNA to the checkpoint-activation network and the DNA-repair
apparatus.Another two alternative loader complexes, CTF18-RFC and ELG1-RFC, have
roles that are distinguishable from the role of the canonical loader, RFC. CTF18
RFC interacts with one of the replicative DNA polymerases, Polepsilon, and loads
PCNA onto leading-strand DNA, and ELG1-RFC unloads PCNA after ligation of lagging
strand DNA. In the progression of S phase, these alternative PCNA loaders
maintain appropriate amounts of PCNA on the replicating sister DNAs to ensure
that specific enzymes are tethered at specific chromosomal locations.
PMID- 29357058
TI - Termination of Eukaryotic Replication Forks.
AB - Termination of DNA replication forks takes place when two replication forks
coming from neighbouring origins meet each other usually in the midpoint of the
replicon. At this stage, the remaining fragments of DNA have to be unwound, all
remaining DNA replicated and newly synthesised strands ligated to produce
continuous sister chromatids. Finally, the replication machinery has to be taken
off, chromatin re-assembled, and entwisted sister chromatids resolved
topologically.Over the last few decades, we have learned a lot about the assembly
of the helicase and replisome and the initiation stage of DNA replication. We
also know much more about the ability of forks to cope with replication stress.
However, only within recent years we have gained the first glimpse of the
mechanism of replication fork termination. In this chapter I will summarise the
recent findings on replication termination, weigh this against the past
literature and discuss relevant consequences and views for the future.
PMID- 29357059
TI - Structure of the MCM2-7 Double Hexamer and Its Implications for the Mechanistic
Functions of the Mcm2-7 Complex.
AB - The eukaryotic minichromosome maintenance 2-7 complex is the core of the inactive
MCM replication licensing complex and the catalytic core of the Cdc45-MCM-GINS
replicative helicase. The years of effort to determine the structure of parts or
the whole of the heterohexameric complex by X-ray crystallography and
conventional cryo-EM produced limited success. Modern cryo-EM technology ushered
in a new era of structural biology that allowed the determination of the
structure of the inactive double hexamer at an unprecedented resolution of 3.8 A.
This review will focus on the fine details observed in the Mcm2-7 double
hexameric complex and their implications for the function of the Mcm2-7 hexamer
in its different roles during DNA replication.
PMID- 29357061
TI - Replication Domains: Genome Compartmentalization into Functional Replication
Units.
AB - DNA replication occurs in a defined temporal order during S phase, known as the
replication timing programme, which is regulated not only during the cell cycle
but also during the process of development and differentiation. The units of
replication timing regulation, known as replication domains (RDs), frequently
comprise several nearly synchronously firing replication origins. Replication
domains correspond to topologically associating domains (TADs) mapped by
chromatin conformation capture methods and are likely to be the molecular
equivalents of replication foci observed using cytogenetic methods. Both TAD and
replication foci are considered to be stable structural units of chromosomes,
conserved through the cell cycle and development, and accordingly, the boundaries
of RDs also appear to be stable in different cell types. During both normal
development and progression of disease, distinct cell states are characterized by
unique replication timing signatures, with approximately half of genomic RDs
switching replication timing between these cell states. Advances in functional
genomics provide hope that we can soon gain an understanding of the cause and
consequence of the replication timing programme and its myriad correlations with
chromatin context and gene regulation.
PMID- 29357062
TI - Rif1-Dependent Regulation of Genome Replication in Mammals.
AB - Eukaryotic genomes are replicated starting from multiple origins of replication.
Their usage is tightly regulated, and not all the potential origins are activated
during a single cell cycle. In addition, the ones that are activated are
activated in a sequential order. Why don't origins of replication normally all
fire together? Is this important? And if so, why? Would any order of firing do,
or does the specific sequence matter? How is this process regulated? These
questions concern all eukaryotes but have proven extremely hard to address
because replication timing is a process intricately connected with multiple
aspects of nuclear function.
PMID- 29357063
TI - G-Quadruplexes and DNA Replication Origins.
AB - DNA replication ensures the accurate duplication of the genome at each cell
cycle. During S phase, tens of thousands of replication origins throughout the
vertebrate genome are activated according to a spatiotemporal program. The genome
wide mapping of origins in several model systems has identified G-quadruplexes
higher-order DNA structures formed from G-rich sequences-as potential key
regulators of origin activity. Here, I describe genetic experiments demonstrating
the role of G-quadruplexes in origin function. I discuss the different means by
which G-quadruplexes might regulate origin function. Finally, comparisons of
replicon organization in the three domains of life suggest that G-quadruplexes
may have retained a conserved role in origin function during evolution.
PMID- 29357060
TI - Architecture of the Saccharomyces cerevisiae Replisome.
AB - Eukaryotic replication proteins are highly conserved, and thus study of
Saccharomyces cerevisiae replication can inform about this central process in
higher eukaryotes including humans. The S. cerevisiae replisome is a large and
dynamic assembly comprised of ~50 proteins. The core of the replisome is composed
of 31 different proteins including the 11-subunit CMG helicase; RFC clamp loader
pentamer; PCNA clamp; the heteroligomeric DNA polymerases epsilon, delta, and
alpha-primase; and the RPA heterotrimeric single strand binding protein. Many
additional protein factors either travel with or transiently associate with these
replisome proteins at particular times during replication. In this chapter, we
summarize several recent structural studies on the S. cerevisiae replisome and
its subassemblies using single particle electron microscopy and X-ray
crystallography. These recent structural studies have outlined the overall
architecture of a core replisome subassembly and shed new light on the mechanism
of eukaryotic replication.
PMID- 29357064
TI - Interaction of Rif1 Protein with G-Quadruplex in Control of Chromosome
Transactions.
AB - Recent studies on G-quadruplex (G4) revealed crucial and conserved functions of
G4 in various biological systems. We recently showed that Rif1, a conserved
nuclear factor, binds to G4 present in the intergenic regions and plays a major
role in spatiotemporal regulation of DNA replication. Rif1 may tether chromatin
fibers through binding to G4, generating specific chromatin domains that dictate
the replication timing. G4 and its various binding partners are now implicated in
many other chromosome regulations, including transcription, replication
initiation, recombination, gene rearrangement, and transposition.
PMID- 29357065
TI - Chromatin Replication and Histone Dynamics.
AB - Inheritance of the DNA sequence and its proper organization into chromatin is
fundamental for genome stability and function. Therefore, how specific chromatin
structures are restored on newly synthesized DNA and transmitted through cell
division remains a central question to understand cell fate choices and self
renewal. Propagation of genetic information and chromatin-based information in
cycling cells entails genome-wide disruption and restoration of chromatin,
coupled with faithful replication of DNA. In this chapter, we describe how cells
duplicate the genome while maintaining its proper organization into chromatin. We
reveal how specialized replication-coupled mechanisms rapidly assemble newly
synthesized DNA into nucleosomes, while the complete restoration of chromatin
organization including histone marks is a continuous process taking place
throughout the cell cycle. Because failure to reassemble nucleosomes at
replication forks blocks DNA replication progression in higher eukaryotes and
leads to genomic instability, we further underline the importance of the
mechanistic link between DNA replication and chromatin duplication.
PMID- 29357067
TI - Roles of SUMO in Replication Initiation, Progression, and Termination.
AB - Accurate genome duplication during cell division is essential for life. This
process is accomplished by the close collaboration between replication factors
and many additional proteins that provide assistant roles. Replication factors
establish the replication machineries capable of copying billions of nucleotides,
while regulatory proteins help to achieve accuracy and efficiency of replication.
Among regulatory proteins, protein modification enzymes can bestow fast and
reversible changes to many targets, leading to coordinated effects on
replication. Recent studies have begun to elucidate how one type of protein
modification, sumoylation, can modify replication proteins and regulate genome
duplication through multiple mechanisms. This chapter summarizes these new
findings, and how they can integrate with the known regulatory circuitries of
replication. As this area of research is still at its infancy, many outstanding
questions remain to be explored, and we discuss these issues in light of the new
advances.
PMID- 29357068
TI - The Multiple Roles of Ubiquitylation in Regulating Challenged DNA Replication.
AB - DNA replication is essential for the propagation of life and the development of
complex organisms. However, replication is a risky process as it can lead to
mutations and chromosomal alterations. Conditions challenging DNA synthesis by
replicative polymerases or DNA helix unwinding, generally termed as replication
stress, can halt replication fork progression. Stalled replication forks are
unstable, and mechanisms exist to protect their integrity, which promote an
efficient restart of DNA synthesis and counteract fork collapse characterized by
the accumulation of DNA lesions and mutagenic events. DNA replication is a highly
regulated process, and several mechanisms control replication timing and
integrity both during unperturbed cell cycles and in response to replication
stress. Work over the last two decades has revealed that key steps of DNA
replication are controlled by conjugation of the small peptide ubiquitin. While
ubiquitylation was traditionally linked to protein degradation, the complexity
and flexibility of the ubiquitin system in regulating protein function have
recently emerged. Here we review the multiple roles exerted by ubiquitin
conjugating enzymes and ubiquitin-specific proteases, as well as readers of
ubiquitin chains, in the control of eukaryotic DNA replication and replication
coupled DNA damage tolerance and repair.
PMID- 29357066
TI - The Temporal Regulation of S Phase Proteins During G1.
AB - Successful DNA replication requires intimate coordination with cell-cycle
progression. Prior to DNA replication initiation in S phase, a series of
essential preparatory events in G1 phase ensures timely, complete, and precise
genome duplication. Among the essential molecular processes are regulated
transcriptional upregulation of genes that encode replication proteins,
appropriate post-transcriptional control of replication factor abundance and
activity, and assembly of DNA-loaded protein complexes to license replication
origins. In this chapter we describe these critical G1 events necessary for DNA
replication and their regulation in the context of both cell-cycle entry and cell
cycle progression.
PMID- 29357070
TI - Coordinating Replication with Transcription.
AB - DNA topological transitions occur when replication forks encounter other DNA
transactions such as transcription. Failure in resolving such conflicts leads to
generation of aberrant replication and transcription intermediates that might
have adverse effects on genome stability. Cells have evolved numerous
surveillance mechanisms to avoid, tolerate, and resolve such replication
transcription conflicts. Defects or non-coordination in such cellular mechanisms
might have catastrophic effect on cell viability. In this chapter, we review
consequences of replication encounters with transcription and its associated
events, topological challenges, and how these inevitable conflicts alter the
genome structure and functions.
PMID- 29357072
TI - Cyclin E Deregulation and Genomic Instability.
AB - Precise replication of genetic material and its equal distribution to daughter
cells are essential to maintain genome stability. In eukaryotes, chromosome
replication and segregation are temporally uncoupled, occurring in distinct
intervals of the cell cycle, S and M phases, respectively. Cyclin E accumulates
at the G1/S transition, where it promotes S phase entry and progression by
binding to and activating CDK2. Several lines of evidence from different models
indicate that cyclin E/CDK2 deregulation causes replication stress in S phase and
chromosome segregation errors in M phase, leading to genomic instability and
cancer. In this chapter, we will discuss the main findings that link cyclin
E/CDK2 deregulation to genomic instability and the molecular mechanisms by which
cyclin E/CDK2 induces replication stress and chromosome aberrations during
carcinogenesis.
PMID- 29357073
TI - Replication Through Repetitive DNA Elements and Their Role in Human Diseases.
AB - Human cells contain various repetitive DNA sequences, which can be a challenge
for the DNA replication machinery to travel through and replicate correctly.
Repetitive DNA sequence can adopt non-B DNA structures, which could block the DNA
replication. Prolonged stalling of the replication fork at the endogenous repeats
in human cells can have severe consequences such as genome instability that
includes repeat expansions, contractions, and chromosome fragility. Several
neurological and muscular diseases are caused by a repeat expansion. Furthermore
genome instability is the major cause of cancer. This chapter describes some of
the important classes of repetitive DNA sequences in the mammalian genome, their
ability to form secondary DNA structures, their contribution to replication fork
stalling, and models for repeat expansion as well as chromosomal fragility.
Included in this chapter are also some of the strategies currently employed to
detect changes in DNA replication and proteins that could prevent the repeat
mediated disruption of DNA replication in human cells. Additionally summarized
are the consequences of repeat-associated perturbation of the DNA replication,
which could lead to specific human diseases.
PMID- 29357074
TI - Emicizumab-kxwh: First Global Approval.
AB - Emicizumab-kxwh (Hemlibra(r)) is a bispecific humanized monoclonal antibody that
restores the function of missing activated FVIII by bridging activated FIX and FX
to facilitate effective haemostasis in patients with haemophilia A. Subcutaneous
emicizumab-kxwh is approved in the USA for use as routine prophylaxis to prevent
or reduce the frequency of bleeding episodes in adults and paediatric patients
with haemophilia A (congenital FVIII deficiency) with FVIII inhibitors.
Subcutaneous emicizumab-kxwh is awaiting approval in several countries worldwide,
including in the EU and Japan, and is undergoing phase 3 development in
haemophilia A without FVIII inhibitors. This article summarizes the milestones in
the development of emicizumab-kxwh leading to its first global approval for use
as prophylaxis to prevent or reduce the frequency of bleeding episodes in
patients with haemophilia A.
PMID- 29357069
TI - Regulation of Mammalian DNA Replication via the Ubiquitin-Proteasome System.
AB - Proper regulation of DNA replication ensures the faithful transmission of genetic
material essential for optimal cellular and organismal physiology. Central to
this regulation is the activity of a set of enzymes that induce or reverse
posttranslational modifications of various proteins critical for the initiation,
progression, and termination of DNA replication. This is particularly important
when DNA replication proceeds in cancer cells with elevated rates of genomic
instability and increased proliferative capacities. Here, we describe how DNA
replication in mammalian cells is regulated via the activity of the ubiquitin
proteasome system as well as the consequence of derailed ubiquitylation signaling
involved in this important cellular activity.
PMID- 29357076
TI - A grey DEMATEL-based approach for modeling enablers of green innovation in
manufacturing organizations.
AB - Incorporating green practices into the manufacturing process has gained momentum
over the past few years and is a matter of great concern for both manufacturers
as well as researchers. Regulatory pressures in developed countries have forced
the organizations to adopt green practices; however, this issue still lacks
attention in developing economies like India. There is an urgent need to identify
enablers of green innovation for manufacturing organizations and also to identify
prominent enablers among those. This study is an attempt to first identify
enablers of green innovation and then establish a causal relationship among them
to identify the enablers that can drive others. Grey DEMATEL (Decision Making
Trial and Evaluation Laboratory) methodology is used for establishing the causal
relationship among enablers. The novelty of this study lies in the fact that no
study has been done in the past to identify the enablers of green innovation and
then establishing the causal relationship among them. A total of 21 enablers of
green innovation have been identified; research indicates developing green
manufacturing capabilities, resources for green innovation, ease of getting loans
from financial institutions, and environmental regulations as the most
influential enablers of green innovation. Managerial and practical implications
of the research are also presented to assist managers of the case company in
adopting green innovation practices at their end.
PMID- 29357075
TI - Bacterial community structure and abundances of antibiotic resistance genes in
heavy metals contaminated agricultural soil.
AB - Soil contamination with heavy metals is a worldwide problem especially in China.
The interrelation of soil bacterial community structure, antibiotic resistance
genes, and heavy metal contamination in soil is still unclear. Here, seven
agricultural areas (G1-G7) with heavy metal contamination were sampled with
different distances (741 to 2556 m) to the factory. Denaturing gradient gel
electrophoresis (DGGE) and Shannon index were used to analyze bacterial community
diversity. Real-time fluorescence quantitative PCR was used to detect the
relative abundance of ARGs sul1, sul2, tetA, tetM, tetW, one mobile genetic
elements (MGE) inti1. Results showed that all samples were polluted by Cadmium
(Cd), and some of them were polluted by lead (Pb), mercury (Hg), arsenic (As),
copper (Cu), and zinc (Zn). DGGE showed that the most abundant bacterial species
were found in G7 with the lightest heavy metal contamination. The results of the
principal component analysis and clustering analysis both showed that G7 could
not be classified with other samples. The relative abundance of sul1 was
correlated with Cu, Zn concentration. Gene sul2 are positively related with total
phosphorus, and tetM was associated with organic matter. Total gene abundances
and relative abundance of inti1 both correlated with organic matter. Redundancy
analysis showed that Zn and sul2 were significantly related with bacterial
community structure. Together, our results indicate a complex linkage between
soil heavy metal concentration, bacterial community composition, and some global
disseminated ARG abundance.
PMID- 29357071
TI - Fragility Extraordinaire: Unsolved Mysteries of Chromosome Fragile Sites.
AB - Chromosome fragile sites are a fascinating cytogenetic phenomenon now widely
implicated in a slew of human diseases ranging from neurological disorders to
cancer. Yet, the paths leading to these revelations were far from direct, and the
number of fragile sites that have been molecularly cloned with known disease
associated genes remains modest. Moreover, as more fragile sites were being
discovered, research interests in some of the earliest discovered fragile sites
ebbed away, leaving a number of unsolved mysteries in chromosome biology. In this
review we attempt to recount some of the early discoveries of fragile sites and
highlight those phenomena that have eluded intense scrutiny but remain extremely
relevant in our understanding of the mechanisms of chromosome fragility. We then
survey the literature for disease association for a comprehensive list of fragile
sites. We also review recent studies addressing the underlying cause of
chromosome fragility while highlighting some ongoing debates. We report an
observed enrichment for R-loop forming sequences in fragile site-associated genes
than genomic average. Finally, we will leave the reader with some lingering
questions to provoke discussion and inspire further scientific inquiries.
PMID- 29357077
TI - Does finance affect environmental degradation: evidence from One Belt and One
Road Initiative region?
AB - This paper explores the effects of finance on environmental degradation and
investigates environmental Kuznets curve (EKC) of each country among 52 that
participate in the One Belt and One Road Initiative (OBORI) using the latest long
panel data span (1980-2016). We utilized panel long run econometric models (fully
modified ordinary least square and dynamic ordinary least square) to explore the
long-run estimates in full panel and country level. Moreover, the Dumitrescu and
Hurlin (2012) causality test is applied to examine the short-run causalities
among our considered variables. The empirical findings validate the EKC
hypothesis; the long-run estimates point out that finance significantly enhances
the environmental degradation (negatively in few cases). The short-run
heterogeneous causality confirms the bi-directional causality between finance and
environmental degradation. The empirical outcomes suggest that policymakers
should consider the environmental degradation issue caused by financial
development in the One Belt and One Road region.
PMID- 29357078
TI - Risk approximation in decision making: approximative numeric abilities predict
advantageous decisions under objective risk.
AB - Many decision situations in everyday life involve mathematical considerations. In
decisions under objective risk, i.e., when explicit numeric information is
available, executive functions and abilities to handle exact numbers and ratios
are predictors of objectively advantageous choices. Although still debated, exact
numeric abilities, e.g., normative calculation skills, are assumed to be related
to approximate number processing skills. The current study investigates the
effects of approximative numeric abilities on decision making under objective
risk. Participants (N = 153) performed a paradigm measuring number-comparison,
quantity-estimation, risk-estimation, and decision-making skills on the basis of
rapid dot comparisons. Additionally, a risky decision-making task with exact
numeric information was administered, as well as tasks measuring executive
functions and exact numeric abilities, e.g., mental calculation and ratio
processing skills, were conducted. Approximative numeric abilities significantly
predicted advantageous decision making, even beyond the effects of executive
functions and exact numeric skills. Especially being able to make accurate risk
estimations seemed to contribute to superior choices. We recommend approximation
skills and approximate number processing to be subject of future investigations
on decision making under risk.
PMID- 29357079
TI - Pharmacokinetics, pharmacodynamics, safety, and tolerability of intravenous
ferric carboxymaltose: a dose-escalation study in Japanese volunteers with iron
deficiency anemia.
AB - Iron-deficiency anemia (IDA) is the most common form of anemia. Iron replacement
therapy is an effective treatment, but oral and previously available intravenous
(IV) formulations in Japan have disadvantages such as side effects, immunogenic
reactions, low dose per tablet/vial, and the need for continuous administration.
Ferric carboxymaltose (FCM), which overcomes these limitations, is widely used as
an IV iron preparation outside of Japan. In this single-center, open-label,
single-dose escalation study, we investigated the pharmacokinetics (PK),
pharmacodynamics (PD), safety, and tolerability of FCM in Japanese subjects.
Twenty-four Japanese IDA patients, diagnosed by hemoglobin, serum ferritin, and
transferrin saturation, were assigned in equal groups to the 100, 500, 800, and
1000 mg iron dose arms. All subjects completed the study without important
protocol deviations. Mean total serum iron concentrations showed a rapid, dose
dependent increase after FCM injection, reaching a maximum within 1 h. Mean
reticulocyte counts significantly increased in all arms, suggesting improved
hematopoietic function. Fourteen of 24 subjects experienced adverse events, but
these were neither serious nor led to drug interruption. The PK/PD and safety
profiles were similar in Japanese and European subjects. Ferric carboxymaltose is
safe for administration in Japanese patients with IDA.
PMID- 29357080
TI - Lymphoma epidemiology in Korea and the real clinical field including the
Consortium for Improving Survival of Lymphoma (CISL) trial.
AB - Lymphomas are a heterogeneous group of disease entities with well-defined
clinical, morphological, immunophenotypic, and cytogenetic characteristics.
Moreover, regional and racial differences have been reported in their incidence
and subtype compositions. Here, we reviewed the epidemiology of lymphomas and
summarized the recent achievements in specific subtypes prevalent in Korean
population, focusing on clinical studies conducted by the Consortium for
Improving Survival of Lymphoma (CISL) of the Korean Society of Hematology
Lymphoma Working Party (KSH-LWP).
PMID- 29357081
TI - Biochemical efficacy of long-acting lanreotide depot/Autogel in patients with
acromegaly naive to somatostatin-receptor ligands: analysis of three multicenter
clinical trials.
AB - PURPOSE: In clinical research involving acromegalic patients naive to
somatostatin-receptor ligands (SRLs), 19 and 31% of those receiving the SRLs
octreotide LAR and pasireotide LAR, respectively, achieved GH < 2.5 ng/mL +
normalized IGF-1 concentrations. The proportions achieving control appeared
higher in the post-surgery compared with the de-novo setting with pasireotide,
but more similar with octreotide. Using pooled data from multicenter clinical
trials, we examined the biochemical efficacy of lanreotide depot/Autogel in
similar settings. METHODS: Inclusion criteria: Ipsen-sponsored, 48-52-week trials
in SRL-naive acromegalic populations receiving lanreotide depot (60-120 mg);
patients were included if de novo (no prior acromegaly treatment) or post-surgery
(no medical treatment; radiotherapy allowed unless within previous 3 years).
Efficacy endpoints included normalized IGF-1 levels and GH < 2.5 ng/mL +
normalized IGF-1 at study end/last value available. ANALYSES: all patients
(analysis #1) and subset with baseline GH > 5 ng/mL (analysis #2). RESULTS: Three
studies were included. Analysis #1: normalized IGF-1 was achieved by 42% (71/171)
of patients overall (post-surgery, 46% [21/46]; de-novo, 40% [50/125]); GH < 2.5
ng/mL + normalized IGF-1 was achieved by 35% (59/171) (39% [18/46] and 33%
[41/125], respectively). Analysis #2: normalized IGF-1 levels, 39% (46/118) (post
surgery, 40% [10/25]; de-novo, 39% [36/93]); GH < 2.5 ng/mL + normalized IGF-1,
31% (36/118) (28% [7/25] and 31% [29/93], respectively). CONCLUSION: In these
pooled analyses of SRL-naive patients receiving lanreotide depot, 39-42% achieved
IGF-1 control and 31-35% achieved GH and IGF-1 control. Control rates within post
surgery cohorts did not differ markedly from those in corresponding de-novo
cohorts.
PMID- 29357082
TI - Testicular germ line cell identification, isolation, and transplantation in two
North American catfish species.
AB - Our aim was to transplant blue catfish germ line stem cells into blastulae of
triploid channel catfish embryos to produce interspecific xenogenic catfish. The
morphological structure of the gonads of blue catfish (Ictalurus furcatus) in ~
90- to 100-day-old juveniles, two-year-old juveniles, and mature adults was
studied histologically. Both oogonia (12-15 MUm, diameter with distinct nucleus 7
8 MUm diameter) and spermatogonia (12-15 MUm, with distinct nucleus 6-7.5 MUm
diameter) were found in all ages of fish. The percentage of germ line stem cells
was higher in younger blue catfish of both sexes. After the testicular tissue was
trypsinized, a discontinuous density gradient centrifugation was performed using
70, 45, and 35% Percoll to enrich the percentage of spermatogonial stem cells
(SSCs). Four distinct cell bands were generated after the centrifugation. It was
estimated that 50% of the total cells in the top band were type A spermatogonia
(diameter 12-15 MUm) and type B spermatogonia (diameter 10-11 MUm). Germ cells
were confirmed with expression of vasa. Blastula-stage embryos of channel catfish
(I. punctatus) were injected with freshly dissociated blue catfish testicular
germ cells as donor cells for transplantation. Seventeen days after the
transplantation, 33.3% of the triploid channel catfish fry were determined to be
xenogenic catfish. This transplantation technique was efficient, and these
xenogenic channel catfish need to be grown to maturity to verify their
reproductive capacity and to verify that for the first time SSCs injected into
blastulae were able to migrate to the genital ridge and colonize. These results
open the possibility of artificially producing xenogenic channel catfish males
that can produce blue catfish sperm and mate with normal channel catfish females
naturally. The progeny would be all C * B hybrid catfish, and the efficiency of
hybrid catfish production could be improved tremendously in the catfish industry.
PMID- 29357083
TI - Gastrointestinal Tract Pathology in a BALB/c Niemann-Pick Disease Type C1 Null
Mouse Model.
AB - BACKGROUND: Niemann-Pick disease, type C (NPC) is a rare lysosomal storage
disorder characterized by progressive neurodegeneration, splenomegaly,
hepatomegaly, and early death. NPC is caused by mutations in either the NPC1 or
NPC2 gene. Impaired NPC function leads to defective intracellular transport of
unesterified cholesterol and its accumulation in late endosomes and lysosomes. A
high frequency of Crohn disease has been reported in NPC1 patients, suggesting
that gastrointestinal tract pathology may become a more prominent clinical issue
if effective therapies are developed to slow the neurodegeneration. The Npc1 nih
mouse model on a BALB/c background replicates the hepatic and neurological
disease observed in NPC1 patients. Thus, we sought to characterize the
gastrointestinal tract pathology in this model to determine whether it can serve
as a model of Crohn disease in NPC1. METHODS: We analyzed the gastrointestinal
tract and isolated macrophages of BALB/cJ cNctr-Npc1m1N/J (Npc1-/-) mouse model
to determine whether there was any Crohn-like pathology or inflammatory cell
activation. We also evaluated temporal changes in the microbiota by 16S rRNA
sequencing of fecal samples to determine whether there were changes consistent
with Crohn disease. RESULTS: Relative to controls, Npc1 mutant mice demonstrate
increased inflammation and crypt abscesses in the gastrointestinal tract;
however, the observed pathological changes are significantly less than those
observed in other Crohn disease mouse models. Analysis of Npc1 mutant macrophages
demonstrated an increased response to lipopolysaccharides and delayed
bactericidal activity; both of which are pathological features of Crohn disease.
Analysis of the bacterial microbiota does not mimic what is reported in Crohn
disease in either human or mouse models. We did observe significant increases in
cyanobacteria and epsilon-proteobacteria. The increase in epsilon-proteobacteria
may be related to altered cholesterol homeostasis since cholesterol is known to
promote growth of this bacterial subgroup. CONCLUSIONS: Macrophage dysfunction in
the BALB/c Npc1-/- mouse is similar to that observed in other Crohn disease
models. However, neither the degree of pathology nor the microbiota changes are
typical of Crohn disease. Thus, this mouse model is not a good model system for
Crohn disease pathology reported in NPC1 patients.
PMID- 29357084
TI - Risk Factors for Hidradenitis Suppurativa in Patients with Inflammatory Bowel
Disease.
AB - BACKGROUND: Hidradenitis suppurativa (HS) is a chronic inflammatory skin disorder
characterized by recurrent nodules, abscesses, and sinus tracts that can be
debilitating and significantly impair quality of life. Small studies and case
reports have suggested a possible association between HS and inflammatory bowel
disease (IBD). AIMS: We performed a case-control study to further characterize
IBD patients with HS in terms of smoking status, BMI, sites affected by HS, IBD
type and features, and IBD medication history. METHODS: A total of 38 patients
with HS and IBD were identified and matched on age, gender, and IBD type to 136
controls with IBD but not HS. Clinical characteristics of interest were obtained
through extensive review of the electronic health record. RESULTS: Among patients
with HS and IBD, the most common sites affected by HS were the inguinal,
perianal, and axillary regions. Relative to patients with IBD alone, patients
with HS and IBD were nearly six times more likely to be current smokers (p <
0.01) and nearly 11 times more likely to be obese (p < 0.01). Patients with HS
and Crohn's were significantly more likely to have ileocolonic and perianal
disease than patients with CD only (OR 8.31, 95% CI 2.90-23.80 and OR 2.85, 95%
CI 1.19-6.81, respectively; p < 0.01 for both). CONCLUSIONS: Relative to patients
with IBD who do not develop HS, patients with IBD and HS are more likely to be
overweight or obese, to be former or current smokers, and to have ileocolonic
and/or perianal disease.
PMID- 29357085
TI - High-flow arteriovenous fistula and heart failure: could the indexation of blood
flow rate and echocardiography have a role in the identification of patients at
higher risk?
AB - BACKGROUND: Although only high-flow arteriovenous fistulas (AVFs) are postulated
to cause high-output cardiac failure (HOCF), there are currently no universally
accepted criteria defining a high-flow fistula. METHODS: To verify if vascular
access blood flow (Qa) >= 2000 ml/min provides an accurate definition of high
flow fistula, we selected 29 consecutive patients with Qa >= 2000 ml/min at color
duplex ultrasound examination and assessed them for the presence of cardiac
failure symptoms; transthoracic echocardiography was also performed. RESULTS:
Nineteen patients (65%) had heart failure symptoms and were classified with HOCF.
At receiver operating characteristic (ROC) curve analysis, Qa ml/min values did
not identify patients with heart failure symptoms but when AVF blood flow was
indexed for height2.7, Qa >= 603 ml/min/m2.7 detected the occurrence of HOCF with
good accuracy (sensitivity 100%, specificity 60%, efficiency 86%, positive
predictive value 83%, negative predictive value 100%, area under curve 0.75). At
echocardiographic evaluation, patients with Qa >= 603 ml/min/m2.7 had a more
severe increase of left ventricular mass (63 +/- 18 vs. 47 +/- 7 g/m2.7, p <
0.003), left ventricular diastolic volume (140 +/- 42 vs. 109 +/- 14 ml, p <
0.007), left atrial volume (53 +/- 23 vs. 39 +/- 5 ml/m2, p < 0.015), a higher
incidence of diastolic dysfunction (70 vs. 17%, p < 0.019) and higher CO
reduction after AVF manual compression (2151 +/- 875 vs. 1292 +/- 527 ml/min, p <
0.009) than patients with Qa < 603 ml/min/m2.7. CONCLUSIONS: Indexation of AVF
blood flow should be considered in defining high-flow fistula because the effect
of Qa may differ in individuals of different sizes. A Qa value >= 603 ml/min/m2.7
and its association with some echocardiographic alterations could identify
patients at higher risk for HOCF.
PMID- 29357087
TI - A novel de novo mutation of ACTG1 in two sporadic non-syndromic hearing loss
cases.
PMID- 29357086
TI - Photoinhibition of photosystem I in Nephrolepis falciformis depends on reactive
oxygen species generated in the chloroplast stroma.
AB - We studied how high light causes photoinhibition of photosystem I (PSI) in the
shade-demanding fern Nephrolepis falciformis, in an attempt to understand the
mechanism of PSI photoinhibition under natural field conditions. Intact leaves
were treated with constant high light and fluctuating light. Detached leaves were
treated with constant high light in the presence and absence of methyl viologen
(MV). Chlorophyll fluorescence and P700 signal were determined to estimate
photoinhibition. PSI was highly oxidized under high light before treatments. N.
falciformis showed significantly stronger photoinhibition of PSI and PSII under
constant high light than fluctuating light. These results suggest that high
levels of P700 oxidation ratio cannot prevent PSI photoinhibition under high
light in N. falciformis. Furthermore, photoinhibition of PSI in N. falciformis
was largely accelerated in the presence of MV that promotes the production of
superoxide anion radicals in the chloroplast stroma by accepting electrons from
PSI. From these results, we propose that photoinhibition of PSI in N. falciformis
is mainly caused by superoxide radicals generated in the chloroplast stroma,
which is different from the mechanism of PSI photoinhibition in Arabidopsis
thaliana and spinach. Here, we provide some new insights into the PSI
photoinhibition under natural field conditions.
PMID- 29357088
TI - Intermittent nocturnal hypoxia and metabolic risk in obese adolescents with
obstructive sleep apnea.
AB - PURPOSE: There is conflicting data regarding the independent associations of
obstructive sleep apnea (OSA) with metabolic risk in obese youth. Previous
studies have not consistently addressed central adiposity, specifically elevated
waist to height ratio (WHtR), which is associated with metabolic risk independent
of body mass index. OBJECTIVE: The objective of this study was to determine the
independent effects of the obstructive apnea-hypopnea index (OAHI) and associated
indices of nocturnal hypoxia on metabolic function in obese youth after adjusting
for WHtR. METHODS: Subjects had standardized anthropometric measurements. Fasting
blood included insulin, glucose, glycated hemoglobin, alanine transferase, and
aspartate transaminase. Insulin resistance was quantified with the homeostatic
model assessment. Overnight polysomnography determined the OAHI and nocturnal
oxygenation indices. RESULTS: Of the 75 recruited subjects, 23% were diagnosed
with OSA. Adjusting for age, gender, and WHtR in multivariable linear regression
models, a higher oxygen desaturation index was associated with a higher fasting
insulin (coefficient [standard error] = 48.076 [11.255], p < 0.001), higher
glycated hemoglobin (coefficient [standard error] = 0.097 [0.041], p = 0.02),
higher insulin resistance (coefficient [standard error] = 1.516 [0.364], p <
0.001), elevated alanine transferase (coefficient [standard error] = 11.631
[2.770], p < 0.001), and aspartate transaminase (coefficient [standard error] =
4.880 [1.444], p = 0.001). However, there were no significant associations
between OAHI, glucose metabolism, and liver enzymes. CONCLUSION: Intermittent
nocturnal hypoxia rather than the OAHI was associated with metabolic risk in
obese youth after adjusting for WHtR. Measures of abdominal adiposity such as
WHtR should be considered in future studies that evaluate the impact of OSA on
metabolic health.
PMID- 29357089
TI - Therapeutic efficacy of a synthetic epsin mimetic peptide in glioma tumor model:
uncovering multiple mechanisms beyond the VEGF-associated tumor angiogenesis.
AB - Binding of epsin ubiquitin-interacting motif (UIM) with ubiquitylated VEGFR2 is a
critical mechanism for epsin-dependent VEGFR2 endocytosis and physiological
angiogenesis. Deletion of epsins in vessel endothelium produces uncontrolled
tumor angiogenesis and retards tumor growth in animal models. The aim of this
study is to test the therapeutic efficacy and targeting specificity of a
chemically-synthesized peptide, UPI, which compete for epsin binding sites in
VEGFR2 and potentially inhibits Epsin-VEGFR2 interaction in vivo, in an attempt
to reproduce an epsin-deficient phenotype in tumor angiogenesis. Our data show
that UPI treatment significantly inhibits and shrinks tumor growth in GL261
glioma tumor model. UPI peptide specifically targets VEGFR2 signaling pathway
revealed by genetic and biochemical approaches. Furthermore, we demonstrated that
UPI peptide treatment caused serious thrombosis in tumor vessels and damages
tumor cells after a long-term UPI peptide administration. Besides, we revealed
that UPI peptides were unexpectedly targeted cancer cells and induced apoptosis.
We conclude that UPI peptide is a potent inhibitor to glioma tumor growth through
specific targeting of VEGFR2 signaling in the tumor vasculature and cancer cells,
which may offer a potentially novel treatment for cancer patients who are
resistant to current anti-VEGF therapies.
PMID- 29357090
TI - Biomimetic brain tumor niche regulates glioblastoma cells towards a cancer stem
cell phenotype.
AB - Glioblastoma (GBM) is the most malignant primary brain tumor and contains
tumorigenic cancer stem cells (CSCs), which support the progression of tumor
growth. The selection of CSCs and facilitation of the brain tumor niches may
assist the development of novel therapeutics for GBM. Herein, hydrogel materials
composed of agarose and hydroxypropyl methyl cellulose (HMC) in different
concentrations were established and compared to emulate brain tumor niches and
CSC microenvironments within a label-free system. Human GBM cell line, U-87 MG,
was cultured on a series of HMC-agarose based culture system. Cell aggregation
and spheroids formation were investigated after 4 days of culture, and 2.5% HMC
agarose based culture system demonstrated the largest spheroids number and size.
Moreover, CD133 marker expression of GBM cells after 6 days of culture in 2.5%
HMC-agarose based culture system was 60%, relatively higher than the control
group at only 15%. Additionally, cells on 2.5% HMC-agarose based culture system
show the highest chemoresistance, even at the high dose of 500 uM temozolomide
for 72 h, the live cell ratio was still > 80%. Furthermore, the results also
indicate that the expression of ABCG2 gene was up-regulated after culture in 2.5%
HMC-agarose based culture system. Therefore, our results demonstrated that
biomimetic brain tumor microenvironment may regulate GBM cells towards the CSC
phenotype and expression of CSC characteristics. The microenvironment selection
and spheroids formation in HMC-agarose based culture system may provide a label
free CSC selection strategy and drug testing model for future biomedical
applications.
PMID- 29357091
TI - Chemical Profile of the Sulphated Saponins from the Starfish Luidia senegalensis
Collected as by-Catch Fauna in Brazilian Coast.
AB - The by-catch fauna of the shrimp fishery includes a number of marine
invertebrates that are discarded because they do not have commercial value. In
order to try to add some value to these materials, we analyzed the chemical
composition of the starfish Luidia senegalensis collected in the Brazilian coast
as a consequence of the trawling fishery method. In order to access their
chemical composition, we used a combination of solid phase extraction (SPE)
followed by ultra-high performance liquid chromatography coupled to electrospray
ionization ion trap tandem mass spectrometry (UPLC-ESI-IT-MSn). Luidia
senegalensis contains asterosaponins, which are sulphated glycosilated steroids,
containing five and six sugar moieties, in addition to polyhydroxysteroids. This
study helped us to support the presence of important and potentially bioactive
compounds in invertebrates associated to the by-catch fauna of the shrimp
fishery, using a fast and efficient method.
PMID- 29357092
TI - Evaluation of Antimycobacterial Activity of Higenamine Using Galleria mellonella
as an In Vivo Infection Model.
AB - The Phytochemical investigation on MeOH extract on the bark of Aristolochia
brasiliensis Mart. & Zucc (Aristolochiaceae) led to the isolation of major
compound (1) as light brown grainy crystals. The compound was identified as 1-(4
hydroxybenzyl)-1,2,3,4-tetrahydroisoquinoline-6,7-diol (higenamine) on the basis
of spectroscopic analysis, including 1D and 2D NMR spectroscopy. The compound was
evaluated for its antimycobacterial activity against Mycobacterium indicus pranii
(MIP), using Galleria mellonella larva as an in vivo infection model. The
survival of MIP infected larvae after a single dose treatment of 100 mg/kg body
weight of higenamine was 80% after 24 h. Quantitatively the compound exhibited a
dose dependent activity, as evidenced by the reduction of colony density from 105
to 103 CFU for test concentrations of 50, 100, 150 and 200 mg/kg body weight
respectively. The IC50 value for higenamine was 161.6 mg/kg body weight as
calculated from a calibration curve. Further analysis showed that, a complete
inhibition of MIP in the G. mellonella could be achieved at 334 mg/kg body
weight. Despite the fact that MIP has been found to be highly resistant against
isoniazid (INH) in an in vitro assay model, in this study the microbe was highly
susceptible to this standard anti-TB drug. The isolation of higenamine from the
genus Aristolochia and the method used to evaluate its in vivo antimycobacterial
activity in G. mellonella are herein reported for the first time.
PMID- 29357093
TI - Atrial fibrillation diagnosed by a medical checkup is associated with a poor
outcome of catheter ablation.
AB - Atrial fibrillation (AF), especially asymptomatic cases, is often detected by
medical checkups. We investigated the outcome of AF ablation in cases detected by
medical checkups. We reviewed the data of 735 patients with AF (56 +/- 10 years,
paroxysmal: 441 patients) who underwent initial catheter ablation. All patients
were divided into two groups based on their AF being diagnosed either by a
medical checkup (group M) or not (group NM). AF was diagnosed by medical checkups
in 263 (36%) patients. In Group M, the age was younger, time from the diagnosis
to ablation shorter, left atrium dimension larger, and left ventricular ejection
fraction lower than in Group NM. Male gender, persistent AF, and asymptomatic AF
were more frequently seen in Group M than in Group NM. A mean of 13 +/- 11 months
after the initial ablation procedure, AF recurrence was more frequently observed
in group M compared to group NM (P = 0.018). While the AF recurrence rate was
similar in both groups in persistent AF patients (P = 0.87), it was more
frequently observed in Group M than in Group NM in paroxysmal AF patients (P =
0.005). AF diagnosed by medical checkups was often associated with a worse
outcome of catheter ablation, especially in paroxysmal AF patients.
PMID- 29357094
TI - Interatrial septal motion as a novel index to predict left atrial pressure.
AB - We investigated whether the interatrial septal (IAS) motion of each heartbeat
which is observed by transesophageal echocardiography reflects left atrial
pressure (LAP) in patients with atrial fibrillation (AF). We studied 100 patients
(70 males, age 67 +/- 9 years) who underwent catheter ablation for AF. The
amplitude of IAS motion was measured using M-mode and averaged for five cardiac
cycles. Left and right atrial pressures, the left to right atrial pressure
gradient were directly measured during the catheter ablation. In patients with
sinus rhythm during measurement, elevated mean LAP, larger maximum left to right
atrial pressure gradient, and greater left atrial emptying fraction were
associated with IAS motion. The optimal cut-off value of the IAS motion for
predicting high LAP (mean LAP > 15 mmHg) was 8.5 mm (sensitivity 100%,
specificity 70.1%) in patients with sinus rhythm during pressure measurement. In
addition, all patients were divided into 6 groups based on rhythm during
measurement and cutoff value of IAS motion. In patients with sinus rhythm during
measurement, low IAS motion group had a highest prevalence of elevated LAP
compared with high IAS motion group (64 vs. 0%, P < 0.0001). The amplitude of
interatrial septal motion during sinus rhythm reflects left atrial pressure in
patients with atrial fibrillation. Interatrial septal motion could be a new index
to predict elevated left atrial pressure.
PMID- 29357095
TI - Beneficial effects of adaptive servo-ventilation therapy on readmission and
medical costs in patients with chronic heart failure.
AB - Adaptive servo-ventilation (ASV) therapy is a novel modality of noninvasive
positive pressure ventilation and is now widely utilized to treat patients with
chronic heart failure (CHF). However, there has been no clinical study of the
effect of ASV therapy on readmission and cost-effectiveness for the treatment of
CHF. The present study was conducted to evaluate the clinical efficacy and cost
effectiveness of home ASV therapy in 45 patients with a history of two or more
admissions a year for worsening CHF. Seven patients refused to undergo chronic
ASV therapy and three died. Thus, 35 patients were eventually enrolled in the
present study. New York Heart Association class (2.8 +/- 0.4 versus 2.3 +/- 0.5,
p < 0.001), log plasma B-type natriuretic peptide level (2.53 +/- 0.44 versus
2.29 +/- 0.40 pg/mL, p < 0.0001), left atrial dimension (47.5 +/- 7.0 versus 44.9
+/- 7.6 mm, p = 0.014), and mitral regurgitation area ratio (20.3 +/- 12.1 versus
16.9 +/- 8.9%, p = 0.007) decreased significantly after 12 months of ASV therapy.
The frequency of hospitalization after ASV was significantly lower than before
ASV (1.0 +/- 1.0 versus 2.3 +/- 0.5 times/year/patient, p < 0.0001). ASV also
decreased the duration of hospitalization from 64.4 +/- 46.5 to 22.8 +/- 27.5
days/year/patient (p < 0.0001). Consequently, the total medical costs were
reduced by 37% after ASV (1.95 +/- 1.37 versus 3.11 +/- 1.75 million yen/patient,
p = 0.003). ASV therapy reduced readmissions and medical costs in patients with
CHF.
PMID- 29357096
TI - Associations Between Television Viewing and Adiposity Among South Asians.
AB - OBJECTIVE: Sedentary behaviors related to television (TV) viewing are associated
with adiposity; however, few investigations have focused on South Asians, an
ethnicity particularly vulnerable to metabolic perturbations. This study examined
the relationships between TV viewing and adiposity in a cohort of middle-aged and
older South Asians. METHOD: Data were obtained from Mediators of Atherosclerosis
in South Asians Living in America (MASALA) study (N = 906; mean age [standard
deviation] = 55 [9.4] years, 46% women). TV viewing hours per week was assessed
through questionnaire and classified into tertiles for analysis. Multivariate
linear regression models were used to examine the associations between TV viewing
and measures of adiposity and body composition including body mass index (BMI),
waist circumference, pericardial fat volume, and visceral, subcutaneous, and
inter-muscular fat area after adjusting for covariates including intentional
exercise. RESULTS: Participants who were women, older, with lower education
levels, and living longer in the United States watched TV for longer periods of
times. Duration of TV viewing was positively associated with BMI (p < 0.001),
waist circumference (p < 0.001), visceral fat area (p = 0.001), and pericardial
fat volume (p = 0.003) independent of intentional exercise. CONCLUSIONS: While
studies in South Asians with a longitudinal design need to confirm our findings,
our cross-sectional results indicate that reduction in TV viewing may be
beneficial in reducing adiposity and maintaining a healthy body composition.
PMID- 29357097
TI - Health Insurance and the Aging: Evidence From the Seguro Popular Program in
Mexico.
AB - Aimed at covering the large fraction of workers in the informal sector without
access to a social security program, the Mexican public health insurance program
Seguro Popular began in 2002 and now reaches more than 50 million individuals. We
estimate impacts of Seguro Popular for the population aged 50 and older on a set
of indicators related to health care including utilization, diagnostic/preventive
tests, and treatment conditional on being ill. Using the longitudinal Mexican
Health and Aging Study over the period 2001-2012, we conduct before and after
difference-in-difference matching impact estimators. Our results suggest large
and important effects of the Program on utilization and diagnostic tests. We find
overall smaller effects on the probability of being in treatment for individuals
with chronic diseases, but these effects are concentrated in rural areas with
relatively more health services versus rural areas with lower levels of health
services. These results suggest that, to the extent that health services become
more available in rural areas lacking services, effects of health insurance may
increase.
PMID- 29357098
TI - How We Fall Apart: Similarities of Human Aging in 10 European Countries.
AB - We analyze human aging-understood as health deficit accumulation-for a panel of
European individuals, using four waves of the Survey of Health, Aging and
Retirement in Europe (SHARE data set) and constructing a health deficit index.
Results from log-linear regressions suggest that, on average, elderly European
men and women develop approximately 2.5 % more health deficits from one birthday
to the next. In nonlinear regressions (akin to the Gompertz-Makeham model),
however, we find much greater rates of aging and large differences between men
and women as well as between countries. Interestingly, these differences follow a
particular regularity (akin to the compensation effect of mortality) and suggest
an age at which average health deficits converge for men and women and across
countries. This age, which may be associated with human life span, is estimated
as 102 +/- 2.6 years.
PMID- 29357099
TI - Treatment of selective mutism: a 5-year follow-up study.
AB - Selective mutism (SM) has been defined as an anxiety disorder in the diagnostic
and statistical manual of mental disorders (DSM-5). Cognitive behavioral therapy
(CBT) is the recommended approach for SM, but prospective long-term outcome
studies are lacking. Reports from the children themselves, and the use of more
global quality of life measures, are also missing in the literature. We have
developed a school-based CBT intervention previously found to increase speech in
a pilot efficacy study and a randomized controlled treatment study. Continued
progress was found in our 1-year follow-up studies, where older age and more
severe SM had a significant negative effect upon outcome. In the present study,
we provide 5-year outcome data for 30 of these 32 children with SM who completed
the same CBT for mean 21 weeks (sd 5, range 8-24) at mean age 6 years (10 boys).
Mean age at the 5-year follow-up was 11 years (range 8-14). Outcome measures were
diagnostic status, the teacher- and parent-rated selective mutism questionnaires,
and child rated quality of life and speaking behavior. At the 5-year follow-up,
21 children were in full remission, five were in partial remission and four
fulfilled diagnostic criteria for SM. Seven children (23%) fulfilled criteria for
social phobia, and separation anxiety disorder, specific phobia and/or enuresis
nocturna were found in a total of five children (17%). Older age and severity at
baseline and familial SM were significant negative predictors of outcome.
Treatment gains were maintained on the teacher- and parent questionnaires. The
children rated their overall quality of life as good. Although most of them
talked outside of home, 50% still experienced it as somewhat challenging. These
results point to the long-term effectiveness of CBT for SM, but also highlight
the need to develop more effective interventions for the subset of children with
persistent symptoms.Clinical trials registration NCT01002196.
PMID- 29357100
TI - Autodisplay of an avidin with biotin-binding activity on the surface of
Escherichia coli.
AB - OBJECTIVES: To display a recombinant avidin fused to the autotransporter ShdA to
bind biotinylated molecules on the surface of Escherichia coli. RESULTS: Two
chimeric protein constructs containing avidin fused to the autotransporter ShdA
were expressed on the surface of Escherichia coli DH5alpha. One fusion protein
contained 476 amino acids of the ShdA alpha and beta domains, whereas the second
consisted of a 314 amino acid from alpha and truncated beta domains. Protein
production was verified by SDS-PAGE using an antibody to the molecular FLAG-tag.
The surface display of the avidin-shdA fusion protein was confirmed by confocal
microscopy and flow cytometry analysis, and the biotin-binding activity was
evaluated by fluorescence microscopy and flow cytometry using biotin-4
fluorescein and biotinylated-ovalbumin (OVA). CONCLUSIONS: Expression of a
recombinant avidin with biotin-binding activity on the surface of E. coli was
achieved using the autotransporter ShdA. This system is an alternative to bind
biotinylated molecules to E. coli.
PMID- 29357101
TI - A new genus and species of turtle blood fluke (Digenea: Schistosomatoidea) from
the Mekong snail-eating turtle, Malayemys subtrijuga (Schlegel & Muller)
(Testudines: Geoemydidae) in Vietnam, with a reassessment of related Asiatic
turtle blood flukes and molecular phylogeny.
AB - Platt sinuosus Roberts & Bullard n. g., n. sp. (type-species) infects the kidney
and mesenteric blood vessels of Mekong snail-eating turtles, Malayemys subtrijuga
(Schlegel & Muller), in the Mekong River Basin. Species of Platt Roberts &
Bullard n. g. are unique by the combination of having a papillate ventral sucker,
vasa efferentia that are dorsal to the gonads, a massive cirrus-sac that is
directed anteriad or laterad, and a vitellarium that surrounds the intestinal
caeca. The new species resembles Platt ocadiae (Takeuti, 1942) Roberts & Bullard
n. comb. but differs from it by having an external seminal vesicle that overlaps
with or is immediately posterior to the level of the ventral sucker. Seven
species previously of Hapalorhynchus Stunkard, 1922 are reassigned herein to
Platt: P. odhnerensis (Mehra, 1933) Roberts & Bullard n. comb.; P. yoshidai
(Ozaki, 1939) Roberts & Bullard n. comb.; P. ocadiae; P. oschmarini (Belous,
1963) Roberts & Bullard n. comb.; P. sutlejensis (Mehrotra, 1973) Roberts &
Bullard n. comb.; P. synderi (Platt & Sharma, 2012) Roberts & Bullard n. comb.;
and P. tkachi (Platt & Sharma, 2012) Roberts & Bullard n. comb. A dichotomous key
to Platt spp. is provided. Hapalorhynchus sheilae (Mehrotra, 1973) Bourgat, 1990
and Hapalorhynchus mica (Oshmarin, 1971) Bourgat, 1990 are considered as species
inquirendae, and Hapalorhynchus indicus (Thapar, 1933) Price, 1934 and
Hapalorhynchus macrotesticularis (Rohde, Lee, & Lim, 1968) Brooks & Sullivan,
1981 are considered as species incertae sedis. Phylogenetic analysis of the large
subunit rDNA (28S) showed P. sinuosus and P. snyderi to be sister taxa distinct
from a monophyletic Hapalorhynchus and Coeuritrema platti Roberts & Bullard,
2016.
PMID- 29357103
TI - Outcomes of preterm birth and evidence synthesis.
PMID- 29357102
TI - Methods of Estimating Kidney Function for Drug Dosing in Special Populations.
AB - International guidelines recommend the Chronic Kidney Disease Epidemiology
Collaboration (CKD-EPI) method to monitor kidney function in chronic kidney
disease using either creatinine- or cystatin C-based estimation methods. The
choice of an estimation method to determine dosage for renally eliminated drugs
is not as clear. For the majority of currently marketed drugs, the Cockcroft
Gault equation with the Jaffe method, a non-isotope dilution mass spectrometry,
standardized serum creatinine, was used to estimate kidney function to recommend
dosing adjustment in kidney impairment. As the Cockcroft-Gault equation cannot be
converted for isotope dilution mass spectrometry-traceable creatinine values and
clinical laboratories now report estimated glomerular filtration (eGFR) rate by
the Modified Diet in Renal Disease (MDRD) Equation or CKD-EPI, the eGFR is now
more widely accepted for dosage adjustment recommendations. Cockcroft-Gault, MDRD
Equation, and CKD-EPI creatinine-based methods were developed in specific
populations, which included either none or a low proportion of obese individuals,
pregnant women, older adults, and those with significant comorbid conditions.
Clinical studies in these special populations have identified significant
decreased accuracy, precision, and bias in the creatinine-based methods. Newer
cystatin C-based estimation methods may significantly improve the ability to
estimate kidney function to determine doses in the future. At this time, the
increased cost and lack of standardization of serum cystatin C hinder routine
use.
PMID- 29357104
TI - Pretransplant defunctionalized bladder-overrated condition?
AB - AIMS: The objective of this study was to evaluate the expression of bladder
receptors in patients with defunctionalized bladder (DB) and to assess voiding
behavior after refunctionalization. METHODS: A total of 68 pretransplant patients
were divided in two groups: DB (diuresis <300 mL/24 h; n = 33) and NDB (non-DB;
diuresis >=300 mL/24 h; n = 35). A sample of mucosa and detrusor at the site of
the future ureteral implantation was collected. The following receptors were
assessed by real-time polymerase chain reaction (qRT-PCR): M2 , M3 , alpha1D ,
beta3 , P2X2 , P2X3 , TRPV1, TRPV4, TRPA1, and TRPM8. At 3, 6, and 12 months
after transplant patients answered IPSS and ICIQ-OAB questionnaires and filled a
3-day 24 h frequency/volume chart (FVC) at 6 and 12 months. RESULTS: The
expression of all receptors in the mucosa and in the detrusor was similar in both
groups, except from alpha1D , which was overexpressed in the detrusor of DB
relatively to NDB group. ICIQ-OAB symptom score was similar between the groups at
3, 6, and 12 months. There was a reduction of this score in both groups with
time. The same pattern was found for IPSS score. Bother scores were similar
between groups. No difference was observed for all FVC parameters between DB and
NDB patients. CONCLUSION: Gene expression of bladder receptors involved in
micturition control was similar in patients with or without DB. Bladder behavior
had a similar pattern independently of pretransplant residual diuresis. These
findings question the relevance of the term DB in pretransplant patients.
PMID- 29357106
TI - Marked pseudoepitheliomatous hyperplasia secondary to a red-pigmented tattoo: a
case report.
PMID- 29357105
TI - Alcohol use and personality change in middle and older adulthood: Findings from
the Health and Retirement Study.
AB - OBJECTIVE: Personality is known to predict alcohol consumption, but how alcohol
use is related to personality change is less clear, especially at older ages. The
present study examined the effects of level of alcohol consumption and history of
dependence on change in the Five-Factor Model personality traits in a national
cohort of Americans aged over 50. METHOD: Over 10,000 adults who participated in
2006-2008 waves of the Health and Retirement Study reported on personality and
alcohol use and were followed over 4 years. RESULTS: Latent difference score
models indicated decreases in Extraversion to be attenuated for individuals
categorized as light-to-moderate drinkers at baseline, whereas decreases in
Conscientiousness were accentuated by having experienced alcohol dependence
symptoms. Moreover, personality difference scores correlated with changes in the
amount of alcohol consumed at follow-up. CONCLUSIONS: The findings suggest that
patterns of alcohol consumption are associated with changes in personality across
the second half of the life span.
PMID- 29357107
TI - Balancing exercise-induced weight loss with protein caloric requirement remains a
challenge in overweight patients with cirrhosis.
PMID- 29357108
TI - rs142362919 and rs7681187 Are cis-Regulatory Variations for Human UGT2B28 in
Breast.
PMID- 29357109
TI - A Guide to Robust Statistical Methods in Neuroscience.
AB - There is a vast array of new and improved methods for comparing groups and
studying associations that offer the potential for substantially increasing
power, providing improved control over the probability of a Type I error, and
yielding a deeper and more nuanced understanding of data. These new techniques
effectively deal with four insights into when and why conventional methods can be
unsatisfactory. But for the non-statistician, the vast array of new and improved
techniques for comparing groups and studying associations can seem daunting,
simply because there are so many new methods that are now available. This unit
briefly reviews when and why conventional methods can have relatively low power
and yield misleading results. The main goal is to suggest some general guidelines
regarding when, how, and why certain modern techniques might be used. (c) 2018 by
John Wiley & Sons, Inc.
PMID- 29357110
TI - Hybridization Histochemistry of Neural Transcripts.
AB - This unit presents protocols to locate RNA transcripts in tissues. Numerous
approaches are detailed, including those that use radiolabeled or colorimetric
probes. Also, the probes may be modified oligodeoxynucleotides, singly or in
pairs, as well as ribonucleic acids. High sensitivity and specificity are
obtained, especially with sets of oligodeoxynucleotide pairs. (c) 2018 by John
Wiley & Sons, Inc.
PMID- 29357111
TI - Imaging of Mitochondrial and Cytosolic Ca2+ Signals in Cultured Astrocytes.
AB - This unit provides a step-by-step protocol for constructing cell type- and
mitochondria-targeted GCaMP genetically encoded Ca2+ indicators (GECIs) for
mitochondrial Ca2+ imaging in astrocytes. Mitochondrial Ca2+ plays a critical
role in controlling cytosolic Ca2+ buffering, energy metabolism, and cellular
signal transduction. Mitochondrial Ca2+ overload contributes to various
pathological conditions, including neurodegeneration and apoptotic cell death in
neurological diseases. Live-cell mitochondrial Ca2+ imaging is an important
approach to understand mitochondrial Ca2+ dynamics and thus cell physiology and
pathology. We implement astrocyte-specific mitochondrial targeting of GCaMP5G/6s
(mito-GCaMP5G/6s). By loading X-Rhod-1 into astrocytes, we can simultaneously
image mitochondrial and cytosolic Ca2+ signals. This protocol provides a novel
approach to image mitochondrial Ca2+ dynamics as well as Ca2+ interplay between
the endoplasmic reticulum and mitochondria. (c) 2018 by John Wiley & Sons, Inc.
PMID- 29357112
TI - Icon arrays help younger children's proportional reasoning.
AB - We investigated the effects of two context variables, presentation format (icon
arrays or numerical frequencies) and time limitation (limited or unlimited time),
on the proportional reasoning abilities of children aged 7 and 10 years, as well
as adults. Participants had to select, between two sets of tokens, the one that
offered the highest likelihood of drawing a gold token, that is, the set of
elements with the greater proportion of gold tokens. Results show that
participants performed better in the unlimited time condition. Moreover, besides
a general developmental improvement in accuracy, our results show that younger
children performed better when proportions were presented as icon arrays, whereas
older children and adults were similarly accurate in the two presentation format
conditions. Statement of contribution What is already known on this subject?
There is a developmental improvement in proportional reasoning accuracy. Icon
arrays facilitate reasoning in adults with low numeracy. What does this study
add? Participants were more accurate when they were given more time to make the
proportional judgement. Younger children's proportional reasoning was more
accurate when they were presented with icon arrays. Proportional reasoning
abilities correlate with working memory, approximate number system, and
subitizing skills.
PMID- 29357113
TI - Toward a reliable, automated method of individual alpha frequency (IAF)
quantification.
AB - Individual alpha frequency (IAF) is a promising electrophysiological marker of
interindividual differences in cognitive function. IAF has been linked with trait
like differences in information processing and general intelligence, and provides
an empirical basis for the definition of individualized frequency bands. Despite
its widespread application, however, there is little consensus on the optimal
method for estimating IAF, and many common approaches are prone to bias and
inconsistency. Here, we describe an automated strategy for deriving two of the
most prevalent IAF estimators in the literature: peak alpha frequency (PAF) and
center of gravity (CoG). These indices are calculated from resting-state power
spectra that have been smoothed using a Savitzky-Golay filter (SGF). We evaluate
the performance characteristics of this analysis procedure in both empirical and
simulated EEG data sets. Applying the SGF technique to resting-state data from n
= 63 healthy adults furnished 61 PAF and 62 CoG estimates. The statistical
properties of these estimates were consistent with previous reports. Simulation
analyses revealed that the SGF routine was able to reliably extract target alpha
components, even under relatively noisy spectral conditions. The routine
consistently outperformed a simpler method of automated peak detection that did
not involve spectral smoothing. The SGF technique is fast, open source, and
available in two popular programming languages (MATLAB, Python), and thus can
easily be integrated within the most popular M/EEG toolsets (EEGLAB, FieldTrip,
MNE-Python). As such, it affords a convenient tool for improving the reliability
and replicability of future IAF-related research.
PMID- 29357114
TI - What is your diagnosis? Mediastinal mass in a dromedary camel.
PMID- 29357115
TI - Differences in mortality in a cohort of cocaine use disorder patients with
concurrent alcohol or opiates disorder.
AB - AIMS: To study mortality in a cohort of cocaine use disorder patients, and
compare results in those with concurrent alcohol or opiates disorder. DESIGN,
SETTING AND PARTICIPANTS: A cohort of 10 539 cocaine use disorder individuals
entering drug treatment in public out-patient centres in the city of Barcelona
was followed from 1997 to 2011. Participants were divided at baseline into three
groups: those with only cocaine use disorder (CUD), those with cocaine and
alcohol use disorder but not opioid (CAUD) and those with cocaine and opioid use
disorder (COUD). Mortality was assessed through the Spanish National Mortality
Register. MEASUREMENTS: Crude mortality rates (CMR), standardized mortality
ratios (SMR) and rate ratios (RR) were calculated for each group. A multivariable
Cox regression model was fitted to obtain adjusted mortality hazard ratios (aHR)
of CAUD and COUD with respect to CUD. Specific mortality causes were also
examined. FINDINGS: The total of 716 deaths registered resulted in a CMR =
6.0/1000 person-years (PY); 95% confidence interval (CI) = 5.1-7.0 for CUD, CMR =
5.8/1000 PY (95% CI = 4.9-6.7) for CAUD and CMR = 20.7/1000 PY (95% CI = 18.8
22.8) for COUD, with no significant differences among sexes. Compared with the
general population, mortality was four times higher (SMR = 4.1, 95% CI = 3.5-4.8)
among CUD, more than three times among CAUD (SMR = 3.4, 95% CI = 2.9-3.9) and
more than 10 times among COUD (SMR = 11.6, 95% CI = 10.5-12.8), being always
higher in women. External injuries, led by overdose, accumulated the biggest
percentage of deaths among the three groups, but infectious diseases showed the
highest excess mortality. Some differences regarding causes of death were
observed between the three groups. CONCLUSIONS: Mortality risk and excess
mortality are significantly greater among those with cocaine and opiates use
disorder than among people with only cocaine use disorder or cocaine and alcohol
use disorder.
PMID- 29357116
TI - The PI3K/AKT signaling pathway in regulatory T-cell development, stability, and
function.
AB - The PI3K/AKT signaling pathway is an essential node in mammalian cells that
controls cell growth, migration, proliferation, and metabolism. During the last
decade, a number of works have demonstrated an important role for the PI3K/AKT
pathway in regulatory T cell development, function, and stability. This review
summarizes our current knowledge of how the PI3K/AKT pathway regulates thymic and
peripheral Treg generation and function, with an emphasis on translation of these
observations to therapies targeting Tregs in several pathologies.
PMID- 29357117
TI - Crocin improved amyloid beta induced long-term potentiation and memory deficits
in the hippocampal CA1 neurons in freely moving rats.
AB - Extracellular beta-amyloid (Abeta) accumulation and deposition is the main
factor, which causes synaptic loss and eventually cells death in Alzheimer's
disease (AD). Memory loss and long-term potentiation (LTP) dysfunction in the
hippocampus are involved in the AD. The involvement of crocin, as the main and
active constituent of saffron extract in learning and memory processes, has been
proposed. Here we investigated the probable therapeutic effect of crocin on
memory, LTP, and neuronal apoptosis using in vivo Abeta models of the AD. The
Abeta peptide (1-42) was bilaterally administered into the frontal-cortex using
stereotaxic apparatus. Five hours after surgery, rats were given intraperitoneal
crocin (30 mg/kg) daily, which repeated for 12 days. Barnes maze results showed
that administration of crocin significantly improves spatial memory indicators
such as latency time to achieving the target hole and the number of errors when
compared to Abeta-group. Passive avoidance test revealed that crocin
significantly increased the step-through-latency compared to Abeta-treated alone.
These learning deficits in Abeta-treated animals correlated with a reduction of
LTP in hippocampal CA1 synapses in freely moving rats, which crocin improved
population spike amplitude and mean field excitatory postsynaptic potentials
(fEPSP) slope reduction induced by Abeta. Neuronal apoptosis was detected by
TUNEL assay and the expression levels of c-Fos proteins were examined by Western
blotting. Crocin significantly reduced the number of TUNEL-positive cells in the
CA1 region and decreased c-Fos in the hippocampus compared to Abeta-group. In
vivo Abeta treatment altered significantly the electrophysiological properties of
CA1 neurons and crocin further confirmed a neuroprotective action against Abeta
toxicity.
PMID- 29357118
TI - Comparison of long-term clinical outcomes among different vascularized lymph node
transfers: 6-year experience of a single center's approach to the treatment of
lymphedema.
PMID- 29357119
TI - 3D, Reconfigurable, Multimodal Electronic Whiskers via Directed Air Assembly.
AB - A batch-assembly technique for forming 3D electronics on shape memory polymer
substrates is demonstrated and is used to create dense, highly sensitive,
multimodal arrays of electronic whiskers. Directed air flow at temperatures above
the substrate's glass transition temperature transforms planar
photolithographically defined resistive sensors from 2D precursors into shape
tunable, deterministic 3D assemblies. Reversible 3D assembly and flattening is
achieved by exploiting the shape memory properties of the substrate, enabling
context-driven shape reconfiguration to isolate/enhance specific sensing modes.
In particular, measurement schemes and device configurations are introduced that
allow for the sensing of temperature, stiffness, contact force, proximity, and
surface texture and roughness. The assemblies offer highly spatiotemporally
resolved, wide-range measurements of surface topology (50 nm to 500 um), material
stiffness (200 kPa to 7.5 GPa), and temperature (0-100 degrees C), with response
times of <250 us. The development of a scalable process for 3D assembly of
reconfigurable electronic sensors, as well as the large breadth and sensitivity
of complex sensing modes demonstrated, has applications in the growing fields of
3D assembly, electronic skin, and human-machine interfaces.
PMID- 29357120
TI - Magnetic resonance perfusion for differentiating low-grade from high-grade
gliomas at first presentation.
AB - BACKGROUND: Gliomas are the most common primary brain tumour. They are graded
using the WHO classification system, with Grade II-IV astrocytomas,
oligodendrogliomas and oligoastrocytomas. Low-grade gliomas (LGGs) are WHO Grade
II infiltrative brain tumours that typically appear solid and non-enhancing on
magnetic resonance imaging (MRI) scans. People with LGG often have little or no
neurologic deficit, so may opt for a watch-and-wait-approach over surgical
resection, radiotherapy or both, as surgery can result in early neurologic
disability. Occasionally, high-grade gliomas (HGGs, WHO Grade III and IV) may
have the same MRI appearance as LGGs. Taking a watch-and-wait approach could be
detrimental for the patient if the tumour progresses quickly. Advanced imaging
techniques are increasingly used in clinical practice to predict the grade of the
tumour and to aid clinical decision of when to intervene surgically. One such
advanced imaging technique is magnetic resonance (MR) perfusion, which detects
abnormal haemodynamic changes related to increased angiogenesis and vascular
permeability, or "leakiness" that occur with aggressive tumour histology. These
are reflected by changes in cerebral blood volume (CBV) expressed as rCBV (ratio
of tumoural CBV to normal appearing white matter CBV) and permeability, measured
by Ktrans. OBJECTIVES: To determine the diagnostic test accuracy of MR perfusion
for identifying patients with primary solid and non-enhancing LGGs (WHO Grade II)
at first presentation in children and adults. In performing the quantitative
analysis for this review, patients with LGGs were considered disease positive
while patients with HGGs were considered disease negative.To determine what
clinical features and methodological features affect the accuracy of MR
perfusion. SEARCH METHODS: Our search strategy used two concepts: (1) glioma and
the various histologies of interest, and (2) MR perfusion. We used structured
search strategies appropriate for each database searched, which included: MEDLINE
(Ovid SP), Embase (Ovid SP), and Web of Science Core Collection (Science Citation
Index Expanded and Conference Proceedings Citation Index). The most recent search
for this review was run on 9 November 2016.We also identified 'grey literature'
from online records of conference proceedings from the American College of
Radiology, European Society of Radiology, American Society of Neuroradiology and
European Society of Neuroradiology in the last 20 years. SELECTION CRITERIA: The
titles and abstracts from the search results were screened to obtain full-text
articles for inclusion or exclusion. We contacted authors to clarify or obtain
missing/unpublished data.We included cross-sectional studies that performed
dynamic susceptibility (DSC) or dynamic contrast-enhanced (DCE) MR perfusion or
both of untreated LGGs and HGGs, and where rCBV and/or Ktrans values were
reported. We selected participants with solid and non-enhancing gliomas who
underwent MR perfusion within two months prior to histological confirmation. We
excluded studies on participants who received radiation or chemotherapy before MR
perfusion, or those without histologic confirmation. DATA COLLECTION AND
ANALYSIS: Two review authors extracted information on study characteristics and
data, and assessed the methodological quality using the Quality Assessment of
Diagnostic Accuracy Studies (QUADAS-2) tool. We present a summary of the study
characteristics and QUADAS-2 results, and rate studies as good quality when they
have low risk of bias in the domains of reference standard of tissue diagnosis
and flow and timing between MR perfusion and tissue diagnosis.In the quantitative
analysis, LGGs were considered disease positive, while HGGs were disease
negative. The sensitivity refers to the proportion of LGGs detected by MR
perfusion, and specificity as the proportion of detected HGGs. We constructed two
by-two tables with true positives and false negatives as the number of correctly
and incorrectly diagnosed LGG, respectively, while true negatives and false
positives are the number of correctly and incorrectly diagnosed HGG,
respectively.Meta-analysis was performed on studies with two-by-two tables, with
further sensitivity analysis using good quality studies. Limited data precluded
regression analysis to explore heterogeneity but subgroup analysis was performed
on tumour histology groups. MAIN RESULTS: Seven studies with small sample sizes
(4 to 48) met our inclusion criteria. These were mostly conducted in university
hospitals and mostly recruited adult patients. All studies performed DSC MR
perfusion and described heterogeneous acquisition and post-processing methods.
Only one study performed DCE MR perfusion, precluding quantitative analysis.Using
patient-level data allowed selection of individual participants relevant to the
review, with generally low risks of bias for the participant selection, reference
standard and flow and timing domains. Most studies did not use a pre-specified
threshold, which was considered a significant source of bias, however this did
not affect quantitative analysis as we adopted a common rCBV threshold of 1.75
for the review. Concerns regarding applicability were low.From published and
unpublished data, 115 participants were selected and included in the meta
analysis. Average rCBV (range) of 83 LGGs and 32 HGGs were 1.29 (0.01 to 5.10)
and 1.89 (0.30 to 6.51), respectively. Using the widely accepted rCBV threshold
of <1.75 to differentiate LGG from HGG, the summary sensitivity/specificity
estimates were 0.83 (95% CI 0.66 to 0.93)/0.48 (95% CI 0.09 to 0.90). Sensitivity
analysis using five good quality studies yielded sensitivity/specificity of 0.80
(95% CI 0.61 to 0.91)/0.67 (95% CI 0.07 to 0.98). Subgroup analysis for tumour
histology showed sensitivity/specificity of 0.92 (95% CI 0.55 to 0.99)/0.42 (95%
CI 0.02 to 0.95) in astrocytomas (6 studies, 55 participants) and 0.77 (95% CI
0.46 to 0.93)/0.53 (95% CI 0.14 to 0.88) in oligodendrogliomas+oligoastrocytomas
(6 studies, 56 participants). Data were too sparse to investigate any differences
across subgroups. AUTHORS' CONCLUSIONS: The limited available evidence precludes
reliable estimation of the performance of DSC MR perfusion-derived rCBV for the
identification of grade in untreated solid and non-enhancing LGG from that of
HGG. Pooled data yielded a wide range of estimates for both sensitivity (range
66% to 93% for detection of LGGs) and specificity (range 9% to 90% for detection
of HGGs). Other clinical and methodological features affecting accuracy of the
technique could not be determined from the limited data. A larger sample size of
both LGG and HGG, preferably using a standardised scanning approach and with an
updated reference standard incorporating molecular profiles, is required for a
definite conclusion.
PMID- 29357121
TI - Scalable 2D Hierarchical Porous Carbon Nanosheets for Flexible Supercapacitors
with Ultrahigh Energy Density.
AB - 2D carbon nanomaterials such as graphene and its derivatives, have gained
tremendous research interests in energy storage because of their high capacitance
and chemical stability. However, scalable synthesis of ultrathin carbon
nanosheets with well-defined pore architectures remains a great challenge.
Herein, the first synthesis of 2D hierarchical porous carbon nanosheets (2D-HPCs)
with rich nitrogen dopants is reported, which is prepared with high scalability
through a rapid polymerization of a nitrogen-containing thermoset and a
subsequent one-step pyrolysis and activation into 2D porous nanosheets. 2D-HPCs,
which are typically 1.5 nm thick and 1-3 um wide, show a high surface area (2406
m2 g-1 ) and with hierarchical micro-, meso-, and macropores. This 2D and
hierarchical porous structure leads to robust flexibility and good energy-storage
capability, being 139 Wh kg-1 for a symmetric supercapacitor. Flexible
supercapacitor devices fabricated by these 2D-HPCs also present an ultrahigh
volumetric energy density of 8.4 mWh cm-3 at a power density of 24.9 mW cm-3 ,
which is retained at 80% even when the power density is increased by 20-fold. The
devices show very high electrochemical life (96% retention after 10000
charge/discharge cycles) and excellent mechanical flexibility.
PMID- 29357122
TI - Adaptation to stimulus orientation in mouse primary visual cortex.
AB - Information processing in the visual system is shaped by recent stimulus history,
such that prolonged viewing of an adapting stimulus can alter the perception of
subsequently presented test stimuli. In the tilt-after-effect, the perceived
orientation of a grating is often repelled away from the orientation of a
previously viewed adapting grating. A possible neural correlate for the tilt
after-effect has been described in cat and macaque primary visual cortex (V1),
where adaptation produces repulsive shifts in the orientation tuning curves of V1
neurons. We investigated adaptation to stimulus orientation in mouse V1 to
determine whether known species differences in orientation processing, notably V1
functional architecture and proportion of tightly tuned cells, are important for
these repulsive shifts. Unlike the consistent repulsion reported in other
species, we found that repulsion was only about twice as common as attraction in
our mouse data. Furthermore, adapted responses were attenuated across all
orientations. A simple model that captured key physiological findings reported in
cats and mice indicated that the greater proportion of broadly tuned neurons in
mice may explain the observed species differences in adaptation.
PMID- 29357123
TI - Triglyceride Metabolism in the Liver.
AB - Triglyceride molecules represent the major form of storage and transport of fatty
acids within cells and in the plasma. The liver is the central organ for fatty
acid metabolism. Fatty acids accrue in liver by hepatocellular uptake from the
plasma and by de novo biosynthesis. Fatty acids are eliminated by oxidation
within the cell or by secretion into the plasma within triglyceride-rich very low
density lipoproteins. Notwithstanding high fluxes through these pathways, under
normal circumstances the liver stores only small amounts of fatty acids as
triglycerides. In the setting of overnutrition and obesity, hepatic fatty acid
metabolism is altered, commonly leading to the accumulation of triglycerides
within hepatocytes, and to a clinical condition known as nonalcoholic fatty liver
disease (NAFLD). In this review, we describe the current understanding of fatty
acid and triglyceride metabolism in the liver and its regulation in health and
disease, identifying potential directions for future research. Advances in
understanding the molecular mechanisms underlying the hepatic fat accumulation
are critical to the development of targeted therapies for NAFLD. (c) 2018
American Physiological Society. Compr Physiol 8:1-22, 2018.
PMID- 29357124
TI - Role of Perivascular Adipose Tissue in Health and Disease.
AB - Perivascular adipose tissue (PVAT) is cushion of fat tissue surrounding blood
vessels, which is phenotypically different from other adipose tissue depots. PVAT
is composed of adipocytes and stromal vascular fraction, constituted by different
populations of immune cells, endothelial cells, and adipose-derived stromal
cells. It expresses and releases an important number of vasoactive factors with
paracrine effects on vascular structure and function. In healthy individuals,
these factors elicit a net anticontractile and anti-inflammatory paracrine effect
aimed at meeting hemodynamic and metabolic demands of specific organs and regions
of the body. Pathophysiological situations, such as obesity, diabetes or
hypertension, induce changes in its amount and in the expression pattern of
vasoactive factors leading to a PVAT dysfunction in which the beneficial
paracrine influence of PVAT is shifted to a pro-oxidant, proinflammatory,
contractile, and trophic environment leading to functional and structural
cardiovascular alterations and cardiovascular disease. Many different PVATs
surrounding a variety of blood vessels have been described and exhibit regional
differences. Both protective and deleterious influence of PVAT differs regionally
depending on the specific vascular bed contributing to variations in the
susceptibility of arteries and veins to vascular disease. PVAT therefore, might
represent a novel target for pharmacological intervention in cardiovascular
disease. (c) 2018 American Physiological Society. Compr Physiol 8:23-59, 2018.
PMID- 29357125
TI - Hemorrhagic Shock and the Microvasculature.
AB - The microvasculature plays a central role in the pathophysiology of hemorrhagic
shock and is also involved in arguably all therapeutic attempts to reverse or
minimize the adverse consequences of shock. Microvascular studies specific to
hemorrhagic shock were reviewed and broadly grouped depending on whether data
were obtained on animal or human subjects. Dedicated sections were assigned to
microcirculatory changes in specific organs, and major categories of
pathophysiological alterations and mechanisms such as oxygen distribution,
ischemia, inflammation, glycocalyx changes, vasomotion, endothelial dysfunction,
and coagulopathy as well as biomarkers and some therapeutic strategies.
Innovative experimental methods were also reviewed for quantitative
microcirculatory assessment as it pertains to changes during hemorrhagic shock.
The text and figures include representative quantitative microvascular data
obtained in various organs and tissues such as skin, muscle, lung, liver, brain,
heart, kidney, pancreas, intestines, and mesentery from various species including
mice, rats, hamsters, sheep, swine, bats, and humans. Based on reviewed findings,
a new integrative conceptual model is presented that includes about 100 systemic
and local factors linked to microvessels in hemorrhagic shock. The combination of
systemic measures with the understanding of these processes at the microvascular
level is fundamental to further develop targeted and personalized interventions
that will reduce tissue injury, organ dysfunction, and ultimately mortality due
to hemorrhagic shock. Published 2018. Compr Physiol 8:61-101, 2018.
PMID- 29357126
TI - Integration of Central and Peripheral Regulation of the Circulation during
Exercise: Acute and Chronic Adaptations.
AB - Physical movement lasting any more than a few seconds (e.g., exercise), requires
coordination of motor control with concomitant changes in the cardiovascular and
respiratory support necessary to respond to the rapid increases in metabolic
demand. Without such coordination, delivery of oxygen and removal of waste
products become rate limiting and will restrict the duration, speed, and quality
of movement. Fortunately, under healthy conditions, the central and peripheral
nervous systems contribute importantly to this remarkable level of coordination
via complex mechanisms that remain to be fully elucidated. The purposes of this
review are to present the current state of knowledge regarding: (i) mechanisms by
which the body maintains appropriate perfusion pressure to all organs during
acute bouts of exercise, and (ii) alterations occurring in these mechanisms via
central nervous system adaptations when exercise is performed or not performed on
a regular basis (e.g., physically active versus sedentary lifestyle,
respectively). Results from studies performed in humans and laboratory animals
provide the reader a well-rounded knowledge base. They are intended to instill an
appreciation of what is known, and not known, about how the brain regulates the
cardiovascular system during acute bouts of exercise, and the adaptations that
occur when individuals exercise regularly versus when chronically sedentary.
Discussion of the latter is intended to provide novel mechanisms for the
increased incidence of cardiovascular disease in sedentary individuals versus a
reduced incidence in individuals who are regularly active. (c) 2018 American
Physiological Society. Compr Physiol 8:103-151, 2018.
PMID- 29357127
TI - Molecular Regulation of Sprouting Angiogenesis.
AB - The term angiogenesis arose in the 18th century. Several studies over the next
100 years laid the groundwork for initial studies performed by the Folkman
laboratory, which were at first met with some opposition. Once overcome, the
angiogenesis field has flourished due to studies on tumor angiogenesis and
various developmental models that can be genetically manipulated, including mice
and zebrafish. In addition, new discoveries have been aided by the ability to
isolate primary endothelial cells, which has allowed dissection of various steps
within angiogenesis. This review will summarize the molecular events that control
angiogenesis downstream of biochemical factors such as growth factors, cytokines,
chemokines, hypoxia-inducible factors (HIFs), and lipids. These and other stimuli
have been linked to regulation of junctional molecules and cell surface
receptors. In addition, the contribution of cytoskeletal elements and regulatory
proteins has revealed an intricate role for mobilization of actin, microtubules,
and intermediate filaments in response to cues that activate the endothelium.
Activating stimuli also affect various focal adhesion proteins, scaffold
proteins, intracellular kinases, and second messengers. Finally,
metalloproteinases, which facilitate matrix degradation and the formation of new
blood vessels, are discussed, along with our knowledge of crosstalk between the
various subclasses of these molecules throughout the text. Compr Physiol 8:153
235, 2018.
PMID- 29357129
TI - Adrenocortical Stress Response during the Course of Critical Illness.
AB - Critically ill patients have elevated plasma cortisol concentrations, in
proportion to illness severity. This was traditionally attributed exclusively to
a central activation of the hypothalamus-pituitary axis. However, low rather than
high plasma ACTH concentrations have been reported in critically ill patients,
with loss of diurnal ACTH and cortisol rhythm. Low ACTH together with high
cortisol is referred to as "ACTH-cortisol dissociation." Although cortisol
production is somewhat increased with inflammation, a reduced cortisol breakdown
explains to a larger extent the hypercortisolism during critical illness.
Inflammation-driven decrease in cortisol binding proteins further increase the
active free cortisol fraction. Several drugs administered to ICU patients
suppress plasma cortisol in a dose-dependent manner. Sustained low circulating
ACTH might contribute to adrenal atrophy and dysfunction in the prolonged phase
of critical illness. In the acute phase of sepsis or septic shock, a condition
referred to as "relative adrenal insufficiency" has been suggested to ensue from
glucocorticoid resistance and insufficiently elevated circulating cortisol to
overcome such resistance, with pathological changes possibly occurring at every
level of the HPA axis. However, it remains highly controversial whether tissue
specific glucocorticoid resistance is adaptive or maladaptive, how to diagnose
"relative" adrenal insufficiency, and how it should be treated. Large RCTs,
investigating the effect of 200 mg/d hydrocortisone treatment for sepsis or
septic shock have shown conflicting, mainly negative, results. Not taking into
account the reduced cortisol breakdown, which increases the risk of overdosing
hydrocortisone, might have played a role. Further research on diagnostic,
therapeutic and dosing aspects is urgently warranted. Compr Physiol 8:283-298,
2018.
PMID- 29357131
TI - Structure and Function of Bone Marrow Adipocytes.
AB - Adipocytes are heterogeneous cells strongly linked to energy storage and
disposal. In parallel, adipocytes are endowed with an extensive portfolio of
endocrine molecules, whose secretion varies depending on nutritional status.
Marrow adipose tissue (MAT) has specific characteristics that are not shared by
white (WAT) or brown (BAT) adipose tissue. First, marrow adipocytes and
osteoblasts are terminally differentiated cells that originate from the same bone
marrow mesenchymal stromal cell. Differently from WAT adipocytes, marrow
adipocytes expand under conditions of energy restriction and seem to be not
influenced by energy surplus, at least in humans. Over the last few years,
several lines of evidence have suggested that bone cells and MAT are mutually
connected regarding the modulation of both energy metabolism and bone remodeling.
Adipokines (e.g., adiponectin, leptin, and chemerin), incretins (GLP1 and GIP),
and several classical hormones (e.g., GH and insulin) are biochemical components
involved in the modulation of bone remodeling, marrow adipogenesis, and energy
metabolism. As expected, metabolic and nutritional diseases such as diabetes
mellitus and anorexia nervosa (AN) greatly affect MAT quantity and quality as
well as bone strength. Although the interest in MAT started recently, the rapid
advances in current technology have expedited unprecedented growth of knowledge
in this area. The present review intends to give to the reader an up-to-date
perspective about MAT structure and physiology as well as its involvement in
metabolic and nutritional diseases such as diabetes mellitus and ano-rexia. (c)
2018 American Physiological Society. Compr Physiol 8:315-349, 2018.
PMID- 29357132
TI - Leptin Function and Regulation.
AB - We summarize the biological impact of leptin signaling as well as the molecular
and cellular characteristics of leptin action. Our focus is principally in the
central nervous system and we describe the properties of the neuronal networks
that are mediators of leptin's effects on ingestive behavior, energy balance, and
the reproductive system. The molecular targets of leptin's effects are also
responsible for the attenuation and termination of the intracellular signal
transduction pathway for leptin, providing a clear understanding of the
mechanisms leading to leptin resistance or insensitivity. Using the tools of
comparative biology, we explore the potential functions of leptin in fish and
birds. Based on the highly variable expression of leptin in multiple tissues, a
clear lack of expression of leptin in adipocytes in numerous species of fish and
birds and an absence of changes of leptin concentrations in blood that are
correlated with changes in nutritional status, it is clear that leptin is
unlikely to function as a signal for triglyceride stores in nonmammalian species.
This comparative survey serves to highlight the unique function of leptin in
mammalian biology as a modulator of energy balance, sexual development, and
fertility. (c) 2018 American Physiological Society. Compr Physiol 8:351-369,
2018.
PMID- 29357133
TI - Pathophysiologic Mechanisms and Current Treatments for Cutaneous Sequelae of Burn
Wounds.
AB - Burn injuries are a pervasive clinical problem. Extensive thermal trauma can be
life-threatening or result in long-lasting complications, generating a
significant impact on quality of life for patients as well as a cost burden to
the healthcare system. The importance of addressing global or systemic issues
such as resuscitation and management of inhalation injuries is not disputed but
is beyond the scope of this review, which focuses on cutaneous pathophysiologic
mechanisms for current treatments, both in the acute and long-term settings.
Pathophysiological mechanisms of burn progression and wound healing are mediated
by highly complex cascades of cellular and biochemical events, which become
dysregulated in slow-healing wounds such as burns. Burns can result in
fibroproliferative scarring, skin contractures, or chronic wounds that take weeks
or months to heal. Burn injuries are highly individualized owing to wound
specific differences such as burn depth and surface area, in addition to patient
specific factors including genetics, immune competency, and age. Other extrinsic
complications such as microbial infection can complicate wound healing, resulting
in prolonged inflammation and delayed re-epithelialization. Although mortality is
decreasing with advancements in burn care, morbidity from postburn deformities
continues to be a challenge. Optimizing specialized acute care and late burn
outcome intervention on a patient-by-patient basis is critical for successful
management of burn wounds and the associated pathological scar outcome.
Understanding the fundamentals of integument physiology and the cellular
processes involved in wound healing is essential for designing effective
treatment strategies for burn wound care as well as development of future
therapies. Published 2018. Compr Physiol 8:371-405, 2018.
PMID- 29357130
TI - Short-Chain Fatty Acid Transporters: Role in Colonic Homeostasis.
AB - Short-chain fatty acids (SCFA; acetate, propionate, and butyrate) are generated
in colon by bacterial fermentation of dietary fiber. Though diffusion in
protonated form is a significant route, carrier-mediated mechanisms constitute
the major route for the entry of SCFA in their anionic form into colonic
epithelium. Several transport systems operate in cellular uptake of SCFA. MCT1
(SLC16A1) and MCT4 (SLC16A3) are H+-coupled and mediate electroneutral transport
of SCFA (H+: SCFA stoichiometry; 1:1). MCT1 is expressed both in the apical
membrane and basolateral membrane of colonic epithelium whereas MCT4 specifically
in the basolateral membrane. SMCT1 (SLC5A8) and SMCT2 (SLC5A12) are Na+-coupled;
SMCT1-mediated transport is electrogenic (Na+: SCFA stoichiometry; 2:1) whereas
SMCT2-mediated transport is electroneutral (Na+: SCFA stoichiometry; 1:1). SMCT1
and SMCT2 are expressed exclusively in the apical membrane. An anion-exchange
mechanism also operates in the apical membrane in which SCFA entry in anionic
form is coupled to bicarbonate efflux; the molecular identity of this exchanger
however remains unknown. All these transporters are subject to regulation,
notably by their substrates themselves; this process involves cell-surface
receptors with SCFA as signaling molecules. There are significant alterations in
the expression of these transporters in ulcerative colitis and colon cancer. The
tumor-associated changes occur via transcriptional regulation by p53 and
HIF1alpha and by promoter methylation. As SCFA are obligatory for optimal colonic
health, the transporters responsible for the entry and transcellular transfer of
these bacterial products in colonic epithelium are critical determinants of
colonic function under physiological conditions and in disease states. (c) 2018
American Physiological Society. Compr Physiol 8:299-314, 2018.
PMID- 29357128
TI - Contribution of Adipose Tissue to Development of Cancer.
AB - Solid tumor growth and metastasis require the interaction of tumor cells with the
surrounding tissue, leading to a view of tumors as tissue-level phenomena rather
than exclusively cell-intrinsic anomalies. Due to the ubiquitous nature of
adipose tissue, many types of solid tumors grow in proximate or direct contact
with adipocytes and adipose-associated stromal and vascular components, such as
fibroblasts and other connective tissue cells, stem and progenitor cells,
endothelial cells, innate and adaptive immune cells, and extracellular signaling
and matrix components. Excess adiposity in obesity both increases risk of cancer
development and negatively influences prognosis in several cancer types, in part
due to interaction with adipose tissue cell populations. Herein, we review the
cellular and noncellular constituents of the adipose "organ," and discuss the
mechanisms by which these varied microenvironmental components contribute to
tumor development, with special emphasis on obesity. Due to the prevalence of
breast and prostate cancers in the United States, their close anatomical
proximity to adipose tissue depots, and their complex epidemiologic associations
with obesity, we particularly highlight research addressing the contribution of
adipose tissue to the initiation and progression of these cancer types. Obesity
dramatically modifies the adipose tissue microenvironment in numerous ways,
including induction of fibrosis and angiogenesis, increased stem cell abundance,
and expansion of proinflammatory immune cells. As many of these changes also
resemble shifts observed within the tumor microenvironment, proximity to adipose
tissue may present a hospitable environment to developing tumors, providing a
critical link between adiposity and tumorigenesis. (c) 2018 American
Physiological Society. Compr Physiol 8:237-282, 2018.
PMID- 29357136
TI - The Effects of Herbivorous Snails on Submerged Macrophyte Communities in
Minnesota Lakes.
AB - The influence of herbivorous snails on freshwater macrophytes was examined both
in the laboratory and the field. In the laboratory, 14 macrophyte species grown
in the absence of herbivores had growth rates ranging from 1-10%/d. When grown
with four densities of herbivorous snails, species that grew fastest in the
absence of herbivores were, in general, most negatively influenced by grazing. In
food choice tests, snails typically preferred the plant species that grew fastest
in the absence of herbivores. Snail densities were manipulated in a small
mesotrophic lake, Christmas Lake. In areas where high snail densities were
maintained, macrophyte species richness decreased, and the plants remaining were
the species least preferred in laboratory choice tests. In surveys of eight
additional lakes having a range of snail densities, the relationship between
snail density and macrophyte species composition and diversity was consistent
with the laboratory and Christmas Lake results. The levels of herbivore damage in
the lake were measured for one macrophyte species. For the leaves examined, from
6 to 13% of the total leaf area was lost to herbivores. These results demonstrate
that herbivorous snails can strongly influence the distribution, abundance, and
diversity of freshwater macrophytes, and suggest that the role of herbivores in
freshwater macrophyte communities may be similar to their role in terrestrial and
marine communities.
PMID- 29357137
TI - Beech Range Extension and Vegetation History: Pollen Stratigraphy of Two
Wisconsin Lakes.
AB - The pollen stratigraphy of two small lakes in eastern Wisconsin (Radtke Lake,
Washington county, and Gass Lake, Manitowoc County) records the Holocene (past 10
000 yr) spread of beech (Fagus grandifolia: Fagaceae). Radiocarbon dates were
obtained for the oldest stratigraphic levels at which beech pollen appeared
consistently in amounts > 0.5% of terrestrial pollen. A spatially continuous
pattern of beech expansion from the north was ruled out, because beech trees grew
in Wisconsin by 6000 BP, 2000 yr before adjacent populations were established to
the north. Alternative geographic patterns of speed (from the south or east) were
spatially discontinuous, requiring seed dispersal distances of perhaps 25-130 km.
That beechnuts could be dispersed across such distances suggests (1) the
involvement of Blue Jays, Passenger Pigeons, or other vertebrates, and (2) a
capacity for reaching climatically controlled range limits, given sufficient time
despite such discontinuities in habitat. A lag 1000-2000 yr between the
establishment of source populations in Michigan and Indiana and the appearance of
beech in Wisconsin suggests that low-probability dispersal events were involved
and that dispersal constraints limited the range of beech during this time,
although climatic and edaphic explanations for the lag cannot be ruled out.
Pollen data from the two sites reveal other features of vegetation history in
eastern Wisconsin: an open Picea-Fraxinus woodland prior to 11 000 BP; sequence
of Picea, Abies, Betula, and then Pinus forests between 11 000 and 7500 BP; the
establishment of a coniferous/deciduous forest ecotone ("tension zone") degrees
7000 BP in this region; and the presence of Quercus-dominanted deciduous forests
from 7000 BP until 110 BP (time of Euro-American settlement), a period punctuated
by a gradual decrease in Ulmus populations ( degrees 4500 and 5700 BP at the two
sites) and by an increase in mesophytic tree abundance at the expense of Quercus
after 3500 BP.
PMID- 29357135
TI - Dynamical localization of a thylakoid membrane binding protein is required for
acquisition of photosynthetic competency.
AB - Vipp1 is highly conserved and essential for photosynthesis, but its function is
unclear as it does not participate directly in light-dependent reactions. We
analyzed Vipp1 localization in live cyanobacterial cells and show that Vipp1 is
highly dynamic, continuously exchanging between a diffuse fraction that is
uniformly distributed throughout the cell and a punctate fraction that is
concentrated at high curvature regions of the thylakoid located at the cell
periphery. Experimentally perturbing the spatial distribution of Vipp1 by
relocalizing it to the nucleoid causes a severe growth defect during the
transition from non-photosynthetic (dark) to photosynthetic (light) growth.
However, the same perturbation of Vipp1 in dark alone or light alone growth
conditions causes no growth or thylakoid morphology defects. We propose that the
punctuated dynamics of Vipp1 at the cell periphery in regions of high thylakoid
curvature enable acquisition of photosynthetic competency, perhaps by
facilitating biogenesis of photosynthetic complexes involved in light-dependent
reactions of photosynthesis.
PMID- 29357138
TI - Facilitative and Inhibitory Interactions Among Estuarine Meiobenthic Harpacticoid
Copepods.
AB - In the meiofauna communities of Louisiana estuaries (USA), the harpacticoid
copepods Scottolana canadensis and Pseudostenhelia wellsi are predominant
species. Scottolana canadensis is a semisessile burrow-dweller capable of
subsurface suspension and deposit feeding. Pseudostenhelia wellsi is also
semisessile but builds extensive networks of mucus tubes within the top 1 cm of
muddy sediments, and appears to graze on its inner tubewalls. Tube building by P.
wellsi generates meiofauna-sized structure and adds cohesiveness to surface
sediments, as well as providing potential food and grazing substrates for other
meiofuna. Monospecific patches of P. wellsi and S. canadensis (250 individuals/5
cm2 ) were artificially generated in laboratory microcosms to determine if the
unique lifestyle and sedimentary effects of either species facilitate or inhibit
colonization by two other errant, burrowing harpacticoids common in the
community, Nitrocra lacustris and Cletocamptus deitersi. These two species share
similar foraging and burrowing behaviors and similar effects on sediment
structure, which sharply contrast with those of P. wellsi and S. canadensis.
Pseudostenhelia wellsi tube patches facilitated colonization by both S.
canadensis and N. lacustris, but strongly inhibited colonization by C. deitersi.
Scottolana canadensis patches were unattractive to N. lacustris. As P. wellsi
showed the strongest effects on colonization by other harpacticoids, its
mechanisms of facilitation/inhibition were also studied. In laboratory
microcosms, cultured S. canadensis and N. lacustris were offered patches of azoic
sediments, mucin-enriched sediments without structure, azoic sediments with agar
tube mimics (structure), and sediments with natural P. wellsi tubes (mucus and
structure). Both mucus enrichment and inert tube structure acted as strong
facilitants to N. lacustris copepodites and adults overall. However, neither
effect alone facilitated patch colonization by N. lacustris adult females and S.
canadensis copepodites and adults. Their colonization was facilitated
specifically by natural P. wellsi tubes. These experiments demonstrate that
species interactions in harpacticoid communities can quickly influence spatial
patterns, and those patterns may be mediated by species-specific effects on the
sedimentary environment (e.g., mucus tube, burrows, increased flushing,
erodability, etc.). However, spatial patterns cannot be predicted easily by
contrasting the compatibility of one species' biogenic effects with those of
another.
PMID- 29357139
TI - Chihuahuan Desert Annuals: Importance of Water and Nitrogen.
AB - We examined the effects of water supplementation and nitrogen amendment on
biomass, cover, and density of annual plants on a termite-free and a termite
present area in the Chihuahuan Desert. Soil moisture was higher in the termite
than in the termite-free plots, and in the watered than in the unwatered plots
during the spring and summer. There were no differences in soil moisture among
plots during the winter. Soil nitrogen was higher in the termite-free than in the
termite plots. There were no differences in total plant biomass produced in
termite and termite-free areas. There were significant differences in relative
abundances of species among treatments. natural rainfall was sufficient for
maximum spring-annual biomass development on all plots except for the termite
free unfertilized, unwatered plots. These were the driest plots but had high soil
nitrogen. Most of the herbaceous species responded to the water amendments by
lengthening growing seasons, increasing density, or increasing biomass. When
there was sufficient water for most of the spring annuals, high soil nitrogen
levels favored increased densities and biomasses of Descurainia pinnata and
Lepidium lasiocarpum. The absence of C4 summer annuals in the high-nitrogen plots
suggests that relatively high soil nitrogen adversely affected the summer
annuals. Termite-free watered plots had higher soil moisture than the termite
unwatered plots, but summer annuals were relatively abundant on the latter. Water
amendments had a greater effect on the species abundances in the termite-free
area than in the one with termites. In the area with termites, nitrogen
amendments had a greater effect on species abundances. Species diversity and
richness were affected by fertility as was species composition. This study
demonstrates that we must understand patterns of soil nitrogen availability and
processes affecting nitrogen availability in addition to water availability, in
order to understand productivity and species composition of Chihuahuan Desert
annual plants.
PMID- 29357140
TI - Avian Fruit Removal: Effects of Fruit Variation, Crop Size, and Insect Damage.
AB - Avian dispersal of seeds of the wild olive tree (Olea europaea var. sylvestris)
was studied in Mediterranean shrubland, southern Spain. Fourteen species of small
frugivorous birds in the genera Sylvia, Turdus, Sturnus, and Erithacus accounted
for 97.4% of the fruits consumed by birds. The significance of each bird species
as an Olea fruit consumer was closely related to its abundance in the area and
was not associated with its dependence on the fruit for food; this resulted in a
highly asymmetric interaction between the plant and its dispersers. Fruit
production differed greatly between two consecutive seasons. During an extremely
dry year most trees aborted their entire fruit crop just after flowering. During
the 2nd yr, both flower production and fruit set increased, and this resulted in
larger crops of ripe fruit. Most fruits that ripened (96.2% of the final-sized
fruits) during the year of low fruit production were consumed by dispersers (X =
93.9%), and incidence of fruit-damaging agents was low (6.0%). During the 2nd yr,
fruit loss to the two main fruit predators, Dacus oleae (Tephritidae) and Prays
oleae (Yponomeutidae), increased (X = 27.1%, range 1.1-52.3%). A satiation
process took place during this year since fruit production exceeded the energy
demand of the disperser assemblage, and this increased the potential for fruit
loss to insect frugivores. Fruit removal by dispersers decreased (X = 52.4%), but
the increase in fecundity during the 2nd yr for most of the trees compensated for
this difference and resulted in a greater absolute number of seeds removed. Most
variation in ripe fruit removal by birds was attributed to the interaction of
dispersal-related plant traits with insect frugivores that determine post
ripening fruit losses. The types of higher order interactions that result from
the action of birds, the pulp-damaging fly, and the seed-eating moth larva may
damp, or reverse, any selective effect of a single system component on plant
traits related to seed dispersal.
PMID- 29357141
TI - Body Size, Interspecific Interactions, and Use of Foraging Sites in Tits
(Paridae).
AB - In mixed-species flocks, the heavier tit species forage in the inner tree parts,
while the smaller species exploit food items of the outer canopy. Using aviary
experiments we studied the relative importance of two possible explanations for
body size-foraging site correlation. The first hypothesis is that larger bodied
tits are more efficient than smaller ones in exploiting the inner tree parts,
while the reverse would be true in the outer canopy. However, the heavier
species, the Willow Tit Parus montanus, was not more efficient in food searching
in the inner tree parts than the smaller species, the Coal Tit Parus ater. The
second hypothesis is based on interference competition with the socially dominant
(heavier) species selecting the most profitable foraging sites, and forcing the
smaller species to less rewarding places. In fact, in confrontation experiments
only individuals of the smaller species changed their foraging sites in the
presence of an individual of the other species in the aviary. In sum, body size
influences social dominance relations and thereby relegates the smaller species
to poorer foraging sites, but presumably gives them an advantage in exploitation
competition via reduced energy demands for basal metabolism.
PMID- 29357142
TI - Indirect Interactions Between Prey: Apparent Competition, Predator Aggregation,
and Habitat Segregation.
AB - Field experiments were performed to explore the nature of indirect interactions
between two groups of ecologically distinct prey that occur on subtidal rocky
reefs at Santa Catalina Island, California. Mobile gastropods (Tegula
aureotincta, Tegula eiseni, and Astraea undosa) and sessile bivalves (mostly
Chama arcana) share a common set of invertebrate predators (lobster Panulirus
interrupts, cephalopod Octopus bimaculatus, and whelk Kelletia kelletii). The
gastropods, which are secondarily preferred prey, principally occur on cobble
reefs and less commonly on high-relief boulder reefs. Sessile bivalves and other
species of favored prey are common on high-relief reefs and are rare or absent in
cobble areas. The density of each predator species is greatest in high-relief
areas containing abundant favored prey. Addition of bivalves to replicate cobble
plots resulted in marked increases in predator density, relative to controls,
because predators aggregated to areas containing favored prey. Greater densities
of predators in the presence of experimentally added bivalves resulted in greater
mortality and lower population densities of gastropods relative to controls.
Conversely, mortality of Chama was higher where gastropods were common compared
with cobble areas containing fewer gastropods. This occurred because the density
of predators within a cobble reef, although low overall, was positively
correlated with density of gastropods. Thus each group of prey was negatively
affected by the presence of the other because each alternative prey increased the
local density of predators. Such a doubly negative indirect interaction between
prey, mediated by a shared predator, is known as a pparent competition; this is
the first experimental demonstration of its existence. Because gastropods and
bivalves are superior at withstanding predation in different habitats, shared
predation and apparent competition may be sufficient mechanisms to maintain the
pattern of habitat segregation displayed by these prey groups.
PMID- 29357143
TI - Optimal Foraging in Seed-Harvester Ants: Computer-Aided Simulation.
AB - This paper presents a computer-aided simulation of foraging behavior in seed
harvester ant species. The simulation is based on regression studies of running
speed, net cost of transport, worker size, load mass, and distance. The results
show that, as a function of its size, each worker has a maximum transport yield
and an upper load limit. For yields other than this maximum, those obtained by
larger workers transporting small loads are less than those obtained if the same
loads are transported by smaller workers. In addition, there are two possible
load sizes for the same yield.
PMID- 29357144
TI - Direct and Indirect Effects of Host-Plant Fertilization on an Insect Community.
AB - Artemisia ludoviciana plants that received ammonium nitrate fertilizer were
visually censused for all insects at degrees 11 -d intervals from 30 June to 1
September 1982. Community composition and species densities in fertilized plots
were compared with those in control plots. Fertilization resulted in greater
numbers of phloem- and seed-feeding insects and a concurrent increase in tending
and patrolling by ants in fertilized plots. Chewing-insect densities did not
respond uniformly to fertilization, and no chewing species exhibited the marked
increase found in all of the sucking insects. Chrysomelid beetles and beetle
damage decreased significantly in fertilized plots. A choice test between
fertilized and control plants offered to beetles indicated that factors other
than plant quality were responsible for the decrease in damage in fertilized
plots. I suggest that increased densities of aggressive ants associated with
honeydew-producing membracids and aphids caused this decline. Beetle damage was
inversely correlated with aphid and membracid densities. Plots with ant nest had
fewer plants with beetles and beetle damage than did those without ant nests. The
indirect effect of increased ant densities from host-plant fertilization resulted
in reduced levels of beetle damage to A. ludoviciana in fertilized plots. Since
beetles removed large amounts of leaf area from A. ludoviciana in control plots,
the indirect effect of higher ant densities should not be overlooked in assessing
the general impact of fertilization on host plants.
PMID- 29357145
TI - Utilization of Freshwater and Ocean Water by Coastal Plants of Southern Florida.
AB - The coastal vegetation of southern Florida is undergoing dramatic changes due to
the instability of the ocean water-freshwater boundary. These vegetation changes
will be determined by the response of each particular species to saline ocean
water, particularly whether it can use ocean water or not. In this study,
isotopic data were used to determine the relative usage of freshwater or ocean
water by plants in the Florida keys. The results indicate that, with some
exceptions, plants toward the interior of the keys were using freshwater while
those toward the edge were using ocean water. A plot of the hydrogen and oxygen
isotopic composition of the plant water yielded a mixing line between typical
freshwater values and those of ocean water. In general, the isotopic ratios of
stem water for species found in hardwood hammocks were confined to the freshwater
end of the line, followed by values of stem water from mangrove margin species.
found in mangroves, however, had water with extremely variable isotopic ratios,
ranging from values typical of ocean water to values typical of freshwater. This
variability is consistent with the hypothesis that mangroves are fully capable of
growing in freshwater, but are limited to saline habitats because of competitive
exclusion by fast-growing glycophilic plants.
PMID- 29357134
TI - Apelinergic System Structure and Function.
AB - Apelin and apela (ELABELA/ELA/Toddler) are two peptide ligands for a class A G
protein-coupled receptor named the apelin receptor (AR/APJ/APLNR). Ligand-AR
interactions have been implicated in regulation of the adipoinsular axis,
cardiovascular system, and central nervous system alongside pathological
processes. Each ligand may be processed into a variety of bioactive isoforms
endogenously, with apelin ranging from 13 to 55 amino acids and apela from 11 to
32, typically being cleaved C-terminal to dibasic proprotein convertase cleavage
sites. The C-terminal region of the respective precursor protein is retained and
is responsible for receptor binding and subsequent activation. Interestingly,
both apelin and apela exhibit isoform-dependent variability in potency and
efficacy under various physiological and pathological conditions, but most
studies focus on a single isoform. Biophysical behavior and structural properties
of apelin and apela isoforms show strong correlations with functional studies,
with key motifs now well determined for apelin. Unlike its ligands, the AR has
been relatively difficult to characterize by biophysical techniques, with most
characterization to date being focused on effects of mutagenesis. This situation
may improve following a recently reported AR crystal structure, but there are
still barriers to overcome in terms of comprehensive biophysical study. In this
review, we summarize the three components of the apelinergic system in terms of
structure-function correlation, with a particular focus on isoform-dependent
properties, underlining the potential for regulation of the system through
multiple endogenous ligands and isoforms, isoform-dependent pharmacological
properties, and biological membrane-mediated receptor interaction. (c) 2018
American Physiological Society. Compr Physiol 8:407-450, 2018.
PMID- 29357146
TI - Flowering, Shoot Production, and Vole Bark Herbivory in a Boreal Willow.
AB - Different intensities of vole bark herbivory were simulated on the boreal willow
Salix myrsinifolia-phylicifolia in field experiments, and plant responses were
followed during 4 yr. Intermediate damage (every second stem barked) resulted the
1st yr in a fourfold increase in the production of basal shoots per individual,
and in the following years, a twofold increase in the number of catkins per
intact stem compared with control willows. However, 1 yr after damage, the
average number of seeds per individual was 21% lower than in control willows and
seed germination was reduced 40%. Severe damage (all stems barked) caused a
nearly 10-fold increase in the production of basal shoots the 1st yr and a
reduction and delay of sexual reproduction. Mortality of damaged stems was high
(100%), while genet mortality was < 5% in the group in which all stems were
barked. Flowering in female willows was reduced more than in male willows, and
males produced catkins on younger basal shoots than females. We suggest that in
this system, where the principal herbivore fluctuates between years, compensatory
reactions by damaged plants might be of particular benefit, as the period of
growth following damage is more or less free from herbivores.
PMID- 29357147
TI - Comparative Population Dynamics of Two Goldenrod Aphids: Spatial Patterns and
Temporal Constancy.
AB - Two aphid species, Uroleucon nigrotuberculatum and U. caligatum, that feed on the
goldenrod Solidago altissima, are similar in life histories and feeding habits,
but differ markedly in their spatial distribution and temporal variability. In
this study, U. caligatum typically occurred in small colonies scattered
throughout goldenrod fields, and its numbers remained relatively constant through
time, whereas U. nigrotuberculatum occurred in dense colonies and exhibited
greater fluctuations in population size. The aggregated spatial pattern of U.
nigrotuberculatum resulted from both active aggregation of alates and lack of
dispersal by apterae and nymphs. Field experiments in which colony size was held
constant revealed that U. nigrotuberculatum enjoyed no clear reproductive
advantage that might explain its greater tendency to outbreak. In addition, U.
nigrotubercultatum was more susceptible to generalist predators (such as
cantharid beetles, mirid bugs, and mites) than U. Caligatum. Aphid dispersion was
then manipulated in the field to determine how the different spatial patterns of
the two species influenced reproduction and mortality, and hence the relative
tendencies of their populations to irrupt. Feeding in large colonies provided no
reproductive advantage to either species. Aggregation did, however, enhance aphid
survivorship when generalist predators were the main mortality agents. The
advantage was reversed later in the season when the aphids' fungal pathogen was
present; aggregation then led to a decrease in survivorship. Thus, aggregation
allowed a numerical escape from generalist predators but also promoted fungal
epidemics, so that the temporal variability in U. nigrotuberculatum populations
was largely a consequence of its spatial distribution.
PMID- 29357148
TI - The Enemies Hypothesis: Tritrophic Interactions and Vegetational Diversity in
Tropical Agroecosystems.
AB - The effects of vegetational diversity on the abundance of natural enemies of
herbivores were studied in a traditional agroecosystem in tropical Mexico.
Visitation patterns of parasitic Hymenoptera and densities of predators were
compared between monocultures of squash and maize and mixed assemblages of maize,
cowpea, and squash. The impact of parasitoid visitation was assessed by
monitoring the percent parasitism of a target herbivore in the system: Diaphania
hyalinata (L.) (Lepidoptera: Pyralidae), a cucurbit specialist. The "Enemies"
hypothesis predicts a positive correlation between plant species richness and
natural enemy abundance, which results in the regulation of herbivores at lower
levels in diverse vegetation than in pure stands. The specific questions
addressed in this study were: (1) are the major groups of natural enemies more
abundant in patches of diverse vegetation than in monocultures?; (2) if so, does
an increase in either abundance or diversity of natural enemies cause a
concomitant increase in herbivore regulation?; (3) are the effects of
vegetational diversity uniform over major enemy groups? The activity of
hymenopteran parasitoids was affected by cropping pattern. Malaise trap captures
of parasitoids in general, and of those species that attack D. hyalinata on
squash, were consistently greater in tricultures than in squash monocultures, but
were also greater in maize monocultures. Parasitism rates of eggs and larvae were
higher on squash interplanted with maize and cowpea. These data partially support
the "Enemies" hypothesis in explaining lower herbivore levels in diverse
vegetation. However, the effects of diversity, per se, do not seem to be as
important as the presence of maize in the system. The responses of natural
enemies to vegetational diversity were not uniform. Most predators were not
significantly influenced by cropping patterns, and some were more abundant in
squash monocultures. The results of this study are discussed in terms of possible
routes by which members of the third trophic level are influenced by plants in
association.
PMID- 29357149
TI - Disturbance and Predictability of Flowering Patterns in Bird-Pollinated Cloud
Forest Plants.
AB - The distribution and flowering patterns of hummingbird-pollinated plants were
compared from July 1981 to June 1983 in three patch types in cloud forest at
Monteverde, Costa Rica. Study plots were: (1) four recent, large (1100-2500 m2 )
disturbances ("cutovers") produced by cutting vegetation, (2) six recent, smaller
(200-600 m2 ) disturbances caused by treefalls, and (3) four plots (1600-1800 m2
) of canopied forest. Based on published literature dealing with communities that
characterize different regimes of disturbance, we tested one assumption and two
hypotheses. Assumption: Plant species composition differs among the three patch
types. Hypothesis 1: Phenotypic specialization by plants for co-evolved
interactions with hummingbirds will be lowest in large gaps, highest in forest,
and intermediate in treefalls. Hypothesis 2: Predictability of flowering
phenologies and nectar production will be lowest in large gaps, highest in
forest, intermediate in treefalls. Neither the assumption nor the hypotheses were
supported by the results. The patch mosaic in this cloud forest was not
associated with major differences in species composition of bird-pollinated
plants. Most species studied were self-compatible. Most abundant in cutovers were
species with long corollas, relatively specialized for attracting long-billed
hummingbirds. Species with short corollas, which can be visited by many
hummingbird species and some insects, were most abundant in treefalls and forest.
Variation in phenological patterns showed no consistent trends among patch types.
Predictability of flower and nectar production tended to be greatest in
treefalls, which are foci of concentrated flowering activity by all species.
Discrepancies between our results and previous studies can be ascribed to two
facts. (1) Much of the literature dealing with ecological consequences of
disturbance has dealt with large-scale anthropogenic disturbances such as old
fields of the eastern USA, whereas we studied small, natural, or quasi-natural
disturbances. (2) Studies of forest disturbance have focused on the tree layer,
whereas we studied the understory herbs, shrubs, and epiphytes. Natural
disturbance usually involves death and replacement of one or more trees, whereas
individuals of other life forms may persist through the disturbance.
PMID- 29357150
TI - Dynamics of Nutrients and Leaf Mass in Central Himalayan Forest Trees and Shrubs.
AB - Leaf dynamics, nutrient (N, P, K, Ca, and Na) changes, and retranslocation from
the leaves of 13 species were investigated in four representative forest
communities of the Central Himalaya, India. In evergreens of the temperate
regions, with leaf longevities of several years, there is negligible movement of
substances from leaves during the first year. For evergreens of the Central
Himalaya, by contrast, loss of leaf mass beings in autumn and often continues
until summer, when new leaves are formed. The decrease in leaf dry mass during
this period was 12.3-34.1% of the maximum leaf mass. The concentration of N, P,
and K decreased from the initial peak, stabilized as leaves matured, and declined
markedly during senescence, while Ca and Na concentrations increased throughout
the life-span of leaves. Leaf mass loss was positively related to most of the
nutrient retranslocation percentages. There were significant correlations between
retranslocation levels of many nutrients. The dominant canopy species (chir
pine), which is adapted to infertile sites, showed conspicuously greater levels
of nutrient retranslocation than species adapted to fertile sites (oaks).
However, species of different growth forms occupying the same sites differed
markedly in the extent of nutrient retranslocation.
PMID- 29357151
TI - Comparative Life Histories of Holbrookia Maculata and Sceloporus Undulatus in
Western Nebraska.
AB - The life histories of the iguanid lizards Holbrookia maculata and Sceloporus
undulatus were studied in western Nebraska during 1978-1984. The two species
differ in their reproductive strategies and age-specific survival. The
reproductive strategy of H. maculata is invariant from year to year. Yearlings
consistently produced a single clutch and older females (>=2 yr) consistently
produced two clutches. The reproductive strategy of S. undulatus is
opportunistic. Clutch frequency of S. undulatus varied among years, ranging from
1-3 for yearlings and 2-3 for older females. This variation in clutch frequency
was a function of the length of the breeding season. Significant differences in
the age-specific survivals of H. maculata and S. undulatus were found for
juveniles and yearling females. In both cases, survival of H. maculata was
greater. Despite differences in their life histories, H. maculata and S.
undulatus are exposed to similar selective pressures in western Nebraska. Food
does not appear to be limiting and mortality due to predation is low. Mortality
is density-independent and is highest during the overwintering period.
Differences in the reproductive strategies of H. maculata and S. undalatus are
most likely due to their phylogenetic histories rather than to adaptation to
different environments. The different reproductive strategies of the two species
may account for differences in their age-specific survival. The high fecundity of
yearling S. undulatus may explain its lower survival relative to H. maculata.
Evidence for a cost of reproduction is provided by a negative relationship
between clutch frequency of yearling S. undulatus and postreproductive survival.
Because the response of lizards to specific environments may be constrained by
their phylogenetic histories, comparison of life history strategies among
syntopic species may not provide adequate tests of life history models. We
conclude that identification of the mechanisms underlying variation in life
history traits will most likely come from intraspecific comparisons.
PMID- 29357152
TI - Wind Dispersal Distances in Dimorphic Achenes of Ragwort, Senecio Jacobaea.
AB - A mark-recapture study of wind-dispersed achenes of Senecio jacobaeo conducted in
western Oregon showed that the proportion of achenes dispersing a given distance
varied significantly with changes in site (inland vs. coastal), surroundings
(mown vs. unmown), height of release (0-50, 50-100, 100-150, 150-200 cm), time of
release (early vs late in the season), direction of dispersal, and achene type
(disk vs. ray achenes). Influences of height of release, direction, achene type,
and time of release were strongly conditioned by site and surroundings. The
majority of achenes dispersed very short distances. Of 53 301 achenes falling in
the recapture area, 31% travelled only 1 m, 89% travelled 5 m or less, and none
were collected > 14 m from the source. Thus, while it is theoretically possible
for these wind-dispersed achenes to travel long distances, actual dispersal
distances are short due to local conditions of humidity, wind, and vegetation
structure.
PMID- 29357153
TI - The Detection of Density-Dependence from a Series of Annual Censuses.
AB - We report a distribution-free approach to the detection of density-dependence in
the variation of population abundance, measured by a series of annual censuses.
The method uses the correlation coefficient between the observed population
changes and population size and proposes a randomization procedure to define a
rejection region for the hypothesis of density-independence. It is shown that the
use of the proposed statistic under the randomization approach is equivalent to
the likelihood ratio test for a particular family of time series models. The
randomization test is compared with two other recently proposed tests. Using
computer-generated density-independent and density-dependent data, it is shown
that, unlike the other tests, the randomization test is effective whether or not
there is a marked trend in the observed data. Arguments are presented showing how
one of the other two tests can be further improved. Caution is urged in the use
and interpretation of any test for detecting density-dependence in census data
because (a) the tests depend on assumptions about population processes, (b)
errors of measurement may lead to spurious detection of density-dependence.
PMID- 29357154
TI - A Spurious Correlation in an Interpopulation Comparison of Atlantic Salmon Life
Histories.
AB - We tested two hypotheses concerning geographical variation in Atlantic salmon
(Salmo salar) life histories: (1) mean age at first reproduction is positively
correlated with growth rate at sea and (2) within-population variation in age at
first reproduction first increases and then decreases with latitude. Data on
growth and age at first reproduction were compiled from 41 populations in eastern
North America. Data reliability was checked by a redetermination of ages based on
scale examination. The proportion of fish that were incorrectly aged was small (
degrees 0.7%); however, aging errors were primarily of one kind; salmon that had
previously spawned were misclassified as virgin fish of an older age class.
Growth rate at sea was found not to be positively correlated with age at
maturation. Schaffer and Elson's (1975) positive correlation between growth and
age at first reproduction can be attributed to a subtle statistical artifact
caused by aging errors. We also found that within-population variation of age at
maturation was not related to latitude. We conclude that tests of life history
theories should not assume constancy in life history traits, such as mortality,
among populations.
PMID- 29357155
TI - Flexible Life History Traits: Shifts by Scarlet Gilia in Response to Pollinator
Abundance.
AB - In response to reduced levels of pollinators and pollination, scarlet gilia,
Ipomopsis aggregata, shift from their normal semelparous mode of reproduction to
iteroparous reproduction. When pollinators were excluded, plants were 5.5 times
as likely to produce an ancillary rosette as controls that received natural
pollinator levels. Similarly, when flowers were removed to prevent fruit
production, experimental plants were 7.8 times as likely to produce and ancillary
rosette as unmanipulated controls exposed to natural levels of pollination.
Although ancillary rosette production is correlated with both season and a
decline in pollinators, when seasonality was experimentally eliminated as a
variable, changes in pollinator abundance still resulted in a corresponding
change in rosette formation. Results suggest that there is a threshold below
which an individual can be expected to switch from semelparity to iteroparity;
the threshold appears to be between 30 and 40% fruit set. Our experiments also
demonstrate a trade-off between cloning and fruit production at the population
level. Herbivory had no effect on these life history traits. Plants clipped to
simulate natural herbivory by deer and elk showed no change in rosette formation
relative to undamaged controls. These and other data demonstrate that pollinators
are limiting and suggest that pollination is the primary factor involved in these
life history trait shifts by scarlet gilia. Midseason shifts in life history
traits permit plants to adjust to current lows in pollinator services by
producing an ancillary rosette that can then flower in a subsequent year when
pollinators may be less limiting.
PMID- 29357156
TI - Hatching Asynchrony in American Goldfinches: An Experimental Study.
AB - I examined Lack's (1954, 1968) hypothesis that asynchronous hatching is an
adaptive response to food shortage during the breeding season by comparing growth
and survival of nestlings in asynchronous and artificially created synchronous
broods of American Goldfinches (Carduelis tristis). I also examined the effects
of seasonal and environmental factors on nestling growth and survival and on
hatching asynchrony. Nestlings in asynchronous broods were more likely to diverge
in mass and did so at a faster rate than those in synchronous broods. The
lightest nestlings of asynchronous broods grew more slowly than their heavier
nestmates and than all nestlings in synchronous broods. Brood reduction occurred
more frequently in asynchronous broods. Survival and growth rates decreased
throughout the breeding season and during inclement weather. Hatching intervals
increased during the season. When brood reduction or differential growth among
nestmates occurred in asynchronous broods, suggesting that the energy available
for growth was limiting, heavy nestlings in asynchronous broods grew
nonsignificantly faster than heavy nestlings in concurrent synchronous broods.
This trend implies that when insufficient food is delivered to nestlings,
asynchronous hatching may provide a slight advantage for older nestlings. Growth
rates of all nestlings, however, were greatest in highly synchronous broods.
Explanations other than growth of young must be invoked to explain why extreme
synchrony is not more common in goldfinches. I discuss constraints on the normal
pattern of hatching asynchrony characteristic of this species. The proximate
mechanism for differential feeding and brood reduction is discussed.
PMID- 29357157
TI - AD HOC Editors of Manuscripts.
PMID- 29357158
TI - Chemical Defense Against Diverse Coral-Reef Herbivores.
AB - Five secondary metabolites from tropical marine algae and one related compound
from an herbivorous sea-hare (Aplysidae) were coated, at approximately natural
concentrations, onto the palatable seagrass Thalassia testudinum and placed on
coral reefs where they could be eaten by the diverse group of herbivorous fishes
that occur there. Laboratory feeding assays with the herbivorous sea urchin
Diadema antillarum were also conducted. When compared to appropriate controls,
the following terpenoid compounds significantly reduced the amount of Thalassia
eaten by both Diadema and reef fishes: stypotriol, from the brown seaweed
Stypopodium zonale; pachydictyol-A, which is produced by several genera of
tropical (Dictyota and Dilophus) and warm-temperate (Pachydictyon and
Glossophora) brown seaweeds; elatol, from the tropical red alga Laurencia obtusa;
and isolaurinterol, which is produced by several tropical and warm-temperate
species of Laurencia. Under very mild acid conditions, isolaurinterol is
converted to a structurally similar compound, aplysin, found in high
concentrations in sea-hares that feed on isolaurinterol-containing Laurencia
species. Aplysin did not deter feeding by either type of herbivore. Cymopol, a
terpenoid bromohydroquinone from the green alga Cymopolia barbata, significantly
reduced feeding by reef fishes but significantly stimulated feeding by Diadema.
Pharmacological and crude bioactivity tests suggest that several of these
compounds function as generalized toxins. However, these generalized laboratory
assays are not necessarily good predictors of how compounds will affect feeding
by herbivores. For example, pachydictyol-A and stypotroil were equally effective
at deterring fishes and Diadema, even though pachydictyol-A shows almost no
bioactivity in laboratory assays while stypotriol and its oxidation product,
stypoldione, are very bioactive. Herbivory on coral reefs is more intense than in
any other habitat studied and the diversity of herbivore types is high. It
appears that this intense grazing has provided strong selection for seaweeds that
synthesize unique secondary metabolites that significantly reduce the consumption
of plants exposed to attack by a diverse group of reef herbivores.
PMID- 29357159
TI - Coral Reef Recovery on Guam (Micronesia) After Catastrophic Predation by
Acanthaster Planci.
AB - At Tanguisson Reef, Guam, in 1968-1969 a population explosion of the coral-eating
sea star Acanthaster planci devastated the coral community. In the wake of this
predation, coral species richness, density, and cover were drastically reduced,
and the species composition was altered. In two of three reef zones examined, <
1% coral cover remained. At the time of disturbance, some considered the
magnitude of this and similar Acanthaster disturbances unprecedented, and
predicted long recovery times because reefs were viewed as mature, stable
communities. This major disturbance offered an opportunity to study the processes
and rate of community recovery. Using the data from previous studies in 1970,
1971, and 1974, and my data from 1980-1981, I present a long-term analysis of
coral community development. I have focused on coral recruitment, survivorship,
and species settlement patterns in relationship to the remnant surviving
population. The preference of Acanthaster planci for certain prey (e.g.,
Montipora and Acropora) shifted the species composition to one in which
nonpreferred prey predominated (e.g., Porites and Leptastrea). The predominance
of nonpreferred prey was short-lived, and by 1980, preferred prey were the
primary colonists of two zones. The three biological zones (reef front, submarine
terrace, and seaward slope) had their own characteristic species assemblages soon
after the disturbance. Nonrandom recruitment or survival of juvenile corals
(diameter <= 4 cm) established these zones. Although the percentage of juvenile
corals fell throughout the survey, their highest density was reached after adult
colonies were established. In 1980, of the 34 species examined, 79% showed a
significant coefficient of association between adult and juvenile conspecifics.
This association caused clumped species dispersion patterns to develop within
zones. As the result of recruitment, species diversity rose from 84 species in
1970 to 154 species in 1981. As surviving corals and new recruits developed, the
distribution of coral growth forms became more diversified. There was a drastic
increase in coral cover (e.g., submarine terrace zone, 0.9% in 1970 to 65% in
1981). This increase in cover corresponded to an increase in size and number of
the coral colonies In 12 yr, species richness, cover, and composition reached or
exceeded measurements of comparable reefs before the disturbance. The recovery
occurred faster than predicted by a stable equilibrium model because long-term
successional changes were not required. Recovery was accelerated because
Acanthaster did not destroy the structural integrity of reef framework. This
rapid recovery from a natural disturbance demonstrates that some coral
communities have a greater resilience than was once believed.
PMID- 29357161
TI - Chemical Defense Against Different Marine Herbivores: Are Amphipods Insect
Equivalents?
AB - The Structurally similar diterpenoid alcohols pachydictyol-A and dictyol-E are
produced by the brown seaweed Dictyota dichotoma. This seaweed and several
related species that also produce these compounds are known to be relatively low
preference foods for tropical fishes and urchins. We evaluated the effect of
various concentrations of these compounds on feeding by the three common types of
herbivores that co-occur with Dictyota in coastal North Carolina. Fish (Diplodus
holbrooki), sea urchins (Arbacia punctulata), and a mixed species group of
gammarid amphipods were offered pieces of the palatable seaweed Gracilaria
tikvahiae coated with either (1) dictyol-E or pachydictyol-A dissolved in diethyl
ether or (2) diethyl ether alone. Dictyol-E significantly reduced consumption by
fish and urchins at concentrations of 0.5 and 1.0% of algal dry mass, but had no
effect on amphipod grazing. Pachydictyol-A significantly reduced fish grazing at
the relatively high concentrations of 1.0 and 1.3% of plant dry mass; at 0.5% it
tended to decrease grazing, but the effect was not significant (P = .07).
Pachydictyol-A had no effect on urchin grazing and significantly increased
amphipod grazing. When Pachydictyol-A was fed to fish as 1.0% of food dry mass,
their growth rate was reduced by a significant 48%. In feeding preference
experiments with several seaweeds, Dictyota ranks low for fish and urchins but
high for amphipods. This is consistent with the hypothesis that the secondary
metabolites produced by Dictyota play a major role in determining its
susceptibility to herbivores. The ability of amphipods to circumvent the chemical
defenses (Dictyota, and the fact that the two species of algae most readily
consumed by amphipods (Codium and Dictyota) were the two species least readily
consumed by fish, suggest that predation and herbivory by fishes may be major
factors selecting for amphipods that can live on, and eat, seaweeds that are
unpalatable to fishes. Amphipods that fed on Dictyota did not appear to sequester
the Dictyota metabolites; when exposed to fish predation, Dictyota-fed amphipods
were eaten as readily as amphipods that had fed on an alga with no defensive
chemistry. Tubicolous amphipods and other small marine herbivores that may spend
significant portions of their lives on only a few plants my be under very
different evolutionary constraints than the larger, more mobile herbivores that
commonly moved between many plants. Several characteristics of these smaller,
less mobile, and much less studied, marine herbivores suggest that they may be
ecologically similar to terrestrial insects and may play a large, but presently
unappreciated, role in structuring marine plant communities.
PMID- 29357162
TI - Seedling Recruitment Strategies in Obligate-Seeding and Resprouting Banksia
Shrubs.
AB - Banksia ericifolia is killed by fire and so depends upon seeds for persistence.
In contrast, B. oblongifolia can persist after fire by resprouting from protected
buds, as well as by recruiting seedlings. These serotinous species coexist in the
fire-prone scrublands of the Sydney Basin. Here we report experiments that
compared seed release from cones retained in the canopy, seed germination, and
seedling establishment in these two species. B. oblongifolia seeds were released
more quickly than B. ericifolia seeds after a fire, and B. oblongifolia follicles
opened and shed seeds after exposure to lower temperatures than those of B.
ericifolia. B. oblongifolia seeds germinated more quickly than those of B.
ericifolia. The relative growth rates and leaf mass ratios (mean ratio of leaf
dry mass to plant dry mass over sequential harvests) of the two species did not
differ. Root : shoot ratios were significantly large and biomass allocation to
roots was faster in B. oblongifolia seedlings. B. ericifolia seedlings survived
low and intermediate levels of droughting better than B. oblongifolia under
greenhouse conditions. In a field experiment in which water was not limiting,
large B. oblongifolia seedlings had the highest survival rate. These results
suggest that selection has acted on B. ericifolia to minimize the chance of zero
seedling survival by distributing the risks over more than one germination
episode. There was no consistent evidence to support the hypothesis that
selection on this species has increased its probability of seedling survival
during any one germination opportunity relative to its congener.
PMID- 29357163
TI - Experimental Analysis of the Grazing Interaction Between a Mayfly and Stream
Algae.
AB - The interaction between the grazing mayfly Ameletus validus and periphyton in a
small, northern California stream was examined by manipulating the density of the
mayfly in flow-through plexiglass channels. Containing natural cobble substrate
and located in situ, the channels established an initial gradient of A. validus
at 0, 0.5, 1, and 4 times the average density of the mayfly in Barnwell Creek.
After 23 d, A. validus significantly depressed periphyton standing crop: ash-free
dry mass (AFDM) at the 0, 0.5, 1, and 4 N grazer densities was 5.067 +/- 1.389
(se), 1.829 +/- 0.173, 1.741 +/- 0.325, and 1.009 +/- 0.199 g/m2 (ANOVA: P <
.01). The mayfly also influenced two structural attributes of the periphyton,
increasing the amount of chlorophyll a per unit biomass and decreasing the
relative contribution of the loose, upper layer to total periphyton biomass.
Principal component analysis of algal relative abundances contrasted the effect
of grazing on two groups of diatoms. A group of species found primarily in the
loose layer of periphyton (Nitzschia spp., Surirella spiralis, Cymatopleura
elliptica, and Navicula cryptocephala) was disproportionately reduced in
abundance, while an adnate group (Gomphonema clevei, Achnanthes minutissima,
Synedra ulna, Rhoicosphenia curvata, and an undescribed species of Epithemia)
increased its relative abundance with increasing grazing pressure. The decline in
relative abundance of the loose layer diatoms did not appear to result from
selective consumption by A. validus, but may have been mediated by a reduction of
inorganic sediment in the periphyton by A. validus. Inorganic sediment was highly
correlated with the relative abundances of the loose layer group of diatoms, a
group of species that are adapted for locomotion on sediment substrates. A.
validus growth in the experimental channels was strongly density dependent.
Growth in length over 23 d for the 0.5, 1, and 4 N treatments was 2.24 +/- 0.17,
1.80 +/- 0.23, and 1.15 +/- 0.25 mm (ANOVA: P < .01). The significantly greater
growth of A. validus at subnormal densities in the experimental channels
suggested that the A. validus population in Barnwell Creek was food-limited.
PMID- 29357164
TI - Determinants of Competitive Success in Clethrionomys Rufocanus.
AB - This study introduces a new approach to identify determinants of competitive
success in small mammals. An experimental population of Clethrionomys rufocanus
consisting of laboratory-raised individuals with known origin and life history
was introduced to a realistic competitive situation in the field. Successful
females could be distinguished from nonsuccessful females on the basis of four
individual attributes measured 25 d after they were released on the study plot,
whereas males could not. The most consistent determinant of competitive success
seemed to be the sex ratio of the litters in which females were born. Successful
females originated from litters with a strongly female-biased sex ratio. These
results are considered in the light of recent research on the consequences of
prenatal conditions on female social behavior.
PMID- 29357165
TI - Biomass and Nutrient Accumulation During Stand Development in Wyoming Lodgepole
Pine Forests.
AB - Accumulation rates of biomass and nutrients during stand development in lodgepole
pine (Pinus contorta ssp. latifolia [Engelm. ex Wats.] Critchfield) forests were
calculated using dendrochronological reconstructions of biomass in conjunction
with information on detrital immobilization of nutrients. Dead wood, forest
floor, and live tree Including root) biomass were examined. Maximum total biomass
accumulation rates of 2.5-3.2 Mg degrees ha-1 degrees yr-1 were reached between
ages 40 and 60 yr in even-aged stands, but an uneven-aged stand did not achieve a
maximum accumulation rate (1.5 Mg degrees ha-1 degrees yr-1 ) until after 80 yr
of development. The results suggest (1) a major role for forest floor detritus in
the retention of N, P, Ca, and Mg; (2) the importance of living vegetation for K
retention; and (3) the fundamental differences in biomass and nutrient dynamics
between even-aged stands that develop after fire and stands that develop through
gradual invasion of a meadow.
PMID- 29357166
TI - Regulation of Lake Primary Productivity by Food Web Structure.
AB - We performed whole-lake manipulations of fish populations to test the hypothesis
that higher trophic levels regulate zooplankton and phytoplankton community
structure, biomass, and primary productivity. The study involved three lakes and
spanned 2 yr. Results demonstrated hierarchical control of primary production by
abiotic factors and a trophic cascade involving fish predation. In Paul Lake, the
reference lake, productivity varied from year to year, illustrating the effects
of climatic factors and the natural dynamics of unmanipulated food web
interactions. In Tuesday Lake, piscivore addition and planktivore reduction
caused an increase in zooplankton biomass, a compositional shift from a
copepod/rotifer assemblage to a cladoceran assemblage, a reduction in algal
biomass, and a continuous reduction in primary productivity. In Peter Lake,
piscivore reduction and planktivore addition decreased zooplanktivory, because
potential planktivores remained in littoral refugia to escape from remaining
piscivores. Both zooplankton biomass and the dominance of large cladocerans
increased. Algal biomass and primary production increased because of increased
concentrations of gelatinous colonial green algae. Food web effects and abiotic
factors were equally potent regulators of primary production in these
experiments. Some of the unexplained variance in primary productivity of the
world's lakes may be attributed to variability in fish populations and its
effects on lower trophic levels.
PMID- 29357167
TI - Proximate and Ultimate Roles of Food Amount in Regulating Egret Sibling
Aggression.
AB - In facultatively siblicidal bird species, the amount of food delivered by parent
birds to their young ("food amount") has been assumed to be both an important
proximate and ultimate cause of fatal aggression. The proximate "Food Amount
Hypothesis" (FAH) contends that sibling aggression will vary inversely with the
quantity of food delivered by the parents, presumably mediated by chick hunger.
At the ultimate level, food shortages are expected to influence whether the
combined effects of aggression and food control by older siblings will be fatal
to the youngest brood member(s). We evaluated the effects of food amount on
aggression and survival in facultatively siblicidal Ardeidae: (1) Sibling
aggression in unmanipulated broods of Great Egrets (Casmerodius albus) and Great
Blue Herons (Ardea herodias) did not decline with increasing amounts of food, as
had been predicted; indeed, this relationship may even be weakly positive. (2) In
egret broods, the strongest predictors of total brood success were competitive
vigor of the youngest sib and fighting rate. (3) Wild egret broods whose food
amount was experimentally doubled did not reduce fighting rates, but experienced
significantly lower brood reduction than control broods. (4) Captive egret broods
whose food amount was experimentally decreased did not increase fighting rates,
but suffered significantly more brood reduction than control broods. (5) In the
wild, food amount appears not to be a sufficiently stable parameter on which to
base prudent sib-aggression strategies. We conclude that food amount has little
direct influence on fighting behavior in these birds, though it consistently
influences chick survival. Thus the proximate effects of this ecological variable
must be divorced from its ultimate role, at least in species such as these
ardeids and in obligate-siblicidal species. However, the FAH may well account for
sib-fighting variation in other facultatively siblicidal birds, such as Blue
footed Boobies (Sula nebouxii), Black-legged Kittiwakes (Rissa tridactyla),
Ospreys (Pandion haliaetus), and South Polar Skuas (Catharacta maccormicki). It
is predicted that sibling aggression will be food-amount dependent in species
whose food fluctuations are relatively slow. An attempt to manipulate prey
monopolizability (bolus size) in captive Great Egrets was unsuccessful, either
because sib aggression in the laboratory was so inflated as to swamp prey-size
effects or because this species simply lacks sufficient developmental flexibility
in its intrabrood fighting behavior. If the latter were true, it would reveal a
striking difference between Great Egrets and Great Blue Herons, which have shown
considerable (adaptive) plasticity in this regard.
PMID- 29357168
TI - Comparative Analyses of Carbon Dynamics in Native and Cultivated Ecosystems.
AB - Plant productivity, litter decomposition rates, and soil organic matter
accumulation for winter wheat and for unbroken tallgrass prairie in Central
Missouri were analyzed and compared. As measured in this 3-yr study, annual
production of dry matter above- and belowground for the cultivated system
averaged slightly higher than that for the natural vegetation burned every 3-4
yr. Prairie was characterized by greater year-to-year fluctuation of
productivity, which in some years exceeded productivity of the cultivated system.
More litter and structural biomass older than 1 yr occurred in the prairie,
reflecting the perennial nature of the root system. Principal pathways of carbon
transfer in both ecosystems were modeled, and mean residence time in major
storage compartments estimated. Combined CO2 losses from above- and belowground
litter decay, expressed as a percentage of total soil respiration, were twice as
great under wheat as for native prairie. A relatively greater fraction of net
primary production was available for synthesis of soil organic matter in native
prairie. Under equilibrium conditions, decomposition constants for this
compartment, however, were similar. Contrasts in community structure and
phenology, seasonal dynamics of litter decay, and levels of soil disturbance are
suggested influences affecting transfer and storage characteristics.
PMID- 29357169
TI - Habitat Selection Under Predation Hazard: Test of a Model with Foraging Minnows.
AB - Animals commonly choose among habitats that differ both in foraging return and
mortality hazard. However, no experimental study has attempted to predict the
level of increase in resources, or the decrease in mortality hazard, which will
induce a forager to shift from a safer to a more hazardous (but richer) foraging
area. Here we present and test a model that specifies the choice of foraging
areas ("habitats") that would minimize total mortality risk while allowing
collection of some arbitrary net energy gain. We tested the model with juvenile
creek chubs (Semotilus atromaculatus) in an experimental field stream in which
the foragers could utilize a foodless refuge and choose between two foraging
areas that differed in experimentally manipulated resource densities (Tubifex
spp. worms in sediments) and mortality hazard (adult creek chubs). For the case
tested, the model specified a simple rule: "use the refuge plus the site with the
lowest ratio of mortality rate (MU) to gross foraging rat (f)," i.e., "minimize
MU./f." Independent prior measurements of mortality hazard (as a function of
predator density) and gross foraging rate (as a function of resource density)
allowed us to predict the resource level in the more hazardous foraging site that
should induce a shift from the safer to the more hazardous site. The chubs'
preferences in subsequent choice experiments agreed well with the theoretical
predictions. The "minimize MU/f" rule (deaths per unit energy), perhaps in
modified form, provides a simple alternative to the "maximize f" (energy per unit
time) criterion that applies to long-term rate maximization when predation hazard
does not differ among choices.
PMID- 29357170
TI - Breeding Birds of Isolated Woodlots: Area and Habitat Relationships.
AB - We investigated breeding bird communities of isolated woodlots (1.8-600 ha) in
east-central Illinois during three summers (1979-1981) to compare the influence
of area and habitat on community structure. Woodlots supported from 9 to 43
species and composition was relatively constant among years. Ecological
generalists dominated small woodlots, while more specialized species increased in
importance with area. Area accounted for most variation (86-98%) in total species
number in each year and the species-area relationship did not change
significantly among years. The amount of variance accounted for by area was
greater than in previous studies. Neither habitat nor woodlot isolation explained
significant additional variation in total species richness after area. Area
accounted for most variation in number of species in different migratory and
breeding habitat categories, except for short-distance migrants, which correlated
most strongly with habitat. Variation in habitat was not related to woodlot area
and habitat accounted for additional variation in bird species numbers in most
cases. Abundances of one-third to one-half of species examined correlated with
woodlot area, but a greater proportion (66-72%) were influenced more strongly by
habitat variables. Results from Illinois support previous conclusions that
species that breed in forest interior habitat and winter in the tropics are most
likely to be adversely affected by a reduction in forest habitat. Results also
show that bird communities in isolated tracts of forest are not random
assemblages, but rather that species found in smaller woodlots are subsets of
species found in larger forests.
PMID- 29357171
TI - Clonal Integration and Population Structure in Perennials: Effects of Severing
Rhizome Connections.
AB - Short rhizomes in perennial plants lead to compact genet architecture and
populations consisting of monoclonal patches. Long rhizomes lead to spreading
genets and polyclonal patches. To test if shoot integration within genets was
higher in the first case than in the second, we compared shoots of connected
genets to artificially disconnected shoots for three Aster species (spreading
genets), Solidago canadensis (compact genets), and S. gigantea (intermediate)
grown in high and low density, pure and mixed sands. Severing rhizome connections
stimulated plant growth in S. canadensis but had only small effects on growth in
Aster and S. gigantea. In low density, the production of new rhizome and shoot
modules of S. canadensis, and to a lesser degree of S. gigantea, was restricted
by integration. Aster performed better and S. canadensis worse in mixed than in
pure stands. Intact connections did not increase the competitive ability of s.
canadensis grown in mixture. Shoots of S. canadensis, but not of S. gigantea and
Aster, were more variable if connected in pairs than if isolated (or connected in
fours). The results confirm the prediction that integration increases with
increasing genet compactness, i.e., Aster < S. gigantea < S. canadensis. They
further indicated that destroying physical connections in integrated genets may
decrease between-shoot competition rather than between-shoot cooperation.
Ecological consequences of high vs. low clonal integration in perennial plants
are discussed.
PMID- 29357172
TI - Leaf Demography and Clonal Growth in Female and Male Rumex Acetosella.
PMID- 29357173
TI - Ecological Consequences of an Exceptionally Strong El Nino Event on Darwin's
Finches.
AB - We studied the effects of heavy rainfall associated with the El Nino event of
1982-1983 on the demography of Darwin's Ground Finch (Geospizinae) populations
and their resources on Isla Daphne Major, Galapagos. Total rainfall during this
period (1359 mm) was 10 times the previously recorded wet season maximum. Most
plant species responded by dramatically increasing seed production; total seed
biomass increased by an order of magnitude, and small seed biomass increased in
relative abundance, from 20% of total seed biomass before 1983 to >80% at the
peak of the event. Caterpillars, the main food used by finches while breeding,
also became extremely abundant. Ground finch species, mainly the Medium Ground
Finch (Geospiza fortis) and the Cactus Finch (G. scandens), responded to the
increase in food supply by breeding repeatedly. Females of both species produced
up to 10 clutches of eggs; normally they produce 1-5 clutches. The most
productive female fortis and scandens individuals fledged 25 and 19 young,
respectively. Some birds born at the beginning of the season bred successfully
before the end. Clutch sizes were scarcely larger than before and overall
fledging success per nest was lower than in a normal breeding season. The large
number of young produced resulted in (1) increases in population sizes of both
species by a factor of four, (2) a dramatic skew in the age structure of both
species, with birds born in 1982-1983 making up >75% of each population in
January 1984, and (3) >50% of the lifetime fledgling production of females born
in 1978 occurring in this breeding season. Individuals of both species immigrated
from nearby islands, and a nonresident species, the Large Ground Finch (G.
magnirostris) immigrated and bred on the island. Severe El Nino events have
important ecological and evolutionary effects on these birds. For example, the
high proportion of lifetime reproductive success contributed under exceptionally
favorable conditions may have influenced the evolution of life history
characteristics in the finches. Overall, our results support the view that rare
events can have a major influence on key population processes in long-lived birds
living in temporally varying environments, and that long-term studies are
necessary to detect such effects.
PMID- 29357174
TI - Distribution of Seeds in Sonoran Desert Soils: Implications for Heteromyid Rodent
Foraging.
AB - We used a hierarchical sampling design to investigate temporal and spatial
patterns of variation in the topsoil seedbank at a site on the Santa Rita
Experimental Range, 50 km south of Tucson, Arizona. Replicate sets of contiguous
4 degrees 4 cm samples to 2 cm depth ("transects") were collected three times
per year, from 1980 to 1983, from four microhabitats that are differentiated by
desert heteromyid rodents: large and small open spaces, under canopies of large
shrubs, and under canopies of small trees. We also analyzed texture and organic
content of soils from the four microhabitats. Seeds from 54 plant taxa were
encountered, most of them rare. Average seed mass across species was 1.28
mg/seed, but because tiny seeds were most numerous, the abundance-weighted
average was 0.16 mg/seed. Total seed abundance was highly variable, ranging from
0 to 256 seeds and from 0 to 146 mg per sample. The fourfold temporal variation
in mean mass or number of seeds per sample was largely due to between-year rather
than between-season effects. Seed standing crops were positively correlated with
rainfall during the previous 6-mo rainy period, and were lowest in fall and
winter of 1982 after 2 yr of poor winter rains. Mean seed number per sample,
which reflects primarily abundance of tiny seeds, did not vary among
microhabitats. Mean per-sample seed mass, which reflects abundance of the large
seeds eaten by rodents, did vary among microhabitats, however, with values being
higher under shrubs and trees than in open spaces. The low mean abundance in open
spaces was due more to a high frequency of seedless samples than to low maximum
per-sample abundance. Hence, profitable seed patches do occur in open spaces, but
are rarer than under shrubs or trees. Within microhabitats there was significant
variation among replicate transects and among samples within transects. On a
local scale, seeds occurred in patches 12 cm in diameter in all microhabitats and
sampling periods. Seed species composition varied seasonally and among
microhabitats, and there was an overall correlation between seed and adult
microhabitat distribution for spring ephemerals. Soil from open spaces was finer
and had lower organic content than that under shrub or tree canopies. Our results
suggest that the resource upon which desert granivores depend is highly variable
in time and space. Microhabitat is one of the most conspicuous sources of
variation, and heteromyid rodent species differ in use of those microhabitats
(open spaces vs. areas under canopies of perennial plants) that differ most
noticeably in seed abundances, species composition, and soil characteristics.
PMID- 29357175
TI - Larval Dispersion and Survivorship in a Leaf-Mining Moth.
AB - We observed and quantified leaf miner distribution on Quercus geminata in order
to determine its relation to leaf abscission and the effects of leaf abscission
on larvae. A leaf-mining moth, Stilbosis quadricustatella, exhibited a clumped
distribution of mines among leaves of the evergreen oak Quercus geminata. Mines
tended to be on large, peripheral, and undamaged leaves so that leaves were often
multiply minded. Mined leaves, especially those with multiple mines, tended to
abscise early. Unless miners in an abscised leaf were very near to pupation,
abscission killed them. Premature leaf fall was by far the largest source of
larval mortality for this moth. A preliminary analysis suggested that the
clumping of miners was no more favorable to the tree (in terms of number of
leaves abscised early) than to the insect.
PMID- 29357176
TI - Plant Community Diversity, Herbivore Movement, and an Insect-Transmitted Disease
of Maize.
AB - Field experiments were carried out in Nicaragua to examine the influence of plant
community diversity, plant density, and host plant quality on the spread of an
insect-transmitted plant pathogen. Population levels of the corn leafhopper
Dalbulus maidis, which transmits the corn stunt spiroplasma to maize, were
monitored in four experimental communities; low-density maize monoculture, high
density maize monoculture, two-species (maize/bean) polyculture, and multispecies
(maize/weeds) polyculture. Leafhopper abundance per plant and the incidence of
corn stunt were lower in high-density maize monocultures than in low-density
monocultures. Increasing plant diversity by intercropping with nonhost species
such as beans or weeds also led to lower leafhooper abundance and decreased
disease incidence, but the effect was not enhanced as additional nonhost species
were added to the community. Manipulating host plant quality by increasing
nitrogen fertilization resulted in higher leafhopper densities at higher nitrogen
levels. To explore the role of vector movement in disease spread, leafhopper
movement rates and emigration were estimated by observing changes in the spatial
gradients of leafhopper densities over time. This method of movement analysis
requires neither marking the insects nor releasing them at a single point, and
thus reduces the extreme disturbance caused by traditional mark-release
techniques. The analysis indicated that leafhopper movement rates were lowest in
the polycultures. In particular, across-row movement was strikingly inhibited in
the bean polyculture. This polyculture also had the highest rates of emigration.
These results indicate that plant quality, density, and diversity significantly
affect the spread of corn stunt through their effects on the abundance and
movement behavior of the corn leafhopper. These factors could be manipulated in a
program of cultural control for corn stunt in maize fields in tropical America.
PMID- 29357177
TI - Local Geomorphology as a Determinant of Macrofaunal Production in a Mountain
Stream.
AB - By comparing distributions of functional group production among different
habitats in an Appalachian mountain stream, the influence of site-specific
geomorphology upon the overall functional group composition of the animal
community was demonstrated. By replicated monthly sampling, substrate particle
size distributions, current velocity, standing crops of benthic organic matter,
and production of macrofauna were measured in each of three principal habitats:
bedrock-outcrop, riffle, and pool. Samples were taken at randomly assigned
locations and the relative number of samples taken from each habitat was assumed
to be proportional to the area of the habitat within the stream. These
proportions were used to weight production measured in each habitat and the
resulting values were summed to obtain production per unit area of average stream
bed. The bedrock-outcrop habitat was characterized by high material entertainment
and export as indicated by significantly higher current velocities and lower
standing crops of detritus compared to the riffle and pool habitats. Pools were
sites of low entertainment and high retention of organic matter as demonstrated
by significantly lower current velocities and higher accumulations of detritus
than other habitats. The riffle habitat was intermediate to the bedrock-outcrop
and pool habitats in all parameters measured. Annual production of collector
filterers was highest in the bedrock-outcrop (ash-free dry mass 1920 mg/m2 ),
followed by riffle (278 mg/m2 ) and pool (32 mg/m2 ). Although constituting only
19% of the stream area, the bedrock-outcrop habitat contributed 68% of the
habitat-weighted collector-filterer production. Annual production of shredders
was highest in pools (2616 mg/m2 ), followed by riffles (1657 mg/m2 ) and bedrock
outcrop (579 mg/m2 ). The pool habitat, constituting 23% of stream area,
contributed 36% of shredder production. Annual production of scrapers was highest
in the riffle habitat (905 mg/m2 ), followed by bedrock-outcrop (517-mg/m2 ) and
pool (238 mg/m2 ). Riffles constituted 58% of total stream area and were the
source of 77% of the habitat-weighted scraper production. Annual production of
engulfing predators was greatest in the pool habitat (2313 mg/m2 ), followed by
riffles (1765 mg/m2 ) and bedrock-outcrop (687 mg/m2 ). The relatively lower
production of engulfing predators in the bedrock-outcrop habitat reflects a
functional shift in mode of resource acquisition by predators, with predaceous
collector-filterers (Arcto-psychinae: Trichoptera) predominating in the bedrock
outcrop. Collector-gatherer production was more evenly distributed, with the
bedrock-outcrop, riffle, and pool habitats each contributing 14, 54, and 33% to
the habitat-weighted production, respectively. Unlike all other functional
groups, this distribution was not significantly different from the distribution
of stream area among habitats and reflected lack of dependence on specific
physical attributes of the local environment for access to food by members of
this functional group. Local geomorphology determined the diversity and spatial
distribution of bedrock-outcrops, riffles, and pools in the study stream. In
turn, the functional structure of the macrofauna, when viewed holistically, was
the result of the integration of the relative contributions of each habitat type
of total stream area. Total habitat-weighted annual production in the study
stream was estimated at 5093 and 1921 mg/m2 for primary and secondary consumers,
respectively. The distribution of habitat-weighted production among functional
groups was: collector-gatherers (39%), followed by shredders (225), engulfing
predators (22%), scrapers (13%), and collector-filterers (8%). This functional
structure agrees favorably with current conceptual models of head water streams
draining forested catchments.
PMID- 29357178
TI - A Large-Scale Comparison of Factors Influencing Phytoplankton Abundance in
Rivers, Lakes, and Impoundments.
AB - We analyzed two large data sets (the National Stream Water Quality Accounting
Network and the National Eutrophication Survey) to compare quantitatively the
ecological structure and function of rivers, river impoundments, and natural
lakes on a broad scale. Discriminant analysis with physical-chemical variables
separated these three types of aquatic systems along a composite gradient that
correlated with water residence time (r = 0.7), drainage area (r = 0.7), water
depth (r = 0.6), stream flow (r = 0.6), and water clarity (r = 0.4). Natural
lakes and rivers occupied opposite ends of this gradient, and reservoirs, on
average, were intermediate, but natural lakes and impoundments overlapped
extensively and did not form a useful dichotomy. Regression analysis showed algal
abundance per unit phosphorus increasing in the sequence: rivers < impoundments <
natural lakes, which paralleled intergroup differences in residence time. Algal
abundance per unit phosphorus did not differ significantly among these three
system types when systems with similar residence times were compared. Our results
indicate that water residence time is a useful system-level index that has
similar ecological implications for rivers, lakes, and reservoirs.
PMID- 29357179
TI - Interspecific Competition and the Abundance of Nest Sites: Factors Affecting
Sexual Selection.
AB - The abundance of suitable nest sites and competition with other species for such
sites appears to affect the intensity of intersexual selection in Coryphopterus
nicholsi, a temperate goby that is a protogynous hermaphrodite. Field
manipulations demonstrated that, within a population, the proportion of males
that breed, and therefore the intensity of sexual selection, depends on the
number of suitable nest rocks. The abundance of nest sites may also affect the
timing of sex change in this species. Collections from areas with many suitable
nest sites contained a higher proportion of males than collections from areas
with few suitable nest sites. Aggression among males probably determines which
individuals within the population can monopolize a suitable nest site and
therefore are able to mate as a male. Field observations indicated that
aggressive interactions occur frequently and that the larger individual of an
interacting pair almost inevitably chases off the smaller individual during
aggressive encounters. In field collections, males guarding eggs averaged larger
in size than males not guarding eggs, and large males guarded nest sites that
were superior to those guarded by small males. Competitors, including a large
fish and several invertebrates, monopolize rocks otherwise suitable for
Coryphopterus' use and thereby limit the number and proportion of breeding males
in the goby's population. Field and laboratory observations and experiments
indicated that a larger fish, Porichthys notatus, displaces Coryphopterus from
nest sites and prevents Coryphopterus from using many of the large rocks on the
reef. Other animals, including encrusting invertebrates and sea urchins, may also
reduce the suitability and availability of rocks as nest sites. Such competition
for nest sites reduces the opportunity of breeding by small males and increases
the number of mates per large breeding male. Interspecific competition should,
therefore, increase selection for protogynous hermaphroditism in Coryphopterus by
increasing the reproductive advantage of large over small males. I discuss the
possibility that interspecific competition may be an important selective force in
resource-based mating systems of other animals and present potential examples
from the literature.
PMID- 29357180
TI - Predator Foraging Characteristics and Prey Population Structure on a Sheltered
Shore.
PMID- 29357181
TI - Demographic Consequences of Larval Development Mode: Planktotrophy vs.
Lecithotrophy in Streblospio Benedicti.
AB - This paper examines the demographic consequences of planktotrophic and
lecithotrophic development in an estuarine polychaete. Two strains of Streblospio
benedicti (Spionidae) were reared in the laboratory from birth through death at
20 degrees C and salinity 34%. Survivorship and reproductive data were collected
weekly and were used to construct life tables and population projection matrices
for each development mode. Planktotrophic females reproduced earlier, and had
higher fecundity and a shorter generation time than lecithotrophic females, but
also exhibited higher mortality early in life. Despite the apparently
opportunistic nature of the planktotrophic life history traits, the finite rate
of increase (l) in the lecithotrophic strain (1.319 wk-1 ) exceeded that of the
planktotrophic strain (1.205 wk-1 ). Net reproductive rate (R0 ) was also higher
for the lecithotrophs (93.4) than for the planktotrophs (17.6) Peak reproductive
values were attained earlier in planktotrophs than in lecithotrophs. Sensitivity
analyses indicate that l is most sensitive to changes in larval and juvenile
survivorship, and that the differences in l were almost completely determined by
life table differences during the first 15 wk of life. The potential population
growth rates obtained in this study agree well with those estimated for other
opportunistic polychaete species such as Capitella sp. I and Polydora ligni.
Under uniform conditions the two strains of S. benedicti achieved similar growth
rates with very different life history traits. We hypothesize that each
combination of traits may be adaptive under different circumstances in the field.
PMID- 29357182
TI - Soil Acidity Affects Distribution, Behavior, and Physiology of the Salamader
Plethodon Cinereus.
AB - Censuses at two sites in Delaware County, New York from spring 1981 through
spring 1985 indicated that the density and distribution of Plethodon cinereus
were influenced by soil pH but not by soil temperature or moisture. Of 1044 1-m2
quadrats of forest litter searched, 284 had a pH of 3.7 or less only 25 of these
(8.8%) contained salamanders. Of 760 quadrats with a pH 3.8 or more, 386 (50.8%)
contained salamanders. Juvenile salamanders were never found on soils with a pH
@< 3.7. Seasonal salamander density was correlated (r = 0.92) with the percentage
of quadrats with a pH of 3.7 and less. Salamanders apparently were excluded from
27% of forest habitat because of low soil pH. In the laboratory, P. cinereus
preferred to occupy substrates near neutral pH when given a choice among three
levels of substrate acidity. The acutely lethal pH was between 2.5 and 3 and the
8-mo chronically lethal pH was between 3 and 4. Growth and respiration were
reduced at low pHs. The influence of soil pH on salamander distribution might
fundamentally change the forest floor decomposer food web of which P. cinereus is
an upper-level consumer.
PMID- 29357183
TI - Demography of a Habitat Generalist, The White-Footed Mouse, in a Heterogeneous
Environment.
AB - A population of white-footed mice (Peromyscus leucopus) in southeastern
Massachusetts was lived-trapped monthly in five habitat types for 5 yr in order
to study the demography of a habitat generalist. We identified three demographic
groups (two of low density and one of high density), which differed primarily in
density, adult survival, proportion of males breeding, and variability in the
proportion of males. The low-density segments of this population were at times
able to achieve demographic performance equivalent to the high-density segments.
Several demographic variables were related linearly to microhabitat gradients
derived from a principal components analysis of 24 habitat variables, but similar
demographic structure was found in different habitat types. A simple model is
presented that relates demography to environmental suitability in a habitat
generalist. According to this model, population density, productivity, and
survival increase, and numerical and demographic variability decrease, along a
gradient of increasing environmental suitability. Intensity of intrinsic
regulation also may increase with environmental suitability, which would
contribute to population stability. We hypothesize that in habitat generalists
such as Peromyscus leucopus, population numbers are regulated along a stable
trajectory in areas of high suitability, but may show little or no intrinsic
regulation in poorer habitats. Furthermore, demographic structure may shift as
environmental conditions improve or decline in quality. This highly flexible
demographic structure may enable populations of generalists to persist in poor
habitats.
PMID- 29357184
TI - Tree Lizard (Urosaurus Ornatus) Territories: Experimental Perturbation of the Sex
Ratio.
PMID- 29357185
TI - The Influences of Conspecific and Heterospecific Residents on Colonization.
AB - To determine if dispersing prairie voles, Microtus ochrogaster, are prevented
from establishing home ranges in habitat already occupied by conspecifics or
potentially competitive species, voles were introduced into enclosed populations
of: the same species; southern bog lemmings, Synaptomys cooperi; cotton rats,
Sigmodon hispidus; or an empty enclosure. The results indicated that colonization
by dispersing voles was negatively affected by resident conspecifics. Introduced
females were more strongly affected than males during the vegetative growing
season but not during the nongrowing season when reproductive activity is
typically low. Resident bog lemmings also negatively affected colonization by
dispersing voles. However, both sexes of introduced voles were similarly affected
in both seasons. There was no evidence of postcolonization competitive effects,
suggesting that interspecific competition does not occur between established
resident individuals. Cotton rats, which have only recently become part of the
small mammal community in Kansas, did not adversely affect colonization by
dispersing voles or have adverse post-colonization effects on their survival and
reproduction. The ability of residents to inhibit colonization by another species
may facilitate the coexistence of M. ochrogaster and Synaptomys cooperi by
retarding the competitive exclusion of either species until annual fluctuations
in reproduction and density create an abundance of suitable but unoccupied space.
This type of coexistence is similar to the storage effect in lottery models of
competitive coexistence.
PMID- 29357186
TI - Role of Tannins in Defending Plants Against Ruminants: Reduction in Dry Matter
Digestion?
AB - Polyphenolic allelochemicals, such as tannins, are widely thought to reduce the
digestibility of plants consumed by herbivores by binding to digestive enzymes
and dietary proteins. While the apparent digestibility of protein and, therefore,
cell solubles is reduced in mule deer (Odocoileus hemionus) and white-tailed deer
(O. virginianus) consuming tanniferous forages, digestion of the plant cell wall
is not reduced beyond that predicted from its content of lignin, cutin, and
silica. The lack of a tannin effect on cell wall digestion in deer is in contrast
to studies with domestic sheep and numerous in vitro studies. Herbivores adapted
to consume tanniferous forages may defend against such allelochemicals by
producing salivary proteins that bind tannins in a highly specific manner. These
tannin-salivary protein complexes would reduce apparent digestibilities of
protein and cell solubles and, if completely effective, would not reduce cell
wall digestion. The occurrence of such proteins in ruminants is reported here for
the first time. The saliva composition of mule deer (a mixed feeder that commonly
consumes browse) and domestic cattle and sheep (predominant grazers) are
compared, and the higher potential of the deer saliva to neutralize tannins is
related to their feeding habits. Salivary proteins that preferentially bind
tannins may minimize fecal nitrogen losses by maximizing the efficiency of tannin
binding per unit of protein and may reduce the absorption of hydrolyzable tannins
and the potential for tannin toxicity.
PMID- 29357187
TI - Patch Size, Pollinator Behavior, and Pollinator Limitation in Catnip.
AB - We examined the effects of the patch size of catnip, Nepeta cataria, on
pollinator visitation rates and pollinator limitation. The most important floral
visitors were honey bees (Apis mellifera), solitary bees (Halictidae), and bumble
bees (Bombus spp.). Our first goal was to see how spatial variation in patch size
affected the rate at which individual flowers received pollinator visits
(visitation rate). Visitation rate was higher in larger patches for honey bees
and bumble bees, but lower for solitary bees. Patch size explained 74-83% of the
variation in visitation rate. Intraspecific isolation also had an effect:
isolated patches received relatively few visits. Visitation rate depended both on
visitor abundance and on the proportion of flowers entered during one visit. All
three visitor types wee more abundant in larger patches, i.e., flowers showed
mutual attraction of pollinators. Relative to a visit to a small patch, during a
visit to a large patch, honey bees visited more flowers but a lower proportion of
flowers; solitary bees visited fewer flowers and thus a lower proportion of
flowers; and bumble bees visited not only more flowers but a higher proportion of
flowers as well. Thus within patches, flowers competed for visits from honey bees
and solitary bees but showed facilitation regarding bumble bee visits. Our second
goal was to relate patch size and visitation rates to immediate pollinator
limitation. Comparisons of the percent of capsules setting seed (fruit set) of
open-pollinated, hand-pollinated, and bagged flowers were used to evaluate
pollinator limitation. The ranking of fruit set was: hand-pollinated > open
pollinated > bagged. Patch size did not affect fruit set in hand-pollinated or
bagged flowers; however, for open-pollinated flowers, fruit set was lower in
smaller patches. patch size explained 63% of the variation in pollinator
limitation. The effect of patch size comes through its effects on visitation
rates. A multiple regression model using visitation rates of the three types of
visitors as independent variables explained 67% of the variation in pollinator
limitation.
PMID- 29357188
TI - Can one simple questionnaire assess substance-related and behavioural addiction
problems? Results of a proposed new screener for community epidemiology.
AB - BACKGROUND AND AIMS: There is currently no well-validated measure that assesses a
broad spectrum of substance-related and behavioural addictions in general
populations. This study aimed to develop a brief self-attribution Screener for
Substance and Behavioural Addictions (SSBA) to screen for four substances and six
behaviours, and to compare its performance with established individual-behaviour
screening instruments. DESIGN: A small, psychometrically optimal set of items to
assess self-attributed indicators of addiction across alcohol, tobacco, cannabis,
cocaine, gambling, shopping, videogaming, overeating, sexual activity and
overworking were identified from a broader pool that was developed using a lay
epidemiology qualitative approach. The suitability of the four-item single-factor
solution was tested for each behaviour and scores were compared with those
obtained from the sample using individual-behaviour screening instruments.
SETTING AND PARTICIPANTS: Participants (n = 6000), broadly representative of the
Canadian English-speaking adult population, were recruited through the Ipsos Reid
Canadian Online Panel. MEASUREMENTS: Participants completed an item pool of 15
indicators of addiction for each target behaviour and a validation instrument for
one randomly assigned behaviour. FINDINGS: A set of four items identified using
principal component and confirmatory factor analyses demonstrated good fit and
excellent internal consistency (alpha = 0.87-0.95) across behaviours, and good
convergent validity (rs = 0.44-0.8) with extant instruments measuring similar
constructs, with only one exception (r = 0.26). CONCLUSIONS: The proposed
Screener for Substance and Behavioural Addiction is a reliable and valid measure
assessing the lay public's self-attributed indicators of addiction across 10
substances and behaviours.
PMID- 29357189
TI - Change Pathways in Indigenous and Nonindigenous Youth Suicide.
AB - Multivariate dynamic relationships among suicide attempts, anxiety and/or
depressive symptoms, hope, and help-seeking were examined across time in Native
Hawaiian and non-Hawaiian adolescents, using data from a 5-year longitudinal
cohort study (N = 7,317). The rate of suicide attempts decreased over time, but
this reduction was significantly less among Native Hawaiian youth than their non
Hawaiian peers. There were also significant differences between groups in hope
and help-seeking, with Native Hawaiian youth increasing help-seeking and
decreasing hope to a greater degree. Youth-centered, cultural approaches to
suicide prevention are essential in enhancing well-being in indigenous
communities.
PMID- 29357191
TI - Reply.
PMID- 29357193
TI - Searching cerebrovascular risk indicators for hypertensive patients: Is
Framingham Stroke Risk Profile "the magic bullet"?
PMID- 29357190
TI - Candidate biomarkers for the diagnosis and prognosis of drug-induced liver
injury: An international collaborative effort.
AB - : Current blood biomarkers are suboptimal in detecting drug-induced liver injury
(DILI) and predicting its outcome. We sought to characterize the natural
variabilty and performance characteristics of 14 promising DILI biomarker
candidates. Serum or plasma from multiple cohorts of healthy volunteers (n = 192
and n = 81), subjects who safely took potentially hepatotoxic drugs without
adverse effects (n = 55 and n = 92) and DILI patients (n = 98, n = 28, and n =
143) were assayed for microRNA-122 (miR-122), glutamate dehydrogenase (GLDH),
total cytokeratin 18 (K18), caspase cleaved K18, glutathione S-transferase alpha,
alpha-fetoprotein, arginase-1, osteopontin (OPN), sorbitol dehydrogenase, fatty
acid binding protein, cadherin-5, macrophage colony-stimulating factor receptor
(MCSFR), paraoxonase 1 (normalized to prothrombin protein), and leukocyte cell
derived chemotaxin-2. Most candidate biomarkers were significantly altered in
DILI cases compared with healthy volunteers. GLDH correlated more closely with
gold standard alanine aminotransferase than miR-122, and there was a surprisingly
wide inter- and intra-individual variability of miR-122 levels among healthy
volunteers. Serum K18, OPN, and MCSFR levels were most strongly associated with
liver-related death or transplantation within 6 months of DILI onset. Prediction
of prognosis among DILI patients using the Model for End-Stage Liver Disease was
improved by incorporation of K18 and MCSFR levels. CONCLUSION: GLDH appears to be
more useful than miR-122 in identifying DILI patients, and K18, OPN, and MCSFR
are promising candidates for prediction of prognosis during an acute DILI event.
Serial assessment of these biomarkers in large prospective studies will help
further delineate their role in DILI diagnosis and management. (Hepatology 2018).
PMID- 29357192
TI - Negative regulation of type I IFN signaling.
AB - Type I IFNs (alpha, beta, and others) are a family of cytokines that are produced
in physiological conditions as well as in response to the activation of pattern
recognition receptors. They are critically important in controlling the host
innate and adaptive immune response to viral and some bacterial infections,
cancer, and other inflammatory stimuli. However, dysregulation of type I IFN
production or response can contribute to immune pathologies termed
"interferonopathies", pointing to the importance of balanced activating signals
with tightly regulated mechanisms of tuning this signaling. Here, we summarize
the recent advances of how type I IFN production and response are controlled at
multiple levels of the type I IFN signaling cascade.
PMID- 29357194
TI - Open-label study of cognitive behavioural therapy for individuals with at-risk
mental state: Feasibility in the Japanese clinical setting.
AB - AIM: To date, most cognitive behavioural therapy (CBT) trials for individuals
with at-risk metal state (ARMS) have been conducted in few Western countries and
its feasibility in other regions, including Japan, has not been established.
METHODS: We designed an open-label pilot study. Fourteen ARMS participants
received CBT over 6 months and were followed-up for 6 months. RESULTS: Thirteen
individuals completed the CBT intervention and assessments. The mean total score
on the Positive and Negative Syndrome Scale improved from 60.2 to 46.0 after the
intervention (Cohen's d = 1.1). The effects were maintained at the follow-up
assessment. One participant transitioned to psychosis after the CBT intervention,
and was the only patient who received antipsychotics. CONCLUSIONS: We confirmed
the feasibility of the provision of CBT for ARMS in Japan. Since overprescription
of antipsychotics is a matter of great concern in Japan, CBT could be a valuable
alternative treatment strategy.
PMID- 29357195
TI - Creatine kinase, sodium retention, and blood pressure: Is there a link?
PMID- 29357196
TI - Bilateral branch pulmonary artery valve implantation in repaired tetralogy of
fallot.
AB - BACKGROUND: Transcatheter, bilateral branch pulmonary artery (PA) valve
implantation is a novel treatment for patients with severe pulmonary
insufficiency and oversized right ventricle (RV) outflow tract. There is scarce
data on efficacy and safety of this approach. METHODS: This was a retrospective
study of 8 patients with repaired tetralogy of fallot (TOF) who underwent
bilateral branch PA valve implantation. Demographics, echocardiography, cardiac
catheterization, and axial imaging data were reviewed. Variables were compared by
a paired sample t-test. RESULTS: All patients were adult sized (weight 43-99 kg)
with oversized RV outflow tract not suitable for conventional transcatheter
pulmonary valve implantation. Staged bare metal PA stenting followed by valve
implantation (interval 3-5 months) was technically successful in 7 patients with
one stent embolization. In another patient, proximal stent migration prevented
placement of bilateral pulmonary valve stents. There were a total of 14 valved
branch PA stents placed (Melody valve n = 9, Sapien XT n = 2, Sapien 3 n = 3). In
the 7 patients undergoing successful branch pulmonary valve placement, at median
follow up of 10 months (range 3 months to 6 years), 13 (93%) valves had
none/trivial insufficiency on echocardiography. Prevalve and postvalve
implantation cardiac magnetic resonance imaging in five patients showed
significant reduction of indexed RV end-diastolic volume (152 +/- 27 to 105 +/-
15 mL/m2 , P < .001). CONCLUSIONS: Transcatheter, bilateral branch PA valve
implantation was technically feasible with satisfactory efficacy and safety in
patients with repaired TOF, severe pulmonary insufficiency, and oversized RV
outflow tracts. Elimination of pulmonary insufficiency with this method resulted
in reduced RV end-diastolic volume. This approach can be offered as an
alternative to surgery, particularly in patients considered high risk for
standard surgical placement and who are not candidates for the newer self
expanding valve prosthesis for placement in RV outflow tracts larger than 30 mm
diameter.
PMID- 29357197
TI - The Pepi-Pod study: Overnight video, oximetry and thermal environment while using
an in-bed sleep device for sudden unexpected death in infancy prevention.
AB - AIM: The aim of this study was to identify the potential risks and benefits of
sleeping infants in a Pepi-Pod distributed to families with high risk of sudden
unexpected death in infancy compared to a bassinet. METHODS: Forty-five mostly
indigenous Maori mothers who were referred by local health providers to receive a
Pepi-Pod were surveyed at recruitment, 1 and 3 months. A sleep study at 1 month
included infrared video, oximetry and temperature measures. RESULTS: When
compared with 89 historical bassinet controls, an intention-to-treat analysis of
questionnaires showed no increase in direct bed sharing but demonstrated
significantly less sharing of the maternal bedroom at both interviews, with the
majority of those not sleeping in the maternal bedroom, actually sleeping in the
living room. The 1 month 'as-used' analysis showed poorer maternal sleep quality.
The 'as-used' analysis of video data (24 Pepi-Pod and 113 bassinet infants) also
showed no increase in direct bed sharing, head covering or prone/side sleep
position. Differences in oxygen saturation were not significant, but heart rate
was higher in the Pepi-Pod infants by 8.37 bpm (95% confidence interval 4.40,
12.14). Time in the thermal comfort zone was not different between groups despite
Pepi-Pod infants being situated in significantly warmer rooms. CONCLUSIONS:
Overall, we found that most differences in infant risk behaviours in a Pepi-Pod
compared to a bassinet were small, with confidence intervals excluding meaningful
differences. We noted poorer maternal sleep quality at 1 month. Higher infant
heart rates in the Pepi-Pod group may be related to higher room temperatures. The
Pepi-Pod appears physiologically safe but is associated with lower reported
maternal sleep quality.
PMID- 29357199
TI - Creatine kinase and renal sodium excretion in African and European men on a high
sodium diet.
AB - Creatine kinase (CK) rapidly regenerates ATP for Na+ /K+ -ATPase driven sodium
retention throughout the kidney. Therefore, we assessed whether resting plasma CK
is associated with sodium retention after a high sodium diet. Sixty healthy men
(29 European and 31 African ancestry) with a mean age of 37.2 years (SE 1.2) were
assigned to low sodium intake (< 50 mmol/d) during 7 days, followed by 3 days of
high sodium intake (> 200 mmol/d). Sodium excretion (mmol/24-h) after high sodium
was 260.4 (28.3) in the high CK tertile versus 415.2 (26.3) mmol/24-h in the low
CK tertile (P < .001), with a decrease in urinary sodium excretion of 98.4
mmol/24-h for each increase in log CK, adjusted for age and African ancestry.
These preliminary results are in line with the energy buffering function of the
CK system, but more direct assessments of kidney CK will be needed to further
establish whether this enzyme enhances sodium sensitivity.
PMID- 29357198
TI - Inactivation of nucleus reuniens impairs spatial working memory and behavioral
flexibility in the rat.
AB - The hippocampal formation (HF) and medial prefrontal cortex (mPFC) play critical
roles in spatial working memory (SWM). The nucleus reuniens (RE) of the ventral
midline thalamus is an important anatomical link between the HF and mPFC, and as
such is crucially involved in SWM functions that recruit both structures. Little
is known, however, regarding the role of RE in other behaviors mediated by this
circuit. In the present study, we examined the role of RE in spatial working
memory and executive functioning following reversible inactivation of RE with
either muscimol or procaine. Rats were implanted with an indwelling cannula
targeting RE and trained in a delayed nonmatch to sample spatial alternation T
maze task. For the task, sample and choice runs were separated by moderate or
long delays (30, 60, and 120 s). Following asymptotic performance, rats were
tested following infusions of drug or vehicle. Muscimol infused into RE impaired
SWM at all delays, whereby procaine only impaired performance at the longest
delays. Furthermore, RE inactivation with muscimol produced a failure in win
shift strategy as well as severe spatial perseveration, whereby rats persistently
made re-entries into incorrect arms during correction trials, despite the absence
of reward. This demonstrated marked changes in behavioral flexibility and
response strategy. These results strengthen the role of nucleus reuniens as a
pivotal link between hippocampus and prefrontal cortex in cognitive and executive
functions and suggest that nucleus reuniens may be a potential target in the
treatment of CNS disorders such as schizophrenia, attention deficit hyperactivity
disorder, addiction, and obsessive-compulsive disorder, whose symptoms are
defined by hippocampal-prefrontal dysfunctions.
PMID- 29357200
TI - Improved detection of fMRI activation in the cerebellum at 7T with dielectric
pads extending the imaging region of a commercial head coil.
AB - BACKGROUND: There is growing interest in detecting cerebro-cerebellar circuits,
which requires adequate blood oxygenation level dependent contrast and signal-to
noise ratio (SNR) throughout the brain. Although 7T scanners offer increased SNR,
coverage of commercial head coils is currently limited to the cerebrum. PURPOSE:
To improve cerebellar functional MRI (fMRI) at 7T with high permittivity material
(HPM) pads extending the sensitivity of a commercial coil. STUDY TYPE:
Simulations were used to determine HPM pad configuration and assess
radiofrequency (RF) safety. In vivo experiments were performed to evaluate RF
field distributions and SNR and assess improvements of cerebellar fMRI. SUBJECTS:
Eight healthy volunteers enrolled in a prospective motor fMRI study with and
without HPM. FIELD STRENGTH/SEQUENCE: Gradient echo (GRE) echo planar imaging for
fMRI, turbo FLASH for flip angle mapping, GRE sequence for SNR maps, and T1
weighted MPRAGE were acquired with and without HPM pads at 7T. ASSESSMENT: Field
maps, SNR maps, and anatomical images were evaluated for coverage. Simulation
results were used to assess SAR levels of the experiment. Activation data from
fMRI experiments were compared with and without HPM pads. STATISTICAL TESTS: fMRI
data were analyzed using FEAT FSL for each subject followed by group level
analysis using paired t-test of acquisitions with and without HPM. RESULTS:
Simulations showed 52% improvement in transmit efficiency in cerebellum with HPM
and SAR levels well below recommended limits. Experiments showed 27% improvement
in SNR in cerebellum and improvement in coverage on T1 -weighted images. fMRI
showed greater cerebellar activation in individual subjects with the HPM pad
present (Z > = 4), especially in inferior slices of cerebellum, with 59% average
increase in number of activated voxels in the cerebellum. Group-level analysis
showed improved functional activation (Z > = 2.3) in cerebellar regions with HPM
pads without loss of measured activation elsewhere. DATA CONCLUSION: HPM pads can
improve cerebellar fMRI at 7T with a commonly-used head coil without compromising
RF safety. LEVEL OF EVIDENCE: 2 Technical Efficacy: Stage 1 J. MAGN. RESON.
IMAGING 2018;48:431-440.
PMID- 29357201
TI - Hidden estrogen production from ovarian remnants leading to progression of
disease in metastatic breast cancer.
AB - In premenopausal women with hormone dependent breast cancers, ovarian suppression
is an important part of treatment, and is often achieved with a bilateral
salpingo-oophorectomy (BSO). However, this procedure can lead to ovarian remnant
syndrome (ORS), a rare condition where the adnexal tissue is not completely
removed and can produce estrogen. We describe a case of ORS in a patient with
estrogen receptor positive (ER+) breast cancer who had progression of disease
after undergoing a BSO, despite optimal therapy. ORS therefore poses a
significant treatment challenge in premenopausal ER+ breast cancer patients
thought to be rendered menopausal with a BSO.
PMID- 29357202
TI - Framingham Stroke Risk Profile is related to cerebral small vessel disease
progression and lower cognitive performance in patients with hypertension.
AB - The Framingham Stroke Risk Profile (FSRP) was developed to predict clinical
stroke. We investigated if FSRP is associated with more "silent" effects of
cerebrovascular disease, namely progression of cerebral small vessel disease
(cSVD)-related brain damage and cognitive performance in hypertensive patients.
Ninety patients with essential hypertension underwent a brain MRI scan and FSRP
assessment at baseline, and a second brain MRI scan and neuropsychological
assessment at 9-year follow-up. We visually rated progression of cSVD-related MRI
markers. FSRP was associated with progressive periventricular white matter
hyperintensities (P = .017) and new microbleeds (P = .031), but not after
correction for the FSRP age component. FSRP was associated with lower overall
cognitive performance (P < .001) and this remained significant after correction
for the FSRP age component. A vascular risk score might be useful in predicting
progression of cSVD-related brain damage or future cognitive performance in
hypertensive patients. Age seems to be the most important component in FSRP.
PMID- 29357204
TI - Clinical Medicine From 10,000 Feet.
PMID- 29357203
TI - BAUS consensus document for the management of male genital emergencies: priapism.
AB - Male genital emergencies relating to the penis and scrotum are rare and require
prompt investigation and surgical intervention. Clinicians are often unfamiliar
with the management of these conditions and may not work in a specialist centre
with on-site expertise in genitourethral surgery. A series of consensus
statements have been developed by an expert consensus committee comprising
members of the BAUS Section of Andrology and Genitourethral Surgery together with
experts from urology units throughout the UK. Priapism requires prompt assessment
and treatment and these consensus statements provide guidance for UK practice.
PMID- 29357205
TI - My Mentor.
PMID- 29357206
TI - Beyond the Clinic: Making a Difference in the Lives of Others.
PMID- 29357207
TI - Differences in Methods of Self-Inflicted Injuries by Sex in Wisconsin, 2002-2014.
AB - BACKGROUND: Despite suicide prevention efforts, there remains a high burden of
self-inflicted injuries in Wisconsin. OBJECTIVE: Compare methods of suicide and
nonfatal self-inflicted injury by sex in Wisconsin over a 12-year period.
METHODS: Suicide and nonfatal self-inflicted injury rates in Wisconsin between
2002 and 2014 were compared by sex and method using data from the Wisconsin
Interactive Statistics on Health. Percentages of total suicides by method of
injury for each sex were calculated. RESULTS: Firearms and poisoning were the
most common methods of suicide and nonfatal selfinflicted injuries, respectively.
Rates of both suicide and nonfatal self-inflicted injuries differed significantly
by sex and method. CONCLUSIONS: Suicide prevention strategies in Wisconsin must
account for the variability of method of self-inflicted injury between sexes.
PMID- 29357208
TI - Muscle Cramps Do Not Improve With Correction of Vitamin D Insufficiency.
AB - BACKGROUND: Minimal treatment options exist for idiopathic muscle cramps.
OBJECTIVE: We evaluated whether correction of vitamin D insufficiency relieved
muscle cramps in postmenopausal women. METHODS: We conducted a post hoc analysis
of a randomized, double-blind, placebo-controlled trial at a single academic
medical center in the Midwest to evaluate the benefits of treating vitamin D
insufficiency. Two hundred thirty postmenopausal women participated. Eligible
women were <=75 years old, 5 years past menopause or oophorectomy, or >=60 years
if they had previously undergone hysterectomy without oophorectomy. Women had
vitamin D insufficiency at baseline (25-hydroxyvitamin D 14-27 ng/mL). We
excluded subjects with a glomerular filtration rate <45 mL/minute. INTERVENTIONS
FOR CLINICAL TRIALS: Participants completed food diaries, laboratory studies, and
functional tests including the Timed Up and Go test, Physical Activity Scale for
the Elderly, Health Assessment Questionnaire (a measure of disability), and pain
scores. Subjects recorded muscle cramp frequency and severity using a
standardized form at 6 visits over 1 year. RESULTS: During the trial, over half
of participants (n=121, 53%) reported muscle cramps. Despite unequivocal vitamin
D repletion, vitamin D had no effect on muscle cramps. Pain levels, disability,
and dietary potassium predicted presence of cramps. Serum albumin and physical
activity were inversely associated with, and disability was positively associated
with, severity of muscle cramps. CONCLUSIONS: Further studies are needed to
evaluate the link between pain, disability, dietary potassium intake, and muscle
cramps.
PMID- 29357209
TI - Travel During Pregnancy: Results From an Ultrasound Unit-Based Questionnaire.
AB - BACKGROUND: The frequency of domestic and international travel among women
residing in the United States, and specifically Wisconsin, during pregnancy is
not known. Given the recent epidemic of Zika virus disease, clinicians should be
aware of the frequency of travel during pregnancy and should inquire about travel
by pregnant women, women of reproductive age, and their sexual partners. METHODS:
Due to the Zika epidemic, our obstetric ultrasound center added questions about
international and domestic travel to a general health form that is routinely
distributed to all patients presenting for anatomic ultrasounds. The forms were
then collected and recorded in order to provide an estimate of the frequency of
travel during the first half of pregnancy. RESULTS: Of 1,256 women screened, 64
(5.1%) traveled internationally and 498 (39.6%) traveled domestically prior to
their anatomic ultrasound. Additionally, 77 (6.1%) women screened reported
international travel by their sexual partner. Among international travelers, 20
(28.1%) traveled to destinations with active ongoing transmission of Zika virus
disease, and 16 (25%) traveled after the Centers for Disease Control and
Prevention (CDC) issued a travel alert for the area. Among domestic travelers,
Florida was the sixth most common destination, and Texas was the 10th most
common. CONCLUSIONS: In the population of women screened by this questionnaire,
5.1% traveled internationally and 39.6% traveled domestically prior to their
anatomic ultrasound. Notably, Florida and Texas are common travel destinations
among women at this clinic, and both have had active local transmission of Zika
virus.
PMID- 29357211
TI - Severe Maternal Morbidity During Delivery Hospitalizations.
AB - INTRODUCTION: Severe maternal morbidities include 25 complications resulting
from, or exacerbated by, pregnancy. Nationally, in the last decade, these rates
have doubled. OBJECTIVE: This study describes trends in the rates of severe
maternal morbidities at the time of hospitalization for delivery among different
groups of Wisconsin women. METHODS: Hospital discharge data and ICD-9-CM
diagnosis and procedure codes were used to identify delivery hospitalizations and
rates of severe maternal morbidity among Wisconsin women from 2000 to 2014.
Subsequent analyses focused on recent years (2010-2014). Rates of severe maternal
morbidity were calculated per 10,000 delivery hospitalizations for all 25 severe
maternal morbidity conditions as well as 24 conditions (excluding blood
transfusions). Rates and rate ratios were calculated overall and for
racial/ethnic groups, age groups, public health region of residence, and hospital
payer. Median hospital length of stay and median hospital charges were compared
for delivery hospitalizations with increasing severe maternal morbidities.
RESULTS: Severe maternal morbidity rates increased 104% from 2000 to 2014 (P for
trend <0.01). After excluding blood transfusions, rates increased 15% (P for
trend <0.05). From 2010 to 2014, overall rates were stable over time, but varied
by maternal age, race/ethnicity, payer, and public health region of residence.
Median hospital charges and length of stay increased as the number of morbidities
increased. CONCLUSIONS: Monitoring severe maternal morbidities adds valuable
information to understanding perinatal health and obstetric complications in
order to identify opportunities for prevention of severe morbidities and
improvements in the quality of maternity care.
PMID- 29357210
TI - Risk Factors Associated With Carbapenem-Resistant Pseudomonas aeruginosa.
AB - INTRODUCTION: Pseudomonas aeruginosa infections resistant to carbapenem
antimicrobials have increased. Traditional risk factors for non-carbapenem
resistance include intensive care unit stay, mechanical ventilation, previous
hospitalization, and major comorbidities. As microbes evolve, our understanding
of their risk factors for resistance also should evolve. METHODS: We conducted a
retrospective study of adult inpatients and outpatients with a positive
Pseudomonas aeruginosa culture during 2014. Cultures were obtained from system
laboratories and medical records were reviewed through our electronic medical
record. Pearson's chi-squared test with Yates correction and 2-sample t-tests
were performed on categorical and continuous variables, respectively. Binary
regression was used for multivariable modeling. RESULTS: Patients (N=1,763), of
mean age 68.0 years and body mass index (BMI) 30.4 kg/m2, were more likely to be
women (51.3%) and were predominately white (89.3%). Resistance to imipenem or
meropenem (14.0%) on univariable analysis was associated with several variables
of interest. Non-white race (odds ratio [OR] =1.67; P=0.009), respiratory
cultures (OR=1.95; P=0.003), recent institutional transfer (OR=2.50; P<0.0001),
vasopressor use (OR=1.98; P=0.001), central line placement (OR=1.55; P=0.036),
and peripherally inserted central catheter placement (OR=1.74; P=0.002) remained
significant predictors of carbapenem resistance in multivariable modeling.
CONCLUSION: Demographic and traditional risk factors, as well as respiratory
cultures, were predictive of carbapenem resistance and may guide initial
antibiotic treatment. Use of "last resort" antibiotics for Pseudomonas aeruginosa
based solely on patient chronic conditions may not be necessary. Fortunately, <1%
of strains were resistant to all drugs tested. Ongoing efforts to face drug
resistant organisms are warranted.
PMID- 29357212
TI - Case Report of Metronidazole-Induced Encephalopathy.
AB - This report describes the case of an 83-year-old woman who was admitted to a
hospitalist service with weakness and falls. She was transferred from an outside
facility where she was treated with 3 courses of metronidazole for diagnosed
Clostridium difficile colitis and presumed reoccurrences. Magnetic resonance
imaging (MRI) demonstrated T2 enhancement of the dorsal pons and dentate nuclei
consistent with metronidazole-induced encephalopathy. Her metronidazole was
stopped and her symptoms resolved. This condition is rare, poorly understood, and
causes reversible changes in the brain that are detectable through T2-weighted
MRI. It will need ongoing study with current widespread use of metronidazole.
PMID- 29357213
TI - Women's Health Care in Wisconsin: A Closer Look.
PMID- 29357214
TI - Skin and Diet: An Update on the Role of Dietary Change as a Treatment Strategy
for Skin Disease.
AB - An increasing body of research indicates that dietary change may serve as a
component of therapy for certain skin conditions. This includes conditions such
as acne, atopic dermatitis, aging skin, psoriasis, and rosacea. Certain
nutrients, foods, or dietary patterns may act as disease "triggers", while others
may prove beneficial. Avoidance or elimination diets may be helpful in some
conditions, although testing may be recommended first. In terms of beneficial
effects, an eating pattern that emphasizes the consumption of whole foods over
highly processed foods may help in the treatment of certain skin conditions, and
will certainly help in the prevention of associated co-morbidities.
PMID- 29357215
TI - New Treatments for Hereditary Angioedema.
AB - Hereditary angioedema is characterized by severe, episodic edema of the
subcutaneous and mucosal tissue. The disease carries significant morbidity and
mortality due to involvement of the gastrointestinal tract and upper airway.
Recent advances in the treatment of hereditary angioedema include new techniques
used to isolate and purify human-derived C1 inhibitor, the production of a
recombinant form of C1 inhibitor, and the development of drugs that target the
kallikrein-kinin pathway. This paper reviews the mechanisms, efficacy, and
adverse reactions associated with these medications.
PMID- 29357216
TI - Purity Determination by Capillary Electrophoresis Sodium Hexadecyl Sulfate (CE
SHS): A Novel Application For Therapeutic Protein Characterization.
AB - Capillary gel electrophoresis using sodium dodecyl sulfate (CE-SDS) is used
commercially to provide quantitative purity data for therapeutic protein
characterization and release. In CE-SDS, proteins are denatured under reducing or
nonreducing conditions in the presence of SDS and electrophoretically separated
by molecular weight and hydrodynamic radius through a sieving polymer matrix.
Acceptable performance of this method would yield protein peaks that are baseline
resolved and symmetrical. Nominal CE-SDS conditions and parameters are not
optimal for all therapeutic proteins, specifically for Recombinant Therapeutic
Protein-1 (RTP-1), where acceptable resolution and peak symmetry were not
achieved. The application of longer alkyl chain detergents in the running buffer
matrix substantially improved assay performance. Matrix running buffer containing
sodium hexadecyl sulfate (SHS) increased peak resolution and plate count 3- and 8
fold, respectively, compared to a traditional SDS-based running gel matrix. At
Bristol-Myers Squibb (BMS), we developed and qualified a viable method for the
characterization and release of RTP-1 using an SHS-containing running buffer
matrix. This work underscores the potential of detergents other than SDS to
enhance the resolution and separation power of CE-based separation methods.
PMID- 29357217
TI - Localized DNA Hybridization Chain Reactions on DNA Origami.
AB - The field of DNA nanoscience has demonstrated many exquisite DNA nanostructures
and intricate DNA nanodevices. However, the operation of each step of prior
demonstrated DNA nanodevices requires the diffusion of DNA strands, and the speed
of these devices is limited by diffusion kinetics. Here we demonstrate chains of
localized DNA hybridization reactions on the surface of a self-assembled DNA
origami rectangle. The localization design for our DNA nanodevices does not rely
on the diffusion of DNA strands for each step, thus providing faster reaction
kinetics. The locality also provides considerable increased scalability, since
localized components of the devices can be reused in other locations. A variety
of techniques, including atomic force microscopy, total internal reflection
fluorescence, and ensemble fluorescence spectroscopy, are used to confirm the
occurrence of localized DNA hybridization reactions on the surface of DNA
origami. There are many potential biological applications for our localized DNA
nanodevices, and the localization design is extensible to applications involving
DNA nanodevices operating on other molecular surfaces, such as those of the cell.
PMID- 29357218
TI - Dual-Functional Graphene Carbon as Polysulfide Trapper for High-Performance
Lithium Sulfur Batteries.
AB - The lithium sulfur (Li-S) battery has attracted much attention due to its high
theoretical capacity and energy density. However, its cycling stability and rate
performance urgently need to improve because of its shuttle effect. Herein,
oxygen-doped carbon on the surface of reduced graphene oxide (labeled as ODC/rGO)
was fabricated to modify the separators of Li-S batteries to limit the
dissolution of the lithium polysulfides. The mesoporous structure in ODC/rGO can
not only serve as the physical trapper, but also provide abundant channels for
fast ion transfer, which is beneficial for effective confinement of the
dissoluble intermediates and superior rate performance. Moreover, the oxygen
containing groups in ODC/rGO are able to act as chemical adsorption sites to
immobilize the lithium polysulfides, suppressing their dissolution in electrolyte
to enhance the utilization of sulfur cathode in Li-S batteries. As a result,
because of the synergetic effects of physical adsorption and chemical interaction
to immobilize the soluble polysulfides, the Li-S batteries with the ODC/rGO
coated separator exhibit excellent rate performance and good long-term cycling
stability with 0.057% capacity decay per cycle at 1.0 C after 600 cycles.
PMID- 29357219
TI - Nasicon-Type Surface Functional Modification in Core-Shell
LiNi0.5Mn0.3Co0.2O2@NaTi2(PO4)3 Cathode Enhances Its High-Voltage Cycling
Stability and Rate Capacity toward Li-Ion Batteries.
AB - Surface modifications are established well as efficient methodologies to enhance
comprehensive Li-storage behaviors of the cathodes and play a significant role in
cutting edge innovations toward lithium-ion batteries (LIBs). Herein, we first
logically devised a pilot-scale coating strategy to integrate solid-state
electrolyte NaTi2(PO4)3 (NTP) and layered LiNi0.5Mn0.3Co0.2O2 (NMC) for smart
construction of core-shell NMC@NTP cathodes. The Nasicon-type NTP nanoshell with
exceptional ion conductivity effectively suppressed gradual encroachment and/or
loss of electroactive NMC, guaranteed stable phase interfaces, and meanwhile
rendered small sur-/interfacial electron/ion-diffusion resistance. By benefiting
from immanently promoting contributions of the nano-NTP coating, the as
fabricated core-shell NMC@NTP architectures were competitively endowed with
superior high-voltage cyclic stabilities and rate capacities within larger
electrochemical window from 3.0 to 4.6 V when utilized as advanced cathodes for
advanced LIBs. More meaningfully, the appealing electrode design concept proposed
here will exert significant impact upon further constructing other high-voltage
Ni-based cathodes for high-energy/power LIBs.
PMID- 29357220
TI - Allostery, Recognition of Nascent Peptidoglycan, and Cross-linking of the Cell
Wall by the Essential Penicillin-Binding Protein 2x of Streptococcus pneumoniae.
AB - Transpeptidases, members of the penicillin-binding protein (PBP) families,
catalyze cross-linking of the bacterial cell wall. This transformation is
critical for the survival of bacteria, and it is the target of inhibition by beta
lactam antibiotics. We report herein our structural insights into catalysis by
the essential PBP2x of Streptococcus pneumoniae by disclosing a total of four X
ray structures, two computational models based on the crystal structures, and
molecular-dynamics simulations. The X-ray structures are for the apo PBP2x, the
enzyme modified covalently in the active site by oxacillin (a penicillin
antibiotic), the enzyme modified by oxacillin in the presence of a synthetic
tetrasaccharide surrogate for the cell-wall peptidoglycan, and a noncovalent
complex of cefepime (a cephalosporin antibiotic) bound to the active site. A
prerequisite for catalysis by transpeptidases, including PBP2x, is the molecular
recognition of nascent peptidoglycan strands, which harbor pentapeptide stems. We
disclose that the recognition of nascent peptidoglycan by PBP2x takes place by
complexation of one pentapeptide stem at an allosteric site located in the PASTA
domains of this enzyme. This binding predisposes the third pentapeptide stem in
the same nascent peptidoglycan strand to penetration into the active site for the
turnover events. The complexation of the two pentapeptide stems in the same
peptidoglycan strand is a recognition motif for the nascent peptidoglycan,
critical for the cell-wall cross-linking reaction.
PMID- 29357221
TI - Protein Labeling in Live Cells for Immunological Applications.
AB - Protein labeling is often an important aspect of immunological experiments, as it
allows observation of cellular processes, including protein synthesis and
trafficking. Many protein labeling methods require permeabilization and fixation
of cells, damaging the cells and preventing observation of processes in real
time. However, a number of bioconjugation techniques allow protein labeling
inside living cells to allow visualization of cellular processes as they occur
and to facilitate retrieval of desired proteins. In this Topical Review, we
describe bioconjugation methods that allow specific labeling of intracellular
proteins of interest and discuss their applications to immunological studies. We
focus on protein fusions, biotinylation, fluorescein arsenical helix binder
(FlAsH) and resorufin arsenical helix binder (ReAsH) labeling, and tetrazine
ligation.
PMID- 29357222
TI - Piezotronic Effect on Rashba Spin-Orbit Coupling in a ZnO/P3HT Nanowire Array
Structure.
AB - A key concept in the emerging field of spintronics is the voltage-gate control of
spin precession via the effective magnetic field generated by the Rashba spin
orbit coupling (SOC). Traditional external gate voltage usually needs a power
supply, which can easily bring about background noise or lead to a short circuit
in measurement, especially for nanoscale spintronic devices. Here, we present a
study on the circular photogalvanic effect (CPGE) in a ZnO/P3HT nanowire array
structure with the device excited under oblique incidence. We demonstrate that a
strong Rashba SOC is induced by the structure inversion asymmetry of the ZnO/P3HT
heterointerface. We show that the Rashba SOC can be effectively tuned by inner
crystal piezo-potential created inside the ZnO nanowires instead of an externally
applied voltage. The piezo-potential can not only ensure the stability of future
spin-devices under a static pressure or strain but also work without the need of
extra energy; hence this room-temperature generation and piezotronic effect
control of spin photocurrent demonstrate a potential application in large-scale
flexible spintronics in piezoelectric nanowire systems.
PMID- 29357223
TI - Iron-Based Metal-Organic Framework with Hydrophobic Quadrilateral Channels for
Highly Selective Separation of Hexane Isomers.
AB - A novel iron-based microporous metal-organic framework built of trinuclear iron
clusters [Fe3(MU3-O)(COO)6] and 2,2-bis(4-carboxyphenyl)-hexafluoropropane
(6FDCA) has been prepared by solvothermal synthesis. It exhibits excellent
chemical stability and strong hydrophobic character. More importantly, this
material is capable of separating hexane isomers with good separation performance
on the basis of a kinetically controlled process, making it a promising candidate
for improving the research octane number of gasoline.
PMID- 29357225
TI - Short-Term Plasticity and Long-Term Potentiation in Artificial Biosynapses with
Diffusive Dynamics.
AB - The development of electronic devices possessing the functionality of biological
synapses is a crucial step toward replicating the capabilities of the human
brain. Of the various materials that have been used to realize artificial
synapses, renewable natural materials have the advantages of being abundant,
inexpensive, biodegradable, and ecologically benign. In this study, we report a
biocompatible artificial synapse based on a matrix of the biopolymer iota
carrageenan (iota-car), which exploits Ag dynamics. This artificial synapse
emulates the short-term plasticity (STP), paired-pulse facilitation (PPF), and
transition from STP to long-term potentiation (LTP) of a biological synapse. The
above-mentioned characteristics are realized by exploiting the similarities
between the Ag dynamics in the iota-car matrix and the Ca2+ dynamics in a
biological synapse. By demonstrating a method that uses biomaterials and Ag
dynamics to emulate synaptic functions, this study confirms that iota-car has the
potential for constructing neuromorphic systems that use biocompatible artificial
synapses.
PMID- 29357224
TI - Relative Quantitation of Neuropeptides at Multiple Developmental Stages of the
American Lobster Using N, N-Dimethyl Leucine Isobaric Tandem Mass Tags.
AB - Neuromodulators and neurotransmitters play important roles in neural network
development. The quantitative changes of these signaling molecules often reflect
their regulatory roles in physiological processes. Currently, several commercial
tags (e.g., iTRAQ and TMT) have been widely used in proteomics. With reduced cost
and higher labeling efficiency, we employed a set of custom-developed N, N
dimethyl leucine (DiLeu) 4-plex isobaric tandem mass tags as an attractive
alternative for the relative quantitation of neuropeptides in brain tissue of
American lobster Homarus americanus at multiple developmental stages. A general
workflow for isobaric labeling of neuropeptides followed by LC-MS/MS analysis has
been developed, including optimized sample handling procedures. Overall, we were
able to quantify 18 trace-amount neuropeptides from 6 different families using a
single adult brain as a control. The quantitation results indicated that the
expressions of different neuropeptide families had significant changes over
distinct developmental stages. Additionally, our data revealed intriguing
elevated expression of neuropeptides in the early juvenile development stage. The
methodology presented here advanced the workflow of DiLeu as an alternative
labeling approach and the application of DiLeu-based quantitative peptidomics,
which can be extended to areas beyond neuroscience.
PMID- 29357226
TI - Silver Nanoparticles Impair Retinoic Acid-Inducible Gene I-Mediated Mitochondrial
Antiviral Immunity by Blocking the Autophagic Flux in Lung Epithelial Cells.
AB - Silver nanoparticles (AgNPs) are microbicidal agents which could be potentially
used as an alternative to antivirals to treat human infectious diseases,
especially influenza virus infections where antivirals have generally proven
unsuccessful. However, concerns about the use of AgNPs on humans arise from their
potential toxicity, although mechanisms are not well-understood. We show here, in
the context of an influenza virus infection of lung epithelial cells, that AgNPs
down-regulated influenza induced CCL-5 and -IFN-beta release (two cytokines
important in antiviral immunity) through RIG-I inhibition, while enhancing IL-8
production, a cytokine important for mobilizing host antibacterial responses.
AgNPs activity was independent of coating and was not observed with gold
nanoparticles. Down-stream analysis indicated that AgNPs disorganized the
mitochondrial network and prevented the antiviral IRF-7 transcription factor
influx into the nucleus. Importantly, we showed that the modulation of RIG-I-IRF
7 pathway was concomitant with inhibition of either classical or alternative
autophagy (ATG-5- and Rab-9 dependent, respectively), depending on the epithelial
cell type used. Altogether, this demonstration of a AgNPs-mediated functional
dichotomy (down-regulation of IFN-dependent antiviral responses and up-regulation
of IL-8-dependent antibacterial responses) may have practical implications for
their use in the clinic.
PMID- 29357228
TI - Scalable High-Performance Ultraminiature Graphene Micro-Supercapacitors by a
Hybrid Technique Combining Direct Writing and Controllable Microdroplet Transfer.
AB - Miniaturization of energy storage devices can significantly decrease the overall
size of electronic systems. However, this miniaturization is limited by the
reduction of electrode dimensions and the reproducible transfer of small
electrolyte drops. This paper reports first a simple scalable direct writing
method for the production of ultraminiature microsupercapacitor (MSC) electrodes,
based on femtosecond laser reduced graphene oxide (fsrGO) interlaced pads. These
pads, separated by 2 MUm spacing, are 100 MUm long and 8 MUm wide. A second stage
involves the accurate transfer of an electrolyte microdroplet on top of each
individual electrode, which can avoid any interference of the electrolyte with
other electronic components. Abundant in-plane mesopores in fsrGO induced by a fs
laser together with ultrashort interelectrode spacing enables MSCs to exhibit a
high specific capacitance (6.3 mF cm-2 and 105 F cm-3) and ~100% retention after
1000 cycles. An all graphene resistor-capacitor (RC) filter is also constructed
by combining the MSC and a fsrGO resistor, which is confirmed to exhibit highly
enhanced performance characteristics. This new hybrid technique combining fs
laser direct writing and precise microdroplet transfer easily enables scalable
production of ultraminiature MSCs, which is believed to be significant for
practical application of micro-supercapacitor microelectronic systems.
PMID- 29357229
TI - Slanted Functional Gradient Micropillars for Optimal Bioinspired Dry Adhesion.
AB - For biologically inspired dry adhesives, the fibrillar structure of the surface
requires sufficient flexibility to form contacts and meanwhile high rigidity to
maintain stability. This fundamental conflict has greatly hindered the advance of
synthetic adhesives toward mass-scale and practical applications, where adhesion
is desired to be simultaneously strong, durable, directional, and roughness
adaptive. In this work, we overcome such a long-term challenge by developing
fibrillar structures that combine both slanted geometry and gradient material of
micropillars. The termed slanted functional gradient pillars (s-FGPs), fabricated
by a magnetically assisted mold replication technique, exhibit flexible tips for
contacts, gradually stiffened stalks for reinforcement, slanted structure to give
rise to anisotropy, and high aspect ratio (AR) to facilitate surface adaptation.
We demonstrate that the material and structure of the s-FGPs complement each
other, synergetic effects of which result in a multifunctional combination of
adhesion properties including high strength (~9 N/cm2 in shear), ultradurability
(over 200 cycles of attachment/detachment without adhesion degradation), super
anisotropy (anisotropic ratio of ~7), and good adaptability to rough surfaces.
The s-FGPs not only step forward the bioinspired adhesion toward optimized
designs and performances for practical applications but may also open up other
concepts for various high-AR and structurally stable fibrillar surfaces with
emerging functionalities and applications in the fields of self-cleaning,
superhydrophobicity, biosensors, energy harvesting, etc.
PMID- 29357227
TI - Direct Observation of Oligomerization by Single Molecule Fluorescence Reveals a
Multistep Aggregation Mechanism for the Yeast Prion Protein Ure2.
AB - The self-assembly of polypeptides into amyloid structures is associated with a
range of increasingly prevalent neurodegenerative diseases as well as with a
select set of functional processes in biology. The phenomenon of self-assembly
results in species with dramatically different sizes, from small oligomers to
large fibrils; however, the kinetic relationship between these species is
challenging to characterize. In the case of prion aggregates, these structures
can self-replicate and act as infectious agents. Here we use single molecule
spectroscopy to obtain quantitative information on the oligomer populations
formed during aggregation of the yeast prion protein Ure2. Global analysis of the
aggregation kinetics reveals the molecular mechanism underlying oligomer
formation and depletion. Quantitative characterization indicates that the
majority of Ure2 oligomers are relatively short-lived, and their rate of
dissociation is much higher than their rate of conversion into growing fibrils.
We identify an initial metastable oligomer, which can subsequently convert into a
structurally distinct oligomer, which in turn converts into growing fibrils. We
also show that fragmentation is responsible for the autocatalytic self
replication of Ure2 fibrils, but that preformed fibrils do not promote oligomer
formation, indicating that secondary nucleation of the type observed for peptides
and proteins associated with neurodegenerative disease does not occur at a
significant rate for Ure2. These results establish a framework for elucidating
the temporal and causal relationship between oligomers and larger fibrillar
species in amyloid forming systems, and provide insights into why functional
amyloid systems are not toxic to their host organisms.
PMID- 29357230
TI - In Vivo Coating of Bacterial Magnetic Nanoparticles by Magnetosome Expression of
Spider Silk-Inspired Peptides.
AB - Magnetosomes are natural magnetic nanoparticles with exceptional properties that
are synthesized in magnetotactic bacteria by a highly regulated biomineralization
process. Their usability in many applications could be further improved by
encapsulation in biocompatible polymers. In this study, we explored the
production of spider silk-inspired peptides on magnetosomes of the
alphaproteobacterium Magnetospirillum gryphiswaldense. Genetic fusion of
different silk sequence-like variants to abundant magnetosome membrane proteins
enhanced magnetite biomineralization and caused the formation of a proteinaceous
capsule, which increased the colloidal stability of isolated particles.
Furthermore, we show that spider silk peptides fused to a magnetosome membrane
protein can be used as seeds for silk fibril growth on the magnetosome surface.
In summary, we demonstrate that the combination of two different biogenic
materials generates a genetically encoded hybrid composite with engineerable new
properties and enhanced potential for various applications.
PMID- 29357231
TI - Structural Articulation of Biochemical Reactions Using Restrained Geometries and
Topology Switching.
AB - A strategy named "restrained geometries and topology switching" (RGATS) is
presented to obtain detailed trajectories for complex biochemical reactions using
molecular mechanics (MM) methods. It enables prediction of realistic dynamical
pathways for chemical reactions, especially for accurately characterizing the
structural adjustments of highly complex environments to any proximal biochemical
reaction. It can be used to generate reactive conformations, model stepwise or
concerted reactions in complex environments, and probe the influence of changes
in the environment. Its ability to take reactively nonoptimal conformations and
generate favorable starting conformations for a biochemical reaction is
illustrated for a proton transfer between two model compounds. Its ability to
study concerted reactions in explicit solvent is illustrated using proton
transfers between an ammonium ion and two conserved histidines in an ammonia
transporter channel embedded in a lipid membrane. Its ability to characterize the
changes induced by subtle differences in the active site environment is
illustrated using nucleotide addition by a DNA polymerase in the presence of two
versus three Mg2+ ions. RGATS can be employed within any MM program and requires
no additional software implementation. This allows the full assortment of
computational methods implemented in all available MM programs to be used to
tackle virtually any question about biochemical reactions that is answerable
without using a quantum mechanical (QM) model. It can also be applied to generate
reasonable starting structures for more detailed and expensive QM or QM/MM
methods. In particular, this strategy enables rapid prediction of reactant,
intermediary, or product state structures in any macromolecular context, with the
only requirement being that the structure in any one of these states is either
known or can be accurately modeled.
PMID- 29357232
TI - Quantum Chemical Examination of the Sequential Halogen Incorporation Scheme for
the Modeling of Speciation of I/Br/Cl-Containing Trihalomethanes.
AB - The recently developed three-step ternary halogenation model interprets the
incorporation of chlorine, bromine, and iodine ions into natural organic matter
(NOM) and formation of iodine-, bromine-, and chlorine-containing trihalomethanes
(THMs) based on the competition of iodine, bromine, and chlorine species at each
node of the halogenation sequence. This competition is accounted for using the
dimensionless ratios (denoted as gamma) of kinetic rates of reactions of the
initial attack sites or halogenated intermediates with chlorine, bromine, and
iodine ions. However, correlations between the model predictions made and
mechanistic aspects of the incorporation of halogen species need to be
ascertained in more detail. In this study, quantum chemistry calculations were
first used to probe the formation mechanism of 10 species of Cl-/Br-/I- THMs. The
HOMO energy (EHOMO) of each mono-, bi-, or trihalomethanes were calculated by
B3LYP method in Gaussian 09 software. Linear correlations were found to exist
between the logarithms of experimentally determined kinetic preference
coefficients gamma reported in prior research and, on the other hand, differences
of EHOMO values between brominated/iodinated and chlorinated halomethanes. One
notable exception from this trend was that observed for the incorporation of
iodine into mono- and di-iodinated intermediates. These observations confirm the
three-step halogen incorporation sequence and the factor gamma in the statistical
model. The combined use of quantum chemistry calculations and the ternary
sequential halogenation model provides a new insight into the microscopic nature
of NOM-halogen interactions and the trends seen in the behavior of gamma factors
incorporated in the THM speciation models.
PMID- 29357233
TI - Preclinical Explorative Assessment of Dimethyl Fumarate-Based Biocompatible
Nanolipoidal Carriers for the Management of Multiple Sclerosis.
AB - Multiple sclerosis (MS) is a neurodegenerative disease in which myelin sheath
damage occurs due to internal and external factors. MS especially affects the
young population. Dimethyl fumarate (DMF) is a promising agent for MS treatment,
although it is associated with concerns such as poor brain permeation, multiple
dosing, and gastrointestinal flushing. The present study attempts to evaluate the
preclinical performance of specially designed DMF-based lipoidal nanoparticles in
a cuprizone-induced demyelination model in rodents. The studies proved the
efficacy of lipid-based nanoparticles containing DMF in a once-a-day dosage
regimen over that of thrice-a-day plain DMF administration on crucial parameters
like motor coordination, grip strength, mortality, body weight, and locomotor
activity. However, neither blank lipid nor blank neuroprotective (vitamins A, D,
and E) loaded nanoparticles were able to elicit any desirable behavioral
response. Histopathological studies showed that the designed once-a-day DMF
nanomedicines were well tolerated and rejuvenated the myelin sheath vis-a-vis the
plain DMF thrice-a-day regimen. These findings provide proof of concept for a
biocompatible nanomedicine for MS with tremendous promise for effective brain
delivery and patient compliance on the grounds of a reduction in the dosage
frequency.
PMID- 29357234
TI - Biomimetic Nanosponges for Treating Antibody-Mediated Autoimmune Diseases.
AB - Autoimmune diseases are characterized by overactive immunity, where the body's
defense system launches an attack against itself. If left unchecked, this can
result in the destruction of healthy tissue and significantly affect patient well
being. In the case of type II autoimmune hypersensitivities, autoreactive
antibodies attack the host's own cells or extracellular matrix. Current clinical
treatment modalities for managing this class of disease are generally nonspecific
and face considerable limitations. In this Topical Review, we cover emerging
therapeutic strategies, with an emphasis on novel nanomedicine platforms.
Specifically, the use of biomimetic cell membrane-coated nanosponges that are
capable of specifically binding and neutralizing pathological antibodies will be
explored. There is significant untapped potential in the application of
nanotechnology for the treatment of autoimmune diseases, and continued
development along this line may help to eventually change the clinical landscape.
PMID- 29357235
TI - Mobility of Water and Polymer Species and Rheological Properties of
Supramolecular Polypseudorotaxane Gels Suitable for Bone Regeneration.
AB - The aim of this work was to prepare polypseudorotaxane-based supramolecular gels
combining alphaCD with two temperature-responsive copolymers of different
architecture (i.e., linear poloxamer P and X-shaped poloxamine T), at the lowest
concentration as possible to form syringeable depots, and to shed light on the
self-diffusion and spatial closeness of all components (including water) which in
turn may determine the cooperative self-assembly phenomena and the performance of
the gels at the macroscopic level. The exchange rate between bound water and bulk
water was measured with a novel NMR experiment Water Diffusion Exchange-Diffusion
Optimized Spectroscopy (WDE-DOSY). Polypseudorotaxane formation caused opposite
effects on the mobility of alphaCD species (decreased) and internal water
(increased) but did not affect PPO-water interaction. Consequently, designed
ternary P/T/alphaCD supramolecular gels exhibited in situ gelling at body
temperature could host large amounts of PLA/PLGA microspheres and behaved as
porous 3D-scaffolds for mesenchymal stem cells (MSCs) supporting their osteogenic
differentiation. Interestingly, the gels withstood freeze-drying and
reconstitution with minor changes in inner structure and rheological properties.
The gathered information may help to understand better the supramolecular gels
and provide tools for the rational design of syringeable bone scaffolds that can
simultaneously accommodate cells and drug microcarriers for efficient tissue
regeneration.
PMID- 29357237
TI - A Predictive Approach for the Optical Control of Carbonic Anhydrase II Activity.
AB - Optogenetics and photopharmacology are powerful approaches to investigating
biochemical systems. While the former is based on genetically encoded
photoreceptors that utilize abundant chromophores, the latter relies on synthetic
photoswitches that are either freely diffusible or covalently attached to
specific bioconjugation sites, which are often native or engineered cysteines.
The identification of suitable cysteine sites and appropriate linkers for
attachment is generally a lengthy and cumbersome process. Herein, we describe an
in silico screening approach that is designed to propose a small number of
optimal combinations. By applying this computational approach to human carbonic
anhydrase and a set of three photochromic tethered ligands, the number of
potential site-ligand combinations was narrowed from over 750 down to 6, which we
then evaluated experimentally. Two of these six combinations resulted in light
responsive human Carbonic Anhydrases (LihCAs), which were characterized with
enzymatic activity assays, mass spectrometry, and X-ray crystallography. Our
study also provides insights into the reactivity of cysteines toward maleimides
and the hydrolytic stability of the adducts obtained.
PMID- 29357236
TI - Templated Formation of Luminescent Virus-like Particles by Tailor-Made Pt(II)
Amphiphiles.
AB - Virus-like particles (VLPs) have been created from luminescent Pt(II) complex
amphiphiles, able to form supramolecular structures in water solutions, that can
be encapsulated or act as templates of cowpea chlorotic mottle virus capsid
proteins. By virtue of a bottom-up molecular design, icosahedral and
nonicosahedral (rod-like) VLPs have been constructed through diverse pathways,
and a relationship between the molecular structure of the complexes and the shape
and size of the VLPs has been observed. A deep insight into the mechanism for the
templated formation of the differently shaped VLPs was achieved, by electron
microscopy measurements (TEM and STEM) and bulk analysis (FPLC, DLS,
photophysical investigations). Interestingly, the obtained VLPs can be visualized
by their intense emission at room temperature, generated by the self-assembly of
the Pt(II) complexes. The encapsulation of the luminescent species is further
verified by their higher emission quantum yields inside the VLPs, which is due to
the confinement effect of the protein cage. These hybrid materials demonstrate
the potential of tailor-made supramolecular systems able to control the assembly
of biological building blocks.
PMID- 29357238
TI - First-Principles Parametrization of Polarizable Coarse-Grained Force Fields for
Ionic Liquids.
AB - We present an ab initio parametrization scheme for explicitly dipole-polarizable
force fields for the simulation of molecular liquids. The scheme allows for, in
principle, arbitrarily coarse-grained representations. All parameters in the
force field are derived from first-principles, based on simple physical
arguments. Only one fit parameter enters the parametrization, a global scaling
factor for the size of the particles, which is adjusted to reproduce the
experimental mass density. As important examples and for the first time,
polarizable coarse-grained force fields are derived for 1-alkyl-3
methylimidazolium cations with varying alkyl-chain lengths (alkyl = ethyl, butyl,
hexyl) and hexafluorophosphate and tetrafluoroborate anions. Our findings are in
good agreement with experimental results and results of further atomistic
simulations. Hence, the force fields can be faithfully used where polarizability
is expected to play a significant role, such as simulations of energy storage
devices.
PMID- 29357239
TI - A Lattice Kinetic Monte Carlo Solver for First-Principles Microkinetic Trend
Studies.
AB - Mean-field microkinetic models in combination with Bronsted-Evans-Polanyi like
scaling relations have proven highly successful in identifying catalyst materials
with good or promising reactivity and selectivity. Analysis of the microkinetic
model by means of lattice kinetic Monte Carlo promises a faithful description of
a range of atomistic features involving short-range ordering of species in the
vicinity of an active site. In this paper, we use the "fruit fly" example
reaction of CO oxidation on fcc(111) transition and coinage metals to motivate
and develop a lattice kinetic Monte Carlo solver suitable for the numerically
challenging case of vastly disparate rate constants. As a result, we show that
for the case of infinitely fast diffusion and absence of adsorbate-adsorbate
interaction it is, in fact, possible to match the prediction of the mean-field
theory method and the lattice kinetic Monte Carlo method. As a corollary, we
conclude that lattice kinetic Monte Carlo simulations of surface chemical
reactions are most likely to provide additional insight over mean-field
simulations if diffusion limitations or adsorbate-adsorbate interactions have a
significant influence on the mixing of the adsorbates.
PMID- 29357240
TI - Unlocking High-Salinity Desalination with Cascading Osmotically Mediated Reverse
Osmosis: Energy and Operating Pressure Analysis.
AB - Current practice of using thermally driven methods to treat hypersaline brines is
highly energy-intensive and costly. While conventional reverse osmosis (RO) is
the most efficient desalination technique, it is confined to purifying seawater
and lower salinity sources. Hydraulic pressure restrictions and elevated energy
demand render RO unsuitable for high-salinity streams. Here, we propose an
innovative cascading osmotically mediated reverse osmosis (COMRO) technology to
overcome the limitations of conventional RO. The innovation utilizes the novel
design of bilateral countercurrent reverse osmosis stages to depress the
hydraulic pressure needed by lessening the osmotic pressure difference across the
membrane, and simultaneously achieve energy savings. Instead of the 137 bar
required by conventional RO to desalinate 70 000 ppm TDS hypersaline feed, the
highest operating pressure in COMRO is only 68.3 bar (-50%). Furthermore, up to
~17% energy saving is attained by COMRO (3.16 kWh/m3, compared to 3.79 kWh/m3
with conventional RO). When COMRO is employed to boost the recovery of seawater
desalination to 70% from the typical 35-50%, energy savings of up to ~33% is
achieved (2.11 kWh/m3, compared to 3.16 kWh/m3 with conventional RO). Again,
COMRO can operate at a moderate hydraulic pressure of 80 bar (25% lower than 113
bar of conventional RO). This study highlights the encouraging potential of
energy-efficient COMRO to access unprecedented high recovery rates and treat
hypersaline brines at moderate hydraulic pressures, thus extending the
capabilities of membrane-based technologies for high-salinity desalination.
PMID- 29357241
TI - Hydrolysis before Stir-Frying Increases the Isothiocyanate Content of Broccoli.
AB - Broccoli is found to be a good source of glucosinolates, which can be hydrolyzed
by endogenous myrosinase to obtain chemopreventive isothiocyanates (ITCs); among
them, sulforaphane (SF) is the most important agent. Studies have shown that
cooking greatly affects the levels of SF and total ITCs in broccoli. However, the
stability of these compounds during cooking has been infrequently examined. In
this study, we proved that the half-lives of SF and total ITCs during stir-frying
were 7.7 and 5.9 min, respectively, while the myrosinase activity decreased by
80% after stir-frying for 3 min; SF and total ITCs were more stable than
myrosinase. Thus, the contents of SF and total ITCs decreased during stir-frying
largely because myrosinase was destroyed. Subsequently, it was confirmed that
compared to direct stir-frying, hydrolysis of glucosinolates in broccoli for 90
min followed by stir-frying increased the SF and total ITC concentration by 2.8
and 2.6 times, respectively. This method provides large quantities of beneficial
ITCs even after cooking.
PMID- 29357242
TI - Out-of-Register Abeta42 Assemblies as Models for Neurotoxic Oligomers and
Fibrils.
AB - We propose a variant of the recently found S-shaped Abeta1-42-motif that is
characterized by out-of-register C-terminal beta-strands. We show that chains
with this structure can form not only fibrils that are compatible with the NMR
signals but also barrel-shaped oligomers that resemble the ones formed by the
much smaller cylindrin peptides. By running long all-atom molecular dynamics
simulations at physiological temperatures with an explicit solvent, we study the
stability of these constructs and show that they are plausible models for
neurotoxic oligomers. After analyzing the transitions between different
assemblies, we suggest a mechanism for amyloid formation in Alzheimer's disease.
PMID- 29357244
TI - Detection of Anomalous Dynamics for a Single Water Molecule.
AB - Water dynamics is of predominant importance in life, and it plays a critical role
in chemical and biological systems. Many studies have reported nonbulk and
anomalous dynamics of water molecules; however, a general method to detect the
anomalous dynamics is yet to be established. Here, we develop a detection
approach for the anomalous dynamics of a water molecule. Using a time series of
the dipole vector of a water molecule, our approach achieves single-molecule
detection of the anomalous dynamics for all water molecules in the system.
Moreover, our approach quantifies the anomalous dynamics of a water molecule,
which enables users to compare between different systems. In addition to the
applicability, our approach has computational efficiency because it never
calculates interactions with any other molecules. Experiments on five different
systems of molecular dynamics simulations illustrate that our approach
successfully detects the change points of water-molecule dynamics. These results
demonstrate that our approach is a useful tool and provides a better
understanding of dynamics of water molecules.
PMID- 29357243
TI - A GPU-Accelerated Parameter Interpolation Thermodynamic Integration Free Energy
Method.
AB - There has been a resurgence of interest in free energy methods motivated by the
performance enhancements offered by molecular dynamics (MD) software written for
specialized hardware, such as graphics processing units (GPUs). In this work, we
exploit the properties of a parameter-interpolated thermodynamic integration (PI
TI) method to connect states by their molecular mechanical (MM) parameter values.
This pathway is shown to be better behaved for Mg2+ -> Ca2+ transformations than
traditional linear alchemical pathways (with and without soft-core potentials).
The PI-TI method has the practical advantage that no modification of the MD code
is required to propagate the dynamics, and unlike with linear alchemical mixing,
only one electrostatic evaluation is needed (e.g., single call to particle-mesh
Ewald) leading to better performance. In the case of AMBER, this enables all the
performance benefits of GPU-acceleration to be realized, in addition to unlocking
the full spectrum of features available within the MD software, such as
Hamiltonian replica exchange (HREM). The TI derivative evaluation can be
accomplished efficiently in a post-processing step by reanalyzing the
statistically independent trajectory frames in parallel for high throughput. We
also show how one can evaluate the particle mesh Ewald contribution to the TI
derivative evaluation without needing to perform two reciprocal space
calculations. We apply the PI-TI method with HREM on GPUs in AMBER to predict p
Ka values in double stranded RNA molecules and make comparison with experiments.
Convergence to under 0.25 units for these systems required 100 ns or more of
sampling per window and coupling of windows with HREM. We find that MM charges
derived from ab initio QM/MM fragment calculations improve the agreement between
calculation and experimental results.
PMID- 29357245
TI - Optogenetics-Inspired Tunable Synaptic Functions in Memristors.
AB - Two-terminal memristors with internal Ca2+-like dynamics can be used to
faithfully emulate biological synaptic functions and have been intensively
studied for neural network implementations. Inspired by the optogenetic technique
that utilizes light to tune the Ca2+ dynamics and subsequently the synaptic
plasticity, we develop a CH3NH3PbI3 (MAPbI3)-based memristor that exhibits light
tunable synaptic behaviors. Specifically, we show that by increasing the
formation energy of iodine vacancy (VI./VI*), light illumination can be used to
control the VI./VI* generation and annihilation dynamics, resembling light
controlled Ca2+ influx in biological synapses. We demonstrate that the memory
formation and memory loss behaviors in the memristors can be modified by
controlling the intensity and the wavelength of the illuminated light.
Coincidence detection of electrical and light stimulations is also implemented in
the memristive device with real-time (<=20 ms) response to light illumination.
These results open options to modify the synaptic plasticity effects in memristor
based neuromorphic systems and can lead to the development of electronic systems
that can faithfully emulate diverse biological processes.
PMID- 29357246
TI - Four-Component Polarization Propagator Calculations of Electron Excitations:
Spectroscopic Implications of Spin-Orbit Coupling Effects.
AB - A complete implementation of the polarization propagator based on the Dirac
Coulomb Hamiltonian is presented and applied to excitation spectra of various
systems. Hereby the effect of spin-orbit coupling on excitation energies and
transition moments is investigated in detail. The individual perturbational
contributions to the transition moments could now be separately analyzed for the
first time and show the relevance of one- and two-particle terms. In some systems
different contributions to the transition moments partially cancel each other and
do not allow for simple predictions. For the outer valence spectrum of the
H2Os(CO)4 complex a detailed final state analysis is performed explaining the
sensitivity of the excitation spectrum to spin-orbit effects. Finally, technical
issues of handling double group symmetry in the relativistic framework and
methodological aspects of our parallel implementation are discussed.
PMID- 29357247
TI - Physico-Chemical Characterization of Fine and Ultrafine Particles Emitted during
Diesel Particulate Filter Active Regeneration of Euro5 Diesel Vehicles.
AB - Diesel particulate filters (DPFs) are commonly employed in modern passenger cars
to comply with current particulate matter (PM) emission standards. DPFs requires
periodic regeneration to remove the accumulated matter. During the process, high
concentration particles, in both nucleation and accumulation modes, are emitted.
Here, we report new information on particle morphology and chemical composition
of fine (FPs) and ultrafine particles (UFPs) measured downstream of the DPF
during active regeneration of two Euro 5 passenger cars. The first vehicle was
equipped with a close-coupled diesel oxidation catalyst (DOC) and noncatalyzed
DPF combined with fuel borne catalyst and the second one with DOC and a catalyzed
diesel particle filter (CDPF). Differences in PM emission profiles of the two
vehicles were related to different after treatment design, regeneration
strategies, and vehicle characteristics and mileage. Particles in the nucleation
mode consisted of ammonium bisulfate, sulfate and sulfuric acid, suggesting that
the catalyst desulfation is the key process in the formation of UFPs. Larger
particles and agglomerates, ranging from 90 to 600 nm, consisted of carbonaceous
material (soot and soot aggregates) coated by condensable material including
organics, ammonium bisulfate and sulfuric acid. Particle emission in the
accumulation mode was due to the reduced filtration efficiency (soot cake
oxidation) throughout the regeneration process.
PMID- 29357248
TI - Vertical Growth of Superconducting Crystalline Hollow Nanowires by He+ Focused
Ion Beam Induced Deposition.
AB - Novel physical properties appear when the size of a superconductor is reduced to
the nanoscale, in the range of its superconducting coherence length (xi0). Such
nanosuperconductors are being investigated for potential applications in
nanoelectronics and quantum computing. The design of three-dimensional
nanosuperconductors allows one to conceive novel schemes for such applications.
Here, we report for the first time the use of a He+ focused-ion-beam-microscope
in combination with the W(CO)6 precursor to grow three-dimensional
superconducting hollow nanowires as small as 32 nm in diameter and with an aspect
ratio (length/diameter) of as much as 200. Such extreme resolution is achieved by
using a small He+ beam spot of 1 nm for the growth of the nanowires. As shown by
transmission electron microscopy, they display grains of large size fitting with
face-centered cubic WC1-x phase. The nanowires, which are grown vertically to the
substrate, are felled on the substrate by means of a nanomanipulator for their
electrical characterization. They become superconducting at 6.4 K and show large
critical magnetic field and critical current density resulting from their quasi
one-dimensional superconducting character. These results pave the way for future
nanoelectronic devices based on three-dimensional nanosuperconductors.
PMID- 29357249
TI - Ligand-Mediated Coating of Liposomes with Human Serum Albumin.
AB - Coating liposome surfaces with human serum albumin (HSA) can improve the
colloidal stability and prevent opsonization. HSA coating via specific binding
with alkyl ligands is promising because although the ligand-mediated coating is
relatively stable it can spontaneously exchange with fresh HSA. However, to
achieve surface coating with HSA, multiple hydrophobic ligands must be exposed to
an aqueous medium prior to binding with HSA. This presents a challenge, as
hydrophobic ligands tend to be buried in the liposomal membrane. Here we present
the first HSA modification of liposome surfaces via alkyl ligands. We found that
a relatively short alkyl ligand, or a long alkyl ligand with a terminal
carboxylate, could be exposed on the liposome surface without causing aggregation
of the liposomes and these ligands could subsequently bind HSA. The resulting HSA
coated liposomes were as inert as conventional PEGylated liposomes in terms of
macrophage recognition.
PMID- 29357250
TI - Discovery of 4-((7H-Pyrrolo[2,3-d]pyrimidin-4-yl)amino)-N-(4-((4-methylpiperazin
1-yl)methyl)phenyl)-1H-pyrazole-3-carboxamide (FN-1501), an FLT3- and CDK-Kinase
Inhibitor with Potentially High Efficiency against Acute Myelocytic Leukemia.
AB - A series of 1-H-pyrazole-3-carboxamide derivatives have been designed and
synthesized that exhibit excellent FLT3 and CDK inhibition and antiproliferative
activities. A structure-activity-relationship study illustrates that the
incorporation of a pyrimidine-fused heterocycle at position 4 of the pyrazole is
critical for FLT3 and CDK inhibition. Compound 50 (FN-1501), which possesses
potent inhibitory activities against FLT3, CDK2, CDK4, and CDK6 with IC50 values
in the nanomolar range, shows antiproliferative activities against MV4-11 cells
(IC50: 0.008 MUM), which correlates with the suppression of retinoblastoma
phosphorylation, FLT3, ERK, AKT, and STAT5 and the onset of apoptosis. Acute
toxicity studies in mice show that compound 50 (LD50: 186 mg/kg) is safer than
AT7519 (32 mg/kg). In MV4-11 xenografts in a nude-mouse model, compound 50 can
induce tumor regression at the dose of 15 mg/kg, which is more efficient than
cytarabine (50 mg/kg). Taken together, these results demonstrate the potential of
this unique compound for further development into a drug applied in acute-myeloid
leukemia (AML) therapeutics.
PMID- 29357251
TI - Salutaxel, a Conjugate of Docetaxel and a Muramyl Dipeptide (MDP) Analogue, Acts
as Multifunctional Prodrug That Inhibits Tumor Growth and Metastasis.
AB - Salutaxel (3) is a conjugate of docetaxel (7) and a muramyl dipeptide (MDP)
analogue. Docetaxel (7) has been recognized as a highly active chemotherapeutic
agent against various cancers. MDP and its analogues are powerful potentiators of
the antitumor actions of various tumor-necrotizing agents. This article documents
the discovery of compound 3 and presents pharmacological proof of its biological
function in tumor-bearing mice. Drug candidate 3 was superior to compound 7 in
its ability to prevent tumor growth and metastasis. Compound 3 suppressed myeloid
derived suppressor cell (MDSC) accumulation in the spleens of tumor-bearing mice
and decreased various serum inflammatory cytokines levels. Furthermore, compound
3 antagonized the nucleotide-binding oligomerization domain-like receptor 1
(NOD1) signaling pathway both in vitro and in vivo.
PMID- 29357252
TI - Nature of Intramolecular Resonance Assisted Hydrogen Bonding in Malonaldehyde and
Its Saturated Analogue.
AB - The nature of resonance-assisted hydrogen bonds (RAHB) is still subject of an
ongoing debate. We therefore analyzed the sigma and pi charge redistributions
associated with the formation of intramolecular hydrogen bonds in malonaldehyde
(MA) and its saturated analogue 3-hydroxypropanal (3-OH) and addressed the
question whether there is a resonance assistance phenomenon in the sense of a
synergistic interplay between the sigma and pi electron systems. Our quantum
chemical calculations at the BP86/TZ2P level of theory show that the pi charge
flow is indeed in line with the Lewis structure as proposed by the RAHB model.
This typical rearrangement of charge is only present in the unsaturated system,
and not in its saturated analogue. Resonance in the pi electron system assists
the intramolecular hydrogen bond by reducing the hydrogen bond distance, and by
providing an additional stabilizing component to the net bonding energy. The
sigma orbital interaction plays an important role in the enhanced hydrogen bond
strength in MA as well. However, there is no resonance assistance in the sense of
an interplay between sigma charge transfer and pi polarization; sigma and pi
contribute independently from each other.
PMID- 29357253
TI - Dual Control of Molecular Conductance through pH and Potential in Single-Molecule
Devices.
AB - One of the principal aims of single-molecule electronics is to create practical
devices out of individual molecules. Such devices are expected to play a
particularly important role as novel sensors thanks to their response to wide
ranging external stimuli. Here we show that the conductance of a molecular
junction can depend on two independent stimuli simultaneously. Using a scanning
tunnelling microscope break-junction technique (STM-BJ), we found that the
conductance of 4,4'-vinylenedipyridine (44VDP) molecular junctions with Ni
contacts depends on both the electrochemically applied gate voltage and the pH of
the environment. Hence, not only can the Ni|44VDP|Ni junction function as a pH
sensitive switch, but the value of the pH at which switching takes place can be
tuned electrically. Furthermore, through the simultaneous control of pH and
potential the STM-BJ technique delivers unique insight into the acid-base
reaction, including the observation of discrete proton transfers to and from a
single molecule.
PMID- 29357254
TI - Manipulation of Nanoscale Intergranular Phases for High Proton Conduction and
Decomposition Tolerance in BaCeO3 Polycrystals.
AB - In many ion-conducting polycrystalline oxides, grain boundaries are generally
accepted as rate-limiting obstacles to rapid ionic diffusion, often resulting in
overall sluggish transport. Consequently, based on a precise understanding of the
structural and compositional features at grain boundaries, systematic control of
the polycrystalline microstructure is a key factor to achieve better ionic
conduction performance. In this study, we clarify that a nanometer-thick
amorphous phase at most grain boundaries in proton-conducting BaCeO3 polycrystals
is responsible for substantial retardation of proton migration and moreover is
very reactive with water and carbon dioxide gas. By a combination of atomic-scale
chemical analysis and physical imaging, we demonstrate that highly densified
BaCeO3 polycrystals free of a grain-boundary amorphous phase can be easily
fabricated by a conventional ceramic process and show sufficiently high proton
conductivity together with significantly improved chemical stability. These
findings emphasize the value of direct identification of intergranular phases and
subsequent manipulation of their distribution in ion-conducting oxide
polycrystals.
PMID- 29357255
TI - Hydrophobicity Varying with Temperature, Pressure, and Salt Concentration.
AB - Temperature-, pressure-, and salt-concentration-induced variations in the
solubility of small nonpolar solutes in aqueous solution and the corresponding
variations in the solvent-induced pair attraction between such solute molecules
are investigated. The variations in the solvation free energy of a solute and
those in the solvent-induced pair attraction are well reproduced by a mean-field
approximation in which the repulsive cores of solute molecules are treated as
hard spheres and the mean-field energy of a solute molecule is taken to be the
average potential energy that the solute molecule feels in solution. The
mechanisms of variation in the solvation free energy and those of variation in
the solvent-induced pair potential, with increasing temperature, pressure, and
salt concentration, are clarified. Correlations between the solvation free energy
and the solvent-induced pair potential at a contact distance in temperature,
pressure, and salt concentration variations are near linear in any mode of
variation, but the slope of the linear relation is dependent on the mode of
variation and is determined by a ratio of the solvation thermodynamic quantities
characteristic of each mode of variation.
PMID- 29357256
TI - Measurement of Anisotropic Particle Interactions with Nonuniform ac Electric
Fields.
AB - Optical microscopy measurements are reported for single anisotropic polymer
particles interacting with nonuniform ac electric fields. The present study is
limited to conditions where gravity confines particles with their long axis
parallel to the substrate such that particles can be treated using quasi-2D
analysis. Field parameters are investigated that result in particles residing at
either electric field maxima or minima and with long axes oriented either
parallel or perpendicular to the electric field direction. By nonintrusively
observing thermally sampled positions and orientations at different field
frequencies and amplitudes, a Boltzmann inversion of the time-averaged
probability of states yields kT-scale energy landscapes (including dipole-field,
particle-substrate, and gravitational potentials). The measured energy landscapes
show agreement with theoretical potentials using particle conductivity as the
sole adjustable material property. Understanding anisotropic particle-field
energy landscapes vs field parameters enables quantitative control of local
forces and torques on single anisotropic particles to manipulate their position
and orientation within nonuniform fields.
PMID- 29357258
TI - Hamiltonian-Independent Generalization of the Fragment Excitation Difference
Scheme.
AB - The fragment excitation difference (FED) scheme is a useful method for
calculating the complete diabatic couplings of various energy transfer systems.
The lack of a good definition for the transformation of the transition density
matrix to the off-diagonal FED matrix elements limits FED to single-excitation
methods. We have developed a generalized FED scheme called the theta-optimized
FED (theta-FED) scheme which does not require transforming the transition density
matrices. In theta-FED, two states of interest are linearly transformed by a
mixing angle theta into two mixed states. The excitation difference of each mixed
state is evaluated and optimized numerically to determine the mixing angle. This
approach allows for finding diabatic states and the corresponding couplings for a
general set of Hamiltonians.
PMID- 29357259
TI - Salt-Induced Thermoresponsivity of Cross-Linked Polymethoxyethylaminophosphazene
Hydrogels: Energetics of the Volume Phase Transition.
AB - Biodegradable hydrogels of cross-linked polymethoxyethylaminophosphazenes
(PMOEAPs) of various cross-linking density and apparent subchain hydrophobicity
were investigated by high-sensitivity differential scanning calorimetry and
equilibrium swelling measurements. The volume phase transition of the hydrogels
was found to be induced by salts of weak polybasic acids. The transition
parameters were determined depending on the pH, phosphate concentration, cross
linking density, and apparent hydrophobicity of the gels. The transition enthalpy
increased three times and reached 60 J g-1 at the phosphate concentrations 5-100
mM. The transition temperature decreased by 60 degrees C when the pH changed
from 6 to 8. A decrease in the transition temperature (by ~20 degrees C) was
achieved due to incorporation of 9.4 mol % of some alkyl groups into the gel
subchains. The classic theory of the collapse of polymer gels coupled with the
data of protein science on hydration energetics for various molecular surfaces
reproduces correctly thermodynamics of the collapse of PMOEAP hydrogels.
PMID- 29357257
TI - Polarizable Empirical Force Field for Halogen-Containing Compounds Based on the
Classical Drude Oscillator.
AB - The quality of the force field is crucial to ensure the accuracy of simulations
used in molecular modeling, including computer-aided drug design (CADD). To
perform more accurate modeling and simulations of halogenated molecules, in this
study the polarizable force field based on the classical Drude oscillator model
was extended to both aliphatic and aromatic systems using halogenated ethane and
benzene model compounds for the halogens F, Cl, Br, and I. The force field
parameters were optimized targeting quantum mechanical dipole moments, water
interactions, and molecular polarizabilities as well as experimental observables,
including enthalpies of vaporization, molecular volumes, hydration free energies,
and dielectric constants. The developed halogenated polarizable force field is
capable of reproducing QM relative energies and geometries of both halogen bonds
and halogen-hydrogen bond donor interactions at an unprecedented level due to the
inclusion of a virtual particle and anisotropic atomic polarizability on the
halogen and, notably, the inclusion of Lennard-Jones parameters on the halogen
Drude particle. The model was validated on the basis of its ability to accurately
reproduce pure solvent properties for halogenated naphthalenes and alkanes,
including species analogous to those used as refrigerants. Accordingly, it is
anticipated that the model will be applicable for the study of halogenated
derivatives in CADD as well as in other chemical and biophysical studies.
PMID- 29357260
TI - Mitochondrial Targeted Doxorubicin-Triphenylphosphonium Delivered by Hyaluronic
Acid Modified and pH Responsive Nanocarriers to Breast Tumor: in Vitro and in
Vivo Studies.
AB - Multidrug resistance (MDR) is the major obstacle for chemotherapy. In a previous
study, we have successfully synthesized a novel doxorubicin (DOX) derivative
modified by triphenylphosphonium (TPP) to realize mitochondrial delivery of DOX
and showed the potential of this compound to overcome DOX resistance in MDA-MB
435/DOX cells. (1) To introduce specificity for DOX-TPP to cancer cells, here we
report on the conjugation of DOX-TPP to hyaluronic acid (HA) by hydrazone bond
with adipic acid dihydrazide (ADH) as the acid-responsive linker, producing HA-
hydra-DOX-TPP nanoparticles. Hyaluronic acid (HA) is a natural water-soluble
linear glycosaminoglycan, which was hypothesized to increase the accumulation of
nanoparticles containing DOX-TPP in the mitochondria of tumor cells upon systemic
administration, overcoming DOX resistance, in vivo. Our results showed HA- hydra
DOX-TPP to self-assemble to core/shell nanoparticles of good dispersibility and
effective release of DOX-TPP from the HA- hydra-DOX-TPP conjugate in cancer
cells, which was followed by enhanced DOX mitochondria accumulation. The HA-
hydra-DOX-TPP nanoparticles also showed improved anticancer effects, better tumor
cell apoptosis, and better safety profile compared to free DOX in MCF-7/ADR
bearing mice.
PMID- 29357261
TI - Food and Drug Administration-mandated Trials of Long-Acting beta-Agonist Safety
in Asthma. Bang for the Buck?
PMID- 29357262
TI - Symposium report on "Dynamic Methods For Improving Undergraduate Physiology
Education": IUPS 38th World Congress.
PMID- 29357264
TI - Ferreting Out the Role of Infection in Cystic Fibrosis Lung Disease.
PMID- 29357263
TI - Reducing Harm Through Litigation Against Opioid Manufacturers? Lessons From the
Tobacco Wars.
PMID- 29357265
TI - Characterization of the "Frequent Exacerbator Phenotype" in Bronchiectasis.
AB - RATIONALE: Exacerbations are key events in the natural history of bronchiectasis,
but clinical predictors and outcomes of patients with frequently exacerbating
disease are not well described. OBJECTIVES: To establish if there is a "frequent
exacerbator phenotype" in bronchiectasis and the impact of exacerbations on long
term clinical outcomes. METHODS: We studied patients with bronchiectasis enrolled
from 10 clinical centers in Europe and Israel, with up to 5 years of follow-up.
Patients were categorized by baseline exacerbation frequency (zero, one, two, or
three or more per year). The repeatability of exacerbation status was assessed,
as well as the independent impact of exacerbation history on hospitalizations,
quality of life, and mortality. MEASUREMENTS AND MAIN RESULTS: A total of 2,572
patients were included. Frequent exacerbations were the strongest predictor of
future exacerbation frequency, suggesting a consistent phenotype. The incident
rate ratios for future exacerbations were 1.73 (95% confidence interval [CI],
1.47-2.02; P < 0.0001) for one exacerbation per year, 3.14 (95% CI, 2.70-3.66; P
< 0.0001) for two exacerbations, and 5.97 (95% CI, 5.27-6.78; P < 0.0001) for
patients with three or more exacerbations per year at baseline. Additional
independent predictors of future exacerbation frequency were Haemophilus
influenzae and Pseudomonas aeruginosa infection, FEV1, radiological severity of
disease, and coexisting chronic obstructive pulmonary disease. Patients with
frequently exacerbating disease had worse quality of life and were more likely to
be hospitalized during follow-up. Mortality over up to 5 years of follow-up
increased with increasing exacerbation frequency. CONCLUSIONS: The frequent
exacerbator phenotype in bronchiectasis is consistent over time and shows high
disease severity, poor quality of life, and increased mortality during follow-up.
PMID- 29357266
TI - Genetic diversity of K-antigen gene clusters of Escherichia coli and their
molecular typing using a suspension array.
AB - Capsular polysaccharides (CPSs), or K-antigens, are the major surface antigens of
Escherichia coli. More than 80 serologically unique K-antigens are classified
into 4 groups (Groups 1-4) of capsules. Groups 1 and 4 contain the Wzy-dependent
polymerization pathway and the gene clusters are in the order galF to gnd; Groups
2 and 3 contain the ABC-transporter-dependent pathway and the gene clusters
consist of 3 regions, regions 1, 2 and 3. Little is known about the variations
among the gene clusters. In this study, 9 serotypes of K-antigen gene clusters
(K2ab, K11, K20, K24, K38, K84, K92, K96, and K102) were sequenced and correlated
with their CPS chemical structures. On the basis of sequence data, a K-antigen
specific suspension array that detects 10 distinct CPSs, including the above 9
CPSs plus K30, was developed. This is the first report to catalog the genetic
features of E. coli K-antigen variations and to develop a suspension array for
their molecular typing. The method has a number of advantages over traditional
bacteriophage and serum agglutination methods and lays the foundation for
straightforward identification and detection of additional K-antigens in the
future.
PMID- 29357267
TI - Variation in behavioral engagement during an active learning activity leads to
differential knowledge gains in college students.
AB - There are many pedagogical techniques used by educators in higher education;
however, some techniques and activities have been shown to be more beneficial to
student learning than others. Research has demonstrated that active learning and
learning in which students cognitively engage with the material in a multitude of
ways result in better understanding and retention. The aim of the present study
was to determine which of three pedagogical techniques led to improvement in
learning and retention in undergraduate college students. Subjects partook in one
of three different types of pedagogical engagement: hands-on learning with a
model, observing someone else manipulate the model, and traditional lecture-based
presentation. Students were then asked to take an online quiz that tested their
knowledge of the new material, both immediately after learning the material and 2
wk later. Students who engaged in direct manipulation of the model scored higher
on the assessment immediately after learning the material compared with the other
two groups. However, there were no differences among the three groups when
assessed after a 2-wk retention interval. Thus active learning techniques that
involve direct interaction with the material can lead to learning benefits;
however, how these techniques benefit long-term retention of the information is
equivocal.
PMID- 29357268
TI - A Moodle-based blended learning solution for physiology education in Montenegro:
a case study.
AB - This study evaluates the impact of web-based blended learning in the physiology
course at the Faculty of Medicine, University of Montenegro. The two main goals
of the study were: to determine the impact of e-learning on student success in
mastering the course, and to assess user satisfaction after the introduction of e
learning. The study compared a group of students who attended the physiology
course before, with a group of students who attended the physiology course after
the Moodle platform was fully implemented as an educational tool. Formative and
summative assessment scores were compared between these two groups. The impact of
high vs. low Moodle use on the assessment scores was analyzed. The satisfaction
among Moodle users was assessed by the survey. The study found that attendance of
face-to-face lectures had a positive impact on academic performance. The
introduction of Moodle in the presented model of teaching increased interest of
students, attendance of face-to-face lectures, as well as formative and summative
scores. High frequency of Moodle use was not always associated with better
academic performance, suggesting that the introduction of a new method of
teaching was most likely equally accepted by low- and high-achieving students.
Most of the students agreed that Moodle was easy to use and it complemented
traditional teaching very well, but it could not completely replace traditional
face-to-face lectures. The study supports continuing the use of web-based
learning in a form of blended learning for physiology, as well as for other
courses in medical education.
PMID- 29357269
TI - Involving a real patient in the design and implementation of case-based learning
to engage learners.
AB - Real patients offer unique opportunities to develop authentic, engaging, and
transformative learning experiences for students. Patients are widely employed to
teach clinical and interpersonal skills in the clerkship phase of their medical
education (M3-M4), but have not been extensively included in the preclinical
curriculum (M1-M2) when students are focused on acquiring foundational biomedical
science knowledge. To maximize learning and help students connect foundational
and clinical science concepts to real-world clinical problems, we involved a real
patient in the creation and implementation of a case-based learning (CBL)
activity in our preclinical curriculum. Using the patient's narrative as a
framework, the CBL addressed relevant aspects of physiology, pathophysiology,
anatomy, pharmacology, and nutrition, as well as clinical care decisions, health
literacy, and medical ethics. The intervention was implemented with the 2019 and
2020 graduating medical school classes during the Gastrointestinal Systems course
in the second year of our curriculum. The results of a survey revealed that,
overall, a majority of the students agreed or strongly agreed that the activity
increased engagement in class, increased the depth of discussion within their
teams, increased the depth of discussion between teams, helped students to apply
basic science concepts to the clinical material in the case, helped students
better understand the disease processes described in the case, enhanced awareness
of the complexity of patient care, provided an authentic learning experience, and
elicited a feeling of empathy.
PMID- 29357270
TI - The K-INBRE symposium: a 10-institution collaboration to improve undergraduate
education.
AB - The Kansas-IDeA Network of Biomedical Research Excellence (K-INBRE) is an
infrastructure-building program funded by the National Institute of General
Medical Sciences. Undergraduate education, through undergraduate research, is a
key component of the program. The K-INBRE network includes 10 higher education
institutions in Kansas and northern Oklahoma, with over 1,000 student
participants in 16 yr. Since 2003, the K-INBRE has held an annual state-wide
research symposium that includes national and regional speakers and provides a
forum for undergraduates to give platform and poster presentations. The symposium
is well attended by K-INBRE participants and has grown to a size of over 300
participants per year from all 10 K-INBRE schools. Two surveys were distributed
to students and mentors to assess the impact of the symposium on student
learning. Surveys (153) were distributed to students who participated in K-INBRE
from 2013 through 2015 with a 51% response rate. Mentors were surveyed with a
response of 111 surveys out of 161. Survey results indicate that students and
mentors alike find the symposium to be beneficial and enriching of the student
experience. Almost 80% of student respondents indicated that their participation
in the symposium fostered appreciation of research. In short, the K-INBRE
symposium provides a unique opportunity for students to gain experience in
collecting, preparing, and communicating research in a professional environment.
The collaborative experience of the annual K-INBRE symposium, the impact it has
on student learning, and how it has influenced the research culture at our 10
institutions will be described.
PMID- 29357271
TI - Using Hawkeye from the Avengers to communicate on the eye.
AB - Superheroes, such as Iron Man, Captain America, Wonder Woman, Batman, and
Hawkeye, have appeared in numerous films, displaying their range of incredible
superpowers and abilities. Therefore, it is unsurprising that many people would
not only wish to attain these powers, but also to learn about scientific
accessibility to these powers. Popular culture characters such as superheroes can
provide a unique platform for the communication of difficult scientific concepts.
In the classroom, these characters can be used to communicate learning objectives
to students in an interesting, fun, and accessible manner by taking advantage of
student familiarity with the characters. Hawkeye, a member of the Avengers, is
one such superhero who can be utilized by educators. His powers can be attributed
in part to his advanced eyesight, which has physiological aspects in common with
many birds of prey. Hence, Hawkeye can instigate discussion on the physiology of
the human eye, while also allowing for comparison with other species, such as
birds of prey, and reflection on advancements related to genetic engineering and
wearable technologies. In addition, in my experience, Hawkeye has proven to be a
highly suitable popular culture character for use in scientific communication and
outreach.
PMID- 29357272
TI - Characterization of Degree of Intestinal Fibrosis in Patients with Crohn Disease
by Using Magnetization Transfer MR Imaging.
AB - Purpose To evaluate the role of magnetization transfer (MT) magnetic resonance
(MR) imaging for the characterization of intestinal fibrosis compared with
contrast material-enhanced and diffusion-weighted MR imaging and its capability
for differentiating fibrotic from inflammatory strictures in humans with Crohn
disease (CD) by using surgical histopathologic analysis as the reference
standard. Materials and Methods Institutional review board approval and informed
consent were obtained for this prospective study. Abdominal MT imaging, contrast
enhanced imaging, and diffusion-weighted imaging of 31 consecutive patients with
CD were analyzed before elective surgery. The bowel wall MT ratio normalized to
skeletal muscle, the apparent diffusion coefficient (ADC), and the percentage of
enhancement gain were calculated; region-by-region correlations with the surgical
specimen were performed to determine the histologic degree of fibrosis and
inflammation. The performance of MT imaging was validated in five new patients.
One-way analysis of variance test, Spearman rank correlation, and receiver
operating characteristic curve were used for statistical analysis. Results
Normalized MT ratios strongly correlated with fibrosis scores (r = 0.769; P =
.000) but did not correlate with inflammation scores (r = -0.034; P = .740).
Significant differences (F = 49.002; P = .000) in normalized MT ratios were found
among nonfibrotic, mildly, moderately, and severely fibrotic walls. The
normalized MT ratios of mixed fibrotic and inflammatory bowel walls were
significantly higher than those of bowel walls with only inflammation present (t
= -8.52; P = .000). A high accuracy of normalized MT ratios was shown with an
area under the receiver operating characteristic curve (AUC) of 0.919 (P = .000)
for differentiating moderately to severely fibrotic bowel walls from nonfibrotic
and mildly fibrotic bowel walls, followed by ADC (AUC, 0.747; P = .001) and the
percentage of enhancement gain (AUC, 0.592; P = .209). The sensitivity,
specificity, and AUC of MT imaging for diagnosing moderate to severe fibrosis in
the validation data set were 80% (12 of 15), 100% (three of three), and 0.9 (P =
.033), respectively. Conclusion MT imaging outperforms ADC and contrast-enhanced
imaging in detecting and distinguishing varying degrees of bowel fibrosis with or
without coexisting inflammation. MT imaging could potentially be used as a method
to differentiate fibrotic from inflammatory intestinal strictures in patients
with CD. (c) RSNA, 2018 Online supplemental material is available for this
article.
PMID- 29357273
TI - Progressive Reduction in Gray Matter in Patients with Schizophrenia Assessed with
MR Imaging by Using Causal Network Analysis.
AB - Purpose To investigate the temporal and causal relationships of structural
changes in the brain in patients with schizophrenia. Materials and Methods T1
weighted magnetic resonance (MR) images of 97 patients with schizophrenia (29
women; mean +/- standard deviation age, 41 years +/- 11.5; range, 16-66 years;
illness duration, 16.3 years +/- 10.9; range, 0-50 years) and 126 age- and sex
matched (38 years +/- 14.9; range, 18-68 years; 42 women) healthy control
subjects were evaluated. The causal network of structural covariance was used to
assess the causal relationships of structural changes in patients with
schizophrenia. This was accomplished by applying Granger causality analysis to
the morphometric T1-weighted images ranked according to duration of disease.
Results With greater disease duration, reduction in gray matter volume began in
the thalamus and progressed to the frontal lobe, and then to the temporal and
occipital cortices as well and the cerebellum (P < .00001, false discovery rate
corrected). The thalamus was shown to be the primary hub of the directional
network and exhibited positive causal effects on the frontal, temporal, and
occipital regions as well as on the cerebellum (P < .05, false discovery rate
corrected). The frontal regions, which were identified to be transitional points,
projected causal effects to the occipital lobe, temporal regions, and the
cerebellum and received causal effects from the thalamus (P < .05, false
discovery rate corrected). Conclusion Schizophrenia shows progression of gray
matter abnormalities over time, with the thalamus as the primary hub and the
frontal regions as prominent nodes. (c) RSNA, 2018 Online supplemental material
is available for this article. An earlier incorrect version of this article
appeared online. This article was corrected on March 5, 2018.
PMID- 29357274
TI - Glioblastoma: Vascular Habitats Detected at Preoperative Dynamic Susceptibility
weighted Contrast-enhanced Perfusion MR Imaging Predict Survival.
AB - Purpose To determine if preoperative vascular heterogeneity of glioblastoma is
predictive of overall survival of patients undergoing standard-of-care treatment
by using an unsupervised multiparametric perfusion-based habitat-discovery
algorithm. Materials and Methods Preoperative magnetic resonance (MR) imaging
including dynamic susceptibility-weighted contrast material-enhanced perfusion
studies in 50 consecutive patients with glioblastoma were retrieved. Perfusion
parameters of glioblastoma were analyzed and used to automatically draw four
reproducible habitats that describe the tumor vascular heterogeneity: high
angiogenic and low-angiogenic regions of the enhancing tumor, potentially tumor
infiltrated peripheral edema, and vasogenic edema. Kaplan-Meier and Cox
proportional hazard analyses were conducted to assess the prognostic potential of
the hemodynamic tissue signature to predict patient survival. Results Cox
regression analysis yielded a significant correlation between patients' survival
and maximum relative cerebral blood volume (rCBVmax) and maximum relative
cerebral blood flow (rCBFmax) in high-angiogenic and low-angiogenic habitats (P <
.01, false discovery rate-corrected P < .05). Moreover, rCBFmax in the
potentially tumor-infiltrated peripheral edema habitat was also significantly
correlated (P < .05, false discovery rate-corrected P < .05). Kaplan-Meier
analysis demonstrated significant differences between the observed survival of
populations divided according to the median of the rCBVmax or rCBFmax at the high
angiogenic and low-angiogenic habitats (log-rank test P < .05, false discovery
rate-corrected P < .05), with an average survival increase of 230 days.
Conclusion Preoperative perfusion heterogeneity contains relevant information
about overall survival in patients who undergo standard-of-care treatment. The
hemodynamic tissue signature method automatically describes this heterogeneity,
providing a set of vascular habitats with high prognostic capabilities. (c) RSNA,
2018.
PMID- 29357275
TI - Prognostic Value of Metabolic and Volumetric Parameters of FDG PET in Pediatric
Osteosarcoma: A Hypothesis-generating Study.
AB - Purpose To preliminarily assess the potential prognostic value of various
fluorine 18 fluorodeoxyglucose (FDG) positron emission tomography (PET)
parameters before, during, and after neoadjuvant chemotherapy (NCT). Materials
and Methods Thirty-four patients with osteosarcoma were enrolled prospectively
from 2008 to 2012 and underwent FDG PET/computed tomography (CT) imaging before
(baseline scan), during (interim scan) and after NCT (posttherapy scan). The
study was approved by the institutional review board and informed consent was
received from patients. Maximum and peak standardized uptake value (SUVmax and
SUVpeak), metabolic tumor volume (MTV), and total lesion glycolysis (TLG) were
measured. Predictive value of FDG PET parameters for event-free survival (EFS)
and overall survival (OS) were evaluated. Multivariable Cox regression analysis
for EFS and OS was performed by using histologic response and initial presence of
metastasis as covariates. Results At baseline scan, SUVpeak, MTV, and TLG were
predictive of EFS (P = .006-.03) and OS (P = .001-.03) but not associated with
histologic response. At interim and posttherapy scan, SUVmax, SUVpeak, MTV, and
TLG were associated with histologic response (P = .0002-.04) and predictive of
EFS (P = .004-.02) and OS (P = .001-.03). Multivariable Cox regression analysis
revealed that the FDG PET parameters either at baseline, interim, or posttherapy
were independently predictive of EFS and OS. In particular, baseline MTV was an
independent predictor of EFS (hazard ratio, 5.0 [95% confidence interval {CI}:
1.5, 16.8]) and OS (hazard ratio, 29.4 [95% CI: 2.2, 392.2]). Conclusion SUVpeak,
MTV, and TLG either at baseline, interim, or posttherapy were predictive of EFS
and OS and may be useful prognostic biomarkers for osteosarcoma. (c) RSNA, 2018
Online supplemental material is available for this article.
PMID- 29357277
TI - The distance decay of similarity in tropical rainforests. A spatial point
processes analytical formulation.
AB - In this paper we are concerned with the analytical description of the change in
floristic composition (species turnover) with the distance between two plots of a
tropical rainforest due to the clustering of the individuals of the different
species. We describe the plant arrangement by a superposition of spatial point
processes and in this framework we introduce an analytical function which
represents the average spatial density of the Sorensen similarity between two
infinitesimal plots at distance r. We see that the decay in similarity with the
distance is essentially described by the pair correlation function of the
superposed process and that it is governed by the most abundant species. We test
our analytical model with empirical data obtained for the Barro Colorado Island
and Pasoh rainforests. To this end we adopt the statistical estimator for the
pair correlation function in Shimatani (2001) and we design a novel one for the
Sorensen similarity. Furthermore, we test our analytical formula by modeling the
forest study area with Neyman-Scott point processes. We conclude comparing the
advantages of our approach with other ones existing in literature.
PMID- 29357276
TI - Dynamic clustering of dynamin-amphiphysin helices regulates membrane constriction
and fission coupled with GTP hydrolysis.
AB - Dynamin is a mechanochemical GTPase essential for membrane fission during
clathrin-mediated endocytosis. Dynamin forms helical complexes at the neck of
clathrin-coated pits and their structural changes coupled with GTP hydrolysis
drive membrane fission. Dynamin and its binding protein amphiphysin cooperatively
regulate membrane remodeling during the fission, but its precise mechanism
remains elusive. In this study, we analyzed structural changes of dynamin
amphiphysin complexes during the membrane fission using electron microscopy (EM)
and high-speed atomic force microscopy (HS-AFM). Interestingly, HS-AFM analyses
show that the dynamin-amphiphysin helices are rearranged to form clusters upon
GTP hydrolysis and membrane constriction occurs at protein-uncoated regions
flanking the clusters. We also show a novel function of amphiphysin in size
control of the clusters to enhance biogenesis of endocytic vesicles. Our
approaches using combination of EM and HS-AFM clearly demonstrate new mechanistic
insights into the dynamics of dynamin-amphiphysin complexes during membrane
fission.
PMID- 29357279
TI - Practice-related changes in eye movement strategy in healthy adults with
simulated hemianopia.
AB - The impact of visual field deficits such as hemianopia can be mitigated by eye
movements that position the visual image within the intact visual field.
Effective eye movement strategies are not observed in all patients, however, and
it is not known whether persistent deficits are due to injury or to pre-existing
individual differences. Here we examined whether repeated exposure to a search
task with rewards for good performance would lead to better eye movement
strategies in healthy individuals. Participants were exposed to simulated
hemianopia during a search task in five testing sessions over five consecutive
days and received monetary payment for improvements in search times. With
practice, most participants made saccades that went further into the blind field
earlier in search, specifically under conditions where little information about
the target location would be gained by inspecting the sighted field. These
changes in search strategy were correlated with reduced search times. This
strategy improvement also generalised to a novel task, with better performance in
naming objects in a photograph under conditions of simulated hemianopia after
practice with visual search compared to a control group. However, even after five
days, eye movements in most participants remained far from optimal. The results
demonstrate the benefits, and limitations, of practice and reward in the
development of effective coping strategies for visual field deficits.
PMID- 29357278
TI - Social factors and aromatase gene expression during adult male-to-female sex
change in captive leopard grouper Mycteroperca rosacea.
AB - Social factors and aromatase gene expression in the leopard grouper Mycteroperca
rosacea was studied when captive fish were separated by sex during the
reproductive (April-June) and post-reproductive (July-September) seasons. Monosex
females, monosex males, and mixed-sex, held in social sextet units were analyzed
for sex steroids throughout confinement. At the end of the experiment, the gonad
sex was defined by histology, and gonad and brain aromatase gene expressions were
quantified. Only males held in the monosex social units changed sex. Histology
showed one male remained unchanged, six were found in a transitional sexual
stage, in which two had intersex-predominantly-testes, and four had a more
defined intersex ovo-testes pattern, and 11 were immature de novo females
(neofemales). Neofemales and most intersex fish did not survive. In spring, 11
ketosterone showed a specific male profile, which suggests that male-to-female
sex change was not triggered during the reproductive season. The low steroid
levels in summer made it impossible to associate the sex change to a gonad
hormonal shift; in September, gonad aromatase gene expression was not
significantly different among groups. However, brain aromatase expression in
intersex fish was significantly higher than monosex females, mixed-sex females,
and neofemale groups. These results suggest that in the absence of female
hormonal compounds, and at a time when male gonad steroidogenesis was diminished,
the brain mediated male-to-male social-behavioral interactions, including stress,
by increasing aromatization, resulting in derived intersex-male, which triggered
more aromatization, followed by a sex change.
PMID- 29357280
TI - Effectiveness of Neuromuscular Electrical Stimulation on Lower Limbs of Patients
With Hemiplegia After Chronic Stroke: A Systematic Review.
AB - OBJECTIVE: To investigate the effectiveness of neuromuscular electrical
stimulation (NMES) with or without other interventions in improving lower limb
activity after chronic stroke. DATA SOURCES: Electronic databases, including
PubMed, EMBase, Cochrane Library, PEDro (Physiotherapy Evidence Database), and
PsycINFO, were searched from the inception to January 2017. STUDY SELECTION: We
selected the randomized controlled trials (RCTs) involving chronic stroke
survivors with lower limb dysfunction and comparing NMES or combined with other
interventions with a control group of no electrical stimulation treatment. DATA
EXTRACTION: The primary outcome was defined as lower limb motor function, and the
secondary outcomes included gait speed, Berg Balance Scale, timed Up and Go, 6
minute walk test, Modified Ashworth Scale, and range of motion. DATA SYNTHESIS:
Twenty-one RCTs involving 1481 participants were identified from 5759 retrieved
articles. Pooled analysis showed that NMES had a moderate but statistically
significant benefit on lower limb motor function (standard mean difference 0.42,
95% confidence interval 0.26-0.58), especially when NMES was combined with other
interventions or treatment time within either 6 or 12 weeks. NMES also had
significant benefits on gait speed, balance, spasticity, and range of motion but
had no significant difference in walking endurance after NMES. CONCLUSIONS: NMES
combined with or without other interventions has beneficial effects in lower limb
motor function in chronic stroke survivors. These data suggest that NMES should
be a promising therapy to apply in chronic stroke rehabilitation to improve the
capability of lower extremity in performing activities.
PMID- 29357281
TI - Leflunomide: A promising drug with good antitumor potential.
AB - Leflunomide, an inhibitor of dihydroorotase dehydrogenase and thereby pyrimidine
synthesis, was approved for treatment of rheumatoid arthritis in 1998. During the
following years, leflunomide was used in various preclinical studies as a
potential cancer treatment; at the same time, more mechanisms underlying the
anticancer effect of leflunomide were identified. Thus, leflunomide has been
identified as a potent anticancer drug. This article summarizes the mechanisms as
well as results of leflunomide in the evolving field of cancer therapy.
PMID- 29357282
TI - Barcoded sequencing workflow for high throughput digitization of hybridoma
antibody variable domain sequences.
AB - Since the invention of Hybridoma technology by Milstein and Kohler in 1975, its
application has greatly advanced the antibody discovery process. The technology
enables both functional screening and long-term archival of the immortalized
monoclonal antibody producing B cells. Despite the dependable cryopreservation
technology for hybridoma cells, practicality of long-term storage has been
outpaced by recent progress in robotics and automations, which enables routine
identification of thousands of antigen specific hybridoma clones. Such throughput
increase imposes two nascent challenges in the antibody discovery process, namely
limited cryopreservation storage space and limited throughput in conventional
antibody sequencing. We herein provide a barcoded sequencing workflow that
utilizes next generation sequencing to expand the conventional sequencing
capacity. Accompanied with the bioinformatics tools we describe, the barcoded
sequencing workflow robustly reports unambiguous antibody sequences as confirmed
with Sanger sequencing controls. In complement with the commonly accessible
recombinant DNA technology, the barcoded sequencing workflow allows for high
throughput digitization of the antibody sequences and provides an effective
solution to the limitations imposed by physical storage and sequencing capacity.
PMID- 29357283
TI - Perdeuteration of cholesterol for neutron scattering applications using
recombinant Pichia pastoris.
AB - Deuteration of biomolecules has a major impact on both quality and scope of
neutron scattering experiments. Cholesterol is a major component of mammalian
cells, where it plays a critical role in membrane permeability, rigidity and
dynamics, and contributes to specific membrane structures such as lipid rafts.
Cholesterol is the main cargo in low and high-density lipoprotein complexes (i.e.
LDL, HDL) and is directly implicated in several pathogenic conditions such as
coronary artery disease which leads to 17 million deaths annually. Neutron
scattering studies on membranes or lipid-protein complexes exploiting contrast
variation have been limited by the lack of availability of fully deuterated
biomolecules and especially perdeuterated cholesterol. The availability of
perdeuterated cholesterol provides a unique way of probing the structural and
dynamical properties of the lipoprotein complexes that underly many of these
disease conditions. Here we describe a procedure for in vivo production of
perdeuterated recombinant cholesterol in lipid-engineered Pichia pastoris using
flask and fed-batch fermenter cultures in deuterated minimal medium.
Perdeuteration of the purified cholesterol was verified by mass spectrometry and
its use in a neutron scattering study was demonstrated by neutron reflectometry
measurements using the FIGARO instrument at the ILL.
PMID- 29357284
TI - Reliability of a two-wavelength autofluorescence technique by Heidelberg
Spectralis to measure macular pigment optical density in Asian subjects.
AB - This study evaluates the accuracy of an objective two-wavelength fundus
autofluorescence technique for the purpose of measuring the macular pigment
optical density (MPOD) in Asian pigmented eyes. Potential differences between
MPOD values obtained via autofluorescence technique and subjective
heterochromatic photometry (HFP) were examined. Inter-examiner reproducibility
between three examiners and test-retest reliability over five time points were
also explored. Subjects were 27 healthy Japanese volunteers aged 24 to 58 (mean
+/- standard deviation, 40.2 +/- 9.0) years. An MPOD module of the Spectralis
MultiColor instrument configuration (Spectralis-MP) was used for the
autofluorescence technique, and a Macular Metrics Densitometer (MM) was used for
HFP. The mean MPOD values at 0.25 degrees and 0.5 degrees eccentricities using
the Spectralis-MP were 0.51 +/- 0.12 and 0.48 +/- 0.13, respectively. In
comparison, the MM based values were 0.72 +/- 0.23 and 0.61 +/- 0.25,
respectively. High correlations between the Spectralis-MP and MM instrument were
found (Pearson's correlation coefficients of 0.73 and 0.87 at 0.25 degrees and
0.5 degrees eccentricities, respectively), but there was a systematic bias: the
MPOD values by MM method were significantly higher than those by Spectralis-MP at
0.25 degrees eccentricity. High inter-examiner reproducibility and test-retest
reliability were found for MM measurements at 0.5 degrees eccentricity, but not
at 0.25 degrees . The Spectralis-MP showed less inter-examiner and test-retest
variability than the MM instrument at 0.25 degrees and 0.5 degrees
eccentricities. We conclude that the Spectralis-MP, given its high agreement with
the HFP method and due to its higher reproducibility and reliability, is well
suited for clinical measurements of MPOD levels in Asian pigmented eyes.
PMID- 29357285
TI - A model for investigating developmental eye repair in Xenopus laevis.
AB - Vertebrate eye development is complex and requires early interactions between
neuroectoderm and surface ectoderm during embryogenesis. In the African clawed
frog, Xenopus laevis, individual eye tissues such as the retina and lens can
undergo regeneration. However, it has been reported that removal of either the
specified eye field at the neurula stage or the eye during tadpole stage does not
induce replacement. Here we describe a model for investigating Xenopus
developmental eye repair. We found that tailbud embryos can readily regrow eyes
after surgical removal of over 83% of the specified eye and lens tissues. The
regrown eye reached a comparable size to the contralateral control by 5 days and
overall animal development was normal. It contained the expected complement of
eye cell types (including the pigmented epithelium, retina and lens), and is
connected to the brain. Our data also demonstrate that apoptosis, an early
mechanism that regulates appendage regeneration, is also required for eye
regrowth. Treatment with apoptosis inhibitors (M50054 or NS3694) blocked eye
regrowth by inhibiting caspase activation. Together, our findings indicate that
frog embryos can undergo successful eye repair after considerable tissue loss and
reveals a required role for apoptosis in this process. Furthermore, this Xenopus
model allows for rapid comparisons of productive eye repair and developmental
pathways. It can also facilitate the molecular dissection of signaling mechanisms
necessary for initiating repair.
PMID- 29357286
TI - Reconstitution of RNA Polymerase I Upstream Activating Factor and the Roles of
Histones H3 and H4 in Complex Assembly.
AB - RNA polymerase I (Pol I) transcription in Saccharomyces cerevisiae requires four
separate factors that recruit Pol I to the promoter to form a pre-initiation
complex. Upstream Activating Factor (UAF) is one of two multi-subunit complexes
that regulate pre-initiation complex formation by binding to the ribosomal DNA
promoter and by stimulating recruitment of downstream Pol I factors. UAF is
composed of Rrn9, Rrn5, Rrn10, Uaf30, and histones H3 and H4. We developed a
recombinant Escherichia coli-based system to coexpress and purify
transcriptionally active UAF complex and to investigate the importance of each
subunit in complex formation. We found that no single subunit is required for UAF
assembly, including histones H3 and H4. We also demonstrate that histone H3 is
able to interact with each UAF-specific subunit, and show that there are at least
two copies of histone H3 and one copy of H4 present in the complex. Together, our
results provide a new model suggesting that UAF contains a hybrid H3-H4 tetramer
like subcomplex.
PMID- 29357287
TI - Interaction of KRas4B protein with C6-ceramide containing lipid model membranes.
AB - Ras proteins are oncoproteins which play a pivotal role in cellular signaling
pathways. All Ras proteins' signaling strongly depends on their correct
localization in the cell membrane. Over 30% of cancers are driven by mutant Ras
proteins, and KRas4B is the Ras isoform most frequently mutated. C6-ceramide has
been shown to inhibit the growth activity of KRas4B mutated cells. However, the
mechanism underlying this inhibition remains elusive. Here, we established a
heterogeneous model biomembrane containing C6-ceramide. C6-ceramide incorporation
does not disrupt the lipid membrane. Addition of KRas4B leads to drastic changes
in the lateral membrane organization of the membrane, however. In contrast to the
partitioning behavior in other membranes, KRas4B forms small, monodisperse
nanoclusters dispersed in a fluid-like environment, in all likelihood induced by
some kind of lipid sorting mechanism. Fluorescence cross-correlation data
indicate no direct interaction between C6-ceramide and KRas4B, suggesting that
KRas4B essentially recruits other lipids. A FRET-based binding assay reveals that
the stability of KRas4B proteins inserted into the membrane containing C6
ceramide is reduced. Based on the combined results obtained, we postulate a
molecular mechanism for the inhibition of KRas4B mutated cells' activity through
C6-ceramide.
PMID- 29357288
TI - Microfluidic platforms for modeling biological barriers in the circulatory
system.
AB - Microfluidic platforms have recently become popular as in vitro models because of
their superiority in recapitulating microenvironments compared with conventional
in vitro models. By providing various biochemical and biomechanical cues, healthy
and diseased models at the organ level can be applied to disease progression and
treatment studies. Microfluidic technologies are especially suitable for modeling
biological barriers because the flow in the microchannels mimics the blood flow
and body fluids at the interfaces of crucial organs, such as lung, intestine,
liver, kidney, brain, and skin. These barriers have similar structures and can be
studied with similar approaches for the testing of pharmaceutical compounds.
Here, we review recent developments in microfluidic platforms for modeling
biological barriers in the circulatory system.
PMID- 29357289
TI - pH-responsive nanoreservoirs based on hyaluronic acid end-capped mesoporous
silica nanoparticles for targeted drug delivery.
AB - Mesoporous silica nanoparticles (MSNs) are greatly appealing for efficient drug
delivery due to their excellent drug loading capacities. However, it remains as a
major challenge to realize site-specific controlled release with MSNs. This work
examines a smart pH-responsive drug release system using MSNs for CD44-targeting
drug delivery. Specifically, hyaluronic acid (HA) was applied as an end-capping
agent to seal drug loads inside the mesoporous of MSNs through the acid labile
hydrazine bonds. HA exposed on the surface of the particles can also serve as a
targeting agent at the same time, enable site specific targeting toward CD-44
overexpressing cells. The system showed a good stability at physiological pHs,
yet drug release could be triggered in response to changes in pH. Further studies
showed that the HA-fabricated particles could achieve much enhanced cellular
uptake via CD44 receptor-mediated endocytosis by Hela cells (CD44 receptor
positive), and as a result, doxorubicin-loaded MSNs exhibited significantly
enhanced drug efficacy toward cancer cells overexpressing CD44 receptor (IC50 =
0.56 MUg/mL), whereas the normal cells showed weakly cytotoxicity (IC50 = 1.03
MUg/mL). Such a fabrication strategy may provide a new platform for preparation
of high performance drug delivery systems for cancer therapy.
PMID- 29357290
TI - Identification of hepatic thyroid hormone-responsive genes in neonatal rats:
Potential targets for thyroid hormone-disrupting chemicals.
AB - There have been many concerns about the possible adverse effects of thyroid
hormone-disrupting chemicals in the environment. Because thyroid hormones are
essential for regulating the growth and differentiation of many tissues,
disruption of thyroid hormones during the neonatal period of an organism might
lead to permanent effects on that organism. We postulated that there are target
genes that are sensitive to thyroid hormones particularly during the neonatal
period and that would thus be susceptible to thyroid hormone-disrupting
chemicals. Global gene expression analysis was used to identify these genes in
the liver of rat neonates. The changes in hepatic gene expression were examined
24 h after administering 1.0, 10, and 100 ng/g body weight (bw) triiodothyronine
(T3) to male rats on postnatal day 3. Thirteen upregulated and four downregulated
genes were identified in the neonatal liver. Among these, Pdp2 and Slc25a25 were
found to be upregulated and more sensitive to T3 than the others, whereas Cyp7b1
and Hdc were found to be downregulated even at the lowest dose of 1.0 ng/g bw T3.
Interestingly, when the responses of gene expression to T3 were examined in adult
rats (8-week old), one-third of them did not respond to T3. The environmental
chemicals with thyroid hormone-like activity, hydroxylated polybrominated
diphenyl ethers, were then administered to neonatal rats to examine the effects
on expression of the identified genes. The results showed that these chemicals
were indeed capable of changing the expression of Slc25a25 and Hdc. Our results
demonstrated a series of hepatic T3-responsive genes that are more sensitive to
hormones during the neonatal period than during adulthood. These genes might be
the potential targets of thyroid hormone-disrupting chemicals in newborns.
PMID- 29357291
TI - Cholangiocytes in health and disease: From basic science to novel treatments.
PMID- 29357293
TI - Mandibular advancement appliances for sleep-disordered breathing in children: A
randomized crossover clinical trial.
AB - OBJECTIVE: To test the short-term effectiveness of a mandibular advancement
splint (MAS) for the management of sleep-disordered breathing (SDB) in children.
METHODS: Eighteen SDB children were enrolled in a crossover randomized clinical
trial and assigned to a treatment sequence starting either with an Active or a
Sham MAS. Each appliance was worn for three weeks and treatment periods were
separated by a two-week washout. Home-based polysomnographic data were collected
before and after each treatment period. In addition, blood samples were collected
at the end of each treatment period to assess serum levels of insulin-like growth
factor-1 (IGF-1). The apnea-hypopnea index (AHI) and snoring time represented the
main outcome variables. Secondary outcomes included IGF-1 levels, and
questionnaire scores for quality of life and behavior. RESULTS: Compared to the
Sham MAS, the wearing of the Active MAS resulted in a significant reduction in
overall AHI (-37%; 95% CI = 15-53%; p = 0.002) and supine AHI (-4.1 events per
hour; 95% CI = 1.8-6.4; p < 0.001). Mean snoring time per night was shorter with
the Active MAS than with the Sham MAS (-46.3 min; 95% CI = 14.5-78.1; p = 0.004).
Wearing of the Active MAS improved the ratings of quality of life and behavior (P
<= 0.028), but there was no evidence that it influenced IGF-1 levels (P = 0.172).
CONCLUSION: Wearing an Active MAS overnight, over a short period can be
beneficial for SDB children, resulting in a clinically relevant reduction of
supine AHI.
PMID- 29357294
TI - Age effects on event-related potentials in individuals with amnestic Mild
Cognitive Impairment during semantic categorization Go/NoGo tasks.
AB - Both age and amnestic Mild Cognitive Impairment (aMCI), two major risk factors
associated with Alzheimer's disease, have been associated with increased latency
of event-related potentials, but how these factors interact has been less
extensively evaluated. We examined the effects of age as a factor in 25
individuals with aMCI and in 25 age-matched normal controls (NC) during semantic
categorization Go/NoGo tasks. We found that N2 latency was prolonged with
increasing age in aMCI but not in the NC, and P3 latency was prolonged with
increasing age in both groups. Furthermore, aMCI individuals showed significant
prolongation in N2 latency compared to NC in the older age group, whereas such
group differences were not observed in the younger age group. Our findings
suggest that N2 latency corresponding to cognitive control is susceptible to a
combination of age and disease effects, especially in older individuals, and thus
may be useful in differentiating normal from pathological aging in this age
group.
PMID- 29357295
TI - Association study of BDNF and DRD3 genes with alcohol use disorder in
Schizophrenia.
AB - Alcohol use disorder (AUD) is a leading risk factor of disease burden in the
world. It is also commonly comorbid with over 20% of schizophrenia patients. The
brain-derived neurotrophic factor (BDNF) and dopamine D3 receptor (DRD3) have
been implicated in alcohol drinking behaviour. Previous genetic studies of the
BDNF and DRD3 genes produced mixed findings; however, only one study investigated
two BDNF genetic markers with alcohol dependence in schizophrenia patients. We
investigated 15 single-nucleotide polymorphisms (SNPs) in DRD3 and four SNPs in
BDNF for possible association with alcohol abuse or dependence in schizophrenia
patients of European ancestry (N = 195). The patients were assessed for the
occurrence of alcohol abuse or alcohol dependence using the Structured Clinical
Interview for DSM-IV Axis I Disorders, Patient Edition (SCID-I/P). We found the
BDNF Val66Met to be associated with alcohol dependence (p = 0.004). We also found
haplotypes across BDNF to be nominally associated with alcohol dependence.
Analyses of DRD3 markers and haplotypes yielded mostly negative findings. Our
findings support a role of the BDNF gene in alcohol dependence in schizophrenia
patients. Larger samples are required to confirm our findings, particularly those
of BDNF haplotypes.
PMID- 29357296
TI - Spontaneous excision and facilitated recovery as a control for phenotypes arising
from RNA interference and other dominant transgenes.
AB - An essential control for genetic manipulation of microbes is the regeneration of
the wild-type state and phenotype to validate that any mutant phenotypes are 'on
target'. For Leishmania gene knockouts, this is often done by re-expression of
the target gene from episomal vectors, often bearing counter-selectable markers.
Methods for similarly validating the outcomes from dominant mutations such as
those arising from RNA interference (RNAi) are needed. We present here such an
approach, relying on facilitated recovery after spontaneous excision - or
'popouts' - of dominant transgenes stably inserted into the ribosomal RNA array,
utilizing GFP as a marker and single cell sorting to recover regenerated WT
controls. We validate its utility using RNA interference knockdowns of the
paraflagellar rod gene PFR2 of L. (Viannia) braziliensis. The method yields
stably modified lines suitable for long term studies of Leishmania virulence,
relies solely on host rather than introduced genetic machinery, and is thus
readily applied in many species and circumstances including functional genetic
testing.
PMID- 29357292
TI - Reproducibility and replicability of rodent phenotyping in preclinical studies.
AB - The scientific community is increasingly concerned with the proportion of
published "discoveries" that are not replicated in subsequent studies. The field
of rodent behavioral phenotyping was one of the first to raise this concern, and
to relate it to other methodological issues: the complex interaction between
genotype and environment; the definitions of behavioral constructs; and the use
of laboratory mice and rats as model species for investigating human health and
disease mechanisms. In January 2015, researchers from various disciplines
gathered at Tel Aviv University to discuss these issues. The general consensus
was that the issue is prevalent and of concern, and should be addressed at the
statistical, methodological and policy levels, but is not so severe as to call
into question the validity and the usefulness of model organisms as a whole. Well
organized community efforts, coupled with improved data and metadata sharing,
have a key role in identifying specific problems and promoting effective
solutions. Replicability is closely related to validity, may affect
generalizability and translation of findings, and has important ethical
implications.
PMID- 29357297
TI - Synergy evaluation of anti-Herpes Simplex Virus type 1 and 2 compounds acting on
different steps of virus life cycle.
AB - Despite the clinical need of novel and safe anti-herpetic compounds effective for
treating both primary infections and reactivations of Herpes Simplex Virus type 1
(HSV-1) and type 2 (HSV-2), the development of novel antivirals approved for
clinical administration has been limited in the last decades to improvements of
nucleoside analogues compounds. In this context, targeting different steps of the
herpesvirus life cycle, including entry and cell-to-cell infection, can represent
an important starting point for obtaining more efficient infection inhibition,
and for overcoming both drug resistance and toxicity. Under these perspectives,
testing possible synergy between drugs currently in clinical use and novel
immunotherapeutics, such as neutralizing human monoclonal antibodies, represents
a fascinating option. In the study here described we tested for the first-time
possible combinations of inhibitors of Herpesvirus DNA synthesis and a human
neutralizing IgG able to block also cell-to-cell infection, by analysing
experimental results with different mathematical models. The present study
clearly highlights the synergism between all anti-herpetic drugs tested in
combination with the mAb; this strongly suggests possible reduction of anti
herpetic drugs combined with the IgG for overcoming drug-related side effects, as
indicated by Drug Reduction Index.
PMID- 29357298
TI - Nonmetastatic Castration-resistant Prostate Cancer: A Modern Perspective.
AB - Nonmetastatic castration-resistant prostate cancer (nmCRPC) presents a challenge
to urologists as currently there are no Food and Drug Administration-approved
therapies. However, there are new imaging modalities, including fluciclovine
positron emission tomography-computed tomography and Ga-PSMA (prostate specific
membrane antigent) positron emission tomography-computed tomography, which are
improving accuracy of diagnosis. With improved imaging, we are better able to
target therapy. Today there are 3 ongoing clinical trials studying second
generation antiandrogens in nmCRPC, which hold the promise of a new treatment
paradigm. In this article, we will review the new imaging techniques and the
rationale behind novel treatment modalities in nmCRPC.
PMID- 29357299
TI - Fusion genes: A promising tool combating against cancer.
AB - The driving roles of fusion genes during tumorigenesis have been recognized for
decades, with efficacies demonstrated in clinical diagnosis and targeted therapy.
With advances in sequencing technologies and computational biology, a surge in
the identification of fusion genes has been witnessed during the past decade. The
discovery and presence of splicing based fusions in normal tissues have
challenged our canonical conceptions on fusion genes and offered us novel medical
opportunities. The specificity of fusion genes to neoplastic tissues and their
diverse functionalities during carcinogenesis foster them as promising tools in
the battle against cancer. It is time to re-visit and comb through our cutting
edge knowledge on fusion genes to accelerate clinical translation of these
internal markers. Urged as such, we are encouraged to categorize fusion events
according to mechanisms leading to their generation, oncological consequences and
clinical implications, offer insights on fusion occurrence across tumors from the
system level, highlight feasible practices in fusion-related pharmaceutical
development, and identify understudied yet important niches that may lead future
research trend in this field.
PMID- 29357300
TI - MICAN, a new fluorophore for vital and non-vital staining of human cells.
AB - Fluorescence time-lapse microscopy is in connection with the invasive properties
of fluorochrome applied, and with the toxicity of the excitation energy and
wavelength of the dye itself. Experiments with the newly synthesized fluorescent
dye 1-N-methylamino-5-isocyanonaphthalene (MICAN) served to test its cytotoxicity
on human HaCaT keratinocyte cell cultures. Experiments related to staining
capability were performed with paraformaldehyde (PFA) fixed cells and observed
with fluorescence microscope. It was assumed that the fluorophore 1-amino-5
isocyanonaphthalene (ICAN) and especially its N-methylamino derivative MICAN,
containing condensed aromatic rings could serve as a nonselective fluorescent dye
capable to stain cellular structures of fixed, living, damaged and dead cells.
This notion was confirmed by the MICAN staining of cytoplasmic proteins primarily
rough endoplasmic reticulum (RER), smooth endoplasmic reticulum (SEM) and less
efficiently nuclear proteins suggesting the involvement of staining of
subcellular structures involved in protein synthesis. MICAN was not only well
tolerated by living cells but turned out to be a strong heterochromatin and RER
staining agent. This led to the development of a MICAN staining protocol for
native and living samples. Relative to other fluorescent dyes, MICAN is not only
useful but also cost-effective. Toxicology tests were performed using 30, 10, 5,
0.5 MUg/ml MICAN concentrations. Time-lapse videomicroscopy at near-infrared
(NIR) illumination has been used for the examination of MICAN effect on cell
division. It was found that MICAN as a vital stain had no significant harmful
effect on HaCaT cells. MICAN turned out to be a non-toxic, highly quantum
efficient vital stain with minimal, or no photobleaching, and can be applied to
co-stain with propidium-iodide due the strong spectral separation.
PMID- 29357301
TI - Delivery of cellular factors to regulate bone healing.
AB - Bone tissue has a strong intrinsic regenerative capacity, thanks to a delicate
and complex interplay of cellular and molecular processes, which tightly involve
the immune system. Pathological settings of anatomical, biomechanical or
inflammatory nature may lead to impaired bone healing. Innovative strategies to
enhance bone repair, including the delivery of osteoprogenitor cells or of potent
cytokines/morphogens, indicate the potential of 'orthobiologics', but are not
fully satisfactory. Here, we review different approaches based on the delivery of
regenerative cues produced by cells but in cell-free, possibly off-the-shelf
configurations. Such strategies exploit the paracrine effect of the secretome of
mesenchymal stem/stromal cells, presented in soluble form, shuttled through
extracellular vesicles, or embedded within the network of extracellular matrix
molecules. In addition to osteoinductive molecules, attention is given to factors
targeting the resident immune cells, to reshape inflammatory and immunity
processes from scarring to regenerative patterns.
PMID- 29357303
TI - Single-step genomic evaluation improves accuracy of breeding value predictions
for resistance to infectious pancreatic necrosis virus in rainbow trout.
AB - The aim of this study was to compare the accuracy of breeding values (EBVs)
predicted using the traditional pedigree based Best Linear Unbiased Prediction
(PBLUP) and the single-step genomic Best Linear Unbiased Prediction (ssGBLUP) for
resistance against infectious pancreatic necrosis virus (IPNV) in rainbow trout.
A total of 2278 animals were challenged against IPNV and 768 individuals were
genotyped using a 57 K single nucleotide polymorphism array for rainbow trout.
Accuracies for both methods were assessed using five-fold cross-validation. The
heritabilities were higher for PBLUP compared to ssGBLUP. The ssGBLUP accuracies
outperformed PBLUP in 7 and 11% for days to death and binary survival,
respectively. The ssGBLUP could be an alternative approach to improve the
accuracy of breeding values for resistance against infectious pancreatic necrosis
virus in rainbow trout, using information from genotyped and non-genotyped
animals.
PMID- 29357302
TI - Role of the inositol pyrophosphate multikinase Kcs1 in Cryptococcus inositol
metabolism.
AB - Cryptococcus neoformans is the most common cause of deadly fungal meningitis.
This fungus has a complex inositol acquisition and utilization system, and our
previous studies have shown the importance of inositol utilization in
cryptococcal development and virulence. However, how inositol utilization is
regulated in this fungus remains unknown. In this study, we found that inositol,
irrespective of the presence of glucose in the media, represses the expression of
C. neoformans genes involved in inositol pyrophosphate biosynthesis, including
the gene encoding inositol hexakisphosphate kinase Kcs1. Kcs1 was recently
reported to regulate inositol metabolism in Saccharomyces cerevisiae and to
impact virulence in C. neoformans. To examine the potential role of Kcs1 in
inositol regulation in C. neoformans, we generated the kcs1Delta mutant and
compared its phenotype with the wild type strain. We found that Kcs1 negatively
regulates inositol uptake and catabolism in C. neoformans, but, in contrast to
Kcs1 function in S. cerevisiae, does not appear to regulate inositol
biosynthesis. Together, these results show that Kcs1 functions to fine-tune
inositol acquisition to maintain inositol homeostasis in C. neoformans.
PMID- 29357304
TI - Infection with anthroponotic Cryptosporidium parvum does not fully protect the
host against a subsequent challenge with C. hominis.
AB - Cryptosporidium hominis and Cryptosporidium parvum are the major Cryptosporidium
species that infect humans. Earlier studies in gnotobiotic piglets, model
susceptible to both, showed that piglets recovered from infection with C. hominis
were fully protected against challenge with same species but incompletely
protected against C. parvum challenge. In the present study, piglets were
infected with C. parvum first, and after recovery were re-challenged with C.
parvum or C. hominis. Again, full protection was only observed when piglets were
challenged with the homologous parasite strain. Although the two species are
genetically/antigenically almost identical, they do not confer complete
protection against each other.
PMID- 29357305
TI - Carotid intima-media thickness and anti-hypertensive treatment: Focus on
angiotensin II receptor blockers.
AB - Carotid intima-media thickness (CIMT), as assessed by ultrasonography, has been
shown to be directly related to cardiovascular (CV) morbidity and mortality
independently of conventional risk factors. Thus, CIMT has been proposed as a
marker of CV risk and a surrogate end-point for therapeutic interventions. In the
present article we will review available literature about CIMT
clinical/prognostic significance in order to offer an updated comprehensive
information on this topic. In particular, the anti-atherosclerotic effect of
angiotensin II receptor blockers (ARBs) in the hypertensive setting will be
addressed, based on findings provided by double blind, randomized, prospective
studies comparing CIMT longitudinal changes. Our review, including 8 studies
totaling 1154 hypertensive participants, shows that ARBs are as effective as the
other classes of antihypertensive drugs in preventing/regressing subclinical
carotid damage and that findings supporting their superiority in this field are
limited and not univocal. Future studies aimed to clarify the therapeutic impact
of ARBs on CIMT changes and their prognostic implications are warranted.
PMID- 29357306
TI - Intermittent intense exercise protects against cognitive decline in a similar
manner to moderate exercise in chronically stressed mice.
AB - It is well known that regular low or mild exercise helps to improve and maintain
cognition. On the other hand, ever thought many people prefer high-intensity
exercise (e.g., running, swimming, biking, soccer, basketball, etc.) to get rid
of stress or improve their health, the previous studies reported that intense
exercise either impairs cognition or has no effect on cognitive function.
However, we previously showed that intermittent intense exercise prevents stress
induced depressive behavior in mice in a similar manner to moderate exercise. On
the basis of this finding, we investigated the effect of intermittent intense
exercise on cognitive deficit in chronically stressed mice. A total of forty mice
were evenly divided into control, stressed, stressed with moderate exercise, and
stressed with intense exercise groups. The stressed mice were chronically exposed
a restraint stress (10 h/day, 6 days/week for 7 weeks). The exercised mice were
subjected to intermittent intense or endurance moderate running on the treadmill
three times a week. Cognition was evaluated using the Morris water maze test and
the object recognition test. Chronic stress decreased cognition, and newborn cell
survival and blood vessel density in the hippocampus. However, both regular
intense and moderate exercise prevented decrease of cognition, improved newborn
cell survival and blood vessel density. These findings suggest that intermittent
intense exercise may protect against decrease of cognition in a similar manner to
moderate exercise and that both exercise-induced protection of decrease of
cognition is closely related to newborn cell survival and angiogenesis in the
hippocampus.
PMID- 29357307
TI - Epigenetics, microbiota, and intraocular inflammation: New paradigms of immune
regulation in the eye.
AB - Sight threatening immune responses that damage the eye characterize intraocular
inflammatory diseases. These diseases including uveitis and age-related macular
degeneration are worryingly common and quality of life shattering. Genetic
studies in past decades significantly advanced our understanding of the etiology
of these devastating diseases. Unfortunately, patient genetics alone failed to
adequately explain disease origin, susceptibility, and progression. Non-genetic
factors such as the epigenetic regulation of ocular diseases and the
environmental factors triggering intraocular inflammation offer new insight into
intraocular inflammatory disorders. Importantly, mounting evidence is signaling
that dysbiosis of human microbiota leads to rapid epigenomic reprograming of host
cells and results in the onset of many diseases. In this review, we discuss how
epigenetic mechanisms and microbiota may cooperate to initiate and perpetuate
ocular inflammation. Lastly, we propose that the discovery of intraocular
microbiota presents a significant shift in thought affecting current approaches
to the diagnosis, treatment, and prevention of intraocular inflammatory diseases
such as uveitis and age-related macular degeneration. The geographical and
genetic background difference in both disease presentation and genetic
association of intraocular inflammatory diseases may be due to the variation of
intraocular microbiota.
PMID- 29357309
TI - Simple Improvisation to Enhance Utility of Fluorescein Sodium in Resection of
Intracranial Lesions at Routine Neurosurgical Centers.
AB - INTRODUCTION: Fluorescein sodium is one of the fluorophores that is used in the
resection of intracranial lesions. It is commonly used along with a customized
microscope, which is expensive and not available universally. In this study, we
describe a simple, inexpensive method for better visualization of intracranial
and spinal cord lesions with fluorescein. MATERIALS AND METHODS: After a test
dose, 20 mg/kg of fluorescein sodium was administered intravenously at the time
of intubation. A blue light source was used before resection for precise
localization of the intracranial lesions after durotomy. Most of the resection
was done under the white light, while the blue light was used intermittently to
delineate the pathologic tissue from the normal tissue and to ensure safe maximal
resection. The intensity of fluorescein staining under white light and blue light
was noted. RESULTS: The study comprised 40 cases of gliomas, meningiomas,
abscesses, spinal cord tumors, and cerebellopontine angle lesions. Thirty-five
lesions showed good fluorescence under the blue light, which helped us achieve
better resection of the pathologic lesions. CONCLUSIONS: Fluorescein sodium is a
safe dye; it can be used to aid in precise localization and safe maximal
resection of the pathologic tissue with the help of a blue light source at any
center with challenged resources. The blue light enhances the fluorescence and
visualization of the pathologic tissue, and this technique can be adopted by any
surgeon without much difficulty even with a basic neurosurgical setup.
PMID- 29357310
TI - Early Childhood Stress and Child Age Predict Longitudinal Increases in Obesogenic
Eating Among Low-Income Children.
AB - OBJECTIVE: To identify whether psychosocial stress exposure during early
childhood predicts subsequent increased eating in the absence of hunger (EAH),
emotional overeating, food responsiveness, and enjoyment of food. METHODS: This
was an observational longitudinal study. Among 207 low-income children (54.6% non
Hispanic white, 46.9% girls), early childhood stress exposure was measured by
parent report and a stress exposure index calculated, with higher scores
indicating more stress exposure. Eating behaviors were measured in early (mean,
4.3; standard deviation, 0.5 years) and middle (mean, 7.9; standard deviation,
0.7 years) childhood. Observed EAH was assessed by measuring kilocalories of
palatable food the child consumed after a meal. Parents reported on child eating
behaviors on the Child Eating Behavior Questionnaire. Child weight and height
were measured and body mass index z score (BMIz) calculated. Multivariable linear
regression, adjusting for child sex, race/ethnicity, and BMIz, was used to
examine the association of stress exposure with rate of change per year in each
child eating behavior. RESULTS: Early childhood stress exposure predicted yearly
increases in EAH (beta = 0.14; 95% confidence interval, 0.002, 0.27) and
Emotional Overeating (beta = 0.14; 95% confidence interval, 0.008, 0.27). Stress
exposure was not associated with Food Responsiveness (trend for decreased
Enjoyment of Food; beta = -0.13; 95% confidence interval, 0.002, -0.26). All
child obesogenic eating behaviors increased with age (P < .05). CONCLUSIONS:
Early stress exposure predicted increases in child eating behaviors known to
associate with overweight/obesity. Psychosocial stress may confer
overweight/obesity risk through eating behavior pathways. Targeting eating
behaviors may be an important prevention strategy for children exposed to stress.
PMID- 29357311
TI - d-Amino acids in molecular evolution in space - Absolute asymmetric photolysis
and synthesis of amino acids by circularly polarized light.
AB - Living organisms on the Earth almost exclusively use l-amino acids for the
molecular architecture of proteins. The biological occurrence of d-amino acids is
rare, although their functions in various organisms are being gradually
understood. A possible explanation for the origin of biomolecular homochirality
is the delivery of enantioenriched molecules via extraterrestrial bodies, such as
asteroids and comets on early Earth. For the asymmetric formation of amino acids
and their precursor molecules in interstellar environments, the interaction with
circularly polarized photons is considered to have played a potential role in
causing chiral asymmetry. In this review, we summarize recent progress in the
investigation of chirality transfer from chiral photons to amino acids involving
the two major processes of asymmetric photolysis and asymmetric synthesis. We
will discuss analytical data on cometary and meteoritic amino acids and their
potential impact delivery to the early Earth. The ongoing and future ambitious
space missions, Hayabusa2, OSIRIS-REx, ExoMars 2020, and MMX, are scheduled to
provide new insights into the chirality of extraterrestrial organic molecules and
their potential relation to the terrestrial homochirality. This article is part
of a Special Issue entitled: d-Amino acids: biology in the mirror, edited by Dr.
Loredano Pollegioni, Dr. Jean-Pierre Mothet and Dr. Molla Gianluca.
PMID- 29357308
TI - Systemic inflammation as a predictor of brain aging: Contributions of physical
activity, metabolic risk, and genetic risk.
AB - Inflammatory processes may contribute to risk for Alzheimer's disease (AD) and
age-related brain degeneration. Metabolic and genetic risk factors, and physical
activity may, in turn, influence these inflammatory processes. Some of these risk
factors are modifiable, and interact with each other. Understanding how these
processes together relate to brain aging will help to inform future interventions
to treat or prevent cognitive decline. We used brain magnetic resonance imaging
(MRI) to scan 335 older adult humans (mean age 77.3 +/- 3.4 years) who remained
non-demented for the duration of the 9-year longitudinal study. We used
structural equation modeling (SEM) in a subset of 226 adults to evaluate whether
measures of baseline peripheral inflammation (serum C-reactive protein levels;
CRP), mediated the baseline contributions of genetic and metabolic risk, and
physical activity, to regional cortical thickness in AD-relevant brain regions at
study year 9. We found that both baseline metabolic risk and AD risk variant
apolipoprotein E epsilon4 (APOE4), modulated baseline serum CRP. Higher baseline
CRP levels, in turn, predicted thinner regional cortex at year 9, and mediated an
effect between higher metabolic risk and thinner cortex in those regions. A
higher polygenic risk score composed of variants in immune-associated AD risk
genes (other than APOE) was associated with thinner regional cortex. However, CRP
levels did not mediate this effect, suggesting that other mechanisms may be
responsible for the elevated AD risk. We found interactions between genetic and
environmental factors and structural brain health. Our findings support the role
of metabolic risk and peripheral inflammation in age-related brain decline.
PMID- 29357312
TI - Pressure algometry is an excellent tool to measure knee pain relief after a
closing-wedge high tibial osteotomy.
AB - PURPOSE: The aim of this study was to assess the utility of pressure algometry
(PA) to measure pain relief in the medial part of the knee after a closing-wedge
high tibial osteotomy (CWHTO). METHODS: Prospective study including 44 CWHTO.
Pain relief was evaluated with the visual analogue scale (VAS) and PA, a
radiological study was done and a functional assessment was carried out with the
KSS preoperatively, at 6-months and at 1-year after the surgery. PA was applied
to the medial and lateral part of the knee and to the infra-clavicular fossa as a
control point. RESULTS: The mechanical femorotibial angle was changed from 172.2
degrees (SD2.2) to 180.6 degrees (SD2.6) (p=0.00). KSS Knee improved from 53.4
(SD11.2) to 92.8 (SD7.3) (p=0.00), KSS Function from 69.4 (SD9.3) to 93.1 (SD8)
(p=0.00). The VAS went from 6.84 (SD1.5) to 2.5 (SD2.1) (p=0.00) at the 1-year
follow-up. The pressure pain threshold (PPT), measured with PA in the medial part
of the knee also improved from 348.8kPa (SD159.3) to 447.1kPa (SD218.8) (p=0.01).
However, the PPT in the lateral part of the knee and in the sub-clavicular fossa
remained the same from the preoperative period to 6-months and 1-year,
postoperatively. Neither were there any differences between the 6-month and 1
year postoperative values in terms of the different functional, radiological and
pain relief obtained. CONCLUSION: The pain relief obtained after a CWHTO in the
medial part of the knee can be measured by using PA. Furthermore, the functional
and pain improvement obtained at 1-year follow-up is no better than those
obtained at 6-months postoperatively.
PMID- 29357313
TI - Addressing people and place microenvironments in weight loss disparities (APP
Me): Design of a randomized controlled trial testing timely messages for weight
loss behavior in low income Black and White Women.
AB - BACKGROUND: Behavioral interventions for weight loss have been less effective in
lower income and black women. These poorer outcomes may in part be related to
these women having more frequent exposures to social and physical situations that
are obesogenic, i.e., eating and sedentary cues or situations. OBJECTIVES:
Working with obese, lower income Black and White Women, Addressing People and
Place Microenvironments (APP-Me) was designed to create awareness of self
behavior at times and places of frequent eating and sedentary behavior. DESIGN:
APP-Me is being evaluated in a randomized controlled trial with 240 participants
recruited from federally qualified health centers located in a single Midwestern
city. All participants complete four weeks of ecological momentary assessments
(EMA) of situations and behavior. At the end of the four weeks, participants are
randomized to enhanced usual care (UC) or UC plus APP-Me. METHODS: APP-Me is an
automated short messaging system (SMS). Messages are text, image, audio, or a
combination, and are delivered to participants' mobile devices with the intent of
creating awareness at the times and places of frequent eating or sedentary
behavior. The EMA data inform the timing of message deliveries. SUMMARY: This
project aims to create and test timely awareness messages in a subpopulation that
has not responded well to traditional behavioral interventions for weight loss.
Novel aspects of the study include the involvement of a low income population,
the use of data on time and place of obesogenic behavior, and message delivery
time tailored to an individual's behavioral patterns.
PMID- 29357314
TI - The effects of estrogen deficiency on cortical bone microporosity and
mineralization.
AB - Recent studies have demonstrated matrix-mineral alterations in bone tissue
surrounding osteocytes in estrogen-deficient animals. While cortical bone
porosity has been shown to be a contributor to the mechanical properties of bone
tissue, little analysis has been done to investigate the effects of estrogen
deficiency on bone's microporosities, including the vascular and osteocyte
lacunar porosities. In this study we examined alterations in cortical bone
microporosity, mineralization, and cancellous bone architecture due to estrogen
deficiency in the ovariectomized rat model of postmenopausal osteoporosis. Twenty
week-old female Sprague-Dawley rats were subjected to either ovariectomy or sham
surgery. Six weeks post-surgery tibiae were analyzed using high-resolution micro
CT, backscattered electron imaging, nanoindentation, and dynamic
histomorphometry. Estrogen deficiency caused an increase in cortical bone
vascular porosity, with enlarged vascular pores and little change in tissue
mineral density in the proximal tibial metaphysis. Measurements of cancellous
architecture corresponded to previous studies reporting a decrease in bone volume
fraction, an increase in trabecular separation, and a decrease in trabecular
number in the proximal tibia due to estrogen deficiency. Nanoindentation results
showed no differences in matrix stiffness in osteocyte-rich areas of the proximal
tibia of estrogen-deficient rats, and bone labeling and backscattered electron
imaging showed no significant changes in mineralization around the vascular
pores. The findings demonstrate local surface alterations of vascular pores due
to estrogen deficiency. An increase in cortical vascular porosity may diminish
bone strength as well as alter bone mechanotransduction via interstitial fluid
flow, both of which could contribute to bone fragility during postmenopausal
osteoporosis.
PMID- 29357315
TI - Different impact of prism adaptation rehabilitation in spatial neglect and
anosognosia for hemiplegia.
PMID- 29357317
TI - Recurrence in Deep Infiltrating Endometriosis: A Systematic Review of the
Literature.
AB - Deep infiltrative endometriosis (DIE) is an enigmatic disease that typically
impacts the rectovaginal septum, uterosacral ligaments, pararectal space, and
vesicouterine fold but can involve the rectum, sigma, ileum, ureters, diaphragm,
and other less common sites. Surgery is the treatment of choice because medical
management alone commonly fails in controlling the symptoms although recurrence
is very high after surgical treatment. The goal of the current study was to
review recurrence rates and identify risk factors related to recurrence after
surgery for DIE. The review involved searching the Cochrane Library, PubMed, and
Google Scholar for relevant articles in accordance with the study's inclusion
criteria; 45 studies were considered suitable. The results showed a wide
heterogeneity regarding DIE recurrence because of inconsistent recurrence
definitions and follow-up length. Younger age and high body mass index were found
to be risk factors for DIE recurrence. Lack of complete surgical excision was
another independent risk factor for recurrence of disease. In conclusion, there
is a need for prospective studies and a more homogeneous standard for surgical
treatment of DIE.
PMID- 29357316
TI - A novel mode for transcription inhibition mediated by PNA-induced R-loops with a
model in vitro system.
AB - The selective inhibition of transcription of a chosen gene by an artificial agent
has numerous applications. Usually, these agents are designed to bind a specific
nucleotide sequence in the promoter or within the transcribed region of the
chosen gene. However, since optimal binding sites might not exist within the
gene, it is of interest to explore the possibility of transcription inhibition
when the agent is designed to bind at other locations. One of these possibilities
arises when an additional transcription initiation site (e.g. secondary promoter)
is present upstream from the primary promoter of the target gene. In this case,
transcription inhibition might be achieved by inducing the formation of an RNA
DNA hybrid (R-loop) upon transcription from the secondary promoter. The R-loop
could extend into the region of the primary promoter, to interfere with promoter
recognition by RNA polymerase and thereby inhibit transcription. As a sequence
specific R-loop-inducing agent, a peptide nucleic acid (PNA) could be designed to
facilitate R-loop formation by sequestering the non-template DNA strand. To
investigate this mode for transcription inhibition, we have employed a model
system in which a PNA binding site is localized between the T3 and T7 phage RNA
polymerase promoters, which respectively assume the roles of primary and
secondary promoters. In accord with our model, we have demonstrated that with PNA
bound DNA substrates, transcription from the T7 promoter reduces transcription
from the T3 promoter by 30-fold, while in the absence of PNA binding there is no
significant effect of T7 transcription upon T3 transcription.
PMID- 29357318
TI - Ayahuasca: A risk for travellers?
PMID- 29357319
TI - Microbial nanowires - Electron transport and the role of synthetic analogues.
AB - : Electron transfer is central to cellular life, from photosynthesis to
respiration. In the case of anaerobic respiration, some microbes have
extracellular appendages that can be utilised to transport electrons over great
distances. Two model organisms heavily studied in this arena are Shewanella
oneidensis and Geobacter sulfurreducens. There is some debate over how, in
particular, the Geobacter sulfurreducens nanowires (formed from pilin
nanofilaments) are capable of achieving the impressive feats of natural
conductivity that they display. In this article, we outline the mechanisms of
electron transfer through delocalised electron transport, quantum tunnelling, and
hopping as they pertain to biomaterials. These are described along with existing
examples of the different types of conductivity observed in natural systems such
as DNA and proteins in order to provide context for understanding the
complexities involved in studying the electron transport properties of these
unique nanowires. We then introduce some synthetic analogues, made using
peptides, which may assist in resolving this debate. Microbial nanowires and the
synthetic analogues thereof are of particular interest, not just for
biogeochemistry, but also for the exciting potential bioelectronic and clinical
applications as covered in the final section of the review. STATEMENT OF
SIGNIFICANCE: Some microbes have extracellular appendages that transport
electrons over vast distances in order to respire, such as the dissimilatory
metal-reducing bacteria Geobacter sulfurreducens. There is significant debate
over how G. sulfurreducens nanowires are capable of achieving the impressive
feats of natural conductivity that they display: This mechanism is a fundamental
scientific challenge, with important environmental and technological
implications. Through outlining the techniques and outcomes of investigations
into the mechanisms of such protein-based nanofibrils, we provide a platform for
the general study of the electronic properties of biomaterials. The implications
are broad-reaching, with fundamental investigations into electron transfer
processes in natural and biomimetic materials underway. From these studies,
applications in the medical, energy, and IT industries can be developed utilising
bioelectronics.
PMID- 29357320
TI - Ginsenoside Rb1 Protects the Brain from Damage Induced by Epileptic Seizure via
Nrf2/ARE Signaling.
AB - BACKGROUND/AIMS: Ginsenoside Rb1 (Rb1) has been reported to have varieties of
neuroprotective effects. This study aimed to evaluate the effects of Rb1 on
pentylenetetrazol (PTZ)-induced rat brain injury and Mg2+ free-induced neuron
injury and analyzed the detailed molecular mechanisms in vivo and in vitro.
METHODS: Seizure duration and latency were measured in epilepsy kindled rat. The
cognitive impairment was assessed by Morris water maze (MWM) test. Oxidative
stress parameters, malondialdehyde (MDA) and glutathione (GSH) were measured by
the 2-thiobarbituric acid methods and the DTNB-GSSG reductase recycling methods.
Neuronal damage was assessed by hematoxylin and eosin (H&E) and Nissl staining.
Neuronal apoptosis was measured by Annexin V-FITC and propidium iodide (PI)
staining. Immunohistochemistry and immunofluorescence staining were performed to
evaluate Nrf2 and HO-1 expressions. Expression of Nrf2, HO-1, Bcl-2, iNOS and LC3
were evaluated by western blot. RESULTS: The PTZ-injured rats presented longer
seizure duration and shorter seizure latency. Rb1 ameliorated these effects, as
well as the cognitive deficits caused by PTZ exposure. Besides, Rb1 dose
dependently increased GSH levels, decreased MDA levels and alleviated neuronal
damage in PTZ-treated rats. In vitro, Rb1 increased cell viability and decreased
neuronal apoptosis in a dose-dependent manner under Mg2+ free condition.
Moreover, in vivo and in vitro, Rb1 enhanced both the Nrf2 and HO-1 expressions.
Furthermore, upregulation of the expression of Bcl-2 and downregulation of the
expression of iNOS and LC3 were observed. However, knockdown of Nrf2 adversely
affected the protective effects of Rb1 in epileptic hippocampal neurons.
CONCLUSION: Rb1 conferred neuroprotective effects against PTZ-induced brain
damage and Mg2+ free-induced neuron injury by activating Nrf2/ARE signaling.
PMID- 29357321
TI - B Subunit of Human Chorionic Gonadotropin Promotes Tumor Invasion and Predicts
Poor Prognosis of Early-Stage Colorectal Cancer.
AB - BACKGROUND/AIMS: It is well established that many non-trophoblastic tumors
secrete HCG (human chorionic gonadotropin) and that such secretion is correlated
with the poor prognosis of tumor patients. This study aims to analyze the
correlation between beta-HCG expression and outcome of colorectal cancer (CRC)
and understand its role in CRC pathology Methods: We detected the mRNA and
protein expression of beta-HCG in human CRC tissues with RT-qPCR and
immunohistochemistry, and we compared the clinical-pathological characteristics,
prognosis and progression between the beta-HCG positive and negative groups. We
also generated CRC cell lines with beta-HCG over-expression as well as beta-HCG
stable knockout, and evaluated cell function and mechanism in vitro and in vivo.
RESULTS: Fifty out of 136 CRC patients (37%) expressed beta-HCG at the invasive
front. Clinical-pathological data showed that beta-HCG was positively correlated
with Dukes staging (P=0.031) and lymph node metastasis (P=0.012). Survival
analysis suggested that the patients with high expression of beta-HCG had poorer
prognosis than those with low beta-HCG expression (P=0.0289). beta-HCG expression
level was also positively correlated with tumor invasion in early-stage CRC
patient tissues (P=0.0227). Additionally beta-HCG promoted the migration and
invasion of CRC in vitro and in vivo but had no effect on the proliferation of
tumor cells. CONCLUSION: Our study demonstrated that beta-HCG was ectopically
expressed in the CRC patients and its high expression correlated with poor
prognosis of early-stage CRC. Additionally it worked as an oncogene that promotes
the migration and invasion of CRC by epithelial-mesenchymal transition (EMT).
PMID- 29357322
TI - Hypoxia Suppresses TGF-B1-Induced Cardiac Myocyte Myofibroblast Transformation by
Inhibiting Smad2/3 and Rhoa Signaling Pathways.
AB - BACKGROUND/AIMS: Hypoxia modulation of transforming growth factor (TGF)- beta
induced signaling during myofibroblast transformation is dependent on the
specific cell type. The purpose of this study was to explore the effects of
hypoxia on myofibroblast transformation of TGF-beta1-induced cardiomyocyte H9c2
cells. METHODS: H9c2 cells were cultured for intermittent hypoxia treatment and
TGF-beta1 treatment. alpha-Smooth muscle actin (alpha-SMA) expression was
examined by western blotting and immunofluorescence after treatment. To further
explore the possible mechanism for this effect, the effects of hypoxia on three
early TGF-beta-dependent signaling pathways, i.e. the Smad2/3, RhoA and mitogen
activated protein kinase (MAPK) pathways, were screened by western blotting.
RESULTS: Intermittent hypoxia induced TGF-beta1 expression, but had no effect on
alpha-SMA expression. Exogenous TGF-beta1 alone upregulated alpha-SMA expression
in H9c2 cells in a concentration- and time-dependent manner. alpha-SMA expression
declined with the duration of hypoxia after intermittent hypoxia and exogenous
TGF-beta1 co-treatment. Phospho-JNK and phospho-p38 levels were not significantly
altered after TGF-beta1 and hypoxia treatment. However, levels of phospho-ERK
increased after TGF-beta1 treatment and continued to increase after hypoxia co
treatment. The activation of phospho-Smad2/3 and phospho-RhoA induced by TGFbeta1
was significantly reduced after hypoxia co-treatment. CONCLUSION: Hypoxia can
inhibit TGF-beta1-induced H9c2 myofibroblast transformation, based on inhibition
of alpha-SMA expression by suppressing signaling downstream of TGF-beta1, Smad2/3
and RhoA. It suggested that TGF-beta-mediated cardiomyocyte transformation is not
involved in hypoxia-mediated fibrosis.
PMID- 29357323
TI - Plumbagin Triggers ER Stress-Mediated Apoptosis in Prostate Cancer Cells via
Induction of ROS.
AB - BACKGROUND/AIMS: Prostate cancer (PCa) is the second most frequently diagnosed
cancer in men worldwide. Currently available therapies for hormone-refractory PCa
are only marginally effective. Plumbagin (PLB), a natural naphthoquinone isolated
from the traditional folk medicine Plumbago zeylanica, is known to selectively
kill tumor cells. Nevertheless, antitumor mechanisms initiated by PLB in cancer
cells have not been fully defined. METHODS: MTT assay was used to evaluate the
effect of PLB on the viability of cancer cells. Cell apoptosis and reactive
oxygen species (ROS) production were determined by flow cytometry. Protein
expression was detected by western blotting. In vivo anti-tumor effect was
measured by using tumor xenoqraft model in nude mice. RESULTS: In the present
study, we found that PLB decreases cancer cell growth and induces apoptosis in
DU145 and PC-3 cells. In addition, by increasing intracellular ROS levels, PLB
induced a lethal endoplasmic reticulum stress response in PCa cells. Importantly,
blockage of ROS production significantly reversed PLB-induced ER stress
activation and cell apoptosis. In vivo, we found that PLB inhibits the growth of
PCa xenografts without exhibiting toxicity Treatment of mice bearing human PCa
xenografts with PLB was also associated with induction of ER stress activation.
CONCLUSION: Inducing ER stress by PLB thus discloses a previously unrecognized
mechanism underlying the biological activity of PLB and provides an in-depth
insight into the action of PLB in the treatment of hormone-refractory PCa.
PMID- 29357325
TI - Buprenorphine Formulations: Clinical Best Practice Strategies Recommendations for
Perioperative Management of Patients Undergoing Surgical or Interventional Pain
Procedures.
AB - BACKGROUND: Starting with approval for clinical use in the treatment of opioid
dependence in October 2002 by the Food and Drug Administration (FDA),
buprenorphine has become an integral treatment option and in recent years, in
chronic pain management. Buprenorphine possesses a unique pharmacodynamic and
pharmacokinetic profile that can potentially make perioperative analgesia
challenging. OBJECTIVES: To date no unified guidelines or recommendations are
available for buprenorphine product management during the perioperative period.
The present investigation aims to review the literature and provide
recommendations when encountering a patient on buprenorphine therapy who is
scheduled for a surgical or interventional pain procedure. METHODS: Clinical
studies and reviews were searched using the PubMed National Center for
Biotechnology Information database using MeSH terms buprenorphine, buprenorphine
and naloxone, suboxone, perioperative, and postoperative pain. RESULTS: PubMed
National Center for Biotechnology Information database search resulted in one
randomized control trial, one prospective case matched cohort, one retrospective
cohort, 0 case series, 4 case reports, and 6 review articles. Key literature is
reviewed and summarized. LIMITATIONS: Only 12 articles were included, which
permits only limited recommendations drawn from this review. CONCLUSIONS: The
perioperative management of buprenorphine and buprenorphine/naloxone are
dependent on several key factors. The nature of the surgery, namely the
postoperative opioid requirement, elective versus emergency surgery, patient
characteristics, formulation of buprenorphine, and indication for buprenorphine
or buprenorphine/naloxone therapy must be considered when devising a plan.
Several options exist when formulating a plan for the perioperative management,
including continuing buprenorphine therapy or holding buprenorphine therapy for a
defined period of time with or without bridging to alternative opioids.
Additionally, social support people and patient motivation should be addressed
and optimized, as well as nonopioid adjuvant therapy should be maximized as
applicable to each patient undergoing a surgical or interventional pain
procedure. KEY WORDS: Buprenorphine, naloxone, surgery, pain management,
anesthesia, suboxone, opioid abuse.
PMID- 29357324
TI - Circulating MiR-146a May be a Potential Biomarker of Coronary Heart Disease in
Patients with Subclinical Hypothyroidism.
AB - BACKGROUND/AIMS: Subclinical hypothyroidism (SCH) plays a crucial role in the
development and progression of coronary heart disease (CHD). However, any
associated changes in the circulating microRNAs (miRNAs) levels and slightly
elevated thyroid stimulating hormone (TSH) levels in CHD patients are unknown.
miR-146a is a well known miRNA associated with inflammatory autoimmune diseases.
Here, we evaluated miR-146a expression in patients, with the goal of re
evaluating the effect of SCH on CHD. METHODS: A total of 192 study subjects who
underwent coronary angiography for either suspected or confirmed CHD were
enrolled in 3 groups: CHD with SCH, CHD alone, and healthy controls. The
circulating levels of miR-146a were quantified using qRT-PCR. RESULTS: Levels of
miR-146a were positively correlated with CHD severity, as indicated by the
Gensini score (r=0.354). The relative expression of miR-146a in the CHD+SCH, CHD
and healthy control groups was 2.223+/-0.827, 1.588+/-0.726 and 0.632+/-0.309,
respectively. Plasma TSH levels were positively correlated with miR-146a levels
(r=0.321). According to multivariate logistic regression analyses, miR-146a
levels were associated with the incidence of CHD in patients with SCH. For
diagnosing CHD, the area under the ROC curve (AUC) of miR-146a and TSH was 0.779
and 0.752, respectively. When the TSH and miR-146a levels were combined to form a
composite panel, the AUC of the panel was 0.858. CONCLUSION: Plasma miR-146a
levels correlated with the severity of coronary atherosclerosis and increased
with TSH slightly elevated in patients with CHD. Thus, miR-146a may have good
predictive value for CHD among individuals with elevated TSH levels.
PMID- 29357326
TI - Fusion or Not for Degenerative Lumbar Spinal Stenosis: A Meta-Analysis and
Systematic Review.
AB - BACKGROUND: Degenerative lumbar spinal stenosis (DLSS) is the main cause for
chronic low back pain in the elderly. When refractory to conservative treatment,
symptomatic patients commonly undergo surgery. However, whether or not fusion is
a relatively better surgical option still remains unclear. OBJECTIVE: The purpose
of the present study was to systematically review the clinical outcomes of spinal
decompression with or without spinal fusion for DLSS. STUDY DESIGN: A systematic
review of the therapeutic effect for DLSS with or without fusion. METHODS: A
literature search of 5 electronic databases was performed including PubMed,
EMBASE, MEDLINE, Cochrane Library, and CENTRAL from inception to August 2016.
Only randomized controlled trials (RCTs) assessing the comparison between
decompression and fusion surgery for DLSS were included. RESULTS: A total of 5
RCTs involving 438 patients met the inclusion criteria. Low-quality evidence of
the meta-analysis was performed for the heterogeneity of the included studies.
Pooled analysis showed no significant differences between decompression alone and
fusion groups for the Oswestry Disability Index (ODI) scores at the baseline (P =
0.50) and 2 years follow-up (P = 0.71), and the satisfaction rate of operations
was also similar for the groups (P = 0.53). However, operation time (P = 0.002),
blood loss (P < 0.00001), and length of hospital stay (P = 0.007) were remarkably
higher in the fusion group. Furthermore, there was no difference in the
reoperation rate between these 2 groups at the latest follow-up (P = 0.49).
LIMITATION: The methodological criteria and sample sizes were highly variable.
The studies were heterogeneous. CONCLUSION: The present meta-analysis is the
first to compare the efficacy of decompression alone and spinal fusion for the
treatment of DLSS, including 5 RCTs. Our results demonstrate that additional
fusion surgery seems unlikely to result in better outcomes for patients with
DLSS, but it may increase additional risks and costs. High-quality homogeneous
research is required to provide further evidence about surgical procedures for
patients with DLSS. KEY WORDS: Decompression, fusion, lumbar spinal stenosis,
meta-analysis.
PMID- 29357327
TI - Characteristics of the Middle Cervical Sympathetic Ganglion: A Systematic Review
and Meta-Analysis.
AB - BACKGROUND: Understanding the characteristics of the middle cervical sympathetic
ganglion (MCSG) may minimize procedure-related complications and maximize
efficacy during surgery or ultrasound (US)-guided procedures. The location and
detection rate of the MCSG were variable in small population studies. Therefore,
a large population study or meta-analysis could give more information about the
MCSG. OBJECTIVES: We aim to review the published literature and evaluate the
anatomical features of the MCSG, including the detection rate, location, size,
and a normal variation, and to review the clinical relevance of MCSG for
procedures including, US-guided ganglion block, ethanol ablation (EA), or
radiofrequency ablation (RFA). STUDY DESIGN: A systematic review and meta
analysis. The Ovid-MEDLINE and EMBASE databases were searched to find the
detection rate, location, and other characteristics of the MCSG. SETTING: The
pooled proportions for the detection rate of the MCSG were assessed using the
DerSimonian-Laird random-effects model. METHODS: Heterogeneity among the studies
was determined using a chi-square analysis for the pooled estimates and
inconsistency index (I2). In order to reduce the heterogeneity, sensitivity
analyses were performed. RESULTS: A review of 542 studies identified 8 eligible
studies, with 273 MCSGs included in the meta-analysis. The pooled proportion for
the detection rate of the MCSG was 50.4% (95% confidence interval [CI], 34.5 -
66.4%). Considerable heterogeneity among the studies was observed (I2 = 94.9%).
In the sensitivity analysis, when excluding one study, heterogeneity was reduced
with a recalculated pooled proportion of 44.2% (95% CI, 32.1 - 56.2%; I2 =
86.0%). The location of the MCSG is usually posterior to the carotid sheath and
anterior to the longus colli muscle at the level of the C3 - C7 vertebrae. There
was a variant where the cervical sympathetic trunk was located at the posterior
wall of the carotid sheath and was adherent to the sheath. The size of the MCSG
is as follows: the width, length, and height ranges were 3.8 - 6.3 mm, 6.3 - 10.5
mm, and 1.7 - 2.1 mm, respectively. A specific type of MCSG, referred to as the
"double middle cervical ganglion", consisting of 2 ganglia, was demonstrated in 3
studies with a detection rate of 2.9 - 10%. LIMITATIONS: This meta-analysis
included a relatively small number of studies. Significant heterogeneity was also
present in the detection rate of MCSG in these studies. There was a lack of
concentrated information about the MCSG, because the majority of the included
studies focused on the entire cervical sympathetic chain, not only MCSG
primarily. Improving complication rates might be limited due to the approximate
50% detection rate. CONCLUSION: Understanding the characteristics and variations
of the MCSG could minimize complications and maximize efficacy during surgery and
US-guided procedures. KEY WORDS: Middle cervical sympathetic ganglion, cervical
sympathetic trunk, cervical sympathetic chain, ultrasound, nerve block, ethanol
ablation, radiofrequency ablation, thyroid, Horner syndrome, meta-analysis.
PMID- 29357328
TI - Efficacy of Pain Relief in Different Postherpetic Neuralgia Therapies: A Network
Meta-Analysis.
AB - BACKGROUND: Postherpetic neuralgia (PHN) is a nerve pain disease usually
controlled by different therapies, i.e., topical therapies, antiepileptics,
analgesics, antipsychotics, antidepressants, anti-dementia drugs, antivirals,
amitriptyline, fluphenazine, and magnesium sulfate. It is believed that different
therapies may lead to different levels of pain relief. OBJECTIVES: We proposed
this study to compare the efficacy of PHN treatments. STUDY DESIGN: We conducted
a systematic review of the current literature. All relevant studies were
retrieved from online databases. The standardized mean difference (SMD) was used
for pain relief measurement in different PHN therapies. SETTING: A conventional
meta-analysis and a network meta-analysis (NMA) were carried out together with
the surface under the cumulative ranking curve (SUCRA) for each therapy
calculated regarding their efficacy. RESULTS: A pairwise meta-analysis suggested
that 4 treatment classes, including topical therapies, antiepileptics,
analgesics, and antidepressants, exhibited better pain relief results than
placebo. Likewise, a NMA suggested that patients with 4 treatment classes
exhibited significant improvements in pain scores compared to those with placebo.
LIMITATIONS: There is a lack of direct head-to-head comparisons of some
treatments, especially for antivirals, anti-dementia drugs, and magnesium
sulfate. Secondly, the specific agents belonging to the same class of therapies
might exhibit different effects (gabapentin and carisbamate) with different
mechanisms (opioids and ketamine) on reducing pain, and some agents were hard to
find in literatures and were not involved in our study, which may influence our
results. CONCLUSIONS: Analgesics were preferable to other treatments with respect
to pain relief for PHN, while antivirals appeared to be less effective than other
therapies. KEY WORDS: Postherpetic neuralgia, topical agents, antiepileptics,
analgesics, antipsychotics, antidepressants.
PMID- 29357329
TI - Pulsed Radiofrequency Improves Neuropathic Pain in Chronic Constriction Injury
Rats through the Upregulation of the Transcription and Translation Levels of
Glial Cell Line-Derived Neurotrophic Factor.
AB - BACKGROUND: Several studies in recent years have confirmed that the direct
application of pulsed radiofrequency (PRF) on peripheral nerve compression points
can alleviate hyperalgesia in animal models of neuropathic pain (NP). However,
the mechanism underlying the treatment of peripheral nerves by PRF is unclear.
OBJECTIVES: We aim to observe changes in pain behavior after the application of
PRF on the ligation site of the sciatic nerves (SNs) of rats with chronic
constriction injury (CCI) and to investigate the effects of PRF on the
transcription and translation levels of glial cell line-derived neurotrophic
factor (GDNF) in nerve tissues at the treatment site. STUDY DESIGN: A randomized,
experimental trial. SETTING: Experimental Animal Center, Beijing Tiantan
Hospital. METHODS: Ninety-six adult male Sprague-Dawley rats were randomly
divided into 4 groups: sham-sham (SS) group, sham-PRF (SP) group, CCI-sham (CS)
group, and CCI-PRF (CP) group. The right SNs of rats in the CS and CP group were
ligated to establish the CCI model. The right SNs in the SS and SP groups were
isolated and exposed but without being ligated. On the fourteenth day after
CCI/sham operation, PRF treatment was performed on the midpoint of the ligation
sites of the SN in the CP group and the corresponding sites in the SP group. The
electrode was only placed at the ligation sites of the SN in the CS group and the
corresponding sites in the SS group without current being applied. The 50% paw
withdrawal threshold (50%PWT) and thermal withdrawal latency (TWL) of rats in all
of the groups were measured. The transcription and translation levels of GDNF of
the PRF/sham treatment sites were measured before and after treatment by reverse
transcription-polymerase chain reaction (RT-PCR) and enzyme-linked immunosorbent
assays (ELISAs). RESULTS: The 50%PWT value of the hind paws of rats in the CP
group gradually increased on day 6 after the PRF treatment and was significantly
higher than that in the CS group (6 days after treatment P < 0.05; 14 days after
treatment P < 0.01). The TWL value in the CP group was higher than that in the CS
group 2 days after treatment (P < 0.05) and was significantly higher (P < 0.01)
from day 6 until the end of the experiment. On the day 6 and 14 after PRF
treatment, the mRNA and protein expression levels of GDNF at the ligation sites
of the SNs of rats in the CP group were higher than both the levels before
treatment and those in the CS group (P < 0.01). LIMITATIONS: The efficacy of PRF
treatment in the CCI model was only tested within 14 days, and the changes in
GDNF levels were only tested at 3 time-points before and after treatment.
CONCLUSIONS: The direct application of PRF on SN ligation sites in the CCI model
can safely and effectively alleviate NP. One of the mechanisms of this effect
could be the upregulation of the transcription and translation of GDNF in
compressed SNs. KEY WORDS: Pulsed radiofrequency, chronic constriction injury,
sciatic nerve, 50% paw withdrawal threshold, thermal withdrawal latency, glia
cell line-derived neurotrophic factor, reverse transcription-polymerase chain
reaction, enzyme-linked immunosorbent assay.
PMID- 29357330
TI - Ultrasound-Guided Genicular Nerve Block for Knee Osteoarthritis: A Double-Blind,
Randomized Controlled Trial of Local Anesthetic Alone or in Combination with
Corticosteroid.
AB - BACKGROUND: Recently, several studies suggested that radiofrequency (RF) ablation
of the genicular nerves is a safe and effective therapeutic procedure for
intractable pain associated with chronic knee osteoarthritis (OA). Diagnostic
genicular nerve block (GNB) with local anesthetic has been generally conducted
before making decisions regarding RF ablation. Although GNB has been recently
performed together with corticosteroid, the analgesic effects of corticosteroids
for treating chronic pain remain controversial. OBJECTIVES: The current study
aims to assess the effects of combining corticosteroids and local anesthesia
during ultrasound-guided GNB in patients with chronic knee OA. STUDY DESIGN: A
randomized, double-blinded institutional study. SETTING: This study took place at
Asan Medical Center in Seoul, Korea. METHODS: Forty-eight patients with chronic
knee OA were randomly assigned to either the lidocaine alone group (n = 24) or
lidocaine plus triamcinolone (TA) group (n = 24) before ultrasound-guided GNB.
Visual analog scale (VAS), Oxford Knee Score (OKS), and global perceived effects
(7-point scale) were assessed at baseline and at 1, 2, 4, and 8 weeks after the
procedure. RESULTS: The VAS scores were significantly lower in the lidocaine plus
TA group than in the lidocaine alone group at both 2 (P < 0.001) and 4 (P <
0.001) weeks after GNB. The alleviation of intense pain in the lidocaine plus TA
group was sustained up to 2 weeks after the procedure, in accordance with the
definition of a minimal clinically important improvement. Although a similar
intergroup difference in OKSs was observed at 4 weeks (P < 0.001), the clinical
improvement in functional capacity lasted for only one week after the
reassessment of OKSs, in accordance with a minimal important change. No patient
reported any postprocedural adverse events during the follow-up period.
LIMITATIONS: The emotional state of the patients, which might affect the
perception of knee pain, was not evaluated. The follow-up period was 2 months;
this period might be insufficient to validate the short-term effects of GNB.
CONCLUSIONS: Ultrasound-guided GNB, when combined with a local anesthetic and
corticosteroid, can provide short-term pain relief. However, the clinical benefit
of corticosteroid administration was not clear in comparison with local
anesthesia alone. Given the potential adverse effects, corticosteroids might not
be appropriate as adjuvants during a GNB for chronic knee OA.The study protocol
was approved by our institutional review board (2012-0210), and written informed
consent was obtained from all patients. The trial was registered with the
Clinical Research Information Service (KCT 0001139). KEY WORDS: Chronic pain,
knee osteoarthritis, genicular nerve block, ultrasound, corticosteroid, local
anesthetic, visual analog scale, Oxford Knee Score.
PMID- 29357331
TI - Reducing Radiation Exposure in Lumbar Transforaminal Epidural Steroid Injections
with Pulsed Fluoroscopy: A Randomized, Double-blind, Controlled Clinical Trial.
AB - BACKGROUND: Fluoroscopy-guided lumbar transforaminal epidural steroid injections
(L-TFESI) result in radiation exposure that carries risks to patients,
physicians, and procedural staff. OBJECTIVE: We aim to evaluate the feasibility
of using pulsed fluoroscopy to safely reduce radiation exposure during L-TFESI.
STUDY DESIGN: This is a prospective, double-blind, randomized controlled trial.
SETTING: This study took place in a single-center, academic, outpatient
interventional pain management clinic. METHODS: Patients undergoing L-TFESI were
randomly assigned to either continuous mode fluoroscopy (high-dose), pulsed
fluoroscopy with 8 pulses per second (medium-dose), or pulsed fluoroscopy with
one pulse per second (low-dose). Data on radiation doses and other clinical and
demographic factors were also collected. RESULTS: In total, 231 cases were
analyzed in the high-dose group (n = 81), medium-dose group (n = 72), and low
dose group (n = 78). Mean radiation effective dose (uSv) was 121 in the high-dose
group, 57.9 in the medium-dose group, and 34.8 in the low-dose group (P < 0.001).
The incidence of inadequate image quality in the pulsed groups was 6% (9/150).
The body mass index (BMI, mean +/- SD) was significantly higher in patients with
inadequate image quality (37.3 +/- 7.2) than with adequate quality (30.5 +/- 7.2,
P = 0.005). LIMITATIONS: Radiation doses were measured using the meter on C-arm
fluoroscopes rather than by direct measurement. CONCLUSIONS: The use of pulsed
fluoroscopy during L-TFESI resulted in radiation dose reduction of up to 72.1%
without causing any significant adverse events. Pulsed fluoroscopy should be
considered as an initial fluoroscopic setting for L-TFESI to reduce radiation
exposure. KEY WORDS: Radiation, epidural, fluoroscopy, injection, exposure,
pulse.
PMID- 29357333
TI - Implication of Two Different Doses of Intradiscal Ozone-Oxygen Injection upon the
Pain Alleviation in Patients with Low Back Pain: A Randomized, Single-Blind
Study.
AB - BACKGROUND: Low back pain (LBP) is mostly induced by disc herniation (DH) or
degeneration and has a burden upon social activity and economical aspects of
life. An abundance of medical and surgical interventions have evolved to resolve
this problem, but one of the newly introduced techniques, which is the minimally
invasive, low cost ozone-oxygen mixture (O3-O2) intradiscal injection, offers a
rapid onset amelioration of symptoms with a sustained duration of pain relief.
OBJECTIVE: We aim to evaluate the quality of pain alleviation using 2 different
doses of intradiscal injections of O3-O2 mixture. STUDY DESIGN: A prospectively
randomized, single-blind study. SETTING: Pain clinic, anesthesia, intensive care,
and pain department in Assiut University Hospitals. METHODS: Sixty patients with
symptomatizing single lumbar DH were subjected to O3-O2 intradiscal injection and
randomly allocated into one of 2 groups; group A: received 10 mL, 40 ug/mL of O3
O2 and group B: received 10 mL, 30 ug/mL of O3-O2. Pain score and functional
ability of the patients using the visual analog scale (VAS) and Oswestry
Disability Index (ODI) were evaluated after 1, 6, and 12 months and compared to
the basal values. Patient satisfaction and reduction of DH were evaluated after
the sixth month. RESULTS: There were no significant differences between the 2
groups regarding the clinical outcome; however both the ODI and VAS evaluations
showed highly significant improvement (decreased) (P < 0.01) after injection and
during the entire follow-up period. There were highly significant negative
correlations between the DH reduction percentage and both the VAS and ODI scores
after 6 months in both of the groups. LIMITATIONS: This study was limited by a
small sample size; it was also an active control trial, which may explain the
insignificant difference in between the groups, in addition to being a single
blind trial. CONCLUSION: Intradiscal injection of O3-O2 mixture is a very
valuable maneuver in the reduction of DH size and improvement of pain quality,
with either ozone concentrations of 40 ug/mL or 30 ug/mL. KEY WORDS: Low back
pain, ozone, disc herniation.
PMID- 29357332
TI - Cerebral Blood Flow and Heart Rate Variability in Chronic Fatigue Syndrome: A
Randomized Cross-Over Study.
AB - BACKGROUND: Pain, fatigue, and concentration difficulties are typical features of
chronic fatigue syndrome (CFS). The exact underlying mechanisms of these symptoms
are still unknown, but available evidence suggests an important role for impaired
pain modulation. As evidence also suggests that pain modulation is related to
cardiovascular mechanisms, it seems logical to investigate whether cerebral blood
flow (CBF) and heart rate variability (HRV) are altered in these patients.
OBJECTIVES: We aimed to investigate the role of the cardiovascular system in pain
modulation and symptoms of CFS; the response of CBF and HRV to physical stress
and their relation to the change in temporal summation (TS) of pressure pain and
self-reported symptoms was evaluated. STUDY DESIGN: A controlled, randomized
cross-over trial. SETTING: University Hospital Brussels. METHODS: Twenty CFS
patients and 20 sedentary healthy controls were included in this study. In both
of the groups, the change in TS of pressure pain, CBF (using transcranial
Doppler), and HRV (using finger plethysmography) was examined during physical and
emotional stress (to control for potential bias), as well as their association
mutually and with self-reported symptoms of pain, fatigue, and concentrations
difficulties. RESULTS: There was no significant interaction or group (F-values
ranging from .100 to 1.862, P-values ranging from .754 to .181) effect in CBF or
HRV parameters. HRV and CBF did change during physical exercise, but the changes
did not differ between patients and controls. While pain scores during TS at the
trapezius site reduced in the control group after the physical exercise protocol
(P = .037), they did not change in the CFS group (P = .108), suggesting impaired
pain modulation. There were no significant correlations between CBF, HRV, TS, and
self-reported symptoms (all P-values of correlation analyses > .01). LIMITATIONS:
Although effect sizes were medium to large, the study sample was relatively low.
Also, the mild nature of the exercise bout is discussable. Nonetheless, this mild
exercise was able to provoke endogenous pain modulation in the control group,
which endorsed a proper execution of the cycling exercise. Moreover, mild
exercises are more applicable to daily physical activities in CFS patients than
vigorous exercises. CONCLUSION: These results seem to refute the previously
suggested alterations of CBF/HRV in CFS patients. These cardiovascular parameters
appear not to explain pain before, during, and following exercise. KEY WORDS:
Chronic pain, physical exercise, emotional stress, pain modulation,
cardiovascular systems, temporal summation, pain pressure thresholds,
transcranial Doppler, plethysmography.
PMID- 29357334
TI - Sacroiliac Joint Intraarticular Injection in True Anteroposterior View:
Description of a New C-Arm Guided Method.
AB - BACKGROUND: The anatomic characteristics of the sacroiliac joint (SIJ) make it
difficult to achieve intraarticular injection without radiologic guidance. The
classic C-arm guided SIJ intervention technique is difficult. Here we describe a
new and simple method for SIJ intraarticular intervention. OBJECTIVE: This study
aims to introduce a new, simple approach for SIJ intraarticular intervention.
STUDY DESIGN: An observational case series study. SETTING: The study was
conducted at an academic medical center in a major metropolitan city. METHODS:
This method of intervention was performed on 57 patients (a total of 73 joints)
who were selected for diagnostic and therapeutic SIJ intervention. The procedure
was done in anteroposterior (AP) view, without any C-arm angulation. The accuracy
of the intraarticular injection was confirmed by using intraarticular contrast
material. A numerical rating scale (NRS) score was recorded for each joint before
and after the procedure; the number of x-ray exposures and number of attempts
were recorded for each procedure as well. RESULTS: Successful intraarticular
contrast spread was obtained in all SIJs. The number of x-ray exposures was about
9 +/- 3, and there was not a remarkable difference between cases according to
gender of the patient (P = 0.1) or side of the joint (P = 0.2). In 5 cases, the
first needle placement was not correct; there were no differences between gender
(P = 0.4) and side of the joint (P = 0.4) regarding the first successful attempt.
The NRS pain scores decreased in all of the patients more than 50% after the
procedure; the pain scores were similar to the results of classic methods of
intraarticular interventions with successful contrast spread, and there were no
remarkable differences considering gender (P = 0.5) or side of the joint (P =
0.8). LIMITATIONS: This is a very small, nonrandomized, and controlled study;
further blinded clinical trials are needed to clarify the probable advantages of
this method compared with conventional ones. CONCLUSION: This observational study
introduces a new and simple approach for SIJ intraarticular intervention, with a
high success rate. KEY WORDS: Low back pain, sacroiliac joint, C-arm, new
technique, intraarticular, injection.
PMID- 29357335
TI - Outcome of Transforaminal Epidural Steroid Injection According to the Severity of
Lumbar Foraminal Spinal Stenosis.
AB - BACKGROUND: Lumbar foraminal spinal stenosis (LFSS) is a common cause of
radicular pain in the lower extremities. Transforaminal epidural steroid
injection (TFESI) is being used widely for controlling radicular pain induced by
LFSS. The efficacy of TFESI has been demonstrated in previous studies. However,
no study has evaluated the outcome of TFESI according to the severity of LFSS.
OBJECTIVE: In this study, we evaluated the outcome of TFESI in patients with
chronic lumbar radicular pain due to LFSS according to the severity of LFSS by
using magnetic resonance imaging (MRI). STUDY DESIGN: A prospective observational
study. SETTING: A university hospital. METHODS: Sixty patients with chronic
lumbar radicular pain due to LFSS were included in this prospective study and
received TFESI at our university hospital. Three patients were lost to follow-up.
On the basis of sagittal lumbar MRI findings, we assigned patients with mild to
moderate LFSS to group A (n = 31) and those with severe LFSS to group B (n = 26).
Pain intensity was evaluated using a numeric rating scale (NRS) before treatment
and at 1, 2, and 3 months after treatment. RESULTS: Compared to pretreatment NRS
scores, a significant decrease in NRS scores was observed in patients in both
groups at 1, 2, and 3 months after treatment (P = 0.000). However, reductions in
the NRS scores over time were significantly larger in group A (P = 0.023). Three
months after treatment, 27 patients (87.1%) in group A and 11 patients (42.3%) in
group B reported successful pain relief (pain relief of>/= 50%). LIMITATIONS:
This study had a small number of patients. CONCLUSIONS: After TFESI, chronic
lumbar radicular pain was significantly reduced regardless of the severity of
LFSS, and the effects of TFESI were sustained for at least 3 months after
treatment. However, the outcome of TFESI was superior in the group with a mild to
moderate degree of LFSS, compared to the group with a severe degree of LFSS. We
believe that our study provides useful information for establishing a treatment
plan for radicular pain due to LFSS. KEY WORDS: Lumbar foraminal spinal stenosis,
transforaminal epidural steroid injection, disease severity, magnetic resonance
imaging, numeric rating scale, corticosteroids.
PMID- 29357336
TI - The Termination Level of the Dural Sac Relevant to Caudal Epidural Block in
Lumbosacral Transitional Vertebrae: A Comparison between Sacralization and
Lumbarization Groups.
AB - BACKGROUND: Lumbosacral transitional vertebrae (LSTV) are a relatively common
variant and have been considered as one of the reasons for back pain. It is not
unusual for clinicians to encounter patients with LSTV who require caudal
epidural block (CEB) for pain management. OBJECTIVE: We investigated the
termination level of the dural sac (DS) and anatomical features of the
lumbosacral region relevant to CEB in patients with LSTV and compared these
findings between sacralization and lumbarization groups. STUDY DESIGN: A
retrospective evaluation. SETTING: A university hospital with inpatient and
outpatient LSTV cases presenting low back pain. METHODS: Four hundred ninety-four
LSTV patients were included and categorized into sacralization (n = 201) or
lumbarization groups (n = 293). Magnetic resonance imaging (MRI) of all of the
LSTV patients were reviewed to determine the level of DS termination, the
shortest distance between the apex of the sacral hiatus and DS, and the presence
and the caudal level of sacral perineural cysts. Each lumbosacral vertebra column
was divided into 3 equal portions (upper, middle, and lower thirds). The MRI
findings in both of the groups were compared and analyzed. RESULTS: The
distribution frequency of the levels of DS termination demonstrated a significant
difference between the 2 groups. The mean caudal DS level in the lumbarization
group was significantly lower than the sacralization group (lower third of the S2
[131 {44.7%} of 293 patients] vs. lower third of the S1 [78 {38.8%} of 201
patients]). The DS terminated at the S3 in more than 19% of the lumbarization
group, whereas in only one case of the sacralization group. Although the
incidence of perineural cysts was not significantly different between the 2
groups, the mean level of caudal margin of perineural cysts in the lumbarization
group was significantly lower than the sacralization group (middle third of the
S3 [10 {35.7%} of 28 cases] vs. middle third of the S2 [11 {44%} of 25 cases]).
LIMITATIONS: This study reveals several limitations including the practical
challenge of accurate enumeration of the transitional segment and the constraints
on generalizability posed by the single-country study. CONCLUSION: When planning
CEB for patients with LSTV, pre-procedural MRI to check the anatomical
structures, including the level of DS termination and caudal margin of perineural
cysts, would be of great use for lowering the risk of unexpected dural puncture
during the procedure, especially in the lumbarization cases. KEY WORDS:
Termination of the dural sac, dural sac termination, lumbosacral transitional
vertebrae, transitional vertebra, caudal epidural block.
PMID- 29357337
TI - Dual Site Pudendal Nerve Infiltration: More than Just a Diagnostic Test?
AB - BACKGROUND: Pudendal neuralgia (PN) is a very painful and often disabling
condition in which pudendal nerve blocks play an important role in both the
diagnosis and management of PN. Some previous reports have advocated the use of
pudendal nerve infiltration (PNI) as a diagnostic test only. OBJECTIVE: We aim to
assess the outcomes of patients with typical refractory PN who underwent dual
site computed tomography (CT)-guided pudendal nerve infiltration. STUDY DESIGN: A
bicentric, retrospective cohort analysis. SETTING: An academic practice. METHODS:
Between 2002 and 2016, 385 PNIs were performed in 195 patients in the 2 units.
Only patients suffering from typical clinical PN were included, and only the
first infiltration in each patient was considered for analysis. Therefore, 95
patients who underwent 155 procedures were assessed. Pain was assessed using a
visual analog scale (0-10) and self-reported estimated improvement (SRI),
expressed as a percentage. Efficacy of the procedure was assessed at 1, 3, and 6
months after procedure follow-up, and clinical success was defined as a 50%
decrease of the VAS score. All procedures were performed under CT guidance and on
an outpatient basis. Dual site infiltration was performed in each case at both
the ischial spine and intra-Alcock's canal sites using a mixture of fast- and
slow-acting anesthetic (1 mL lidocaine hydrochloride 1% and 2 mL ropivacaine
chlorhydrate) along with a half dose of 1.5 mL of cortivazol (3.75 mg). RESULTS:
Clinical success at one month post-procedure was present in 63.2% of patients
(60/95) with a mean VAS score of 2.07 (P < 0.05) and a mean SRI of 71%. At 3
months follow-up, clinical success was still present in 50.5% of patients (48/95)
with a mean VAS score of 2.90/10 (P < 0.05) and a mean SRI of 62.3%. At 6 months
follow-up, the efficacy rate decreased to 25.2% with a mean VAS score of 3.2/10
and SRI of 60%. LIMITATIONS: The retrospective aspect of the study is a
limitation, as well as the lack of a control group. CONCLUSION: Dual site PNI
under CT guidance may offer significant mid-term pain relief to a majority of
patients suffering from typical refractory PN. KEY WORDS: Pudendal nerve,
neuralgia, block, Alcock, CT, guidance.
PMID- 29357338
TI - Risk Factors for the Occurrence of Insufficient Cement Distribution in the
Fractured Area after Percutaneous Vertebroplasty in Osteoporotic Vertebral
Compression Fractures.
AB - BACKGROUND: Insufficient cement distribution (ICD) in the fractured area has been
advocated to be responsible for unsatisfied pain relief after percutaneous
vertebroplasty (PVP) for osteoporotic vertebral compression fractures (OVCFs).
However, little is known about risk factors for the occurrence of ICD. OBJECTIVE:
The present study aimed to identify independent risk factors of the emergence of
ICD. STUDY DESIGN: A retrospective cohort study. SETTING: Department of spinal
surgery, an affiliated hospital of a medical university. METHODS: Patients who
underwent PVP for single-level OVCF from January 2012 to September 2014 and met
this study's inclusion criteria were retrospectively reviewed. Associations of
ICD with co-variates (age, gender, bone mass density with a T-score, amount of
injected cement, cement leakage, fracture level, fracture age, fracture severity
grade, and location of the fractured area) and the influence of ICD on pain
relief were analyzed. RESULTS: A total of 225 patients were included. ICD was
found in 26 (11.6%) patients. Fractured area located in the superior portion of
the index vertebra was significantly associated with occurrence of ICD. No
further significant associations between the studied co-variates and emergence of
ICD were seen in the adjusted analysis. In addition, patients with ICD had
significantly higher immediate postoperative visual analog scale scores of back
pain compared with those with sufficient cement distribution in the fractured
area. LIMITATION: Location of the fractured area and cement distribution in the
fractured area could not be evaluated quantitatively. CONCLUSIONS: The incidence
of ICD is higher in patients with the fractured area located in the superior
portion of the index vertebra and ICD might be responsible for unsatisfied pain
relief after PVP for OVCFs. KEY WORDS: Percutaneous vertebroplasty, insufficient
cement distribution, fractured area, risk factor, osteoporosis, vertebral
compression fracture, spine, unsatisfied pain relief, cement augmentation.
PMID- 29357339
TI - Do Pain Medicine Fellowship Programs Provide Education in Practice Management? A
Survey of Pain Medicine Fellowship Programs.
AB - BACKGROUND: We hypothesized that there is a gap between expectations and actual
training in practice management for pain medicine fellows. Our impression is that
many fellowships rely on residency training to provide exposure to business
education. Unfortunately, pain management and anesthesiology business education
are very different, as the practice settings are largely office- versus hospital
based, respectively. OBJECTIVE: Because it is unclear whether pain management
fellowships are providing practice management education and, if they do, whether
the topics covered match the expectations of their fellows, we surveyed pain
medicine program directors and fellows regarding their expectations and training
in business management. STUDY DESIGN: A survey. SETTING: Academic pain medicine
fellowship programs. METHODS: After an exemption was obtained from the University
of Texas Medical Branch Institutional Review Board (#13-030), an email survey was
sent to members of the Association of Pain Program Directors to be forwarded to
their fellows. Directors were contacted 3 times to maximize the response rate.
The anonymous survey for fellows contained 21 questions (questions are shown in
the results). RESULTS: Fifty-nine of 84 program directors responded and forwarded
the survey to their fellows. Sixty fellows responded, with 56 answering the
survey questions. LIMITATIONS: The responder rate is a limitation, although
similar rates have been reported in similar studies. CONCLUSIONS: The majority of
pain medicine fellows receive some practice management training, mainly on
billing documentation and preauthorization processes, while most do not receive
business education (e.g., human resources, contracts, accounting/financial
reports). More than 70% of fellows reported that they receive more business
education from industry than from their fellowships, a result that may raise
concerns about the independence of our future physicians from the industry. Our
findings support the need for enhanced and structured business education during
pain fellowship. KEY WORDS: Business education, practice management, fellowship
training, curriculum development, knowledge gaps, private practice.
PMID- 29357340
TI - Chronic Smoking is Not Associated with Increased Postoperative Opioid Use in
Patients with Lung Cancer or Esophageal Cancer.
AB - BACKGROUND: Chronic smokers show differences in pain sensitivity compared to
healthy non-smokers. Yet, no study to date has examined whether smoker status has
an effect on postoperative pain. OBJECTIVE: We aim to examine a possible
correlation between preoperative smoking and postoperative opioid dose based on
the hypothesis that smokers would use higher doses of opioids to manage increased
postoperative pain. STUDY DESIGN: A retrospective observational cohort study.
SETTING: The National Cancer Center in Korea. METHODS: We examined medical record
data for patients who had undergone curative resection for either lung or
esophageal cancer (lobectomy or bilobectomy for lung cancer or an Ivor Lewis
operation for esophageal cancer) between January 1, 2006 and December 31, 2010.
We examined the correlation between the total preoperative average number of
packs per day multiplied by years of cigarette smoking (pack-years) and morphine
equivalent daily doses administered to patients after surgery, considering each
type of cancer both individually and together. Partial correlation and regression
analyses were performed to determine the causality of a possible relationship
between pack-years of cigarette smoking and postoperative opioid dose. RESULTS: A
total of 1,129 patients (871 patients with lung cancer and 258 patients with
esophageal cancer) were included in the final analysis. There was no significant
correlation between total pack-years of cigarette smoking and postoperative
opioid dose for lung cancer, esophageal cancer, or both cancer types combined (r
= 0.042, -0.012, and 0.037, respectively). In the analysis of both cancer types
combined, video-assisted thoracic surgery (VATS) was associated with an 11.1%
decrease in opioid dose (beta = -0.111, P = 0.003) and epidural analgesia was
associated with a 7.2% increase in opioid dose (beta = 0.072, P = 0.042).
LIMITATIONS: The retrospective design of this study is a limitation. CONCLUSION:
Our study did not observe a correlation between preoperative smoking and
postoperative opioid dose in patients with lung or esophageal cancer. KEY WORDS:
Smoking, postoperative pain, opioid, lung cancer, esophageal cancer, analgesia.
PMID- 29357341
TI - The Effect of Caudal Epidural Pulsed Radiofrequency Stimulation in Patients with
Refractory Chronic Idiopathic Axonal Polyneuropathy.
AB - BACKGROUND: Many patients with chronic idiopathic axonal polyneuropathy (CIAP)
suffer from neuropathic pain, which is managed using several oral medications and
modalities. However, despite these treatments, pain persists in some patients.
OBJECTIVE: In the clinical field, clinicians frequently meet patients with
neuropathic pain caused by CIAP. The authors investigated the effect of caudal
epidural pulsed radiofrequency (PRF) for the management of CIAP-induced
refractory neuropathic pain. STUDY DESIGN: This is a prospective study. SETTING:
The outpatient clinic of a single academic medical center in KoreaMETHODS: Twenty
patients with neuropathic pain and a diagnosis of refractory CIAP were recruited.
For PRF stimulation, a 22-gauge cannula was inserted into the epidural space
through the sacral hiatus under fluoroscopic guidance. PRF stimulation was
administered once at 5 Hz with a 5-ms pulse width for 600 seconds at 55 V. The
effect of stimulation was evaluated using a numeric rating scale (NRS) at 2 weeks
and 1, 2, and 3 months after the procedure. Successful pain relief was defined as
a reduction in the NRS score of>/= 50% as compared with the score prior to
treatment. In addition, at 3 months after treatment, patient satisfaction levels
were examined; patients that reported "very good" (score = 7) or "good" (score =
6) results were considered to be satisfied with the procedure. RESULTS:
Neuropathic pain was significantly reduced at 2 weeks and at 1, 2, and 3 months
follow-up after PRF (P < 0.001, repeated measures one-factor analysis). In
addition, at 3 months post-PRF, half of the patients achieved a successful
response (>/= 50% pain reduction) and were satisfied with treatment results.
LIMITATIONS: A small number of patients were recruited, and we did not perform
long-term follow-up. CONCLUSION: Caudal epidural PRF may be a good treatment
option for managing neuropathic pain induced by CIAP, especially when pain is
unresponsive to oral medications. KEY WORDS: Pulsed radiofrequency, chronic
idiopathic axonal neuropathy, caudal epidural stimulation, neuropathic pain
chronic pain, refractory pain.
PMID- 29357342
TI - Early Experience of Full-Endoscopic Interlaminar Discectomy for Adolescent Lumbar
Disc Herniation with Sciatic Scoliosis.
AB - BACKGROUND: Full-endoscopic interlaminar discectomy (FEID) has achieved
satisfactory outcome in adolescent lumbar disc herniation (ALDH). Sciatic
scoliosis is found to be a common presentation in ALDH. However, few reports are
focused on the influences of sciatic scoliosis on ALDH and the prognosis of
sciatic scoliosis after FEID. OBJECTIVE: This study aims to evaluate the clinical
and radiological results of FEID in the treatment of ALDH with sciatic scoliosis
and to identify the effects of sciatic scoliosis on complication and recurrence.
STUDY DESIGN: A retrospective study. SETTING: An inpatient surgery center.
METHODS: A series of cases of patients under age 20 with single-level ALDH that
underwent FEID between January 2010 and December 2014 were retrospectively
analyzed. The patients were divided into 2 groups according to if they had
scoliosis or not. Clinical outcomes were evaluated using a visual analog scale
(VAS) for low back and leg pain, Oswestry Disability Index (ODI) for the
functional assessment, and modified Macnab criteria for the patient satisfaction.
Radiological parameters of the scoliosis group such as Cobb angle, CVSL-max, and
CVSL-C7 were statistically analyzed. RESULTS: No significant differences were
found between both groups in terms of the mean operative time, the mean length of
hospital stay, complications, and recurrences (P > 0.05). VAS and ODI scores were
significantly improved in both groups (P < 0.05). However, there were no
statistically significant differences between the 2 groups in VAS, ODI, and
modified MacNab criteria (P > 0.05). For the scoliosis group, significant
improvements were observed in the postoperative sagittal and coronal alignment
parameters (P < 0.05). LIMITATIONS: This was a retrospective study with a
relatively small sample size. Additionally, the length of follow-up was short.
CONCLUSIONS: The application of FEID in the treatment of ALDH could achieve
satisfactory clinical and radiological outcomes. Sciatic scoliosis was corrected
spontaneously without increasing the risk of complication and recurrence. KEY
WORDS: Adolescent lumbar disc herniation, full-endoscopic interlaminar
discectomy, sciatic scoliosis, recurrence.
PMID- 29357343
TI - Long-term Clinical Effects of DiscoGel for Cervical Disc Herniation.
AB - BACKGROUND: Radiopaque gelified ethanol (RGE; DiscoGel, Gelscom SAS, France) is
used as a chemonucleolysis substance in treating intradiscal herniation, showing
good results without complications. It has also been used in cervical disc
herniations (CDHs), demonstrating the potential efficacy of this substance.
OBJECTIVE: The aim of the study is to investigate the long-term effectiveness and
safety of DiscoGel in patients with CDH and chronic neck pain. STUDY DESIGN: This
is a cross-sectional, single-center study. SETTING: The study was conducted from
November 2013 to May 2016 on patients visiting Sakarya University Training and
Research Hospital's pain clinic . METHODS: Each patient was evaluated before the
procedure (baseline) and at 1, 3, 6, and 12 months after the procedure, using the
visual analog scale (VAS) score for pain, the Oswestry Disability Index score to
measure degree of disability, and estimate quality of life for those with pain;
this coincides with scores on the Neuropathic Pain Questionnaire (DN4) for
differential diagnoses. RESULTS: Thirty-three patients with CDH underwent the
same treatment with DiscoGel between November 2013 and May 2016. Significant pain
relief was noted, as opposed to preoperative pain, at 1, 3, 6, and 12 months
after the procedure according to each patient's self-evaluation (P = 0.01).
Differences in VAS, ODI, and DN4 scores between 1, 3, 6, and 12 months with the
same variables were not statistically significant. There were no complications
with the procedure. LIMITATIONS: Our study was conducted retrospectively, which
led to problems with long-term follow-up data. In addition, this study was
performed with a small group of patients. CONCLUSIONS: RGE is a potential
alternative to surgery for patients with pain at the cervical level. However, we
concluded that more studies with longer follow-up intervals with RGE will be
necessary for assessment of the technique's efficiency. KEY WORDS: Cervical pain,
herniation, neuropathic pain, injection, DiscoGel, chemonucleolysis.
PMID- 29357344
TI - The Perils of Overestimating the Efficacy of Cannabis-Based Medicines for Chronic
Pain Management.
PMID- 29357345
TI - In Response: Aviram J et al The Perils of Overestimating the Efficacy of Cannabis
Based Medicines for Chronic Pain Management.
PMID- 29357346
TI - Magnesium Efficacy to Improve Analgesic Effects of Transversus Abdominis Plane
Blocks.
PMID- 29357347
TI - In Response: Magnesium Efficacy to Improve Analgesic Effects of Transversus
Abdominis Plane Blocks.
PMID- 29357348
TI - Evolution of mitotic spindle behavior during the first asymmetric embryonic
division of nematodes.
AB - Asymmetric cell division is essential to generate cellular diversity. In many
animal cells, the cleavage plane lies perpendicular to the mitotic spindle, and
it is the spindle positioning that dictates the size of the daughter cells.
Although some properties of spindle positioning are conserved between distantly
related model species and different cell types, little is known of the
evolutionary robustness of the mechanisms underlying this event. We recorded the
first embryonic division of 42 species of nematodes closely related to
Caenorhabditis elegans, which is an excellent model system to study the
biophysical properties of asymmetric spindle positioning. Our recordings,
corresponding to 128 strains from 27 Caenorhabditis and 15 non-Caenorhabditis
species (accessible at http://www.ens-lyon.fr/LBMC/NematodeCell/videos/),
constitute a powerful collection of subcellular phenotypes to study the evolution
of various cellular processes across species. In the present work, we analyzed
our collection to the study of asymmetric spindle positioning. Although all the
strains underwent an asymmetric first cell division, they exhibited large intra-
and inter-species variations in the degree of cell asymmetry and in several
parameters controlling spindle movement, including spindle oscillation,
elongation, and displacement. Notably, these parameters changed frequently during
evolution with no apparent directionality in the species phylogeny, with the
exception of spindle transverse oscillations, which were an evolutionary
innovation at the base of the Caenorhabditis genus. These changes were also
unrelated to evolutionary variations in embryo size. Importantly, spindle
elongation, displacement, and oscillation each evolved independently. This
finding contrasts starkly with expectations based on C. elegans studies and
reveals previously unrecognized evolutionary changes in spindle mechanics.
Collectively, these data demonstrate that, while the essential process of
asymmetric cell division has been conserved over the course of nematode
evolution, the underlying spindle movement parameters can combine in various
ways. Like other developmental processes, asymmetric cell division is subject to
system drift.
PMID- 29357349
TI - Knockdown of wfs1, a fly homolog of Wolfram syndrome 1, in the nervous system
increases susceptibility to age- and stress-induced neuronal dysfunction and
degeneration in Drosophila.
AB - Wolfram syndrome (WS), caused by loss-of-function mutations in the Wolfram
syndrome 1 gene (WFS1), is characterized by juvenile-onset diabetes mellitus,
bilateral optic atrophy, and a wide spectrum of neurological and psychiatric
manifestations. WFS1 encodes an endoplasmic reticulum (ER)-resident transmembrane
protein, and mutations in this gene lead to pancreatic beta-cell death induced by
high levels of ER stress. However, the mechanisms underlying neurodegeneration
caused by WFS1 deficiency remain elusive. Here, we investigated the role of WFS1
in the maintenance of neuronal integrity in vivo by knocking down the expression
of wfs1, the Drosophila homolog of WFS1, in the central nervous system. Neuronal
knockdown of wfs1 caused age-dependent behavioral deficits and neurodegeneration
in the fly brain. Knockdown of wfs1 in neurons and glial cells resulted in
premature death and significantly exacerbated behavioral deficits in flies,
suggesting that wfs1 has important functions in both cell types. Although wfs1
knockdown alone did not promote ER stress, it increased the susceptibility to
oxidative stress-, excitotoxicity- or tauopathy-induced behavioral deficits, and
neurodegeneration. The glutamate release inhibitor riluzole significantly
suppressed premature death phenotypes induced by neuronal and glial knockdown of
wfs1. This study highlights the protective role of wfs1 against age-associated
neurodegeneration and furthers our understanding of potential disease-modifying
factors that determine susceptibility and resilience to age-associated
neurodegenerative diseases.
PMID- 29357350
TI - Hippo signaling controls cell cycle and restricts cell plasticity in planarians.
AB - The Hippo pathway plays a key role in regulating cell turnover in adult tissues,
and abnormalities in this pathway are consistently associated with human cancers.
Hippo was initially implicated in the control of cell proliferation and death,
and its inhibition is linked to the expansion of stem cells and progenitors,
leading to larger organ size and tumor formation. To understand the mechanism by
which Hippo directs cell renewal and promotes stemness, we studied its function
in planarians. These stem cell-based organisms are ideal models for the analysis
of the complex cellular events underlying tissue renewal in the whole organism.
hippo RNA interference (RNAi) in planarians decreased apoptotic cell death,
induced cell cycle arrest, and could promote the dedifferentiation of postmitotic
cells. hippo RNAi resulted in extensive undifferentiated areas and overgrowths,
with no effect on body size or cell number. We propose an essential role for
hippo in controlling cell cycle, restricting cell plasticity, and thereby
preventing tumoral transformation.
PMID- 29357351
TI - Identification of osmoadaptive strategies in the halophile, heterotrophic ciliate
Schmidingerothrix salinarum.
AB - Hypersaline environments pose major challenges to their microbial residents.
Microorganisms have to cope with increased osmotic pressure and low water
activity and therefore require specific adaptation mechanisms. Although
mechanisms have already been thoroughly investigated in the green alga Dunaliella
salina and some halophilic yeasts, strategies for osmoadaptation in other
protistan groups (especially heterotrophs) are neither as well known nor as
deeply investigated as for their prokaryotic counterpart. This is not only due to
the recent awareness of the high protistan diversity and ecological relevance in
hypersaline systems, but also due to methodological shortcomings. We provide the
first experimental study on haloadaptation in heterotrophic microeukaryotes,
using the halophilic ciliate Schmidingerothrix salinarum as a model organism. We
established three approaches to investigate fundamental adaptation strategies
known from prokaryotes. First, proton nuclear magnetic resonance (1H-NMR)
spectroscopy was used for the detection, identification, and quantification of
intracellular compatible solutes. Second, ion-imaging with cation-specific
fluorescent dyes was employed to analyze changes in the relative ion
concentrations in intact cells. Third, the effect of salt concentrations on the
catalytic performance of S. salinarum malate dehydrogenase (MDH) and isocitrate
dehydrogenase (ICDH) was determined. 1H-NMR spectroscopy identified glycine
betaine (GB) and ectoine (Ect) as the main compatible solutes in S. salinarum.
Moreover, a significant positive correlation of intracellular GB and Ect
concentrations and external salinity was observed. The addition of exogenous GB,
Ect, and choline (Ch) stimulated the cell growth notably, indicating that S.
salinarum accumulates the solutes from the external medium. Addition of external
13C2-Ch resulted in conversion to 13C2-GB, indicating biosynthesis of GB from Ch.
An increase of external salinity up to 21% did not result in an increase in
cytoplasmic sodium concentration in S. salinarum. This, together with the
decrease in the catalytic activities of MDH and ICDH at high salt concentration,
demonstrates that S. salinarum employs the salt-out strategy for haloadaptation.
PMID- 29357352
TI - Molecular detection of airborne Emergomyces africanus, a thermally dimorphic
fungal pathogen, in Cape Town, South Africa.
AB - Emergomyces africanus is a thermally dimorphic fungus that causes a systemic
mycosis in immunocompromised persons in South Africa. Infection is presumed to
follow inhalation of airborne propagules. We developed a quantitative PCR
protocol able to detect as few as 5 Es. africanus propagules per day. Samples
were collected in Cape Town, South Africa over 50 weeks by a Burkard spore trap
with an alternate orifice. We detected Es. africanus in air samples from 34 days
(10%) distributed over 11 weeks. These results suggest environmental exposure to
airborne Es. africanus propagules occurs more commonly in endemic areas than
previously appreciated.
PMID- 29357353
TI - Unique properties of TCR-activated p38 are necessary for NFAT-dependent T-cell
activation.
AB - Nuclear factor of activated T cells (NFAT) transcription factors are required for
induction of T-cell cytokine production and effector function. Although it is
known that activation via the T-cell antigen receptor (TCR) results in 2 critical
steps, calcineurin-mediated NFAT1 dephosphorylation and NFAT2 up-regulation, the
molecular mechanisms underlying each are poorly understood. Here we find that T
cell p38, which is activated by an alternative pathway independent of the mitogen
activated protein (MAP) kinase cascade and with different substrate
specificities, directly controls these events. First, alternatively (but not
classically) activated p38 was required to induce the expression of the AP-1
component c-Fos, which was necessary for NFAT2 expression and cytokine
production. Second, alternatively (but not classically) activated p38
phosphorylated NFAT1 on a heretofore unidentified site, S79, and in its absence
NFAT1 was unable to interact with calcineurin or migrate to the nucleus. These
results demonstrate that the acquisition of unique specificities by TCR-activated
p38 orchestrates NFAT-dependent T-cell functions.
PMID- 29357354
TI - Repression of branched-chain amino acid synthesis in Staphylococcus aureus is
mediated by isoleucine via CodY, and by a leucine-rich attenuator peptide.
AB - Staphylococcus aureus requires branched-chain amino acids (BCAAs; isoleucine,
leucine, valine) for protein synthesis, branched-chain fatty acid synthesis, and
environmental adaptation by responding to their availability via the global
transcriptional regulator CodY. The importance of BCAAs for S. aureus physiology
necessitates that it either synthesize them or scavenge them from the
environment. Indeed S. aureus uses specialized transporters to scavenge BCAAs,
however, its ability to synthesize them has remained conflicted by reports that
it is auxotrophic for leucine and valine despite carrying an intact BCAA
biosynthetic operon. In revisiting these findings, we have observed that S.
aureus can engage in leucine and valine synthesis, but the level of BCAA
synthesis is dependent on the BCAA it is deprived of, leading us to hypothesize
that each BCAA differentially regulates the biosynthetic operon. Here we show
that two mechanisms of transcriptional repression regulate the level of
endogenous BCAA biosynthesis in response to specific BCAA availability. We
identify a trans-acting mechanism involving isoleucine-dependent repression by
the global transcriptional regulator CodY and a cis-acting leucine-responsive
attenuator, uncovering how S. aureus regulates endogenous biosynthesis in
response to exogenous BCAA availability. Moreover, given that isoleucine can
dominate CodY-dependent regulation of BCAA biosynthesis, and that CodY is a
global regulator of metabolism and virulence in S. aureus, we extend the
importance of isoleucine availability for CodY-dependent regulation of other
metabolic and virulence genes. These data resolve the previous conflicting
observations regarding BCAA biosynthesis, and reveal the environmental signals
that not only induce BCAA biosynthesis, but that could also have broader
consequences on S. aureus environmental adaptation and virulence via CodY.
PMID- 29357355
TI - The Arabidopsis SUMO E3 ligase SIZ1 mediates the temperature dependent trade-off
between plant immunity and growth.
AB - Increased ambient temperature is inhibitory to plant immunity including auto
immunity. SNC1-dependent auto-immunity is, for example, fully suppressed at 28
degrees C. We found that the Arabidopsis sumoylation mutant siz1 displays SNC1
dependent auto-immunity at 22 degrees C but also at 28 degrees C, which was EDS1
dependent at both temperatures. This siz1 auto-immune phenotype provided enhanced
resistance to Pseudomonas at both temperatures. Moreover, the rosette size of
siz1 recovered only weakly at 28 degrees C, while this temperature fully rescues
the growth defects of other SNC1-dependent auto-immune mutants. This thermo
insensitivity of siz1 correlated with a compromised thermosensory growth
response, which was independent of the immune regulators PAD4 or SNC1. Our data
reveal that this high temperature induced growth response strongly depends on
COP1, while SIZ1 controls the amplitude of this growth response. This latter
notion is supported by transcriptomics data, i.e. SIZ1 controls the amplitude and
timing of high temperature transcriptional changes including a subset of the
PIF4/BZR1 gene targets. Combined our data signify that SIZ1 suppresses an SNC1
dependent resistance response at both normal and high temperatures. At the same
time, SIZ1 amplifies the dark and high temperature growth response, likely via
COP1 and upstream of gene regulation by PIF4 and BRZ1.
PMID- 29357356
TI - Genetic variants in pachyonychia congenita-associated keratins increase
susceptibility to tooth decay.
AB - Pachyonychia congenita (PC) is a cutaneous disorder primarily characterized by
nail dystrophy and painful palmoplantar keratoderma. PC is caused by mutations in
KRT6A, KRT6B, KRT6C, KRT16, and KRT17, a set of keratin genes expressed in the
nail bed, palmoplantar epidermis, oral mucosal epithelium, hair follicle and
sweat gland. RNA-seq analysis revealed that all PC-associated keratins (except
for Krt6c that does exist in the mouse genome) are expressed in the mouse enamel
organ. We further demonstrated that these keratins are produced by ameloblasts
and are incorporated into mature human enamel. Using genetic and intraoral
examination data from 573 adults and 449 children, we identified several missense
polymorphisms in KRT6A, KRT6B and KRT6C that lead to a higher risk for dental
caries. Structural analysis of teeth from a PC patient carrying a p.Asn171Lys
substitution in keratin-6a (K6a) revealed disruption of enamel rod sheaths
resulting in altered rod shape and distribution. Finally, this PC-associated
substitution as well as more frequent caries-associated SNPs, found in two of the
KRT6 genes, that result in p.Ser143Asn substitution (rs28538343 in KRT6B and
rs151117600 in KRT6C), alter the assembly of K6 filaments in ameloblast-like
cells. These results identify a new set of keratins involved in tooth enamel
formation, distinguish novel susceptibility loci for tooth decay and reveal
additional clinical features of pachyonychia congenita.
PMID- 29357357
TI - What drives the perceptual change resulting from speech motor adaptation?
Evaluation of hypotheses in a Bayesian modeling framework.
AB - Shifts in perceptual boundaries resulting from speech motor learning induced by
perturbations of the auditory feedback were taken as evidence for the involvement
of motor functions in auditory speech perception. Beyond this general statement,
the precise mechanisms underlying this involvement are not yet fully understood.
In this paper we propose a quantitative evaluation of some hypotheses concerning
the motor and auditory updates that could result from motor learning, in the
context of various assumptions about the roles of the auditory and somatosensory
pathways in speech perception. This analysis was made possible thanks to the use
of a Bayesian model that implements these hypotheses by expressing the
relationships between speech production and speech perception in a joint
probability distribution. The evaluation focuses on how the hypotheses can (1)
predict the location of perceptual boundary shifts once the perturbation has been
removed, (2) account for the magnitude of the compensation in presence of the
perturbation, and (3) describe the correlation between these two behavioral
characteristics. Experimental findings about changes in speech perception
following adaptation to auditory feedback perturbations serve as reference.
Simulations suggest that they are compatible with a framework in which motor
adaptation updates both the auditory-motor internal model and the auditory
characterization of the perturbed phoneme, and where perception involves both
auditory and somatosensory pathways.
PMID- 29357358
TI - Effects of a psychological intervention programme on mental stress, coping style
and immune function in percutaneous coronary intervention patients.
AB - PURPOSE: This study aimed to assess the effects of a psychological intervention
programme on the mental stress, coping style and cortisol and IL-2 levels of
patients undergoing percutaneous coronary intervention (PCI). METHODS: A total of
sixty cardiovascular patients scheduled for PCI with clear anxiety and depression
screened by the Hospital Anxiety and Depression Scale were randomly divided into
an experimental (n = 30) and control (n = 30) group. The participants in the
experimental group received cognitive therapy, relaxation therapy and emotional
support. Self-reported questionnaires, including the Self-Report Symptom
Checklist (SCL-90) and the Medical Coping Mode Questionnaire (MCMQ), and levels
of IL-2 and cortisol were collected at baseline and the day before discharge.
RESULTS: Compared with the controls, patients in the intervention group had a
better mental state and coping style (confrontation), higher levels of IL-2 and
lower levels of cortisol (all P<0.05). CONCLUSIONS: The psychological
intervention programme effectively improved mental state, reduced negative coping
styles, increased levels of IL-2, and decreased cortisol levels in patients
undergoing PCI. This programme may be an effective preoperative nursing
intervention for PCI patients. TRIAL REGISTRATION: Chinese Clinical Trail
Registry ChiCTR-IOR-16007864.
PMID- 29357359
TI - Compound heterozygous loss-of-function mutations in KIF20A are associated with a
novel lethal congenital cardiomyopathy in two siblings.
AB - Congenital or neonatal cardiomyopathies are commonly associated with a poor
prognosis and have multiple etiologies. In two siblings, a male and female, we
identified an undescribed type of lethal congenital restrictive cardiomyopathy
affecting the right ventricle. We hypothesized a novel autosomal recessive
condition. To identify the cause, we performed genetic, in vitro and in vivo
studies. Genome-wide SNP typing and parametric linkage analysis was done in a
recessive model to identify candidate regions. Exome sequencing analysis was done
in unaffected and affected siblings. In the linkage regions, we selected
candidate genes that harbor two rare variants with predicted functional effects
in the patients and for which the unaffected sibling is either heterozygous or
homozygous reference. We identified two compound heterozygous variants in KIF20A;
a maternal missense variant (c.544C>T: p.R182W) and a paternal frameshift
mutation (c.1905delT: p.S635Tfs*15). Functional studies confirmed that the R182W
mutation creates an ATPase defective form of KIF20A which is not able to support
efficient transport of Aurora B as part of the chromosomal passenger complex. Due
to this, Aurora B remains trapped on chromatin in dividing cells and fails to
translocate to the spindle midzone during cytokinesis. Translational blocking of
KIF20A in a zebrafish model resulted in a cardiomyopathy phenotype. We identified
a novel autosomal recessive congenital restrictive cardiomyopathy, caused by a
near complete loss-of-function of KIF20A. This finding further illustrates the
relationship of cytokinesis and congenital cardiomyopathy.
PMID- 29357360
TI - Signalling crosstalk during early tumorigenesis in the absence of Polycomb
silencing.
AB - In response to stress and injury a coordinated activation of conserved signalling
modules, such as JNK and JAK/STAT, is critical to trigger regenerative tissue
restoration. While these pathways rebuild homeostasis and promote faithful organ
recovery, it is intriguing that they also become activated in various tumour
conditions. Therefore, it is crucial to understand how similar pathways can
achieve context-dependent functional outputs, likely depending on cellular
states. Compromised chromatin regulation, upon removal of the Polycomb group
member polyhomeotic, leads to tumour formation with ectopic activation of JNK
signalling, mediated by egr/grnd, in addition to JAK/STAT and Notch. Employing
quantitative analyses, we show that blocking ectopic signalling impairs ph tumour
growth. Furthermore, JAK/STAT functions in parallel to JNK, while Notch relies on
JNK. Here, we reveal a signalling hierarchy in ph tumours that is distinct from
the regenerative processes regulated by these pathways. Absence of ph renders a
permissive state for expression of target genes, but our results suggest that
both loss of repression and the presence of activators may collectively regulate
gene expression during tumorigenesis. Further dissecting the effect of
signalling, developmental or stress-induced factors will thus elucidate the
regulation of physiological responses and the contribution of context-specific
cellular states.
PMID- 29357361
TI - Defining objective clusters for rabies virus sequences using affinity propagation
clustering.
AB - Rabies is caused by lyssaviruses, and is one of the oldest known zoonoses. In
recent years, more than 21,000 nucleotide sequences of rabies viruses (RABV),
from the prototype species rabies lyssavirus, have been deposited in public
databases. Subsequent phylogenetic analyses in combination with metadata suggest
geographic distributions of RABV. However, these analyses somewhat experience
technical difficulties in defining verifiable criteria for cluster allocations in
phylogenetic trees inviting for a more rational approach. Therefore, we applied a
relatively new mathematical clustering algorythm named 'affinity propagation
clustering' (AP) to propose a standardized sub-species classification utilizing
full-genome RABV sequences. Because AP has the advantage that it is
computationally fast and works for any meaningful measure of similarity between
data samples, it has previously been applied successfully in bioinformatics, for
analysis of microarray and gene expression data, however, cluster analysis of
sequences is still in its infancy. Existing (516) and original (46) full genome
RABV sequences were used to demonstrate the application of AP for RABV
clustering. On a global scale, AP proposed four clusters, i.e. New World cluster,
Arctic/Arctic-like, Cosmopolitan, and Asian as previously assigned by
phylogenetic studies. By combining AP with established phylogenetic analyses, it
is possible to resolve phylogenetic relationships between verifiably determined
clusters and sequences. This workflow will be useful in confirming cluster
distributions in a uniform transparent manner, not only for RABV, but also for
other comparative sequence analyses.
PMID- 29357362
TI - Large-scale reduction of tyrosine kinase activities in human monocytes stimulated
in vitro with N. meningitidis.
AB - N. meningitidis induces extensive gene expression changes in human monocytes,
suggesting that complex networks of signaling pathways are activated during
meningococcal sepsis. These effects are modulated by the anti-inflammatory
cytokine interleukin-10 (IL-10). To further study changes in signal transduction
suggested by mRNA data, we used kinase substrate arrays to identify composite
kinase activities induced by lysates from a primary human monocyte model system.
Cell lysates were prepared from monocytes treated with the following experimental
conditions: 106 N. meningitidis/mL, 25 ng/mL IL-10, 106 N. meningitidis/mL in
combination with 25 ng/mL IL-10, and vehicle. Lysates were subjected to kinase
activity profiling with Tyrosine Kinase PamChip(r) arrays containing 144 kinase
peptide substrates. In our experimental model, we were not able to detect a
statistically significant large-scale change in ex vivo array peptide
phosphorylation by lysates from monocytes treated for 15 minutes. Targets of the
IL-10 anti-inflammatory response were not identified. A profound inhibition of
array peptide phosphorylation by monocytes treated for 60 minutes was identified,
suggesting low activity of a large number of kinases associated with different
signaling pathways and immune cell functions, including STAT3 activity, Nf-kappaB
and VEGF signaling, and PTEN signaling activity. The peptide representing ZBTB16,
which was reduced in phosphorylation by lysates from all three experimental
conditions, was in Ingenuity Pathway Analysis identified to be linked to reduced
cytokine release and mRNA levels of tumor necrosis factor (TNF), IL-6, and
CXCL10. Further studies should investigate changes in tyrosine kinase-mediated
signal transduction in human immune cells, in order to evaluate the potential
clinical application of kinome profiling in the study of systemic inflammatory
responses to pathogens.
PMID- 29357363
TI - Unreported cases in the 2014-2016 Ebola epidemic: Spatiotemporal variation, and
implications for estimating transmission.
AB - In the recent 2014-2016 Ebola epidemic in West Africa, non-hospitalized cases
were an important component of the chain of transmission. However, non
hospitalized cases are at increased risk of going unreported because of barriers
to access to healthcare. Furthermore, underreporting rates may fluctuate over
space and time, biasing estimates of disease transmission rates, which are
important for understanding spread and planning control measures. We performed a
retrospective analysis on community deaths during the recent Ebola epidemic in
Sierra Leone to estimate the number of unreported non-hospitalized cases, and to
quantify how Ebola reporting rates varied across locations and over time. We then
tested if variation in reporting rates affected the estimates of disease
transmission rates that were used in surveillance and response. We found
significant variation in reporting rates among districts, and district-specific
rates of increase in reporting over time. Correcting time series of numbers of
cases for variable reporting rates led, in some instances, to different estimates
of the time-varying reproduction number of the epidemic, particularly outside the
capital. Future analyses that compare Ebola transmission rates over time and
across locations may be improved by considering the impacts of differential
reporting rates.
PMID- 29357364
TI - Unraveling the novel effects of aroma from small molecules in preventing hen egg
white lysozyme amyloid fibril formation.
AB - This study investigated for the first time the molecular effectiveness of 'aroma'
from three small molecules including a phenol (phenyl ethyl alcohol; PEA) and an
aldehyde (cinnamaldehyde; Cin) both containing an aromatic ring, and a diamine
(N,N,N,N'- Tetramethylethylenediamine; TEMED) at two different amounts (small; S
and large; L) in preventing hen egg white lysozyme (HEWL) amyloid fibril
formation using Thioflavin T and Nile red fluorescence assays, circular dichroism
spectroscopy, SDS-polyacrylamide gel electrophoresis, atomic force microscopy,
dynamic light scattering and HEWL activity test. Interestingly, the results
revealed that (1) the aroma of PEA, identified as an active constituent of Rosa
damascena, prevented fibril formation since PEA-L was able to trap the oligomeric
form of HEWL in contrast to PEA-S where protofibrils but not mature fibrils were
formed; (2) Cin, previously shown to prevent fibril formation in the liquid form,
was also shown to do so in the aroma form by producing protofibrils and not
mature fibrils in both Cin- L and Cin-S aroma forms and (3) the aroma of TEMED-L
was able to retain HEWL's native structure completely and prevented both
aggregation and fibril formation, while TEMED-S prevented HEWL fibril formation
and instead directed the pathway towards amorphous aggregate formation.
Furthermore, the ability to trap oligomeric species (by PEA-L aroma) is of great
importance for further research as it provides routes for preventing the
formation of toxic oligomeric intermediates along the fibrillation pathway. Last
but not least, the novelty of this in vitro study on the effect of aroma at the
molecular level with a unique experimental set-up using HEWL as a model protein
in assessing amyloid fibril formation paves the way for more and detailed studies
on the importance of aroma producing molecules and their effects.
PMID- 29357365
TI - Sanitation and water supply coverage thresholds associated with active trachoma:
Modeling cross-sectional data from 13 countries.
AB - BACKGROUND: Facial cleanliness and sanitation are postulated to reduce trachoma
transmission, but there are no previous data on community-level herd protection
thresholds. We characterize associations between active trachoma, access to
improved sanitation facilities, and access to improved water sources for the
purpose of face washing, with the aim of estimating community-level or herd
protection thresholds. METHODS AND FINDINGS: We used cluster-sampled Global
Trachoma Mapping Project data on 884,850 children aged 1-9 years from 354,990
households in 13 countries. We employed multivariable mixed-effects modified
Poisson regression models to assess the relationships between water and
sanitation coverage and trachomatous inflammation-follicular (TF). We observed
lower TF prevalence among those with household-level access to improved
sanitation (prevalence ratio, PR = 0.87; 95%CI: 0.83-0.91), and household-level
access to an improved washing water source in the residence/yard (PR = 0.81;
95%CI: 0.75-0.88). Controlling for household-level water and latrine access, we
found evidence of community-level protection against TF for children living in
communities with high sanitation coverage (PR80-90% = 0.87; 95%CI: 0.73-1.02;
PR90-100% = 0.76; 95%CI: 0.67-0.85). Community sanitation coverage levels greater
than 80% were associated with herd protection against TF (PR = 0.77; 95%CI: 0.62
0.97)-that is, lower TF in individuals whose households lacked individual
sanitation but who lived in communities with high sanitation coverage. For
community-level water coverage, there was no apparent threshold, although we
observed lower TF among several of the higher deciles of community-level water
coverage. CONCLUSIONS: Our study provides insights into the community water and
sanitation coverage levels that might be required to best control trachoma. Our
results suggest access to adequate water and sanitation can be important
components in working towards the 2020 target of eliminating trachoma as a public
health problem.
PMID- 29357366
TI - MAIT cells are activated in acute Dengue virus infection and after in vitro Zika
virus infection.
AB - Dengue virus (DENV) and Zika virus (ZIKV) are members of the Flaviviridae and are
predominantly transmitted via mosquito bites. Both viruses are responsible for a
growing number of infections in tropical and subtropical regions. DENV infection
can cause lethargy with severe morbidity and dengue shock syndrome leading to
death in some cases. ZIKV is now linked with Guillain-Barre syndrome and fetal
malformations including microcephaly and developmental disorders (congenital Zika
syndrome). The protective and pathogenic roles played by the immune response in
these infections is unknown. Mucosal-associated invariant T (MAIT) cells are a
population of innate T cells with potent anti-bacterial activity. MAIT cells have
also been postulated to play a role in the immune response to viral infections.
In this study, we evaluated MAIT cell frequency, phenotype, and function in
samples from subjects with acute and convalescent DENV infection. We found that
in acute DENV infection, MAIT cells had elevated co-expression of the activation
markers CD38 and HLA-DR and had a poor IFNgamma response following bacterial
stimulation. Furthermore, we found that MAIT cells can produce IFNgamma in
response to in vitro infection with ZIKV. This MAIT cell response was independent
of MR1, but dependent on IL-12 and IL-18. Our results suggest that MAIT cells may
play an important role in the immune response to Flavivirus infections.
PMID- 29357367
TI - Genetic diversity, breed composition and admixture of Kenyan domestic pigs.
AB - The genetic diversity of African pigs, whether domestic or wild has not been
widely studied and there is very limited published information available.
Available data suggests that African domestic pigs originate from different
domestication centers as opposed to international commercial breeds. We evaluated
two domestic pig populations in Western Kenya, in order to characterize the
genetic diversity, breed composition and admixture of the pigs in an area known
to be endemic for African swine fever (ASF). One of the reasons for
characterizing these specific populations is the fact that a proportion of
indigenous pigs have tested ASF virus (ASFv) positive but do not present with
clinical symptoms of disease indicating some form of tolerance to infection. Pigs
were genotyped using either the porcine SNP60 or SNP80 chip. Village pigs were
sourced from Busia and Homabay counties in Kenya. Because bush pigs
(Potamochoerus larvatus) and warthogs (Phacochoerus spp.) are known to be
tolerant to ASFv infection (exhibiting no clinical symptoms despite infection),
they were included in the study to assess whether domestic pigs have similar
genomic signatures. Additionally, samples representing European wild boar and
international commercial breeds were included as references, given their
potential contribution to the genetic make-up of the target domestic populations.
The data indicate that village pigs in Busia are a non-homogenous admixed
population with significant introgression of genes from international commercial
breeds. Pigs from Homabay by contrast, represent a homogenous population with a
"local indigenous' composition that is distinct from the international breeds,
and clusters more closely with the European wild boar than African wild pigs.
Interestingly, village pigs from Busia that tested negative by PCR for ASFv
genotype IX, had significantly higher local ancestry (>54%) compared to those
testing positive, which contained more commercial breed gene introgression. This
may have implication for breed selection and utilization in ASF endemic areas. A
genome wide scan detected several regions under preferential selection with
signatures for pigs from Busia and Homabay being very distinct. Additionally,
there was no similarity in specific genes under selection between the wild pigs
and domestic pigs despite having some broad areas under similar selection
signatures. These results provide a basis to explore possible genetic
determinants underlying tolerance to infection by ASFv genotypes and suggests
multiple pathways for genetically mediated ASFv tolerance given the diversity of
selection signatures observed among the populations studied.
PMID- 29357368
TI - Serum apolipoprotein E may be a novel biomarker of migraine.
AB - Migraine attacks alter various molecules that might be related to the
pathophysiology of migraine, such as serotonin, calcitonin gene-related peptide,
and nitric oxide. The underlying pathophysiology of migraine is as yet unclear.
We explored key proteins related to the pathogenesis of migraine here. Serum was
collected from two patients with migraine with aura (MA) and seven patients with
migraine without aura (MO) during attack-free periods and migraine attacks.
Samples were analyzed using 2-dimensional gel electrophoresis. Nineteen protein
spots were altered between the attack-free versus migraine attack periods. Mass
spectrometric analysis was performed to identify the proteins within each of the
19 altered spots. Thirty-six proteins were significantly altered in samples
collected during attack-free periods versus migraine attacks. The protein with
the statistically most significant MASCOT/Mowse score (268+/-112) among
lipoproteins was apolipoprotein (ApoE). In the MA and MO groups, ApoE protein
levels were significantly higher during migraine attack than during the attack
free period (p<0.05). ApoE protein levels were also significantly increased in
the MA group during the attack-free period compared to healthy controls and
patients with tension type headaches (p<0.01). Migraine alters ApoE levels,
especially in MA. ApoE might play an important role in the pathophysiology of
migraine, and may act as a diagnostic biomarker of migraine.
PMID- 29357369
TI - Relationship between QTL for grain shape, grain weight, test weight, milling
yield, and plant height in the spring wheat cross RL4452/'AC Domain'.
AB - Kernel morphology characteristics of wheat are complex and quantitatively
inherited. A doubled haploid (DH) population of the cross RL4452/'AC Domain' was
used to study the genetic basis of seed shape. Quantitative trait loci (QTL)
analyses were conducted on a total of 18 traits: 14 grain shape traits, flour
yield (Fyd), and three agronomic traits (Plant height [Plht], 1000 Grain weight
[Gwt], Test weight [Twt]), using data from trial locations at Glenlea, Brandon,
and Morden in Manitoba, Canada, between 1999 and 2004. Kernel shape was studied
through digital image analysis with an Acurum(r) grain analyzer. Plht, Gwt, Twt,
Fyd, and grain shape QTL were correlated with each other and QTL analysis
revealed that QTL for these traits often mapped to the same genetic locations.
The most significant QTL for the grain shape traits were located on chromosomes
4B and 4D, each accounting for up to 24.4% and 53.3% of the total phenotypic
variation, respectively. In addition, the most significant QTL for Plht, Gwt, and
Twt were all detected on chromosome 4D at the Rht-D1 locus. Rht-D1b decreased
Plht, Gwt, Twt, and kernel width relative to the Rht-D1a allele. A narrow genetic
interval on chromosome 4B contained significant QTL for grain shape, Gwt, and
Plht. The 'AC Domain' allele reduced Plht, Gwt, kernel length and width traits,
but had no detectable effect on Twt. The data indicated that this variation was
inconsistent with segregation at Rht-B1. Numerous QTL were identified that
control these traits in this population.
PMID- 29357370
TI - Parallel PI3K, AKT and mTOR inhibition is required to control feedback loops that
limit tumor therapy.
AB - Targeting the PI3K pathway has achieved limited success in cancer therapy. One
reason for the disappointing activity of drugs that interfere with molecules that
are important player in this pathway is the induction of multiple feedback loops
that have been only partially understood. To understand these limitations and
develop improved treatment strategies, we comprehensively characterized molecular
mechanisms of PI3K pathway signaling in bladder cancer cell lines upon using
small molecule inhibitors and RNAi technologies against all key molecules and
protein complexes within the pathway and analyzed functional and molecular
consequences. When targeting either mTORC1, mTOR, AKT or PI3K, only S6K1
phosphorylation was affected in most cell lines examined. Dephosphorylation of 4E
BP1 required combined inhibition of PI3K and mTORC1, independent from AKT, and
resulted in a robust reduction in cell viability. Long-term inhibition of PI3K
however resulted in a PDK1-dependent, PIP3 and mTORC2 independent
rephosphorylation of AKT. AKT rephosphorylation could also be induced by mTOR or
PDK1 inhibition. Combining PI3K/mTOR inhibitors with AKT or PDK1 inhibitors
suppressed this rephosphorylation, induced apoptosis, decreased colony formation,
cell viability and growth of tumor xenografts. Our findings reveal novel
molecular mechanisms that explain the requirement for simultaneous targeting of
PI3K, AKT and mTORC1 to achieve effective tumor growth inhibition.
PMID- 29357371
TI - Evaluating the impact of fast-fMRI on dynamic functional connectivity in an event
based paradigm.
AB - The human brain is known to contain several functional networks that interact
dynamically. Therefore, it is desirable to analyze the temporal features of these
networks by dynamic functional connectivity (dFC). A sliding window approach was
used in an event-related fMRI (visual stimulation using checkerboards) to assess
the impact of repetition time (TR) and window size on the temporal features of
BOLD dFC. In addition, we also examined the spatial distribution of dFC and
tested the feasibility of this approach for the analysis of interictal
epileptiforme discharges. 15 healthy controls (visual stimulation paradigm) and
three patients with epilepsy (EEG-fMRI) were measured with EPI-fMRI. We
calculated the functional connectivity degree (FCD) by determining the total
number of connections of a given voxel above a predefined threshold based on
Pearson correlation. FCD could capture hemodynamic changes relative to stimulus
onset in controls. A significant effect of TR and window size was observed on FCD
estimates. At a conventional TR of 2.6 s, FCD values were marginal compared to
FCD values using sub-seconds TRs achievable with multiband (MB) fMRI. Concerning
window sizes, a specific maximum of FCD values (inverted u-shape behavior) was
found for each TR, indicating a limit to the possible gain in FCD for increasing
window size. In patients, a dynamic FCD change was found relative to the onset of
epileptiform EEG patterns, which was compatible with their clinical semiology.
Our findings indicate that dynamic FCD transients are better detectable with sub
second TR than conventional TR. This approach was capable of capturing neuronal
connectivity across various regions of the brain, indicating a potential to study
the temporal characteristics of interictal epileptiform discharges and seizures
in epilepsy patients or other brain diseases with brief events.
PMID- 29357372
TI - Inhibitors of Trypanosoma cruzi Sir2 related protein 1 as potential drugs against
Chagas disease.
AB - Chagas disease remains one of the most neglected diseases in the world despite
being the most important parasitic disease in Latin America. The characteristic
chronic manifestation of chagasic cardiomyopathy is the region's leading cause of
heart-related illness, causing significant mortality and morbidity. Due to the
limited available therapeutic options, new drugs are urgently needed to control
the disease. Sirtuins, also called Silent information regulator 2 (Sir2) proteins
have long been suggested as interesting targets to treat different diseases,
including parasitic infections. Recent studies on Trypanosoma cruzi sirtuins have
hinted at the possibility to exploit these enzymes as a possible drug targets. In
the present work, the T. cruzi Sir2 related protein 1 (TcSir2rp1) is genetically
validated as a drug target and biochemically characterized for its NAD+-dependent
deacetylase activity and its inhibition by the classic sirtuin inhibitor
nicotinamide, as well as by bisnaphthalimidopropyl (BNIP) derivatives, a class of
parasite sirtuin inhibitors. BNIPs ability to inhibit TcSir2rp1, and anti
parasitic activity against T. cruzi amastigotes in vitro were investigated. The
compound BNIP Spermidine (BNIPSpd) (9), was found to be the most potent inhibitor
of TcSir2rp1. Moreover, this compound showed altered trypanocidal activity
against TcSir2rp1 overexpressing epimastigotes and anti-parasitic activity
similar to the reference drug benznidazole against the medically important
amastigotes, while having the highest selectivity index amongst the compounds
tested. Unfortunately, BNIPSpd failed to treat a mouse model of Chagas disease,
possibly due to its pharmacokinetic profile. Medicinal chemistry modifications of
the compound, as well as alternative formulations may improve activity and
pharmacokinetics in the future. Additionally, an initial TcSIR2rp1 model in
complex with p53 peptide substrate was obtained from low resolution X-ray data
(3.5 A) to gain insight into the potential specificity of the interaction with
the BNIP compounds. In conclusion, the search for TcSir2rp1 specific inhibitors
may represent a valuable strategy for drug discovery against T. cruzi.
PMID- 29357374
TI - Global estimation of areas with suitable environmental conditions for mariculture
species.
AB - Aquaculture has grown rapidly over the last three decades expanding at an average
annual growth rate of 5.8% (2005-2014), down from 8.8% achieved between 1980 and
2010. The sector now produces 44% of total food fish production. Increasing
demand and consumption from a growing global population are driving further
expansion of both inland and marine aquaculture (i.e., mariculture, including
marine species farmed on land). However, the growth of mariculture is dependent
on the availability of suitable farming areas for new facilities, particularly
for open farming practices that rely on the natural oceanic environmental
parameters such as temperature, oxygen, chlorophyll etc. In this study, we
estimated the marine areas within the exclusive economic zones of all countries
that were suitable for potential open ocean mariculture activities. To this end,
we quantify the environmental niche and inferred the global habitat suitability
index (HSI) of the 102 most farmed marine species using four species distribution
models. The average weighted HSI across the four models suggests that 72,000,000
km2 of ocean are to be environmentally suitable to farm one or more species.
About 92% of the predicted area (66,000,000 km2) is environmentally suitable for
farming finfish, 43% (31,000,000 km2) for molluscs and 54% (39,000,000 km2) for
crustaceans. These predictions do not consider technological feasibility that can
limit crustaceans farming in open waters. Suitable mariculture areas along the
Atlantic coast of South America and West Africa appear to be most under-utilized
for farming. Our results suggest that factors other than environmental
considerations such as the lack of socio-economic and technological capacity, as
well as aqua feed supply are currently limiting the potential for mariculture
expansion in many areas.
PMID- 29357373
TI - Internal and external cooling methods and their effect on body temperature,
thermal perception and dexterity.
AB - OBJECTIVE: The present study aimed to compare a range of cooling methods possibly
utilised by occupational workers, focusing on their effect on body temperature,
perception and manual dexterity. METHODS: Ten male participants completed eight
trials involving 30 min of seated rest followed by 30 min of cooling or control
of no cooling (CON) (34 degrees C, 58% relative humidity). The cooling methods
utilised were: ice cooling vest (CV0), phase change cooling vest melting at 14
degrees C (CV14), evaporative cooling vest (CVEV), arm immersion in 10 degrees C
water (AI), portable water-perfused suit (WPS), heliox inhalation (HE) and ice
slushy ingestion (SL). Immediately before and after cooling, participants were
assessed for fine (Purdue pegboard task) and gross (grip and pinch strength)
manual dexterity. Rectal and skin temperature, as well as thermal sensation and
comfort, were monitored throughout. RESULTS: Compared with CON, SL was the only
method to reduce rectal temperature (P = 0.012). All externally applied cooling
methods reduced skin temperature (P<0.05), though CV0 resulted in the lowest skin
temperature versus other cooling methods. Participants felt cooler with CV0,
CV14, WPS, AI and SL (P<0.05). AI significantly impaired Purdue pegboard
performance (P = 0.001), but did not affect grip or pinch strength (P>0.05).
CONCLUSION: The present study observed that ice ingestion or ice applied to the
skin produced the greatest effect on rectal and skin temperature, respectively.
AI should not be utilised if workers require subsequent fine manual dexterity.
These results will help inform future studies investigating appropriate pre
cooling methods for the occupational worker.
PMID- 29357376
TI - Single domain based bispecific antibody, Muc1-Bi-1, and its humanized form, Muc1
Bi-2, induce potent cancer cell killing in muc1 positive tumor cells.
AB - Muc1 is one of the most studied tumor antigens. However, antibodies or antibody
toxin conjugates against Muc1 have not shown significant efficacy for tumors with
Muc1 overexpression. In this study, we employed bispecific antibody approach to
target Muc1 positive tumor cells. A novel bispecific antibody, Muc1-Bi-1, was
constructed by linking single domain antibodies, anti-Muc1-VHH and anti-CD16-VHH.
Muc1-Bi-2, the humanized form of Muc1-Bi-1, was also constructed by grafting.
Both Muc1-Bi bispecific antibodies can be efficiently expressed and purified from
bacteria. In vitro, the Muc1-Bi bispecific antibodies can recruit Natural Killer
(NK) cells to drive potent and specific cell killing of Muc1-overexpressing tumor
cells. In xenograft model, the Muc1-Bi bispecific antibodies can suppress tumor
growth in the presence of human peripheral blood mononuclear cells (PBMC). These
data suggested that the single domain based Muc1-Bi may provide a valid strategy
for targeting tumors with Muc1 overexpression.
PMID- 29357375
TI - Identification of a novel protein complex essential for effector translocation
across the parasitophorous vacuole membrane of Toxoplasma gondii.
AB - Toxoplasma gondii is an obligate intracellular parasite that can infect virtually
all nucleated cells in warm-blooded animals. The ability of Toxoplasma
tachyzoites to infect and successfully manipulate its host is dependent on its
ability to transport "GRA" proteins that originate in unique secretory organelles
called dense granules into the host cell in which they reside. GRAs have diverse
roles in Toxoplasma's intracellular lifecycle, including co-opting crucial host
cell functions and proteins, such as the cell cycle, c-Myc and p38 MAP kinase.
Some of these GRA proteins, such as GRA16 and GRA24, are secreted into the
parasitophorous vacuole (PV) within which Toxoplasma replicates and are
transported across the PV membrane (PVM) into the host cell, but the
translocation process and its machinery are not well understood. We previously
showed that TgMYR1, which is cleaved by TgASP5 into two fragments, localizes to
the PVM and is essential for GRA transport into the host cell. To identify
additional proteins necessary for effector transport, we screened Toxoplasma
mutants defective in c-Myc up-regulation for their ability to export GRA16 and
GRA24 to the host cell nucleus. Here we report that novel proteins MYR2 and MYR3
play a crucial role in translocation of a subset of GRAs into the host cell. MYR2
and MYR3 are secreted into the PV space and co-localize with PV membranes and
MYR1. Consistent with their predicted transmembrane domains, all three proteins
are membrane-associated, and MYR3, but not MYR2, stably associates with MYR1,
whose N- and C-terminal fragments are disulfide-linked. We further show that
fusing intrinsically disordered effectors to a structured DHFR domain blocks the
transport of other effectors, consistent with a translocon-based model of
effector transport. Overall, these results reveal a novel complex at the PVM that
is essential for effector translocation into the host cell.
PMID- 29357378
TI - Low cost automated whole smear microscopy screening system for detection of acid
fast bacilli.
AB - BACKGROUND: In countries with high tuberculosis (TB) burden, there is urgent need
for rapid, large-scale screening to detect smear-positive patients. We developed
a computer-aided whole smear screening system that focuses in real-time, captures
images and provides diagnostic grading, for both bright-field and fluorescence
microscopy for detection of acid-fast-bacilli (AFB) from respiratory specimens.
OBJECTIVES: To evaluate the performance of dual-mode screening system in AFB
diagnostic algorithms on concentrated smears with auramine O (AO) staining, as
well as direct smears with AO and Ziehl-Neelsen (ZN) staining, using
mycobacterial culture results as gold standard. METHODS: Adult patient sputum
samples requesting for M. tuberculosis cultures were divided into three batches
for staining: direct AO-stained, direct ZN-stained and concentrated smears AO
stained. All slides were graded by an experienced microscopist, in parallel with
the automated whole smear screening system. Sensitivity and specificity of a TB
diagnostic algorithm in using the screening system alone, and in combination with
a microscopist, were evaluated. RESULTS: Of 488 direct AO-stained smears, 228
were culture positive. These yielded a sensitivity of 81.6% and specificity of
74.2%. Of 334 direct smears with ZN staining, 142 were culture positive, which
gave a sensitivity of 70.4% and specificity of 76.6%. Of 505 concentrated smears
with AO staining, 250 were culture positive, giving a sensitivity of 86.4% and
specificity of 71.0%. To further improve performance, machine grading was
confirmed by manual smear grading when the number of AFBs detected fell within an
uncertainty range. These combined results gave significant improvement in
specificity (AO-direct:85.4%; ZN-direct:85.4%; AO-concentrated:92.5%) and slight
improvement in sensitivity while requiring only limited manual workload.
CONCLUSION: Our system achieved high sensitivity without substantially
compromising specificity when compared to culture results. Significant
improvement in specificity was obtained when uncertain results were confirmed by
manual smear grading. This approach had potential to substantially reduce
workload of microscopists in high burden countries.
PMID- 29357377
TI - A systematic review of regulatory and educational interventions to reduce the
burden associated with the prescriptions of sedative-hypnotics in adults treated
for sleep disorders.
AB - BACKGROUND: The burden of Sedative-Hypnotics (SHs) has been known since the
1980s. Yet, their consumption remains high. A systematic review of the literature
should help to assess efficient interventions to improve the appropriate use of
SHs in sleep disorders. OBJECTIVES: To identify and assess regulatory and
educational interventions designed to improve the appropriate use of SHs for
insomnia treatment. METHODS: We conducted a systematic review of the literature
according to PRISMA guidelines. A systematic search covering the period 1980-2015
was carried out in Medline, Web of Science, Embase and PsycInfo. We included
studies reporting the implementation of regulatory or educational strategies
directed towards patients and/or healthcare professionals to improve the
appropriate use of SHs to treat insomnia in the community, hospitals and nursing
homes. RESULTS: Thirty-one studies were included: 23 assessed educational
interventions (recommendations by mail/email, computer alerts, meetings, mass
media campaigns, prescription profile), 8 assessed regulatory interventions
(prescription rule restriction, end of reimbursement). The most recent was
implemented in 2009. Restrictive prescription rules were effective to reduce the
consumption of targeted SHs but led to a switch to other non-recommended SHs.
Among educational interventions, only 3 studies out of 7 reported positive
results of mono-faceted interventions; whereas, 13 out of the 16 multi-faceted
interventions were reported as efficient: particularly, the active involvement of
healthcare professionals and patients and the spread of information through mass
media were successful. The risk of bias was high for 24 studies (mainly due to
the design), moderate for 3 studies and weak for 4 studies. CONCLUSION:
Educational multifaceted studies are presented as the most efficient. But further
better designed studies are needed to make evidence-based results more
generalizable.
PMID- 29357381
TI - Influence of tow duration on catch performance of trawl survey in the
Mediterranean Sea.
AB - The aim of this study was to assess the effect of tow duration on catch per unit
of swept area (CPUE), trawl catch performance, and the proportion of the species
caught in a trawl survey. Longer tows are expected to have a greater probability
of catching species. An average of 26 species were caught in the first 30
minutes, whereas only about one additional species was caught in the next 30
minutes in longer tows. The shorter tows involved a decrement in catch weight for
11 of the 12 target species sampled, demonstrating that tow duration did affect
catch per unit of swept area CPUE. The shorter tows were associated with a
significant reduction of the overall CPUE in terms of weight of the main target
species and of the total catch (circa 60%). The same strong reduction of around
70% was found in particular for European hake (Merluccius merluccius) and
surmullet (Mullus spp) and 50% for Nephrops (Nephrops norvegicus). The shorter
tows were less efficient in catching large-sized hake, surmullet, Nephrops,
Atlantic horse mackerel (Trachurus trachurus), and poor cod (Trisopterus
minutus), even though the difference was significant only for Nephrops.
Regardless of the p-value statistic, these findings suggest that the continuity
of survey time series would be severely impaired by changing tow duration.
Further work is required to explore a way to reduce tow duration without reducing
CPUE.
PMID- 29357379
TI - Impact of chronic exposure to the pesticide chlorpyrifos on respiratory
parameters and sleep apnea in juvenile and adult rats.
AB - The widely used organophosphorus pesticide chlorpyrifos (CPF) is often detected
in food. CPF inhibits acetylcholinesterase and can modify muscle contractility
and respiratory patterns. We studied the effects of chronic exposure to CPF on
respiratory parameters and diaphragm contractility in 21- and 60-days old rats.
Pregnant rats were exposed to oral CPF (1 or 5 mg/ kg /day: CPF-1 or CPF-5 groups
vs vehicle: controls) from gestation onset up to weaning of the pups that were
individually gavaged (CPF or vehicle) thereafter. Two developmental time points
were studied: weaning (day 21) and adulthood (day 60). Whole-body plethysmography
was used to score breathing patterns and apnea index during sleep. Then,
diaphragm strips were dissected for the assessment of contractility and
acetylcholinesterase activity. Results showed that the sleep apnea index was
higher in CPF-exposed rats than in controls. In adult rats, the expiratory time
and tidal volume were higher in CPF-exposed animals than in controls. At both
ages, the diaphragm's amplitude of contraction and fatigability index were higher
in the CPF-5 group, due to lower acetylcholinesterase activity. We conclude that
chronic exposure to CPF is associated with higher sleep apnea index and diaphragm
contractility, and modifies respiratory patterns in sleeping juvenile and adult
rats.
PMID- 29357380
TI - Structured peer-led diabetes self-management and support in a low-income country:
The ST2EP randomised controlled trial in Mali.
AB - OBJECTIVES: Our objective was to evaluate the effectiveness of peer-led self
management education in improving glycaemic control in patients with type 2
diabetes in a low-income country (Mali). METHODS: We conducted an open-label
randomised controlled trial. A total of 151 adults (76% women, mean age 52.5)
with type 2 diabetes (HbA1c>=8%), treated in the diabetes consultation units of
two secondary health centres in Bamako, were allocated to peer-led structured
patient education (n = 76) or conventional care alone (n = 75). The intervention
group received 1 year of culturally tailored structured patient education (3
courses of 4 sessions) delivered in the community by five trained peer educators.
Both groups underwent conventional diabetes monitoring and follow-up. Primary
outcome was the mean absolute change in HbA1c from baseline to 12 months.
RESULTS: 177 education sessions were delivered to the intervention group. Patient
attrition was 8%. From baseline to 12 months, the decrease in HbA1c levels was
1.05% (SD = 2.0; CI95%: 1.54;-0.56) in the intervention group compared with 0.15%
(SD = 1.7; CI95%: -0.56; 0.26) in the control group, p = 0.006. Mean BMI change
was -1.65 kg/m2 (SD = 2.5; CI95%: -2.25; -1.06) in the intervention group and
+0.05 kg/m2 (SD = 3.2; CI95%: -0.71; 0.81) in the control group, p = 0.0005. Mean
waist circumference decreased by 3.34 cm (SD = 9.3; CI95%: -5.56;-1.13) in the
intervention group and increased by 2.65 cm (SD = 10.3; CI95%: 0.20; 5.09) in the
control group, p = 0.0003. CONCLUSIONS: Peer-led structured patient education
delivered over 1 year to patients with poorly controlled type 2 diabetes in Mali
yielded substantial improvements in glycaemic control and anthropometric
parameters. This is of importance for the scaling up of efficient interventions
in low-resource settings in the future. TRIAL REGISTRATION: ClinicalTrials.gov
NCT01485913.
PMID- 29357382
TI - Environmental DNA (eDNA): A tool for quantifying the abundant but elusive round
goby (Neogobius melanostomus).
AB - Environmental DNA (eDNA) is revolutionizing biodiversity monitoring, occupancy
estimates, and real-time detections of invasive species. In the Great Lakes, the
round goby (Neogobius melanostomus), an invasive benthic fish from the Black Sea,
has spread to encompass all five lakes and many tributaries, outcompeting or
consuming native species; however, estimates of round goby abundance are
confounded by behavior and habitat preference, which impact reliable methods for
estimating their population. By integrating eDNA into round goby monitoring,
improved estimates of biomass may be obtainable. We conducted mesocosm
experiments to estimate rates of goby DNA shedding and decay. Further, we
compared eDNA with several methods of traditional field sampling to compare its
use as an alternative/complementary monitoring method. Environmental DNA decay
was comparable to other fish species, and first-order decay was lower at 12
degrees C (k = 0.043) than at 19 degrees C (k = 0.058). Round goby eDNA was
routinely detected in known invaded sites of Lake Michigan and its tributaries
(range log10 4.8-6.2 CN/L), but not upstream of an artificial fish barrier.
Traditional techniques (mark-recapture, seining, trapping) in Lakes Michigan and
Huron resulted in fewer, more variable detections than eDNA, but trapping and
eDNA were correlated (Pearson R = 0.87). Additional field testing will help
correlate round goby abundance with eDNA, providing insight on its role as a prey
fish and its impact on food webs.
PMID- 29357383
TI - The relation between household income and surgical outcome in the Dutch setting
of equal access to and provision of healthcare.
AB - BACKGROUND: The impact of socioeconomic disparities on surgical outcome in the
absence of healthcare inequality remains unclear. Therefore, we set out to
determine the association between socioeconomic status (SES), reflected by
household income, and overall survival after surgery in the Dutch setting of
equal access and provision of care. Additionally, we aim to assess whether SES is
associated with cause-specific survival and major 30-day complications. METHODS:
Patients undergoing surgery between March 2005 and December 2006 in a general
teaching hospital in the Netherlands were prospectively included. Adjusted
logistic and cox regression analyses were used to assess the independent
association of SES-quantified by gross household income-with major 30-day
complications and long-term postoperative survival. RESULTS: A total of 3929
patients were included, with a median follow-up of 6.3 years. Low household
income was associated with worse survival in continuous analysis (HR: 1.05 per
10.000 euro decrease in income, 95% CI: 1.01-1.10) and in income quartile
analysis (HR: 1.58, 95% CI: 1.08-2.31, first [i.e. lowest] quartile relative to
the fourth quartile). Similarly, low income patients were at higher risk of
cardiovascular death (HR: 1.26 per 10.000 decrease in income, 95% CI: 1.07-1.48,
first income quartile: HR: 3.10, 95% CI: 1.04-9.22). Household income was not
independently associated with cancer-related mortality and major 30-day
complications. CONCLUSIONS: Low SES, quantified by gross household income, is
associated with increased overall and cardiovascular mortality risks among
surgical patients. Considering the equality of care provided by this study
setting, the associated survival hazards can be attributed to patient and
provider factors, rather than disparities in healthcare. Increased physician
awareness of SES as a risk factor in preoperative decision-making and focus on
improving established SES-related risk factors may improve surgical outcome of
low SES patients.
PMID- 29357385
TI - Infectious blood source alters early foregut infection and regurgitative
transmission of Yersinia pestis by rodent fleas.
AB - Fleas can transmit Yersinia pestis by two mechanisms, early-phase transmission
(EPT) and biofilm-dependent transmission (BDT). Transmission efficiency varies
among flea species and the results from different studies have not always been
consistent. One complicating variable is the species of rodent blood used for the
infectious blood meal. To gain insight into the mechanism of EPT and the effect
that host blood has on it, fleas were fed bacteremic mouse, rat, guinea pig, or
gerbil blood; and the location and characteristics of the infection in the
digestive tract and transmissibility of Y. pestis were assessed 1 to 3 days after
infection. Surprisingly, 10-28% of two rodent flea species fed bacteremic rat or
guinea pig blood refluxed a portion of the infected blood meal into the esophagus
within 24 h of feeding. We term this phenomenon post-infection esophageal reflux
(PIER). In contrast, PIER was rarely observed in rodent fleas fed bacteremic
mouse or gerbil blood. PIER correlated with the accumulation of a dense mixed
aggregate of Y. pestis, red blood cell stroma, and oxyhemoglobin crystals that
filled the proventriculus. At their next feeding, fleas with PIER were 3-25 times
more likely to appear partially blocked, with fresh blood retained within the
esophagus, than were fleas without PIER. Three days after feeding on bacteremic
rat blood, groups of Oropsylla montana transmitted significantly more CFU than
did groups infected using mouse blood, and this enhanced transmission was biofilm
dependent. Our data support a model in which EPT results from regurgitation of Y.
pestis from a partially obstructed flea foregut and that EPT and BDT can
sometimes temporally overlap. The relative insolubility of the hemoglobin of rats
and Sciurids and the slower digestion of their blood appears to promote
regurgitative transmission, which may be one reason why these rodents are
particularly prominent in plague ecology.
PMID- 29357386
TI - Time-course evaluation of intestinal structural disorders in a porcine model of
intra-abdominal hypertension by mechanical intestinal obstruction.
AB - BACKGROUND: A mechanical intestinal obstruction (MIO) can generate intraabdominal
hypertension (IAH) that is life threatening. The intestines are very sensitive to
IAH since the low splanchnic perfusion causes intestinal hypoxia, local acidosis
and bacterial translocations. This may lead to acute intestinal distress syndrome
(AIDS). The identification of intestinal injuries during IAH and its correlation
with clinical parameters as the abdominal perfusion pressure (APP), the gastric
intramucosal pH (pHi) and lactic acid (Lc) are still unknown. This study aimed to
evaluate the sequence of intestinal histopathological findings in an MIO model
and to analyze potential relationships with parameters currently used in clinical
practice (APP, pHi and Lc). MATERIAL AND METHODS: Twenty pigs were divided into
three groups: a control group (n = 5) and two experimental groups with 20 mmHg
(G1, n = 10) and 30 mmHg (G2, n = 5) of IAH by MIO. The pressures were maintained
for 3 hours, except in 5 animals in G1 where it was maintained for 5 hours. The
APP, pHi and LA were recorded and biopsies of the terminal ileum were taken every
30 minutes in all groups. The intestinal damage was graded according to the Park
Score. RESULTS: Intestinal injuries were found in 42.9% of pigs in the
experimental groups. The lesions were independent of the level and duration of
IAH. Although APP and pHi were slightly lower in injured animals (I +) of G1 and
G2, there were no significant differences among those uninjured (I-). Lc was
significantly increased in all I+ pigs from the onset of IAH. CONCLUSION: The IAH
by MIO causes intestinal lesions from the first 30 minutes with concurrent
decreases in APP and pHi and increases in Lc. Lc could be the best clinical
parameter related to intestinal damages with a clear difference between I + and I
animals.
PMID- 29357384
TI - Regional and subtype-dependent miRNA signatures in sporadic Creutzfeldt-Jakob
disease are accompanied by alterations in miRNA silencing machinery and
biogenesis.
AB - Increasing evidence indicates that microRNAs (miRNAs) are contributing factors to
neurodegeneration. Alterations in miRNA signatures have been reported in several
neurodegenerative dementias, but data in prion diseases are restricted to ex vivo
and animal models. The present study identified significant miRNA expression
pattern alterations in the frontal cortex and cerebellum of sporadic Creutzfeldt
Jakob disease (sCJD) patients. These changes display a highly regional and
disease subtype-dependent regulation that correlates with brain pathology. We
demonstrate that selected miRNAs are enriched in sCJD isolated Argonaute(Ago)
binding complexes in disease, indicating their incorporation into RNA-induced
silencing complexes, and further suggesting their contribution to disease
associated gene expression changes. Alterations in the miRNA-mRNA regulatory
machinery and perturbed levels of miRNA biogenesis key components in sCJD brain
samples reported here further implicate miRNAs in sCJD gene expression
(de)regulation. We also show that a subset of sCJD-altered miRNAs are commonly
changed in Alzheimer's disease, dementia with Lewy bodies and fatal familial
insomnia, suggesting potential common mechanisms underlying these
neurodegenerative processes. Additionally, we report no correlation between brain
and cerebrospinal fluid (CSF) miRNA-profiles in sCJD, indicating that CSF-miRNA
profiles do not faithfully mirror miRNA alterations detected in brain tissue of
human prion diseases. Finally, utilizing a sCJD MM1 mouse model, we analyzed the
miRNA deregulation patterns observed in sCJD in a temporal manner. While fourteen
sCJD-related miRNAs were validated at clinical stages, only two of those were
changed at early symptomatic phase, suggesting that the miRNAs altered in sCJD
may contribute to later pathogenic processes. Altogether, the present work
identifies alterations in the miRNA network, biogenesis and miRNA-mRNA silencing
machinery in sCJD, whereby contributions to disease mechanisms deserve further
investigation.
PMID- 29357387
TI - The fungal myosin I is essential for Fusarium toxisome formation.
AB - Myosin-I molecular motors are proposed to function as linkers between membranes
and the actin cytoskeleton in several cellular processes, but their role in the
biosynthesis of fungal secondary metabolites remain elusive. Here, we found that
the myosin I of Fusarium graminearum (FgMyo1), the causal agent of Fusarium head
blight, plays critical roles in mycotoxin biosynthesis. Inhibition of myosin I by
the small molecule phenamacril leads to marked reduction in deoxynivalenol (DON)
biosynthesis. FgMyo1 also governs translation of the DON biosynthetic enzyme Tri1
by interacting with the ribosome-associated protein FgAsc1. Disruption of the
ATPase activity of FgMyo1 either by the mutation E420K, down-regulation of FgMyo1
expression or deletion of FgAsc1 results in reduced Tri1 translation. The DON
biosynthetic enzymes Tri1 and Tri4 are mainly localized to subcellular structures
known as toxisomes in response to mycotoxin induction and the FgMyo1-interacting
protein, actin, participates in toxisome formation. The actin polymerization
disruptor latrunculin A inhibits toxisome assembly. Consistent with this
observation, deletion of the actin-associated proteins FgPrk1 and FgEnd3 also
results in reduced toxisome formation. Unexpectedly, the FgMyo1-actin
cytoskeleton is not involved in biosynthesis of another secondary metabolite
tested. Taken together, this study uncovers a novel function of myosin I in
regulating mycotoxin biosynthesis in filamentous fungi.
PMID- 29357388
TI - Diagnosis of small pulmonary lesions by transbronchial lung biopsy with radial
endobronchial ultrasound and virtual bronchoscopic navigation versus CT-guided
transthoracic needle biopsy: A systematic review and meta-analysis.
AB - BACKGROUND: Advances in bronchoscopy and CT-guided lung biopsy have improved the
evaluation of small pulmonary lesions (PLs), leading to an increase in
preoperative histological diagnosis. We aimed to evaluate the efficacy and safety
of transbronchial lung biopsy using radial endobronchial ultrasound and virtual
bronchoscopic navigation (TBLB-rEBUS&VBN) and CT-guided transthoracic needle
biopsy (CT-TNB) for tissue diagnosis of small PLs. METHODS: A systematic search
was performed in five electronic databases, including MEDLINE, EMBASE, Cochrane
Library Central Register of Controlled Trials, Web of Science, and Scopus, for
relevant studies in May 2016; the selected articles were assessed using meta
analysis. The articles were limited to those published after 2000 that studied
small PLs <= 3 cm in diameter. RESULTS: From 7345 records, 9 articles on the
bronchoscopic (BR) approach and 15 articles on the percutaneous (PC) approach
were selected. The pooled diagnostic yield was 75% (95% confidence interval [CI],
69-80) using the BR approach and 93% (95% CI, 90-96) using the PC approach. For
PLs <= 2 cm, the PC approach (pooled diagnostic yield: 92%, 95% CI: 88-95) was
superior to the BR approach (66%, 95% CI: 55-76). However, for PLs > 2 cm but <=
3 cm, the diagnostic yield using the BR approach was improved to 81% (95% CI, 75
85). Complications of pneumothorax and hemorrhage were rare with the BR approach
but common with the PC approach. CONCLUSIONS: CT-TNB was superior to TBLB
rEBUS&VBN for the evaluation of small PLs. However, for lesions greater than 2
cm, the BR approach may be considered considering its diagnostic yield of over
80% and the low risk of procedure-related complications.
PMID- 29357392
TI - Prevention, Detection, Evaluation, and Management of High Blood Pressure in
Adults: Synopsis of the 2017 American College of Cardiology/American Heart
Association Hypertension Guideline.
AB - Description: In November 2017, the American College of Cardiology (ACC) and the
American Heart Association (AHA) released a clinical practice guideline for the
prevention, detection, evaluation, and treatment of high blood pressure (BP) in
adults. This article summarizes the major recommendations. Methods: In 2014, the
ACC and the AHA appointed a multidisciplinary committee to update previous
reports of the Joint National Committee on Prevention, Detection, Evaluation, and
Treatment of High Blood Pressure. The committee reviewed literature and
commissioned systematic reviews and meta-analyses on out-of-office BP monitoring,
the optimal target for BP lowering, the comparative benefits and harms of
different classes of antihypertensive agents, and the comparative benefits and
harms of initiating therapy with a single antihypertensive agent or a combination
of 2 agents. Recommendations: This article summarizes key recommendations in the
following areas: BP classification, BP measurement, screening for secondary
hypertension, nonpharmacologic therapy, BP thresholds and cardiac risk estimation
to guide drug treatment, treatment goals (general and for patients with diabetes
mellitus, chronic kidney disease, and advanced age), choice of initial drug
therapy, resistant hypertension, and strategies to improve hypertension control.
PMID- 29357389
TI - Interferon regulatory factor 8 regulates caspase-1 expression to facilitate
Epstein-Barr virus reactivation in response to B cell receptor stimulation and
chemical induction.
AB - Interferon regulatory factor 8 (IRF8), also known as interferon consensus
sequence-binding protein (ICSBP), is a transcription factor of the IRF family.
IRF8 plays a key role in normal B cell differentiation, a cellular process that
is intrinsically associated with Epstein-Barr virus (EBV) reactivation. However,
whether IRF8 regulates EBV lytic replication remains unknown. In this study, we
utilized a CRISPR/Cas9 genomic editing approach to deplete IRF8 and found that
IRF8 depletion dramatically inhibits the reactivation of EBV upon lytic
induction. We demonstrated that IRF8 depletion suppresses the expression of a
group of genes involved in apoptosis and thus inhibits apoptosis induction upon
lytic induction by B cell receptor (BCR) stimulation or chemical induction. The
protein levels of caspase-1, caspase-3 and caspase-8 all dramatically decreased
in IRF8-depleted cells, which led to reduced caspase activation and the
stabilization of KAP1, PAX5 and DNMT3A upon BCR stimulation. Interestingly,
caspase inhibition blocked the degradation of KAP1, PAX5 and DNMT3A, suppressed
EBV lytic gene expression and viral DNA replication upon lytic induction,
suggesting that the reduced caspase expression in IRF8-depleted cells contributes
to the suppression of EBV lytic replication. We further demonstrated that IRF8
directly regulates CASP1 (caspase-1) gene expression through targeting its gene
promoter and knockdown of caspase-1 abrogates EBV reactivation upon lytic
induction, partially through the stabilization of KAP1. Together our study
suggested that, by modulating the activation of caspases and the subsequent
cleavage of KAP1 upon lytic induction, IRF8 plays a critical role in EBV lytic
reactivation.
PMID- 29357391
TI - Iron deficiency across chronic kidney disease stages: Is there a reverse gender
pattern?
AB - In non-dialysis chronic kidney disease patients, looking for iron deficiency is
highly variable in practice and there is a great variability regarding the
cutoffs used to treat iron deficiency. The aim of this study is to investigate
the degree of iron deficiency in non-dialysis chronic kidney disease patients on
erythropoiesis-stimulating agents. We included all non-dialysis chronic kidney
disease patients that applied to the Lebanese Ministry of Public Health for
erythropoiesis-stimulating agents' coverage during a 5-month period. Iron
requirement was assessed based on two guidelines' target-to-treat cutoffs: 1
ferritin <100 ng/ml and/or TSAT < 20% (KDOQI 2006), 2- ferritin <=500 ng/ml and
TSAT <=30% (KDIGO 2012). A total of 238 CKD patients were included over 5 months.
All patients had a ferritin level in their record and 64% had an available TSAT.
Median age was 71.0 (59.8-79.3) years and 61.8% were female. All had an eGFR<60
ml/min. The proportion of patients found to require iron therapy ranged between
48 and 78% with a trend towards higher values when using KDIGO-based criteria.
Using ANCOVA test, inverse normal transformations of ferritin and TSAT showed a
reverse pattern between men and women with women being more iron deficient in the
early stage. Iron deficiency is highly prevalent in non-dialysis chronic kidney
disease patients on erythropoiesis-stimulating agents' therapy. These findings
reflect a lack in effective iron supplementation when managing anemia in pre
dialysis patients, especially in men at advanced stages. Renal societies should
spread awareness about iron deficiency screening in those patients.
PMID- 29357390
TI - Herpesvirus deconjugases inhibit the IFN response by promoting TRIM25
autoubiquitination and functional inactivation of the RIG-I signalosome.
AB - The N-terminal domains of the herpesvirus large tegument proteins encode a
conserved cysteine protease with ubiquitin- and NEDD8-specific deconjugase
activity. The proteins are expressed during the productive virus cycle and are
incorporated into infectious virus particles, being delivered to the target cells
upon primary infection. Members of this viral enzyme family were shown to
regulate different aspects of the virus life cycle and the innate anti-viral
response. However, only few substrates have been identified and the mechanisms of
these effects remain largely unknown. In order to gain insights on the substrates
and signaling pathways targeted by the viral enzymes, we have used co
immunoprecipitation and mass spectrometry to identify cellular proteins that
interact with the Epstein-Barr virus encoded homologue BPLF1. Several members of
the 14-3-3-family of scaffold proteins were found amongst the top hits of the
BPLF1 interactome, suggesting that, through this interaction, BPLF1 may regulate
a variety of cellular signaling pathways. Analysis of the shared protein
interaction network revealed that BPLF1 promotes the assembly of a tri-molecular
complex including, in addition to 14-3-3, the ubiquitin ligase TRIM25 that
participates in the innate immune response via ubiquitination of cytosolic
pattern recognition receptor, RIG-I. The involvement of BPLF1 in the regulation
of this signaling pathway was confirmed by inhibition of the type-I IFN responses
in cells transfected with a catalytically active BPLF1 N-terminal domain or
expressing the endogenous protein upon reactivation of the productive virus
cycle. We found that the active viral enzyme promotes the dimerization and
autoubiquitination of TRIM25. Upon triggering of the IFN response, RIG-I is
recruited to the complex but ubiquitination is severely impaired, which
functionally inactivates the RIG-I signalosome. The capacity to bind to and
functionally inactivate the RIG-I signalosome is shared by the homologues encoded
by other human herpesviruses.
PMID- 29357393
TI - Effects of Bilateral Hearing Aid Use on Balance in Experienced Adult Hearing Aid
Users.
AB - Purpose: The purpose of this study was to evaluate the balance of experienced
adult hearing aid users with and without their hearing aids via computerized
posturography. Method: Computerized posturography was accomplished by employing
the Sensory Organization Test (SOT) on the NeuroCom Balance Master (Natus Medical
Incorporated). The SOT assessed each participant's balance and the strategy used
to maintain balance in 6 progressively challenging conditions. Twenty-two adults
using bilateral at-the-ear hearing aids participated in the study. All
participants completed all SOT protocols with and without their hearing aids.
Results: No statistically significant differences in participants' balance were
identified regardless of the presence or absence of their hearing aids during the
SOT. Conclusions: These results failed to support previous research, which
indicated that amplification of auditory input could benefit balance in
individuals with hearing and balance disorders. Further research utilizing
randomized controlled trials is needed to resolve the disparity between the
current results and those of previous studies.
PMID- 29357395
TI - Expansion of the Classification System for Eagle Syndrome.
PMID- 29357394
TI - Associations Between Marijuana Use and Cardiovascular Risk Factors and Outcomes:
A Systematic Review.
AB - Background: Marijuana use is increasing in the United States, and its effect on
cardiovascular health is unknown. Purpose: To review harms and benefits of
marijuana use in relation to cardiovascular risk factors and clinical outcomes.
Data Sources: PubMed, MEDLINE, EMBASE, PsycINFO, and the Cochrane Library between
1 January 1975 and 30 September 2017. Study Selection: Observational studies that
were published in English, enrolled adults using any form of marijuana, and
reported on vascular risk factors (hyperglycemia, diabetes, dyslipidemia, and
obesity) or on outcomes (stroke, myocardial infarction, cardiovascular mortality,
and all-cause mortality in cardiovascular cohorts). Data Extraction: Study
characteristics and quality were assessed by 4 reviewers independently; strength
of evidence for each outcome was graded by consensus. Data Synthesis: 13 and 11
studies examined associations between marijuana use and cardiovascular risk
factors and clinical outcomes, respectively. Although 6 studies suggested a
metabolic benefit from marijuana use, they were based on cross-sectional designs
and were not supported by prospective studies. Evidence examining the effect of
marijuana on diabetes, dyslipidemia, acute myocardial infarction, stroke, or
cardiovascular and all-cause mortality was insufficient. Although the current
literature includes several long-term prospective studies, they are limited by
recall bias, inadequate exposure assessment, minimal marijuana exposure, and a
predominance of low-risk cohorts. Limitation: Poor- or moderate-quality data,
inadequate assessment of marijuana exposure and minimal exposure in the
populations studied, and variation in study design. Conclusion: Evidence
examining the effect of marijuana on cardiovascular risk factors and outcomes,
including stroke and myocardial infarction, is insufficient. Primary Funding
Source: National Heart, Lung, and Blood Institute. (PROSPERO: CRD42016051297).
PMID- 29357396
TI - The 2017 American College of Cardiology/American Heart Association Hypertension
Guideline: A Resource for Practicing Clinicians.
PMID- 29357397
TI - Hypertension Limbo: Balancing Benefits, Harms, and Patient Preferences Before We
Lower the Bar on Blood Pressure.
PMID- 29357398
TI - Antithyroid Drugs and Congenital Malformations: A Nationwide Korean Cohort Study.
AB - Background: Untreated or insufficiently treated Graves disease in pregnancy may
pose risks to both mother and fetus. Antithyroid drugs (ATDs) are the treatment
mainstay, but the potential teratogenic effect of these drugs has prompted
clinicians to question the safe management of this vulnerable population.
Objective: To examine the association between maternal prescriptions for ATDs and
congenital malformations in live births. Design: Nationwide cohort study.
Setting: Korean National Health Insurance database. Participants: A cohort of 2
886 970 completed pregnancies linked to live-born infants in 2 210 253 women
between 2008 and 2014. Intervention: Maternal prescriptions for ATDs in the first
trimester. Measurements: The risk for overall and organ-specific congenital
malformations in offspring, with logistic regression models used to control for
potential confounders. Results: 12 891 pregnancies (0.45%) were exposed to ATDs
during the first trimester. The prevalence of malformations in exposed offspring
was 7.27%, compared with 5.94% in offspring of women who were not prescribed ATDs
during pregnancy (P < 0.001) (adjusted odds ratio, 1.19 [95% CI, 1.12 to 1.28]).
Absolute increases in the prevalence of congenital malformations per 1000 live
births were 8.81 cases (CI, 3.92 to 13.70 cases) for propylthiouracil alone,
17.05 cases (CI, 1.94 to 32.15 cases) for methimazole (MMI) alone, and 16.53
cases (CI, 4.73 to 28.32 cases) for propylthiouracil and MMI, compared with
pregnancies without ATD prescriptions. In the MMI group, a high cumulative dose
(>495 mg) during the first trimester was associated with an increased risk for
malformations compared with a low dose (1 to 126 mg) (adjusted odds ratio, 1.87
[CI, 1.06 to 3.30]). Limitation: The study used a prescription claims database to
assess ATD exposure. Conclusion: Exposure to ATDs during the first trimester was
associated with increased risk for congenital malformations, particularly for
pregnancies in which women received prescriptions for MMI or both ATDs. Primary
Funding Source: None.
PMID- 29357401
TI - Organizing a Proficiency Testing Program on Stamp Impressions Examination in
Accordance with ISO/IEC 17043 Requirements.
AB - Stamps and stamp impressions examinations are based on matching defects and
design details on the questioned and control samples. These examinations are
routinely carried out by document examiners around the world. International
proficiency tests for questioned documents examination have been available for
decades while similar programs specifically focusing on stamp impressions
examination are rare. This study reported a recent proficiency testing program on
stamp impressions examination organized by an accredited provider in accordance
with ISO/IEC 17043 requirements. Twenty-four forensic laboratories registered for
the program. Apart from giving details on the design and operation of the
program, this study also aimed to provide the limitations and difficulties
encountered in sample preparations, homogeneity, and stability tests of the
testing materials. Various comments and feedbacks received from the participants,
particularly in respect of examination approaches, challenges faced by the
participants in forming conclusions and their suggestions for further improvement
would be evaluated.
PMID- 29357400
TI - Bioinformatics Approach to Assess the Biogeographical Patterns of Soil
Communities: The Utility for Soil Provenance.
AB - Soil DNA profiling has potential as a forensic tool to establish a link between
soil collected at a crime scene and soil recovered from a suspect. However, a
quantitative measure is needed to investigate the spatial/temporal variability
across multiple scales prior to their application in forensic science. In this
study, soil DNA profiles across Miami-Dade, FL, were generated using length
heterogeneity PCR to target four taxa. The objectives of this study were to (i)
assess the biogeographical patterns of soils to determine whether soil biota is
spatially correlated with geographic location and (ii) evaluate five machine
learning algorithms for their predictive ability to recognize biotic patterns
which could accurately classify soils at different spatial scales regardless of
seasonal collection. Results demonstrate that soil communities have unique
patterns and are spatially autocorrelated. Bioinformatic algorithms could
accurately classify soils across all scales with Random Forest significantly
outperforming all other algorithms regardless of spatial level.
PMID- 29357402
TI - Sudden Death from Cardiopulmonary Arrest on Arrival of a Patient with Pulmonary
Tuberculosis: A Case Diagnosed by Postmortem CT and Autopsy.
AB - Sudden death due to massive hemoptysis during management of tuberculosis occurs
in a considerable number of patients. However, when massive airway hemorrhage
occurs in a patient in whom tuberculosis has not been confirmed and a blood is
not apparent externally on the face/body, it is difficult to immediately identify
the cause of death as airway obstruction by tuberculous bleeding in the airway.
We encountered an 83-year-old Japanese woman with her medical history included
treatment of tuberculosis in her 20s who was in cardiopulmonary arrest on arrival
(CPAOA), and the cause of sudden death could not initially be identified.
Postmortem CT (PMCT) and autopsy revealed that the cause of sudden death was
airway obstruction/asphyxia by tuberculous massive airway hemorrhage.
Identification of the cause of death facilitated a subsequent active contact
investigation and led to prevention of secondary tuberculosis infection.
PMID- 29357403
TI - Accurate determination of a novel vasodilatory beta-blocker TJ0711 using LC
MS/MS: Resolution of an isobaric metabolite interference in dog plasma.
AB - A rapid, robust and sensitive liquid chromatography-tandem mass spectrometry
method was developed and validated for bioanalysis of TJ0711, a novel
vasodilatory beta-blocker in dog plasma. This assay is able to
chromatographically separate TJ0711 from its isobaric metabolite as well as
glucuronide conjugates. Chromatographic separation was achieved on a Welch
Ultimate-XB C18 column (2.1 * 100 mm, 3 MUm). The analyte and internal standard
(propranolol) were extracted from plasma by liquid-liquid extraction using ethyl
acetate. The mass spectrometric detection was carried out in positive ion
multiple reaction monitoring mode. Good linearity was obtained over the
concentration range of 0.5-500 ng/mL (r > 0.99) for TJ0711. Moreover, the method
had good accuracy (RE ranging from -2.70 to -0.32%) and precision (RSD < 7.55%).
TJ0711 was stable in dog plasma for at least 6 h at ambient temperature, for at
least 30 days at -20 degrees C and after three freeze-thaw cycles. This method
was successfully applied to a preclinical pharmacokinetic study and the results
demonstrated linear pharmacokinetics of TJ0711 over a dose range from 0.03 to 0.3
mg/kg. No significant gender differences were observed in TJ0711 plasma
pharmacokinetic parameters.
PMID- 29357404
TI - Robot-assisted partial nephrectomy for large renal masses: a multi-institutional
series.
AB - OBJECTIVES: To compare peri-operative outcomes after robot-assisted partial
nephrectomy (RAPN) for cT2a (7 to <10 cm) to cT1 tumours. MATERIALS AND METHODS:
Patients with a cT1a (n = 1 358, 76.4%), cT1b (n = 379, 21.3%) or cT2a (n = 41,
2.3%) renal mass were identified from a multi-institutional RAPN database. Intra-
and postoperative outcomes were compared for cT2a masses vs cT1a and cT1b masses
using multivariable regression models (linear, logistic, Poisson etc.), adjusting
for operating surgeon and a modified R.E.N.A.L. nephrometry score that excluded
the radius component. RESULTS: The median sizes for cT1a, cT1b and cT2a tumours
were 2.5, 5.0 and 8.0 cm, respectively (P < 0.001) with modified R.E.N.A.L.
nephrometry scores being 6.0, 6.5 and 7.0, respectively (cT1a, P < 0.001; cT1b, P
= 0.105). RAPN for cT2a vs cT1a masses was associated with a 12% increase in
operating time (P < 0.001), a 32% increase in estimated blood loss (P < 0.001), a
7% increase in ischaemia time (P = 0.008), a 3.93 higher odds of acute kidney
injury at discharge (95% confidence interval [CI] 1.33, 8.76; P = 0.009) and a
higher risk of recurrence (hazard ratio [HR] 10.9, 95% CI 1.31, 92.2; P = 0.027).
RAPN for cT2a vs cT1b masses was associated with a 12% increase in blood loss (P
= 0.036), a 5% increase in operating time (P = 0.062) and a marginally higher
risk of recurrence (HR 11.2, 95% CI 0.77, 11.5; P = 0.059). RAPN for cT2a tumours
was not associated with differences in complications (cT1a, P = 0.535; cT1b, P =
0.382), positive margins (cT1a, P = 0.972; cT1b, P = 0.681), length of stay
(cT1a, P = 0.507; cT1b, P = 0.513) or renal function decline up to 24 months post
RAPN (cT1a, P = 0.124; cT1b, P = 0.467). CONCLUSION: For T2a tumours RAPN is a
feasible treatment option in a select patient population when performed by
experienced surgeons in institutions equipped to manage postoperative
complications. Although RAPN was associated with greater blood loss and longer
operating and ischaemia time in T2a tumours, it was not associated with greater
complication or positive surgical margin rates compared with T1 tumours. Renal
function preservation rates were equivalent for up to 24 months postoperatively;
however, 12-month recurrence-free survival was significantly lower in the T2a
group. Extended follow-up is required to further evaluate long-term survival.
PMID- 29357405
TI - Combat biofilm by bacteriostatic aptamer-functionalized graphene oxide.
AB - Biofilms are the main reason for a large number deaths and high health costs.
Their better protection compared to planktonic form against conventional
antibiotics leads to poor treatment efficiency. Nanoagent-targeted delivery is a
promising avenue for disease therapeutic, but its application targeting biofilms
has not been reported currently. The roles, if any, of aptamers acting as
delivery carrier and targeting factor, the graphene oxide (GO), and GO modified
with aptamers against biofilms were then systematically evaluated. Here, we
successfully developed an aptamer-targeted GO strategy against biofilms. We
investigated the efficacy of aptamer-GO conjugates by UV spectrophotometer,
inverted microscopy, and atomic force microscopy; 93.5 +/- 3.4% Salmonella
typhimurium biofilms were inhibited and 84.6 +/- 5.1% of biofilms were dispersed
by a ST-3-GO conjugate. More importantly, this conjugate represented
distinctively toxicity to S. typhimurium. Thus, this strategy significantly
displays excellent antibiofilm properties and may serve as a long-term solution
for biofilm control.
PMID- 29357406
TI - Extremely low-frequency electromagnetic fields accelerates wound healing
modulating MMP-9 and inflammatory cytokines.
AB - OBJECTIVES: In our previous reports, we have demonstrated that extremely low
frequency electromagnetic fields (ELF-EMF) exposure enhances the proliferation of
keratinocyte. The present study aimed to clarify effects of ELF-EMF on wound
healing and molecular mechanisms involved, using a scratch in vitro model.
MATERIALS AND METHODS: The wounded monolayer cultures of human immortalized
keratinocytes (HaCaT), at different ELF-EMF and Sham exposure times were
monitored under an inverted microscope. The production and expression of IL
1beta, TNF-alpha, IL-18 and IL-18BP were measured by enzyme-linked immunosorbent
assay and quantitative real-time PCR. The activity and the expression of matrix
metalloproteinases (MMP)-2/9 was evaluated by zymography and Western blot
analysis, respectively. Signal transduction proteins expression (Akt and ERK) was
measured by Western blot. RESULTS: The results of wound healing in vitro assay
revealed a significant reduction of cell-free area time-dependent in ELF-EMF
exposed cells compared to Sham condition. Gene expression and release of
cytokines analysed were significantly increased in ELF-EMF-exposed cells. Our
results further showed that ELF-EMF exposure induced the activity and expressions
of MMP-9. Molecular data showed that effects of ELF-EMF might be mediated via Akt
and ERK signal pathway, as demonstrated using their specific inhibitors.
CONCLUSIONS: Our results highlight ability of ELF-EMF to modulate inflammation
mediators and keratinocyte proliferation/migration, playing an important role in
wound repair. The ELF-EMF accelerates wound healing modulating expression of the
MMP-9 via Akt/ERK pathway.
PMID- 29357408
TI - Holding tight and staying true: generation of a new tamoxifen-inducible,
principal cell-specific mouse.
PMID- 29357407
TI - Informal caregivers' experiences of caring for people receiving dialysis: A mixed
methods systematic review.
AB - BACKGROUND: Living with end stage kidney disease and having dialysis is
burdensome, and there is a need for support from informal caregivers (i.e. family
members and friends). Renal health professionals readily acknowledge and value
the support provided by caregivers although the activities and experiences of
caring for a loved-one who is receiving dialysis is less well understood.
OBJECTIVES: To review studies about the perspectives and experiences of family
members and friends who provide support for adults receiving either haemodialysis
or peritoneal dialysis. METHODS: A mixed-methods systematic review was conducted.
Eight databases (Medline, CINAHL, EMBASE, PsycINFO, Proquest, Web of Science,
Cochrane Library and JBI library) were comprehensively searched using relevant
key words for studies regardless of design published in English from January 2006
to July 2017. RESULTS: Twenty studies were included in this review. Informal
caregivers undertake many everyday activities as well as a range of dialysis
specific activities, report an elevated level of burden, feel overwhelmed,
experience social isolation, and also need to consider their own health. A unique
finding was that caregivers also experienced personal growth that may defuse the
impact of caregiving burden and to help them develop a sense of resilience to
sustain the support through the tough times and for many years. CONCLUSION: This
review substantiates that caregiver burden is due to the unrelenting nature of
complex dialysis-specific activities although resilience often develops.
Additional research is necessary to understand social support in this context and
how health teams can assist caregivers further.
PMID- 29357409
TI - N-acetyl-cysteine increases cellular dysfunction in progressive chronic kidney
damage after acute kidney injury by dampening endogenous antioxidant responses.
AB - Oxidative stress and mitochondrial dysfunction exacerbate acute kidney injury
(AKI), but their role in any associated progress to chronic kidney disease (CKD)
remains unclear. Antioxidant therapies often benefit AKI, but their benefits in
CKD are controversial since clinical and preclinical investigations often
conflict. Here we examined the influence of the antioxidant N-acetyl-cysteine
(NAC) on oxidative stress and mitochondrial function during AKI (20-min bilateral
renal ischemia plus reperfusion/IR) and progression to chronic kidney pathologies
in mice. NAC (5% in diet) was given to mice 7 days prior and up to 21 days post
IR (21d-IR). NAC treatment resulted in the following: prevented proximal tubular
epithelial cell apoptosis at early IR (40-min postischemia), yet enhanced
interstitial cell proliferation at 21d-IR; increased transforming growth factor
beta1 expression independent of IR time; and significantly dampened nuclear
factor-like 2-initiated cytoprotective signaling at early IR. In the long term,
NAC enhanced cellular metabolic impairment demonstrated by increased peroxisome
proliferator activator-gamma serine-112 phosphorylation at 21d-IR. Intravital
multiphoton microscopy revealed increased endogenous fluorescence of nicotinamide
adenine dinucleotide (NADH) in cortical tubular epithelial cells during ischemia,
and at 21d-IR that was not attenuated with NAC. Fluorescence lifetime imaging
microscopy demonstrated persistent metabolic impairment by increased free/bound
NADH in the cortex at 21d-IR that was enhanced by NAC. Increased mitochondrial
dysfunction in remnant tubular cells was demonstrated at 21d-IR by
tetramethylrhodamine methyl ester fluorimetry. In summary, NAC enhanced
progression to CKD following AKI not only by dampening endogenous cellular
antioxidant responses at time of injury but also by enhancing persistent kidney
mitochondrial and metabolic dysfunction.
PMID- 29357410
TI - Tamm-Horsfall protein/uromodulin deficiency elicits tubular compensatory
responses leading to hypertension and hyperuricemia.
AB - Expression of Tamm-Horsfall protein (THP or uromodulin) is highly restricted to
the kidney thick ascending limb (TAL) of loop of Henle. Despite the unique
location and recent association of THP gene mutations with hereditary uromodulin
associated kidney disease and THP single nucleotide polymorphisms with chronic
kidney disease and hypertension, the physiological function(s) of THP and its
pathological involvement remain incompletely understood. By studying age
dependent changes of THP knockout (KO) mice, we show here that young KO mice had
significant salt and water wasting but were partially responsive to furosemide,
due to decreased luminal translocation of Na-K-Cl cotransporter 2 (NKCC2) in the
TAL. Aged THP KO mice were, however, markedly oliguric and unresponsive to
furosemide, and their NKCC2 was localized primarily in the cytoplasm as evidenced
by lipid raft floatation assay, cell fractionation, and confocal and
immunoelectron microscopy. These aged KO mice responded to metolazone and
acetazolamide, known to target distal and proximal tubules, respectively. They
also had marked upregulation of renin in juxtaglomerular apparatus and serum, and
they were hypertensive. Finally, the aged THP KO mice had significant
upregulation of Na-coupled urate transporters Slc5a8 and Slc22a12 as well as
sodium-hydrogen exchanger 3 (NHE3) in the proximal tubule and elevated serum uric
acid and allantoin. Collectively, our results suggest that THP deficiency can
cause progressive disturbances in renal functions via initially NKCC2 dysfunction
and later compensatory responses, resulting in prolonged activation of the renin
angiotensin-aldosterone axis and hyperuricemia.
PMID- 29357412
TI - beta-Catenin in stromal progenitors controls medullary stromal development.
AB - The renal stroma is a population of matrix-producing fibroblast cells that serves
as a structural framework for the kidney parenchyma. The stroma also regulates
branching morphogenesis and nephrogenesis. In the mature kidney, the stroma forms
at least three distinct cell populations: the capsular, cortical, and medullary
stroma. These distinct stromal populations have important functions in kidney
development, maintenance of kidney function, and disease progression. However,
the development, differentiation, and maintenance of the distinct stroma
populations are not well defined. Using a mouse model with beta-catenin
deficiency in the stroma cell population, we demonstrate that beta-catenin is not
involved in the formation of the stromal progenitors nor in the formation of the
cortical stroma population. In contrast, beta-catenin does control the
differentiation of stromal progenitors to form the medullary stroma. In the
absence of stromal beta-catenin, there is a marked reduction of medullary stromal
markers. As kidney development continues, the maldifferentiated stromal cells
locate deeper within the kidney tissue and are eliminated by the activation of an
intrinsic apoptotic program. This leads to significant reductions in the
medullary stroma population and the lack of medulla formation. Taken together,
our results indicate that stromal beta-catenin is essential for kidney
development by regulating medulla formation through the differentiation of
medullary stromal cells.
PMID- 29357411
TI - ApoL1 renal risk variants induce aberrant THP-1 monocyte differentiation and
increase eicosanoid production via enhanced expression of cyclooxygenase-2.
AB - Apolipoprotein L1 ( ApoL1) genetic variants are strongly associated with kidney
diseases. We investigated the role of ApoL1 variants in monocyte differentiation
and eicosanoid production in macrophages, as activated tissue macrophages in
kidney might contribute to kidney injury. In human monocyte THP-1 cells,
transient overexpression of ApoL1 (G0, G1, G2) by transfection resulted in a 5-
to 11-fold increase in CD14 and CD68 gene expression, similar to that seen with
phorbol-12-myristate acetate treatment. All ApoL1 variants caused monocytes to
differentiate into atypical M1 macrophages with marked increase in M1 markers
CD80, TNF, IL1B, and IL6 and modest increase in the M2 marker CD163 compared with
control cells. ApoL1-G1 transfection induced additional CD206 and TGFB1
expression, and ApoL1-G2 transfection induced additional CD204 and TGFB1
expression. Gene expression of prostaglandin E2 (PGE2) synthase and thromboxane
synthase and both gene and protein expression of cyclooxygenase-2 (COX-2) were
increased by ApoL1-G1 and -G2 variants compared with -G0 transfection. Higher
levels of PGE2 and thromboxane B2, a stable metabolite of thromboxane A2, and
transforming growth factor (TGF)-beta1 were released into the supernatant of
cultured THP-1 cells transfected with ApoL1-G1 and -G2, but not -G0. The increase
in PGE2, thromboxane B2, and TGF-beta1 was inhibited by COX-2-specific inhibitor
CAY10404 but not by COX-1-specific inhibitor SC-560. These results demonstrate a
novel role of ApoL1 variants in the regulation of monocyte differentiation and
eicosanoid metabolism, which could modify the immune response and promote
inflammatory signaling within the local targeted organs and tissues including the
kidney.
PMID- 29357413
TI - Defective interplay between mTORC1 activity and endoplasmic reticulum stress
unfolded protein response in uremic vascular calcification.
AB - Vascular calcification increases the risk of cardiovascular disease and death in
patients with chronic kidney disease (CKD). Increased activity of mammalian
target of rapamycin complex 1 (mTORC1) and endoplasmic reticulum (ER) stress
unfolded protein response (UPR) are independently reported to partake in the
pathogenesis of vascular calcification in CKD. However, the association between
mTORC1 activity and ER stress-UPR remains unknown. We report here that components
of the uremic state [activation of the receptor for advanced glycation end
products (RAGE) and hyperphosphatemia] potentiate vascular smooth muscle cell
(VSMC) calcification by inducing persistent and exaggerated activity of mTORC1.
This gives rise to prolonged and excessive ER stress-UPR as well as attenuated
levels of sestrin 1 ( Sesn1) and Sesn3 feeding back to inhibit mTORC1 activity.
Activating transcription factor 4 arising from the UPR mediates cell death via
expression of CCAAT/enhancer-binding protein (c/EBP) homologous protein (CHOP),
impairs the generation of pyrophosphate, a potent inhibitor of mineralization,
and potentiates VSMC transdifferentiation to the osteochondrocytic phenotype.
Short-term treatment of CKD mice with rapamycin, an inhibitor of mTORC1, or
tauroursodeoxycholic acid, a bile acid that restores ER homeostasis, normalized
mTORC1 activity, molecular markers of UPR, and calcium content of aortas.
Collectively, these data highlight that increased and/or protracted mTORC1
activity arising from the uremic state leads to dysregulated ER stress-UPR and
VSMC calcification. Manipulation of the mTORC1-ER stress-UPR pathway opens up new
therapeutic strategies for the prevention and treatment of vascular calcification
in CKD.
PMID- 29357414
TI - Effects of a high-sodium/low-potassium diet on renal calcium, magnesium, and
phosphate handling.
AB - The distal convoluted tubule (DCT) of the kidney plays an important role in blood
pressure regulation by modulating Na+ reabsorption via the Na+-Cl- cotransporter
(NCC). A diet containing high salt (NaCl) and low K+ activates NCC, thereby
causing Na+ retention and a rise in blood pressure. Since high blood pressure,
hypertension, is associated with changes in serum calcium (Ca2+) and magnesium
(Mg2+) levels, we hypothesized that dietary Na+ and K+ intake affects Ca2+ and
Mg2+ transport in the DCT. Therefore, the present study aimed to investigate the
effect of a high-Na+/low-K+ diet on renal Ca2+ and Mg2+ handling. Mice were
divided in four groups and fed a normal-Na+/normal-K+, normal-Na+/low-K+, high
Na+/normal-K+, or high-Na+/low-K+ diet for 4 days. Serum and urine were collected
for electrolyte and hormone analysis. Gene and protein expression of electrolyte
transporters were assessed in kidney and intestine by qPCR and immunoblotting.
Whereas Mg2+ homeostasis was not affected, the mice had elevated urinary Ca2+ and
phosphate (Pi) excretion upon high Na+ intake, as well as significantly lower
serum Ca2+ levels in the high-Na+/low-K+ group. Alterations in the gene and
protein expression of players involved in Ca2+ and Pi transport indicate that
reabsorption in the proximal tubular and TAL is affected, while inducing a
compensatory response in the DCT. These effects may contribute to the negative
health impact of a high-salt diet, including kidney stone formation, chronic
kidney disease, and loss of bone mineral density.
PMID- 29357415
TI - Interfering RNA against PKC-alpha inhibits TNF-alpha-induced IP3R1 expression and
improves glomerular filtration rate in rats with fulminant hepatic failure.
AB - We have reported that tumor necrosis factor-alpha (TNF-alpha) is critical for
reduction of glomerular filtration rate (GFR) in rats with fulminant hepatic
failure (FHF). The present study aims to evaluate the underlying mechanisms of
decreased GFR during acute hepatic failure. Rats with FHF induced by d
galactosamine plus lipopolysaccharide (GalN/LPS) were injected intravenously with
recombinant lentivirus harboring short hairpin RNA against the protein kinase C
alpha ( PKC-alpha) gene (Lenti-shRNA-PKC-alpha). GFR, serum levels of
aminotransferases, creatinine, urea nitrogen, potassium, sodium, chloride, TNF
alpha, and endothelin-1 (ET-1), as well as type 1 inositol 1,4,5-trisphosphate
receptor (IP3R1) expression in renal tissue were assessed. The effects of PKC
alpha silencing on TNF-alpha-induced IP3R1, specificity protein 1 (SP-1), and c
Jun NH2-terminal kinase (JNK) expression, as well as cytosolic calcium content
were determined in glomerular mesangial cell (GMCs) with RNAi against PKC-alpha.
Renal IP3R1 overexpression was abrogated by pre-treatment with Lenti-shRNA-PKC
alpha. The PKC-alpha silence significantly improved the compromised GFR, reduced
Cr levels, and reversed the decrease in glomerular inulin space and the increase
in glomerular calcium content in GalN/LPS-exposed rats. TNF-alpha treatment
increased expression of PKC-alpha, IP3R1, specificity protein 1 (SP-1), JNK, and
p-JNK in GMCs and increased Ca2 + release and binding activity of SP-1 to the
IP3R1 promoter. These effects were blocked by transfection of siRNA against the
PKC-alpha gene, and the PKC-alpha gene silence also restored cytosolic Ca2+
concentration. RNAi targeting PKC-alpha inhibited TNF-alpha-induced IP3R1
overexpression and in turn improved compromised GFR in the development of acute
kidney injury during FHF in rats.
PMID- 29357416
TI - Improved protocols for the study of urinary electrolyte excretion and blood
pressure in rodents: use of gel food and stepwise changes in diet composition.
AB - Many experimental protocols in rodents require the comparison of groups that are
fed different diets. Changes in dietary electrolyte and/or fat content can
influence food intake, which can potentially introduce bias or confound the
results. Unpalatable diets slow growth or cause weight loss, which is exacerbated
by housing the animals in individual metabolic cages or by surgery. For balance
studies in mice, small changes in body weight and food intake and low urinary
flow can amplify these challenges. Powder food can be administered as gel with
the addition of a desired amount of water, electrolytes, drugs (if any), and a
small amount of agar. We describe here how the use of gel food to vary water, Na,
K, and fat content can reduce weight loss and improve reproducibility of intake,
urinary excretion, and blood pressure in rodents. In addition, mild food
restriction reduces the interindividual variability and intergroup differences in
food intake and associated variables, thus improving the statistical power of an
experiment. Finally, we also demonstrate the advantages of using gel food for
weight-based drug dosing. These protocols can improve the accuracy and
reproducibility of experimental data where dietary manipulations are needed and
are especially advisable in rodent studies related to water balance, obesity, and
blood pressure.
PMID- 29357417
TI - Array profiling reveals contribution of Cthrc1 to growth of the denervated rat
urinary bladder.
AB - Bladder denervation and bladder outlet obstruction are urological conditions that
cause bladder growth. Transcriptomic surveys in outlet obstruction have
identified differentially expressed genes, but similar studies following
denervation have not been done. This was addressed using a rat model in which the
pelvic ganglia were cryo-ablated followed by bladder microarray analyses. At 10
days following denervation, bladder weight had increased 5.6-fold, and 2,890
mRNAs and 135 micro-RNAs (miRNAs) were differentially expressed. Comparison with
array data from obstructed bladders demonstrated overlap between the conditions,
and 10% of mRNAs changed significantly and in the same direction. Many mRNAs,
including collagen triple helix repeat containing 1 ( Cthrc1), Prc1, Plod2, and
Dkk3, and miRNAs, such as miR-212 and miR-29, resided in the shared signature.
Discordantly regulated transcripts in the two models were rare, making up for
<0.07% of all changes, and the gene products in this category localized to the
urothelium of normal bladders. These transcripts may potentially be used to
diagnose sensory denervation. Western blotting demonstrated directionally
consistent changes at the protein level, with increases of, e.g., Cthrc1, Prc1,
Plod2, and Dkk3. We chose Cthrc1 for further studies and found that Cthrc1 was
induced in the smooth muscle cell (SMC) layer following denervation. TGF-beta1
stimulation and miR-30d-5p inhibition increased Cthrc1 in bladder SMCs, and
knockdown and overexpression of Cthrc1 reduced and increased SMC proliferation.
This work defines common and distinguishing features of bladder denervation and
obstruction and suggests a role for Cthrc1 in bladder growth following
denervation.
PMID- 29357418
TI - Endogenous H2S sensitizes PAR4-induced bladder pain.
AB - Bladder pain is a prominent symptom of interstitial cystitis/painful bladder
syndrome. Hydrogen sulfide (H2S) generated by cystathionine beta-synthase (CBS)
or cystathionine gamma-lyase (CSE) facilitates bladder hypersensitivity. We
assessed involvement of the H2S pathway in protease-activated receptor 4 (PAR4)
induced bladder pain. A bladder pain model was induced by intravesical
instillation of PAR4-activating peptide in mice. The role of H2S in this model
was evaluated by intraperitoneal preadministration of d,l-propargylglycine (PAG),
aminooxyacetic acid (AOAA), or S-adenosylmethionine or the preintravesical
administration of NaHS. SV-HUC-1 cells were treated in similar manners.
Assessments of CBS, CSE, and macrophage migration inhibitory factor (MIF)
expression, bladder voiding function, bladder inflammation, H2S production, and
referred bladder pain were performed. The CSE and CBS pathways existed in both
mouse bladders and SV-HUC-1 cells. H2S signaling was upregulated in PAR4-induced
bladder pain models, and H2S-generating enzyme activity was upregulated in human
bladders, mouse bladders, and SV-HUC-1 cells. Pretreatment with AOAA or NaHS
inhibited or promoted PAR4-induced mechanical hyperalgesia, respectively;
however, PAG only partially inhibited PAR4-induced bladder pain. Treatment with
PAG or AOAA decreased H2S production in both mouse bladders and SV-HUC-1 cells.
Pretreatment with AOAA increased MIF protein levels in bladder tissues and cells,
whereas pretreatment with NaHS lowered MIF protein levels. Bladder pain triggered
by the H2S pathway was not accompanied by inflammation or altered micturition
behavior. Thus endogenous H2S generated by CBS or CSE caused referred
hyperalgesia mediated through MIF in mice with PAR4-induced bladder pain, without
causing bladder injury or altering micturition behavior.
PMID- 29357420
TI - Renal Na-handling defect associated with PER1-dependent nondipping hypertension
in male mice.
AB - Many physiological functions have a circadian rhythm, including blood pressure
(BP). BP is highest during the active phase, whereas during the rest period, BP
dips 10-20%. Patients that do not experience this dip at night are termed
"nondippers." Nondipping hypertension is associated with increased risk of
cardiovascular disease. The mechanisms underlying nondipping hypertension are not
understood. Without the circadian clock gene Per1, C57BL/6J mice develop
nondipping hypertension on a high-salt diet plus mineralocorticoid treatment
(HS/DOCP). Our laboratory has shown that PER1 regulates expression of several
genes related to sodium (Na) transport in the kidney, including epithelial Na
channel (ENaC) and Na chloride cotransporter (NCC). Urinary Na excretion also
demonstrates a circadian pattern with a peak during active periods. We
hypothesized that PER1 contributes to circadian regulation of BP via a renal Na
handling-dependent mechanism. Na-handling genes from the distal nephron were
inappropriately regulated in KO mice on HS/DOCP. Additionally, the night/day
ratio of Na urinary excretion by Per1 KO mice is decreased compared with WT (4 *
vs. 7*, P < 0.001, n = 6 per group). Distal nephron-specific Per1 KO mice also
show an inappropriate increase in expression of Na transporter genes alphaENaC
and NCC. These results support the hypothesis that PER1 mediates control of
circadian BP rhythms via the regulation of distal nephron Na transport genes.
These findings have implications for the understanding of the etiology of
nondipping hypertension and the subsequent development of novel therapies for
this dangerous pathophysiological condition.
PMID- 29357419
TI - Modulation of apolipoprotein L1-microRNA-193a axis prevents podocyte
dedifferentiation in high-glucose milieu.
AB - The loss of podocyte (PD) molecular phenotype is an important feature of diabetic
podocytopathy. We hypothesized that high glucose (HG) induces dedifferentiation
in differentiated podocytes (DPDs) through alterations in the apolipoprotein
(APO) L1-microRNA (miR) 193a axis. HG-induced DPD dedifferentiation manifested in
the form of downregulation of Wilms' tumor 1 (WT1) and upregulation of paired box
2 (PAX2) expression. WT1-silenced DPDs displayed enhanced expression of PAX2.
Immunoprecipitation of DPD cellular lysates with anti-WT1 antibody revealed
formation of WT1 repressor complexes containing Polycomb group proteins, enhancer
of zeste homolog 2, menin, and DNA methyltransferase (DNMT1), whereas silencing
of either WT1 or DNMT1 disrupted this complex with enhanced expression of PAX2.
HG-induced DPD dedifferentiation was associated with a higher expression of
miR193a, whereas inhibition of miR193a prevented DPD dedifferentiation in HG
milieu. HG downregulated DPD expression of APOL1. miR193a-overexpressing DPDs
displayed downregulation of APOL1 and enhanced expression of dedifferentiating
markers; conversely, silencing of miR193a enhanced the expression of APOL1 and
preserved DPD phenotype. Moreover, stably APOL1G0-overexpressing DPDs displayed
the enhanced expression of WT1 but attenuated expression of miR193a; nonetheless,
silencing of APOL1 reversed these effects. Since silencing of APOL1 enhanced
miR193a expression as well as dedifferentiation in DPDs, it appears that
downregulation of APOL1 contributed to dedifferentiation of DPDs through enhanced
miR193a expression in HG milieu. Vitamin D receptor agonist downregulated
miR193a, upregulated APOL1 expression, and prevented dedifferentiation of DPDs in
HG milieu. These findings suggest that modulation of the APOL1-miR193a axis
carries a potential to preserve DPD molecular phenotype in HG milieu.
PMID- 29357421
TI - MiR-200a negatively regulates TGF-beta1-induced epithelial-mesenchymal transition
of peritoneal mesothelial cells by targeting ZEB1/2 expression.
AB - Although epithelial-mesenchymal transition (EMT) of peritoneal mesothelial cells
was recognized as the key process of peritoneal fibrosis, which is a major cause
of peritoneal failure related to peritoneal dialysis (PD), mechanisms underlying
these processes remain largely unknown. In this study, we found that miR-200a was
significantly downregulated in peritoneal tissues with fibrosis in a rat model of
PD. In vitro, transforming growth factor (TGF)-beta1-induced EMT, identified by
de novo expression of alpha-smooth muscle actin and a loss of E-cadherin in human
peritoneal mesothelial cells (HPMCs), was associated with downregulation of miR
200a but upregulation of zinc finger E-box-binding homeobox 1/2 (ZEB1/2),
suggesting a close link between miR-200a and ZEB1/2 in TGF-beta1-induced EMT. It
was further demonstrated that miR-200a was able to bind to the 3'UTR of ZEB1/2,
and overexpression of miR-200a blocked TGF-beta1-induced upregulation of ZEB1/2
and, therefore, inhibited EMT and collagen expression. In contrast,
overexpression ZEB1/2 blocked miR-200a inhibition of EMT and collagen expression
in HMPCs. In conclusion, miR-200a could negatively regulate TGF-beta1-induced EMT
by targeting ZEB1/2 in peritoneal mesothelial cells. Blockade of EMT in HPMCS
indicates the therapeutic potential of miR-200a as a treatment for peritoneal
fibrosis associated with PD.
PMID- 29357422
TI - Tamoxifen attenuates development of lithium-induced nephrogenic diabetes
insipidus in rats.
AB - Lithium is widely used in treatment of bipolar affective disorders but often
causes nephrogenic diabetes insipidus (NDI), a disorder characterized by severe
urinary-concentrating defects. Lithium-induced NDI is caused by lithium uptake by
collecting duct principal cells and altered expression of aquaporin-2 (AQP2),
which are essential for water reabsorption of tubular fluid in the collecting
duct. Sex hormones have previously been shown to affect the regulation of AQP2,
so we tested whether tamoxifen (TAM), a selective estrogen receptor modulator,
would attenuate lithium-induced alterations on renal water homeostasis. Rats were
treated for 14 days with lithium, and TAM treatment was initiated 1 wk after
onset of lithium administration. Lithium treatment resulted in severe polyuria
and reduced AQP2 expression, which were ameliorated by TAM. Consistent with this,
TAM attenuated downregulation of AQP2 and increased phosphorylation of the cAMP
responsive element-binding protein, which induced AQP2 expression in freshly
isolated inner-medullary collecting duct suspension prepared from lithium-treated
rats. In conclusion, TAM attenuated polyuria dose dependently and impaired urine
concentration and downregulation of AQP2 protein expression in rats with lithium
induced NDI. These findings suggest that TAM is likely to be a novel therapeutic
option for lithium-induced NDI.
PMID- 29357423
TI - Acid retention in chronic kidney disease is inversely related to GFR.
AB - Greater H+ retention in animal models of chronic kidney disease (CKD) mediates
faster glomerular filtration rate (GFR) decline and dietary H+ reduction slows
eGFR decline in CKD patients with reduced eGFR and H+ retention due to the high
acid (H+) diets of developed societies. We examined if H+ retention in CKD is
inversely associated with estimated GFR (eGFR) using cross-sectional and
longitudinal analysis of individuals with CKD stage 1 (>90 ml.min- 1.1.73 m-2),
CKD stage 2 (60-89 ml/min per 1.73 m2), and CKD stage 3 (30-59 ml.min- 1.1.73 m
2) eGFR. H+ retention was assessed using the difference between observed and
expected plasma total CO2 2 h after 0.5 meq/kg body wt oral NaHCO3. H+ retention
was higher in CKD 2 vs. CKD 1 ( P < 0.01) and in CKD 3 vs. CKD 2 ( P < 0.02) at
baseline and 5 yr, and was higher in CKD 2 vs. CKD 1 ( P < 0.01) at 10 yr. All
groups had lower eGFR at subsequent time points ( P < 0.01) but H+ retention was
not different among the three time points for CKD 1. By contrast, eGFR decrease
was associated with higher H+ retention in CKD 2 at 5 yr ( P = 0.04) and 10 yr (
P < 0.01) and with higher H+ retention in CKD 3 at 5 yr ( P < 0.01). Yearly eGFR
decline rate was faster in CKD 2 vs. CKD 1 ( P < 0.01) and in CKD 3 vs. CKD 2 ( P
< 0.01). The data show that H+ retention is inversely associated with eGFR, with
faster eGFR decline, and support the need for greater dietary H+ reduction
therapy for CKD individuals with lower eGFR.
PMID- 29357424
TI - Overweight young female kidney donors have low renal functional reserve
postdonation.
AB - Maintenance of adequate renal function after living kidney donation is important
for donor outcome. Overweight donors, in particular, may have an increased risk
for end-stage kidney disease (ESKD), and young female donors have an increased
preeclampsia risk. Both of these risks may be associated with low postdonation
renal functional reserve (RFR). Because we previously found that higher body mass
index (BMI) was associated with lower postdonation RFR, we now studied the
relationship between BMI and RFR in young female donors. RFR, the rise in
glomerular filtration rate (GFR) (125I-iothalamate clearance) during dopamine,
was measured in female donors (<45 yr) before and after kidney donation. Donors
who are overweight (BMI >25) and nonoverweight donors were compared by Student's
t-test; the association was subsequently explored with regression analysis. We
included 105 female donors [age 41 (36-44) median(IQR)] with a BMI of 25 (22-27)
kg/m2. Predonation GFR was 118 (17) ml/min [mean(SD)] rising to 128 (19) ml/min
during dopamine; mean RFR was 10 (10) ml/min. Postdonation GFR was 76 (13)
ml/min, rising to 80 (12); RFR was 4 (6) ml/min ( P < 0.001 vs. predonation). In
overweight donors, RFR was fully lost after donation (1 ml/min vs. 10 ml/min
predonation, P < 0.001), and BMI was inversely associated with RFR after
donation, independent of confounders (standardized beta 0.37, P = 0.02). Reduced
RFR might associate with the risk of preeclampsia and ESKD in kidney donors.
Prospective studies should explore whether RFR is related to preeclampsia and
whether BMI reduction before conception is of benefit to overweight female kidney
donors during and after pregnancy.
PMID- 29357425
TI - Quantitative characterization of glomerular fibrosis with magnetic resonance
imaging: a feasibility study in a rat glomerulonephritis model.
AB - Glomerular fibrosis occurs in the early stages of multiple renal diseases,
including hypertensive and diabetic nephropathy. Conventional assessment of
glomerular fibrosis relies on kidney biopsy, which is invasive and does not
reflect physiological aspects such as blood perfusion. In this study, we sought
to assess potential changes of cortical perfusion and microstructure at different
degrees of glomerular fibrosis using magnetic resonance imaging (MRI). A rat
model of glomerular fibrosis was induced by injecting anti-Thy-1 monoclonal
antibody OX-7 to promote mesangial extracellular matrix proliferation. For six
rats on day 5 and five rats on day 12 after the induction, we measured renal
cortical perfusion and spin-spin relaxation time (T2) in a 3-Tesla MRI scanner.
T2 reflects tissue microstructural changes. Glomerular fibrosis severity was
evaluated by histological analysis and proteinuria. Four rats without fibrosis
were included as controls. In the control rats, the periodic acid-Schiff (PAS)
positive area was 22 +/- 1% of total glomerular tuft, which increased
significantly to 56 +/- 12% and 45 +/- 10% in the day 5 and day 12 fibrotic
groups, respectively ( P < 0.01). For the three groups (control, day 5, and day
12 after OX-7 injection), cortical perfusion was 7.27 +/- 2.54, 3.78 +/- 2.17,
and 3.32 +/- 2.62 ml.min-1.g-1, respectively, decreasing with fibrosis severity (
P < 0.01), and cortical T2 was 75.2 +/- 4.6, 84.1 +/- 3.0, and 87.9 +/- 5.6 ms,
respectively ( P < 0.01). In conclusion, extracellular matrix proliferation in
glomerular mesangial cells severely diminished blood flow through the glomeruli
and also altered cortical microstructure to increase cortical T2. The MRI
measured parameters are proven to be sensitive markers for characterizing
glomerular fibrosis.
PMID- 29357426
TI - Divergent roles for kidney proximal tubule and granulocyte PAD4 in ischemic AKI.
AB - We previously demonstrated that kidney peptidylarginine deiminase-4 (PAD4) plays
a critical role in ischemic acute kidney injury (AKI) in mice by promoting renal
tubular inflammation and neutrophil infiltration (Ham A, Rabadi M, Kim M, Brown
KM, Ma Z, D'Agati V, Lee HT. Am J Physiol Renal Physiol 307: F1052-F1062, 2014).
Although the role of PAD4 in granulocytes including neutrophils is well known, we
surprisingly observed profound renal proximal tubular PAD4 induction after renal
ischemia-reperfusion (I/R) injury. Here we tested the hypothesis that renal
proximal tubular PAD4 rather than myeloid-cell lineage PAD4 plays a critical role
in exacerbating ischemic AKI by utilizing mice lacking PAD4 in renal proximal
tubules (PAD4ff PEPCK Cre mice) or in granulocytes (PAD4ff LysM Cre mice). Mice
lacking renal proximal tubular PAD4 were significantly protected against ischemic
AKI compared with wild-type (PAD4ff) mice. Surprisingly, mice lacking PAD4 in
myeloid cells were also protected against renal I/R injury although this
protection was less compared with renal proximal tubular PAD4-deficient mice.
Renal proximal tubular PAD4-deficient mice had profoundly reduced renal tubular
apoptosis, whereas myeloid-cell PAD4-deficient mice showed markedly reduced renal
neutrophil infiltration. Taken together, our studies suggest that both renal
proximal tubular PAD4 as well as myeloid-cell lineage PAD4 play a critical role
in exacerbating ischemic AKI. Renal proximal tubular PAD4 appears to contribute
to ischemic AKI by promoting renal tubular apoptosis, whereas myeloid-cell PAD4
is preferentially involved in promoting neutrophil infiltration to the kidney and
inflammation after renal I/R.
PMID- 29357427
TI - Interacting hypoxia and endothelin in the diabetic kidney: therapeutic options.
PMID- 29357428
TI - Analysis of the calcium paradox of renin secretion.
AB - The secretion of the protease renin from renal juxtaglomerular cells is enhanced
by subnormal extracellular calcium concentrations. The mechanisms underlying this
atypical effect of calcium have not yet been unraveled. We therefore aimed to
characterize the effect of extracellular calcium concentration on calcium
handling of juxtaglomerular cells and on renin secretion in more detail. For this
purpose, we used a combination of experiments with isolated perfused mouse
kidneys and direct calcium measurements in renin-secreting cells in situ. We
found that lowering of the extracellular calcium concentration led to a sustained
elevation of renin secretion. Electron-microscopical analysis of renin-secreting
cells exposed to subnormal extracellular calcium concentrations revealed big
omega-shaped structures resulting from the intracellular fusion and subsequent
emptying of renin storage vesicles. The calcium concentration dependencies as
well as the kinetics of changes were rather similar for renin secretion and for
renovascular resistance. Since vascular resistance is fundamentally influenced by
myosin light chain kinase (MLCK), myosin light chain phosphatase (MLCP), and Rho
associated protein kinase (Rho-K) activities, we examined the effects of MLCK-,
MLCP-, and Rho-K inhibitors on renin secretion. Only MLCK inhibition stimulated
renin secretion. Conversely, inhibition of MCLP activity lowered perfusate flow
and strongly inhibited renin secretion, which could not be reversed by lowering
of the extracellular calcium concentration. Renin-secreting cells and smooth
muscle cells of afferent arterioles showed immunoreactivity of MLCK. These
findings suggest that the inhibitory effect of calcium on renin secretion could
be explained by phosphorylation-dependent processes under control of the MLCK.
PMID- 29357429
TI - Sweet success? SGLT2 inhibitors and diabetes.
PMID- 29357430
TI - Role of mitochondrial oxidative stress in modulating the expressions of
aquaporins in obstructive kidney disease.
AB - Downregulation of aquaporins (AQPs) in obstructive kidney disease has been well
demonstrated with elusive mechanisms. Our previous study indicated that
mitochondrial dysfunction played a crucial role in this process. However, it is
still uncertain how mitochondrial dysfunction affected the AQPs in obstructive
kidney disease. This study investigated the role of mitochondria-derived
oxidative stress in mediating obstruction-induced downregulation of AQPs. After
unilateral ureteral obstruction for 7 days, renal superoxide dismutase 2 (SOD2;
mitochondria-specific SOD) was reduced by 85%. Meanwhile, AQP1, AQP2, AQP3, and
AQP4 were remarkably downregulated as determined by Western blotting and/or
quantitative real-time PCR. Administration of the SOD2 mimic manganese (III)
tetrakis(4-benzoic acid)porphyrin chloride (MnTBAP) significantly attenuated AQP2
downregulation in line with complete blockade of thiobarbituric acid-reactive
substances elevation, whereas the reduction of AQP1, AQP3, and AQP4 was not
affected. The cyclooxygenase (COX)-2/prostaglandin (PG) E2 pathway has been well
documented as a contributor of AQP reduction in obstructed kidney; thus, we
detected the levels of COX-1/2 and microsomal prostaglandin E synthase 1 (mPGES
1) in kidney and PGE2 secretion in urine. Significantly, MnTBAP partially
suppressed the elevation of COX-2, mPGES-1, and PGE2. Moreover, a marked decrease
of V2 receptor was significantly restored after MnTBAP treatment. However, the
fibrotic response and renal tubular damage were unaffected by MnTBAP in
obstructed kidneys. Collectively, these findings suggested an important role of
mitochondrial oxidative stress in mediating AQP2 downregulation in obstructed
kidney, possibly via modulating the COX-2/mPGES-1/PGE2/V2 receptor pathway.
PMID- 29357431
TI - Vascular endothelial cell changes in postcardiac surgery acute kidney injury.
AB - Acute kidney injury (AKI) is common complication of cardiac surgery; however, the
phenotype of this condition is poorly defined. The aim of this study was to
characterize changes in endothelial structure and function that underlie
postcardiopulmonary bypass (post-CPB) AKI. Adult pigs ( n = 16) were randomized
to undergo the following procedures ( n = 8 per group): group 1: sham operation,
neck dissection with 2.5 h of general anesthesia; and group 2: CPB, 2.5 h of
cardiopulmonary bypass. CPB resulted in the depletion of specific epitopes of
glycosaminoglycans side chains of the endothelial glycocalyx: Dolichos biflorus
agglutinin: mean difference (MD) [95% confidence interval (CI)], P value: -0.26 (
0.42, -0.09), P = 0.0024, Triticum vulgaris (wheat germ) agglutinin: -0.83 (-1.2,
-0.38), P = 0.0005, and Ulex europaeus agglutinin 1: -0.25 (-0.49, -0.009), P =
0.041; endothelial membrane protein: thrombomodulin: -3.13 (-5.6, -0.65), P =
0.02; and adherens junction: VE-cadherin: -1.06 (-1.98, -0.145), P = 0.02. CPB
also resulted in reductions in microvascular cortical perfusion: -0.62 (-1.02,
0.22), P = 0.006, and increased renal cortex adenosine levels: 2.32 (0.83, 3.8),
P = 0.0059. These changes were accompanied by significant reduction in creatinine
clearance at 1.5 h postintervention, MD 95% CI; -51.7 (-99.7, -3.7), P = 0.037,
and at 24 h, MD (95% CI): -47.3 (-87.7, -7.6), P = 0.023, and proteinuria
immediately postintervention MD (95% CI): 18.79 (2.17, 35.4), P = 0.03 vs. sham.
In our experimental CPB model, endothelial injury was associated with loss of
autoregulation, increase in microvascular permeability, and reduced glomerular
filtration. Interventions that promote endothelial homeostasis may have clinical
utility in the prevention of postcardiac surgery AKI.
PMID- 29357432
TI - In vivo three-dimensional photoacoustic imaging of the renal vasculature in
preclinical rodent models.
AB - Noninvasive imaging of the kidney vasculature in preclinical murine models is
important for the assessment of renal development, studying diseases and
evaluating new therapies but is challenging to achieve using existing imaging
modalities. Photoacoustic imaging is a promising new technique that is
particularly well suited to visualizing the vasculature and could provide an
alternative to existing preclinical imaging methods for studying renal vascular
anatomy and function. To investigate this, an all-optical Fabry-Perot-based
photoacoustic scanner was used to image the abdominal region of mice. High
resolution three-dimensional, noninvasive, label-free photoacoustic images of the
mouse kidney and renal vasculature were acquired in vivo. The scanner was also
used to visualize and quantify differences in the vascular architecture of the
kidney in vivo due to polycystic kidney disease. This study suggests that
photoacoustic imaging could be utilized as a novel preclinical imaging tool for
studying the biology of renal disease.
PMID- 29357433
TI - mCCDcl1 cells show plasticity consistent with the ability to transition between
principal and intercalated cells.
AB - The cortical collecting duct of the mammalian kidney plays a critical role in the
regulation of body volume, sodium pH, and osmolarity and is composed of two
distinct cells types, principal cells and intercalated cells. Each cell type is
detectable in the kidney by the localization of specific transport proteins such
as aquaporin 2 (Aqp2) and epithelial sodium channel (ENaC) in principal cells and
V-ATPase B1 and connexin 30 (Cx30) in intercalated cells. mCCDcl1 cells have been
widely used as a mouse principal cell line on the basis of their physiological
characteristics. In this study, the mCCDcl1 parental cell line and three sublines
cloned from isolated single cells (Ed1, Ed2, and Ed3) were grown on filters to
assess their transepithelial resistance, transepithelial voltage, equivalent
short circuit current and expression of the cell-specific markers Aqp2, ENaC, V
ATPaseB1, and Cx30. The parental mCCDcl1 cell line presented amiloride-sensitive
electrogenic sodium transport indicative of principal cell function; however,
immunocytochemistry and RT-PCR showed that some cells expressed the intercalated
cell-specific markers V-ATPase B1 and Cx30, including a subset of cells also
positive for Aqp2 and ENaC. The three subclonal lines contained cells that were
positive for both intercalated and principal cell-specific markers. The vertical
transmission of both principal and intercalated cell characteristics via single
cell cloning reveals the plasticity of mCCDcl1 cells and a direct lineage
relationship between these two physiologically important cell types and is
consistent with mCCDcl1 cells being precursor cells.
PMID- 29357434
TI - Neuraminidase activity mediates IL-6 production by activated lupus-prone
mesangial cells.
AB - The development of nephritis is a leading cause of morbidity and mortality in
lupus patients. Although the general pathophysiological progression of lupus
nephritis is known, the molecular mediators and mechanisms are incompletely
understood. Previously, we demonstrated that the glycosphingolipid (GSL)
catabolic pathway is elevated in the kidneys of MRL/lpr lupus mice and human
lupus patients with nephritis. Specifically, the activity of neuraminidase (NEU)
and expression of Neu1, an enzyme in the GSL catabolic pathway is significantly
increased. To better understand the role and mechanisms by which this pathway
contributes to the progression of LN, we analyzed the expression and effects of
NEU activity on the function of MRL/lpr lupus-prone mesangial cells (MCs). We
demonstrate that NEU1 and NEU3 promote IL-6 production in MES13 MCs. Neu1
expression, NEU activity, and IL-6 production are significantly increased in
stimulated primary MRL/lpr lupus-prone MCs, and blocking NEU activity inhibits IL
6 production. NEU1 and NEU3 expression overlaps IgG deposits in MCs in vitro and
in renal sections from nephritic MRL/lpr mice. Together, our results suggest that
NEU activity mediates IL-6 production in lupus-prone MCs possibly through an IgG
receptor complex signaling pathway.
PMID- 29357435
TI - Highly tamoxifen-inducible principal cell-specific Cre mice with complete
fidelity in cell specificity and no leakiness.
AB - An ideal inducible system should be cell specific and have absolutely no
background recombination without induction (i.e., no leakiness), a high
recombination rate after induction, and complete fidelity in cell specificity
(i.e., restricted recombination exclusively in cells where the driver gene is
expressed). However, such an ideal mouse model remains unavailable for collecting
duct research. Here, we report a mouse model that meets these criteria. In this
model, a cassette expressing ERT2CreERT2 ( ECE) is inserted at the ATG of the
endogenous Aqp2 locus to disrupt Aqp2 function and to express ECE under the
control of the Aqp2 promoter. The resulting allele is named Aqp2ECE. There was no
indication of a significant impact of disruption of a copy of Aqp2 on renal
function and blood pressure control in adult Aqp2ECE/+ heterozygotes. Without
tamoxifen, Aqp2ECE did not activate a Cre-dependent red fluorescence protein
(RFP) reporter in adult kidneys. A single injection of tamoxifen (2 mg) to adult
mice enabled Aqp2ECE to induce robust RFP expression in the whole kidney 24 h
postinjection, with the highest recombination efficiency of 95% in the inner
medulla. All RFP-labeled cells expressed principal cell markers (Aqp2 and Aqp3),
but not intercalated cell markers (V-ATPase B1B2, and carbonic anhydrase II).
Hence, Aqp2ECE confers principal cell-specific tamoxifen-inducible recombination
with absolutely no leakiness, high inducibility, and complete fidelity in cell
specificity, which should be an important tool for temporospatial control of
target genes in the principal cells and for Aqp2+ lineage tracing in adult mice.
PMID- 29357436
TI - Mechanism for higher urine pH in normal women compared with men.
AB - Regulation of acid-base metabolism maintains the pH of body fluids within a tight
range. Urine pH (UpH) is also regulated under normal conditions. Median pH of 24
h urines is ~6, but others have noted that UpH in women is higher than men, which
has been attributed to differences in diet. If true, it would help to explain the
fact that calcium phosphate stones, which form at higher urine pH, are much more
common in women than in men. We studied 14 normal subjects (7 men and 7 women)
fed identical meals in a Clinical Research Center. Urine and blood samples were
collected during fasting and after meals. UpH of women (6.74 +/- 0.11) exceeded
that of men (6.07 +/- 0.17) fed, but not fasting, and UpH rose significantly with
meals in women but not men. Serum and urine total CO2 rose with meals in women
but not men, and in women net acid excretion fell to zero during the fed period.
In a general linear model adjusted for age, sex, and weight, net gastrointestinal
anion uptake was the main predictor of UpH and was significantly higher in women
(3.9 +/- 0.6) than men (1.8 +/- 0.7) in the fed period. Urine citrate, an anion
absorbed by the gastrointestinal tract, was higher in women than men in the fed
state, and fractional excretion of citrate was higher in women than men. The
higher fed UpH in women is related to a greater absorption of food anions and
raises 24-h UpH.
PMID- 29357438
TI - Therapeutic potential of regulatory macrophages generated from peritoneal
dialysate in adriamycin nephropathy.
AB - Cell therapy using macrophages requires large amounts of cells, which are
difficult to collect from patients. Patients undergoing peritoneal dialysis (PD)
discard huge numbers of peritoneal macrophages in dialysate daily. Macrophages
can be modulated to become regulatory macrophages, which have shown great promise
as a therapeutic strategy in experimental kidney disease and human kidney
transplantation. This study aimed to examine the potential of using peritoneal
macrophages (PMs) from peritoneal dialysate to treat kidney disease.
Monocytes/macrophages accounted for >40% of total peritoneal leukocytes in both
patients and mice undergoing PD. PMs from patients and mice undergoing PD were
more mature than peripheral monocytes/macrophages, as shown by low expression of
C-C motif chemokine receptor 2 (CCR2) and morphological changes during in vitro
culture. PMs from patients and mice undergoing PD displayed normal macrophage
function and could be modulated into a regulatory (M2) phenotype. In vivo,
adoptive transfer of peritoneal M2 macrophages derived from PD mice effectively
protected against kidney injury in mice with adriamycin nephropathy (AN).
Importantly, the transfused peritoneal M2 macrophages maintained their M2
phenotype in kidney of AN mice. In conclusion, PMs derived from patients and mice
undergoing PD exhibited conventional macrophage features. Peritoneal M2
macrophages derived from PD mice are able to reduce kidney injury in AN,
suggesting that peritoneal macrophages from patients undergoing PD may have the
potential for clinical therapeutic application.
PMID- 29357437
TI - Renal ischemia-reperfusion injury causes hypertension and renal perfusion
impairment in the CD1 mice which promotes progressive renal fibrosis.
AB - Renal ischemia-reperfusion injury (IRI) is a severe complication of major surgery
and a risk factor for increased morbidity and mortality. Here, we investigated
mechanisms that might contribute to IRI-induced progression to chronic kidney
disease (CKD). Acute kidney injury (AKI) was induced by unilateral IRI for 35 min
in CD1 and C57BL/6 (B6) mice. Unilateral IRI was used to overcome early
mortality. Renal morphology, NGAL upregulation, and neutrophil infiltration as
well as peritubular capillary density were studied by immunohistochemistry. The
composition of leukocyte infiltrates in the kidney after IRI was investigated by
flow cytometry. Systemic blood pressure was measured with a tail cuff, and renal
perfusion was quantified by functional magnetic resonance imaging (fMRI).
Mesangial matrix expansion was assessed by silver staining. Following IRI, CD1
and B6 mice developed similar morphological signs of AKI and increases in NGAL
expression, but neutrophil infiltration was greater in CD1 than B6 mice. IRI
induced an increase in systemic blood pressure of 20 mmHg in CD1, but not in B6
mice; and CD1 mice also had a greater loss of renal perfusion and kidney volume
than B6 mice ( P < 0.05). CD1 mice developed substantial interstitial fibrosis
and decreased peritubular capillary (PTC) density by day 14 while B6 mice showed
only mild renal scarring and almost normal PTC. Our results show that after IRI,
CD1 mice develop more inflammation, hypertension, and later mesangial matrix
expansion than B6 mice do. Subsequently, CD1 animals suffer from CKD due to
impaired renal perfusion and pronounced permanent loss of peritubular
capillaries.
PMID- 29357440
TI - Deep-sea diving into the renal transcriptome of high-fat-fed mice nets unique
catch.
PMID- 29357439
TI - Circadian regulation of kidney function: finding a role for Bmal1.
AB - Mounting evidence suggests that there is an internal molecular "clock" within the
kidney to help maintain normal renal function. Disturbance of the kidney
circadian rhythm may pose a threat to water and electrolyte homeostasis and blood
pressure regulation, among many other problems. The identification of circadian
genes facilitated a more comprehensive appreciation of the importance of "keeping
the body on time"; however, our knowledge is very limited with regard to how
circadian genes regulate kidney function. In this brief review, we summarize
recent progress in circadian control of renal physiology, with a particular focus
on aryl hydrocarbon receptor nuclear translocator-like protein (Arntl1; also
called Bmal1).
PMID- 29357441
TI - At last! Quantitative cortical vascular anatomy.
PMID- 29357442
TI - Characterization of urinary exosomal release of aquaporin-1 and -2 after renal
ischemia-reperfusion in rats.
AB - Acute kidney injury (AKI) is an important risk factor for the development of
chronic kidney disease (CKD), and an alteration in renal water handling has been
observed during the transition of AKI to CKD. Urinary exosomal release of
aquaporin-1 (AQP1) and AQP2, important proteins for renal water handling, has
recently been reported to predict their levels of renal expression. Therefore, we
examined the patterns of urinary exosomal release of AQP1 and AQP2, and the
exosomal marker proteins tumor susceptibility 101 protein (TSG101) and ALG-2
interacting protein X (Alix), in the acute and chronic phases following induction
of AKI by renal bilateral ischemia/reperfusion (I/R) in rats. Blood tests and
histological examinations indicated that AKI occurred before at 7 days after
renal I/R ( day 7) and that renal fibrosis developed progressively thereafter.
Immunoblotting demonstrated significant decreases in the urinary exosomal release
of AQP1 and AQP2 during severe AKI. Urinary exosomal release of Alix and TSG101
was significantly increased on day 7. These data were also confirmed in rats with
unilateral renal I/R causing more serious AKI. Urinary exosomal release of either
the Ser-256- or Ser-269-phosphorylated form of AQP2, both of which are involved
in apical trafficking of AQP2, was positively correlated with that of total AQP2.
These results suggest that urinary exosomal release of AQP1 and AQP2 is reduced
in I/R-induced AKI, whereas that of Alix and TSG101 is increased in the initial
phase of renal fibrosis. Furthermore, apical trafficking of AQP2 appears to be
related to urinary exosomal release of AQP2.
PMID- 29357443
TI - Hyperfiltration, urinary albumin excretion, and ambulatory blood pressure in
adolescents with Type 1 diabetes mellitus.
AB - Adolescents with Type 1 diabetes mellitus (T1DM) are at risk for hyperfiltration
and elevated urinary albumin-to-creatinine ratio (ACR), which are early
indicators of diabetic nephropathy. Adolescents with T1DM also develop early
changes in blood pressure, cardiovascular structure, and function. Our aims were
to define the relationships between hyperfiltration, ACR, and 24-h ambulatory
blood pressure over time in adolescents with T1DM. Normotensive, normoalbuminuric
adolescents ( n = 98) with T1DM underwent baseline and 2-yr 24-h ambulatory blood
pressure monitoring, glomerular filtration rate (eGFR) estimated by cystatin C
(Larsson equation), and ACR measurements. Linear regression models adjusted for
diabetes duration, sex, and HbA1c were used to determine associations.
Hyperfiltration (eGFR >= 133 ml/min) was present in 31% at baseline and 21% at 2
yr follow-up. Hyperfiltration was associated with greater odds of rapid GFR
decline (>3 ml.min-1.yr-1) [OR: 5.33, 95%; CI: 1.87-15.17; P = 0.002] over 2 yr.
Natural log of ACR at baseline was associated with greater odds of
hyperfiltration (OR: 1.71, 95% CI: 1.00-2.92; P = 0.049) and 2-yr follow-up (OR:
2.14, 95%; CI: 1.09-4.19; P = 0.03). One SD increase in eGFR, but not ln ACR, at
2-yr follow-up conferred greater odds of nighttime nondipping pattern (OR: 1.96,
95% CI: 1.06-3.63; P = 0.03). Hyperfiltration was prevalent at baseline and at 2
yr follow-up, predicted rapid decline in GFR, and was related to ACR. Elevated
GFR at 2-yr follow-up was associated with nighttime nondipping pattern. More work
is needed to better understand early relationships between renal hemodynamic and
systemic hemodynamic changes in adolescents with T1DM to reduce future
cardiorenal complications.
PMID- 29357445
TI - A computational model for how the fast afterhyperpolarization paradoxically
increases gain in regularly firing neurons.
AB - The gain of a neuron, the number and frequency of action potentials triggered in
response to a given amount of depolarizing injection, is an important behavior
underlying a neuron's function. Variations in action potential waveform can
influence neuronal discharges by the differential activation of voltage- and ion
gated channels long after the end of a spike. One component of the action
potential waveform, the afterhyperpolarization (AHP), is generally considered an
inhibitory mechanism for limiting firing rates. In dentate gyrus granule cells
(DGCs) expressing fast-gated BK channels, large fast AHPs (fAHP) are
paradoxically associated with increased gain. In this article, we describe a
mechanism for this behavior using a computational model. Hyperpolarization
provided by the fAHP enhances activation of a dendritic inward current (a T-type
Ca2+ channel is suggested) that, in turn, boosts rebound depolarization at the
soma. The model suggests that the fAHP may both reduce Ca2+ channel inactivation
and, counterintuitively, enhance its activation. The magnitude of the rebound
depolarization, in turn, determines the activation of a subsequent, slower inward
current (a persistent Na+ current is suggested) limiting the interspike interval.
Simulations also show that the effect of AHP on gain is also effective for
physiologically relevant stimulation; varying AHP amplitude affects interspike
interval across a range of "noisy" stimulus frequency and amplitudes. The
mechanism proposed suggests that small fAHPs in DGCs may contribute to their
limited excitability. NEW & NOTEWORTHY The afterhyperpolarization (AHP) is
canonically viewed as a major factor underlying the refractory period, serving to
limit neuronal firing rate. We recently reported that enhancing the amplitude of
the fast AHP (fAHP) in a relatively slowly firing neuron (vs. fast spiking
neurons) expressing fast-gated BK channels augments neuronal excitability. In
this computational study, we present a novel, quantitative hypothesis for how
varying the amplitude of the fAHP can, paradoxically, influence a subsequent
spike tens of milliseconds later.
PMID- 29357444
TI - Renal potassium handling in rats with subtotal nephrectomy: modeling and
analysis.
AB - We sought to decipher the mechanisms underlying the kidney's response to changes
in K+ load and intake, under physiological and pathophysiological conditions. To
accomplish that goal, we applied a published computational model of epithelial
transport along rat nephrons in a sham rat, an uninephrectomized (UNX) rat, and a
5/6-nephrectomized (5/6-NX) rat that also considers adaptations in glomerular
filtration rate and tubular growth. Model simulations of an acute K+ load
indicate that elevated expression levels and activities of Na+/K+-ATPase,
epithelial sodium channels, large-conductance Ca2+-activated K+ channels, and
renal outer medullary K+ channels, together with downregulation of sodium
chloride cotransporters (NCC), increase K+ secretion along the connecting tubule,
resulting in a >6-fold increase in urinary K+ excretion in sham rats, which
substantially exceeds the filtered K+ load. In the UNX and 5/6-NX models, the
acute K+ load is predicted to increase K+ excretion, but at significantly reduced
levels compared with sham. Acute K+ load is accompanied by natriuresis in sham
rats. Model simulations suggest that the lesser natriuretic effect observed in
the nephrectomized groups may be explained by impaired NCC downregulation in
these kidneys. At a single-nephron level, a high K+ intake raises K+ secretion
along the connecting tubule and reabsorption along the collecting duct in sham,
and even more in UNX and 5/6-NX. However, the increased K+ secretion per tubule
fails to sufficiently compensate for the reduction in nephron number, such that
nephrectomized rats have an impaired ability to excrete an acute or chronic K+
load.
PMID- 29357447
TI - Very small faces are easily discriminated under long and short exposure times.
AB - Acuity measures related to overall face size that can be perceived have not been
studied quantitatively. Consequently, experimenters use a wide range of sizes
(usually large) without always providing a rationale for their choices. I studied
thresholds for face discrimination by presenting both long (500 ms)- and short
(17, 33, 50 ms)-duration stimuli. Face width threshold for the long presentation
was ~0.2 degrees , and thresholds for the flashed stimuli ranged from ~0.3
degrees for the 17-ms flash to ~0.23 degrees for the 33- and 50-ms flashes.
Such thresholds indicate that face stimuli used in physiological or
psychophysical experiments are often too large to tap human fine spatial
capabilities, and thus interpretations of such experiments should take into
account face discrimination acuity. The 0.2 degrees threshold found in this
study is incompatible with the prevalent view that faces are represented by a
population of specialized "face cells" because those cells do not respond to <1
degrees stimuli and are optimally tuned to >4 degrees faces. Also, the ability
to discriminate small, high-spatial frequency flashed face stimuli is
inconsistent with models suggesting that fixational drift transforms retinal
spatial patterns into a temporal code. It seems therefore that the small image
motions occurring during fixation do not disrupt our perception, because all
relevant processing is over with before those motions can have significant
effects. NEW & NOTEWORTHY Although face perception is central to human behavior,
the minimally perceived face size is not known. This study shows that humans can
discriminate very small (~0.2 degrees ) faces. Furthermore, even when flashed for
tens of milliseconds, ~0.25 degrees faces can be discriminated. Such fine acuity
should impact modeling of physiological mechanisms of face perception. The
ability to discriminate flashed faces where there is almost no eye movement
indicates that eye drift is not essential for visibility.
PMID- 29357449
TI - The reactive leg drop: a simple and novel sensory-motor assessment to predict
fall risk in older individuals.
AB - There is need for a functional ability test that appropriately assesses the rapid
integration of the sensory and motor systems required for older adults to recover
from a slip. The purpose of this study was to assess the efficacy and reliability
of a novel test, the reactive leg drop, for assessing sensory-motor function in
older adults. Fourteen young (YW; mean age = 20 yr) and 11 older women (OW; mean
age = 76 yr) participated in this study. For each drop, the leg was passively
moved to full extension and then released. The subjects had to recognize their
leg was free-falling and reactively kick up as quickly as possible during varying
sensory conditions. To assess the leg drop's reliance on proprioception, other
proprioceptive tests (e.g., patellar tendon reflexes and balance) were separately
performed. Leg drops performed with the eyes closed ( P = 0.011) and with a
blocked view of the leg ( P = 0.033) showed significant differences in drop angle
between YW and OW. Significant relationships between leg drop conditions and
balance were observed in OW that were not present within YW. When collapsed
across groups, reflex latency was correlated with drop angle when the eyes were
closed. The reactive leg drop was age sensitive, reliable, and likely reliant on
proprioception, as shown by relationships to other sensory-motor assessments,
such as balance and the patellar reflex. Although more research is needed, we
propose that the reactive leg drop is an effective tool to assess sensory-motor
integration in a manner that may mimic fall recovery. NEW & NOTEWORTHY The
reactive leg drop was age sensitive and was significantly related to other
sensory-motor assessments. The ability to accurately assess sensory-motor
integration may aid clinicians, practitioners, and researchers in developing new
interventions. The reactive leg drop presented in the current study is a
potentially effective tool to assess sensory and motor integration in a manner
that may mimic fall recovery.
PMID- 29357450
TI - Electrophysiological correlates of incidentally learned expectations in human
vision.
AB - The human visual system is remarkably sensitive to environmental regularities,
which can facilitate behavioral performance when sensory events conform to past
experience. The point at which prior knowledge is integrated during visual
perception is unclear, particularly for incidentally learned associations. One
possibility is that expectation shapes neural activity prospectively, in an
anticipatory fashion, allowing prior knowledge to affect the earliest stages of
sensory processing. Alternatively, cognitive processes underlying object
recognition and conflict detection may be necessary precursors, constraining
effects to later stages of processing. Here we used electroencephalography (EEG)
to uncover neural activity that distinguishes between visual stimuli that match
prior exposure and those that deviate from it. Participants identified visual
targets that were associated with possible target locations; each location was
associated with a high-probability target and a low-probability target. Alongside
a behavioral cost for stimuli that had occurred infrequently at a cued location
compared with those that had occurred frequently, we observed a focal modulation
of the evoked EEG response at 250 ms after target onset. Relative to likely
targets, unlikely targets evoked an enhanced negativity at midline frontal
electrodes, and individual differences in the magnitude of this effect were
correlated with the response time difference between likely and unlikely targets.
In contrast, the evoked response at the latency of the P1, a correlate of early
sensory processing, was indistinguishable for likely and unlikely targets.
Together, these results point to postperceptual processes as a key stage at which
experience modulates visual processing. NEW & NOTEWORTHY We combined
electroencephalography with an incidental learning paradigm to investigate
whether prior knowledge of environmental regularities modulates visual processing
at early or late stages of sensory analysis. Our results reveal that modulations
of neural activity arising at midlevel processing stages predict behavioral costs
for unexpected stimuli rather than effects at early stages of sensory encoding.
PMID- 29357448
TI - Propagation of beta/gamma rhythms in the cortico-basal ganglia circuits of the
parkinsonian rat.
AB - Much of the motor impairment associated with Parkinson's disease is thought to
arise from pathological activity in the networks formed by the basal ganglia (BG)
and motor cortex. To evaluate several hypotheses proposed to explain the
emergence of pathological oscillations in parkinsonism, we investigated changes
to the directed connectivity in BG networks following dopamine depletion. We
recorded local field potentials (LFPs) in the cortex and basal ganglia of rats
rendered parkinsonian by injection of 6-hydroxydopamine (6-OHDA) and in dopamine
intact controls. We performed systematic analyses of the networks using a novel
tool for estimation of directed interactions (nonparametric directionality, NPD).
We used a "conditioned" version of the NPD analysis that reveals the dependence
of the correlation between two signals on a third reference signal. We find
evidence of the dopamine dependency of both low-beta (14-20 Hz) and high-beta/low
gamma (20-40 Hz) directed network interactions. Notably, 6-OHDA lesions were
associated with enhancement of the cortical "hyperdirect" connection to the
subthalamic nucleus (STN) and its feedback to the cortex and striatum. We find
that pathological beta synchronization resulting from 6-OHDA lesioning is widely
distributed across the network and cannot be located to any individual structure.
Furthermore, we provide evidence that high-beta/gamma oscillations propagate
through the striatum in a pathway that is independent of STN. Rhythms at high
beta/gamma show susceptibility to conditioning that indicates a hierarchical
organization compared with those at low beta. These results further inform our
understanding of the substrates for pathological rhythms in salient brain
networks in parkinsonism. NEW & NOTEWORTHY We present a novel analysis of
electrophysiological recordings in the cortico-basal ganglia network with the aim
of evaluating several hypotheses concerning the origins of abnormal brain rhythms
associated with Parkinson's disease. We present evidence for changes in the
directed connections within the network following chronic dopamine depletion in
rodents. These findings speak to the plausibility of a "short-circuiting" of the
network that gives rise to the conditions from which pathological synchronization
may arise.
PMID- 29357451
TI - High-definition transcranial direct-current stimulation of the right M1 further
facilitates left M1 excitability during crossed facilitation.
AB - The crossed-facilitation (CF) effect refers to when motor-evoked potentials
(MEPs) evoked in the relaxed muscles of one arm are facilitated by contraction of
the opposite arm. The aim of this study was to determine whether high-definition
transcranial direct-current stimulation (HD-tDCS) applied to the right primary
motor cortex (M1) controlling the left contracting arm [50% maximum voluntary
isometric contraction (MVIC)] would further facilitate CF toward the relaxed
right arm. Seventeen healthy right-handed subjects participated in an anodal and
cathodal or sham HD-tDCS session of the right M1 (2 mA for 20 min) separated by
at least 48 h. Single-pulse transcranial magnetic stimulation (TMS) was used to
elicit MEPs and cortical silent periods (CSPs) from the left M1 at baseline and
10 min into and after right M1 HD-tDCS. At baseline, compared with resting, CF
(i.e., right arm resting, left arm 50% MVIC) increased left M1 MEP amplitudes
(+97%) and decreased CSPs (-11%). The main novel finding was that right M1 HD
tDCS further increased left M1 excitability (+28.3%) and inhibition (+21%) from
baseline levels during CF of the left M1, with no difference between anodal and
cathodal HD-tDCS sessions. No modulation of CSP or MEP was observed during sham
HD-tDCS sessions. Our findings suggest that CF of the left M1 combined with right
M1 anodal or cathodal HD-tDCS further facilitated interhemispheric interactions
during CF from the right M1 (contracting left arm) toward the left M1 (relaxed
right arm), with effects on both excitatory and inhibitory processing. NEW &
NOTEWORTHY This study shows modulation of the nonstimulated left M1 by right M1
HD-tDCS combined with crossed facilitation, which was probably achieved through
modulation of interhemispheric interactions.
PMID- 29357452
TI - 3D topology of orientation columns in visual cortex revealed by functional
optical coherence tomography.
AB - Orientation tuning is a canonical neuronal response property of six-layer visual
cortex that is encoded in pinwheel structures with center orientation
singularities. Optical imaging of intrinsic signals enables us to map these
surface two-dimensional (2D) structures, whereas lack of appropriate techniques
has not allowed us to visualize depth structures of orientation coding. In the
present study, we performed functional optical coherence tomography (fOCT), a
technique capable of acquiring a 3D map of the intrinsic signals, to study the
topology of orientation coding inside the cat visual cortex. With this technique,
for the first time, we visualized columnar assemblies in orientation coding that
had been predicted from electrophysiological recordings. In addition, we found
that the columnar structures were largely distorted around pinwheel centers:
center singularities were not rigid straight lines running perpendicularly to the
cortical surface but formed twisted string-like structures inside the cortex that
turned and extended horizontally through the cortex. Looping singularities were
observed with their respective termini accessing the same cortical surface via
clockwise and counterclockwise orientation pinwheels. These results suggest that
a 3D topology of orientation coding cannot be fully anticipated from 2D surface
measurements. Moreover, the findings demonstrate the utility of fOCT as an in
vivo mesoscale imaging method for mapping functional response properties of
cortex in the depth axis. NEW & NOTEWORTHY We used functional optical coherence
tomography (fOCT) to visualize three-dimensional structure of the orientation
columns with millimeter range and micrometer spatial resolution. We validated
vertically elongated columnar structure in iso-orientation domains. The columnar
structure was distorted around pinwheel centers. An orientation singularity
formed a string with tortuous trajectories inside the cortex and connected
clockwise and counterclockwise pinwheel centers in the surface orientation map.
The results were confirmed by comparisons with conventional optical imaging and
electrophysiological recordings.
PMID- 29357453
TI - Motor unit discharge characteristics and walking performance of individuals with
multiple sclerosis.
AB - Walking performance of persons with multiple sclerosis (MS) is strongly
influenced by the activation signals received by lower leg muscles. We examined
the associations between force steadiness and motor unit discharge
characteristics of lower leg muscles during submaximal isometric contractions
with tests of walking performance and disability status in individuals who self
reported walking difficulties due to MS. We expected that worse walking
performance would be associated with weaker plantar flexor muscles, worse force
steadiness, and slower motor unit discharge times. Twenty-three individuals with
relapsing-remitting MS (56 +/- 7 yr) participated in the study. Participants
completed one to three evaluation sessions that involved two walking tests (25-ft
walk and 6-min walk), a manual dexterity test (grooved pegboard), health-related
questionnaires, and measurement of strength, force steadiness, and motor unit
discharge characteristics of lower leg muscles. Multiple regression analyses were
used to construct models to explain the variance in measures of walking
performance. There were statistically significant differences (effect sizes: 0.21
0.60) between the three muscles in mean interspike interval (ISI) and ISI
distributions during steady submaximal contractions with the plantar flexor and
dorsiflexor muscles. The regression models explained 40% of the variance in 6-min
walk distance and 47% of the variance in 25-ft walk time with two or three
variables that included mean ISI for one of the plantar flexor muscles,
dorsiflexor strength, and force steadiness. Walking speed and endurance in
persons with relapsing-remitting MS were reduced in individuals with longer ISIs,
weaker dorsiflexors, and worse plantar flexor force steadiness. NEW & NOTEWORTHY
The walking endurance and gait speed of persons with relapsing-remitting multiple
sclerosis (MS) were worse in individuals who had weaker dorsiflexor muscles and
greater force fluctuations and longer times between action potentials discharged
by motor units in plantar flexor muscles during steady isometric contractions.
These findings indicate that the control of motor unit activity in lower leg
muscles of individuals with MS is associated with their walking ability.
PMID- 29357455
TI - Nonsinusoidal neuronal oscillations: bug or feature?
AB - There is compiling evidence suggesting that independent neuronal ensembles are
coordinated in time and space through cross-frequency coupling (CFC). However,
recent studies have convincingly demonstrated that nonsinusoidal oscillations
produce serious biases in state of the art CFC metrics. Although most of studies
treat nonsinusoidal waves as a nuisance or just ignore them, fortunately some
scientists are starting to exploit their neurophysiological relevance opening new
research vistas with critical implications.
PMID- 29357456
TI - Network disruption following mild traumatic brain injury: clinical and
experimental research implications.
AB - Illuminating the pathophysiological mechanisms that underlie persistent
postconcussive symptoms following mild traumatic brain injury (mTBI) is a growing
area of study. Alhourani et al. ( J Neurophysiol 116: 1840-1847, 2016) added to
this emerging body of literature with their study examining default mode network
disruption in mTBI using magnetoencephalography. The findings provided enhanced
insight into the neural underpinnings of mTBI, which can be applied to future
clinical and experimental research in this area.
PMID- 29357454
TI - Corticospinal gating during action preparation and movement in the primate motor
cortex.
AB - During everyday actions there is a need to be able to withhold movements until
the most appropriate time. This motor inhibition is likely to rely on multiple
cortical and subcortical areas, but the primary motor cortex (M1) is a critical
component of this process. However, the mechanisms behind this inhibition are
unclear, particularly the role of the corticospinal system, which is most often
associated with driving muscles and movement. To address this, recordings were
made from identified corticospinal (PTN, n = 94) and corticomotoneuronal (CM, n =
16) cells from M1 during an instructed delay reach-to-grasp task. The task
involved the animals withholding action for ~2 s until a GO cue, after which they
were allowed to reach and perform the task for a food reward. Analysis of the
firing of cells in M1 during the delay period revealed that, as a population, non
CM PTNs showed significant suppression in their activity during the cue and
instructed delay periods, while CM cells instead showed a facilitation during the
preparatory delay. Analysis of cell activity during movement also revealed that a
substantial minority of PTNs (27%) showed suppressed activity during movement, a
response pattern more suited to cells involved in withholding rather than driving
movement. These results demonstrate the potential contributions of the M1
corticospinal system to withholding of actions and highlight that suppression of
activity in M1 during movement preparation is not evenly distributed across
different neural populations. NEW & NOTEWORTHY Recordings were made from
identified corticospinal (PTN) and corticomotoneuronal (CM) cells during an
instructed delay task. Activity of PTNs as a population was suppressed during the
delay, in contrast to CM cells, which were facilitated. A minority of PTNs showed
a rate profile that might be expected from inhibitory cells and could suggest
that they play an active role in action suppression, most likely through
downstream inhibitory circuits.
PMID- 29357457
TI - Neck movement but not neck position modulates skin sympathetic nerve activity
supplying the lower limbs of humans.
AB - We previously showed that dynamic, but not static, neck displacement modulates
muscle sympathetic nerve activity (MSNA) to lower limbs of humans. However, it is
not known whether dynamic neck displacement modulates skin sympathetic nerve
activity (SSNA). Tungsten microelectrodes inserted into the common peroneal nerve
were used to record SSNA in 5 female and 4 male subjects lying supine on a table
that fixed their head in space but allowed trapezoidal ramp (8.1 +/- 1.2 degrees
/s) and hold (17.5 degrees for 53 s) or sinusoidal (35 degrees peak to peak at
0.33-0.46 Hz) horizontal displacement of the body about the head. SSNA recordings
were made before, during, and after trapezoidal and sinusoidal displacements of
the body. Spike frequency analysis of trapezoidal displacements and cross
correlation analysis during sinusoidal displacements revealed that SSNA was not
changed by trapezoid body-only displacement but was cyclically modulated during
sinusoidal angular displacements (median, 95% CI: 27.9%, 19.6-48.0%). The
magnitude of this modulation was not statistically ( P > 0.05) different from
that of cardiac and respiratory modulation at rest (47.1%, 18.7-56.3% and 48.6%,
28.4-59.3%, respectively) or during sinusoidal displacement (10.3%, 6.2-32.1% and
26.9%, 13.6-43.3%, respectively). Respiratory frequency was entrained above its
resting rate (0.26 Hz, 0.2-0.29 Hz) during sinusoidal neck displacement; there
was no significant difference ( P > 0.05) between respiratory frequency (0.38 Hz,
0.25-0.49 Hz) and sinusoidal displacement frequency (0.39 Hz, 0.35-0.42 Hz). This
study provides evidence that SSNA is modulated during neck movement, raising the
possibility that neck mechanoreceptors may contribute to the cutaneous
vasoconstriction and sweat release associated with motion sickness. NEW &
NOTEWORTHY This study demonstrates that dynamic, but not static, stretching of
the neck modulates skin sympathetic nerve activity in the lower limbs.
PMID- 29357458
TI - Transmitter release site organization can predict synaptic function at the
neuromuscular junction.
AB - We have investigated the impact of transmitter release site (active zone; AZ)
structure on synaptic function by physically rearranging the individual AZ
elements in a previously published frog neuromuscular junction (NMJ) AZ model
into the organization observed in a mouse NMJ AZ. We have used this strategy,
purposefully without changing the properties of AZ elements between frog and
mouse models (even though there are undoubtedly differences between frog and
mouse AZ elements in vivo), to directly test how structure influences function at
the level of an AZ. Despite a similarly ordered ion channel array substructure
within both frog and mouse AZs, frog AZs are much longer and position docked
vesicles in a different location relative to AZ ion channels. Physiologically,
frog AZs have a lower probability of transmitter release compared with mouse AZs,
and frog NMJs facilitate strongly during short stimulus trains in contrast with
mouse NMJs that depress slightly. Using our computer modeling approach, we found
that a simple rearrangement of the AZ building blocks of the frog model into a
mouse AZ organization could recapitulate the physiological differences between
these two synapses. These results highlight the importance of simple AZ protein
organization to synaptic function. NEW & NOTEWORTHY A simple rearrangement of the
basic building blocks in the frog neuromuscular junction model into a mouse
transmitter release site configuration predicted the major physiological
differences between these two synapses, suggesting that transmitter release site
structure and organization is a strong predictor of function.
PMID- 29357460
TI - Response adaptation in the barn owl's auditory space map.
AB - Response adaptation is the change of the firing rate of neurons induced by a
preceding stimulus. It can be found in many sensory systems and throughout the
auditory pathway. We investigated response adaptation in the external nucleus of
the inferior colliculus (ICX) of barn owls ( Tyto furcata), a nocturnal bird of
prey and specialist in sound localization. Individual neurons in the ICX
represent locations in auditory space by maximally responding to combinations of
interaural time and level differences (ITD and ILD). Neuronal responses were
recorded extracellularly under ketamine-diazepam anesthesia. Response adaptation
was observed in three double stimulation paradigms. In two paradigms, the same
binaural parameters for both stimuli were chosen. A variation of the level of the
second stimulus yielded a level increase sufficient to compensate for adaptation
around 5 dB. Introducing a silent interstimulus interval (ISI) resulted in
recovery from adaptation. The time course of recovery was followed by varying the
ISI, and full recovery was found after an ISI of 50 ms. In a third paradigm, the
ITD of the second stimulus was varied to investigate the representation of ITD
under adaptive conditions. We found that adaptation led to an increased precision
and improved selectivity while the best ITD was stable. These changes of
representation remained for longer ISIs than were needed to recover from response
adaptation at the best ITD. Stimuli with non-best ITDs could also induce similar
adaptive effects if the neurons responded to these ITDs. NEW & NOTEWORTHY We
demonstrate and characterize response adaptation in neurons of the auditory space
map in the barn owl's midbrain with acoustic double-stimulation paradigms. An
increase of the second level by 5 dB compensated for the observed adaptive
effect. Recovery from adaptation was faster than in upstream nuclei of the
auditory pathway. Our results also show that response adaptation might improve
precision and selectivity in the representation of interaural time difference.
PMID- 29357459
TI - Impact of light-adaptive mechanisms on mammalian retinal visual encoding at high
light levels.
AB - A persistent change in illumination causes light-adaptive changes in retinal
neurons. Light adaptation improves visual encoding by preventing saturation and
by adjusting spatiotemporal integration to increase the signal-to-noise ratio
(SNR) and utilize signaling bandwidth efficiently. In dim light, the visual input
contains a greater relative amount of quantal noise, and vertebrate receptive
fields are extended in space and time to increase SNR. Whereas in bright light,
SNR of the visual input is high, the rate of synaptic vesicle release from the
photoreceptors is low so that quantal noise in synaptic output may limit SNR
postsynaptically. Whether and how reduced synaptic SNR impacts spatiotemporal
integration in postsynaptic neurons remains unclear. To address this, we measured
spatiotemporal integration in retinal horizontal cells and ganglion cells in the
guinea pig retina across a broad illumination range, from low to high photopic
levels. In both cell types, the extent of spatial and temporal integration
changed according to an inverted U-shaped function consistent with adaptation to
low SNR at both low and high light levels. We show how a simple mechanistic model
with interacting, opponent filters can generate the observed changes in ganglion
cell spatiotemporal receptive fields across light-adaptive states and postulate
that retinal neurons postsynaptic to the cones in bright light adopt low-pass
spatiotemporal response characteristics to improve visual encoding under
conditions of low synaptic SNR.
PMID- 29357461
TI - Phase-amplitude coupling within the anterior thalamic nuclei during seizures.
AB - Cross-frequency phase-amplitude coupling (cfPAC) subserves an integral role in
the hierarchical organization of interregional neuronal communication and is also
expressed by epileptogenic cortex during seizures. Here, we sought to
characterize patterns of cfPAC expression in the anterior thalamic nuclei during
seizures by studying extra-operative recordings in patients implanted with deep
brain stimulation electrodes for intractable epilepsy. Nine seizures from two
patients were analyzed in the peri-ictal period. CfPAC was calculated using the
modulation index and interregional functional connectivity was indexed using the
phase-locking value. Statistical analysis was performed within subjects on the
basis of nonparametric permutation and corrected with Gaussian field theory. Five
of the nine analyzed seizures demonstrated significant cfPAC. Significant cfPAC
occurred during the pre-ictal and ictal periods in three seizures, as well as the
postictal windows in four seizures. The preferred phase at which cfPAC occurred
differed 1) in space, between the thalami of the epileptogenic and
nonepileptogenic hemispheres; and 2) in time, at seizure termination. The
anterior thalamic nucleus of the epileptogenic hemisphere also exhibited altered
interregional phase-locking synchrony concurrent with the expression of cfPAC. By
analyzing extraoperative recordings from the anterior thalamic nuclei, we show
that cfPAC associated with altered interregional phase synchrony is lateralized
to the thalamus of the epileptogenic hemisphere during seizures.
Electrophysiological differences in cfPAC, including preferred phase of
oscillatory interactions may be further investigated as putative targets for
individualized neuromodulation paradigms in patients with drug-resistant
epilepsy. NEW & NOTEWORTHY The association between fast brain activity and slower
oscillations is an integral mechanism for hierarchical neuronal communication,
which is also manifested in epileptogenic cortex. Our data suggest that the same
phenomenon occurs in the anterior thalamic nuclei during seizures. Further, the
preferred phase of modulation shows differences in space, between the
epileptogenic and nonepileptogenic hemispheres and time, as seizures terminate.
Our data encourage the study of cross-frequency coupling for targeted,
individualized closed-loop stimulation paradigms.
PMID- 29357462
TI - Neural correlate of auditory spatial attention allocation in the superior
colliculus.
AB - This study is the physiological complement to previous behavioral work that
demonstrated that rhesus monkeys are able to allocate attention about the
surrounding space based on brief, broadband auditory cues. Single-unit recordings
were taken from the intermediate layers of the superior colliculus (iSC) while
the subjects oriented to visual and auditory targets in the context of a cuing
task with their heads unrestrained. The results show a correlation between
behavioral manifestations of attention allocation, attention capture and
inhibition of return, and modulation of target-evoked responses in single iSC
neurons. NEW & NOTEWORTHY These results show for the first time a neural
correlate of attention capture and inhibition of return in response to auditory
stimuli in the superior colliculus of the head-unrestrained monkey.
PMID- 29357463
TI - Contribution of action potentials to the extracellular field potential in the
nucleus laminaris of barn owl.
AB - Extracellular field potentials (EFP) are widely used to evaluate in vivo neural
activity, but identification of multiple sources and their relative contributions
is often ambiguous, making the interpretation of the EFP difficult. We have
therefore analyzed a model EFP from a simple brainstem circuit with separable pre
and postsynaptic components to determine whether we could isolate its sources.
Our previous papers had shown that the barn owl neurophonic largely originates
with spikes from input axons and synapses that terminate on the neurons in the
nucleus laminaris (NL) (Kuokkanen PT, Wagner H, Ashida G, Carr CE, Kempter R. J
Neurophysiol 104: 2274-2290, 2010; Kuokkanen PT, Ashida G, Carr CE, Wagner H,
Kempter R. J Neurophysiol 110: 117-130, 2013; McColgan T, Liu J, Kuokkanen PT,
Carr CE, Wagner H, Kempter R. eLife 6: e26106, 2017). To determine how much the
postsynaptic NL neurons contributed to the neurophonic, we recorded EFP responses
in NL in vivo. Power spectral analyses showed that a small spectral component of
the evoked response, between 200 and 700 Hz, could be attributed to the NL
neurons' spikes, while nucleus magnocellularis (NM) spikes dominate the EFP at
frequencies ?1 kHz. Thus, spikes of NL neurons and NM axons contribute to the EFP
in NL in distinct frequency bands. We conclude that if the spectral components of
source types are different and if their activities can be selectively modulated,
the identification of EFP sources is possible. NEW & NOTEWORTHY Extracellular
field potentials (EFPs) generate clinically important signals, but their sources
are incompletely understood. As a model, we have analyzed the auditory
neurophonic in the barn owl's nucleus laminaris. There the EFP originates
predominantly from spiking in the afferent axons, with spectral power ?1 kHz,
while postsynaptic laminaris neurons contribute little. In conclusion, the
identification of EFP sources is possible if they have different spectral
components and if their activities can be modulated selectively.
PMID- 29357464
TI - Beyond the labeled line: variation in visual reference frames from intraparietal
cortex to frontal eye fields and the superior colliculus.
AB - We accurately perceive the visual scene despite moving our eyes ~3 times per
second, an ability that requires incorporation of eye position and retinal
information. In this study, we assessed how this neural computation unfolds
across three interconnected structures: frontal eye fields (FEF), intraparietal
cortex (LIP/MIP), and the superior colliculus (SC). Single-unit activity was
assessed in head-restrained monkeys performing visually guided saccades from
different initial fixations. As previously shown, the receptive fields of most
LIP/MIP neurons shifted to novel positions on the retina for each eye position,
and these locations were not clearly related to each other in either eye- or head
centered coordinates (defined as hybrid coordinates). In contrast, the receptive
fields of most SC neurons were stable in eye-centered coordinates. In FEF, visual
signals were intermediate between those patterns: around 60% were eye-centered,
whereas the remainder showed changes in receptive field location, boundaries, or
responsiveness that rendered the response patterns hybrid or occasionally head
centered. These results suggest that FEF may act as a transitional step in an
evolution of coordinates between LIP/MIP and SC. The persistence across cortical
areas of mixed representations that do not provide unequivocal location labels in
a consistent reference frame has implications for how these representations must
be read out. NEW & NOTEWORTHY How we perceive the world as stable using mobile
retinas is poorly understood. We compared the stability of visual receptive
fields across different fixation positions in three visuomotor regions. Irregular
changes in receptive field position were ubiquitous in intraparietal cortex,
evident but less common in the frontal eye fields, and negligible in the superior
colliculus (SC), where receptive fields shifted reliably across fixations. Only
the SC provides a stable labeled-line code for stimuli across saccades.
PMID- 29357466
TI - Backward walking highlights gait asymmetries in children with cerebral palsy.
AB - To investigate how early injuries to developing motor regions of the brain affect
different forms of gait, we compared the spatiotemporal locomotor patterns during
forward (FW) and backward (BW) walking in children with cerebral palsy (CP).
Bilateral gait kinematics and EMG activity of 11 pairs of leg muscles were
recorded in 14 children with CP (9 diplegic, 5 hemiplegic; 3.0-11.1 yr) and 14
typically developing (TD) children (3.3-11.8 yr). During BW, children with CP
showed a significant increase of gait asymmetry in foot trajectory
characteristics and limb intersegmental coordination. Furthermore, gait
asymmetries, which were not evident during FW in diplegic children, became
evident during BW. Factorization of the EMG signals revealed a comparable
structure of the motor output during FW and BW in all groups of children, but we
found differences in the basic temporal activation patterns. Overall, the results
are consistent with the idea that both forms of gait share pattern generation
control circuits providing similar (though reversed) kinematic patterns. However,
BW requires different muscle activation timings associated with muscle modules,
highlighting subtle gait asymmetries in diplegic children, and thus provides a
more comprehensive assessment of gait pathology in children with CP. The findings
suggest that spatiotemporal asymmetry assessments during BW might reflect an
impaired state and/or descending control of the spinal locomotor circuitry and
can be used for diagnostic purposes and as complementary markers of gait
recovery. NEW & NOTEWORTHY Early injuries to developing motor regions of the
brain affect both forward progression and other forms of gait. In particular,
backward walking highlights prominent gait asymmetries in children with
hemiplegia and diplegia from cerebral palsy and can give a more comprehensive
assessment of gait pathology. The observed spatiotemporal asymmetry assessments
may reflect both impaired supraspinal control and impaired state of the spinal
circuitry.
PMID- 29357465
TI - Modeling sources of interlaboratory variability in electrophysiological
properties of mammalian neurons.
AB - Patch-clamp electrophysiology is widely used to characterize neuronal electrical
phenotypes. However, there are no standard experimental conditions for in vitro
whole cell patch-clamp electrophysiology, complicating direct comparisons between
data sets. In this study, we sought to understand how basic experimental
conditions differ among laboratories and how these differences might impact
measurements of electrophysiological parameters. We curated the compositions of
external bath solutions (artificial cerebrospinal fluid), internal pipette
solutions, and other methodological details such as animal strain and age from
509 published neurophysiology articles studying rodent neurons. We found that
very few articles used the exact same experimental solutions as any other, and
some solution differences stem from recipe inheritance from advisor to advisee as
well as changing trends over the years. Next, we used statistical models to
understand how the use of different experimental conditions impacts downstream
electrophysiological measurements such as resting potential and action potential
width. Although these experimental condition features could explain up to 43% of
the study-to-study variance in electrophysiological parameters, the majority of
the variability was left unexplained. Our results suggest that there are likely
additional experimental factors that contribute to cross-laboratory
electrophysiological variability, and identifying and addressing these will be
important to future efforts to assemble consensus descriptions of
neurophysiological phenotypes for mammalian cell types. NEW & NOTEWORTHY This
article describes how using different experimental methods during patch-clamp
electrophysiology impacts downstream physiological measurements. We characterized
how methodologies and experimental solutions differ across articles. We found
that differences in methods can explain some, but not all, of the study-to-study
variance in electrophysiological measurements. Explicitly accounting for
methodological differences using statistical models can help correct downstream
electrophysiological measurements for cross-laboratory methodology differences.
PMID- 29357467
TI - When uncertain, does human self-motion decision-making fully utilize complete
information?
AB - When forced to choose humans often feel uncertain. Investigations of human
perceptual decision-making often employ signal detection theory, which assumes
that even when uncertain all available information is fully utilized. However,
other studies have suggested or assumed that, when uncertain, human subjects
guess totally at random, ignoring available information. When uncertain, do
humans simply guess totally at random? Or do humans fully utilize complete
information? Or does behavior fall between these two extremes yielding "above
chance" performance without fully utilizing complete information? While it is
often assumed complete information is fully utilized, even when uncertain, to our
knowledge this has never been experimentally confirmed. To answer this question,
we combined numerical simulations, theoretical analyses, and human studies
performed using a self-motion direction-recognition perceptual decision-making
task (did I rotate left or right?). Subjects were instructed to make forced
choice binary (left/right) and trinary (left/right/uncertain) decisions when cued
following each stimulus. Our results show that humans 1) do not guess at random
when uncertain and 2) make binary and trinary decisions equally well. These
findings show that humans fully utilize complete information when uncertain for
our perceptual decision-making task. This helps unify signal detection theory and
other models of forced-choice decision-making which allow for uncertain
responses. NEW & NOTEWORTHY Humans make many perceptual decisions every day. But
what if we are uncertain? While many studies assume that humans fully utilize
complete information, other studies have suggested and/or assumed that when we're
uncertain and forced to decide, information is not fully utilized. While humans
tend to perform above chance when uncertain, no earlier study has tested whether
available information is fully utilized. Our results show that humans make fully
informed decisions even when uncertain.
PMID- 29357469
TI - Temporal neural mechanisms underlying conscious access to different levels of
facial stimulus contents.
AB - An important issue facing the empirical study of consciousness concerns how the
contents of incoming stimuli gain access to conscious processing. According to
classic theories, facial stimuli are processed in a hierarchical manner. However,
it remains unclear how the brain determines which level of stimulus content is
consciously accessible when facing an incoming facial stimulus. Accordingly, with
a magnetoencephalography technique, this study aims to investigate the temporal
dynamics of the neural mechanism mediating which level of stimulus content is
consciously accessible. Participants were instructed to view masked target faces
at threshold so that, according to behavioral responses, their perceptual
awareness alternated from consciously accessing facial identity in some trials to
being able to consciously access facial configuration features but not facial
identity in other trials. Conscious access at these two levels of facial contents
were associated with a series of differential neural events. Before target
presentation, different patterns of phase angle adjustment were observed between
the two types of conscious access. This effect was followed by stronger phase
clustering for awareness of facial identity immediately during stimulus
presentation. After target onset, conscious access to facial identity, as opposed
to facial configural features, was able to elicit more robust late positivity. In
conclusion, we suggest that the stages of neural events, ranging from prestimulus
to stimulus-related activities, may operate in combination to determine which
level of stimulus contents is consciously accessed. Conscious access may thus be
better construed as comprising various forms that depend on the level of stimulus
contents accessed. NEW & NOTEWORTHY The present study investigates how the brain
determines which level of stimulus contents is consciously accessible when facing
an incoming facial stimulus. Using magnetoencephalography, we show that
prestimulus activities together with stimulus-related activities may operate in
combination to determine conscious face detection or identification. This finding
is distinct from the previous notion that conscious face detection precedes
identification and provides novel insights into the temporal dynamics of
different levels of conscious face perception.
PMID- 29357470
TI - The rhythmic nature of visual perception.
AB - Our continuous perception of the world could be the result of discrete sampling,
where individual snapshots are seamlessly fused into a coherent stream. It has
been argued that endogenous oscillatory brain activity could provide the
functional substrate of cortical rhythmic sampling. A new study demonstrates that
cortical rhythmic sampling is tightly linked to the oculomotor system, thus
providing a novel perspective on the neural network underlying top-down guided
visual perception.
PMID- 29357468
TI - Real-time particle filtering and smoothing algorithms for detecting abrupt
changes in neural ensemble spike activity.
AB - Sequential change-point detection from time series data is a common problem in
many neuroscience applications, such as seizure detection, anomaly detection, and
pain detection. In our previous work (Chen Z, Zhang Q, Tong AP, Manders TR, Wang
J. J Neural Eng 14: 036023, 2017), we developed a latent state-space model, known
as the Poisson linear dynamical system, for detecting abrupt changes in neuronal
ensemble spike activity. In online brain-machine interface (BMI) applications, a
recursive filtering algorithm is used to track the changes in the latent
variable. However, previous methods have been restricted to Gaussian dynamical
noise and have used Gaussian approximation for the Poisson likelihood. To improve
the detection speed, we introduce non-Gaussian dynamical noise for modeling a
stochastic jump process in the latent state space. To efficiently estimate the
state posterior that accommodates non-Gaussian noise and non-Gaussian likelihood,
we propose particle filtering and smoothing algorithms for the change-point
detection problem. To speed up the computation, we implement the proposed
particle filtering algorithms using advanced graphics processing unit computing
technology. We validate our algorithms, using both computer simulations and
experimental data for acute pain detection. Finally, we discuss several important
practical issues in the context of real-time closed-loop BMI applications. NEW &
NOTEWORTHY Sequential change-point detection is an important problem in closed
loop neuroscience experiments. This study proposes novel sequential Monte Carlo
methods to quickly detect the onset and offset of a stochastic jump process that
drives the population spike activity. This new approach is robust with respect to
spike sorting noise and varying levels of signal-to-noise ratio. The GPU
implementation of the computational algorithm allows for parallel processing in
real time.
PMID- 29357471
TI - Predictive monitoring of actions, EEG recordings in virtual reality.
AB - Error-related negativity (ERN) is a signal that is associated with error
detection. Joch and colleagues (Joch M, Hegele M, Maurer H, Muller H, Maurer LK.
J Neurophysiol 118: 486-495, 2017) successfully separated the ERN as a response
to online prediction error from feedback updates. We discuss the role of ERN in
action and suggest insights from virtual reality techniques; we consider the
potential benefit of self-evaluation in determining the mechanisms of ERN
amplitude; finally, we review the oscillatory activity that has been claimed to
accompany ERN.
PMID- 29357472
TI - Age-related erosion of obstacle avoidance reflexes evoked with electrical
stimulation of tibial nerve during walking.
AB - In young healthy adults, characteristic obstacle avoidance reflexes have been
demonstrated in response to electrical stimulation of cutaneous afferents of the
foot during walking. It is unknown whether there is an age-related erosion of
this obstacle avoidance reflex evoked with stimulation to the tibial nerve
innervating the sole of the foot. The purpose of this study was to identify age
dependent differences in obstacle avoidance reflexes evoked with electrical
stimulation of the tibial nerve at the ankle during walking in healthy young and
older (70 yr and older) adults with no history of falls. Toe clearance, ankle and
knee joint displacement and angular velocity, and electromyograms (EMG) of the
tibialis anterior, medial gastrocnemius, biceps femoris, and vastus lateralis
were measured. A significant erosion of kinematic and EMG obstacle avoidance
reflexes was seen in the older adults compared with the young. Specifically,
during swing phase, there was reduced toe clearance, ankle dorsiflexion, and knee
flexion angular displacement in older adults compared with the young as well as
changes in muscle activation. These degraded reflexes were superimposed on
altered kinematics seen during unperturbed walking in the older adults including
reduced toe clearance and knee flexion and increased ankle dorsiflexion compared
with the young. Notably, during mid-swing the toe clearance was reduced in the
older adults compared with the young by 2 cm overall, resulting from a
combination of 1-cm reduced reflex response in the older adults superimposed on 1
cm less toe clearance during unperturbed walking. Together, these age-related
differences could represent the prodromal phase of fall risk. NEW & NOTEWORTHY
This study demonstrated age-dependent erosion of obstacle avoidance reflexes
evoked with electrical stimulation of the tibial nerve at the ankle during
walking. There was significant reduction in toe clearance, ankle dorsiflexion,
and knee flexion reflexes as well as changes in muscle activation during swing
phase in older adults with no history of falls compared with the young. These
degraded reflexes, superimposed on altered kinematics seen during unperturbed
walking, likely represent the prodromal phase of fall risk.
PMID- 29357475
TI - Location and phase effects for ocular and cervical vestibular-evoked myogenic
potentials evoked by bone-conducted stimuli at midline skull sites.
AB - Our object was to investigate the effect of location and phase on the properties
of oVEMPs and cVEMPs evoked by two bone conducted (BC) stimuli, 500 Hz and an
impulsive stimulus for midline skull sites from Nz to Iz, in normal volunteers.
Compressive and rarefactive onset phases were used and the induced linear and
rotational accelerations measured. We confirmed our previous finding of marked
changes in the polarity of oVEMPs with location. For cVEMPs using the 500Hz
stimulus there were few changes with location or phase, but the impulsive
stimulus showed clear phase-related changes at several locations, with the
shortest latencies occurring with compressive stimuli at AFz and Fz and the
largest amplitudes at Iz. For oVEMPs, both stimuli showed clear effects of phase,
with the shortest latencies with compressive stimuli at AFz and Fz and with the
largest negativity at Oz or Iz. Whereas the effectiveness at Iz is consistent
with a role in the linear VOR, the inversion of polarity and shorter latency
around AFz and Fz is not and could not be explained by changes in acceleration of
the head. The latency for BC 500Hz oVEMPs for AFz was the same as that for air
conducted (AC) stimuli. We suggest that whereas BC stimuli at most sites work
through displacement of the otolith membrane, BC oVEMPs evoked at AFz and Fz may
work through a direct action on utricular hair cells. Our findings have
implications for clinical testing of VEMPs using midline BC stimuli. NEW &
NOTEWORTHY We investigated VEMPs evoked from multiple midline skull sites. Large
oVEMP responses were obtained with compressive stimuli at Iz, consistent with a
role in the linear VOR, but we also showed inversion of polarity and the shortest
latency for stimuli given at AFz and Fz. We propose that BC stimuli given at AFz
and Fz may have a direct effect on otolith hair cells, whereas at other sites
they work through displacement of the otolith membrane.
PMID- 29357473
TI - Bayesian quantification of sensory reweighting in a familial bilateral vestibular
disorder (DFNA9).
AB - DFNA9 is a rare progressive autosomal dominantly inherited vestibulo-cochlear
disorder, resulting in a homogeneous group of patients with hearing impairment
and bilateral vestibular function loss. These patients suffer from a deteriorated
sense of spatial orientation, leading to balance problems in darkness, especially
on irregular surfaces. Both behavioral and functional imaging studies suggest
that the remaining sensory cues could compensate for the loss of vestibular
information. A thorough model-based quantification of this reweighting in
individual patients is, however, missing. Here we psychometrically examined the
individual patient's sensory reweighting of these cues after complete vestibular
loss. We asked a group of DFNA9 patients and healthy control subjects to judge
the orientation (clockwise or counterclockwise relative to gravity) of a rod
presented within an oriented square frame (rod-in-frame task) in three different
head-on-body tilt conditions. Our results show a cyclical frame-induced bias in
perceived gravity direction across a 90 degrees range of frame orientations. The
magnitude of this bias was significantly increased in the patients compared with
the healthy control subjects. Response variability, which increased with head-on
body tilt, was also larger for the patients. Reverse engineering of the
underlying signal properties, using Bayesian inference principles, suggests a
reweighting of sensory signals, with an increase in visual weight of 20-40% in
the patients. Our approach of combining psychophysics and Bayesian reverse
engineering is the first to quantify the weights associated with the different
sensory modalities at an individual patient level, which could make it possible
to develop personal rehabilitation programs based on the patient's sensory weight
distribution. NEW & NOTEWORTHY It has been suggested that patients with
vestibular deficits can compensate for this loss by increasing reliance on other
sensory cues, although an actual quantification of this reweighting is lacking.
We combine experimental psychophysics with a reverse engineering approach based
on Bayesian inference principles to quantify sensory reweighting in individual
vestibular patients. We discuss the suitability of this approach for developing
personal rehabilitation programs based on the patient's sensory weight
distribution.
PMID- 29357474
TI - The influence of acute elevations in plasma osmolality and serum sodium on
sympathetic outflow and blood pressure responses to exercise.
AB - Elevated plasma osmolality (pOsm) has been shown to increase resting sympathetic
nerve activity in animals and humans. The present study tested the hypothesis
that increases in pOsm and serum sodium (sNa+) concentration would exaggerate
muscle sympathetic nerve activity (MSNA) and blood pressure (BP) responses to
handgrip (HG) exercise and postexercise ischemia (PEI). BP and MSNA were measured
during HG followed by PEI before and after a 23-min hypertonic saline infusion
(HSI-3% NaCl). Eighteen participants (age 23 +/- 1 yr; BMI 24 +/- 1 kg/m2)
completed the protocol; pOsm and sNa+ increased from pre- to post-HSI (285 +/- 1
to 291 +/- 1 mosmol/kg H2O; 138.2 +/- 0.3 to 141.3 +/- 0.4 mM; P < 0.05 for
both). Resting mean BP (90 +/- 2 vs. 92 +/- 1 mmHg) and MSNA (11 +/- 2 vs. 15 +/-
2 bursts/min) were increased pre- to post-HSI ( P < 0.05 for both). Mean BP
responses to HG (106 +/- 2 vs. 111 +/- 2 mmHg, P < 0.05) and PEI (102 +/- 2 vs.
107 +/- 2 mmHg, P < 0.05) were higher post-HSI. Similarly, MSNA during HG (20 +/-
2 vs. 29 +/- 2 bursts/min, P < 0.05) and PEI (19 +/- 2 vs. 24 +/- 3 bursts/min, P
< 0.05) were greater post-HSI. In addition, the change in MSNA was greater post
HSI during HG (Delta9 +/- 2 vs. Delta13 +/- 3 bursts/min, P < 0.05). A second set
of participants ( n = 13, age 23 +/- 1 yr; BMI 24 +/- 1 kg/m2) completed a time
control (TC) protocol consisting of quiet rest instead of an infusion. The TC
condition yielded no change in resting sNa+, pOsm, mean BP, or MSNA (all P >
0.05); responses to HG and PEI were not different pre- to post-quiet rest ( P >
0.05). In summary, acutely increasing pOsm and sNa+ exaggerates BP and MSNA
responses during HG exercise and PEI. NEW & NOTEWORTHY Elevated plasma osmolality
has been shown to increase resting sympathetic activity and blood pressure. This
study provides evidence that acute elevations in plasma osmolality and serum
sodium exaggerated muscle sympathetic nerve activity and blood pressure responses
during exercise pressor reflex activation in healthy young adults.
PMID- 29357476
TI - Robust and tunable bursting requires slow positive feedback.
AB - We highlight that the robustness and tunability of a bursting model critically
rely on currents that provide slow positive feedback to the membrane potential.
Such currents have the ability to make the total conductance of the circuit
negative in a timescale that is termed "slow" because it is intermediate between
the fast timescale of the spike upstroke and the ultraslow timescale of even
slower adaptation currents. We discuss how such currents can be assessed either
in voltage-clamp experiments or in computational models. We show that, while
frequent in the literature, mathematical and computational models of bursting
that lack the slow negative conductance are fragile and rigid. Our results
suggest that modeling the slow negative conductance of cellular models is
important when studying the neuromodulation of rhythmic circuits at any broader
scale. NEW & NOTEWORTHY Nervous system functions rely on the modulation of
neuronal activity between different rhythmic patterns. The mechanisms of this
modulation are still poorly understood. Using computational modeling, we show the
critical role of currents that provide slow negative conductance, distinct from
the fast negative conductance necessary for spike generation. The significance of
the slow negative conductance for neuromodulation is often overlooked, leading to
computational models that are rigid and fragile.
PMID- 29357478
TI - A new role for the exhaled nitric oxide as a functional marker of peripheral
airway caliber changes: a theoretical study.
AB - Although considered as an inflammation marker, exhaled nitric oxide (FENO) was
shown to be sensitive to airway caliber changes to such an extent that it might
be considered as a marker of them. It is thus important to understand how these
changes and their localization mechanically affect the total NO flux penetrating
the airway lumen ( JawNO), and hence FENO, independently from any inflammatory
status change. In this work, a new model was used. It simulates NO production,
consumption, and diffusion inside the airway epithelium, NO excretion from the
epithelial wall into the airway lumen and, finally, its axial transport by
diffusion and convection in the airway lumen. This model may also consider the
possible presence of a fluid layer coating the epithelial wall. Simulations were
performed. They show the great sensitivity of JawNO to peripheral airway caliber
changes. Moreover, FENO shows distinct behaviors, depending on the location of
the caliber change. Considering a bronchodilation, absence of FENO change was
associated with dilation of central airways, FENO increase with dilation down to
pre-acinar small airways, and FENO decrease with intra-acinar dilation due to the
amplification of the back diffusion flux. The presence of a fluid layer was also
shown to play a significant role in FENO changes. Altogether, the present work
theoretically supports that specific FENO changes in acute situations are linked
to specifically located airway caliber changes in the lung periphery. This opens
the way for a new role for FENO as a functional marker of peripheral airway
caliber change. NEW & NOTEWORTHY Using a new model of nitric oxide production and
transport, allowing realistic simulation of airway caliber change, the present
work theoretically supports that specific changes of the molar fraction of nitric
oxide in the exhaled air, occurring without any change in the inflammatory
status, are linked to specifically located airway caliber changes in the lung
periphery. This opens the way for a new role for FENO as a functional marker of
peripheral airway caliber change.
PMID- 29357477
TI - Emergent coordination underlying learning to reach to grasp with a brain-machine
interface.
AB - The development of coordinated reach-to-grasp movement has been well studied in
infants and children. However, the role of motor cortex during this development
is unclear because it is difficult to study in humans. We took the approach of
using a brain-machine interface (BMI) paradigm in rhesus macaques with prior
therapeutic amputations to examine the emergence of novel, coordinated reach to
grasp. Previous research has shown that after amputation, the cortical area
previously involved in the control of the lost limb undergoes reorganization, but
prior BMI work has largely relied on finding neurons that already encode specific
movement-related information. In this study, we taught macaques to cortically
control a robotic arm and hand through operant conditioning, using neurons that
were not explicitly reach or grasp related. Over the course of training,
stereotypical patterns emerged and stabilized in the cross-covariance between the
reaching and grasping velocity profiles, between pairs of neurons involved in
controlling reach and grasp, and to a comparable, but lesser, extent between
other stable neurons in the network. In fact, we found evidence of this
structured coordination between pairs composed of all combinations of neurons
decoding reach or grasp and other stable neurons in the network. The degree of
and participation in coordination was highly correlated across all pair types.
Our approach provides a unique model for studying the development of novel,
coordinated reach-to-grasp movement at the behavioral and cortical levels. NEW &
NOTEWORTHY Given that motor cortex undergoes reorganization after amputation, our
work focuses on training nonhuman primates with chronic amputations to use
neurons that are not reach or grasp related to control a robotic arm to reach to
grasp through the use of operant conditioning, mimicking early development. We
studied the development of a novel, coordinated behavior at the behavioral and
cortical level, and the neural plasticity in M1 associated with learning to use a
brain-machine interface.
PMID- 29357479
TI - Motoneuron excitability of the quadriceps decreases during a fatiguing submaximal
isometric contraction.
AB - During fatiguing voluntary contractions, the excitability of motoneurons
innervating arm muscles decreases. However, the behavior of motoneurons
innervating quadriceps muscles is unclear. Findings may be inconsistent because
descending cortical input influences motoneuron excitability and confounds
measures during exercise. To overcome this limitation, we examined effects of
fatigue on quadriceps motoneuron excitability tested during brief pauses in
descending cortical drive after transcranial magnetic stimulation (TMS).
Participants ( n = 14) performed brief (~5-s) isometric knee extension
contractions before and after a 10-min sustained contraction at ~25% maximal
electromyogram (EMG) of vastus medialis (VM) on one ( n = 5) or two ( n = 9)
days. Electrical stimulation over thoracic spine elicited thoracic motor evoked
potentials (TMEP) in quadriceps muscles during ongoing voluntary drive and 100 ms
into the silent period following TMS (TMS-TMEP). Femoral nerve stimulation
elicited maximal M-waves (Mmax). On the 2 days, either large (~50% Mmax) or small
(~15% Mmax) TMS-TMEPs were elicited. During the 10-min contraction, VM EMG was
maintained ( P = 0.39), whereas force decreased by 52% (SD 13%) ( P < 0.001).
TMEP area remained unchanged ( P = 0.9), whereas large TMS-TMEPs decreased by 49%
(SD 28%) ( P = 0.001) and small TMS-TMEPs by 71% (SD 22%) ( P < 0.001). This
decline was greater for small TMS-TMEPs ( P = 0.019; n = 9). Therefore, without
the influence of descending drive, quadriceps TMS-TMEPs decreased during fatigue.
The greater reduction for smaller responses, which tested motoneurons that were
most active during the contraction, suggests a mechanism related to repetitive
activity contributes to reduced quadriceps motoneuron excitability during
fatigue. By contrast, the unchanged TMEP suggests that ongoing drive compensates
for altered motoneuron excitability. NEW & NOTEWORTHY We provide evidence that
the excitability of quadriceps motoneurons decreases with fatigue. Our results
suggest that altered intrinsic properties brought about by repetitive activation
of the motoneurons underlie their decreased excitability. Furthermore, we note
that testing during voluntary contraction may not reflect the underlying
depression of motoneuron excitability because of compensatory changes in ongoing
voluntary drive. Thus, this study provides evidence that processes intrinsic to
the motoneuron contribute to muscle fatigue of the knee extensors.
PMID- 29357480
TI - No evidence for direct effects of recombinant human erythropoietin on cerebral
blood flow and metabolism in healthy humans.
AB - Erythropoietin (EPO) is expressed in human brain tissue, but its exact role is
unknown. EPO may improve the efficiency of oxidative metabolism and has
neuroprotective properties against hypoxic injuries in animal models. We aimed to
investigate the effect of recombinant human EPO (rHuEPO) administration on
healthy cerebral metabolism in humans during normoxia and during metabolic stress
by inhalation of 10% O2 hypoxic air. Twenty-four healthy men participated in a
two-arm double-blind placebo-controlled trial. rHuEPO was administered as a low
dose (5,000 IU) over 4 wk ( n = 12) or as a high dose (500 IU.kg body wt-1.day-1)
for three consecutive days ( n = 12). Global cerebral blood flow (CBF) and
metabolic rate of glucose (CMRglc) were measured with positron emission
tomography. CBF, metabolic rate of oxygen ([Formula: see text]), and cerebral
lactate concentration were measured by magnetic resonance imaging and
spectroscopy. Low-dose treatment increased hemoglobin and was associated with a
near-significant decrease in CBF during baseline normoxia. High-dose treatment
caused no change in CBF. Neither treatment had an effect on normoxia CMRglc,
[Formula: see text], or lactate concentration or an effect on the cerebral
metabolic response to inhalation of hypoxic air. In conclusion, the study found
no evidence for a direct effect of rHuEPO on cerebral metabolism. NEW &
NOTEWORTHY We demonstrate with magnetic resonance imaging and positron emission
tomography that administration of erythropoietin does not have a substantial
direct effect on healthy human resting cerebral blood flow or effect on cerebral
glucose and oxygen metabolism. Also, administration of erythropoietin did not
have a direct effect on the metabolic response to acute hypoxic stress in healthy
humans, and a suggested neuroprotective effect from erythropoietin is therefore
likely not a direct effect of erythropoietin on cerebral metabolism.
PMID- 29357481
TI - Repeated testing for the assessment of individual response to exercise training.
AB - Observed response to regular exercise training differs widely between individuals
even in tightly controlled research settings. However, the respective
contributions of random error and true interindividual differences as well as the
relative frequency of nonresponders are disputed. Specific challenges of analyses
on the individual level as well as a striking heterogeneity in definitions may
partly explain these inconsistent results. Repeated testing during the training
phase specifically addresses the requirements of analyses on the individual
level. Here we report a first implementation of this innovative design amendment
in a head-to-head comparison of existing analytical approaches. To allow for
comparative implementation of approaches we conducted a controlled endurance
training trial (1 yr walking/jogging, 3 days/wk for 45 min with 60% heart rate
reserve) in healthy, untrained subjects ( n = 36, age = 46 +/- 8 yr; body mass
index 24.7 +/- 2.7 kg/m2; Vo2max 36.6 +/- 5.4). In the training group additional
Vo2max tests were conducted after 3, 6, and 9 mo. Duration of the control
condition was 6 mo due to ethical constraints. General efficacy of the training
intervention could be verified by a significant increase in Vo2max in the
training group ( P < 0.001 vs. control). Individual training response of relevant
magnitude (>0.2 * baseline variability in Vo2max) could be demonstrated by
several approaches. Regarding the classification of individuals, only 11 of 20
subjects were consistently classified, demonstrating remarkable disagreement
between approaches. These results are in support of relevant interindividual
variability in training efficacy and stress the limitations of a responder
classification. Moreover, this proof-of-concept underlines the need for tailored
methodological approaches for well-defined problems. NEW & NOTEWORTHY This work
reports a first implementation of a repeated testing training trial for the
investigation of individual response. This design amendment was recently proposed
to address specifically the statistical requirements of analyses on the
individual level. Moreover, a comprehensive comparison of previously published
methods exemplifies the striking heterogeneity of existing approaches.
PMID- 29357482
TI - Prior acetaminophen consumption impacts the early adaptive cellular response of
human skeletal muscle to resistance exercise.
AB - Resistance exercise (RE) is a powerful stimulus for skeletal muscle adaptation.
Previous data demonstrate that cyclooxygenase (COX)-inhibiting drugs alter the
cellular mechanisms regulating the adaptive response of skeletal muscle. The
purpose of this study was to determine whether prior consumption of the COX
inhibitor acetaminophen (APAP) alters the immediate adaptive cellular response in
human skeletal muscle after RE. In a double-blinded, randomized, crossover
design, healthy young men ( n = 8, 25 +/- 1 yr) performed two trials of
unilateral knee extension RE (8 sets, 10 reps, 65% max strength). Subjects
ingested either APAP (1,000 mg/6 h) or placebo (PLA) for 24 h before RE (final
dose consumed immediately after RE). Muscle biopsies (vastus lateralis) were
collected at rest and 1 h and 3 h after exercise. Mammalian target of rapamycin
(mTOR) complex 1 signaling was assessed through immunoblot and
immunohistochemistry, and mRNA expression of myogenic genes was examined via RT
qPCR. At 1 h p-rpS6Ser240/244 was increased in both groups but to a greater
extent in PLA. At 3 h p-S6K1Thr389 was elevated only in PLA. Furthermore,
localization of mTOR to the lysosome (LAMP2) in myosin heavy chain (MHC) II
fibers increased 3 h after exercise only in PLA. mTOR-LAMP2 colocalization in MHC
I fibers was greater in PLA vs. APAP 1 h after exercise. Myostatin mRNA
expression was reduced 1 h after exercise only in PLA. MYF6 mRNA expression was
increased 1 h and 3 h after exercise only in APAP. APAP consumption appears to
alter the early adaptive cellular response of skeletal muscle to RE. These
findings further highlight the mechanisms through which COX-inhibiting drugs
impact the adaptive response of skeletal muscle to exercise. NEW & NOTEWORTHY The
extent to which the cellular reaction to acetaminophen impacts the mechanisms
regulating the adaptive response of human skeletal muscle to resistance exercise
is not well understood. Consumption of acetaminophen before resistance exercise
appears to suppress the early response of mTORC1 activity to acute resistance
exercise. These data also demonstrate, for the first time, that resistance
exercise elicits fiber type-specific changes in the intracellular colocalization
of mTOR with the lysosome in human skeletal muscle.
PMID- 29357483
TI - Successive contractile periods activate mitochondria at the onset of contractions
in intact rat cardiac trabeculae.
AB - The rate of oxidative phosphorylation depends on the contractile activity of the
heart. Cardiac mitochondrial oxidative phosphorylation is determined by free ADP
concentration, mitochondrial Ca2+ accumulation, mitochondrial enzyme activities,
and Krebs cycle intermediates. The purpose of the present study was to examine
the factors that limit oxidative phosphorylation upon rapid changes in
contractile activity in cardiac muscle. We tested the hypotheses that prior
contractile performance enhances the changes in NAD(P)H and FAD concentration
upon an increase in contractile activity and that this mitochondrial "priming"
depends on pyruvate dehydrogenase activity. Intact rat cardiac trabeculae were
electrically stimulated at 0.5 Hz for at least 30 min. Thereafter, two equal
bouts at elevated stimulation frequency of 1, 2, or 3 Hz were applied for 3 min
with 3 min of 0.5-Hz stimulation in between. No discernible time delay was
observed in the changes in NAD(P)H and FAD fluorescence upon rapid changes in
contractile activity. The amplitudes of the rapid changes in fluorescence upon an
increase in stimulation frequency (the on-transients) were smaller than upon a
decrease in stimulation frequency (the off-transients). A first bout in glucose
containing superfusion solution resulted, during the second bout, in an increase
in the amplitudes of the on-transients, but the off-transients remained the same.
No such priming effect was observed after addition of 10 mM pyruvate. These
results indicate that mitochondrial priming can be observed in cardiac muscle in
situ and that pyruvate dehydrogenase activity is critically involved in the
mitochondrial adaptation to increases in contractile performance. NEW &
NOTEWORTHY Mitochondrial respiration increases with increased cardiac contractile
activity. Similar to mitochondrial "priming" in skeletal muscle, we hypothesized
that cardiac mitochondrial activity is altered upon successive bouts of
contractions and depends on pyruvate dehydrogenase activity. We found altered
bioenergetics upon repeated contractile periods, indicative of mitochondrial
priming in rat myocardium. No effect was seen when pyruvate was added to the
perfusate. As such, pyruvate dehydrogenase activity is involved in the
mitochondrial adaptation to increased contractile performance.
PMID- 29357484
TI - A new cover and updated scope for the Journal of Applied Physiology.
PMID- 29357485
TI - Chest wall strapping increases expiratory airflow and detectable airway segments
in computer tomographic scans of normal and obstructed lungs.
AB - Chest wall strapping (CWS) induces breathing at low lung volumes but also
increases parenchymal elastic recoil. In this study, we tested the hypothesis
that CWS dilates airways via airway-parenchymal interdependence. In 11 subjects
(6 healthy and 5 with mild to moderate COPD), pulmonary function tests and lung
volumes were obtained in control (baseline) and the CWS state. Control and CWS-CT
scans were obtained at 50% of control (baseline) total lung-capacity (TLC). CT
lung volumes were analyzed by CT volumetry. If control and CWS-CT volumetry did
not differ by more than 25%, airway dimensions were analyzed via automated airway
segmentation. CWS-TLC was reduced on average to 71% of control-TLC in normal
subjects and 79% of control-TLC in subjects with COPD. CWS increased expiratory
airflow at 50% of control-TLC by 41% (3.50 +/- 1.6 vs. 4.93 +/- 1.9 l/s, P =
0.04) in normals and 316% in COPD(0.25 +/- 0.05 vs 0.79 +/- 0.39 l/s, P = 0.04).
In 10 subjects (5 normals and 5 COPD), control and CWS-CT scans at 50% control
TLC did not differ more than 25% on CT volumetry and were included in the airway
structure analysis. CWS increased the mean number of detectable airways with a
diameter of <=2 mm by 32.5% (65 +/- 10 vs. 86 +/- 124, P = 0.01) in normal
subjects and by 79% (59 +/- 19 vs. 104 +/- 16, P = 0.01) in subjects with COPD.
There was no difference in the number of detectable airways with diameters 2-4 mm
and >4 mm in normal or in COPD subjects. In conclusion, CWS enhances the
detection of small airways via automated CT airway segmentation and increases
expiratory airflow in normal subjects as well as in subjects with mild to
moderate COPD. NEW & NOTEWORTHY In normal and COPD subjects, chest wall
strapping(CWS) increased the number of detectable small airways using automated
CT airway segmentation. The concept of dysanapsis expresses the physiological
variation in the geometry of the tracheobronchial tree and lung parenchyma based
on development. We propose a dynamic concept to dysanapsis in which CWS leads to
breathing at lower lung volumes with a corresponding increase in the size of
small airways, a potentially novel, nonpharmacological treatment for COPD.
PMID- 29357486
TI - Evolution of Ventricular Hypertrophy and Myocardial Mechanics in Physiologic and
Pathologic Hypertrophy.
AB - LEFT VENTRICULAR HYPERTROPHY (LVH) is an adaptive response to physiologic or
pathologic stimuli and distinguishing between the two has obvious clinical
implications. However, asymmetric septal hypertrophy and preserved cardiac
function are noted in early stages in both cases. We characterized the early
anatomic and functional changes in a mouse model of physiologic and pathologic
stress using serial echocardiography-based morphometry and tissue velocity
imaging. Weight-matched CF-1 male mice were separated into CONTROLS (n=10),
treadmill EXERCISE 1 hour daily x 5 days/week (n=7) and transverse aortic
constriction (TAC, n=7). Hypertrophy was noted first in the LV basal septum
compared to other segments in EXERCISE (0.84+/-0.02 vs. 0.79+/-0.03 mm, p=0.03)
and TAC (0.86+/-0.05 vs. 0.77+/-0.04 mm, p=0.02) at 4 and 3 weeks, respectively.
At 8 weeks, eccentric LVH was noted in EXERCISE and concentric LVH in TAC. Septal
E/E' ratio increased in TAC (32.6+/-3.7 vs. 37+/-6.2, p=0.002) compared with the
CONTROLS and EXERCISE (32.3+/-5.2 vs. 32.8+/-3.8 and 31.2+/-4.9 vs. 28.2+/-5.0,
respectively, non-significant for both). Septal s' decreased in TAC (21+/-3.6 vs.
17+/-4.2 cm/s, p=0.04) but increased in EXERCISE (19.6+/-4.1 vs. 29.2+/-2.3 cm/s,
p=0.001) and was unchanged in CONTROLS (20.1+/-4.2 vs. 20.9+/-5.1 cm/s, non
significant). Despite similar asymmetric septal hypertrophy and normal global
function during the first 2-4 weeks of pathologic and physiologic stress, there
is an early reduction in systolic tissue velocity in pathologic but preserved in
physiologic hypertrophy. Tissue velocities may help adjudicate between these 2
states when there are no overt anatomic or functional differences.
PMID- 29357487
TI - The effect of muscle-tendon unit vs. fascicle analyses on vastus lateralis force
generating capacity during constant power output cycling with variable cadence.
AB - The maximum force-generating capacity of a muscle is dependent on the lengths and
velocities of its contractile apparatus. Muscle-tendon unit (MTU) length changes
can be estimated from joint kinematics; however, contractile element length
changes are more difficult to predict during dynamic contractions. The aim of
this study was to compare vastus lateralis (VL) MTU and fascicle level force
length and force-velocity relationships, and dynamic muscle function while
cycling at a constant submaximal power output (2.5 W/kg) with different cadences.
We hypothesized that manipulating cadence at a constant power output would not
affect VL MTU shortening, but significantly affect VL fascicle shortening.
Furthermore, these differences would affect the predicted force capacity of the
muscle. Using an isokinetic dynamometer and B-mode ultrasound (US), we determined
the force-length and force-velocity properties of the VL MTU and its fascicles.
In addition, three-dimensional kinematics and kinetics of the lower limb, as well
as US images of VL fascicles were collected during submaximal cycling at cadences
of 40, 60, 80, and 100 rotations per minute. Ultrasound measures revealed a
significant increase in fascicle shortening as cadence decreased (84% increase
across all conditions, P < 0.01), whereas there were no significant differences
in MTU lengths across any of the cycling conditions (maximum of 6%). The MTU
analysis resulted in greater predicted force capacity across all conditions
relative to the force-velocity relationship ( P < 0.01). These results reinforce
the need to determine muscle mechanics in terms of separate contractile element
and connective tissue length changes during isokinetic contractions, as well as
dynamic movements like cycling. NEW & NOTEWORTHY We demonstrate that vastus
lateralis (VL) muscle tendon unit (MTU) length changes do not adequately reflect
the underlying fascicle mechanics during cycling. When examined across different
pedaling cadence conditions, the force-generating potential measured only at the
level of MTU (or joint) overestimated the maximum force capacity of VL compared
with analysis using fascicle level data.
PMID- 29357488
TI - Roller massage decreases spinal excitability to the soleus.
AB - Roller massage (RM) interventions have shown acute increases in range of motion
(ROM) and pain pressure threshold (PPT). It is unclear whether the RM-induced
increases can be attributed to changes in neural or muscle responses. The purpose
of this study was to evaluate the effect of altered afferent input via
application of RM on spinal excitability, as measured with the Hoffmann (H-)
reflex. A randomized within-subjects design was used. Three 30-s bouts of RM were
implemented on a rested, nonexercised, injury-free muscle with 30 s of rest
between bouts. The researcher applied RM to the plantar flexors at three
intensities of pain: high, moderate, and sham. Measures included normalized M
wave and H-reflex peak-to-peak amplitudes before, during, and up to 3 min
postintervention. M-wave and H-reflex measures were highly reliable. RM resulted
in significant decreases in soleus H-reflex amplitudes. High-intensity, moderate
intensity, and sham conditions decreased soleus H-reflex amplitudes by 58%, 43%,
and 19%, respectively. H-reflexes induced with high-intensity rolling discomfort
or pain were significantly lower than moderate and sham conditions. The effects
were transient in nature, with an immediate return to baseline following RM. This
is the first evidence of RM-induced modulation of spinal excitability. The
intensity-dependent response observed indicates that rolling pressure or pain
perception may play a role in modulation of the inhibition. Roller massage
induced neural modulation of spinal excitability may explain previously reported
increases in ROM and PPT. NEW & NOTEWORTHY Recent evidence indicates that the
benefits of foam rolling and roller massage are primarily accrued through neural
mechanisms. The present study attempts to determine the neuromuscular response to
roller massage interventions. We provide strong evidence of roller massage
induced neural modulation of spinal excitability to the soleus. It is plausible
that reflex inhibition may explain subsequent increases in pain pressure
threshold.
PMID- 29357489
TI - The partial pressure of carbon monoxide in human tissues calculated using a
parallel capillary-tissue cylinder model.
AB - Tissue PCO values have not been previously estimated under conditions where the
blood carboxyhemoglobin % saturation ([COHb]) is at a normal level or increased.
Tissue PCO values are not known for conditions when [COHb] is increased during CO
therapy or during CO poisoning. Using a modified Krogh parallel capillary-tissue
model, mean tissue PCO was calculated for when [COHb] was 1, 5, 10, and 15%
saturation, relevant to CO therapy, and 20, 30, and 40% saturation, relevant to
CO poisoning. Calculations were made for the time during which CO was being
inhaled, after cessation of CO uptake, and for different O2 extractions from
blood flowing in the model capillary. The T1/2 of relevant CO reactions was used
in these calculations. When the [COHb] increased to 5 to 10% saturation, mean
tissue PCO values increased to 500 to 1,100% of values when the [COHb] was 1%
saturation. When the [COHb] increased to 20 to 40% saturation, mean tissue PCO
values increased to 2,300 to 5,700% of the 1% saturation value. Results indicate
the utility of the modified Krogh model in furthering understanding the
physiology of determinants of tissue PCO and should facilitate future studies of
in vivo CO binding to different extravascular heme proteins during CO therapy and
during CO poisoning. NEW & NOTEWORTHY Tissue PCO levels resulting from
carboxyhemoglobin concentrations achieved during CO therapy or during CO
poisoning have not been previously estimated. Results published here show that at
carboxyhemoglobin levels achieved during CO therapy there are 500 to 1,100%
increases in mean tissue PCO values. With carboxyhemoglobin increases associated
with toxic effects, there are 2,300 to 5,700% increases in the mean tissue PCO.
These differences suggest a basis for understanding the therapeutic and toxic
effects of CO.
PMID- 29357490
TI - Chronic low-intensity exercise attenuates cardiomyocyte contractile dysfunction
and impaired adrenergic responsiveness in aortic-banded mini-swine.
AB - Exercise improves clinical outcomes in patients diagnosed with heart failure with
reduced ejection fraction (HFrEF), in part via beneficial effects on
cardiomyocyte Ca2+ cycling during excitation-contraction coupling (ECC). However,
limited data exist regarding the effects of exercise training on cardiomyocyte
function in patients diagnosed with heart failure with preserved ejection
fraction (HFpEF). The purpose of this study was to investigate cardiomyocyte Ca2+
handling and contractile function following chronic low-intensity exercise
training in aortic-banded miniature swine and test the hypothesis that low
intensity exercise improves cardiomyocyte function in a large animal model of
pressure overload. Animals were divided into control (CON), aortic-banded
sedentary (AB), and aortic-banded low-intensity trained (AB-LIT) groups. Left
ventricular cardiomyocytes were electrically stimulated (0.5 Hz) to assess Ca2+
homeostasis (fura-2-AM) and unloaded shortening during ECC under conditions of
baseline pacing and pacing with adrenergic stimulation using dobutamine (1 MUM).
Cardiomyocytes in AB animals exhibited depressed Ca2+ transient amplitude and
cardiomyocyte shortening vs. CON under both conditions. Exercise training
attenuated AB-induced decreases in cardiomyocyte Ca2+ transient amplitude but did
not prevent impaired shortening vs. CON. With dobutamine, AB-LIT exhibited both
Ca2+ transient and shortening amplitude similar to CON. Adrenergic sensitivity,
assessed as the time to maximum inotropic response following dobutamine
treatment, was depressed in the AB group but normal in AB-LIT animals. Taken
together, our data suggest exercise training is beneficial for cardiomyocyte
function via the effects on Ca2+ homeostasis and adrenergic sensitivity in a
large animal model of pressure overload-induced heart failure. NEW & NOTEWORTHY
Conventional treatments have failed to improve the prognosis of heart failure
with preserved ejection fraction (HFpEF) patients. Our findings show chronic low
intensity exercise training can prevent cardiomyocyte dysfunction and impaired
adrenergic responsiveness in a translational large animal model of chronic
pressure overload-induced heart failure with relevance to human HFpEF.
PMID- 29357491
TI - Parathyroid hormone-(1-34) ameliorated knee osteoarthritis in rats via autophagy.
AB - Anterior cruciate ligament (ACL) tear can lead to osteoarthritis (OA). However,
parathyroid hormone (PTH)-(1-34) was found to alleviate OA progression in a
papain-induced OA model. Autophagy is a protective mechanism in normal cartilage,
and its aging-related loss is linked with chondrocyte death and OA. Thus we
examined the roles of autophagy in PTH treatment in OA after ACL transection
(ACLT). Thirty-six rats were randomized into three groups: control group, ACLT
induced OA (OA) group, and OA with intra-articular PTH-(1-34) treatment (OA+PTH)
group. Weight-bearing and treadmill tests were evaluated. Cartilage matrix was
determined by a histological evaluation of glycosaminoglycan (GAG),
Osteoarthritis Research Society International (OARSI) score, chondrocyte
apoptosis, and immunohistochemistry. Rats in the OA group had significantly
decreased weight bearing and running endurance. The histological results
indicated that GAG, collagen type II, and chondrocyte autophagy had decreased but
that the OARSI score, terminal differentiation markers (collagen type X and
Indian hedgehog), and chondrocyte apoptosis had increased in the OA group.
Additionally, PTH-(1-34) treatment significantly improved weight bearing and
treadmill endurance, preserved GAG and collagen type II, and reduced the OARSI
score and terminal differentiation markers. Finally, PTH-(1-34) ameliorated
chondrocyte apoptosis by regulating the expression of autophagy-related proteins,
through reducing mechanistic target of rapamycin (mTOR) and p62 and enhancing
microtubule-associated protein-1 light chain 3 (LC3) and beclin-1. Reconstructive
surgery after ACL rupture cannot prevent OA occurrence. Intra-articular PTH-(1
34) treatment can alleviate OA progression after ACLT and histological molecular
changes. Possible mechanisms are reducing chondrocyte terminal differentiation
and apoptosis, with increasing autophagy. NEW & NOTEWORTHY Anterior cruciate
ligament (ACL) tear can lead to osteoarthritis (OA). Intra-articular parathyroid
hormone (PTH)-(1-34) significantly improved weight bearing and treadmill
endurance, preserved glycosaminoglycan and collagen type II, and reduced
Osteoarthritis Research Society International (OARSI) score and terminal
differentiation. Finally, PTH-(1-34) ameliorated chondrocyte apoptosis by
regulating the expression of autophagy-related proteins, through reducing
mechanistic target of rapamycin (mTOR) and p62 and enhancing microtubule
associated protein-1 light chain 3 (LC3) and beclin-1. PTH-(1-34) can alleviate
OA progression after ACL transection. Possible mechanisms are reducing
chondrocyte terminal differentiation and apoptosis, with increasing autophagy.
PMID- 29357492
TI - Involvement of hypoglossal and recurrent laryngeal nerves on swallowing pressure.
AB - Swallowing pressure generation is important to ensure safe transport of an
ingested bolus without aspiration or leaving residue in the pharynx. To clarify
the mechanism, we measured swallowing pressure at the oropharynx (OP), upper
esophageal sphincter (UES), and cervical esophagus (CE) using a specially
designed manometric catheter in anesthetized rats. A swallow, evoked by punctate
mechanical stimulation to the larynx, was identified by recording activation of
the suprahyoid and thyrohyoid muscles using electromyography (EMG). Areas under
the curve of the swallowing pressure at the OP, UES, and CE from two trials
indicated high intrasubject reproducibility. Effects of transecting the
hypoglossal nerve (12N) and recurrent laryngeal nerve (RLN) on swallowing were
investigated. Following bilateral hypoglossal nerve transection (Bi-12Nx), OP
pressure was significantly decreased, and time intervals between peaks of
thyrohyoid EMG bursts and OP pressure were significantly shorter. Decreased OP
pressure and shortened times between peaks of thyrohyoid EMG bursts and OP
pressure following Bi-12Nx were significantly increased and longer, respectively,
after covering the hard and soft palates with acrylic material. UES pressure was
significantly decreased after bilateral RLN transection compared with that before
transection. These results suggest that the 12N and RLN play crucial roles in OP
and UES pressure during swallowing, respectively. We speculate that covering the
palates with a palatal augmentation prosthesis may reverse the reduced swallowing
pressure in patients with 12N or tongue damage by the changes of the sensory
information and of the contact between the tongue and a palates. NEW & NOTEWORTHY
Hypoglossal nerve transection reduced swallowing pressure at the oropharynx.
Covering the hard and soft palates with acrylic material may reverse the reduced
swallowing function caused by hypoglossal nerve damage. Recurrent laryngeal nerve
transection reduced upper esophageal sphincter negative pressure during
swallowing.
PMID- 29357493
TI - Diaphragm muscle activity across respiratory motor behaviors in awake and lightly
anesthetized rats.
AB - Respiratory muscles such as the diaphragm are active across a range of behaviors
including ventilation and higher-force behaviors necessary for maintenance of
airway patency, and minimal information is available regarding anesthetic effects
on the capacity of respiratory muscles to generate higher forces. The purpose of
the present study was to determine whether diaphragm EMG activity during lower
force behaviors, such as eupnea and hypoxia-hypercapnia, is differentially
affected compared with higher-force behaviors, such as a sigh, in lightly
anesthetized animals. In adult male rats, chronically implanted diaphragm EMG
electrodes were used to measure the effects of low-dose ketamine (30 mg/kg) and
xylazine (3 mg/kg) on root mean square (RMS) EMG amplitude across a range of
motor behaviors. A mixed linear model was used to evaluate the effects of
ketamine-xylazine anesthesia on peak RMS EMG and ventilatory parameters, with
condition (awake vs. anesthetized), behavior (eupnea, hypoxia-hypercapnia, sigh),
side (left or right hemidiaphragm), and their interactions as fixed effects and
animal as a random effect. Compared with the awake recordings, there was an
overall reduction of peak diaphragm RMS EMG across behaviors during anesthesia,
but this reduction was more pronounced during spontaneous sighs (which require
~60% of maximal diaphragm force). Respiratory rates and duty cycle during eupnea
and hypoxia-hypercapnia were higher in awake compared with anesthetized
conditions. These results highlight the importance of identifying anesthetic
effects on a range of respiratory motor behaviors, including sighs necessary for
maintaining airway patency. NEW & NOTEWORTHY Respiratory muscles accomplish a
range of motor behaviors, with forces generated for ventilatory behaviors
comprising only a small fraction of their maximal force generating capacity.
Induction of anesthesia exerts more robust effects on the higher-force diaphragm
motor behaviors such as sighs compared with eupnea. This novel information on
effects of low, sedative doses of a commonly used anesthetic combination
(ketamine-xylazine) highlights the importance of identifying anesthetic effects
on a range of respiratory motor behaviors.
PMID- 29357494
TI - Beetroot juice ingestion during prolonged moderate-intensity exercise attenuates
progressive rise in O2 uptake.
AB - Nitrate-rich beetroot juice (BR) supplementation has been shown to increase
biomarkers of nitric oxide availability with implications for the physiological
responses to exercise. We hypothesized that BR supplementation before and during
prolonged moderate-intensity exercise would maintain an elevated plasma nitrite
concentration ([[Formula: see text]]), attenuate the expected progressive
increase in Vo2 over time, and improve performance in a subsequent time trial
(TT). In a double-blind, randomized, crossover design, 12 men completed 2 h of
moderate-intensity cycle exercise followed by a 100-kJ TT in three conditions: 1)
BR before and 1 h into exercise (BR + BR); 2) BR before and placebo (PL) 1 h into
exercise (BR + PL); and 3) PL before and 1 h into exercise (PL + PL). During the
2-h moderate-intensity exercise bout, plasma [[Formula: see text]] declined by
~17% in BR + PL but increased by ~8% in BR + BR such that, at 2 h, plasma
[[Formula: see text]] was greater in BR + BR than both BR + PL and PL + PL ( P <
0.05). Vo2 was not different among conditions over the first 90 min of exercise
but was lower at 120 min in BR + BR (1.73 +/- 0.24 l/min) compared with BR + PL
(1.80 +/- 0.21 l/min; P = 0.08) and PL + PL (1.83 +/- 0.27 l/min; P < 0.01). The
decline in muscle glycogen concentration over the 2-h exercise bout was
attenuated in BR + BR (~28% decline) compared with BR + PL (~44% decline) and PL
+ PL (~44% decline; n = 9, P < 0.05). TT performance was not different among
conditions ( P > 0.05). BR supplementation before and during prolonged moderate
intensity exercise attenuated the progressive rise in Vo2 over time and appeared
to reduce muscle glycogen depletion but did not enhance subsequent TT
performance. NEW & NOTEWORTHY We show for the first time that ingestion of
nitrate during exercise preserves elevated plasma [nitrite] and negates the
progressive rise in O2 uptake during prolonged moderate-intensity exercise.
PMID- 29357495
TI - Force-velocity test on a stationary cycle ergometer: methodological
recommendations.
AB - Force-velocity tests performed on stationary cycle ergometers are widely used to
assess the torque- and power-generating capacities of the lower limbs. The aim of
this study was to identify how testing and modeling procedures influence the
assessment of individual torque-cadence and power-cadence relationships.
Seventeen males completed 62 +/- 16 pedal cycles from six 6-s all-out efforts
interspersed with 5 min of rest. True measures of maximal power for a particular
cadence were obtained for 24 +/- 3 pedal cycles, while power was only 94 +/- 3%
of the true maximum in 19 +/- 5 pedal cycles. Pedal cycles showing maximal levels
of power also displayed higher levels of electromyography (EMG: 89 +/- 7 vs . 87
+/- 7%) and coactivation (34 +/- 11 vs . 31 +/- 10 arbitrary units), as well as
lower variability in crank torque and EMG profiles. Compared with the linear and
second-order polynomial models that are traditionally used, a better goodness of
fit was obtained when the torque-cadence and power-cadence relationships were
predicted using second- and third-order polynomials, respectively. The later
modeling procedures also revealed an asymmetry in the power-cadence relationship
in most participants (i.e., 15 out of 17) and provided a better estimation of
maximal cadence [Cmax: 214 +/- 20 revolutions/min (rpm)] from the x-intercept of
power-cadence relationships (C0: 214 +/- 14 rpm). Therefore, we recommend
predicting the individual shapes of torque- and power-cadence relationships using
second- and third-order polynomial regressions after having selected pedal cycles
during which true measures of cadence-specific maximal power were recorded. NEW &
NOTEWORTHY This study is the first to demonstrate that suboptimal activation of
the lower limb muscles accompanied reductions in cadence-specific levels of
torque and power produced during a force-velocity test performed on a stationary
cycle ergometer. This research is also the first to show that, in most noncyclist
participants, torque-cadence relationships are not linear, whereas power-cadence
relationships display asymmetric shapes, with power production decreasing rapidly
when cadence increases beyond 180 revolutions/min.
PMID- 29357496
TI - Altered skeletal muscle mitochondrial phenotype in COPD: disease vs. disuse.
AB - Patients with chronic obstructive pulmonary disease (COPD) exhibit an altered
skeletal muscle mitochondrial phenotype, which often includes reduced
mitochondrial density, altered respiratory function, and elevated oxidative
stress. As this phenotype may be explained by the sedentary lifestyle that
commonly accompanies this disease, the aim of this study was to determine whether
such alterations are still evident when patients with COPD are compared to
control subjects matched for objectively measured physical activity (PA;
accelerometry). Indexes of mitochondrial density [citrate synthase (CS)
activity], respiratory function (respirometry in permeabilized fibers), and
muscle oxidative stress [4-hydroxynonenal (4-HNE) content] were assessed in
muscle fibers biopsied from the vastus lateralis of nine patients with COPD and
nine PA-matched control subjects (CON). Despite performing similar levels of PA
(CON: 18 +/- 3, COPD: 20 +/- 7 daily minutes moderate-to-vigorous PA; CON: 4,596
+/- 683, COPD: 4,219 +/- 763 steps per day, P > 0.70), patients with COPD still
exhibited several alterations in their mitochondrial phenotype, including
attenuated skeletal muscle mitochondrial density (CS activity; CON 70.6 +/- 3.8,
COPD 52.7 +/- 6.5 U/mg, P < 0.05), altered mitochondrial respiration [e.g., ratio
of complex I-driven state 3 to complex II-driven state 3 (CI/CII); CON: 1.20 +/-
0.11, COPD: 0.90 +/- 0.05, P < 0.05), and oxidative stress (4-HNE; CON: 1.35 +/-
0.19, COPD: 2.26 +/- 0.25 relative to beta-actin, P < 0.05). Furthermore, CS
activity ( r = 0.55), CI/CII ( r = 0.60), and 4-HNE ( r = 0.49) were all
correlated with pulmonary function, assessed as forced expiratory volume in 1 s (
P < 0.05), but not PA ( P > 0.05). In conclusion, the altered mitochondrial
phenotype in COPD is present even in the absence of differing levels of PA and
appears to be related to the disease itself. NEW & NOTEWORTHY Chronic obstructive
pulmonary disease (COPD) is associated with debilitating alterations in the
function of skeletal muscle mitochondria. By comparing the mitochondrial
phenotype of patients with COPD to that of healthy control subjects who perform
the same amount of physical activity each day, this study provides evidence that
many aspects of the dysfunctional mitochondrial phenotype observed in COPD are
not merely due to reduced physical activity but are likely related to the disease
itself.
PMID- 29357498
TI - Validity and reliability of a novel metabolic flexibility test in children with
obesity.
AB - Existing methods for diagnosing diabetes and for identifying risk of diabetes
development are completed under resting conditions and based on adult data.
Studying additional methods to identify metabolic risk in children is warranted.
Our objective was to examine the validity and reliability of a metabolic
flexibility (MetFlex) test for screening glycemia and insulin resistance (IR) in
children. We hypothesized higher MetFlex during exercise would be correlated with
lower fasting glucose and homeostasis model assessment of insulin resistance
(HOMA-IR) and higher whole body insulin sensitivity index (WBISI) and insulin
secretion-sensitivity index-2 (ISSI-2). Thirty-four children with obesity (14
boys, 20 girls) attended two visits. At visit 1, an oral glucose tolerance test
(OGTT) was followed by anthropometric and aerobic fitness (Vo2max) assessments.
Insulin and glucose during the OGTT were used to calculate HOMA-IR, WBISI, and
ISSI-2. At visit 2, a 13C-enriched carbohydrate drink was ingested before 60 min
of exercise at 45% Vo2max. Breath measurements were collected to calculate area
under the curve exogenous carbohydrate to measure MetFlex. Pearson's r
correlation showed no significant association between MetFlex during exercise
with fasting glucose ( r = -0.288, P = 0.110). MetFlex was associated with log
HOMA-IR ( r = -0.597, P = 0.024), log-WBISI ( r = 0.575, P = 0.051), and log-ISSI
2 ( r = 0.605, P = 0.037) in boys but not girls. When repeated ( n = 18), MetFlex
was deemed a reliable test (intraclass correlation coefficient = 0.692). MetFlex
during exercise was negatively associated with IR and beta-cell function in boys.
Further research is required to explore clinical utility of the MetFlex test and
explain the lack of association in girls. NEW & NOTEWORTHY This study is the
first to investigate the validity and reliability of a novel noninvasive
metabolic flexibility (MetFlex) test for identifying insulin resistance in
children with obesity. MetFlex was measured during exercise using [13C]glucose
stable isotope methodology. Findings showed that MetFlex was negatively
associated with insulin resistance in boys but not in girls with obesity. Future
work is required to investigate these sex differences. MetFlex test results were
deemed reliable when repeated on a separate day.
PMID- 29357497
TI - Temporal characteristics of exercise-induced diaphragmatic fatigue.
AB - There is evidence suggesting diaphragmatic fatigue (DF) occurs relatively early
during high-intensity exercise; however, studies investigating the temporal
characteristics of exercise-induced DF are limited by incongruent methodology.
Eight healthy adult males (25 +/- 5 yr) performed a maximal incremental exercise
test on a cycle ergometer on day 1. A constant-load time-to-exhaustion (TTE)
exercise test was conducted on day 2 at 60% delta between the calculated gas
exchange threshold and peak work rate. Two additional constant-load exercise
tests were performed at the same intensity on days 3 and 4 in a random order to
either 50 or 75% TTE. DF was assessed on days 2, 3, and 4 by measuring
transdiaphragmatic twitch pressure (Pdi,tw) in response to cervical magnetic
stimulation. DF was present after 75 and 100% TTE (>=20% decrease in Pdi,tw). The
magnitude of fatigue was 15.5 +/- 5.7%, 23.6 +/- 6.4%, and 35.0 +/- 12.1% at 50,
75, and 100% TTE, respectively. Significant differences were found between 100 to
75 and 50% TTE (both P < 0.01), and 75 to 50% TTE ( P < 0.01). There was a
significant relationship between the magnitude of fatigue and cumulative
diaphragm force output ( r = 0.785; P < 0.001). Ventilation, the mechanical work
of breathing (WOB), and pressure-time products were not different between trials
( P > 0.05). Our data indicate that exercise-induced DF presents a relatively
late onset and is proportional to the cumulative WOB; thus the ability of the
diaphragm to generate pressure progressively declines throughout exercise. NEW &
NOTEWORTHY The notion that diaphragmatic fatigue (DF) occurs relatively early
during exercise is equivocal. Our results indicate that DF occurs during high
intensity endurance exercise in healthy men and its magnitude is strongly related
to the amount of pressure and work generated by respiratory muscles. Thus we
conclude that the work of breathing is the major determinant of exercise-induced
DF.
PMID- 29357499
TI - Effects of hypothermia and rewarming on cardiovascular autonomic control in vivo.
AB - Rewarming from accidental hypothermia is associated with cardiovascular
dysfunction that complicates rewarming and contributes to a high mortality rate.
We investigated autonomic cardiovascular control, as well as the separate effects
of cooling, hypothermia, and rewarming on hemodynamic function, aiming to provide
knowledge of the pathophysiology causing such complications in these patients. A
rat model designed for circulatory studies during cooling, hypothermia (15
degrees C), and rewarming was used. Spectral analysis of diastolic arterial
pressure and heart rate allowed assessment of the autonomic nervous system.
Hemodynamic variables were monitored using a conductance catheter in the left
ventricle and a pressure transducer connected to the left femoral artery.
Sympathetic cardiovascular control was reduced after rewarming. Stroke volume
increased during cooling but decreased during stable hypothermia and did not
normalize during rewarming. Despite autonomic dysfunction, total peripheral
resistance increased during cooling and did not normalize after rewarming. The
present data show that sympathetic cardiovascular control is reduced by
hypothermia and rewarming. A simultaneous systolic dysfunction is seen in
rewarmed animals, caused by reduced filling of the left ventricle and impaired
contractile function, in the presence of normal diastolic function. These
findings show that dysfunction of the efferent sympathetic nervous system could
be instrumental in development of rewarming shock. NEW & NOTEWORTHY The present
study shows impaired autonomic control of cardiovascular function after rewarming
from severe hypothermia. In victims of accidental hypothermia, rewarming shock is
a much feared and lethal complication. The pathophysiology causing such
cardiovascular collapse appears complex. Our findings indicate that dysfunction
of the autonomic nervous system is an important part of the pathophysiology. Thus
the present study gives novel information, important for further development of
treatment strategies in this patient group.
PMID- 29357500
TI - Cardiorespiratory and muscle oxygenation responses to low-load/high-repetition
resistance exercises in COPD and healthy controls.
AB - Single-limb exercises have been used as a strategy to improve aerobic exercise
tolerance in patients with chronic obstructive pulmonary disease (COPD) by
alleviating the cardiopulmonary demand. We asked whether this strategy would also
apply to cardiorespiratory demand and amount of work performed during single-limb
and two-limb low-load/high-repetition resistance exercises in 20 patients with
COPD [forced expiratory volume in 1 s (FEV1) = 1.0 liters, 38% of predicted] and
15 age-, sex-, and activity-matched healthy controls. Peak ventilation, peak
oxygen consumption (Vo2), and peak heart rate (HR) were assessed to document
cardiorespiratory demand during shoulder flexion and knee extension exercises
while exercise tolerance was assessed by the total amount of work achieved. In
addition, changes in myoglobin-deoxyhemoglobin level (Deltadeoxy-[Hb/Mb]) were
measured during single-limb knee extension. In COPD, single-limb shoulder flexion
and knee extension elicited higher localized workloads than two-limb exercises
(21 and 24% higher workloads for the former exercise) while cardiopulmonary
demand was 8-16% higher during two-limb exercises. When expressed as a percentage
of peak values achieved during incremental cycle ergometry, peak VO2 and HR were
similarly high during single-limb shoulder flexion and knee extension exercises,
representing 90% of peak HR and 60% of peak VO2. Apart from single-limb knee
extension, cardiorespiratory demand per kilogram work during low-load/high
repetition knee extension and shoulder flexion exercises was higher in patients
with COPD than in healthy controls (range 27-122%, P < 0.0125). Deltadeoxy
[Hb/Mb] of the quadriceps during knee extension was similar between the two
groups, while Deltadeoxy-[Hb/Mb] per kilogram work was higher in patients with
COPD. We conclude that 1) in patients with COPD, single-limb exercises resulted
in lower peak cardiorespiratory demand as well as higher localized workloads
compared with two-limb exercises; 2) compared with healthy controls, the
cardiorespiratory demand, either expressed per unit of work or relative to peak
capacity, was higher in patients with COPD than in controls during low-load/high
repetition resistance exercises, irrespective of the involvement of one or two
limbs or of the upper or lower extremity; 3) quadriceps muscle deoxygenation per
unit of work during low-load/high-repetition knee extension was increased in COPD
compared with controls; and 4) single- and two-limb low-load/high-repetition knee
extension and shoulder flexion resistance exercises imposed a similar burden on
the cardiorespiratory system, resulting in a higher cardiorespiratory demand per
kilogram work performed during shoulder flexion compared with knee extension, in
both COPD and healthy controls. NEW & NOTEWORTHY In chronic obstructive pulmonary
disease (COPD), single-limb knee extension and shoulder flexion resulted in a
lower peak cardiorespiratory response as well as larger localized exercise
workloads compared with two-limb exercises. Cardiorespiratory and quadriceps
deoxygenation cost per kilogram work was greater in COPD compared with healthy
controls, despite similar acute responses. Compared with knee extension, shoulder
flexion imposed a similar burden on the cardiorespiratory system in patients with
COPD and healthy controls.
PMID- 29357501
TI - Effects of aging and Parkinson's disease on motor unit remodeling: influence of
resistance exercise training.
AB - Aging muscle atrophy is in part a neurodegenerative process revealed by
denervation/reinnervation events leading to motor unit remodeling (i.e., myofiber
type grouping). However, this process and its physiological relevance are poorly
understood, as is the wide-ranging heterogeneity among aging humans. Here, we
attempted to address 1) the relation between myofiber type grouping and molecular
regulators of neuromuscular junction (NMJ) stability; 2) the impact of motor unit
remodeling on recruitment during submaximal contractions; 3) the prevalence and
impact of motor unit remodeling in Parkinson's disease (PD), an age-related
neurodegenerative disease; and 4) the influence of resistance exercise training
(RT) on regulators of motor unit remodeling. We compared type I myofiber
grouping, molecular regulators of NMJ stability, and the relative motor unit
activation (MUA) requirement during a submaximal sit-to-stand task among
untrained but otherwise healthy young (YA; 26 yr, n = 27) and older (OA; 66 yr, n
= 91) adults and OA with PD (PD; 67 yr, n = 19). We tested the effects of RT on
these outcomes in OA and PD. PD displayed more motor unit remodeling, alterations
in NMJ stability regulation, and a higher relative MUA requirement than OA,
suggesting PD-specific effects. The molecular and physiological outcomes tracked
with the severity of type I myofiber grouping. Together these findings suggest
that age-related motor unit remodeling, manifested by type I myofiber grouping,
1) reduces MUA efficiency to meet submaximal contraction demand, 2) is associated
with disruptions in NMJ stability, 3) is further impacted by PD, and 4) may be
improved by RT in severe cases. NEW & NOTEWORTHY Because the physiological
consequences of varying amounts of myofiber type grouping are unknown, the
current study aims to characterize the molecular and physiological correlates of
motor unit remodeling. Furthermore, because exercise training has demonstrated
neuromuscular benefits in aged humans and improved innervation status and
neuromuscular junction integrity in animals, we provide an exploratory analysis
of the effects of high-intensity resistance training on markers of neuromuscular
degeneration in both Parkinson's disease (PD) and age-matched older adults.
PMID- 29357502
TI - Mitochondrial dysfunction in iPSC-derived neurons of subjects with chronic
mountain sickness.
AB - Patients with chronic mountain sickness (CMS) suffer from hypoxemia,
erythrocytosis, and numerous neurologic deficits. Here we used induced
pluripotent stem cell (iPSC)-derived neurons from both CMS and non-CMS subjects
to study CMS neuropathology. Using transmission electron microscopy, we report
that CMS neurons have a decreased mitochondrial volume density, length, and less
cristae membrane surface area. Real-time PCR confirmed a decreased mitochondrial
fusion gene optic atrophy 1 (OPA1) expression. Immunoblot analysis showed an
accumulation of the short isoform of OPA1 (S-OPA1) in CMS neurons, which have
reduced ATP levels under normoxia and increased lactate dehydrogenase (LDH)
release and caspase 3 activation after hypoxia. Improving the balance between the
long isoform of OPA1 and S-OPA1 in CMS neurons increased the ATP levels and
attenuated LDH release under hypoxia. Our data provide initial evidence for
altered mitochondrial morphology and function in CMS neurons, and reveal
increased cell death under hypoxia due in part to altered mitochondrial dynamics.
NEW & NOTEWORTHY Induced pluripotent stem cell-derived neurons from chronic
mountain sickness (CMS) subjects have altered mitochondrial morphology and
dynamics, and increased sensitivity to hypoxic stress. Modification of OPA1 can
attenuate cell death after hypoxic treatment, providing evidence that altered
mitochondrial dynamics play an important role in increased vulnerability under
stress in CMS neurons.
PMID- 29357503
TI - Hypothalamic PVN contributes to acute intermittent hypoxia-induced sympathetic
but not phrenic long-term facilitation.
AB - Blackburn MB, Andrade MA, Toney GM. Hypothalamic PVN contributes to acute
intermittent hypoxia-induced sympathetic but not phrenic long-term facilitation.
J Appl Physiol 124: 1233-1243, 2018. First published December 19, 2017; doi:
10.1152/japplphysiol.00743.2017 .- Acute intermittent hypoxia (AIH) repetitively
activates the arterial chemoreflex and triggers a progressive increase of
sympathetic nerve activity (SNA) and phrenic nerve activity (PNA) referred to as
sympathetic and phrenic long-term facilitation (S-LTF and P-LTF), respectively.
Neurons of the hypothalamic paraventricular nucleus (PVN) participate in the
arterial chemoreflex, but their contribution to AIH-induced LTF is unknown. To
determine this, anesthetized rats were vagotomized and exposed to 10 cycles of
AIH, each consisting of ventilation for 3 min with 100% O2 followed by 3 min with
15% O2. Before AIH, rats received bilateral PVN injections of artificial
cerebrospinal fluid (aCSF; vehicle) or the GABA-A receptor agonist muscimol (100
pmol in 50 nl) to inhibit neuronal activity. Thirty minutes after completing the
AIH protocol, during which rats were continuously ventilated with 100% O2, S-LTF
and P-LTF were quantified from recordings of integrated splanchnic SNA and PNA,
respectively. PVN muscimol attenuated increases of SNA during hypoxic episodes
occurring in later cycles (6-10) of AIH ( P < 0.03) and attenuated post-AIH S-LTF
( P < 0.001). Muscimol, however, did not consistently affect peak PNA responses
during hypoxic episodes and did not alter AIH-induced P-LTF. These findings
indicate that PVN neuronal activity contributes to sympathetic responses during
AIH and to subsequent generation of S-LTF. NEW & NOTEWORTHY Neural circuits
mediating acute intermittent hypoxia (AIH)-induced sympathetic and phrenic long
term facilitation (LTF) have not been fully elucidated. We found that
paraventricular nucleus (PVN) inhibition attenuated sympathetic activation during
episodes of AIH and reduced post-AIH sympathetic LTF. Neither phrenic burst
patterning nor the magnitude of AIH-induced phrenic LTF was affected. Findings
indicate that PVN neurons contribute to AIH-induced sympathetic LTF. Defining
mechanisms of sympathetic LTF could improve strategies to reduce sympathetic
activity in cardiovascular and metabolic diseases.
PMID- 29357504
TI - Short-term menthol treatment promotes persistent thermogenesis without induction
of compensatory food consumption in Wistar rats: implications for obesity
control.
AB - In this study, we aimed to evaluate the influence of daily repeated menthol
treatments on body mass and thermoregulatory effectors in Wistar rats,
considering that menthol is a transient receptor potential melastatin 8 channel
agonist that mimics cold sensation and activates thermoregulatory cold-defense
mechanisms in mammals, promoting hyperthermia and increasing energy expenditure,
and has been suggested as an anti-obesity drug. Male Wistar rats were topically
treated with 5% menthol for 3 or 9 consecutive days while body mass, food intake,
abdominal temperature, metabolism, cutaneous vasoconstriction, and thermal
preference were measured. Menthol promoted hyperthermia on all days of treatment,
due to an increase in metabolism and cutaneous vasoconstriction, without
affecting food intake, resulting in less mass gain in menthol-hyperthermic
animals. As the treatment progressed, the menthol-induced increases in metabolism
and hyperthermia were attenuated but not abolished. Moreover, cutaneous
vasoconstriction was potentiated, and an increase in the warmth-seeking behavior
was induced. Taken together, the results suggest that, although changes occur in
thermoeffector recruitment during the course of short-term treatment, menthol is
a promising drug to prevent body mass gain. NEW & NOTEWORTHY Menthol produces a
persistent increase in energy expenditure, with limited compensatory
thermoregulatory adaptations and, most unexpectedly, without affecting food
intake. Thus short-term treatment with menthol results in less mass gain in
treated animals compared with controls. Our results suggest that menthol is a
promising drug for the prevention of obesity.
PMID- 29357505
TI - Chemoreflex mediated arrhythmia during apnea at 5,050 m in low- but not high
altitude natives.
AB - Peripheral chemoreflex mediated increases in both parasympathetic and sympathetic
drive under chronic hypoxia may evoke bradyarrhythmias during apneic periods. We
determined whether 1) voluntary apnea unmasks arrhythmia at low (344 m) and high
(5,050 m) altitude, 2) high-altitude natives (Nepalese Sherpa) exhibit similar
cardiovagal responses at altitude, and 3) bradyarrhythmias at altitude are
partially chemoreflex mediated. Participants were grouped as Lowlanders ( n = 14;
age = 27 +/- 6 yr) and Nepalese Sherpa ( n = 8; age = 32 +/- 11 yr). Lowlanders
were assessed at 344 and 5,050 m, whereas Sherpa were assessed at 5,050 m. Heart
rate (HR) and rhythm (lead II ECG) were recorded during rest and voluntary end
expiratory apnea. Peripheral chemoreflex contributions were assessed in
Lowlanders ( n = 7) at altitude after 100% oxygen. Lowlanders had higher resting
HR at altitude (70 +/- 15 vs. 61 +/- 15 beats/min; P < 0.01) that was similar to
Sherpa (71 +/- 5 beats/min; P = 0.94). High-altitude apnea caused arrhythmias in
11 of 14 Lowlanders [junctional rhythm ( n = 4), 3 degrees atrioventricular
block ( n = 3), sinus pause ( n = 4)] not present at low altitude and larger
marked bradycardia (nadir -39 +/- 18 beats/min; P < 0.001). Sherpa exhibited a
reduced bradycardia response during apnea compared with Lowlanders ( P < 0.001)
and did not develop arrhythmias. Hyperoxia blunted bradycardia (nadir -10 +/- 14
beats/min; P < 0.001 compared with hypoxic state) and reduced arrhythmia
incidence (3 of 7 Lowlanders). Degree of bradycardia was significantly related to
hypoxic ventilatory response (HVR) at altitude and predictive of arrhythmias ( P
< 0.05). Our data demonstrate apnea-induced bradyarrhythmias in Lowlanders at
altitude but not in Sherpa (potentially through cardioprotective phenotypes). The
chemoreflex is an important mechanism in genesis of bradyarrhythmias, and the HVR
may be predictive for identifying individual susceptibility to events at
altitude. NEW & NOTEWORTHY The peripheral chemoreflex increases both
parasympathetic and sympathetic drive under chronic hypoxia. We found that this
evoked bradyarrhythmias when combined with apneic periods in Lowlanders at
altitude, which become relieved through supplemental oxygen. In contrast, high
altitude residents (Nepalese Sherpa) do not exhibit bradyarrhythmias during apnea
at altitude through potential cardioprotective adaptations. The degree of
bradycardia and bradyarrhythmias was related to the hypoxic ventilatory response,
demonstrating that the chemoreflex plays an important role in these findings.
PMID- 29357506
TI - A model-based approach to interpreting multibreath nitrogen washout data.
AB - The multibreath nitrogen washout (MBNW) test, as it is currently practiced,
provides parameters of potential physiological significance that are derived from
the relationship between the volume-normalized Phase III slope of the exhaled
nitrogen fraction ([Formula: see text]) vs. the cumulative change in lung volume
(V). Reliable evaluation of these parameters requires, however, that the subject
breathe deeply and evenly, so that Phase III can be clearly identified in every
breath. This places a burden on the test subject that may prove troublesome for
young children and those with lung disease. Furthermore, the determination of the
slope of Phase III requires that a decision be made as to when Phase II ends and
Phase III begins. In an attempt to get around these methodological limitations,
we develop here an alternative method of analysis based on a multicompartment
model of the lung that accounts for the entire exhaled nitrogen profile,
including Phases I, II, and III. Fitting this model to [Formula: see text] and V
measured during a MBNW provides an estimate of the coefficient of variation of
specific ventilation, as well as functional residual capacity, dead space volume,
and a parameter that reflects structural asymmetry at the acinar level in the
lung. In the present study, we demonstrate the potential utility of this modeling
approach to the analysis of MBNW data. NEW & NOTEWORTHY The multibreath nitrogen
washout test potentially provides important physiological information about
regional ventilation heterogeneity throughout the lung, but the conventional
analysis requires the subject to breathe deeply and regularly, which is not
always practical. We have developed a model-based analysis method that avoids
this limitation and that also provides measures of functional residual capacity
and dead space volume, thereby expanding the applicability and scope of the
method.
PMID- 29357507
TI - Reinventing the wheel: comparison of two wheel cage styles for assessing mouse
voluntary running activity.
AB - Voluntary wheel cage assessment of mouse activity is commonly employed in
exercise and behavioral research. Currently, no standardization for wheel cages
exists resulting in an inability to compare results among data from different
laboratories. The purpose of this study was to determine whether the distance run
or average speed data differ depending on the use of two commonly used
commercially available wheel cage systems. Two different wheel cages with
structurally similar but functionally different wheels (electromechanical switch
vs. magnetic switch) were compared side-by-side to measure wheel running data
differences. Other variables, including enrichment and cage location, were also
tested to assess potential impacts on the running wheel data. We found that cages
with the electromechanical switch had greater inherent wheel resistance and
consistently led to greater running distance per day and higher average running
speed. Mice rapidly, within 1-2 days, adapted their running behavior to the type
of experimental switch used, suggesting these running differences are more
behavioral than due to intrinsic musculoskeletal, cardiovascular, or metabolic
limits. The presence of enrichment or location of the cage had no detectable
impact on voluntary wheel running. These results demonstrate that mice run
differing amounts depending on the type of cage and switch mechanism used and
thus investigators need to report wheel cage type/wheel resistance and use
caution when interpreting distance/speed run across studies. NEW & NOTEWORTHY The
results of this study highlight that mice will run different distances per day
and average speed based on the inherent resistance present in the switch
mechanism used to record data. Rapid changes in running behavior for the same
mouse in the different cages demonstrate that a strong behavioral factor
contributes to classic exercise outcomes in mice. Caution needs to be taken when
interpreting mouse voluntary wheel running activity to include potential
behavioral input and physiological parameters.
PMID- 29357508
TI - Early blood pressure response to isometric exercise is attenuated in obese
individuals who have undergone bariatric surgery.
AB - Blood pressure (BP) reactivity is predictive of the development of cardiovascular
disease. We hypothesized that the BP response at the onset of isometric handgrip
exercise would occur earlier and to a lesser degree in individuals who underwent
bariatric surgery compared with obese adults and that the reliance on total
peripheral resistance (TPR) would be attenuated. Twenty-six individuals (7
nonobese, 11 obese, 8 postbariatric surgery) completed isometric handgrip
exercise (40% maximum voluntary contraction) to exhaustion. Heart rate (HR, ECG)
and arterial BP (brachial catheter) were measured continuously. Stroke volume was
estimated from the pressure waveform, and cardiac output (CO) and TPR were
calculated. Peak change, time to peak, and rate of rise in BP were assessed
during the first 30 s of exercise. Obese adults exhibited a slower rise in BP and
higher peak BP at exercise onset compared with nonobese controls ( P < 0.05).
Peak BP and the rate of rise were not different between individuals who underwent
bariatric surgery and nonobese controls ( P > 0.05). Nonobese controls exhibited
an exercise-mediated increase in CO, whereas obese adults increased TPR ( P <
0.05). The increases in CO and TPR were less apparent in individuals who
underwent bariatric surgery ( P > 0.05). In contrast to obese adults, individuals
who underwent bariatric surgery exhibit a rapid rise in BP at exercise onset.
This rapid increase in BP is associated with a fall in TPR and results in lower
peak BP at the onset of isometric exercise. These data suggest that bariatric
surgery improves BP reactivity via changes in the time course of hemodynamic
responses. NEW & NOTEWORTHY Bariatric surgery has been shown to reduce the blood
pressure (BP) response to isometric handgrip exercise. By examining the time
course of the BP response to exercise, we found, in contrast to obese adults,
individuals who underwent bariatric surgery exhibit a rapid rise in BP at
exercise onset, which is associated with a fall in total peripheral resistance
and results in lower peak BP at the onset of isometric exercise. These data
suggest that bariatric surgery improves BP reactivity via reflex autonomic
adjustments.
PMID- 29357509
TI - Benzolamide perpetuates acidic conditions during reperfusion and reduces
myocardial ischemia-reperfusion injury.
AB - During ischemia, increased anaerobic glycolysis results in intracellular
acidosis. Activation of alkalinizing transport mechanisms associated with
carbonic anhydrases (CAs) leads to myocardial intracellular Ca2+ increase. We
characterize the effects of inhibition of CA with benzolamide (BZ) during cardiac
ischemia-reperfusion (I/R). Langendorff-perfused isolated rat hearts were
subjected to 30 min of global ischemia and 60 min of reperfusion. Other hearts
were treated with BZ (5 MUM) during the initial 10 min of reperfusion or perfused
with acid solution (AR, pH 6.4) during the first 3 min of reperfusion. p38MAPK, a
kinase linked to membrane transporters and involved in cardioprotection, was
examined in hearts treated with BZ in presence of the p38MAPK inhibitor SB202190
(10 MUM). Infarct size (IZ) and myocardial function were assessed, and
phosphorylated forms of p38MAPK, Akt, and PKCepsilon were evaluated by
immunoblotting. We determined the rate of intracellular pH (pHi) normalization
after transient acid loading in the absence and presence of BZ or BZ + SB202190
in heart papillary muscles (HPMs). Mitochondrial membrane potential (DeltaPsim),
Ca2+ retention capacity and Ca2+-mediated swelling after I/R were also measured.
BZ, similarly to AR, reduced IZ, improved postischemic recovery of myocardial
contractility, increased phosphorylation of Akt, PKCepsilon, and p38MAPK, and
normalized DeltaPsim and Ca2+ homeostasis, effects abolished after p38MAPK
inhibition. In HPMs, BZ slowed pHi recovery, an effect that was restored after
p38MAPK inhibition. We conclude that prolongation of acidic conditions during
reperfusion by BZ could be responsible for the cardioprotective benefits of
reduced infarction and better myocontractile function, through p38MAPK-dependent
pathways. NEW & NOTEWORTHY Carbonic anhydrase inhibition by benzolamide (BZ)
maintains acidity, decreases infarct size, and improves postischemic myocardial
dysfunction in ischemia-reperfusion (I/R) hearts. Protection afforded by BZ
mimicked the beneficial effects elicited by an acidic solution (AR). Increased
phosphorylation of p38MAPK occurs in I/R hearts reperfused with BZ or with AR.
Mitochondria from I/R hearts possess abnormal Ca2+ handling and a more
depolarized membrane potential compared with control hearts, and these changes
were restored by treatment with BZ or AR.
PMID- 29357510
TI - GBT1118, a compound that increases the oxygen affinity of hemoglobin, improves
survival in murine hypoxic acute lung injury.
AB - Acute respiratory distress syndrome (ARDS) is characterized by lung inflammation
and pulmonary edema, leading to arterial hypoxemia and death if the hypoxemia is
severe. Strategies to correct hypoxemia have the potential to improve clinical
outcomes in ARDS. The goal of this study was to evaluate the potential of
hemoglobin modification as a novel therapy for ARDS-induced hypoxemia. The
therapeutic effect of two different doses of GBT1118, a compound that increases
the oxygen affinity of hemoglobin, was evaluated in a murine model of acute lung
injury induced by intratracheal LPS instillation 24 h before exposure to 5% or
10% hypoxia ( n = 8-15 per group). As expected, administration of GBT1118 to mice
significantly increased the oxygen affinity of hemoglobin. Compared with mice
receiving vehicle control, mice treated with GBT1118 had significantly lower
mortality after LPS + 5% hypoxia (47% with vehicle vs. 22% with low-dose GBT1118,
13% with high-dose GBT1118, P = 0.032 by log rank) and had reduced severity of
illness. Mice treated with GBT1118 showed a sustained significant increase in
SpO2 over 4 h of hypoxia exposure. Treatment with GBT1118 did not alter alveolar
capillary permeability, bronchoalveolar lavage (BAL) inflammatory cell counts, or
BAL concentrations of IL-1beta, TNF-alpha, or macrophage inflammatory protein
1alpha. High-dose GBT1118 did not affect histological lung injury but did
decrease tissue hypoxia as measured intensity of pimonidazole (Hypoxyprobe)
staining in liver ( P = 0.043) and kidney ( P = 0.043). We concluded that
increasing the oxygen affinity of hemoglobin using GBT1118 may be a novel therapy
for treating hypoxemia associated with acute lung injury. NEW & NOTEWORTHY In
this study, we show that GBT1118, a compound that increases hemoglobin affinity
for oxygen, improves survival and oxygen saturation in a two-hit lung injury
model of intratracheal LPS without causing tissue hypoxia. Modulation of
hemoglobin oxygen affinity represents a novel therapeutic approach to treatment
of acute lung injury and acute respiratory distress syndrome, conditions
characterized by hypoxemia.
PMID- 29357511
TI - AltitudeOmics: effect of reduced barometric pressure on detection of
intrapulmonary shunt, pulmonary gas exchange efficiency, and total pulmonary
resistance.
AB - Blood flow through intrapulmonary arteriovenous anastomoses (QIPAVA) occurs in
healthy humans at rest and during exercise when breathing hypoxic gas mixtures at
sea level and may be a source of right-to-left shunt. However, at high altitudes,
QIPAVA is reduced compared with sea level, as detected using transthoracic saline
contrast echocardiography (TTSCE). It remains unknown whether the reduction in
QIPAVA (i.e., lower bubble scores) at high altitude is due to a reduction in
bubble stability resulting from the lower barometric pressure (PB) or represents
an actual reduction in QIPAVA. To this end, QIPAVA, pulmonary artery systolic
pressure (PASP), cardiac output (QT), and the alveolar-to-arterial oxygen
difference (AaDO2) were assessed at rest and during exercise (70-190 W) in the
field (5,260 m) and in the laboratory (1,668 m) during four conditions:
normobaric normoxia (NN; [Formula: see text] = 121 mmHg, PB = 625 mmHg; n = 8),
normobaric hypoxia (NH; [Formula: see text] = 76 mmHg, PB = 625 mmHg; n = 7),
hypobaric normoxia (HN; [Formula: see text] = 121 mmHg, PB = 410 mmHg; n = 8),
and hypobaric hypoxia (HH; [Formula: see text] = 75 mmHg, PB = 410 mmHg; n = 7).
We hypothesized QIPAVA would be reduced during exercise in isooxic hypobaria
compared with normobaria and that the AaDO2 would be reduced in isooxic hypobaria
compared with normobaria. Bubble scores were greater in normobaric conditions,
but the AaDO2 was similar in both isooxic hypobaria and normobaria. Total
pulmonary resistance (PASP/QT) was elevated in HN and HH. Using mathematical
modeling, we found no effect of hypobaria on bubble dissolution time within the
pulmonary transit times under consideration (<5 s). Consequently, our data
suggest an effect of hypobaria alone on pulmonary blood flow. NEW & NOTEWORTHY
Blood flow through intrapulmonary arteriovenous anastomoses, detected by
transthoracic saline contrast echocardiography, was reduced during exercise in
acute hypobaria compared with normobaria, independent of oxygen tension, whereas
pulmonary gas exchange efficiency was unaffected. Modeling the effect(s) of
reduced air density on contrast bubble lifetime did not result in a significantly
reduced contrast stability. Interestingly, total pulmonary resistance was
increased by hypobaria, independent of oxygen tension, suggesting that pulmonary
blood flow may be changed by hypobaria.
PMID- 29357512
TI - Involvement of cAMP/EPAC/Akt signaling in the antiproteolytic effects of
pentoxifylline on skeletal muscles of diabetic rats.
AB - Advances in the knowledge of the mechanisms controlling protein breakdown in
skeletal muscles have allowed the exploration of new options for treating muscle
wasting conditions. Pentoxifylline (PTX), a nonselective phosphodiesterase (PDE)
inhibitor, attenuates the loss of muscle mass during catabolic conditions, mainly
via inhibiting protein breakdown. The aim of this study was to explore the
mechanisms by which PTX inhibits proteolysis in the soleus and extensor digitorum
longus (EDL) muscles of streptozotocin-induced diabetic rats. The levels of
atrogin-1 and muscle RING finger-1 were decreased, as were the activities of
caspase-3 (EDL) and calpains (soleus and EDL), in diabetic rats treated with PTX,
which at least partly explains the drop in the ubiquitin conjugate (EDL) levels
and in proteasome activity (soleus and EDL). Treatment with PTX decreased PDE
activity and increased cAMP content in muscles of diabetic rats; moreover, it
also increased both the protein levels of exchange protein directly activated by
cAMP (EPAC, a cAMP effector) and the phosphorylation of Akt. The loss of muscle
mass was practically prevented in diabetic rats treated with PTX. These findings
advance our understanding of the mechanisms underlying the antiproteolytic
effects of PTX and suggest the use of PDE inhibitors as a strategy to activate
cAMP signaling, which is emerging as a promising target for treating muscle mass
loss during atrophic conditions. NEW & NOTEWORTHY cAMP signaling has been
explored as a strategy to attenuate skeletal muscle atrophies. Therefore, in
addition to beta2AR agonists, phosphodiesterase inhibitors such as pentoxifylline
(PTX) can be an interesting option. This study advances the understanding of the
mechanisms related to the antiproteolytic effects of PTX on skeletal muscles of
diabetic rats, which involve the activation of both exchange protein directly
activated by cAMP and Akt effectors, inhibiting the expression of atrogenes and
calpain/caspase-3-proteolytic machinery.
PMID- 29357514
TI - Voluntary exercise slows breast tumor establishment and reduces tumor hypoxia in
ApoE-/- mice.
AB - Exercise reduces the risk of breast cancer development and improves survival in
breast cancer patients. However, the underlying mechanisms of this protective
effect remain to be fully elucidated, and it is unclear whether exercise can
attenuate the protumor effects of obesity and related hyperlipidemia on breast
cancer growth and development. We hypothesized that exercise attenuates the
negative effect of hyperlipidemia through normalization of the tumor
microenvironment and improved T cell infiltrate. Hyperlipidemic ApoE-/- mice with
orthotopic EO771 breast tumors were randomly assigned to one of two voluntary
running groups or sedentary controls, and muscular cytochrome c oxidase subunit
IV (COX-IV) expression was used as a biomarker for the level of exercise. Tumors
from mice with high muscular COX-IV expression took significantly longer to reach
100 mm3 ( P = 0.008), but showed no difference in growth rate once the tumor was
established. Wheel running appeared to reduce internal metastases, but did not
affect T cell infiltrate or the proportion of regulatory and cytotoxic T cells
within the tumor. Serum levels of monocyte chemoattractant protein-1 (MCP-1) were
significantly increased by tumor burden ( P = 0.02) and correlated with spleen
weight ( P < 0.0001, R = 0.65). Furthermore, tumor hypoxia was significantly
decreased in mice with high muscular COX-IV expression ( P = 0.01). Taken
together, these results indicate that wheel running can slow the establishment of
primary and secondary EO771 breast tumors and induce beneficial changes in the
breast tumor microenvironment in ApoE-/- mice. NEW & NOTEWORTHY In this first
study to investigate the effect of exercise on tumor behavior in a hyperlipidemic
model, we hypothesized that wheel running would counteract the protumorigenic
environment generated by hyperlipidemia. Wheel running slowed establishment of
primary and secondary tumors and reduced tumor hypoxia but did not affect
exponential tumor growth in ApoE-/- mice. Overall, voluntary wheel running
induced favorable microenvironmental changes in breast tumors.
PMID- 29357513
TI - The effects of age and sex on mechanical ventilatory constraint and dyspnea
during exercise in healthy humans.
AB - We examined the effects of age, sex, and their interaction on mechanical
ventilatory constraint and dyspnea during exercise in 22 older (age = 68 +/- 1
yr; n = 12 women) and 22 younger (age = 25 +/- 1 y, n = 11 women) subjects.
During submaximal exercise, older subjects had higher end-inspiratory (EILV) and
end-expiratory (EELV) lung volumes than younger subjects (both P < 0.05). During
maximal exercise, older subjects had similar EILV ( P > 0.05) but higher EELV
than younger subjects ( P < 0.05). No sex differences in EILV or EELV were
observed. We noted that women had a higher work of breathing (Wb) for a given
minute ventilation (Ve) >=65 l/min than men ( P < 0.05) and older subjects had a
higher Wb for a given Ve >=60 l/min ( P < 0.05). No sex or age differences in Wb
were present at any submaximal relative Ve. At absolute exercise intensities,
older women experienced expiratory flow limitation (EFL) more frequently than
older men ( P < 0.05), and older subjects were more likely to experience EFL than
younger subjects ( P < 0.05). At relative exercise intensities, women and older
individuals experienced EFL more frequently than men and younger individuals,
respectively (both P < 0.05). There were significant effects of age, sex, and
their interaction on dyspnea intensity during exercise at absolute, but not
relative, intensities (all P < 0.05). Across subjects, dyspnea at 80 W was
significantly correlated with indexes of mechanical ventilatory constraint (all P
< 0.05). Collectively, our findings suggest age and sex have significant impacts
on Wb, operating lung volumes, EFL, and dyspnea during exercise. Moreover, it
appears that mechanical ventilatory constraint may partially explain sex
differences in exertional dyspnea in older individuals. NEW & NOTEWORTHY We found
that age and sex have a significant effect on mechanical ventilatory constraint
and the perception of dyspnea during exercise. We also observed that the
perception of exertional dyspnea is associated with indexes of mechanical
ventilatory constraint. Collectively, our results suggest that the combined
influences of age and biological sex on mechanical ventilatory constraint during
exercise contributes, in part, to the increased perception of dyspnea during
exercise in older women.
PMID- 29357515
TI - The noninvasive simultaneous measurement of tissue oxygenation and microvascular
hemodynamics during incremental handgrip exercise.
AB - Limb blood flow increases linearly with exercise intensity; however, invasive
measurements of muscle microvascular blood flow during incremental exercise have
demonstrated submaximal plateaus. We tested the hypotheses that 1) brachial
artery blood flow (QBA) would increase with increasing exercise intensity until
task failure, 2) blood flow index of the flexor digitorum superficialis (BFIFDS)
measured noninvasively via diffuse correlation spectroscopy would plateau at a
submaximal work rate, and 3) muscle oxygenation characteristics (total-[heme],
deoxy-[heme], and percentage saturation) measured noninvasively with near
infrared spectroscopy would demonstrate a plateau at a similar work rate as
BFIFDS. Sixteen subjects (23.3 +/- 3.9 yr, 170.8 +/- 1.9 cm, 72.8 +/- 3.4 kg)
participated in this study. Peak power (Ppeak) was determined for each subject
(1.8 +/- 0.4 W) via an incremental handgrip exercise test. QBA, BFIFDS, total
[heme], deoxy-[heme], and percentage saturation were measured during each stage
of the exercise test. On a subsequent testing day, muscle activation measurements
of the FDS (RMSFDS) were collected during each stage of an identical incremental
handgrip exercise test via electromyography from a subset of subjects ( n = 7).
QBA increased with exercise intensity until the final work rate transition ( P <
0.05). No increases in BFIFDS or muscle oxygenation characteristics were observed
at exercise intensities greater than 51.5 +/- 22.9% of Ppeak. No submaximal
plateau in RMSFDS was observed. Whereas muscle activation of the FDS increased
until task failure, noninvasively measured indices of perfusive and diffusive
muscle microvascular oxygen delivery demonstrated submaximal plateaus. NEW &
NOTEWORTHY Invasive measurements of muscle microvascular blood flow during
incremental exercise have demonstrated submaximal plateaus. We demonstrate that
indices of perfusive and diffusive microvascular oxygen transport to skeletal
muscle, measured completely noninvasively, plateau at submaximal work rates
during incremental exercise, even though limb blood flow and muscle recruitment
continued to increase.
PMID- 29357516
TI - Effects of -12 degrees head-down tilt with and without elevated levels of CO2 on
cognitive performance: the SPACECOT study.
AB - Microgravity and elevated levels of CO2 are two common environmental stressors in
spaceflight that may affect cognitive performance of astronauts. In this
randomized, double-blind, crossover trial (SPACECOT), 6 healthy males (mean +/-
SD age: 41 +/- 5 yr) were exposed to 0.04% (ambient air) and 0.5% CO2
concentrations during 26.5-h periods of -12 degrees head-down tilt (HDT) bed
rest with a 1-wk washout period between exposures. Subjects performed the 10
tests of the Cognition Test Battery before and on average 0.1, 5.2, and 21.0 h
after the initiation of HDT bed rest. HDT in ambient air induced a change in
response strategy, with increased response speed (+0.19 SD; P = 0.0254) at the
expense of accuracy (-0.19 SD; P = 0.2867), resulting in comparable cognitive
efficiency. The observed effects were small and statistically significant for
cognitive speed only. However, even small declines in accuracy can potentially
cause errors during mission-critical tasks in spaceflight. Unexpectedly, exposure
to 0.5% CO2 reversed the response strategy changes observed under HDT in ambient
air. This was possibly related to hypercapnia-induced cerebrovascular reactivity
that favors cortical regions in general and the frontal cortex in particular, or
to the CNS arousing properties of mildly to moderately increased CO2 levels.
There were no statistically significant time-in-CO2 effects for any cognitive
outcome. The small sample size and the small effect sizes are major limitations
of this study and its findings. The results should not be generalized beyond the
group of investigated subjects until they are confirmed by adequately powered
follow-up studies. NEW & NOTEWORTHY Simulating microgravity with exposure to 21 h
of -12 degrees head-down tilt bed rest caused a change in response strategy on a
range of cognitive tests, with a statistically significant increase in response
speed at the expense of accuracy. Cognitive efficiency was not affected. The
observed speed-accuracy tradeoff was small but may nevertheless be important for
mission-critical tasks in spaceflight. Importantly, the change in response
strategy was reversed by increasing CO2 concentrations to 0.5%.
PMID- 29357517
TI - Motor unit territories in human genioglossus estimated with multichannel
intramuscular electrodes.
AB - The discharge patterns of genioglossus motor units during breathing have been
well-characterized in previous studies, but their localization and territories
are not known. In this study, we used two newly developed intramuscular
multichannel electrodes to estimate the territories of genioglossus motor units
in the anterior and posterior regions of the muscle. Seven healthy men
participated. Each electrode contained fifteen bipolar channels, separated by 1
mm, and was inserted percutaneously below the chin, perpendicular to the skin, to
a depth of 36 mm. Single motor unit activity was recorded with subjects awake,
supine, and breathing quietly through a nasal mask for 180 s. Motor unit
territories were estimated from the spike-triggered averages of the
electromyographic signal from each channel. A total of 30 motor units were
identified: 22 expiratory tonic, 1 expiratory phasic, 2 tonic, 3 inspiratory
tonic, and 2 inspiratory phasic. Motor units appeared to be clustered based on
unit type, with peak activities for expiratory units predominantly located in the
anterior and superficial fibers of genioglossus and inspiratory units in the
posterior region. Of these motor unit types, expiratory tonic units had the
largest estimated territory, a mean 11.3 mm (SD 1.9). Estimated territories of
inspiratory motor units ranged from 3 to 6 mm. In accordance with the
distribution of motor unit types, the estimated territory of genioglossus motor
units varied along the sagittal plane, decreasing from anterior to posterior. Our
findings suggest that genioglossus motor units have large territories relative to
the cross-sectional size of the muscle. NEW & NOTEWORTHY In this study, we used a
new multichannel intramuscular electrode to address a fundamental property of
human genioglossus motor units. We describe the territory of genioglossus motor
units in the anterior and posterior regions of the muscle and show a decrease in
territory size from anterior to posterior and that expiratory-related motor units
have larger estimated territories than inspiratory-related motor units.
PMID- 29357518
TI - Fiber type-specific analysis of AMPK isoforms in human skeletal muscle:
advancement in methods via capillary nanoimmunoassay.
AB - Human skeletal muscle is a heterogeneous mixture of multiple fiber types (FT).
Unfortunately, present methods for FT-specific study are constrained by limits of
protein detection in single-fiber samples. These limitations beget compensatory
resource-intensive procedures, ultimately dissuading investigators from pursuing
FT-specific research. Additionally, previous studies neglected hybrid FT,
confining their analyses to only pure FT. Here we present novel methods of
protein detection across a wider spectrum of human skeletal muscle FT using fully
automated capillary nanoimmunoassay (CNIA) technology. CNIA allowed a ~20-fold
lower limit of 5'-AMP-activated protein kinase (AMPK) detection compared with
Western blotting. We then performed FT-specific assessment of AMPK expression as
a proof of concept. Individual human muscle fibers were mechanically isolated,
dissolved, and myosin heavy chain (MHC) fiber typed via SDS-PAGE. Single-fiber
samples were combined in pairs and grouped into MHC I, MHC I/IIa, MHC IIa, and
MHC IIa/IIx for expression analysis of AMPK isoforms alpha1, alpha2, beta1,
beta2, gamma2, and gamma3 with a tubulin loading control. Significant FT-specific
differences were found for alpha2 (1.7-fold higher in MHC IIa and MHC IIa/IIx vs.
others), gamma2 (2.5-fold higher in MHC IIa vs. others), and gamma3 (2-fold
higher in MHC IIa and 4-fold higher in MHC IIa/IIx vs. others). Development of a
protocol that combines the efficient and sensitive CNIA technology with
comprehensive SDS-PAGE fiber typing marks an important advancement in FT-specific
research because it allows more precise study of the molecular mechanisms
governing metabolism, adaptation, and regulation in human muscle. NEW &
NOTEWORTHY We demonstrate the viability of applying capillary nanoimmunoassay
technology to the study of fiber type-specific protein analysis in human muscle
fibers. This novel technique enables a ~20-fold-lower limit of protein detection
compared with traditional Western blotting methods. Combined with SDS-PAGE
methods of fiber typing, we apply this technique to compare 5'-AMP-activated
protein kinase isoform expression in myosin heavy chain (MHC) I, MHC I/IIa, MHC
IIa, and MHC IIa/IIx fiber types.
PMID- 29357519
TI - Enhanced basal late sodium current appears to underlie the age-related
prolongation of action potential duration in guinea pig ventricular myocytes.
AB - Aging hearts have prolonged QT interval and are vulnerable to oxidative stress.
Because the QT interval indirectly reflects the action potential duration (APD),
we examined the hypotheses that 1) the APD of ventricular myocytes increases with
age; 2) the age-related prolongation of APD is due to an enhancement of basal
late Na+ current (INaL); 3) inhibition of INaL may protect aging hearts from
arrhythmogenic effects of hydrogen peroxide (H2O2). Experiments were performed on
ventricular myocytes isolated from one-month (young) and one-year (old) guinea
pigs (GPs). The APD of myocytes from old GPs was significantly longer than that
from young GPs and was shortened by the INaL inhibitors GS967 and tetrodotoxin.
The magnitude of INaL was significantly larger in myocytes from old than from
young GPs. The CaMKII inhibitors KN-93 and AIP and the NaV1.5-channel blocker
MTSEA blocked the INaL. There were no significant differences between myocytes
from young and old GPs in L-type Ca2+ current and the rapidly- and slowly
activating delayed rectifier K+ currents, although the inward rectifier K+
current was slightly decreased in myocytes from old GPs. H2O2 induced more early
afterdepolarizations in myocytes from old than from young GPs. The effect of H2O2
was attenuated by GS967. The results suggest that 1) the APD of myocytes from old
GPs is prolonged, 2) a CaMKII-mediated increase in NaV1.5-channel INaL is
responsible for the prolongation of APD, and 3) Inhibition of INaL may be
beneficial for maintaining electrical stability under oxidative stress in
myocytes of old GPs.
PMID- 29357520
TI - Unilateral strength training leads to muscle-specific sparing effects during
opposite homologous limb immobilization.
AB - Cross education (CE) occurs after unilateral training whereby performance of the
untrained contralateral limb is enhanced. A few studies have shown that CE can
preserve or "spare" strength and size of an opposite immobilized limb, but the
specificity (i.e., trained homologous muscle and contraction type) of these
effects is unknown. The purpose was to investigate specificity of CE "sparing"
effects with immobilization. The nondominant forearm of 16 participants was
immobilized with a cast, and participants were randomly assigned to a resistance
training (eccentric wrist flexion, 3 times/week) or control group for 4 weeks.
Pre- and posttesting involved wrist flexors and extensors eccentric, concentric
and isometric maximal voluntary contractions (via dynamometer), muscle thickness
(via ultrasound), and forearm muscle cross-sectional area (MCSA; via peripheral
quantitative computed tomography). Only the training group showed strength
preservation across all contractions in the wrist flexors of the immobilized limb
(training: -2.4% vs. control: -21.6%; P = 0.04), and increased wrist flexors
strength of the nonimmobilized limb (training: 30.8% vs. control: -7.4%; P =
0.04). Immobilized arm MCSA was preserved for the training group only (training:
1.3% vs. control: -2.3%; P = 0.01). Muscle thickness differed between groups for
the immobilized (training: 2.8% vs. control: -3.2%; P = 0.01) and nonimmobilized
wrist flexors (training: 7.1% vs. control: -3.7%; P = 0.02). Strength
preservation was nonspecific to contraction type ( P = 0.69, [Formula: see text]
= 0.03) yet specific to the trained flexors muscle. These findings suggest that
eccentric training of the nonimmobilized limb can preserve size of the
immobilized contralateral homologous muscle and strength across multiple
contraction types. NEW & NOTEWORTHY Unilateral strength training preserves
strength, muscle thickness, and muscle cross-sectional area in an opposite
immobilized limb. The preservation of size and strength was confined to the
trained homologous muscle group. However, strength was preserved across multiple
contraction types.
PMID- 29357522
TI - Point: Counterpoint Glucose Phosphorylation is/ is not a Significant Barrier to
Muscle Glucose Uptake By the Working Muscle.
PMID- 29357521
TI - Intermittent hypoxia in utero damages postnatal growth and cardiovascular
function in rats.
AB - Obstructive sleep apnea (OSA) is common in pregnancy and may compromise fetal and
even postnatal development. We developed an animal model to determine if maternal
OSA could have lasting effects in offspring. Pregnant Sprague-Dawley rats were
exposed to reduced ambient O2 from 21 to 4-5%, approximately once per minute
[chronic intermittent hypoxia (CIH)] for 8 h/day during gestation days 3-19.
Similarly handled animals exposed to ambient air served as controls (HC).
Offspring were studied for body growth and cardiovascular function for 8
postnatal weeks. Compared with HC, prenatal CIH led to growth restriction,
indicated by smaller body weight and tibial length, and higher arterial blood
pressure in both male and female offspring. Compared with same-sex HC, CIH males
showed abdominal obesity (greater ratio of abdominal fat weight to body weight or
tibial length), left ventricular (LV) hypertrophy (greater heart weight-to-tibial
length ratio and LV posterior wall diastolic thickness), elevated LV
contractility (increases in LV ejection fraction, end-systolic pressure-volume
relations, and preload recruitable stroke work), elevated LV and arterial
stiffness (increased end-diastolic pressure-volume relationship and arterial
elasticity), and LV oxidative stress (greater lipid peroxide content). Compared
with female CIH offspring, male CIH offspring had more profound changes in blood
pressure (BP), cardiac function, myocardial lipid peroxidase (LPO) content, and
abdominal adiposity. Rodent prenatal CIH exposure, mimicking human maternal OSA,
exerts detrimental morphological and cardiovascular effects on developing
offspring; the model may provide useful insights of OSA effects in humans. NEW &
NOTEWORTHY Obstructive sleep apnea is common in human pregnancy. Following
maternal exposure to chronic intermittent hypoxia, a hallmark of sleep apnea,
both sexes of rat offspring showed growth retardation, with males being more
vulnerable to hypertension and dysfunctional left ventricular changes. This model
is useful to study detrimental effects of maternal obstructive sleep apnea on
developing offspring in humans.
PMID- 29357523
TI - Re: Risk Factors for Long-Term Outcome in Photoselective Vaporization of the
Prostate.
PMID- 29357524
TI - Re: Metabolic Syndrome Does Not Increase the Risk of Ejaculatory Dysfunction in
Patients with Lower Urinary Tract Symptoms and Benign Prostatic Enlargement: An
Italian Single-Center Cohort Study.
PMID- 29357525
TI - Re: Understanding Patient and Physician Perceptions of Benign Prostatic
Hyperplasia in Asia Pacific, Latin America and the Commonwealth of Independent
States: The Prostate Research on Behaviour and Education (PROBE) II Survey.
PMID- 29357526
TI - Re: Trends in Operating Room Assistance for Major Urologic Surgical Procedures:
An Increasing Role for Advanced Practice Providers.
PMID- 29357527
TI - Re: Predictors of 30-Day Pulmonary Complications after Outpatient Surgery:
Relative Importance of Body Mass Index Weight Classifications in Risk Assessment.
PMID- 29357529
TI - Re: Presentation and Surgery Outcomes in Elderly with Pheochromocytoma: A
Comparative Analysis with Young Patients.
PMID- 29357528
TI - Re: Prevalence and Progression of Lower Urinary Tract Symptoms in an Aging
Population.
PMID- 29357530
TI - Re: Vitamin D and Incident Urinary Incontinence in Older Adults.
PMID- 29357531
TI - Re: Active Surveillance for Incidental Renal Mass in the Octogenarian.
PMID- 29357532
TI - Re: Influence and Impact of Cognitive Trajectories on Outcome in Patients
Undergoing Radical Cystectomy: An Observational Study.
PMID- 29357533
TI - Re: Pattern and Distribution of Distant Metastases in Anaplastic Prostate
Carcinoma: A Single-Institute Experience with 101 Patients.
PMID- 29357534
TI - Re: Efficacy of Tamsulosin, Oxybutynin, and Their Combination in the Control of
Double-J Stent-Related Lower Urinary Tract Symptoms.
PMID- 29357535
TI - Re: High Tech or High Risk? An Analysis of Media Reports about Robotic Surgery.
PMID- 29357536
TI - Re: Prognostic Value of Exercise Capacity among Men Undergoing Pharmacologic
Treatment for Erectile Dysfunction: The FIT Project.
PMID- 29357537
TI - Re: Quality of Erections by Age Group in Men with Erectile Dysfunction.
PMID- 29357538
TI - Re: Screening for Sexual Dissatisfaction among People with Type 2 Diabetes in
Primary Care.
PMID- 29357539
TI - Re: The Accuracy of Patients' Perceptions of the Risks Associated with Localised
Prostate Cancer Treatments.
PMID- 29357540
TI - Re: Adulteration of Proprietary Chinese Medicines and Health Products with
Undeclared Drugs: Experience of a Tertiary Toxicology Laboratory in Hong Kong.
PMID- 29357541
TI - Re: Risk of Diabetes According to Male Factor Infertility: A Register-Based
Cohort Study.
PMID- 29357542
TI - Re: Correction of a Pathogenic Gene Mutation in Human Embryos.
PMID- 29357543
TI - Re: Sex and Gender: You Should Know the Difference.
PMID- 29357544
TI - Re: Exercise Improved Semen Quality and Reproductive Hormone Levels in Sedentary
Obese Adults.
PMID- 29357545
TI - Re: High-Intensity Exercise Training for Improving Reproductive Function in
Infertile Patients: A Randomized Controlled Trial.
PMID- 29357546
TI - Re: Exposure to Mycophenolate and Fatherhood.
PMID- 29357547
TI - Re: Strategies for Identifying and Closing the Gender Salary Gap in Surgery.
PMID- 29357548
TI - Re: Medicare's Acute Care Episode Demonstration: Effects of Bundled Payments on
Costs and Quality of Surgical Care.
PMID- 29357550
TI - Re: Urethral Stricture Outcomes after Artificial Urinary Sphincter Cuff Erosion:
Results from a Multicenter Retrospective Analysis.
PMID- 29357549
TI - Re: Low Testosterone Levels Result in Decreased Periurethral Vascularity via an
Androgen Receptor-Mediated Process: Pilot Study in Urethral Stricture Tissue.
PMID- 29357551
TI - Re: Evidence of Disordered Calcium Metabolism in Adolescent Girls with Type 1
Diabetes: An Observational Study Using a Dual-Stable Calcium Isotope Technique.
PMID- 29357552
TI - Re: Surgery for Primary Hyperparathyroidism: Adherence to Consensus Guidelines in
an Academic Health System.
PMID- 29357553
TI - Re: Stone Growth Patterns and Risk for Surgery among Children Presenting with
Hypercalciuria, Hypocitraturia and Cystinuria as Underlying Metabolic Causes of
Urolithiasis.
PMID- 29357554
TI - Re: Effectiveness of Treatment Modalities on Kidney Stone Recurrence.
PMID- 29357555
TI - Re: saRNA-Mediated Activation of TRPV5 Reduces Renal Calcium Oxalate Deposition
in Rat via Decreasing Urinary Calcium Excretion.
PMID- 29357556
TI - Re: The Impact of Quality Variations on Patients Undergoing Surgery for Renal
Cell Carcinoma: A National Cancer Database Study.
PMID- 29357557
TI - Re: When to Perform Preoperative Chest Computed Tomography for Renal Cancer
Staging.
PMID- 29357558
TI - Re: Clinical Significance of Preoperative C-Reactive Protein and Squamous Cell
Carcinoma Antigen Levels in Patients with Penile Squamous Cell Carcinoma.
PMID- 29357559
TI - Re: The Impact of Re-Transurethral Resection on Clinical Outcomes in a Large
Multicentre Cohort of Patients with T1 High-Grade/Grade 3 Bladder Cancer Treated
with bacille Calmette-Guerin.
PMID- 29357560
TI - Re: Safety and Efficacy of Durvalumab (MEDI4736), an Anti-Programmed Cell Death
Ligand-1 Immune Checkpoint Inhibitor, in Patients with Advanced Urothelial
Bladder Cancer.
PMID- 29357561
TI - Re: Follow-up of Prostatectomy versus Observation for Early Prostate Cancer.
PMID- 29357562
TI - Re: Adverse Pathologic Findings for Men Electing Immediate Radical Prostatectomy:
Defining a Favorable Intermediate-Risk Group.
PMID- 29357563
TI - Re: Prognostic Value of Programmed-Death-1 Receptor (PD-1) and its Ligand 1 (PD
L1) in Testicular Germ Cell Tumors.
PMID- 29357564
TI - Re: mTORC1-Dependent AMD1 Regulation Sustains Polyamine Metabolism in Prostate
Cancer.
PMID- 29357565
TI - Re: Unifying Mechanism for Different Fibrotic Diseases.
PMID- 29357567
TI - Re: Unravelling Detrusor Underactivity: Development of a Bladder Outlet
Resistance-Bladder Contractility Nomogram for Adult Male Patients with Lower
Urinary Tract Symptoms.
PMID- 29357566
TI - Re: Analysis of Renal Cancer Cell Lines from Two Major Resources Enables Genomics
Guided Cell Line Selection.
PMID- 29357568
TI - Re: Tension-Free Vaginal Tape-Obturator for Treatment of Pure Urodynamic Stress
Urinary Incontinence: Efficacy and Adverse Effects at 10-Year Follow-up.
PMID- 29357569
TI - Re: Bladder Wall Thickness in Women with Symptoms of Overactive Bladder and
Detrusor Overactivity: Results from the Randomised, Placebo-Controlled SHRINK
Study.
PMID- 29357570
TI - Re: Is Bariatric Surgery the Answer to Urinary Incontinence in Obese Women?
PMID- 29357571
TI - Re: Interventions to Decrease Pain and Anxiety in Patients Undergoing Urodynamic
Testing: A Randomized Controlled Trial.
PMID- 29357572
TI - Re: Resolution of Overactive Bladder after Mid-Urethral Sling Placement with
Mixed Incontinence.
PMID- 29357573
TI - Re: Comprehensive Analysis of the Clinical and Urodynamic Outcomes of Primary
Tethered Spinal Cord before and after Spinal Cord Untethering.
PMID- 29357574
TI - Re: Urinary Proteomics Yield Pathological Insights for Ureteropelvic Junction
Obstruction.
PMID- 29357575
TI - Re: Comprehensive Analysis of the Clinical and Urodynamic Outcomes of Secondary
Tethered Spinal Cord before and after Spinal Cord Untethering.
PMID- 29357576
TI - Re: Continence and Quality of Life with the Modified Heitz-Boyer-Hovelacque
Rectal Bladder for Children with Urinary Incontinence following Bladder
Exstrophy.
PMID- 29357577
TI - Re: Computer Model Predicting Breakthrough Febrile Urinary Tract Infection in
Children with Primary Vesicoureteral Reflux.
PMID- 29357579
TI - Sunscreen and melanoma prevention: evidence and expectations.
PMID- 29357580
TI - Understanding common but understudied diseases in dermatology.
PMID- 29357581
TI - Image Gallery: Bowen's disease of a nail unit presenting with 'woodgrain
appearance' - a new dermoscopic finding.
PMID- 29357582
TI - Image Gallery: Lenalidomide for the treatment of pseudotumoral herpes simplex
virus type 2 infection in human immunodeficiency virus infection.
PMID- 29357583
TI - Corrigenda.
PMID- 29357584
TI - Indirubin: a novel topical agent in the treatment of psoriasis.
PMID- 29357585
TI - Driver mutations in the mitogen-activated protein kinase pathway: the seeds of
good and evil.
PMID- 29357587
TI - Biologic treatment for psoriasis and cancer: an increased risk for most cancers
could not be ruled out.
PMID- 29357586
TI - Treatment success in cutaneous warts: morphology and human papillomavirus type
matter.
PMID- 29357589
TI - Progress in the diagnosis and therapy of melanoma.
PMID- 29357590
TI - The benefit of nested qualitative studies in dermatology randomized controlled
trials.
PMID- 29357592
TI - Patients with psoriasis on a new trajectory: long-term improvement in quality of
life with biologics.
PMID- 29357593
TI - When to switch biologics: some answers, but lots of questions too.
PMID- 29357594
TI - Corrigenda.
PMID- 29357595
TI - Corrigenda.
PMID- 29357596
TI - A new era in holistic care: bridging the gap between dermatologists and
oncologists for the treatment of malignant melanoma.
PMID- 29357597
TI - Pigmentary evolution with pembrolizumab use.
PMID- 29357598
TI - Cover Image: Blisters owing to dermal capillary occlusion by sickle cells.
PMID- 29357599
TI - Vitiligo and quality of life: the dark face of whiteness.
PMID- 29357601
TI - Corrigenda.
PMID- 29357602
TI - What can we learn from psoriasis pharmacogenomics research?
PMID- 29357600
TI - British Association of Dermatologists' guidelines for the investigation and
management of generalized pruritus in adults without an underlying dermatosis,
2018.
PMID- 29357603
TI - T-QoL: a quality of life outcome measure specifically developed for adolescents
with skin diseases.
PMID- 29357604
TI - Image Gallery: Immunohistochemical detection of parvovirus B19 VP2 in
periflexural primary infection in an adult female patient.
PMID- 29357605
TI - Image Gallery: A case of malignant syphilis in an HIV-infected patient mimicking
fungal infection.
PMID- 29357606
TI - Cutaneous manifestations of paediatric Erdheim-Chester disease, a histiocytosis
of the 'L' group.
PMID- 29357607
TI - How breakthroughs in translational research have impacted treatment strategies
for melanoma.
PMID- 29357608
TI - Yet another step forward!
PMID- 29357609
TI - Image Gallery: Pyoderma gangrenosum of the penis.
PMID- 29357610
TI - Corrigenda.
PMID- 29357612
TI - Advances in the diagnosis of pigmented skin lesions.
PMID- 29357611
TI - Growing evidence for coronary artery disease risk factors in patients with severe
hidradenitis suppurativa.
PMID- 29357613
TI - Assessing the safety of new drugs during pregnancy.
PMID- 29357614
TI - Introduction to Endoscopic Submucosal Surgery.
AB - The concept of using natural orifices to reduce the complications of surgery,
Natural Orifices Transluminal Endoscopic Surgery, has also been applied to
therapeutic endoscopy. Endoscopic submucosal surgery (ESS) provides more
treatment options for various gastrointestinal diseases than traditional
therapeutic endoscopy by using the submucosal layer as a working space. ESS has
been performed in various fields ranging from transluminal peritoneoscopy to
peroral endoscopic myotomy. With further advances in technology, ESS will be
increasingly useful for diagnosis and treatment of gastrointestinal diseases.
PMID- 29357615
TI - The biology of marginalization.
PMID- 29357616
TI - ?
PMID- 29357617
TI - Doctors' time for working with patients and patients' time with doctors.
PMID- 29357618
TI - [Not Available].
PMID- 29357619
TI - ?
PMID- 29357620
TI - ?
PMID- 29357622
TI - ?
PMID- 29357621
TI - ?
PMID- 29357623
TI - ?
PMID- 29357624
TI - ?
PMID- 29357625
TI - ?
PMID- 29357627
TI - ?
PMID- 29357626
TI - ?
PMID- 29357628
TI - ?
PMID- 29357629
TI - ?
PMID- 29357630
TI - The right to a health-promoting diet for people with intellectual disabilities.
PMID- 29357631
TI - ?
PMID- 29357632
TI - ?
PMID- 29357633
TI - ?
PMID- 29357635
TI - ?
PMID- 29357634
TI - ?
PMID- 29357636
TI - ?
PMID- 29357637
TI - ?
PMID- 29357638
TI - ?
PMID- 29357639
TI - ?
PMID- 29357640
TI - ?
PMID- 29357641
TI - ?
PMID- 29357642
TI - ?
PMID- 29357643
TI - ?
PMID- 29357644
TI - ?
PMID- 29357645
TI - ?
PMID- 29357646
TI - ?
PMID- 29357647
TI - ?
PMID- 29357648
TI - ?
PMID- 29357650
TI - ?
PMID- 29357649
TI - ?
PMID- 29357651
TI - ?
PMID- 29357652
TI - ?
PMID- 29357653
TI - Drug treatment of ADHD - tenuous scientific basis.
PMID- 29357655
TI - ?
PMID- 29357654
TI - ?
PMID- 29357656
TI - ?
PMID- 29357657
TI - When doctors serve as experts.
PMID- 29357658
TI - Implementing a birth registry in a developing country - experiences from Georgia.
AB - BACKGROUND: Georgia is the first developing country in the world to have
established a national digital, medical birth registry. The Georgia Birth
Registry was officially inaugurated on 1 January, 2016. The purpose of this
article is to assess the quality of selected variables and present preliminary
results from the year 2016. MATERIAL AND METHODS: The Registry resembles the
Nordic birth registries in structure. There are 285 medical facilities involved,
each entering 267 variables from week 12 of pregnancy to hospital discharge. In
2016, 52 399 women and 53 236 newborns were recorded as valid entries in the
Georgian Birth Registry. RESULTS: The completeness of the Registry in 2016 was
93.9 %. The difference between the reported number of newborns in the Registry
and in official statistics was 3441. The mean gestational age was 271.3 days and
the Caesarean section rate 43.5 %. The mean birth weight was 3262 g. Newborns
delivered by Caesarean section had a lower gestational age and lower birth weight
compared to those delivered vaginally. INTERPRETATION: There are more newborns
registered annually as Georgian citizens than the number of infants born in the
country. This leads to inaccurate official reporting on perinatal mortality
rates.
PMID- 29357659
TI - ?
PMID- 29357660
TI - The archive is everywhere.
PMID- 29357662
TI - ?
PMID- 29357661
TI - [Not Available].
PMID- 29357663
TI - ?
PMID- 29357664
TI - Synthesis and Characterization of Electron-Deficient Asymmetrically Substituted
Diarylindenotetracenes.
AB - Electron-deficient asymmetrically substituted diarylindenotetracenes were
prepared via a series of Friedel-Crafts acylations, aryl-aryl cross-couplings,
and an intramolecular oxidative cyclization to form the indene ring. Single
crystal X-ray experiments showed good pi-pi overlap with pi-pi distances ranging
from 3.26 to 3.76 A. Both thermogravimetric analysis and differential scanning
calorimetry indicated that asymmetrically substituted indenotetracenes (ASIs) are
stable at elevated temperatures. From cyclic voltammetry experiments, HOMO/LUMO
energy levels of ASI derivatives were determined to be near -5.4/-4.0 eV.
UV/visible absorption spectra showed strong absorption of light between 400 and
650 nm with molar attenuation coefficients from 104 to 105 M-1 cm-1. ASIs were
also found to have very low fluorescence quantum yields, less than 4%. Using the
solid-state packing determined from the single-crystal X-ray experiments,
computational modeling indicated that ASI molecules should favor electron
transport.
PMID- 29357665
TI - Thioesterase-Mediated Synthesis of Teixobactin Analogues: Mechanism and Substrate
Specificity.
AB - A chemoenzymatic approach for the synthesis of teixobactin analogues has been
established by using the tandem thioesterase (TE) of the nonribosomal peptide
synthase (NRPS) Txo2. We show that, unlike the closely related counterparts
involved in lysobactin biosynthesis (in which the N-terminal TE is solely
responsible for the lactonization reaction), the two teixobactin TE domains are
functionally exchangeable and likely act synergistically, representing an
unprecedented off-loading mechanism in NRPS enzymology. The substrate specificity
of this tandem TE was also investigated in this study.
PMID- 29357666
TI - The Conformation and Aggregation of Proline-Rich Surfactant-Like Peptides.
AB - The secondary structure of proline-rich surfactant-like peptides is examined for
the first time and is found to be influenced by charged end groups in peptides
P6K, P6E, and KP6E and an equimolar mixture of P6K and P6E. The peptides exhibit
a conformational transition from unordered to polyproline II (PPII) above a
critical concentration, detected from circular dichroism (CD) measurements and
unexpectedly from fluorescence dye probe measurements. Isothermal titration
calorimetry (ITC) measurements provided the Gibbs energies of hydration of P6K
and P6E, which correspond essentially to the hydration energies of the terminal
charged residues. A detailed analysis of peptide conformation for these peptides
was performed using density functional theory calculations, and this was used as
a basis for hybrid quantum mechanics/molecular mechanics molecular dynamics
(QM/MM MD) simulations. Quantum mechanics simulations in implicit water show both
peptides (and their 1:1 mixture) exhibit PPII conformations. However, hybrid
QM/MM MD simulations suggest that some deviations from this conformation are
present for P6K and P6E in peptide bonds close to the charged residue, whereas in
the 1:1 mixture a PPII structure is observed. Finally, aggregation of the
peptides was investigated using replica exchange molecular dynamics simulations.
These reveal a tendency for the average aggregate size (as measured by the radius
of gyration) to increase with increasing temperature, which is especially marked
for P6K, although the fraction of the most populated clusters is larger for P6E.
PMID- 29357668
TI - Announcing the 2018 ACS Nano Lectureship Awards.
PMID- 29357667
TI - Preface to the Early Career Authors in Fundamental Colloid and Interface Science
Special Issue.
PMID- 29357669
TI - In Nano, Volume 12, Issue 1.
PMID- 29357670
TI - Compensation Strategies in Older Adults: Association With Cognition and Everyday
Function.
AB - BACKGROUND/RATIONALE: Compensation strategies may contribute to greater
resilience among older adults, even in the face of cognitive decline. This study
sought to better understand how compensation strategy use among older adults with
varying degrees of cognitive impairment impacts everyday functioning. METHODS: In
all, 125 older adults (normal cognition, mild cognitive impairment, dementia)
underwent neuropsychological testing, and their informants completed
questionnaires regarding everyday compensation and cognitive and functional
abilities. RESULTS: Cognitively normal and mild cognitive impairment older adults
had greater levels of compensation use than those with dementia. Higher levels of
neuropsychological functioning were associated with more frequent compensation
use. Most importantly, greater frequency of compensation strategy use was
associated with higher levels of independence in everyday function, even after
accounting for cognition. CONCLUSION: Use of compensation strategies is
associated with higher levels of functioning in daily life among older adults.
Findings provide strong rational for development of interventions that directly
target such strategies.
PMID- 29357671
TI - The management of young people who self-harm by New Zealand Infant, Child and
Adolescent Mental Health Services: cutting-edge or cutting corners?
AB - OBJECTIVES: Self-harm is common in New Zealand (NZ) young people and can have
short-term and long-term consequences, including suicide. This study was
undertaken to examine the extent to which evidence-based approaches for
addressing self-harm are offered by Infant, Child and Adolescent Mental Health
Services (ICAMHS). METHODS: A national survey of ICAMHS practitioners working
with young people who self-harm was undertaken between May and July 2016.
RESULTS: Responses from 23 out of 30 ICAMHS confirmed self-harm was a familiar
presentation and most commonly associated with diagnoses of depression, anxiety
and borderline personality disorder. Cognitive behavioural therapy (47.1%, n =
8), dialectical behaviour therapy (47.1%, n = 8) and acceptance and commitment
therapy (5.9%, n = 1) were the treatments of choice, but variably delivered.
There were significant training gaps for all types of therapy. CONCLUSIONS:
Although it is reassuring that many ICAMHS are using evidence-based approaches to
address self-harm in young people, there is concerning variability in the way
these therapies are delivered. Improvement in training in key modalities, further
research into therapist performance and continuous evaluation of workforce
development are needed to maximise the effectiveness of proven interventions and
provide NZ young people with the state-of-the-art service they deserve.
PMID- 29357672
TI - Age- and Occupation-Based Public Health Considerations Related to Osteoarthritis
of the Knee Joint: A Cadaveric Study.
AB - OBJECTIVE: Osteoarthritis (OA) literature makes minimal suggestion regarding age
of disease onset or preventative strategies to reduce risk for onset in various
populations. In 2005, the Centers for Disease Control and Prevention estimated
that 33.6% of Americans 65+ years old were affected by OA; this cadaveric
analysis suggests this is largely underestimated. The objective of this
assessment is to identify at-risk populations for OA in the knee joint and make
recommendations to prevent or delay disease onset. DESIGN: Morphometric analyses
of the articular cartilage of the tibial plateau were performed on cadaver
specimens using Image Pro software on 3 age populations and surface area
measurements for articular cartilage degradation were compared with donors'
reported ages, clinical histories, and occupations. RESULTS: Data showed that by
the seventh decade of life, when patients are in their 60s, articular cartilage
degeneration on the tibial plateau had commenced in 100% of specimen. All
"homemakers" displayed above-average medial tibial plateau degeneration (32.33%
+/- 24.85%) for their age group while simultaneously reporting pathologies in
their clinical history that encourage a sedentary lifestyle. CONCLUSIONS: This
assessment identifies an occupational class with a propensity to develop disease
and also identifies a more realistic time frame than previous advisory committees
have produced regarding age of disease onset and initiation of preventative
measures. It is recommended that strengthening of the hip abductors and the
musculature supporting the knee commence early in adult life to avoid valgus
collapse and shearing at the knee joint.
PMID- 29357673
TI - Calcium Release Mediated by Redox-Sensitive RyR2 Channels Has a Central Role in
Hippocampal Structural Plasticity and Spatial Memory.
AB - AIMS: Previous studies indicate that hippocampal synaptic plasticity and spatial
memory processes entail calcium release from intracellular stores mediated by
ryanodine receptor (RyR) channels. In particular, RyR-mediated Ca2+ release is
central for the dendritic spine remodeling induced by brain-derived neurotrophic
factor (BDNF), a neurotrophin that stimulates complex signaling pathways leading
to memory-associated protein synthesis and structural plasticity. To examine if
upregulation of ryanodine receptor type-2 (RyR2) channels and the spine
remodeling induced by BDNF entail reactive oxygen species (ROS) generation, and
to test if RyR2 downregulation affects BDNF-induced spine remodeling and spatial
memory. RESULTS: Downregulation of RyR2 expression (short hairpin RNA [shRNA]) in
primary hippocampal neurons, or inhibition of nitric oxide synthase (NOS) or
NADPH oxidase, prevented agonist-mediated RyR-mediated Ca2+ release, whereas BDNF
promoted cytoplasmic ROS generation. RyR2 downregulation or inhibitors of N
methyl-d-aspartate (NMDA) receptors, or NOS or of NADPH oxidase type-2 (NOX2)
prevented RyR2 upregulation and the spine remodeling induced by BDNF, as did
incubation with the antioxidant agent N-acetyl l-cysteine. In addition,
intrahippocampal injection of RyR2-directed antisense oligodeoxynucleotides,
which caused significant RyR2 downregulation, caused conspicuous defects in a
memorized spatial memory task. INNOVATION: The present novel results emphasize
the key role of redox-sensitive Ca2+ release mediated by RyR2 channels for
hippocampal structural plasticity and spatial memory. CONCLUSION: Based on these
combined results, we propose (i) that BDNF-induced RyR2-mediated Ca2+ release and
ROS generation via NOS/NOX2 are strictly required for the dendritic spine
remodeling and the RyR2 upregulation induced by BDNF, and (ii) that RyR2 channel
expression is crucial for spatial memory processes. Antioxid. Redox Signal. 29,
1125-1146.
PMID- 29357674
TI - Associating Symptom Phenotype and Genotype in Preeclampsia.
AB - Preeclampsia is a complex genetic disorder with an incompletely understood
pathogenesis. Its phenotype may be better elucidated by integrating symptoms.
This study aimed to identify symptoms by gestational age and associations with
novel preeclampsia candidate genes. Women with a history of preeclampsia
recruited from The Preeclampsia Registry completed clinical/demographic, symptom
surveys and provided medical records. DNA extracted from saliva was processed
with multiplexed assays for eight single-nucleotide polymorphisms (SNPs) selected
to tag candidate genes and/or located in symptom susceptibility regions. Groups
with versus without symptoms were compared using chi2. Associations between SNPs
and symptoms were analyzed as genotype categories and presence/absence of the
variant allele. Logistic regression modeling was conducted with exploratory p =
.05. In 114 participants, 113 reported at least 1 of the 18 symptoms. Symptoms
varied by trimester. Nine symptoms were associated with seven SNPs. Visual
disturbances were associated with three SNPs and nausea/vomiting with two SNPs.
Modeling adjustment for maternal age and parity resulted in 15 associations
between 9 symptoms and 8 SNPs. Medical records demonstrated 100% concordance with
self-reported diagnosis and 48% concordance with reported severity. Findings
indicated novel symptom-genotype associations in preeclampsia. The small sample
was self-selected, but results support future studies including medical records
review. When validated, these results may lead to holistic phenotyping of women
to characterize subsets of preeclampsia. This approach may optimize health in
pregnancy and later life for mothers and offspring through prediction,
prevention, and precision nursing care.
PMID- 29357676
TI - Difference of seasonal variation between glycated albumin and glycated
haemoglobin.
AB - Background Glycated albumin reflects 2-3-week glycaemic controls, and in addition
to glycated haemoglobin, it has been used as a glycaemic control indicator. We
presumed that glycated albumin also has seasonal variations and is related to
temperature, similar to glycated haemoglobin. Methods The subjects were diabetic
outpatients from April 2007 to March 2013. This resulted in the enrolment of 2246
subjects and the collection of a total of 53,968 measurements. Mean glycated
haemoglobin, glycated albumin, and plasma glucose were calculated for each month
over six years. The associations of the measures with each other and the average
temperature for each month in Tokyo were assessed using Spearman rank correlation
coefficients. Results Plasma glucose was highest in January and lowest in May.
Glycated haemoglobin was highest in March and lowest in September. Glycated
albumin was highest in May and lowest in December. Glycated albumin tended to
have a disjunction with plasma glucose in winter. Glycated haemoglobin had
seasonal variation, but glycated albumin did not. Plasma glucose and glycated
haemoglobin showed significant negative correlations with temperature (rs =
0.359, P < 0.001, rs = -0.449, P < 0.001, respectively), but glycated albumin did
not. However, glycated albumin was inter-correlated with plasma glucose (rs =
0.396, P < 0.001) and glycated haemoglobin (rs = 0.685, P < 0.001), and glycated
haemoglobin was inter-correlated with plasma glucose (rs = 0.465, P < 0.001).
Conclusion Glycated albumin and glycated haemoglobin showed different seasonal
variations from each other over the six-year study period. Thus, further studies
to identify factors that contribute to glycated albumin are needed.
PMID- 29357675
TI - Stuck in a State of Inattention? Functional Hyperconnectivity as an Indicator of
Disturbed Intrinsic Brain Dynamics in Adolescents With Concussion: A Pilot Study.
AB - Sports-related concussion in youth is a major public health issue. Evaluating the
diffuse and often subtle changes in structure and function that occur in the
brain, particularly in this population, remains a significant challenge. The goal
of this pilot study was to evaluate the relationship between the intrinsic
dynamics of the brain using resting-state functional magnetic resonance imaging
(rs-fMRI) and relate these findings to structural brain correlates from diffusion
tensor imaging in a group of adolescents with sports-related concussions ( n = 6)
and a group of healthy adolescent athletes ( n = 6). We analyzed rs-fMRI data
using a sliding windows approach and related the functional findings to
structural brain correlates by applying graph theory analysis to the diffusion
tensor imaging data. Within the resting-state condition, we extracted three
separate brain states in both groups. Our analysis revealed that the brain
dynamics in healthy adolescents was characterized by a dynamic pattern, shifting
equally between three brain states; however, in adolescents with concussion, the
pattern was more static with a longer time spent in one brain state. Importantly,
this lack of dynamic flexibility in the concussed group was associated with
increased nodal strength in the left middle frontal gyrus, suggesting
reorganization in a region related to attention. This preliminary report shows
that both the intrinsic brain dynamics and structural organization are altered in
networks related to attention in adolescents with concussion. This first report
in adolescents will be used to inform future studies in a larger cohort.
PMID- 29357677
TI - Diagnostic and prognostic potential of serum miR-132/212 cluster in patients with
hepatocellular carcinoma.
AB - Background It has been reported that both of the miR-132/212 (micro-RNA) cluster
members, miR-132 and miR-212, are downregulated in hepatocellular carcinoma.
Nevertheless, the expression pattern and clinical utility of serum miR-132/212 in
hepatocellular carcinoma are still unknown. Methods In this study, serum
concentrations of miR-132 and miR-212 were measured in 80 hepatocellular
carcinoma patients, 51 controls with chronic liver diseases and 42 healthy
volunteers by using quantitative real-time polymerase chain reaction. Results In
hepatocellular carcinoma patients, serum concentrations of miR-132 and miR-212
were significantly reduced and strongly correlated (r = 0.603, p < 0.001).
Receiver operator characteristic analyses showed that serum miR-132 and miR-212
might have a potential role in the diagnosis of hepatocellular carcinoma.
Moreover, the combination of serum miR-132, miR-212 and alpha-fetoprotein
improved the diagnostic efficiency for hepatocellular carcinoma, especially in
sensitivity and negative predictive value. Serum miR-132 was associated with
tumour differentiation degree ( p = 0.021) and tumour-node-metastasis stage ( p =
0.002); serum miR-212 correlated with tumour size ( p = 0.023) and tumour-node
metastasis stage ( p = 0.007). Kaplan-Meier analyses indicated poorer overall
survival in hepatocellular carcinoma patients with lower serum concentrations of
miR-132 ( p < 0.001) and miR-212 ( p = 0.005). Conclusions Our results suggest
that both components of the miR-132/212 cluster have potential roles as non
invasive serum biomarkers for diagnosis and prognosis of hepatocellular
carcinoma.
PMID- 29357678
TI - Falsely elevated plasma metanephrine in patients taking midodrine.
AB - Plasma metanephrines have become the biochemical test of choice for suspected
phaeochromocytomas and paragangliomas in many institutions. We encountered two
separate cases of significantly elevated plasma metanephrines in patients taking
midodrine, a sympathomimetic drug used in the treatment of severe postural
hypotension, in the absence of a diagnosis of phaeochromocytomas and
paragangliomas. Upon stopping midodrine treatment, plasma metanephrine
concentrations returned to normal in both patients. To explore the hypothesis
that midodrine or its metabolite desglymidodrine might interfere with the
metanephrines assay, we tested the interaction of midodrine with metanephrine
assays from two different centres. High-performance liquid chromatography tandem
mass spectrometry on plasma samples and on methanolic extract of midodrine
demonstrated co-elution of the metabolite desglymidodrine with metanephrine. We
conclude that patients taking midodrine may have falsely elevated plasma
metanephrine as a result of analytical interference, and clinicians need to be
aware of this problem.
PMID- 29357679
TI - Area Deprivation Index Predicts Readmission Risk at an Urban Teaching Hospital.
AB - A growing body of evidence has shown that neighborhood characteristics have
significant effects on quality metrics that evaluate health plans or health care
providers. Using a data set of an urban teaching hospital patient discharges,
this study aimed to determine whether a significant effect of neighborhood
characteristics, measured by the Area Deprivation Index, could be observed on
patients' readmission risk, independent of patient-level clinical and demographic
factors. This study found that patients residing in more disadvantaged
neighborhoods had significantly higher 30-day readmission risks compared to those
living in less disadvantaged neighborhoods, even after accounting for individual
level factors. Those who lived in the most extremely socioeconomically challenged
neighborhoods were 70% more likely to be readmitted than their counterparts who
lived in less disadvantaged neighborhoods. These findings suggest that
neighborhood-level factors should be considered along with individual-level
factors in future work on adjustment of quality metrics for social risk factors.
PMID- 29357681
TI - Corrigendum.
AB - Lavezzi, A. M., Ferrero, S., Roncati, L., Piscioli, F., Matturri, L. & Pusiol, T.
(2017). Nicotinic receptor abnormalities in the cerebellar cortex of sudden
unexplained fetal and infant death victims-possible correlation with maternal
smoking. ASN Neuro, 9, 1-10. DOI: 10.1177/1759091417720582 In figure 4, one of
the labels mentioned at the bottom of graph is incorrect. Instead of "BDNF-index
classes", the label should be " nAChR-index". The correct figure is shown below.
PMID- 29357680
TI - Switching Language Modes: Complementary Brain Patterns for Formulaic and
Propositional Language.
AB - Language has been modeled as a rule governed behavior for generating an unlimited
number of novel utterances using phonological, syntactic, and lexical processes.
This view of language as essentially propositional is expanding as a contributory
role of formulaic expressions (e.g., you know, have a nice day, how are you?) is
increasingly recognized. The basic features of the functional anatomy of this
language system have been described by studies of brain damage: left
lateralization for propositional language and greater right lateralization and
basal ganglia involvement for formulaic expressions. Positron emission tomography
(PET) studies of cerebral blood flow (CBF) have established a cortical
subcortical pattern of brain activity predictive of syllable rate during
phonological/lexical repetition. The same analytic approach was applied to
analyzing brain images obtained during spontaneous monologues. Sixteen normal,
right-handed, native English speakers underwent PET scanning during several
language tasks. Speech rate for the repetition of phonological/lexical items was
predicted by increased CBF in the left inferior frontal region and decreased CBF
in the head of the right caudate nucleus, replicating previous results. A
complementary cortical-subcortical pattern (CBF increased in the right inferior
frontal region and decreased in the left caudate) was predictive of the use of
speech formulas during monologue speech. The use of propositional language during
the monologues was associated with strong left lateralization (increased CBF at
the left inferior frontal region and decreased CBF at the right inferior frontal
region). Normal communication involves the integration of two language modes,
formulaic and novel, that have different neural substrates.
PMID- 29357683
TI - Cerebellar involvement in migraine.
AB - Background Although there is a great wealth of knowledge about the
neurobiological processes underlying migraine and its accompanying symptoms, the
mechanisms by which an attack starts remain elusive, and the disease remains
undertreated. Although the vast majority of literature focuses on the involvement
of the trigeminovascular systems and higher systems it innervates, such as
thalamic and hypothalamic nuclei, several lines of evidence implicate the
cerebellum in the pathophysiology of migraine. Aim In this review, we aim to
summarize potential cerebellar involvement seen from different perspectives
including the results from imaging studies, cerebellar connectivity to migraine
related brain structures, comorbidity with disorders implying cerebellar
dysfunction, similarities in triggers precipitating both such disorders, and
migraine and cerebellar expression of migraine-related genes and neuropeptides.
We aim to inspire an increase in interest for future research on the subject.
Conclusion It is hoped that future studies can provide an answer as to how the
cerebellum may be involved and whether treatment options specifically targeting
the cerebellum could provide alleviation of this disorder.
PMID- 29357682
TI - Age differences in sexual risk behaviors and related factors among people living
with HIV in Guangxi, China.
AB - Sexual risk behaviors by people living with HIV (PLHIV) can result in secondary
HIV transmission and other health problems. Given the dearth of research on age
differences in sexual behaviors among PLHIV, the objective of the study is to
compare sexual risk behaviors and related factors among PLHIV by age groups. Data
used in the current study were derived from a cross-sectional survey conducted
from 2012 to 2013 in Guangxi, China. PLHIV were selected randomly from 12 sites
with the largest number of HIV/AIDS cases. The 2,987 PLHIV completing the survey
were included in the study. Participants were asked about key sociodemographic
characteristics, health condition, same-sex behavior, and sexual risk behaviors
(number of sex partners, condom use consistency, and change in condom use after
HIV diagnosis). Univariate logistic regression analyses were conducted to
evaluate associations of sexual risk behaviors with age and other factors. Those
variables with a p-value of less than 0.2 were entered into multivariate logistic
regression models. All statistical analyses were conducted using SAS 9.4.
Differences in sexual risk behaviors across age groups were observed. Compared
with their younger counterparts, who were >= 50 years of age were more likely to
have multiple partners, use condoms inconsistently, and use condoms less than
before HIV diagnosis. Moreover, lower education attainment, being in the lowest
or highest category of income, having a sero-concordant or sero-unknown steady
partner or no steady partner, and having contracted HIV from steady or
casual/commercial partners, or through injection drug use appeared to be
predictive of at least one of the sexual risk behaviors examined in the study.
The findings call for HIV prevention interventions to be tailored to different
age groups. The interventions that can help reduce unprotected sex among older
PLHIV are urgently needed.
PMID- 29357684
TI - The True Ablation Effect of Holmium YAG Laser on Soft Tissue.
AB - PURPOSE: The holmium YAG (Ho:YAG) laser penetration depth (PD) of 0.4 mm has been
widely described. Nonetheless, in physics, this concept refers to the tissue
thickness at which 90% of the energy has been absorbed and not to the incision
depth (ID) that the laser can achieve in tissue. The aim of this study is to
evaluate the ablation efficiency of Ho:YAG laser on soft tissue. MATERIALS AND
METHODS: With an automated robotic arm, systematic fissures were performed on
flat veal kidney specimens. Broad setting spectrums from 2.5 to 80 W, short and
long pulse, were tested with 272 and 365 MUm laser fibers. Experiments were
repeated three times. Two pathologists in a blinded manner measured the width,
depth, and coagulation area with electronic microscopy. RESULTS: The overall mean
ID was 2 mm (0.25-4.39) and the mean width was 1 mm (0.3-3.1). The mean
coagulation thickness was 0.48 mm (0.25-1.73). The higher the frequency and
energy, the deeper and wider was the incision p < 0.001. No differences were
observed regarding the fiber diameter. The pulse length did not affect the ID,
although the mean width was greater with short pulse p = 0.04. The outer mean
coagulation was increased by increasing energy but not by increasing frequency p
> 0.119. CONCLUSIONS: The overall mean ID was significantly higher than the
theoretical 0.4 mm PD described for Ho:YAG laser. The energy, frequency, and
pulse length had individual effects regarding ID, incision width, and
coagulation. The ID should be specified in accordance with the laser's power
output and should not be confused with the physics of PD concept.
PMID- 29357686
TI - Thulium Laser Treatment of Upper Urinary Tract Carcinoma: A Multi-Institutional
Analysis of Surgical and Oncological Outcomes.
AB - INTRODUCTION: To evaluate the efficacy and safety of ureteroscopic thulium laser
(TL) treatment of upper urinary tract carcinoma (UTUC). MATERIALS AND METHODS:
Forty-two consecutive patients underwent conservative TL treatment for UTUC at
two referral institutions. All patients underwent preliminary biopsy and then
laser vaporization. A 272 MUm and 365 MUm laser fibers were used with a flexible
and semirigid scope, respectively. Ablation was carried out with a 10 to 20 W
power. RESULTS: Mean age at surgery was 68 years (SD 10.7). Mean tumor size was
14.3 mm (range 2-30 mm). Preliminary biopsy revealed the presence of low-grade
disease in 29 (69.1%) patients, high-grade disease in 4 (9.5%) and 1 carcinoma in
situ 1 (2.4%), whereas it was not conclusive in 8 (19%) cases. Final stage was
pTa and pTis in 41 (97.6%) and 1 (2.4%) patients, respectively. Thirty eight
percent (16) experienced Clavien-Dindo grade I complication, 47.6% (20) grade II,
and 2.4% (1) grade III. Five (12%) patients underwent a second-look procedure due
to residual disease. Eight (19%) patients experienced clinical recurrence. The
median estimated recurrence-free survival was 44 months (SE 3.68). Four patients
(9.5%) underwent a nephroureterectomy. Final pathological stage was pTis, pT3
high grade, pTa low grade, and pT0. Median follow-up was 26.3 months (range 2-54
months), and no progression or upstaging of disease occurred. CONCLUSIONS: TL
management of UTUC is a safe and efficacious conservative treatment. Our
experience shows optimal vaporization and hemostatic control in the absence of
major complications.
PMID- 29357685
TI - Case-Based Learning in Dermatology.
AB - IMPORTANCE: The instruction of dermatology can be challenging due to its large
scope, heavy clinical nature, and limited curriculum space. Case-based learning
(CBL) is an emerging education paradigm and has no current literature on its use
in dermatology. OBJECTIVES: Assess CBL in undergraduate dermatology medical
education. METHODS: Case-based learning was implemented in the preclerkship
dermatology curriculum at the University of Toronto to 3 student cohorts
(totaling 710 students and 93 tutors) between May 2016 and April 2017. We
analyzed assignment performance, pre- and post-CBL knowledge test scores, and
experience surveys on students and tutors. Surveys were evaluated using aggregate
descriptive statistics for quantitative data and thematic data analysis for
qualitative data. All assessments were anonymous and voluntary. RESULTS: We
received strong positive feedback on the CBL experience, with no score less than
3.8 on a 5-point scale (where 5 indicated strongly agree with a positively
phrased question). Thematic data analysis revealed several key themes, including
positive comments for a specialist tutor, the use of visual media, and the "mini
cases" style of CBL, while challenges included a lack of motivation. Group
assignments scored high, ranging from 88.9% to 99.3%. Tracked pre- and post-CBL
knowledge test scores showed a 32% (from 42% to 74%) increase in scores after the
CBL experience. Conclusion and Relevance: CBL in dermatology medical education
was well received by students and tutors, with high scores in content evaluation
and knowledge assessment. Future studies should examine optimal delivery methods
and its long-term effects on knowledge retention.
PMID- 29357687
TI - In-stent restenosis: surgical and histopathological perspective.
AB - Background In-stent restenosis has been recognized as a distinct clinical entity
that warrants a repeat procedure either in the form of percutaneous
reintervention or coronary artery bypass grafting. Multivessel grafting with
endarterectomy and explantation of the stent is rarely performed, with few cases
reported in the literature. We aim to study the pathomorphology of the stent
vascular interface in coronary vessels undergoing in-stent restenosis. Methods
Over a period of 3 years, 3 patients who had undergone angioplasty for diffuse
coronary artery disease developed in-stent restenosis and were advised coronary
artery bypass. The mean age was 53 years, the average time from the previous
intervention was 77 months. Coronary endarterectomy with stent removal and
concomitant multivessel coronary artery bypass was performed. Results Histology
showed significant proliferation of the well-endothelialized intima as the reason
for in-stent restenosis. There were no signs of local thrombus formation or
increased inflammatory activity in any of the specimens. After coronary artery
bypass, all patients were asymptomatic at a mean follow-up of 32 months.
Conclusion Coronary endarterectomy with stent explantation and multivessel
coronary artery bypass is a procedure that requires attention because the need is
increasing due to the rise in the number of angioplasties. The complexity of this
procedure increases to the extent that the adventitia is involved during stent
explantation.
PMID- 29357688
TI - Congenital mitral regurgitation with Down syndrome.
AB - A 19-year-old man with Down syndrome had congenital mitral regurgitation. He had
slight mental retardation and difficulty in performing independent oral drug
administration. We planned mitral valve plasty to avoid postoperative
anticoagulant therapy. The mitral valve lesions were complicated. A prolapsed
anterior mitral leaflet, shortened posterior leaflet, abnormal cleft, abnormal
papillary muscle, and enlarged annulus were observed. Successful mitral valve
plasty was performed using several techniques. The postoperative course was
uneventful, and no significant mitral regurgitation was found.
PMID- 29357690
TI - Corrigendum.
PMID- 29357689
TI - A qualitative exploration of the experiences of adoptive parents attending
'Nurturing Attachments', a dyadic developmental psychotherapy informed group.
AB - Children who are adopted have frequently experienced a range of aversive
experience which impact on their ability to form secure attachments. Dyadic
Developmental Psychotherapy (DDP) is an intervention designed to develop and
promote secure attachments with children who have experienced developmental
trauma. Eight adoptive parents participated in semi-structured interviews
regarding their experiences of attending the 'Nurturing Attachment' group
informed by DDP. The transcripts of their interviews were explored using
Interpretative Phenomenological Analysis. The analysis revealed five inter
connected superordinate themes which were as follows: A supportive group, A shift
in perspective, 'Turning trauma into secure attachment', 'Am I doing it right?'
and Continuing the adoption journey. Themes are considered in relation to the
theoretical underpinnings and practice of DDP. Some further implications for
clinical and research practice are also discussed.
PMID- 29357691
TI - Micro- and nano-capsulated fungal pectinase with outstanding capabilities of
eliminating turbidity in freshly produced juice.
AB - The present study aimed to compare the pectinase forms produced from Trichoderma
viride-free, micro-capsule, and nano-capsule-in sodium alginate to analyze the
pectin that causes the turbidity of orange juice. This was performed along with
an estimation of viscosity, residual of pectin, and turbidity. The extracted and
purified enzyme was 24.35-fold better than that of the crude enzyme. After
application of free one, it loses most of the activity on low degrees of acidity
and remains constant on the temperatures of pasteurization. Therefore, the tested
enzyme was encapsulated by two different ways using the same polymer. The
morphology of the three pectinase forms was obtained by transmission electron
microscopy, and the micrographs clearly showed the pores on the surface of sodium
alginate matrix after encapsulation. The size of the wall (sodium alginate)
ranged from 3.24 to 3.76 um diameter but was 3.15 um for core of enzyme. Micro
capsuled and nano-capsuled pectinase can be used in the hydrolysis of pectic
substances in orange juice with natural ways and maintaining the quality of final
product. Consequently, the cost of juice clarifying can be reduced due to reusing
the enzyme several times.
PMID- 29357692
TI - Effect of application of edible coating and packaging on the quality of pansies (
Viola * wittrockiana) of different colors and sizes.
AB - The effects of alginate edible coating on the quality of pansies (visual
appearance, weight loss, water activity, color, and dimensions change) were
studied during refrigerated storage (4 C). The role of pansies' color and size,
as well as packaging, was also studied. Alginate treatments resulted in a
beneficial effect on the visual appearance of pansies under refrigerated storage
when compared to the uncoated, delaying their degradation from 3-4 days up to 14
days, depending on the pansies' color, probably derived from their different
petal thicknesses (83 to 183 um for yellow and red pansies). The unpackaged
coated pansies had different behaviors during storage, associated with their
dimensions (the larger coated pansies maintained good visual appearance during
longer storage times than the smaller ones). However, the packaged pansies
treated with edible coating showed to have lower weight loss and shrinkage than
the unpackaged, irrespective of the color and size, with physical stability up to
14 days. In summary, the cumulative use of alginate coating with packaging under
refrigerated storage may contribute to extend pansies' shelf life.
PMID- 29357695
TI - The Art of Health Promotion: Linking research to practice.
PMID- 29357693
TI - Parental Characteristics and Reasons Associated With Purchasing Kids' Meals for
Their Children.
AB - PURPOSE: Characteristics of parents who purchased kids' meals, reasons for the
purchase, and desire for healthy options were examined. DESIGN: Quantitative,
cross-sectional study. SETTING: National. PARTICIPANTS: The SummerStyles survey
data of 1147 parents (>=18 years). MEASURES: Self-reported outcome variables were
purchase of kids' meals (yes/no), reasons for the purchase (13 choices), and
desire for healthy options (yes/no). ANALYSIS: We used multivariable logistic
regression to estimate odds ratios (ORs) for purchasing kids' meals based on
parental sociodemographic and behavioral characteristics. RESULTS: Over half
(51%) of parents reported purchasing kids' meals in the past month. The adjusted
OR of purchasing kids' meals were significantly higher among younger parents (OR
= 3.44 vs >=50 years) and among parents who consumed sugar-sweetened beverages
(SSBs) daily (OR = 2.70 vs none). No differences were found for race/ethnicity,
income, and education. Parents who purchased kids' meals reported that the top 3
reasons for purchase were (1) because their children asked for kids' meals, (2)
habit, and (3) offering of healthier sides such as fruits or fruit cups. Thirty
seven percent of parents who did not purchase kids' meals expressed willingness
to purchase kids' meals if healthy options were available; this willingness was
highest among younger parents (47%; P < .05). CONCLUSIONS: Kids' meal purchases
were somewhat common. Our findings on characteristics of parents who frequently
bought kids' meals (ie, younger parents and SSB consumers), common reasons for
purchasing kids' meals, and willingness to buy healthier kids' meal can be used
to inform intervention efforts to improve quality of kids' meals.
PMID- 29357696
TI - The Parity in Health Promotion Issue.
AB - Health promotion is complex even when issues of justice and equity are not
considered. The dynamic influences of culture, environments, education and
upbringing all collude to make promoting fitness, happiness or healthy eating as
exciting and unpredictable as a Mars mission. Add the goal of equivalence of
benefit for all to this mix, and the launch pad is ever more volatile. To solve
for parity we will need to struggle between two of the most time-honored of moral
principles. Consequentialism holds that, as Star Trek's Dr. Spock said with his
dying breath in his final episode, "the needs of the many are more important than
the needs of a few." Categorical reasoning is a belief system where some things
are morally righteous no matter the context. Choosing the right principles will
require that we better leverage "citizen scientists" because one of the surest
ways to satisfy a community's context is to recruit community partners.
PMID- 29357697
TI - Living with a disability: a qualitative study of associations between social
relations, social participation and quality of life.
AB - PURPOSE: We explored which shared aspects of social relations were considered
important to the quality of life of persons between the ages of 10 and 40 years
living with a disability. We examined how social relations were experienced as
affecting quality of life and social participation. MATERIALS AND METHODS:
Fifteen focus groups involving 48 persons with disabilities were conducted using
photo elicitation, preference ranking and props. Focus group interviews were
supplemented with seven individual interviews with individuals unable to
participate in focus groups. All focus group interviews and individual interviews
were audiotaped, transcribed, and thematic data analysis was conducted. RESULTS:
We identified caregiving, dependency, and understanding as essential for quality
of life. Acceptance from society, discrimination and prejudice, and the ability
to participate in society were also highlighted as affecting quality of life. The
use of social tactics to avoid confrontation with certain aspects of their
disability was common among participants. CONCLUSIONS: Across disabilities,
caregiving, dependency, understanding and acceptance, and discrimination and
prejudice were all important aspects for the quality of life of the individuals.
Social relations were closely related to social participation, and the latter
affected the quality of life of the participants. Social tactics were used to
navigate social relations. Implications for rehabilitation We suggest to
formalize the concept of social tactics and use it in patient education to
enhance quality of life in individuals living with disabilities. People may
accept and learn to cope with the impact of their disability, but how they
maintain their social participation and social relations also impact on their
quality of life. In their assessment, professionals working with individuals with
disabilities should, therefore, give more priority to analyze the impact of
social relations. When intervening, an effort to establish and maintain social
relations should be considered along with psychological help, allocation of aids
and economical support aiming to enhance quality of life and social participation
among individuals with disabilities. When evaluating efforts to improve quality
of life, it is important to investigate whether the intervention has improved the
social relations.
PMID- 29357698
TI - Chronotype is associated with psychological well-being depending on the
composition of the study sample.
AB - Past studies examining the effect of chronotype and social jetlag on
psychological well-being have been inconsistent so far. Here, we recruited
participants from the general population and enquired about their natural
sleeping behavior, sleep quality, depressive symptoms, and perceived stress.
Partial correlations were computed between sleep variables and indicators of
psychological well-being, controlling for age and sex. Less sleep during work
days was found a good indicator for impairments in psychological well-being. In
exploratory follow-up analyses, the same correlations were calculated within
groups of early, intermediate, and late chronotype. We observed that the
composition of the sample in terms of chronotype influenced whether associations
between sleep variables and psychological well-being could be observed, a finding
that is advised to be taken into account in future studies.
PMID- 29357700
TI - YKL-40 in patients with end-stage renal disease receiving haemodialysis.
AB - PURPOSE: This study aimed to determine serum YKL-40 in patients with end-stage
renal disease (ESRD) on haemodialysis (HD) and to evaluate the prognostic value
of serum YKL-40. METHODS: Patients >18 years on maintenance HD were included.
Serum YKL-40 was measured using ELISA before and after a single HD treatment.
RESULTS: A total of 306 patients were included. Median serum YKL-40 concentration
was 238 ugL-1 (IQR: 193-291 ugL-1) before HD treatment and 198 ugL-1 (IQR: 147
258 ugL-1) after HD treatment, which corresponded to age-corrected 93th
percentile in healthy subjects. All-cause mortality after 2.8 years was 35.9%.
Patients with serum YKL-40 in the highest quartile compared with the lowest
quartile had a univariate HR of 4.0 (95% CI: 2.2-7.3, p < 0.001) for all-cause
mortality which decreased to 2.4 (95% CI: 1.1-4.5, p = 0.01) in multivariate
analysis. Time-dependent receiver operating characteristic curves showed that
serum YKL-40 after HD treatment had significant higher area under the curves from
90 d (p = 0.004) and throughout the rest of the follow-up period when compared to
serum YKL-40 before HD treatment. CONCLUSION: YKL-40 was highly elevated in
patients with ESRD on HD, and dialysis reduced serum YKL-40 concentrations
approximately one-sixth. YKL-40 measured after dialysis was independently
associated with mortality in HD patients.
PMID- 29357701
TI - Comparison of Exposure in the Kaplan Versus the Kocher Approach in the Treatment
of Radial Head Fractures.
AB - BACKGROUND: The aim of this study was to compare the complete visible surface
area of the radial head, neck, and coronoid in the Kaplan and Kocher approaches
to the lateral elbow. The hypothesis was that the Kaplan approach would afford
greater visibility due to the differential anatomy of the intermuscular planes.
METHODS: Ten cadavers were dissected with the Kaplan and Kocher approaches, and
the visible surface area was measured in situ using a 3-dimensional digitizer.
Six measurements were taken for each approach by 2 surgeons, and the mean of
these measurements were analyzed. RESULTS: The mean surface area visible with the
lateral collateral ligament (LCL) preserved in the Kaplan approach was 616.6 mm2
in comparison with the surface area of 136.2 mm2 visible in the Kocher approach
when the LCL was preserved. Using a 2-way analysis of variance, the difference
between these 2 approaches was statistically significant. When the LCL complex
was incised in the Kocher approach, the average visible surface area of the
Kocher approach was 456.1 mm2 and was statistically less than the Kaplan
approach. The average surface area of the coronoid visible using a proximally
extended Kaplan approach was 197.8 mm2. CONCLUSIONS: The Kaplan approach affords
significantly greater visible surface area of the proximal radius than the Kocher
approach.
PMID- 29357699
TI - Psychopathological dimensions in subjects with hereditary ATTR V30M amyloidosis
and their relation with life events due to the disease.
AB - BACKGROUND: Chronic physical illness has been associated with emotional distress.
Chronic diseases may change usual family patterns with economic, social and
family losses. Hereditary ATTR V30M amyloidosis is a rare, fatal inherited
systemic amyloidosis, with chronic evolution and beginning in adulthood. AIMS AND
METHODS: To evaluate psychopathological dimensions and how they correlated with
disease-related life events, 209 symptomatic and asymptomatic carriers,
participated in the study. Sociodemographic and Family and Personal History
Disease questionnaires and brief symptom inventory (BSI) were applied. RESULTS:
BSI indices, global severity index (GSI), positive symptom index (PSI) and
positive symptom total (PST) scored higher than general population. Independent
predictors for GSI >0.83 were female sex (OR = 3.46, p = .005) and being
symptomatic carriers (OR = 3.03, p = .039). Independent predictors of a PST
>26.99 were female sex (OR = 3.74, p = .012) symptomatic carrier (OR = 5.32, p =
.025), age between 15 and 24 years at affected parent's death (OR = 5.26, p =
.04). Independent predictors of a PSI >1.56 were being asymptomatic carrier (OR =
6.3, p = .036); to have children (OR = 3.19, p = .043) and have <=14 years at
parent's disease onset (OR = 6.39, p = .05). CONCLUSIONS: Results point to an
important vulnerability of this population for psychological distress and
psychiatric disease. Early life events related to disease, being sick and sex are
associated with psychopathological distress.
PMID- 29357702
TI - The Vascularity and Osteogenesis of a Vascularized Flap for the Treatment of
Scaphoid Nonunion: The Pedicle Volar Distal Radial Periosteal Flap.
AB - BACKGROUND: Vascularized periosteal flaps from the distal radius have been
previously proposed. The purpose of this study was to investigate the vascularity
and osteogenic potential of a vascularized volar distal radial periosteal flap
for the treatment of scaphoid nonunion. METHODS: In 5 fresh frozen cadavers, a
rectangular periosteal flap was elevated from the distal radius with the pedicle
just proximal to the watershed line. Latex dye was injected into the radial
artery proximally and the vascularity of the flap characterized by microscopic
evaluation. Patients with scaphoid nonunion were then treated with open
reduction, internal fixation, and distal radius cancellous bone graft. Two groups
of patients with midwaist nonunion scaphoid were then evaluated. The first group
received the vascularized periosteal flap and the second group received a
nonvascularized periosteal flap. A third group of proximal pole nonunions also
received the vascularized flap. RESULTS: Cadaveric dissections revealed that all
of the injected flaps demonstrated vascularity to the distal edge of the flap.
Vascularized flaps formed visible bone on imaging in 55% of cases. None of the
nonvascularized flaps formed visible bone. In group 1, 12/12 midwaist nonunions
united. In group 2, union was achieved in 6/6 of patients who completed the
follow-up. In group 3, 6/7 proximal pole fractures united. CONCLUSIONS:
Previously proposed vascularized periosteal flaps from the distal radius appear
to possess notable osteogenic potential that may be of interest to surgeons
treating scaphoid nonunion.
PMID- 29357703
TI - Progress in bipolar disorder drug design toward the development of novel
therapeutic targets: a clinician's perspective.
AB - INTRODUCTION: Bipolar disorder (BD) is a considerable burden to the affected
individual. The need for novel drug targets and improved drug design (DD) in BD
is therefore clear. Areas covered: The following article provides a brief,
narrative, clinician-oriented overview of the most promising novel
pharmacological targets for BD along with a concise overview regarding the
general DD process and the unmet needs relevant to BD. Expert opinion: A number
of novel potential drug targets have been investigated. With the notable
exception of the kynurenine pathway, available evidence is too scarce to
highlight a definitive roadmap for forthcoming DD in BD. BD itself may present
with different facets, as it is a polymorphic clinical spectrum. Therefore,
promoting clinical-case stratification should be based on precision medicine,
rather than on novel biological targets. Furthermore, the full release of raw
study data to the scientific community and the development of uniform clinical
trial standards (including more realistic outcomes) should be promoted to
facilitate the DD process in BD.
PMID- 29357704
TI - Correction to: Rakugi et al., A phase III, open-label, multicenter study to
evaluate the safety and efficacy of long-term triple combination therapy with
azilsartan, amlodipine, and hydrochlorothiazide in patients with essential
hypertension.
PMID- 29357705
TI - A new bis-gamma-pyrone polypropionate from a marine pulmonate mollusc Onchidium
struma.
AB - A new bis-gamma-pyrone polypropionate compound onchidione II (1), together with
three known compounds, was isolated from a marine pulmonate mollusc Onchidium
struma, collected at Hainan Island of China. The structure of new compound was
determined by extensive spectroscopic analyses including IR, 1D and 2D NMR
techniques, and chemical methods. Compounds 1-4 were evaluated for their
cytotoxicity against human tumor cell lines HepG-2, A549, and MCF-2. The results
showed that compounds 1 and 2 were moderate cytotoxic against HepG-2, A549, and
MCF-2 cell lines, with IC50 values from 13.2 to 22.4 MUM.
PMID- 29357706
TI - Cognitive, emotional, and behavioral considerations for chronic pain management
in the Ehlers-Danlos syndrome hypermobility-type: a narrative review.
AB - BACKGROUND: Ehlers-Danlos syndrome (EDS) hypermobility-type is the most common
hereditary disorder of the connective tissue. The tissue fragility characteristic
of this condition leads to multi-systemic symptoms in which pain, often severe,
chronic, and disabling, is the most experienced. Clinical observations suggest
that the complex patient with EDS hypermobility-type is refractory toward several
biomedical and physical approaches. In this context and in accordance with the
contemporary conceptualization of pain (biopsychosocial perspective), the
identification of psychological aspects involved in the pain experience can be
useful to improve interventions for this under-recognized pathology. PURPOSE:
Review of the literature on joint hypermobility and EDS hypermobility-type
concerning psychological factors linked to pain chronicity and disability.
METHODS: A comprehensive search was performed using scientific online databases
and references lists, encompassing publications reporting quantitative and
qualitative research as well as unpublished literature. RESULTS: Despite scarce
research, psychological factors associated with EDS hypermobility-type that
potentially affect pain chronicity and disability were identified. These are
cognitive problems and attention to body sensations, negative emotions, and
unhealthy patterns of activity (hypo/hyperactivity). CONCLUSIONS: As in other
chronic pain conditions, these aspects should be more explored in EDS
hypermobility-type, and integrated into chronic pain prevention and management
programs. Implications for Rehabilitation Clinicians should be aware that joint
hypermobility may be associated with other health problems, and in its presence
suspect a heritable disorder of connective tissue such as the Ehlers-Danlos
syndrome (EDS) hypermobility-type, in which chronic pain is one of the most
frequent and invalidating symptoms. It is necessary to explore the psychosocial
functioning of patients as part of the overall chronic pain management in the EDS
hypermobility-type, especially when they do not respond to biomedical approaches
as psychological factors may be operating against rehabilitation. Further
research on the psychological factors linked to pain chronicity and disability in
the EDS hypermobility-type is needed.
PMID- 29357707
TI - Use of polymers for taste-masking pediatric drug products.
AB - Many drugs are bitter and overcoming this bitter taste is a major barrier in
developing a successful product, especially for pediatric patients. Approaches to
mask taste include changing taste perception, creating a physical barrier to
separate the drug from interacting with taste buds, and changing drug solubility.
This review is focused on polymers and the different ways these materials are
used to achieve taste masking. Attention is given to systems that are easily
swallowed, as swallowability is another concern in developing palatable products
for pediatrics. Variables that should be considered when selecting a taste
masking approach are also presented.
PMID- 29357708
TI - Impact of systolic pulmonary artery pressure on all-cause mortality in elderly
cardiac patients.
AB - OBJECTIVE: Elevated systolic pulmonary artery pressure (sPAP) is common among
elderly patients with cardiac and pulmonary diseases. The lowest level of sPAP
associated with increased mortality rate in octogenarians with cardiac diseases
is however not sufficiently studied. Therefore, the present study aimed to
identify the lowest level of sPAP associated with increased 5-year all-cause
mortality in this patient group. DESIGN: Of 538 octogenarians presented at the
three Sahlgrenska University Hospitals (Sahlgrenska, Ostra and Molndal) with
either congestive heart failure (CHF) or acute coronary syndrome (ACS), only 302
patients who had undergone echocardiography with data on sPAP were included in
the present study. In order to identify the lowest level of sPAP associated with
increased mortality rate, Cox proportional-hazard regression multivariable models
were built for sPAP levels as low as 30 mmHg and upward with 5 mmHg intervals.
RESULTS: sPAP >35 mmHg was identified as the lowest level associated with
increased 5-year all-cause mortality (HR = 1.7, 95% of CI = 1.1-2.6 and p =
.013). Every increase of 5 mmHg in sPAP was associated with a 10% increased
relative risk for all-cause mortality. CONCLUSIONS: In octogenarians with cardiac
diseases the lowest level of sPAP associated with increased all-cause mortality
was >35 mmHg and the mortality rate increased with increasing sPAP.
PMID- 29357709
TI - Blood-Brain Barrier Disruption, Sodium Fluorescein, And Fluorescence-Guided
Surgery Of Gliomas.
AB - PURPOSE: Sodium fluorescein (SF) is an ideal dye for intraoperative guided
resection of high-grade gliomas (HGGs). However, it is not well understood
whether the SF-guided technique is suitable for different grades of gliomas, and
the correlation between fluorescence and pathology is also not yet clear.
MATERIALS AND METHODS: In this study, we investigated 28 patients, including 23
patients with HGG and 5 patients with low-grade glioma (LGG). All patients were
treated using the SF-guided technique on a Pentero 900 microscope (Carl Zeiss,
Oberkochen, Germany). Claudin-5 immunohistochemical (IHC) staining for the
tumours and peritumour tissues was analyzed. RESULTS: Intraoperative yellow
fluorescence was noted in all the HGGs but not in the LGGs. Claudin-5 expression
in the blood brain barrier endothelial cells was downregulated and disconnected
in the HGGs (p < 0.05), but had no difference or slightly decreased in the LGGs
(p > 0.05). CONCLUSIONS: The SF-guided technique is suitable for HGG surgery but
not for LGG surgery. Downregulation of claudin-5 expression may contribute to the
presence of yellow fluorescence in the glioma in SF-guided surgery.
PMID- 29357710
TI - Lasting improvements in left spatial neglect following a protocol combining neck
muscle vibration and voluntary arm movements: a case-study.
AB - PURPOSE: Beyond promising experimental results of sensory passive stimulations in
spatial cognition disorders, some questions still remain regarding interests of
these stimulations during the daily activities in neglect. The aim of this case
study was to evaluate the effects of a protocol combining left neck-muscle
vibration with daily simple movements, like arm pointing movements, on perceptivo
locomotor deficits in a left spatial neglect patient. MATERIALS AND METHODS: Two
neuropsychological tests, one subjective straight-ahead pointing (SSA) test and
one wheelchair navigation test were carried out before the combination protocol,
immediately after, 1 h later, and 24 h later. RESULTS: The results showed a
reduction of neglect spatial bias following the protocol lasted at least 24 h in
all the tests (except for the SSA test due to the unavailability of the pointing
device). CONCLUSIONS: The range of improvements in the symptoms of spatial
neglect suggests that this therapeutic intervention based on the combining neck
muscle vibration to voluntary arm movements could be a useful treatment for this
condition. One of future investigation axes should be the development of a
vibratory tool in order to facilitate the combining this proprioceptive
stimulation to daily activities. Implications for rehabilitation Spatial neglect
is a perplexing neuropsychological syndrome, affecting different domains of
spatial cognition and impacting also the functional domain. The treatments based
on neck-muscle vibration are simple to use, non-invasive and requires none active
participation of patient. A therapeutic intervention based on the combining left
neck-muscle vibration and voluntary arm movements in a left-spatial-neglect show
a lasting reduction of symptoms especially in daily activities. The combination
of treatments based on the Bottom-Up approach opens innovative perspectives in
rehabilitation.
PMID- 29357711
TI - lncRNA profiling in NCI-H292 cells after stimulation with Dermatophagoides
farinae extracts.
AB - Airway epithelium cells are the first line of defense against airborne allergens.
When cultured, epithelial cells can be exposed to various allergens, providing an
ideal model to investigate allergic disorders. This study sought to characterize
the profile of long noncoding (lnc) RNAs, which can regulate gene expression and
exert functions in diverse cellular processes, in airway epithelial cells exposed
to house dust mite allergens. NCI-H292 cells were exposed to house dust mite
extract for 24 h. RNA expression was profiled in exposed and unexposed cells.
There were 270 lncRNAs that were differentially expressed (fold change >= 2, P <
0.05) in NCI-H292 cells after stimulation with Dermatophagoides farinae (house
dust mite) extracts. Furthermore, 119 lncRNAs and 22 messenger RNAs were co
expressed. Gene Ontology analysis showed that these under-regulated and up
regulated lncRNAs were associated with biological process, cellular component,
and molecular function. After bioinformatic analysis of significantly regulated
signaling pathways, we found these lncRNAs may target 16 gene pathways, including
glycolysis, axon guidance, ErbB signaling, and mitogen-activated protein kinases
(MAPK) signaling. The identification of differentially regulated lncRNAs in NCI
H292 cells after stimulation with Dermatophagoides farinae extracts, as well as
their target gene pathways, can provide insight to the etiology and pathogenesis
of allergy.
PMID- 29357712
TI - Recent Progress on Genetic Diagnosis and Therapy for beta-Thalassemia in China
and Around the World.
AB - Thalassemia is a recessive monogenic hematological disease associated with
reduced amounts of functional hemoglobin caused by mutations/deletions in at
least one of the globin genes. This disease has attracted significant attention
throughout the years in terms of genetic diagnosis and developments in gene and
cell therapy. Here, recent progress is reviewed in the genetic diagnosis and
development of therapeutics for thalassemia, particularly beta-thalassemia, in
China and around the world.
PMID- 29357713
TI - The budget impact of brodalumab for the treatment of moderate-to-severe plaque
psoriasis on US commercial health plans.
AB - INTRODUCTION: Brodalumab is a new biologic approved by the US Food and Drug
Administration in 2017 for the treatment of moderate-severe psoriasis. This study
evaluated the impact of the introduction of brodalumab on the pharmacy budget on
US commercial health plans. METHODS: An Excel-based health economic decision
analytic model with a US health plan perspective was developed. The model
incorporated published moderate-to-severe psoriasis prevalence data; market
shares of common biologic drugs, including adalimumab, ustekinumab, secukinumab,
ixekizumab, and etanercept, used for the treatment of moderate-severe psoriasis;
2017-year Wholesale Acquisition Costs for the biologic drugs; drug dispensing
fee; patient co-pay; and drug contracting discount. Total annual health plan
costs for the biologic drugs were estimated. Scenarios with different proportions
of patients treated with brodalumab were compared to a control scenario when no
brodalumab was used. RESULTS: In a hypothetical commercial health plan covering
two million members, 7,038 moderate-to-severe psoriasis patients were estimated
to be eligible for treatment with brodalumab. Prior to brodalumab approval, the
proportions of patients treated by other biologics were estimated at 50.8% for
adalimumab, 13.5% for ustekinumab, 14.1% for secukinumab, 4.4% for ixekizumab,
and 17.2% for etanercept. With a 20% drug price discount applied to all
biologics, the annual health plan costs for brodalumab, adalimumab, ustekinumab,
secukinumab, ixekizumab, and etanercept were estimated at $37,224, $49,166,
$55,084, $56,061, $64,396, and $57,170, respectively. When no brodalumab is used,
the total annual pharmacy budget for the biologics used among these patients was
estimated at $414,362,647. Among scenarios where the proportions of brodalumab
usage were 3%, 8%, 16%, and 30%, the total annual pharmacy cost was estimated to
be reduced by $3,698,129, $9,861,677, $19,723,355, and $36,981,290, respectively.
CONCLUSION: Based on the economic model, brodalumab has the potential to
substantially reduce pharmacy expenditures for the treatment of patients with
moderate-to-severe plaque psoriasis in the US.
PMID- 29357714
TI - Risks and benefits of medications for panic disorder: a comparison of SSRIs and
benzodiazepines.
AB - INTRODUCTION: Panic disorder (PD) is a prevalent and disabling anxiety disorder
that can be treated effectively. Selective serotonin reuptake inhibitors (SSRIs)
and benzodiazepines are among the most frequently prescribed drugs for PD. In
this article, the authors review the current evidence on efficacy, adverse
events, and limitations of these two treatment options. Areas covered:
MEDLINE/Pubmed and Web of Science databases were searched for open or placebo
controlled trials on SSRIs and/or benzodiazepines in PD treatment. Expert
opinion: The literature search yielded 4,957 articles related to the theme. Of
these, 24 articles were included in this review. Despite their usefulness in PD,
SSRIs are associated with a delay of several weeks in onset of therapeutic effect
and have the potential to exacerbate anxiety and panic early in the treatment
course. Benzodiazepines present rapid onset of action, but can cause tolerance
and dependence. Despite strong evidence of the effectiveness of SSRIs and
benzodiazepines in the treatment of PD, few trials have performed head-to-head
comparisons of these two drug classes. Future studies on the pharmacological
treatment of PD should make direct comparisons of risks, benefits, and
limitations of each group. This could help improve the evidence-based
pharmacotherapy of PD.
PMID- 29357715
TI - Economic burden of varicella in children 1-12 years of age in Argentina, 2009
2014.
AB - BACKGROUND: In Argentina, varicella vaccination was included in the national
schedule for mandatory immunizations in 2015. The vaccine has been shown to
substantially reduce the morbidity and mortality associated with the virus. The
purpose of this study was to evaluate the clinical and economic burden associated
with varicella in Argentina prior to vaccine introduction. METHODS: This was a
multi-center, retrospective chart review study among patients aged 1-12 years
with a primary varicella diagnosis in 2009-2014 in Argentina. Healthcare resource
utilization (HCRU) associated with varicella and its complications, unit costs,
and work loss were used to estimate direct and indirect costs. All costs are
presented in 2015 United States dollars (USD). RESULTS: One hundred and fifty
children with varicella were included (75 outpatients, 75 inpatients), with a
mean age of 3.8 (SD = 2.4) and 2.9 (SD = 2.2) years, respectively. One or more
complications were experienced by 28.0% of outpatients and 98.7% of inpatients,
the most common being skin and soft tissue infections, pneumonia, sepsis,
cerebellitis, and febrile seizure. HCRU estimates included use of over-the
counter (OTC) medications (58.7% outpatients, 94.7% inpatients), prescription
medications (26.7% outpatients, 77.3% inpatients), tests/procedures (13.3%
outpatients, 70.7% inpatients), and consultation with allied health professionals
(1.3% outpatients, 32.0% inpatients). The average duration of hospital stay was
4.9 (95% CI = 4.2-5.7) days, and the average duration of ICU stay was 4.8 (95% CI
= 1.6-14.1) days. The total combined direct and indirect cost per varicella case
was 2947.7 USD (inpatients) and 322.7 USD (outpatients). The overall annual cost
of varicella in Argentina for children aged <=14 years in 2015 was estimated at
40,054,378.0 USD. CONCLUSION: The clinical burden of varicella in Argentina was
associated with utilization of significant amounts of healthcare resources,
resulting in substantial economic costs. These costs should be reduced with the
recent implementation of routine vaccination of children.
PMID- 29357716
TI - The design briefing process matters: a case study on telehealthcare device
providers in the UK.
AB - PURPOSE: The telehealthcare sector has been expanding steadily in the UK.
However, confusing, complex and unwieldy designs of telehealthcare devices are at
best, less effective than they could be, at worst, they are potentially dangerous
to the users. METHOD: This study investigated the factors within the new product
development process that hindered satisfactory product design outcomes, through
working collaboratively with a leading provider based in the UK. RESULTS: This
study identified that there are too many costly late-stage design changes; a
critical and persistent problem area ripe for improvement. The findings from
analyzing 30 recent devices, interviewing key stakeholders and observing on-going
projects further revealed that one major cause of the issue was poor practice in
defining and communicating the product design criteria and requirements.
CONCLUSIONS: Addressing the characteristics of the telehealthcare industry, such
as multiple design commissioners and frequent deployment of design subcontracts,
this paper argues that undertaking a robust process of creating the product
design brief is the key to improving the outcomes of telehealthcare device
design, particularly for the small and medium-sized enterprises dominating the
sector. Implications for rehabilitation Product design criteria and requirements
are frequently ill-defined and ineffectively communicated to the designers within
the processes of developing new telehealthcare devices. The absence of a (robust)
process of creating the design brief is the root cause of the identified issues
in defining and communicating the design task. Deploying a formal process of
creating the product design brief is particularly important for the
telehealthcare sector.
PMID- 29357717
TI - Nodule size as predictive factor of efficacy of radiofrequency ablation in
treating autonomously functioning thyroid nodules.
AB - CONTEXT: No defined pre-treatment factors are able to predict the response to
radiofrequency ablation (RFA) of an autonomously functioning thyroid nodule
(AFTN). OBJECTIVE: Primary endpoint was to evaluate the success rate of RFA to
restore euthyroidism in a cohort of adult patients with small solitary AFTN
compared with medium-sized nodules. Secondary endpoints included nodule volume
reduction and rate of conversion from hot nodules to cold using scintiscan.
METHODS: This was a 24-month prospective monocentric open parallel-group trial.
Twenty-nine patients with AFTN were divided into two groups based on thyroid
volume: 15 patients with small nodules (<12 mL) in group A and 14 patients with
medium nodules (>12 mL) in group B. All patients underwent a single session of
RFA and were clinically, biochemically, and morphologically evaluated at baseline
and at 1, 6, 12 and 24 months after treatment. RESULTS: After RFA, there was
greater nodule volume reduction in group A compared with group B (p < 0.001 for
each follow-up point). In group A, there was a greater increase in TSH levels
than in group B at 6 (p = 0.01), 12 (p = 0.005), and 24 months (p < 0.001). At 24
months, the rate of responders was greater in group A than in group B (86 vs.
45%; p < 0.001). In group A, 86% of nodules converted from hot to cold compared
with 18% in group B (p < 0.001). CONCLUSIONS: A single session of RFA was
effective in restoring euthyroidism in patients with small AFTNs. Nodule volume
seems to be a significant predictive factor of the efficacy of RFA in treating
AFTN.
PMID- 29357718
TI - The cost-effectiveness of dulaglutide versus insulin glargine for the treatment
of type 2 diabetes mellitus in Japan.
AB - AIMS: Dulaglutide is a new once weekly glucagon-like peptide-1 (GLP-1) receptor
agonist administered via a disposable auto-injection pen for the management of
type 2 diabetes mellitus (T2DM). The objective of this study was to estimate the
cost-effectiveness of dulaglutide vs insulin glargine for the management of T2DM
from a Japanese healthcare perspective, in accordance with recently approved
Japanese Cost-Effectiveness Guidelines. METHODS: The IQVIA CORE Diabetes Model
(version 9) was used to estimate the long-term costs and effects of treatment
with dulaglutide and insulin glargine. Direct comparative data from the Araki
2015 trial (NCT01584232) was used to inform the analysis. Costs associated with
treatment and complications were derived from Japanese sources wherever possible
and inflated to 2015 Japanese Yen (JPY). Utilities were based upon a European
systematic review of diabetes utilities and adjusted for use in a Japanese
population. One-way and probabilistic sensitivity analyses (OWSA and PSA) were
conducted on all inputs and key modeling assumptions. RESULTS: Dulaglutide 0.75
mg was associated with higher quality-adjusted life years (QALYs), life years
(LYs), and total costs, compared to insulin glargine, resulting in an incremental
cost-effectiveness ratio (ICER) of 416,280 JPY/QALY gained. Treatment with
dulaglutide increased the time alive and free from diabetes-related complications
by 4 months. OWSA and PSA indicated that results were robust to plausible
variations in input parameters and modeling assumptions. LIMITATIONS: Key
limitations of this study are similar to other cost-utility analyses of diabetes,
including the extrapolation of short-term clinical trial data into lifelong
durations. In addition, due to the lack of robust published Japanese data, some
values were derived from non-Japanese sources. CONCLUSIONS: This analysis
suggests that dulaglutide 0.75 mg may be a cost-effective treatment alternative
to insulin glargine for patients with T2DM in Japan.
PMID- 29357720
TI - Severity of asphyxia is a covariate of phenobarbital clearance in newborns
undergoing hypothermia.
AB - AIM: Phenobarbital (PB) pharmacokinetics (PK) in asphyxiated newborns show large
variability, not only explained by hypothermia (HT). We evaluated potential
relevant covariates of PK of PB in newborns treated with or without HT for
hypoxic-ischemic encephalopathy (HIE). METHODS: Clearance (CL), distribution
volume (Vd) and elimination half-life (t1/2) were calculated using one
compartment analysis. Covariates were clinical characteristics (weight,
gestational age, hepatic, renal, and circulatory status), comedication and HIE
severity [time to reach normal aEEG pattern (TnormaEEG), dichotomous, within 24
h] and asphyxia severity [severe aspyhxia = pH <=7.1 + Apgar score <=5 (5 min),
dichotomous]. Student's t-test, two-way ANOVA, correlation and Pearson's chi
square test were used. RESULTS: Forty newborns were included [14 non-HT; 26 HT
with TnormaEEG <24 h in 14/26 (group1-HT) and TnormaEEG >=24 h in 12/26 (group2
HT)]. Severe asphyxia was present in 26/40 [5/14 non-HT, 11/14 and 10/12 in both
HT groups]. PB-CL, Vd and t1/2 were similar between the non-HT and HT group.
However, within the HT group, PB-CL was significantly different between group1-HT
and group2-HT (p = .043). ANOVA showed that HT (p = .034) and severity of
asphyxia (p = .038) reduced PB-CL (-50%). CONCLUSION: The interaction of severity
of asphyxia and HT is associated with a clinical relevant reduced PB-CL,
suggesting the potential relevance of disease characteristics beyond HT itself.
PMID- 29357719
TI - Role of Risk of Bias in Systematic Review for Chemical Risk Assessment: A Case
Study in Understanding the Relationship Between Congenital Heart Defects and
Exposures to Trichloroethylene.
AB - The National Academy of Science has recommended that a risk of bias (RoB;
credibility of the link between exposure and outcome) assessment be conducted on
studies that are used as primary data sources for hazard identification and dose
response assessment. Few applications of such have been conducted. Using
trichloroethylene and congenital heart defects (CHDs) as a case study, we explore
the role of RoB in chemical risk assessment using the National Toxicology
Program's Office of Health Assessment and Translation RoB tool. Selected
questions were tailored to evaluation of CHD and then applied to 12 experimental
animal studies and 9 epidemiological studies. Results demonstrated that the
inconsistent findings of a single animal study were likely explained by the
limitations in study design assessed via RoB (eg, lack of concurrent controls,
unvalidated method for assessing outcome, unreliable statistical methods, etc).
Such limitations considered in the context of the body of evidence render the
study not sufficiently reliable for the development of toxicity reference values.
The case study highlights the utility of RoB as part of a robust risk assessment
process and specifically demonstrates the role RoB can play in objectively
selecting candidate data sets to develop toxicity values.
PMID- 29357721
TI - Capillary abnormalities observed by nailfold video-capillaroscopy in Japanese
patients with systemic sclerosis.
PMID- 29357722
TI - Improving Adherence to Atypical Antipsychotic Agent Screening Guidelines in
Pediatric Patients: A Quality Improvement Project Within an Integrated Community
Mental Health Setting.
AB - BACKGROUND: Approximately 14% to 20% of children and adolescents have a mental
health problem. Atypical antipsychotic agents are used to treat behavioral,
emotional, and mental health problems in children and adolescents. A discrepancy
between best practices and actual practices exists. OBJECTIVE: The purpose of
this quality improvement project was to increase adherence above baseline,
through implementation of a checklist, to recommended screening guidelines in
children, ages 4 to 18, prescribed atypical antipsychotic agents over 12 weeks.
Design/Results: Aggregate comparison of the mean ranks of scores were tested with
the Mann-Whitney U test, U = 1,087.5, n1 = n2 = 70, total N =140, p < .001.
Variables of body mass index, blood pressure, waist circumference, fasting
glucose, fasting lipids, personal history, and family history were observed and
tested using the chi-square with Fisher's exact tests and are significant at or
above 99% confidence level ( p < .01). CONCLUSION: Educating mental health
providers, child and adolescent psychiatrists, and psychiatric mental health
nurse practitioners on recommended screening guidelines and implementing a
checklist had a measurable effect on increasing adherence to the recommended
screening guidelines in a community mental health setting.
PMID- 29357723
TI - A Model of Aging Perception in Iranian Elders With Effects of Hope, Life
Satisfaction, and Socioeconomic Status: A Path Analysis.
AB - BACKGROUND: Aging perception plays a central role in the experience of healthy
aging by older people. Research identified that factors such as hope, life
satisfaction, and socioeconomic status influence the perception of aging in older
populations. OBJECTIVE: This study sought to test a hypothetical model to
quantitatively evaluate the relationship between hope, life satisfaction, and
socioeconomic status with aging perception. DESIGN: A cross-sectional design was
used with 504 older aged participants who live in Qazvin, Iran. Data were
collected using the Barker's Aging Perception Questionnaire, Life Satisfaction
Index-Z, and Herth Hope Index. RESULTS: The results of path analysis showed that
hope was the most important factor affecting aging perception. Results drawn from
correlation analysis indicated that there was a positive significant correlation
( r = .383, p < .001) between hope and aging perception. Further analysis found
that hope had the strongest impact on aging perception compared with the other
variables analyzed (e.g., life satisfaction and socioeconomic status).
CONCLUSIONS: A model of aging perception in Iranian elders is presented. The
findings suggested that hope had a significant and positive impact on aging
perception. Implications for clinical practice and research are discussed.
PMID- 29357725
TI - Enhanced gene delivery by polyethyleneimine coated mesoporous silica
nanoparticles.
AB - Due to large surface area, tunable pore size, easy surface manipulation, and low
toxicity mesoporous silica nanoparticles (MSNs) may act as a suitable vector for
gene delivery. In order to make MSNs as a suitable gene delivery system, we
modified the surface of phosphonated MSNs (PMSN) with polyethyleneimine (PEI) 10
and 25 KDa. Then nanoparticles were loaded with chloroquine (CQ) (a
lysosomotropic agent) and complexed with plasmid DNA. The transfection efficiency
and cytotoxicity of these nanoparticles was examined using green fluorescent
protein plasmid (pGFP) and cytotoxicity assay. All PEI coated nanoparticles
showed positive zeta potential and mean size was ranged between 170 and 215 nm
with polydispersity index bellow 0.35. PEI-coated MSNs significiantly enhanced
GFP gene expression in Neuro-2 A cells compared to PEI 10 and 25 KDa. The results
of the cytotoxicity assays showed that these nanoparticles have an acceptable
level of viability but CQ loaded nanoparticles showed higher cytotoxicity and
lower transfection activity than CQ free nanoparticles.
PMID- 29357726
TI - Metabolic characteristics of Tanshinone I in human liver microsomes and S9
subcellular fractions.
AB - Tanshinone I (TSI) is a lipophilic diterpene in Salvia miltiorrhiza with
versatile pharmacological activities. However, metabolic pathway of TSI in human
is unknown. In this study, we determined major metabolites of TSI using a
preparation of human liver microsomes (HLMs) by HPLC-UV and Q-Trap mass
spectrometer. A total of 6 metabolites were detected, which indicated the
presence of hydroxylation, reduction as well as glucuronidation. Selective
chemical inhibition and purified cytochrome P450 (CYP450) isoform screening
experiments revealed that CYP2A6 was primarily responsible for TSI Phase I
metabolism. Part of generated hydroxylated TSI was glucuronidated via several
glucuronosyltransferase (UGT) isoforms including UGT1A1, UGT1A3, UGT1A7, UGT1A9,
as well as extrahepatic expressed isoforms UGT1A8 and UGT1A10. TSI could be
reduced to a relatively unstable hydroquinone intermediate by NAD(P)H: quinone
oxidoreductase 1 (NQO1), and then immediately conjugated with glucuronic acid by
a panel of UGTs, especially UGT1A9, UGT1A1 and UGT1A8. Additionally, NQO1 could
also reduce hydroxylated TSI to a hydroquinone intermediate, which was
immediately glucuronidated by UGT1A1. The study demonstrated that hydroxylation,
reduction as well as glucuronidation were the major pathways for TSI
biotransformation, and six metabolites generated by CYPs, NQO1 and UGTs were
found in HLMs and S9 subcellular fractions.
PMID- 29357724
TI - Prospective analysis of glycemic variability in patients with severe traumatic
brain injury: modified Leuven's adjustment process versus conventional adjustment
process.
AB - Objective This study was performed to evaluate the effect of two different
methods of controlling glycemic variability (GV) in patients with severe
traumatic brain injury (STBI) undergoing surgery. Methods Patients with STBI were
randomly grouped into a conventional adjustment process (CAP) group and modified
Leuven's adjustment process (mLAP) group. Each group included 50 patients. Blood
glucose levels were continuously monitored and data were recorded and analyzed.
Results The mean blood glucose level was stable in both groups for 5 days
postoperatively with no significant difference. The standard deviation of the
blood glucose level, mean amplitude of glycemic excursions, and glycemic lability
index were significantly higher in the CAP than mLAP group for the first 2 days.
In the final 3 days, no significant differences were observed between the two
groups. The incidence of hypoglycemia was significantly higher in the CAP than
mLAP group on the first day. This value gradually declined during the following 4
days, but the difference between the two groups was not significant. Conclusion
The mLAP produced more favorable results than the CAP for GV control in the early
stage after surgery for STBI.
PMID- 29357727
TI - Amniotic fluid HIF1alpha and exosomal HIF1alpha in cervical insufficiency
patients with physical examination-indicated cerclage.
AB - OBJECTIVE: Hypoxia inducible factor 1alpha (HIF1alpha) has been reported to
activate inflammatory cascade. Recently, exosomes have been known to have pivotal
roles in intercellular communication. The aim of this study was to compare the
concentration of amniotic fluid (AF) HIF1alpha, exosomal HIF1alpha, and
inflammatory cytokines such as interleukin 1alpha (IL1alpha), interleukin 1beta
(IL1beta), interleukin 6 (IL6), and tumor necrosis factor alpha (TNFalpha)
between physical examination-indicated cerclage (PEIC) and control group. We also
investigated the associations between biomarkers and amniocentesis-to-delivery
interval and the correlations of inflammatory cytokines, HIF1alpha, and exosomal
HIF1alpha. METHODS: Case-control study was performed. Cases are defined as 16
patients who underwent PEIC and controls are 19 women who underwent amniocentesis
for confirming chromosomal abnormalities. The concentration of IL1alpha, IL1beta,
IL6, TNFalpha, HIF1alpha, and exosomal HIF1alpha were measured using enzyme
linked immunosorbent assay (ELISA). Exosomes were confirmed by tumor
susceptibility Gene 101 (TSG 101) and transmission electron microscopy (TEM).
RESULTS: The mean HIF1alpha in PEIC group was higher than control group (PEIC,
15.03 +/- 9.60-pg/mL versus control, 2.96 +/- 1.99 pg/mL; p < .01). There were
significant differences in inflammatory cytokines between two groups. A
significant difference in exosomal HIF1alpha was shown between two groups (PEIC,
27.97 +/- 28.61-ug/mL versus control, 12.42 +/- 8.20 ug/mL; p < .01). HIF1alpha,
IL1alpha, IL6, TNFalpha, and exosomal HIF1alpha showed significantly negative
association with cerclage-to-delivery interval. However, IL1beta was not
associated with cerclage-to-delivery interval. HIF1alpha was positively
correlated with exosomal HIF1alpha (rho = 0.93, p < .01). Both HIF1alpha and
exosomal HIF1alpha were significantly associated with TNFalpha (rho = 0.94, p <
.01; rho = 0.97, p < .01). Both HIF-1alpha and exosomal HIF1alpha had positive
correlation with IL1alpha (rho = 0.96, p < .01; rho = 0.91, p < .01). However,
IL1beta showed no correlations with HIF1alpha and exosomal HIF1alpha. A positive
correlation between HIF-1alpha and IL6 was observed (rho = 0.58, p = .01.)
Exosomal HIF1alpha also had correlation with IL6 (rho = 0.52, p = .03).
CONCLUSIONS: This study demonstrated that amniotic fluid (AF) HIF1alpha and AF
exosomal HIF1alpha were higher in physical examination-indicated cerclage (PEIC)
group than control group. AF HIF1alpha and AF exosomal HIF1alpha were associated
with shorter amniocentesis-to-delivery interval. More importantly, they had
positive correlations with AF inflammatory cytokines such as IL1alpha, IL6, and
TNFalpha. Our results may indicate that AF HIF1alpha and AF exosomes interact
with AF inflammatory cytokines and contribute inflammatory cascade in complicated
pregnancies.
PMID- 29357728
TI - Implementation of selective prevention for cardiometabolic diseases; are Dutch
general practices adequately prepared?
AB - OBJECTIVE: Current guidelines acknowledge the need for cardiometabolic disease
(CMD) prevention and recommend five-yearly screening of a targeted population. In
recent years programs for selective CMD-prevention have been developed, but
implementation is challenging. The question arises if general practices are
adequately prepared. Therefore, the aim of this study is to assess the
organizational preparedness of Dutch general practices and the facilitators and
barriers for performing CMD-prevention in practices currently implementing
selective CMD-prevention. DESIGN: Observational study. SETTING: Dutch primary
care. SUBJECTS: General practices. MAIN OUTCOME MEASURES: Organizational
characteristics. RESULTS: General practices implementing selective CMD-prevention
are more often organized as a group practice (49% vs. 19%, p = .000) and are
better organized regarding chronic disease management compared to reference
practices. They are motivated for performing CMD-prevention and can be considered
as 'frontrunners' of Dutch general practices with respect to their practice
organization. The most important reported barriers are a limited availability of
staff (59%) and inadequate funding (41%). CONCLUSIONS: The organizational
infrastructure of Dutch general practices is considered adequate for performing
most steps of selective CMD-prevention. Implementation of prevention programs
including easily accessible lifestyle interventions needs attention. All
stakeholders involved share the responsibility to realize structural funding for
programmed CMD-prevention. Aforementioned conditions should be taken into account
with respect to future implementation of selective CMD-prevention. Key Points
There is need for adequate CMD prevention. Little is known about the organization
of selective CMD prevention in general practices. * The organizational
infrastructure of Dutch general practices is adequate for performing most steps
of selective CMD prevention. * Implementation of selective CMD prevention
programs including easily accessible services for lifestyle support should be the
focus of attention. * Policy makers, health insurance companies and healthcare
professionals share the responsibility to realize structural funding for
selective CMD prevention.
PMID- 29357729
TI - Evaluation of Time Spent by Pharmacists and Nurses Based on the Location of
Pharmacist Involvement in Medication History Collection.
AB - BACKGROUND: Accurate history collection is integral to medication reconciliation.
Studies support pharmacy involvement in the process, but assessment of global
time spent is limited. The authors hypothesized the location of a medication
focused interview would impact time spent. METHODS: The objective was to compare
time spent by pharmacists and nurses based on the location of a medication
focused interview. Time spent by the interviewing pharmacist, admitting nurse,
and centralized pharmacist verifying admission orders was collected. Patient
groups were based on whether the interview was conducted in the emergency
department (ED) or medical floor. The primary end point was a composite of the 3
time points. Secondary end points were individual time components and number and
types of transcription discrepancies identified during medical floor interviews.
RESULTS: Pharmacists and nurses spent an average of ten fewer minutes per ED
patient versus a medical floor patient ( P = .028). Secondary end points were not
statistically significant. Transcription discrepancies were identified at a rate
of 1 in 4 medications. Post hoc analysis revealed the time spent by pharmacists
and nurses was 2.4 minutes shorter per medication when interviewed in the ED ( P
< .001). DISCUSSION: The primary outcome was statistically and clinically
significant. Limitations included inability to blind and lack of cost-saving
analysis. CONCLUSION: Pharmacist involvement in ED medication reconciliation
leads to time savings during the admission process.
PMID- 29357730
TI - Rates of Exposure to Victimizing Events and Use of Substances Among California's
Middle and High School Students.
AB - Nearly half of 5- to 17-year-olds have experienced trauma in the form of at
school victimization. Exposure to trauma increases students' risk for mental
health disorders and school failure. This study reviews at-school victimization
in middle and high school students and associated health outcomes that may
negatively impact academic outcomes. Analyzing the California Healthy Kids Survey
2010, we examine rates of victimization on school grounds, substance use, and
symptoms of depression and eating disorders among a sample of 6th to 12th graders
( N = 639,925). Between 20% and 50% of students had experienced at least one type
of victimizing event on school grounds, with the highest incidence in middle
schools. A significantly higher share of victimized students reported using
substances, symptoms of depression and eating disorders when compared to
nonvictimized students. School district investment in school nurses, social
workers, and school-based health centers could increase preventive interventions
to improve school climate, student well-being, and academic success.
PMID- 29357731
TI - Medullary Astrogliosis in Sudden Infant Death Syndrome Varies With Sleeping
Environment: Evidence for Different Mechanisms of Death in Alone Versus Co
sleepers?
AB - Sudden infant death syndrome remains the leading cause of death in infants under
1 year, and underlying pathophysiological mechanisms are poorly understood. The
current study investigated the hypothesis that co-sleepers die more rapidly from
causes such as suffocation from overlaying by comparing levels of reactive
astrogliosis in the medulla of infants who died sleeping alone to those who died
co-sleeping. The amount of glial fibrillary acidic protein (GFAP) staining in
alone sleepers was significantly higher than shared sleepers in 3 specific areas
of the medulla, the inferior vestibular nucleus, the medial vestibular nucleus
and the cochlear nucleus. Given that glial fibrillary acidic protein elevations
follow a delayed time course, this suggests that death in co-sleepers was more
rapid, not allowing for reactive gliosis to occur. This provides evidence of
pathological differences in mechanisms of death in infants who are classified as
having died from sudden infant death syndrome, suggesting potential need for
refinement of categorization of these cases.
PMID- 29357733
TI - Effects of glycyrrhizin on the pharmacokinetics of asiatic acid in rats and its
potential mechanism.
AB - CONTEXT: Asiatic acid has been reported to possess a wide range of
pharmacological activities. OBJECTIVE: This study investigates the effects of
glycyrrhizin on the pharmacokinetics of asiatic acid in rats and its potential
mechanism. MATERIALS AND METHODS: The pharmacokinetics of orally administered
asiatic acid (20 mg/kg) with or without glycyrrhizin pretreatment (100 mg/kg/day
for seven days) were investigated using a LC-MS method. Additionally, the Caco-2
cell transwell model and rat liver microsome incubation systems were used to
investigate the potential mechanism of glycyrrhizin's effects on the
pharmacokinetics of asiatic acid. RESULTS: The results showed that the Cmax
(221.33 +/- 21.06 vs. 324.67 +/- 28.64 ng/mL), AUC0-inf (496.12 +/- 109.31 vs.
749.15 +/- 163.95 MUg.h/L) and the t1/2 (1.21 +/- 0.27 vs. 2.04 +/- 0.32 h) of
asiatic acid decreased significantly (p < 0.05) with the pretreatment of
glycyrrhizin. The oral clearance of asiatic acid increased significantly from
27.59 +/- 5.34 to 41.57 +/- 9.19 L/h/kg (p < 0.05). The Caco-2 cell transwell
experiments indicated that glycyrrhizin could increase the efflux ratio of
asiatic acid from 1.63 to 2.74, and the rat liver microsome incubation
experiments showed that glycyrrhizin could increase the intrinsic clearance rate
of asiatic acid from 138.32 +/- 11.20 to 221.76 +/- 16.85 MUL/min/mg protein.
DISCUSSION AND CONCLUSIONS: In conclusion, these results indicated that
glycyrrhizin could decrease the system exposure of asiatic acid, possibly by
inducing the activity of P-gp or CYP450 enzyme.
PMID- 29357732
TI - Activation of ventral tegmental area dopaminergic neurons reverses pathological
allodynia resulting from nerve injury or bone cancer.
AB - Chronic pain induced by nerve damage due to trauma or invasion of cancer to the
bone elicits severe ongoing pain as well as hyperalgesia and allodynia likely
reflecting adaptive changes within central circuits that amplify nociceptive
signals. The present study explored the possible contribution of the mesolimbic
dopaminergic circuit in promoting allodynia related to neuropathic and cancer
pain. Mice with ligation of the sciatic nerve or treated with intrafemoral
osteosarcoma cells showed allodynia to a thermal stimulus applied to the paw on
the injured side. Patch clamp electrophysiology revealed that the intrinsic
neuronal excitability of ventral tegmental area (VTA) dopamine neurons projecting
to the nucleus accumbens (N.Acc.) was significantly reduced in those mice. We
used tyrosine hydroxylase (TH)-cre mice that were microinjected with adeno
associated virus (AAV) to express channelrhodopsin-2 (ChR2) to allow optogenetic
stimulation of VTA dopaminergic neurons in the VTA or in their N.Acc. terminals.
Optogenetic activation of these cells produced a significant but transient anti
allodynic effect in nerve injured or tumor-bearing mice without increasing
response thresholds to thermal stimulation in sham-operated animals. Suppressed
activity of mesolimbic dopaminergic neurons is likely to contribute to decreased
inhibition of N.Acc. output neurons and to neuropathic or cancer pain-induced
allodynia suggesting strategies for modulation of pathological pain states.
PMID- 29357734
TI - Loss of Neuroglobin Expression Alters Cdkn1a/Cdk6-Expression Resulting in
Increased Proliferation of Neural Stem Cells.
AB - In the quest to unravel its functional significance, neuroglobin (Ngb), a brain
specific neuroprotective protein, has recently been proposed as an actor in
neurodevelopment. As neural stem cells (NSCs) are fundamental during brain
development, the present study aimed at investigating the role of Ngb in the
growth and proliferation of NSCs by comparing an Ngb-floxed (Ngbfl-)NSC line,
equivalent to the wild-type cellular situation, with an in-house created Ngb
knockout (NgbKO-)NSC line. NgbKO-NSCs were characterized by an increased growth
and proliferation capacity in vitro, supported by RNA sequencing and western blot
results reporting the downregulation of Cdkn1a and the upregulation of Cdk6, both
enhancing the cell cycle. Based on additional gene ontology enrichment and
pathway analyses, we hypothesize that the loss of Ngb affects multiple cellular
signaling pathways with the most important being the Akt-Tp53 axis.
PMID- 29357735
TI - Niche point-of-care endocrine testing - Reviews of intraoperative parathyroid
hormone and cortisol monitoring.
AB - Point-of-care (POC) testing, which provides quick test results in near-patient
settings with easy-to-use devices, has grown continually in recent decades. Among
near-patient and on-site tests, rapid intraoperative and intra-procedural assays
are used to quickly deliver critical information and thereby improve patient
outcomes. Rapid intraoperative parathyroid hormone (ioPTH) monitoring measures
postoperative reduction of parathyroid hormone (PTH) to predict surgical outcome
in patients with primary hyperparathyroidism, and therefore contributes to the
change of parathyroidectomy to a minimally invasive procedure. In this review,
recent progress in applying ioPTH monitoring to patients with secondary and
tertiary hyperparathyroidism and other testing areas is discussed. In-suite
cortisol monitoring facilitates the use of adrenal vein sampling (AVS) for the
differential diagnosis of primary aldosteronism and adrenocorticotropic hormone
(ACTH)-independent Cushing syndrome. In clinical and psychological research
settings, POC testing is also useful for rapidly assessing cortisol in plasma and
saliva samples as a biomarker of stress. Careful resource utilization and
coordination among stakeholders help to determine the best approach for
implementing cost-effective POC testing. Technical advances in integrating
appropriate biosensors with microfluidics-based devices hold promise for future
real-time POC cortisol monitoring.
PMID- 29357736
TI - Circular RNA Expression Profiles Alter Significantly after Traumatic Brain Injury
in Rats.
AB - Circular RNAs (circRNAs) are involved in a variety of diseases. However, the
roles of circRNAs in traumatic brain injury (TBI) remain unknown. In this study,
circRNA microarray was used to profile the altered circRNAs in the rat
hippocampus following TBI. A total of 192 circRNAs were observed to be
differentially expressed (fold change [FC] >=1.5 and p < 0.05) after TBI,
including 98 upregulated and 94 downregulated. Gene ontology (GO) and Kyoto
Encyclopedia of Genes and Genomes (KEGG) pathway analysis indicated that many
messenger RNAs (mRNAs) transcribed from the host genes of altered circRNAs were
implicated in brain damage and neural regeneration. CircRNA/microRNA (miRNA)
interaction was predicted using Arraystar's homemade miRNA target prediction
software based on TargetScan and miRanda. Thus, our studies have demonstrated
altered circRNA expression pattern in the rat hippocampus after TBI, which may
play important roles in post-TBI physiological and pathological processes. These
findings may provide not only a new direction for studying the molecular
mechanisms underlying TBI but also a new possibility for the treatment of TBI by
modulating circRNAs.
PMID- 29357737
TI - Lifesaving in Every Way: The Role of Companion Animals in the Lives of Older
Lesbian, Gay, Bisexual, and Transgender Adults Age 50 and Over.
AB - This study uses mixed-methods data and a life-course perspective to explore the
role of pets in the lives of lesbian, gay, bisexual, and transgender (LGBT)
adults age 50 and over and addresses the following research questions: (1) How
does having a pet relate to perceived social support and social network size? and
(2) how do LGBT older adults describe the meaning of pets in their lives? The
qualitative data ( N = 59) were collected from face-to-face interviews, and the
quantitative data ( N = 2,560) were collected via surveys from a sample across
the United States. Qualitative findings show that pets are characterized as kin
and companions and provide support; we also explore why participants do not have
pets. The quantitative findings show that LGBT older adults with a pet had higher
perceived social support; those with a disability and limited social network
size, who had a pet had significantly higher perceived social support than those
without a pet.
PMID- 29357738
TI - Estimating Putting Outcomes in Golf: Experts Have a Better Sense of Distance.
AB - In putting, golfers require an internal forward sense of the causal relationship
between putting actions and outcomes-a sense of distance-to decide appropriate
impact intensity. As no previous work has shown such a cognitive ability in
skilled golfers, we sought to quantify sense-of-distance skill differences
between experts and novice golfers in both putting-swing consistency and accuracy
of outcome estimation. We compared nine expert and nine novice golfers on putting
outcome estimation by having them putt a golf ball to a target located at three
distances (1.2, 2.4, and 3.6 m), and then, after automatic closure of their
electric-shutter spectacles immediately following putter impact with the ball,
they gave their best estimate of where the ball stopped. We assessed outcome
estimation accuracy by calculating the absolute error between the stopped ball's
actual and estimated positions. We also measured and analyzed putter head-swing
movements during the task using a motion-capture system. Two-way, mixed-design
analysis of variance tests revealed that expert golfers achieved both
significantly lower variability in putter-head kinematics and higher accuracy at
outcome estimation than the novices. Linear partial correlation analyses with
target distance as the control variable tested the relationship between outcome
estimation performance and putter-head variability kinematic measurements. There
were no significant correlations between them for experts and novices in separate
databases, while medium correlations were found in a collective database. Thus,
swing consistency and a sense of distance are independent skills that both
account for putting expertise, and specific training is required for each to
improve putting skills.
PMID- 29357739
TI - Grafts of Olfactory Stem Cells Restore Breathing and Motor Functions after Rat
Spinal Cord Injury.
AB - The transplantation of olfactory ecto-mesenchymal stem cells (OEMSCs) could be a
helpful therapeutic strategy for spinal cord repair. Using an acute rat model of
high cervical contusion that provokes a persistent hemidiaphragmatic and foreleg
paralysis, we evaluated the therapeutic effect of a delayed syngeneic
transplantation (two days post-contusion) of OEMSCs within the injured spinal
cord. Respiratory function was assessed using diaphragmatic electromyography and
neuroelectrophysiological recordings of phrenic nerves (innervating the
diaphragm). Locomotor function was evaluated using the ladder-walking locomotor
test. Cellular reorganization in the injured area was also studied using
immunohistochemical and microscopic techniques. We report a substantial
improvement in breathing movements, in activities of the ipsilateral phrenic
nerve and ipsilateral diaphragm, and also in locomotor abilities four months post
transplantation with nasal OEMSCs. Moreover, in the grafted spinal cord, axonal
disorganization and inflammation were reduced. Some grafted stem cells adopted a
neuronal phenotype, and axonal sparing was observed in the injury site. The
therapeutic effect on the supraspinal command is presumably because of both
neuronal replacements and beneficial paracrine effects on the injury area. Our
study provides evidence that nasal OEMSCs could be a first step in clinical
application, particularly in patients with reduced breathing/locomotor movements.
PMID- 29357740
TI - Retention interval modulates the effect of negative arousing pictures on
recognition memory.
AB - This study examined the modulation of retention interval in the effect of emotion
as elicited from negative and positive arousing pictures on recognition memory.
Participants underwent seven encoding sessions and one testing session. The
encoding sessions were separated by certain lengths of intervals such that there
were seven levels of time gaps between encoding and testing. In each encoding
session, participants learned a list of 30 pictures (including 10 neutral, 10
positive and 10 negative pictures). In the testing session, they were presented
with a list of 210 old and 210 new pictures and made "old/new" and
"remember/know" judgements. The results showed that negative arousing pictures
enhanced overall recognition in the 2-week interval and enhanced recollection in
both the 2-week and 3-week intervals. However, neither negative nor positive
arousing pictures had any effect on familiarity regardless of retention interval.
The current study contributes to the literature by suggesting that longer
retention intervals do not necessarily lead to more pronounced effects of
negative arousing pictures and that the modulation of retention interval depends
on the specific components of recognition memory.
PMID- 29357741
TI - Clinical Validation of Eye Vergence as an Objective Marker for Diagnosis of ADHD
in Children.
AB - OBJECTIVE: ADHD youth show poor oculomotor control. Recent research shows that
attention-related eye vergence is weak in ADHD children. METHOD: To validate
vergence as a marker to classify ADHD, we assessed the modulation in the angle of
vergence of children ( n = 43) previously diagnosed with ADHD while performing an
attention task and compared the results with age-matched clinical controls ( n =
19) and healthy peers ( n = 30). RESULTS: We observed strong vergence responses
in healthy participants and weak vergence in the clinical controls. ADHD children
showed no significant vergence responses. Machine-learning models classified ADHD
patients ( n = 21) from healthy controls ( n = 21) with an accuracy of 96.3%
(false positive [FP]: 5.12%; false negative [FN]: 0%; area under the curve [AUC]:
0.99) and ADHD children ( n = 11) from clinical controls ( n = 14) with an
accuracy of 85.7% (FP: 4.5%; FN: 19.2%, AUC: 0.90). CONCLUSION: In combination
with an attention task, vergence responses can be used as an objective marker to
detect ADHD in children.
PMID- 29357742
TI - Ecological Virtual Reality Evaluation of Neglect Symptoms (EVENS): Effects of
Virtual Scene Complexity in the Assessment of Poststroke Unilateral Spatial
Neglect.
AB - BACKGROUND: Unilateral spatial neglect (USN) is a highly prevalent and disabling
poststroke impairment. USN is traditionally assessed with paper-and-pencil tests
that lack ecological validity, generalization to real-life situations and are
easily compensated for in chronic stages. Virtual reality (VR) can, however,
counteract these limitations. OBJECTIVE: We aimed to examine the feasibility of a
novel assessment of USN symptoms in a functional shopping activity, the
Ecological VR-based Evaluation of Neglect Symptoms (EVENS). METHODS: EVENS is
immersive and consists of simple and complex 3-dimensional scenes depicting
grocery shopping shelves, where joystick-based object detection and navigation
tasks are performed while seated. Effects of virtual scene complexity on
navigational and detection abilities in patients with (USN+, n = 12) and without
(USN-, n = 15) USN following a right hemisphere stroke and in age-matched healthy
controls (HC, n = 9) were determined. RESULTS: Longer detection times, larger
mediolateral deviations from ideal paths and longer navigation times were found
in USN+ versus USN- and HC groups, particularly in the complex scene. EVENS
detected lateralized and nonlateralized USN-related deficits, performance
alterations that were dependent or independent of USN severity, and performance
alterations in 3 USN- subjects versus HC. CONCLUSION: EVENS' environmental
changing complexity, along with the functional tasks of far space detection and
navigation can potentially be clinically relevant and warrant further empirical
investigation. Findings are discussed in terms of attentional models, lateralized
versus nonlateralized deficits in USN, and tasks-specific mechanisms.
PMID- 29357743
TI - Evidence for Training-Dependent Structural Neuroplasticity in Brain-Injured
Patients: A Critical Review.
AB - Acquired brain injury (ABI) is associated with a range of cognitive and motor
deficits, and poses a significant personal, societal, and economic burden.
Rehabilitation programs are available that target motor skills or cognitive
functioning. In this review, we summarize the existing evidence that training may
enhance structural neuroplasticity in patients with ABI, as assessed using
structural magnetic resonance imaging (MRI)-based techniques that probe
microstructure or morphology. Twenty-five research articles met key inclusion
criteria. Most trials measured relevant outcomes and had treatment benefits that
would justify the risk of potential harm. The rehabilitation program included a
variety of task-oriented movement exercises (such as facilitation therapy,
postural control training), neurorehabilitation techniques (such as constraint
induced movement therapy) or computer-assisted training programs (eg, Cogmed
program). The reviewed studies describe regional alterations in white matter
architecture and/or gray matter volume with training. Only weak-to-moderate
correlations were observed between improved behavioral function and structural
changes. While structural MRI is a powerful tool for detection of longitudinal
structural changes, specific measures about the underlying biological mechanisms
are lacking. Continued work in this field may potentially see structural MRI
metrics used as biomarkers to help guide treatment at the individual patient
level.
PMID- 29357744
TI - Skin-limited arteritis of small muscular arteries with giant cell-rich
granulomatous inflammation in a patient with polymyalgia rheumatica.
PMID- 29357746
TI - Fertility and union formation during crisis and societal consolidation in the
Western Balkans.
AB - Fertility decline in central and eastern Europe (CEE) since the fall of the
communist regimes has been driven by both stopping and postponement of
childbearing: two processes that have been related to crisis and economic
development, respectively. In the Western Balkans these economic and political
contexts followed each other in the form of a biphasic transition. I examine
whether this sequence triggered fertility responses like those observed
elsewhere. Relying on three independent data sources, I cross-validate the levels
of, and describe the trends in, union formation and fertility (by birth order)
between 1980 and 2010. Results do not reveal widespread declines in fertility to
lowest-low levels during the most acute period of crisis. The subsequent
postponement of marriage and first birth was also limited, and the two-child
family remains the norm. This relative resilience of childbearing patterns
compared with other CEE countries is discussed with reference to the
institutional context.
PMID- 29357745
TI - Targeting HER2 in Nuclear Medicine for Imaging and Therapy.
AB - Since its discovery, the human epidermal growth factor 2 (HER2) has been
extensively studied. Presently, there are 2 standard diagnostic techniques to
assess HER2 status in biopsies: immunohistochemistry and fluorescence in situ
hybridization. While these techniques have played an important role in the
treatment of patients with HER2-positive cancer, they both require invasive
biopsies for analysis. Moreover, the expression of HER2 is heterogeneous in
breast cancer and can change over the course of the disease. Thus, the degree of
HER2 expression in the small sample size of biopsied tumors at the time of
analysis may not represent the overall status of HER2 expression in the whole
tumor and in between tumor foci in the metastatic setting as the disease
progresses. Unlike biopsy, molecular imaging using probes against HER2 allows for
a noninvasive, whole-body assessment of HER2 status in real time. This technique
could potentially select patients who may benefit from HER2-directed therapy and
offer alternative treatments to those who may not benefit. Several antibodies and
small molecules against HER2 have been labeled with different radioisotopes for
nuclear imaging and/or therapy. This review presents the most recent advances in
HER2 targeting in nuclear medicine focusing on preclinical and clinical studies.
PMID- 29357747
TI - Does Gender Moderate the Relationship Between Social Capital and Life
Satisfaction? Evidence From Urban China.
AB - The present study aimed to test the moderating effect of gender on the
relationship between social capital and life satisfaction among older adults in
urban China. A quota sampling method was used to select 456 older adults aged 60
and older from 16 local communities in Suzhou city in 2015. Hierarchical multiple
regression was employed to test the proposed models. The associations between
family social capital and life satisfaction were higher among older men than
women. Trust and helping others were stronger predictors of older women's life
satisfaction than their male counterparts. Citizenship activities affected life
satisfaction among older men only. The findings highlight the important role of
social capital in sustaining and/or improving life satisfaction among both older
women and men. Policy and intervention implications are discussed.
PMID- 29357749
TI - Parents' Perspectives of Changes Within the Family Functioning After a Pediatric
Cancer Diagnosis: A Multi Family Member Interview Analysis.
AB - Pediatric cancer is a life-threatening disease that challenges the life of the
diagnosed child, the parents, and possible siblings. Moreover, it also places
considerable demands on family life. The aim of this study was to explore changes
in the family functioning after a pediatric cancer diagnosis. Ten couples who had
a child with leukemia or non-Hodgkin lymphoma were interviewed individually about
their experiences. Interviews were semistructured, and the data were analyzed
using Multi Family Member Interview Analysis. Three themes emerged from the data:
(a) Family Cohesion: Strengthened Versus Fragmented; (b) Educational Norms and
Values: Overindulgence Versus Being Stricter, and (c) Normality: Loss Versus
Preservation. The conflicting dynamics present in these emerging themes exemplify
the complexity of this process of family adaptation. This study illustrates the
need to take into account the family level, as well as the conflicting feelings
parents may experience after a pediatric cancer diagnosis.
PMID- 29357748
TI - Nursing Practice in Primary Care and Patients' Experience of Care.
AB - PURPOSE: Nurses are identified as a key provider in the management of patients in
primary care. The objective of this study was to evaluate patients' experience of
care in primary care as it pertained to the nursing role. The aim was to test the
hypothesis that, in primary health care organizations (PHCOs) where patients are
systematically followed by a nurse, and where nursing competencies are therefore
optimally used, patients' experience of care is better. METHOD: Based on a cross
sectional analysis combining organizational and experience of care surveys, we
built 2 groups of PHCOs. The first group of PHCOs reported having a nurse who
systematically followed patients. The second group had a nurse who performed a
variety of activities but did not systematically follow patients. Five indicators
of care were constructed based on patient questionnaires. Bivariate and
multivariate linear mixed models with random intercepts and with patients nested
within were used to analyze the experience of care indicators in both groups.
RESULTS: Bivariate analyses revealed a better patient experience of care in PHCOs
where a nurse systematically followed patients than in those where a nurse
performed other activities. In multivariate analyses that included adjustment
variables related to PHCOs and patients, the accessibility indicator was found to
be higher. CONCLUSION: Results indicated that systematic follow-up of patients by
nurses improved patients' experience of care in terms of accessibility. Using
nurses' scope of practice to its full potential is a promising avenue for
enhancing both patients' experience of care and health services efficiency.
PMID- 29357750
TI - The Weight of Things: Understanding African American Women's Perceptions of
Health, Body Image, and Attractiveness.
AB - Negative attitudes toward being overweight or obese are widespread, and these
notions perpetuate into conceptions about one's health. Clinically, being
overweight is associated with health problems such as diabetes, hypertension,
heart disease, and many other illnesses. African American women, who are
generally larger in body size, are a particular target for health interventions.
However, these women have resisted the "obesity" label, arguing that dominant
measures of health are White norms and oppressive. Through the use of in-depth
interviews, this study investigates how African American women understand and
experience healthfulness, body image, and barriers to each. Findings show that
African American women are ambivalent in their acceptance of dominant markers of
health and expressed an almost universal disdain for the thin ideal as a marker
of "good" health and a positive body image. Moreover, participants articulated a
suspicion of formal medical measurements of obesity.
PMID- 29357751
TI - Navigating the Dangerous Terrain of Moral Distress: Understanding Response
Patterns in the NICU.
AB - Moral distress is a well-recognized and ubiquitous aspect of health care
professional practice in the neonatal intensive care unit (NICU) context. We used
interpretive description methodology to guide a critical exploration of the
dynamics of moral distress experience as reflected in the accounts of 28 health
care professionals working in this setting. We learned about the kinds of
clinical scenarios which triggered distressing experiences, and that the
organizational and relational context of clinical work constituted a complex and
dynamic working environment that profoundly affected both the individual and the
collective experiences with moral distress in these situations. These findings
shed light on possibilities for supporting NICU practitioners and developing the
collaborative team cultures that may reduce the risk of unresolved effects of
moral distress to the benefit of patients as well as the professionals who care
for them.
PMID- 29357752
TI - Prevention of minor myocardial injury after elective percutaneous coronary
intervention: comparison of ticagrelor versus clopidogrel.
AB - BACKGROUND: Elective percutaneous coronary intervention (ePCI) may cause minor
elevation of cardiac enzymes, so-called minor myocardial injury (MMI) which can
be due to different pathophysiological mechanism (e.g. distal embolisation, side
branch occlusion, increased platelet activation triggered by the intracoronary
metallic stents). We aimed to compare the effectiveness of ticagrelor versus
clopidogrel for the prevention of MMI and major adverse clinical events (MACEs)
after ePCI. METHODS: Study population consisted of two groups of patients based
on the treatment: Group I, receiving clopidogrel (n = 104), Group II, receiving
ticagrelor (n = 96). Cardiac troponin I (cTnI), CK-MB were studied before and 12
hours after the procedure. Elevation of cTnI greater than 0.06 ng/ml was
considered as MMI. All patients were also evaluated for the MACEs (death,
myocardial infarction, stroke and transient ischaemic attack). RESULTS: Fifty-two
of 200 patients (26%) had MMI after the procedure. The minor myocardial injury
was significantly more prevalent in clopidogrel group than that of ticagrelor
group (33% vs. 19%, p = .03). Myocardial infarction (MI) and MACEs were
significantly higher in the clopidogrel group (15% vs. 6%, for MI, p = .04; 16%
vs. 6%, for MACEs, p = .03, respectively). Multivariate analysis demonstrated
antiplatelet treatment, saphenous graft intervention, type-C lesion as
independent predictors of MMI. CONCLUSIONS: Present study showed that the
combination of ticagrelor and aspirin was more effective than combination of
clopidogrel and aspirin in decreasing MMI and MACEs after elective stenting.
PMID- 29357753
TI - Coil Embolization of a Renal Aneurysm Using a Minimally Invasive Endovascular
Robotic System.
AB - We present a case demonstrating the use of an endovascular robotic system in the
treatment of a saccular renal artery aneurysm located at the renal hilum in a
young patient.
PMID- 29357754
TI - Attitudes Toward Euthanasia for Patients Who Suffer From Physical or Mental
Illness.
AB - This study examined whether attitudes toward euthanasia vary with type of illness
and with the source of the desire to end the patient's life. The study used a 3
(illness type: cancer, schizophrenia, depression) * 2 (euthanasia type: patient
initiated, family-initiated) between-groups experimental design. An online
questionnaire was administered to 324 employees and students from a Australian
public university following random assignment of participants to one of the six
vignette-based conditions. Attitudes toward euthanasia were more positive for
patients with a physical illness than a mental illness. For a patient with cancer
or depression, but not schizophrenia, approval was greater for patient-, than,
family-, initiated euthanasia. Relationships between illness type and attitudes
were mediated by perceptions of patient autonomy and illness controllability.
Findings have implications for debate, practices, and legislation regarding
euthanasia.
PMID- 29357755
TI - Grief and Healing Trajectories of Drug-Death-Bereaved Parents.
AB - We explored parents' views of the trajectories of their adult children's eventual
deaths from drugs with in-depth qualitative interviews from 11 bereaved parents.
Parents reported great emotional distress and high financial burdens as their
children went through death spirals of increasing drug involvements. These deaths
often entailed anxiety-inducing interactions with police or medical personnel,
subsequent difficulties with sharing death cause information with socially
significant others, and longer term problems from routine interactions.
Eventually, though, many of these longer term bereaved parents reported
overcoming these obstacles and developing posttraumatic growth. Openly disclosing
the nature of the death seemed to be an important building block for their
healing.
PMID- 29357756
TI - The Relationship Between Practice Environment and Psychological Ownership in
Advanced Practice Nurses.
AB - Barriers in advanced practice nurses' work environment impede effective use in
acute care settings, reduce job satisfaction, and increase intent to leave.
Fostering psychological ownership for work through improved work design has
increased satisfaction, motivation, and productivity, and lowered turnover in
other fields, and may have similar effects for advanced practice nurses. This
multilevel cross-sectional survey study examined the relationship between
advanced practice nurse work environment and psychological ownership using data
from a survey of advanced practice nurses and nurse executives in Florida
hospitals. Barriers in scope of practice and exclusion from hospital governance
were common. Advanced practice nurses reported good relations with physicians and
moderate organizational climate but poor relations with administrators and
limited control over work. Organizational climate had a strong positive
relationship with psychological ownership. Fostering advanced practice nurse
psychological ownership could improve job satisfaction and decrease turnover
leading to increased effectiveness in acute care settings.
PMID- 29357757
TI - Client reflections on confirmation and disconfirmation of expectations in
cognitive behavioral therapy for generalized anxiety disorder with and without
motivational interviewing.
AB - OBJECTIVE: Addressing methodological shortcomings of prior work on process
expectations, this study examined client process expectations both prospectively
and retrospectively following treatment. Differences between clients receiving
cognitive behavioral therapy (CBT) versus motivational interviewing integrated
with CBT (MI-CBT) were also examined. METHOD: Grounded theory analysis was used
to study narratives of 10 participants (N = 5 CBT, 5 MI-CBT) who completed
treatment for severe generalized anxiety disorder as part of a larger randomized
controlled trial. RESULTS: Clients in both groups reported and elaborated
expectancy disconfirmations more than expectancy confirmations. Compared to CBT
clients, MI-CBT clients reported experiencing greater agency in the treatment
process than expected (e.g., that they did most of the work) and that therapy
provided a corrective experience. Despite nearly all clients achieving recovery
status, CBT clients described therapy as not working in some ways (i.e., tasks
did not fit, lack of improvement) and that they overcame initial skepticism
regarding treatment. CONCLUSIONS: Largely converging with MI theory, findings
highlight the role of key therapist behaviors (e.g., encouraging client autonomy,
validating) in facilitating client experiences of the self as an agentic
individual who is actively engaged in the therapy process and capable of
effecting change.
PMID- 29357758
TI - Mrs Stone and Dr Smellie: British eighteenth-century birth attendance and long
run levels and trends in maternal mortality discussed in a north European
context.
AB - This is a book review turned research paper. The aim is to estimate the
differences in the maternal mortality rate (MMR) between untrained midwives,
expert midwives, and the famous obstetrician Dr Smellie in eighteenth-century
Britain. The paper shows that the birth attendance practices of the expert
midwife Mrs Stone and of Dr Smellie were very similar, though Stone used her
hands whereas Smellie used forceps. Both applied the same invasive techniques to
successfully deliver women with similar fatal complications, techniques that
untrained midwives and most surgeons of the time could not perform. However, the
same procedures, if used for normal births, would have increased the MMR. So, the
key to the low MMR of both was that they kept interventions away from the
majority of births that were normal. The paper quantifies the likely MMR for a
'Stone and Smellie style' birth attendance and concludes that the wider
dissemination of their techniques can explain the decline in the British MMR.
PMID- 29357759
TI - Endeavoring to Contextualize Curricula Within an EBP Framework: A Grounded Theory
Study.
AB - Adopting evidence-based practice (EBP) principles in undergraduate education can
facilitate nursing students' appreciation of EBP. Using grounded theory method,
this study aimed to explore processes used by nurse academics while integrating
EBP concepts in undergraduate nursing curricula across Australian universities.
Twenty-three nurse academics were interviewed and nine were observed during
teaching of undergraduate students. In addition, 20 unit/subject guides were
analyzed using grounded theory approach of data analysis. The theory " On a path
to success: Endeavoring to contextualize curricula within an EBP framework"
reflects academics' endeavors toward linking EBP concepts to practice, aiming to
contextualize curricula in a manner that engages students within an EBP
framework. However, academics' journeys were influenced by several contextual
factors which require strategies to accomplish their endeavors. In conclusion,
initiatives to minimize barriers, faculty development, and provision of resources
across educational and clinical settings are fundamental to achieving
undergraduate curricula underpinned by EBP concepts.
PMID- 29357760
TI - Do Spanish Medical Students Understand the Concept of Brain Death?
AB - OBJECTIVE: To analyze the level of understanding of the brain death concept among
medical students in universities in Spain. METHODS: This cross-sectional
sociological, interdisciplinary, and multicenter study was performed on 9598
medical students in Spain. The sample was stratified by geographical area and
academic year. A previously validated self-reported measure of brain death
knowledge (questionnaire Proyecto Colaborativo Internacional Donante sobre la
Donacion y Transplante de Organos) was completed anonymously by students.
RESULTS: Respondents completed 9275 surveys for a completion rate of 95.7%. Of
those, 67% (n = 6190) of the respondents understood the brain death concept. Of
the rest, 28% (n = 2652) did not know what it meant, and the remaining 5% (n =
433) believed that it did not mean that the patient was dead. The variables
related to a correct understanding of the concept were: (1) being older ( P <
.001), (2) studying at a public university ( P < .001), (3) year of medical
school ( P < .001), (4) studying at one of the universities in the south of Spain
( P = .003), (5) having discussed donation and transplantation with the family (
P < .001), (6) having spoken to friends about the matter ( P < .001), (7) a
partner's favorable attitude toward donation and transplantation ( P < .001), and
(8) religious beliefs ( P < .001). CONCLUSIONS: Sixty-seven percent of medical
students know the concept of brain death, and knowledge improved as they advanced
in their degree.
PMID- 29357761
TI - Parental age and offspring mortality: Negative effects of reproductive ageing may
be counterbalanced by secular increases in longevity.
AB - As parental ages at birth continue to rise, concerns about the effects of
fertility postponement on offspring are increasing. Due to reproductive ageing,
advanced parental ages have been associated with negative health outcomes for
offspring, including decreased longevity. The literature, however, has neglected
to examine the potential benefits of being born at a later date. Secular declines
in mortality mean that later birth cohorts are living longer. We analyse
mortality over ages 30-74 among 1.9 million Swedish men and women born 1938-60,
and use a sibling comparison design that accounts for all time-invariant factors
shared by the siblings. When incorporating cohort improvements in mortality, we
find that those born to older mothers do not suffer any significant mortality
disadvantage, and that those born to older fathers have lower mortality. These
findings are likely to be explained by secular declines in mortality
counterbalancing the negative effects of reproductive ageing.
PMID- 29357762
TI - Outcome and selection of revascularization strategy in left main coronary artery
stenosis.
AB - OBJECTIVES: To investigate clinical outcome in unselected real-life patients with
unprotected left main coronary artery (ULMCA) stenosis and determine factors
associated with selection of revascularization strategy. DESIGN: Consecutive
patients with ULMCA stenosis at our institution in 2009-2013 (n = 308) were
retrospectively analyzed with propensity score adjusted Cox proportional hazards
models for outcome. Baseline characteristics in relation to selection of
revascularization strategy were analyzed with multivariate logistic regression.
RESULTS: Patients that underwent PCI (n = 94) had a higher risk of major adverse
cardiac and cerebrovascular events (MACCE; adjusted HR 2.13 [95% CI 1.08-4.19])
than patients that had CABG surgery but there was no difference in the
combination of death and MI (adjusted HR 1.17 [95% CI 0.50-2.75]). Later year of
index angiography, age, Euroscore II and angiographer favoring PCI was associated
with PCI as revascularization strategy. Higher SYNTAX score, higher systolic
blood pressure and angiographer favoring CABG was associated with CABG.
CONCLUSIONS: In consecutive patients with ULMCA stenosis PCI is associated with
higher MACCE rates than CABG but there is no difference in death and MI. Later
year of index angiography, higher age, lower systolic blood pressure, higher
predicted per-procedural surgical risk, less complex coronary anatomy and
angiographer favoring PCI increased the probability of revascularization with PCI
instead of CABG.
PMID- 29357763
TI - S phase arrest in lymphocytes induced by urinary 1-hydroxypyrene and alcohol
drinking in coke oven workers.
AB - Arrest of the cell cycle after DNA damage is believed to promote DNA repair. We
aim to investigate the main factors affecting cell cycle arrest of lymphocytes in
coke oven workers. A total of 600 workers were included in this study, and their
urinary levels of four polycyclic aromatic hydrocarbons (PAH) metabolites, 8
hydroxydeoxyguanosine (8-OHdG), and cell cycle distribution were determined.
Urinary PAH metabolites were significantly increased in coke oven workers ( p <
0.01). It was found that only urinary 2-hydroxynaphthalene and 1-hydroxypyrene
showed significant positive linear dose-response effects on 8-OHdG in this study
population ( ptrend = 0.025 and 0.017, respectively). The dose-response effect
was also observed for smoking and drinking on 8-OHdG ( ptrend < 0.001 and 0.034,
respectively). Multivariate logistic regression analysis revealed that high
levels of urinary 1-hydroxypyrene were associated with a significantly increased
risk of S phase arrest (odds ratio (OR) = 1.32, p = 0.03), so as heavy alcohol
drinking (OR = 1.31, p = 0.02). Drinking can significantly modify the effects of
urinary 1-hydroxypyrene on S phase arrest, during co-exposure to both heavy
drinking and median or high 1-hydroxypyrene levels (OR = 3.31, 95% confidence
interval (CI) = 1.21-7.63 and OR = 2.56, 95% CI = 1.08-6.06, respectively). Our
findings demonstrate that coke oven workers with heavy drinking will cause S
phase arrest so as to repair more serious DNA damage.
PMID- 29357764
TI - Do emotion regulation, attentional control, and attachment style predict response
to cognitive behavioral therapy for anxiety disorders? - an investigation in
clinical settings.
AB - OBJECTIVE: Approximately, 50% of all individuals with anxiety disorders do not
benefit from the "gold standard" treatment, namely cognitive behavioral therapy
(CBT). Reliable predictors of treatment effect are lacking. The primary aim of
this study was to investigate the predictive value of emotion regulation,
attentional control, and attachment style for group-based CBT outcomes in routine
clinical settings. METHOD: A total of 76 patients with anxiety disorders received
manual-based group CBT at psychiatric outpatient clinics. Emotion regulation,
attachment style, and attentional control were assessed with self-report measures
and with an experimental computer-based attentional control task at baseline. The
severity of anxiety was assessed at intake, post-treatment, and at a 6-month
follow-up. RESULTS: Attentional control, emotion regulation, and attachment
avoidance did not predict treatment outcomes. Higher attachment anxiety at
baseline was significantly related to poorer outcome. CONCLUSION: In routine
clinical settings, high attachment anxiety may predict poorer outcomes for group
based CBT.
PMID- 29357765
TI - Accessible miRNAs as Novel Toxicity Biomarkers.
AB - Novel tissue injury biomarkers have recently been identified that outperform or
add value to the conventional safety biomarkers. These novel biomarkers have
enhanced sensitivity and/or specificity in monitoring drug-induced tissue injury
in a variety of tissues, included liver, kidney, and skeletal muscle. Among these
novel biomarkers, microRNAs (miRNAs) are one type in particular that have
received much attention in recent years. These microRNAs are short, endogenous
noncoding nucleic acids that are involved in modulation and regulation of mRNA
transcripts. Other attributes of miRNAs are that they exist in tissues at high
abundance, and individual miRNAs can be highly tissue-specific. These miRNAs can
be readily assayed in blood, urine, or cerebral spinal fluid, making them
attractive as accessible biomarkers of tissue injury. Further, the miRNA
processing involves embedding the miRNA within a protein complex, making them
stable in plasma upon leakage from injured tissues. This review article will
highlight the discovery of tissue-specific miRNAs and their evolution as novel
toxicity biomarkers in recent years.
PMID- 29357767
TI - Letter to the editor regarding 'Percutaneous laser disc decompression versus
conventional microdiscectomy for patients with sciatica: Two-year results of a
randomised controlled trial'.
PMID- 29357766
TI - A Toxicological Assessment of Creatyl-l-Leucine.
AB - A battery of toxicological studies was conducted to investigate the genotoxicity
and repeated-dose oral toxicity of creatyl-l-leucine, a synthetic compound, in
rats in accordance with internationally accepted guidelines. There was no
evidence of mutagenicity in a bacterial reverse mutation test and in an in vitro
mammalian chromosomal aberration test. There was no genotoxic activity observed
in an in vivo mammalian micronucleus test at concentrations up to the limit dose
of 2,000 mg/kg bw/d. Creatyl-l-leucine did not cause mortality or toxic effects
in Hsd.Han Wistar rats in a 90-day repeated-dose oral (gavage) toxicity study at
doses of 1,250, 2,500, and 5,000 mg/kg bw/d. The no observed adverse effect level
from the 90-day study was determined to be 5,000 mg/kg bw/d, the highest dose
tested, for both male and female rats.
PMID- 29357768
TI - Ruptured dissecting aneurysm in communicating internal carotid artery segments
treated using a stent-assisted endovascular technique.
AB - Hemorrhagic intracranial dissecting aneurysms are known to have a poor natural
history and an increased tendency to rebleed. The communicating segment of the
internal carotid artery (ICA) is an infrequent site of dissection that is
difficult to manage using deconstructive endovascular treatment because of the
need to preserve important vascular branches. We report two cases of ruptured
dissecting aneurysms that occurred in communicating segments of the ICA and
treated using a reconstructive endovascular technique involving stent-assisted
coiling. Case 1 was a 59-year-old woman who was diagnosed with subarachnoid
hemorrhage (SAH). Digital subtraction angiography (DSA) indicated a ruptured
dissecting aneurysm that arose from the left communicating segment of the ICA.
Stent-assisted coiling was performed and followed by a second overlapping stent
technique. No deterioration was observed on DSA after one week of follow-up or on
magnetic resonance angiography (MRA) after four months of follow-up. The patient
was discharged without neurological complications (Glasgow Outcome Scale 5). Case
2 was a 34-year-old man who was admitted with a diagnosis of SAH. DSA revealed a
suspected lesion of a ruptured dissecting aneurysm of the left communicating
segment of the ICA. Stent-assisted coiling was performed, and partial occlusion
was achieved. No deterioration was observed on DSA after two weeks of follow-up
or on MRA after six months of follow-up. The patient was discharged without
neurological complications (Glasgow Outcome Scale 5). These cases suggest that
using stent-assisted coiling could be a feasible modality for treating ruptured
ICA dissecting aneurysms in the communicating segment.
PMID- 29357769
TI - Is the body composition development in premature infants associated with a
distinctive nuclear magnetic resonance metabolomic profiling of urine?
AB - OBJECTIVE: Preterm infants' body composition at term-corrected age differs from
that of term infants but appears to be similar at the age of 3 months. The aim of
this study was to compare the metabolomic pattern of preterm infants at term and
at 3 months with that of term infants and to determine its association with body
composition development. METHOD: We designed a pilot study. Growth and body
composition were evaluated by an air displacement plethysmography system in 13
preterm infants and seven term newborns at term and at 3 months of corrected age.
Urine samples were collected at the same time points and analysed by nuclear
magnetic resonance. RESULTS: At term-corrected age, preterm infants showed a
higher fat mass percentage compared with that of term newborns, whereas at 3
months of corrected age, the body composition parameters were similar between the
groups. At the first time point, nuclear magnetic resonance analysis showed a
urinary increase in choline/phosphocholine, betaine and glucose in preterm
infants. At the second time point, the preterm group exhibited a urinary increase
in choline/phosphocholine and a decrease in betaine. CONCLUSIONS: The increased
urinary excretion of choline, a betaine precursor, could reflect a potential
altered metabolism in preterm infants.
PMID- 29357770
TI - Immunologic and Virologic Outcomes of Obese and Nonobese Incarcerated Adults on
Antiretroviral Therapy for HIV Infection.
AB - BACKGROUND: Obesity is common among patients with HIV. The objective of this
study was to characterize response to antiretroviral therapy (ART) in a cohort of
obese incarcerated adults compared to a nonobese cohort. METHODS: A retrospective
matched cohort study was conducted in an HIV telemedicine clinic. Patients with
body mass index (BMI) >30 kg/m2 who received the same ART with >95% adherence for
at least 6 months were matched to nonobese patients by age, gender, ART, CD4
count, and viral load at baseline. RESULTS: Twenty pairs were included, with an
average BMI of 24 kg/m2 in the nonobese cohort and 35 kg/m2 in the obese cohort.
No difference was observed in the proportion of patients who achieved virologic
suppression or the change in CD4 count from baseline to 6 to 12 months.
CONCLUSION: This study revealed no differences in immunologic recovery or
virologic suppression between obese and nonobese patients in an adult
correctional population.
PMID- 29357771
TI - Prevalence of Non-AIDS Comorbidities and Factors Associated with Metabolic
Complications among HIV-Infected Patients at a Thai Referral Hospital.
AB - OBJECTIVES: The prevalence of non-AIDS-related comorbidities is increasing in HIV
infected patients receiving antiretroviral therapy. In Thailand, data regarding
the prevalence of non-AIDS comorbidities and factors associated with metabolic
complications in HIV-infected patients have not been well-documented. METHODS:
This cross-sectional study was conducted in 2011 and included 874 HIV-infected
patients. RESULTS: The age of patients was 45(8) years represented as mean
(standard deviation [SD]). The current CD4 count was 502(247) cells/mm3. In all,
388 (44%) of the included patients had at least 1non-AIDS comorbidity. The most
frequently documented comorbidities were hyperlipidemia in 271 (70%) patients.
Using multivariate analysis, older age(odds ratio [OR] = 1.82, 95% confidence
interval [CI] = 1.51-2.19), male sex (OR = 1.55, 95%CI = 1.14-2.11), high current
CD4 count(OR = 1.00, 95%CI = 1.00-1.00), and taking abacavir (ABC)-containing(OR
= 2.59, 95%CI = 1.16-5.78)and didanosine (ddI)-containing antiretroviral regimens
(OR = 4.16, 95%CI = 1.09-15.84)were associated with the presence of metabolic
complications (all Ps<.05). CONCLUSION: The prevalence of comorbidities is
substantially high. Clinical monitoring and effective management of these
comorbidities and metabolic complications are recommended, especially in HIV
infected patients who present with these associated factors.
PMID- 29357772
TI - HIV RNA Suppression during and after Pregnancy among Women in the HIV Outpatient
Study, 1996 to 2015.
AB - OBJECTIVE: To examine HIV viral suppression during/after pregnancy. DESIGN:
Prospective observational cohort. METHODS: We identified pregnancies from 1996 to
2015. We examined HIV RNA viral load (VL), VL suppression (<=500 copies/mL), and
antiretroviral therapy (ART) status at pregnancy start, end, and 6 months
postpartum. We estimated risk ratios (RRs) and 95% confidence intervals (CIs) for
VL nonsuppression. RESULTS: Among 253 pregnancies analyzed, 34.8% of women
exhibited VL suppression at pregnancy start, 60.1% at pregnancy end, and 42.7% at
6 months postpartum. Median VL (log10 copies/mL) was 2.80 (interquartile range
[IQR]: 1.40-3.85) at pregnancy start, 1.70 (IQR: 1.40-2.82) at pregnancy end, and
2.30 (IQR: 1.40-3.86) at postpartum. Risk of postpartum VL nonsuppression was
also lower among women on ART and with VL suppression at pregnancy end (versus
those not; adjusted RR = 0.30, 95% CI: 0.17-0.53). CONCLUSIONS: Maintaining VL
suppression among US women remains a challenge, particularly during postpartum.
Achieving VL suppression earlier during pregnancy benefits women subsequently.
PMID- 29357773
TI - Total knee arthroplasty in a knee with triple deformity of femur-tibia-extensor
mechanism.
AB - By analyzing a case of total knee arthroplasty (TKA) in a knee with complex
deformity and secondary osteoarthritis, we demonstrated the rationale of
preoperative planning and the detail of intraoperative execution. The lady had
right TKA with combined medial closing wedge femoral osteotomy, neutral wedge
valgus derotational tibial osteotomy, fibular osteotomy, and quadriceplasty. Four
months later, she had left TKA. Osteotomies healed well and she was pain-free at
18 months after right TKA. Combination of intra-articular and extra-articular
correction of deformity was the key to achieve a well-aligned TKA with good soft
tissue balancing in both coronal and sagittal planes. The choice of osteotomy
fixation method should follow the principles of bone healing. Fibular osteotomy
should have been made at the level of tibial osteotomy with caution. Use of
appropriate TKA prosthesis could have enhanced the osteotomy fixation while
decreasing the complication.
PMID- 29357774
TI - Acceleration of the healing process of full-thickness wounds using hydrophilic
chitosan-silica hybrid sponge in a porcine model.
AB - In this study, we evaluated the surface characterization of a novel chitosan
silica hybridized membrane and highlighted the substantial role of silica in the
wound environment. The chemical coupling of chitosan and silica resulted in a
more condensed network compared with pure chitosan, which was eventually able to
stably maintain its framework, particularly in the wet state. In addition, we
closely observed the wound-healing process along with the surface interaction
between chitosan-silica and the wound site using large-surface-area wounds in a
porcine model. Our evidence indicates that chitosan-silica exerts a synergetic
effect of both materials to promote a remarkable wound-healing process. In
particular, the silica in chitosan-silica accelerated wound closure including
wound contraction, and re-epithelialization via enhancement of cell recruitment,
epidermal maturity, neovascularization, and granulation tissue formation compared
with pure chitosan and other commercial dressing materials. This advanced wound
dressing material may lead to effective treatment for problematic cutaneous
wounds and can be further applied for human skin regeneration.
PMID- 29357775
TI - Calcium carbonate end-capped, folate-mediated Fe3O4@mSiO2 core-shell nanocarriers
as targeted controlled-release drug delivery system.
AB - Magnetic mesoporous silica nanospheres (MMSN) were prepared and the surface was
modified with cancer cell-specific ligand folic acid. Calcium carbonate was then
employed as acid-activated gatekeepers to cap the mesopores of the MMSN, namely,
MMSN-FA-CaCO3. The formation of the MMSN-FA-CaCO3 was proved by several
characterization techniques, viz. transmission electron microscopy, zeta
potential measurement, Fourier transform infrared spectroscopy, BET surface area
measurement, and UV-Vis spectroscopy. Daunomycin was successfully loaded in the
MMSN-FA-CaCO3 and the system exhibited sensitive pH stimuli-responsive release
characteristics under blood or tumor microenvironment. Cellular uptake by folate
receptor (FR)-overexpressing HeLa cells of the MMSN-FA-CaCO3 was higher than that
by non-folated-conjugated ones. Intracellular-uptake studies revealed
preferential uptake of these nanoparticles into FR-positive [FR(+)] HeLa than FR
negative [FR(-)]A549 cell lines. DAPI stain experiment showed high apoptotic rate
of MMSN-FA-DNM-CaCO3 to HeLa cells. The present data suggest that the CaCO3
coating and folic acid modification of MMSN are able to create a targeted, pH
sensitive template for drug delivery system with application in cancer therapy.
PMID- 29357776
TI - Comparison of Lurasidone Versus Quetiapine for the Treatment of Delirium in
Critically Ill Patients.
AB - OBJECTIVE: To evaluate the efficacy and safety of lurasidone compared with
quetiapine for treatment of delirium in critically ill patients. DESIGN:
Prospective, observational cohort study. SETTING: Single-center community
teaching hospital. PATIENTS: Forty adult intensive care unit (ICU) patients with
delirium (Confusion Assessment Method in the ICU positive), tolerating enteral
nutrition, and without active alcohol withdrawal or prior use of atypical
antipsychotics. INTERVENTIONS: Patients were treated at the discretion of the
prescriber with either lurasidone or quetiapine for delirium. Dose escalation
and/or discontinuation were determined at the discretion of individual providers.
RESULTS: Baseline characteristics differed with a higher severity of illness in
patients in the quetiapine group (n = 20) and a higher baseline QTc interval in
the lurasidone group (n = 20). No significant difference was seen in the time to
delirium resolution (3.2 vs 3.4 days), average daily haloperidol requirements
(5.7 vs 6.9 mg), hospital length of stay (LOS; 23.6 vs 27.9 days), or ICU LOS
(12.1 vs 14.2 days). Lurasidone was associated with fewer ventilator support days
(4.0 [interquartile range, IQR: 2.3-6.8] days vs 7 [IQR: 4.0-9.8; P = .0295]
days) but also a fewer number of delirium-free days (0 [IQR: 0-1.0] days vs 2
[IQR: 0-3.0; P = .0231] days). Additionally, no difference was seen for ICU
mortality (20% vs 20%), percentage of time oversedated (2.8% vs 2.7%), or
incidence of QTc prolongation (10.0% vs 10.0%). CONCLUSIONS: Lurasidone for the
treatment of delirium in critically ill patients did not differ in the time to
delirium resolution when compared to quetiapine. Additionally, the incidence of
QTc prolongation between agents does not appear to be different. Future
randomized trials should evaluate dose escalation schemes and a larger proportion
of patients to evaluate differences in mortality, efficacy, and life-threatening
arrhythmias associated with atypical antipsychotic use.
PMID- 29357777
TI - Outcomes and Costs of Patients Admitted to the Intensive Care Unit Due to
Accidental or Intentional Poisoning.
AB - INTRODUCTION: Acute poisoning represents a major cause of morbidity and
mortality, and many of these patients are admitted to the intensive care unit
(ICU). However, little is known regarding ICU costs of acute poisoning. METHODS:
This was a retrospective matched database analysis of patients admitted to the
ICU with acute poisoning from 2011 to 2014. It was performed in 2 ICUs within a
single tertiary care hospital system. All patient information, outcomes, and
costs were stored in the hospital data warehouse. Control patients were defined
as randomly selected age-, sex-, severity index-, and comorbidity index-matched
nonpoisoned ICU patients (1:4 matching ratio). RESULTS: A total of 8452
critically ill patients were admitted during the study period, of whom 277 had a
diagnosis of acute poisoning. The mean age was 44.5 years, and the most common
xenobiotics implicated were sedative hypnotics (20.2%), antidepressants (15.2%),
and opioids (10.5%). Of these, 73.6% of poisonings were deemed intentional. In
hospital mortality of poisoned patients was 5.1%, compared to 11.1% for control
patients ( P < .01). The median ICU length of stay (LOS) for poisoned patients
was 3.0 days, compared with 4.0 days for control patients ( P < .01). The mean
total cost for poisoned patients was CAD$18 958. Control patients had a
significantly higher mean total cost of CAD$60 628 ( P < .01). The xenobiotics
associated with the highest costs were acetaminophen (CAD$18 585), toxic alcohols
(CAD$16 771), and opioids (CAD$12 967). CONCLUSIONS: In our cohort, we confirmed
the long-held belief that patients admitted to the ICU with a primary diagnosis
of poisoning have a lower mortality rate, ICU LOS, and overall cost per ICU
admission than nonpoisoned patients. However, poisoned patients still accrue
significant daily costs, with the highest costs attributed to xenobiotics with
known antidotes, such as acetaminophen, toxic alcohols, and opioids.
PMID- 29357778
TI - Normal Baseline Function Is Associated With Delayed Rehabilitation in Critically
Ill Children.
AB - BACKGROUND: Early mobilization of patients in the adult intensive care unit (ICU)
is associated with improved functional outcomes and shorter ICU stay. Although
emerging evidence suggests that early mobilization in pediatric ICUs (PICUs) is
safe and feasible, physical therapist (PT) consultation may be delayed because of
perceptions that patient acuity precludes mobilization activities. Factors that
influence timely involvement of PTs to facilitate acute rehabilitation in
critically ill children have not been characterized. The aim of this study was to
identify patient-level factors for early PT consultation in a tertiary care PICU
before large-scale implementation of a multicomponent early mobilization program.
METHODS: We conducted a retrospective analysis of data from the PICU Up! Quality
Improvement Initiative. The primary outcome was early rehabilitation, defined as
PT consultation within the first 3 days of PICU admission. Patients (n = 100)
were divided into 2 groups by outcome, and predictive factors for early
rehabilitation were analyzed with logistic regression. RESULTS: Of 100 children,
54% received early rehabilitation. In univariate analyses, higher pediatric risk
of mortality (PRISM) score ( P < .001), baseline motor impairment ( P < .01),
developmental delay ( P = .04), mechanical ventilation ( P = .1), and number of
devices ( P = .01) were associated with early rehabilitation. In a logistic
regression model, predictive factors for early rehabilitation included baseline
motor impairment (adjusted odds ratio = 5.36, 95% confidence interval [CI] = 1.3
22.0) and higher PRISM score (adjusted odds ratio = 1.17, 95% CI = 1.02-1.34).
CONCLUSIONS: Critically ill children with normal baseline function or lower
acuity of illness are less likely to have initiation of early rehabilitation with
PT prior to implementation of a unit-wide early mobilization program. Baseline
motor impairment and higher PRISM scores were independently associated with early
rehabilitation. These findings highlight the need for streamlined criteria for PT
consultation to meet the rehabilitation needs of all critically ill patients.
PMID- 29357779
TI - Adversity and Resilience Are Associated with Outcome after Mild Traumatic Brain
Injury in Military Service Members.
AB - The objective of this study was to assess the associations between resilience,
adversity, post-concussion symptoms, and post-traumatic stress symptom reporting
after mild traumatic brain injury (mTBI). We hypothesized that resilience would
be associated with less symptom reporting, and adversity would be associated with
greater symptom reporting. This was a cross-sectional study of retrospective data
collected for an ongoing TBI repository. United States military service members
who screened positive for mTBI during a primary care visit completed the Trauma
History Screen (THS), Connor-Davidson Resilience Scale (CD-RISC), Neurobehavioral
Symptom Inventory (NSI), and post-traumatic stress disorder (PTSD) Checklist
Civilian Version (PCL-C). Data collected from February 2015 to August 2016 were
used for the present study. Only participants with complete data for the above
measures were included, yielding a sample size of 165 participants. Adversity
(THS) and resilience (CD-RISC) scores were each correlated significantly with
post-concussion (NSI) and traumatic stress (PCL-C) total and subscale scores in
the hypothesized direction. Interactions between adversity and resilience were
absent for all measures except the NSI sensory subscale. Four traumatic event
types were significantly associated positively with most NSI and PCL-C total and
subscale scores, but the age at which traumatic events were first experienced
showed few and mixed significant associations. In conclusion, resilience and
adversity were significantly associated with symptom endorsement after mTBI.
Screening for cumulative adversity may identify individuals at greater risk of
developing persistent post-concussion symptoms and/or PTSD, and interventions
that increase resilience may reduce symptom severity.
PMID- 29357780
TI - Crizotinib-induced erosive esophagitis in a pediatric patient with neuroblastoma.
AB - Crizotinib is an oral tyrosine kinase inhibitor, approved by the FDA in 2011, for
use in anaplastic lymphoma kinase positive, metastatic, non-small cell lung
cancer. Crizotinib inhibits oncogenic protein expression and impairs cellular
proliferation in tumors with an overexpressed anaplastic lymphoma kinase gene.
Currently used most frequently in the adult patient population, pediatric use is
becoming more prominent, specifically in disease states exhibiting anaplastic
lymphoma kinase-positive, metastatic disease, such as neuroblastoma.
Approximately 8% of neuroblastomas have activating anaplastic lymphoma kinase
mutations, making this a promising target for a difficult-to-treat disease.
Studies in the pediatric population are limited. However, targeted anaplastic
lymphoma kinase-inhibitor therapies have shown improved outcomes at both one-year
and two-year marks in both overall survival and progression free survival in
anaplastic lymphoma kinase-positive adult patients with non-small cell lung
cancer. One Children's Oncology Group phase I trial examined toxicities
associated with anaplastic lymphoma kinase inhibitor therapy in pediatric
patients. Results revealed varying grades in severity of neutropenia, dizziness,
and liver function test elevation. In the adult population, severe toxicities
reported by the manufacturer include effects on liver, cardiac and lung function.
Additionally, several cases of severe, erosive, pill-esophagitis due to
crizotinib therapy have been documented in the adult population. Erosive
esophagitis is common in the pediatric population due to a variety of factors.
Ingestion of medications or other corrosive agents accounts for approximately 3
5% (5000-10,000 cases per year) of esophagitis presentation in the pediatric
population. Common causative medications include non-steroidal anti-inflammatory
drugs, antibiotics such as doxycycline and tetracycline, and ferrous sulfate.
Presented here is the first reported case of crizotinib-induced pill esophagitis
in a pediatric patient.
PMID- 29357781
TI - Dynamic dosing of romiplostim in patients with immune thrombocytopenia purpura:
Two case reports.
AB - Romiplostim is a thrombopoietin receptor agonist approved for the treatment of
immune thrombocytopenia purpura. When following FDA-approved romiplostim
prescribing recommendations to withhold treatment for platelet counts above
400k/uL, some patients exhibit a precipitous decline in their platelet count
potentially causing patient harm. We present two cases where stable platelet
counts were achieved only through persistent weekly dosing of romiplostim despite
platelet counts above 400k/uL on the day of administration. Therefore, continuous
weekly dosing of romiplostim despite platelet count being above 400k/uL combined
with twice weekly vigilant monitoring is an alternative method of romiplostim
dosing that mitigates severe fluctuations in platelets. We also discuss important
details, postulated mechanisms, and evidence-based mitigation strategies.
PMID- 29357782
TI - Aplastic anemia secondary to propylthiouracil: A rare and life-threatening
adverse effect.
AB - Background Propylthiouracil has been in use for more than half a century for the
treatment of hyperthyroidism. While it is largely known to cause agranulocytosis,
its association with aplastic anemia is rarely heard of. Our case will be the
third in literature to suggest aplastic anemia as a manifestation of
propylthiouracil, which unfortunately culminated in the death of the patient.
Case A 67-year-old female, with recently diagnosed metastatic adenocarcinoma of
the lung, developed hyperthyroidism after being started on Nivolumab and
Iplimumab. After she developed atrial fibrillation, she was started on
propylthiouracil to control the thyroid activity. Soon after that, she was
admitted with severe neutropenia, which progressed to pancytopenia confirmed as
aplastic anemia on a bone marrow biopsy. Despite discontinuation of
propylthiouracil and aggressive treatment, she developed septic shock and multi
organ failure, leading to her death. Conclusion Aplastic anemia has been
sparingly reported as an extremely rare complication of propylthiouracil. Further
adding to the ambiguity is the unknown etiology and lack of specific therapy for
the complication when attributed to propylthiouracil. The disease can carry an
extremely poor prognosis if untreated, as proven by our case. Due to the same
reasons, we recommend that further investigations be done to elucidate the
pathogenesis and assist with treatment of the disease when caused by
propylthiouracil.
PMID- 29357783
TI - The ever-changing engram: towards an integrated understanding of long-term memory
dynamics.
PMID- 29357784
TI - Outcome and Safety of Radical Resection in Non-Small Cell Lung Cancer Patients
via Glasses-Free 3-Dimensional Video-Assisted Thoracoscope Versus 2-Dimensional
Video-Assisted Thoracoscope.
AB - OBJECTIVES: The investigation was aimed to evaluate the safety and efficacy of
glasses-free 3-dimensional (3D) video-assisted thoracoscopic surgery (VATS)
versus 2D VATS for radical resection of non-small cell lung cancer (NSCLC).
METHODS: We reviewed the clinical data of patients with pathologically proven
NSCLC who underwent glasses-free 3D (the 3D group) and 2D VATS radical lobectomy
(the 2D group) with systematic lymph node dissection. The outcomes of this study
included operative characteristics and safety of 2D and 3D VATS, and duration of
lymphadenectomy of right stations 2 and 4. RESULTS: A total of 190 patients were
eligible for the study. The 2D group consisted of 108 patients while the 3D group
included 82 patients. The 2 groups were comparable in demographic and baseline
variables ( P > .05). The median number of resected lymph nodes was 19 in both
groups ( P = .583). The median length of hospital stay was comparable between the
2 groups (2D, 7 days vs 3D, 8 days; P = .167). No operative mortality was
reported in either group. Complications developed in 21 (19.4%) patients in the
2D group and 14 (17.1%) in the 3D group ( P = .710). A subgroup analysis of
patients who underwent right station 2 and 4 lymphadenectomy showed that the mean
time for right station 2 and 4 lymph node dissection was significantly shorter in
the 3D group than in the 2D group (3D, 430.9 +/- 237.2 vs 2D, 648.6 +/- 364.1
seconds; P < .001). CONCLUSIONS: Glasses-free 3D VATS and 2D VATS are comparable
in operative characteristics and safety profile for radical resection of NSCLC.
Glasses-free 3D visualization facilitates more rapid right-sided mediastinal
lymphadenectomy.
PMID- 29357785
TI - Safety, Efficacy, and Timeliness of Intravenous Potassium Chloride Replacement
Protocols in a Pediatric Cardiothoracic Intensive Care Unit.
AB - OBJECTIVE: Hypokalemia in children following cardiac surgery occurs frequently,
placing them at risk of life-threatening arrhythmias. However, renal
insufficiency after cardiopulmonary bypass warrants careful administration of
potassium (K+). Two different nurse-driven protocols (high dose and tiered
dosing) were implemented to identify an optimal K+ replacement regimen, compared
to an historical low-dose protocol. Our objective was to evaluate the safety,
efficacy, and timeliness of these protocols. DESIGN: A retrospective cohort
review of pediatric patients placed on intravenous K+ replacement protocols over
1 year was used to determine efficacy and safety of the protocols. A prospective
single-blinded review of K+ repletion was used to determine timeliness. PATIENTS:
Pediatric patients with congenital or acquired cardiac disease. SETTING: Twenty
four-bed cardiothoracic intensive care unit in a tertiary children's hospital.
INTERVENTIONS: Efficacy was defined as fewer supplemental potassium chloride
(KCl) doses, as well as a higher protocol to total doses ratio per patient.
Safety was defined as a lower percentage of serum K+ levels >=4.8 mEq/L after a
dose of KCl. Between-group differences were assessed by nonparametric univariate
analysis. RESULTS: There were 138 patients with a median age of 3.0
(interquartile range: 0.23-10.0) months. The incidence of K+ levels >=4.8 mEq/L
after a protocol dose was higher in the high-dose protocol versus the tiered
dosing protocol but not different between the low-dose and tiered-dosing
protocols (high dose = 2.2% vs tiered dosing = 0.5%, P = .05). The ratio of
protocol doses to total doses per patient was lower in the low-dose protocol
compared to the tiered-dosing protocol ( P < .05). Protocol doses were
administered 45 minutes faster ( P < .001). CONCLUSION: The tiered-dosed, nurse
driven K+ replacement protocol was associated with decreased supplemental K+
doses without increased risk of hyperkalemia, administering doses faster than
individually ordered doses; the protocol was effective, safe, and timely in the
treatment of hypokalemia in pediatric patients after cardiac surgery.
PMID- 29357786
TI - Cultural Aspects of End-of-Life Care Planning for African Americans: An
Integrative Review of Literature.
AB - Advance directive completion rates among the general population are low. Studies
report even lower completion rates among African Americans are affected by
demographic variables, cultural distinctives related to patient autonomy,
mistrust of the health care system, low health literacy, strong spiritual
beliefs, desire for aggressive interventions, importance of family-communal
decision making, and presence of comorbidities. An integrative review was
conducted to synthesize nursing knowledge regarding cultural perspectives of end
of-life and advance care planning among African Americans. Twenty-four articles
were reviewed. Nurses educate patients and families about end-of-life planning as
mandated by the Patient Self-Determination Act of 1991. Implementation of advance
directives promote patient and family centered care, and should be encouraged.
Clinicians must be sensitive and respectful of values and practices of patients
of diverse cultures, and initiate conversations with open-ended questions
facilitating patient trust and sharing within the context of complex beliefs,
traditions, and lifeways.
PMID- 29357788
TI - Recent Advances in the Development of Antimicrobial Peptides (AMPs): Attempts for
Sustainable Medicine?
AB - BACKGROUND: Since the first isolation of antimicrobial peptides (AMPs) they have
attracted extensive interest in medicinal chemistry. However, only a few AMP
based drugs are currently available on the market. Despite their effectiveness,
biodegradability, and versatile mode of action that is less likely to induce
resistance compared to conventional antibiotics, AMPs suffer from major issues
that need to be addressed to broaden their use. Notably, AMPs can lack
selectivity leading to side effects and cytotoxicity, and also exhibit in vivo
instability. Several strategies are being actively considered to overcome the
limitations that restrain the success of AMPs. METHODS: In the current work,
recent strategies reported for improving AMPs in the context of drug design and
delivery were surveyed, and also their possible impact on patients and the
environment was assessed. RESULTS: As a major advantage AMPs possess an easily
tunable skeleton offering opportunities to improve their properties. Strategic
structural modifications and the beneficial properties of cyclic or branched AMPs
in term of stability have been reported. The conjugation of AMPs with
nanoparticles has also been explored to increase their in vivo stability. Other
techniques such as the coupling of AMPs with specific antibodies aim to increase
the selectivity of the potential drug towards the target. These strategies were
evaluated for their effect on the environment highlighting green technologies.
CONCLUSION: Although further research is needed taking into account both
environmental and human health consequences of novel AMPs, several of these
compounds are promising drug candidates for use in sustainable medicine.
PMID- 29357787
TI - Knockdown of Annexin A1 Enhances Radioresistance and Inhibits Apoptosis in
Nasopharyngeal Carcinoma.
AB - Radiotherapy is the primary treatment for nasopharyngeal carcinoma while
radioresistance can hinder efficient treatment. To explore the role of annexin A1
and its potential mechanisms in radioresistance of nasopharyngeal carcinoma,
human nasopharyngeal carcinoma cell line CNE2-sh annexin A1 (knockdown of annexin
A1) and the control cell line CNE2-pLKO.1 were constituted and CNE2-sh annexin A1
xenograft mouse model was generated. The effect of annexin A1 knockdown on the
growth of xenograft tumor after irradiation and radiation-induced DNA damage and
repair was analyzed. The results of immunohistochemistry assays and Western
blotting showed that the level of annexin A1 was significantly downregulated in
the radioresistant nasopharyngeal carcinoma tissues or cell line compared to the
radiosensitive nasopharyngeal carcinoma tissues or cell line. Knockdown of
annexin A1 significantly promoted CNE2-sh annexin A1 xenograft tumor growth
compared to the control groups after irradiation. Moreover, the terminal
deoxynucleotidyl transferase-mediated dUTP nick end labeling assays revealed that
knockdown of annexin A1 significantly inhibited apoptosis in vivo compared to the
control groups. We assessed the intracellular reactive oxygen species levels and
the extent of radiation-induced DNA damage and repair using reactive oxygen
species assay, comet assays, and immunohistochemistry assay. The results showed
that knockdown of annexin A1 remarkedly reduced the intracellular reactive oxygen
species levels, level of DNA double-strand breaks, and the phosphorylation level
of H2AX and increased the accumulation of DNA-dependent protein kinase in
nasopharyngeal carcinoma cells after irradiation. The findings suggest that
knockdown of annexin A1 inhibits DNA damage via decreasing the generation of
intracellular reactive oxygen species and the formation of gamma-H2AX and
promotes DNA repair via increasing DNA-dependent protein kinase activity and
therefore improves the radioresistance in nasopharyngeal carcinoma cells.
Together, our findings suggest that knockdown of annexin A1 promotes
radioresistance in nasopharyngeal carcinoma and provides insights into
therapeutic targets for nasopharyngeal carcinoma radiotherapy.
PMID- 29357789
TI - Current State of In vitro Cell-Based Renal Models.
AB - BACKGROUND: Renal proximal tubule (PT) epithelial cells, expressing uptake and
efflux transporters at basolateral and apical membranes, are the location of
active renal drug secretion and reabsorption. In addition to singly transfected
cells, an in vitro renal cell-based model is a requirement to study the active
renal secretion of drugs, drug-drug interactions (DDIs), drug-induced kidney
injury, nephrotoxicity holistically and potentially renal replacement therapies.
OBJECTIVES: So far, two-dimensional (2D) cell culture of primary and immortalized
PT cells has been the only tool to study drugs active secretion, interactions and
nephrotoxicity, however a number of in vivo characteristics of cells such as drug
transporter expression and function, along with morphological features are lost
during in vitro cell culture. Cellular microenvironment, extracellular matrix,
cell-cell interactions, microfluidic environment and tubular architecture are the
factors lacking in 2D cell culture. Currently, there are a few 3D cell culture
platforms mimicking the in vivo conditions of PT cells and thus potentially
enabling the necessary factors for the full functional PT cells. CONCLUSION: In
this review, we address in vivo physiological and morphological characteristics
of PT cells, comparing their available sources and remaining in vivo features. In
addition, 2D and 3D cell culture platforms and the influence of cell culture
architecture on the physiological characteristics of cells are reviewed. Finally,
future perspective of 3D models, kidney and multi organs on a chip, generation of
kidney organoids, other ex vivo renal models and their capabilities to study drug
disposition and in vitro-in vivo extrapolation are described.
PMID- 29357790
TI - A New Era for Hemoglobinopathies: More Than One Curative Option.
AB - Hemoglobinopathies, including severe beta-thalassemia and sickle cell disease,
represent the most common monogenic disorders worldwide. Allogeneic hematopoietic
stem cell transplantation (allo-HCT) is the only approved curative option for
these syndromes, albeit limited to patients having a suitable donor. Gene
therapy, by making use of the patient's own hematopoietic stem cells to introduce
a normal copy of the beta-globin gene by viral vectors, bridged the gap between
the need for cure of patients with hemoglobinopathies and the lack of a donor,
without incurring the immunological risks of allo-HSCT. However, gene therapy for
hemoglobinopathies proved a difficult and elusive goal for decades and only
recently, lenti-viral vector gene therapy was successfully transferred to the
clinic. Importantly, during the last years, additional curative options for
patients with thalassemia and sickle cell disease are being developed, based on
the ability to manipulate the genome by employing programmable nucleases and next
generation genome-modifying tools, thus providing the exciting prospects of
targeted in-situ gene correction. In this review, we will summarize current
developments in the new era of treatment for hemoglobinopathies, elaborate on
lessons gained from gene therapy trials and discuss the exciting prospects and
challenges of genome editing.
PMID- 29357791
TI - Neurodegenerative Disorders Treatment: The MicroRNA Role.
AB - Neurodegenerative diseases such as Alzheimer's disease, Parkinson's disease,
amyotrophic lateral sclerosis, Huntington's disease and prion disease are not
timely and effectively treated using conventional therapies. This emphasizes the
need for alternative therapeutic approaches. In this respect, gene-based
therapies have been adopted as potentially feasible alternative therapies, where
the microRNA (miRNA) approach has experienced a great explosion in recent years.
Because miRNAs have been shown to be implicated in the pathogenesis of several
diseases including neurodegenerative diseases, they are intensely studied as
candidates for diagnostic and prognostic biomarkers, as predictors of drug
response and as therapeutic agents. In this review, we evaluate the feasibility
of both direct and indirect miRNA mimics and inhibitors toward the regulation of
neurodegenerative-related genes both in vivo and in vitro models, highlight the
advantages and drawbacks associated with miRNA-based therapy, and summarize the
relevant techniques and approaches attempted to deliver miRNAs to the central
nervous system for therapeutic purposes, with particular regard to the exosomes.
Additionally, we describe a new approach that holds great promise for the
treatment of a wide range of diseases including neurodegenerative disorders. This
approach is based on addressing the incorporation of miRNAs into exosomes to
increase the quantity and quality of miRNA packed and delivered to the central
nervous system and other sites of action.
PMID- 29357792
TI - Nonviral Delivery Systems for Cancer Gene Therapy: Strategies and Challenges.
AB - Gene therapy has been receiving widespread attention due to its unique advantage
in regulating the expression of specific target genes. In the field of cancer
gene therapy, modulation of gene expression has been shown to decrease oncogenic
factors in cancer cells or increase immune responses against cancer. Due to the
macromolecular size and highly negative physicochemical features of plasmid DNA,
efficient delivery systems are an essential ingredient for successful gene
therapy. To date, a variety of nanostructures and materials have been studied as
nonviral gene delivery systems. In this review, we will cover nonviral delivery
strategies for cancer gene therapy, with a focus on target cancer genes and
delivery materials. Moreover, we will address current challenges and perspectives
for nonviral delivery-based cancer gene therapeutics.
PMID- 29357793
TI - Vascular Risk Factors and Lesions of Vascular Nature in Magnetic Resonance as
Predictors of Progression to Dementia in Patients with Mild Cognitive Impairment.
AB - BACKGROUND: Evidence of the effect of vascular risk factors and white matter
lesions on the progression of mild cognitive impairment (MCI) to dementia is not
conclusive. OBJECTIVE: The study aimed to analyze the impact of these factors on
MCI progression to dementia from a global perspective. METHODS: Our study
included a population of 105 patients with MCI. RESULTS: After a mean follow-up
period of 3.09 years (range, 2-3.79), 47 patients (44.76%) progressed to
dementia: 32 (30.8%) to mixed dementia, 13 (12.5%) to probable AD, and 2 (1.9%)
to vascular dementia. Total cholesterol levels (OR: 1.015 [1.003-1.028]) and LDL
cholesterol levels (OR: 1.018 [1.004-1.032]) increased the risk of progression to
dementia. Cystatin C was a protective factor against progression to dementia (OR:
0.119 [0.015-0.944], p = 0.044). During the second year of follow-up, the
presence of subcortical white matter hyperintensities increased the risk of
progression to dementia (OR: 5.854 [1.008- 33.846]). Subcortical and
periventricular white matter hyperintensities were also associated with an
increased risk of progression to dementia during the second year of follow-up
(OR: 3.130 [1.098-8.922] and OR: 3.561 [1.227-10.334], respectively). The same
was true for silent infarcts (OR: 4.308 [1.480- 12.500]). CONCLUSION: A high
percentage of patients progressed to dementia. Total cholesterol, LDL
cholesterol, and white matter hyperintensities were found to be associated with
MCI progression to dementia. In contrast, cystatin C was shown to be a protective
factor against progression to dementia.
PMID- 29357794
TI - AbetaPP-induced UPR Transcriptomic Signature of Glial Cells to Oxidative Stress
as an Adaptive Mechanism to Preserve Cell Function and Survival.
AB - BACKGROUND: Alzheimer's disease (AD) and age-related macular degeneration (AMD)
present similarities, particularly with respect to oxidative stress, including
production of 4-Hydroxy-2- nonenal (HNE). AMD has been named the AD in the eye.
The Muller cells (MC) function as a principal glia of the retina and maintain
water/potassium, glutamate homeostasis and redox status. Any MC dysfunction
results in retinal neurodegeneration. OBJECTIVES: We investigated the effects of
HNE in human MC. RESULTS: HNE induced an increase of the reactive oxygen species
associated with mitochondrial dysfunction and apoptosis. HNE induced endoplasmic
reticulum (ER) stress (upregulation of GRP78/Bip, and the proapoptotic factor,
CHOP). HNE also impaired expression of genes controlling potassium homeostasis
(KCNJ10), glutamate detoxification (GS), and the visual cycle (RLBP1). MC
adaptive response to HNE included upregulation of amyloid-beta protein precursor
(AbetaPP). To determine the role of AbetaPP, we overexpressed AbetaPP in MC.
Overexpression of AbetaPP induced strong antioxidant and anti-ER stress (PERK
downregulation and GADD34 upregulation) responses accompanied by activation of
the prosurvival branch of the unfolded protein response. It was also associated
with upregulation of major genes involved in MC-controlled retinal homeostasis
(KCNJ10, GS, and RLBP1) and protection against HNE-induced apoptosis. Therefore,
AbetaPP is an ER and oxidative stress responsive molecule, and is able to
stimulate the transcription of major genes involved in MC functions impaired by
HNE. CONCLUSION: Our study suggests that targeting oxidative and ER stress might
be a potential therapeutic strategy against glia impairment in AMD and AD, in
light of the common features between the two pathologies.
PMID- 29357795
TI - Tolfenamic Acid: A Modifier of the Tau Protein and its Role in Cognition and
Tauopathy.
AB - BACKGROUND: Tangles are deposits of hyperphosphorylated tau, which are found in
multiple neurodegenerative disorders that are referred to as tauopathies, of
which Alzheimer's disease (AD) is the most common. Tauopathies are clinically
characterized by dementia and share common cortical lesions composed of
aggregates of the protein tau. OBJECTIVE: In this study, we explored the
therapeutic potential of tolfenamic acid (TA), in modifying disease processes in
a transgenic animal model that carries the human tau gene (hTau). METHODS:
Behavioral tests, Western blotting and Immunohistochemical analysis were used to
demonstrate the efficacy of TA. RESULTS: Treatment of TA improved improving
spatial learning deficits and memory impairments in young and aged hTau mice.
Western blot analysis of the hTau protein revealed reductions in total tau as
well as in sitespecific hyperphosphorylation of tau in response to TA
administration. Immunohistochemical analysis for phosphorylated tau protein
revealed reduced staining in the frontal cortex, hippocampus, and striatum in
animals treated with TA. CONCLUSION: TA holds the potential as a disease
modifying agent for the treatment of tauopathies including AD.
PMID- 29357796
TI - Autoimmunity and Frontotemporal Dementia.
AB - BACKGROUND: Frontotemporal Dementia (FTD) is a neurodegenerative disorder which
asymmetrically affects the frontotemporal lobe, characterized by behavioural
abnormalities, language impairment, and deficits of executive functions. Genetic
studies identified mutations causing the disease, namely Microtubule Associated
Protein Tau (MAPT), Granulin (GRN) and chromosome 9 open reading frame 72
(C9orf72) mutations, which contributed to elucidate the molecular pathways
involved in brain depositions of either Tau or TAR DNA-binding protein 43 (TDP43)
inclusions. However, in the majority of sporadic FTD patients, the mechanisms
triggering Tau or TDP43 protein deposition are still to be uncovered. OBJECTIVE:
We aimed to present an extensive evaluation of literature data on immune
homeostasis in FTD, in order to provide potentially evidence-based approaches for
a disease still orphan of any treatment. METHODS: A structured search of
bibliographic databases from peer-reviewed literature was pursued focusing on
autoimmunity in the brain and FTD. RESULTS: One-hundred-fourteen papers were
included in this review. The majority of studies (32) were represented by
extensive literature revision on immunity, central nervous system (CNS) and
autoimmunity; neuroimaging papers (11) in autoimmune diseases were evaluated, and
immunomodulatory approaches (25) were revised. Six papers were found specifically
related to FTD and autoimmune hypothesis, the other papers referring to current
state of art on FTD. CONCLUSION: Overall this review contribute to expand the
knowledge of a possible immune hypothesis in FTD, suggesting therapeutic
perspectives in autoimmune related neurodegeneration, to reduce or revert the
disease.
PMID- 29357797
TI - Characteristics of Insulin-degrading Enzyme in Alzheimer's Disease: A Meta
Analysis.
AB - BACKGROUND: beta-amyloid (Abeta) accumulates abnormally to senile plaque which is
the initiator of Alzheimer's disease (AD). As one of the Abeta-degrading enzymes,
Insulin-degrading enzyme (IDE) remains controversial for its protein level and
activity in Alzheimer's brain. METHODS: The electronic databases PubMed, EMBASE,
The Cochrane Library, OVID and Sinomed were systemically searched up to Sep.
20th, 2017. And the published case-control or cohort studies were retrieved to
perform the meta-analysis. RESULTS: Seven studies for IDE protein level (AD cases
= 293; controls = 126), three for mRNA level (AD cases = 138; controls = 81), and
three for enzyme activity (AD cases = 123; controls = 75) were pooling together.
The IDE protein level was significantly lower in AD cases than in controls (SMD =
- 0.47, 95% CI [-0.69, -0.24], p < 0.001), but IDE mRNA and enzyme activity had
no significant difference (SMD = 0.02, 95% CI [-0.40, 0.43] and SMD = 0.06, 95%
CI [-0.41, 0.53] respectively). Subgroup analyses found that IDE protein level
was decreased in both cortex and hippocampus of AD cases (SMD = -0.43, 95% CI [
0.71, -0.16], p = 0.002 and SMD = -0.53, 95% CI [-0.91, -0.15], p = 0.006
respectively). However, IDE mRNA was higher in cortex of AD cases (SMD = 0.71,
95% CI [0.14, 1.29], p = 0.01), not in hippocampus (SMD = -0.26, 95% CI [-0.58,
0.06]). CONCLUSIONS: Our results indicate that AD patients may have lower IDE
protease level. Further relevant studies are still needed to verify whether IDE
is one of the factors affecting Abeta abnormal accumulation and throw new
insights for AD detection or therapy.
PMID- 29357798
TI - Vascular Risk Factors, Vascular Diseases, and Imaging Findings in a Hospital
based Cohort of Mild Cognitive Impairment Types.
AB - BACKGROUND: Mild Cognitive Impairment (MCI) is a transitional state between
normal cognition and dementia. OBJECTIVE: The aim of this study is to investigate
the role of vascular risk factors, vascular diseases, cerebrovascular disease and
brain atrophy in a large hospital-based cohort of MCI types including 471
amnestic MCI (a-MCI), 693 amnestic MCI multiple domain (a-MCImd), 322 single non
memory MCI (snm-MCI), and 202 non amnestic MCI multiple domain (na-MCImd). For
comparison, 1,005 neurologically and cognitively healthy subjects were also
evaluated. METHOD: Several vascular risk factors and vascular diseases were
assessed. All participants underwent neurological, neuropsychological and
behavioural assessments as well as carotid ultrasonography and standard brain
MRI. Multinomial logistic regression models on the MCI cohort with the NCH group
and a-MCI type as reference categories were used to assess the effects of the
variables evaluated on the estimated probability of one of the four MCI types.
RESULTS: This study demonstrates that cerebrovascular disease contributes
substantially to the risk of non-memory MCI types and a-MCImd type, and that
brain atrophy is present in all MCI types and is greater in multiple domain types
particularly in the na-MCI type. CONCLUSION: Improving detection and control of
cerebrovascular disease in aging individuals should be mandatory. Since the
incidence of MCI and dementia will be expected to rise because of the progressive
life expectancy, a better management of cerebrovascular disease could indeed
prevent or delay the onset of MCI, or could delay progression of MCI to dementia.
PMID- 29357799
TI - Estimating Alzheimer's Disease Progression Rates from Normal Cognition Through
Mild Cognitive Impairment and Stages of Dementia.
AB - BACKGROUND: Alzheimer's Disease (AD) can be conceptualized as a continuum:
patients progress from normal cognition to mild cognitive impairment (MCI) due to
AD, followed by increasing severity of AD dementia. Prior research has measured
transition probabilities among later stages of AD, but not for the complete
spectrum. OBJECTIVE: To estimate annual progression rates across the AD continuum
and evaluate the impact of a delay in MCI due to AD on the trajectory of AD
dementia and clinical outcomes. METHODS: Patient-level longitudinal data from the
National Alzheimer's Coordinating Center for n=18,103 patients with multiple
visits over the age of 65 were used to estimate annual, age-specific transitional
probabilities between normal cognition, MCI due to AD, and AD severity states
(defined by Clinical Dementia Rating score). Multivariate models predicted the
likelihood of death and institutionalization for each health state, conditional
on age and time from the previous evaluation. These probabilities were used to
populate a transition matrix describing the likelihood of progressing to a
particular disease state or death for any given current state and age. Finally, a
health state model was developed to estimate the expected effect of a reduction
in the risk of transitioning from normal cognition to MCI due to AD on disease
progression rates for a cohort of 65-year-old patients over a 35-year time
horizon. RESULTS: Annual transition probabilities to more severe states were 8%,
22%, 25%, 36%, and 16% for normal cognition, MCI due to AD, and
mild/moderate/severe AD, respectively, at age 65, and increased as a function of
age. Progression rates from normal cognition to MCI due to AD ranged from 4% to
10% annually. Severity of cognitive impairment and age both increased the
likelihood of institutionalization and death. For a cohort of 100 patients with
normal cognition at age 65, a 20% reduction in the annual progression rate to MCI
due to AD avoided 5.7 and 5.6 cases of MCI due to AD and AD, respectively. This
reduction led to less time spent in severe AD dementia health states and
institutionalized, and increased life expectancy. CONCLUSION: Transition
probabilities from normal cognition through AD severity states are important for
understanding patient progression across the AD spectrum. These estimates can be
used to evaluate the clinical benefits of reducing progression from normal
cognition to MCI due to AD on lifetime health outcomes.
PMID- 29357801
TI - The Chemical Design and Synthesis of Linkers Used in Antibody Drug Conjugates.
AB - Antibody Drug Conjugates (ADCs) use targeting ability of monoclonal antibodies to
deliver potent cytototoxic payloads to their intended target. The linker
encompasses a conjugating functionality suitable for attachment to the antibody,
a spacer unit that typically incorporates a hydrophilic element and a trigger
which releases the potent cytototoxic warhead. Understanding the conflicting
requirements of ADC design, providing stability in systemic circulation but
efficient payload release once the ADC reaches its intended target, is crucial to
effective linker development. ADC linker design has been approached in a variety
of different ways, with increasingly elegant solutions continuing to be reported
as understanding of the intricate design complexities increases. This review
focuses on the synthetic approaches used in ADC linkers, and the impact of linker
design on antibody conjugation, ADC pharmacokinetics and payload release. Linker
approaches utilized in commercial ADCs as well as ADCs currently in clinical, pre
clinical and early stage development are discussed.
PMID- 29357800
TI - Anti-Inflammatory Activity and Cheminformatics Analysis of New Poten t 2
Substituted 1-Methyl-5-Nitroindazolinones.
AB - After the identification of the anti-inflammatory properties of VA5-13l (2-benzyl
1- methyl-5-nitroindazolinone) in previous investigations, some of its analogous
compounds were designed, synthesized and evaluated in two anti-inflammatory
methods: LPS-enhanced leukocyte migration assay in zebrafish; and 12-O
tetradecanoylphorbol-13-acetate (TPA)-induced mouse ear edema. The products
evaluated (3, 6, 8, 9 and 10) showed the lower values of relative leukocyte
migration at 30 µM (0.14, 0.07, 0.10, 0.13 and 0.07, respectively), while in
ear edema and myeloperoxidase activity methods, all the compounds reduced
inflammation, only 4 and 16 yielded unsatisfactory results. The relationship
linking structure and activity (SAR analysis) was determinate by using SARANEA
software. The importance of the 5-Nitro group of the indazole ring for the
activity was evident, and showed modest reduction when benzyl (Bn) is changed by
alkyl group. A substituted Bn moiety at N2 (R) is the best substituent (5-10);
nevertheless, if methylene group of Bn is deleted, the activity is affected.
Also, introduction of halogen atoms mainly at positions 3 or 4 of the benzyl
moiety (6 and 10) leads in general to strong activities. In fact, compounds 7 and
8 (R = 4-FBn or 4-ClBn, respectively) exhibit satisfactory results in in vivo
tests and appear promising. The production of IL-6 at all doses assayed was
significantly reduced, except with 16. Nonetheless, the production of TNF-alpha
was significantly inhibited only by this chemical (16) at concentration of 50
MUM. On the other hand, compound 2 was the one that mostly inhibited the
expression of COX-2 and iNOS. From these results, it can be concluded that the
inhibition in the release of cytokines can be one of the mechanisms of action
responsible for the anti-inflammatory effect for 2-benzyl derivates while other 2
alkyl derivatives can inhibit production of NO. Therefore, nitroindazolinone
chemical prototype could be an interesting structural group with anti
inflammatory purposes in the therapeutic.
PMID- 29357803
TI - ADME Considerations for the Development of Biopharmaceutical Conjugates Using
Cleavable Linkers.
AB - The recent approval of trastuzumab emtansine (Kadcyla(r)) and brentuximab vedotin
(Adcetris (r)) has spurred tremendous investment in new approaches for the
targeted delivery of pharmaceutical agents. Targeted delivery approaches, such as
Antibody Drug Conjugates (ADCs), typically rely on an endogenous or exogenous
"trigger" that results in the release of the pharmacologically active agent at
the intended site of action. Lysosomal and intracellular triggers include
proteolytic cleavage, glycolytic cleavage, phosphatase cleavage, hydrolytic
cleavage, and reductive cleavage. Recent work has also illustrated that exogenous
triggers and extracellular enzymes can be harnessed to result in linker cleavage
at the site of action. As these linker technologies have grown, so also has our
understanding of the biophysical parameters that drive exposure and stability.
The growth in targeted delivery approaches has also driven advancement in
bioanalytical strategies for assessing the distribution, processing, and
metabolism of these agents. This review provides a systematic overview of each of
these areas, particularly focusing on recent advancements in the field that has
the potential to expand the scope of therapeutic areas that ADCs and other
targeted delivery approaches can be designed to address.
PMID- 29357804
TI - ADME and Safety Aspects of Non-cleavable Linkers in Drug Discovery and
Development.
AB - Non-cleavable linkers are used in a number of different modalities for various
reasons, such as linking an active drug moiety to half-life extending molecules,
to groups that enable a specific tissue or cell targeting or to facilitate active
uptake into target cells. Non-cleavable linkers do not have a designated weak
point in their structure that can lead to cleavage by proteases, hydrolases or
chemically by pH changes. Consequently, when designing a conjugate, the choice of
a non-cleavable over a cleavable linker is usually a consequence of pursuing a
certain mode of action where the stability of the complex is more important than
a fast liberation of the active moiety. Linkers of various length, polarity,
stability and flexibility are used for different types of conjugates and the
linker design is mostly driven by the particular purpose and desired mode of
action. This article reviews non-cleavable linkers applied predominantly in
Antibody Drug Conjugates (ADCs), and how they influence these conjugates in terms
of ADME properties (absorption, distribution, metabolism and elimination) and
safety.
PMID- 29357802
TI - Conjugates of Cell Adhesion Peptides for Therapeutics and Diagnostics Against
Cancer and Autoimmune Diseases.
AB - Overexpressed cell-surface receptors are hallmarks of many disease states and are
often used as markers for targeting diseased cells over healthy counterparts.
Cell adhesion peptides, which are often derived from interacting regions of these
receptor-ligand proteins, mimic surfaces of intact proteins and, thus, have been
studied as targeting agents for various payloads to certain cell targets for
cancers and autoimmune diseases. Because many cytotoxic agents in the free form
are often harmful to healthy cells, the use of cell adhesion peptides in
targeting their delivery to diseased cells has been studied to potentially reduce
required effective doses and associated harmful side-effects. In this review,
multiple cell adhesion peptides from extracellular matrix and ICAM proteins were
used to selectively direct drug payloads, signal-inhibitor peptides, and
diagnostic molecules, to diseased cells over normal counterparts. RGD constructs
have been used to improve the selectivity and efficacy of diagnostic and drug
peptide conjugates against cancer cells. From this precedent, novel conjugates of
antigenic and cell adhesion peptides, called Bifunctional Peptide Inhibitors
(BPIs), have been designed to selectively regulate immune cells and suppress
harmful inflammatory responses in autoimmune diseases. Similar peptide
conjugations with imaging agents have delivered promising diagnostic methods in
animal models of rheumatoid arthritis. BPIs have also been shown to generate
immune tolerance and suppress autoimmune diseases in animal models of type-1
diabetes, rheumatoid arthritis, and multiple sclerosis. Collectively, these
studies show the potential of cell adhesion peptides in improving the delivery of
drugs and diagnostic agents to diseased cells in clinical settings.
PMID- 29357806
TI - Correlation between White Blood Cell Count and insulin resistance in type 2
Diabetes
AB - BACKGROUND: The role of chronic inflammation in insulin resistance states and the
pathogenesis of metabolic syndrome, cardiovascular disease and diabetes have been
reported earlier. White blood cell (WBC) count is an easy marker for estimation
of systemic inflammation. OBJECTIVE: This study is to clarify whether WBC count
associate with insulin resistance in type 2 diabetic patients. METHOD: This cross
sectional study was conducted in 283 patients with type 2 diabetes and in 283
healthy non diabetic subjects as control group. Data including: age, gender,
blood pressure, height and weight, history of smoking were collected for each
patient. Fasting blood sugar, HbA1C, insulin, lipid profiles, creatinine, Urine
albumin to creatinine ratio, high sensitive C- reactive protein (HCRP) and WBC
was measured for all patients. WBC count was measured in control group. Two
groups were compared in WBC count. Insulin resistance was calculated with HOMA-IR
formula. Association of WBC count with insulin resistance and metabolic
parameters was assessed in diabetic patients. RESULTS: WBC count was
significantly associated with body mass index, hypertension, and triglyceride
level. There was not significant association between WBC count and glycemic index
and insulin resistance. CONCLUSION: An elevated WBC count (even in the normal
range) is closely related to various components of metabolic syndrome but not
related to insulin resistance in type 2 diabetes.
PMID- 29357807
TI - Hyperglycemia in hospitalized diabetic non-critically ill patients: Prevalence,
correlates, management and nurses' attitudes.
AB - BACKGROUND: Diabetes Mellitus is a chronic metabolic disease that affects 387
million people around the world. Episodes of hyperglycemia in hospitalized
diabetic patients are associated with poor clinical outcomes and increased
morbidity and mortality. Therefore, prevention of hyperglycemia is critical to,
decrease length of hospital stay and to reduce complications and readmissions.
OBJECTIVE: The study aims to examine the prevalence of hyperglycemia and assess
the correlates and management of hyperglycemia in diabetic non-critically ill
patients. METHOD: The study was conducted on the medical wards of a tertiary care
teaching hospital in Lebanon. A retrospective chart review was conducted from
January 2014 until September 2015. Diabetic patients admitted to Internal
Medicine floors were identified. Descriptive analysis was first carried out,
followed by a multivariable analysis to study the correlates of hyperglycemia
occurrence. RESULTS: A total of 235 medical charts were reviewed. Seventy percent
of participants suffered from hyperglycemia during their hospital stay. The
identified significant positive correlates for hyperglycemia, were the inpatient
use of insulin sliding scale alone (OR=16.438 +/- 6.765-39.941, p=0.001) and the
low frequency of glucose monitoring. Measuring glucose every 8 hours (OR= 3.583
+/- 1.506-8.524, p=0.004) and/or every 12 hours (OR=7.647 +/- 0.704-79.231,
p=0.0095) was associated with hyperglycemia. The major factor perceived by nurses
as a barrier to successful hyperglycemia management was the lack of knowledge
about appropriate insulin use (87.5%) Conclusion: Considerable mismanagement of
hyperglycemia in diabetic non-critically ill patients exists; indicating a
compelling need for the development and implementation of protocol-driven insulin
order forms.
PMID- 29357808
TI - The role of oestrogen receptor beta (ERbeta) in the aetiology and treatment of
type 2 diabetes mellitus.
AB - INTRODUCTION: Challenges facing the treatment of type 2 diabetes necessitate the
search for agents which act via alternative pathways to provide better
therapeutic outcomes. Recently, an increasing body of evidence implicates the
activation of oestrogen receptors (ERalpha and ERbeta) in the development and
treatment of underlying conditions in type 2 diabetes. This article summarizes
available evidence for the involvement of oestrogen receptors in insulin
secretion, insulin resistance as well as glucose uptake and highlights the
potential of ERbeta as a therapeutic target. BACKGROUND: Recent studies indicate
an association between the activation of each of the isoforms of ER and recent
findings indicate that ERbeta show promise as a potential target for antidiabetic
drugs. In vitro and in vivo studies in receptor knock out mice indicate
beneficial actions of selective agonists of ERbeta receptor and underscore its
therapeutic potential. CONCLUSION: Studies are needed to further elucidate the
exact mechanism underlying the role of ERbeta activation as a therapeutic
approach in the management of type 2 diabetes.
PMID- 29357805
TI - Protein-C Reactive as Biomarker Predictor of Schizophrenia Phases of Illness? A
Systematic Review.
AB - BACKGROUND: Schizophrenia is a complex illness in which genetic, environmental,
and epigenetic components have been implicated. However, recently, psychiatric
disorders appear to be related to a chronic inflammatory state, at the level of
specific cerebral areas which have been found as well impaired and responsible
for schizophrenia symptomatology. Hence, a role of inflammatory mediators and
cytokines has been as well defined. Accordingly, the role of an acute
inflammatory phase protein, the C-reactive protein (CRP) has been recently
investigated. OBJECTIVE: The objective of the present study is to evaluate how
PCR may represent a biomarker in schizophrenia, i.e. correlated with illness
phases and/or clinical manifestation and/or psychopathological severity. METHODS:
A systematic review was here carried out by searching the following keywords ((C
reactive protein AND ((schizophrenia) OR (psychotic disorder))) for the topics
'PCR' and 'Schizophrenia', by using MESH terms. RESULTS: An immune dysfunction
and inflammation have been described amongst schizophrenic patients. Findings
reported elevated CRP levels in schizophrenia, mainly correlated with the
severity of illness and during the recrudescent phase. CRP levels are higher when
catatonic features, negative symptomatology and aggressiveness are associated.
CRP levels appeared not to be related to suicidal behaviour and ideation.
CONCLUSION: CRP and its blood levels have been reported higher amongst
schizophrenic patients, by suggesting a role of inflammation in the pathogenesis
of schizophrenia. Further studies are needed to better understand if CRP may be
considered a biomarker in schizophrenia.
PMID- 29357809
TI - Coagonist of GLP-1 and Glucagon Receptor Ameliorates Development of Non-Alcoholic
Fatty Liver Disease.
AB - BACKGROUND: Obesity, diabetes and dyslipidemica are the key pathogenic stimulus
that enhances progression of Non-Alcoholic Fatty Liver Disease (NAFLD). Coagonist
of Glucagon Like- Peptide-1 (GLP-1) Receptor (GLP-1R) and Glucagon Receptor
(GCGR) are being evaluated for obesity and diabetes. GLP-1 analogs have shown to
reverse diabetes and obesity. Glucagon treatment reduces lipids after acute and
chronic treatment. OBJECTIVE: In this study, we have investigated the effect of
co-agonist on the prevention of NAFLD induced by long-term feeding of High Fat
Diet (HFD). METHOD: We have used HFD to induce NAFLD after chronic feeding in
mice. Co-agonist treatment (150 ug.kg-1, s.c.) was initiated with induction of
HFD, which was continued for 40 weeks. Body weight, food intake, glucose
homeostasis, lipid profile, inflammatory and fibrotic markers were assessed at
the end of treatment. RESULTS: Co-agonist treatment prevented body weight gain,
glucose intolerance and insulin resistance. Treatment with co-agonist reduced
NEFA, increased FGF21 and adiponectin levels. Co-agonist increased glycerol
release and energy expenditure, while decreased respiratory quotient. Co-agonist
reduced lipids in circulation and liver. Expression of SREBP-1C, SCD-1, ACC and
FAS were decreased, while ACOX1 and CPT1 were increased after co-agonist
treatment. Inflammatory cytokine TNF-alpha and IL-6 in plasma and expression of
MCP-1, TGF-beta, MMP-9, TNF-alpha, TIMP-1, alpha-SMA, and COL1A1 were decreased
after co-agonist treatment. Plasma transaminases, hepatic TBARS, hepatic
hydroxyproline and relative liver weight were suppressed after co-agonist
treatment. Fat accumulation, inflammation and fibrosis were reduced in
histological assessment of liver in co-agonist treated animals. CONCLUSION: Co
agonist prevented development of HFD-induced NAFLD by ameliorating obesity,
diabetes, inflammation and fibrosis.
PMID- 29357810
TI - Cytochrome P450 1A2 Messenger RNA is a More Reliable Marker than Cytochrome P450
1A2 Activity, Phenacetin O-Deethylation, for Assessment of Induction Potential of
Drug-Metabolizing Enzymes Using HepaRG Cells.
AB - BACKGROUND: The HepaRG cells have key drug metabolism functionalities comparable
to those of primary human hepatocytes. Many studies have reported that this cell
line can be used as a reliable in vitro model for human drug metabolism studies,
including the assessment of cytochrome P450 (CYP) induction. OBJECTIVES: The
objective of this study is to determine whether CYP mRNA level measurement is
superior to the CYP enzyme activity measurement as a convenient high-throughput
method for evaluating CYP induction potential using HepaRG cells. METHODS:
QuantiGene Plex 2.0 Assay and LC/MS/MS. mRNA expression levels and enzyme
activities of CYP1A2, CYP2B6, and CYP3A in HepaRG cells treated with prototypical
inducers of each CYP isoform [omeprazole (OME) for CYP1A2, phenobarbital (PB) for
CYP2B6, and rifampicin (RIF) for CYP3A] were evaluated. RESULTS: Although the
activities of CYP2B6 and CYP3A were induced by treatment with PB and RIF, we
found that the activity of phenacetin O-deethylase (PHOD), which is known as a
marker of the activity of CYP1A2, was also enhanced by treatment with these non
CYP1A2 inducers in HepaRG cells. Based on previously published reports, we
hypothesized that the expression ratio of CYP3A to CYP1A2 is much higher in
HepaRG cells than in human hepatocytes; this may result in a nonnegligible
contribution of CYP3A to the PHOD reaction in HepaRG cells. Studies using CYP3A
inhibitor and pregnane X receptor-knockout HepaRG cells supported this
hypothesis. CONCLUSION: The measurement of mRNA serves as a higher reliable
indicator for the evaluation of CYP induction potential when using HepaRG cells.
PMID- 29357811
TI - Targeting M3 Muscarinic Receptors for Colon Cancer Therapy.
AB - BACKGROUND: Expression and activation of subtype-3 muscarinic receptors (M3R)
plays an important role in the progression of colorectal neoplasia. METHOD:
Herein, we describe the role of muscarinic receptors in colon cancer, focusing
specifically on M3R, illustrate how M3R over-expression and activation of post
receptor signaling pathways potentiates tumor progression, and explore the
efficacy and safety of a variety of therapeutic approaches that can target the
molecules involved. RESULTS: Colon cancers overexpress M3R mRNA (CHRM3) and
protein, and post-M3R signaling stimulates cell proliferation. Post-M3R signal
transduction is complex, involving interplay between epidermal growth factor
receptors (EGFR)/ERK and protein kinase C (PKC)/p38 mitogen-activated protein
(MAP) kinase signaling pathways. In particular, the development of an invasive
and metastatic phenotype requires that these signaling interactions augment
cellular release of a key collagenase, matrix metalloproteinase-1 (MMP1).
Blocking either M3R activation or post-M3R signaling attenuates MMP1 release and
colon cancer invasiveness. CONCLUSION: Parsing the complexities of these
signaling interactions is important, not only to understand these mechanisms of
cancer initiation and progression, but also to develop novel treatment
modalities. Since the vast majority of persons with colon cancer die from
disseminated disease, preventing or reversing metastatic spread of cancer cells
by targeting M3R, post-M3R signaling, or MMP1 has therapeutic potential.
PMID- 29357812
TI - The invasive MED/Q Bemisia tabaci genome: a tale of gene loss and gene gain.
AB - BACKGROUND: Sweetpotato whitefly, Bemisia tabaci MED/Q and MEAM1/B, are two
economically important invasive species that cause considerable damages to
agriculture crops through direct feeding and indirect vectoring of plant
pathogens. Recently, a draft genome of B. tabaci MED/Q has been assembled. In
this study, we focus on the genomic comparison between MED/Q and MEAM1/B, with a
special interest in MED/Q's genomic signatures that may contribute to the highly
invasive nature of this emerging insect pest. RESULTS: The genomes of both
species share similarity in syntenic blocks, but have significant divergence in
the gene coding sequence. Expansion of cytochrome P450 monooxygenases and UDP
glycosyltransferases in MED/Q and MEAM1/B genome is functionally validated for
mediating insecticide resistance in MED/Q using in vivo RNAi. The amino acid
biosynthesis pathways in MED/Q genome are partitioned among the host and
endosymbiont genomes in a manner distinct from other hemipterans. Evidence of
horizontal gene transfer to the host genome may explain their obligate
relationship. Putative loss-of-function in the immune deficiency-signaling
pathway due to the gene loss is a shared ancestral trait among hemipteran
insects. CONCLUSIONS: The expansion of detoxification genes families, such as
P450s, may contribute to the development of insecticide resistance traits and a
broad host range in MED/Q and MEAM1/B, and facilitate species' invasions into
intensively managed cropping systems. Numerical and compositional changes in
multiple gene families (gene loss and gene gain) in the MED/Q genome sets a
foundation for future hypothesis testing that will advance our understanding of
adaptation, viral transmission, symbiosis, and plant-insect-pathogen tritrophic
interactions.
PMID- 29357814
TI - "You just need to leave the room when you breastfeed" Breastfeeding experiences
among obese women in Sweden - A qualitative study.
AB - BACKGROUND: The benefits of breastfeeding for the infant as well for the mother
are well-known. It is recognized that obese (Body Mass Index >=30 kg/m2) women
may have less antenatal intention to breastfeed, and shortened duration of
breastfeeding compared with normal-weight women. This may result in adverse short
and long-term health for both mother and child, such as a shortened lactational
amenorrhoea and decreased protection against breast cancer for the women, and an
increased risk for infectious diseases and overweight/obesity among the children.
Therefore, it is important to gain more knowledge and understanding of obese
women's experiences of breastfeeding in order to attain good health care. Hence,
the aim of this study was to identify and describe obese women's experiences of
breastfeeding. METHODS: This is an explorative study. Data was collected 2 - 18
months after childbirth through semi-structured face-to-face interviews with 11
obese women with breastfeeding experience. The interviews were recorded and
transcribed verbatim. Thematic analysis was used. RESULTS: Three themes emerged
from the data analysis: Breastfeeding - a part of motherhood, the challenges of
breastfeeding, and support for breastfeeding. The women described an antenatal
hope for breastfeeding, the body's ability to produce milk fascinated them, and
the breast milk was seen as the best way to feed the child and also as promoting
the attachment between mother and child. Breastfeeding was described as a
challenge even though it is natural. The challenges concerned technical
difficulties such as the woman finding a good body position and helping the child
to achieve an optimum grip of the nipple. Another challenge was the exposure of
the body connected to public breastfeeding. Support of breastfeeding was
described as the importance of being confirmed as an individual behind the
obesity, rather than an individual with obesity, and to obtain enough
professional breastfeeding support. CONCLUSIONS: Breastfeeding was experienced as
a natural part of being a mother. There were practical challenges for obese women
concerning how to manage breastfeeding and how to handle the public exposure of
the body. There was a need for realistic information about breastfeeding
concerning both the child and the woman.
PMID- 29357813
TI - Dissection of the multigenic wheat stem rust resistance present in the
Montenegrin spring wheat accession PI 362698.
AB - BACKGROUND: Research to identify and characterize stem rust resistance genes in
common wheat, Triticum aestivum, has been stimulated by the emergence of Ug99
lineage races of the wheat stem rust pathogen, Puccinia graminis f. sp. tritici
(Pgt), in Eastern Africa. The Montenegrin spring wheat landrace PI 362698 was
identified as a source of Pgt resistance. This accession exhibits resistance to
multiple Ug99-lineage and North American Pgt races at seedling and adult-plant
stages. A recombinant inbred population was developed by crossing the susceptible
line LMPG-6 with a single plant selection of PI 362698. A genetic map was
constructed using the Illumina iSelect 90 K wheat assay and the markers csLv34,
NB-LRR3, and wMAS000003 and quantitative trait locus (QTL) analysis was
performed. RESULTS: QTL analysis identified five significant QTLs (alpha = 0.05)
on chromosomes 2B, 3B, 6A, 6D, and 7A associated with wheat stem rust resistance.
The QTL on chromosome 3B was identified using both field data from Kenya (Pgt
Ug99-lineage races) and seedling data from Pgt race MCCF. This QTL potentially
corresponds to Sr12 or a new allele of Sr12. The multi-pathogen resistance gene
Sr57 located on chromosome 7D is present in PI 362698 according to the diagnostic
markers csLv34 and wMAS000003, however a significant QTL was not detected at this
locus. The QTLs on chromosomes 2B, 6A, and 6D were identified during seedling
trials and are thought to correspond to Sr16, Sr8a, and Sr5, respectively. The
QTL identified on chromosome 7A was detected using MCCF seedling data and may be
Sr15 or a potentially novel allele of recently detected Ug99 resistance QTLs.
CONCLUSIONS: The combination of resistance QTLs found in PI 362698 is like the
resistance gene combination present in the broadly resistant cultivar Thatcher.
As such, PI 362698 may not be a landrace as previously thought. PI 362698 has
been crossed with North Dakota wheat germplasm for future breeding efforts.
Additional work is needed to fully understand why the combination of genes
present in PI 362698 and 'Thatcher' provide such durable resistance.
PMID- 29357815
TI - The effect of a gerontology nurse specialist for high needs older people in the
community on healthcare utilisation: a controlled before-after study.
AB - BACKGROUND: Nurse-led models of comprehensive geriatric assessment and care
coordination can improve health management as well as reduce hospitalisations for
high risk community dwelling older people. This study investigated the effect on
healthcare utilisation of systematic case finding to identify high risk older
people in the community with a subsequent comprehensive assessment and care
coordination intervention by a Gerontology Nurse Specialist based in primary
care. METHODS: This was a controlled before-after study design located within
primary healthcare practices in Auckland, New Zealand. An intervention model was
initiated within two primary healthcare practices and involved a screening tool
to identify high risk older people with succeeding gerontology nurse specialist
assessment and care coordination. The comparison group included older people who
received usual care at three comparable primary healthcare practices. The primary
outcome measure was acute hospital admissions. Secondary outcomes included
hospital re-admissions, length of stay, emergency department presentations,
residential care admissions, and community contacts. RESULTS: A total of 579
older people were posted the screening tool in the intervention group, with 517
completed screens (89% response rate) formulating the intervention group. A total
of 101 older people were identified as high risk from these screens (20%). The
comparison group comprised 883 older people. Comparing the intervention and
comparison group, no statistical differences were found for hospital admissions,
emergency department presentations, hospital re-admissions, length of stay, or
residential care admission. Community physiotherapy showed a statistically
significant increase for the intervention compared to the comparison group (p =
0.03). Non-significant findings revealed decreased risk of entering residential
care and fewer frequent hospital re-admissions for the intervention group when
compared with the comparison group. CONCLUSIONS: This specialist nurse-led
intervention involving comprehensive assessment and care coordination care did
not appear superior to usual care, however, there is benefit to exploring a more
robust randomised controlled trial design. TRIAL REGISTRATION: Retrospectively
registered on 18/09/2017 with the Australian New Zealand Clinical Trials Registry
(ANZCTR). Registration number ACTRN12617001332314.
PMID- 29357816
TI - Guillain-Barre syndrome caused by hepatitis E infection: case report and
literature review.
AB - BACKGROUND: Hepatitis E infection is a global disorder that causes substantial
morbidity. Numerous neurologic illnesses, including Guillain-Barre syndrome
(GBS), have occurred in patients with hepatitis E virus (HEV) infection. CASE
PRESENTATION: We report a 58 year-old non-immunocompromised man who presented
with progressive muscle weakness in all extremities during an episode of acute
HEV infection, which was confirmed by measuring the anti-HEV IgM antibodies in
the serum. Both cerebrospinal fluid examination and electrophysiological study
were in agreement with the diagnosis of HEV-associated GBS. Following the
treatment with intravenous immunoglobulin, the patient's neurological condition
improved rapidly. CONCLUSIONS: HEV infection should be strongly considered in
patients with neurological symptoms, especially those with elevated levels of
liver enzymes.
PMID- 29357817
TI - Feature selection for high-dimensional temporal data.
AB - BACKGROUND: Feature selection is commonly employed for identifying collectively
predictive biomarkers and biosignatures; it facilitates the construction of small
statistical models that are easier to verify, visualize, and comprehend while
providing insight to the human expert. In this work we extend established
constrained-based, feature-selection methods to high-dimensional "omics" temporal
data, where the number of measurements is orders of magnitude larger than the
sample size. The extension required the development of conditional independence
tests for temporal and/or static variables conditioned on a set of temporal
variables. RESULTS: The algorithm is able to return multiple, equivalent solution
subsets of variables, scale to tens of thousands of features, and outperform or
be on par with existing methods depending on the analysis task specifics.
CONCLUSIONS: The use of this algorithm is suggested for variable selection with
high-dimensional temporal data.
PMID- 29357819
TI - Spontaneous reattachment of dislocated endothelial graft after non-Descemet
stripping automated endothelial keratoplasty: a case report.
AB - BACKGROUND: Graft detachment is a complication of non-Descemet stripping
automated endothelial keratoplasty (nDSAEK). We report a case of spontaneous
reattachment of an extensively dislocated graft after nDSAEK. CASE PRESENTATION:
A 54-year-old male underwent penetrating keratoplasty (PKP) for keratoconus in
his left eye in 2001. Following graft opacity due to rejection, a second PKP was
implemented in May 2014. The graft was kept in good condition after the
reoperation and yet, visual acuity (VA) declined due to cataract. PEA+IOL was
then performed in May 2015. Because edema appeared in the graft 6 months after
the PEA+IOL, nDSAEK was carried out in May 2016. Although the donor graft well
attached immediately after the nDSAEK, the graft was almost completely dislocated
3 h later except a temporal part. Air was reinjected into the anterior chamber on
the following day and the detachment was resolved. Despite of the treatment,
about 1/5 of the graft remained detached and the detachment deteriorated to 3/4
of the graft 9 days later. Because the patient could not decide whether to
undergo another operation immediately, we decided to follow him up first and
found that the partially detached graft reattached spontaneously 1 month later
during the follow-up. Although the cornea had a mild edema remaining in the
superior temporal area, his BCVA improved to 1.0. Three months later, the graft
remained in position and the cornea kept its transparency. CONCLUSIONS:
Spontaneous reattachment was observed during the follow-up in a case that had
shown a comparatively extensive graft dislocation after nDSAEK.
PMID- 29357818
TI - The association between BDNF Val66Met polymorphism and emotional symptoms after
mild traumatic brain injury.
AB - BACKGROUND: Brain-derived neurotrophic factor (BDNF) is one of the most abundant
neurotrophins in the adult brain, and it plays important roles in modulating
synaptic plasticity and synaptogenesis. This study attempted to elucidate the
role of the BDNF variant rs6265 in emotional symptoms following mild traumatic
brain injury (mTBI). METHODS: To investigate the association between BDNF
Val66Met polymorphism (rs6265) and emotional symptoms in mTBI patients, we
recruited 192 mTBI patients and evaluated their Beck Anxiety Inventory (BAI) and
Beck Depression Inventory (BDI) scores in the first and sixth week after mTBI.
RESULTS: The patients carrying the T allele of rs6265 had significantly higher
BAI scores in the first week following mTBI. In addition, the patients carrying
the T allele also showed higher scores of BDI in the first week. In the gender
specific subgroup analysis, the male patients carrying the T allele of rs6265 had
higher scores of both BAI and BDI in the first and sixth week. Meanwhile, female
patients carrying the T allele also had significantly higher scores of BDI in the
first week following mTBI. CONCLUSIONS: This study provides evidence for the
association between the BDNF variant rs6265 and emotional symptoms following
mTBI.
PMID- 29357820
TI - No effect of adding dairy lipids or long chain polyunsaturated fatty acids on
formula tolerance and growth in full term infants: a randomized controlled trial.
AB - BACKGROUND: When breastfeeding is not possible, infants are fed formulas in which
lipids are usually of plant origin. However, the use of dairy fat in combination
with plant oils enables a lipid profile in formula closer to breast milk in terms
of fatty acid composition, triglyceride structure and cholesterol content. The
objectives of this study were to investigate the impact on growth and
gastrointestinal tolerance of a formula containing a mix of dairy lipids and
plant oils in healthy infants. METHODS: This study was a monocentric, double
blind, controlled, randomized trial. Healthy term infants aged less than 3 weeks
whose mothers did not breastfeed were randomly allocated to formula containing
either: a mix of plant oils and dairy fat (D), only plant oils (P) or plant oils
supplemented with long-chain polyunsaturated fatty acids (PDHA). Breastfed
infants were included in a reference group (BF). Anthropometric parameters and
body composition were measured after 2 and 4 months. Gastrointestinal tolerance
was evaluated during 2 day-periods after 1 and 3 months thanks to descriptive
parameters reported by parents. Nonrandomized BF infants were not included in the
statistical analysis. RESULTS: Eighty eight formula-fed and 29 BF infants were
enrolled. Gains of weight, recumbent length, cranial circumference and fat mass
were similar between the 3 formula-fed groups at 2 and 4 months and close to
those of BF. Z-scores for weight, recumbent length and cranial circumference in
all groups were within normal ranges for growth standards. No significant
differences were noted among the 3 formula groups in gastrointestinal parameters
(stool frequency/consistency/color), occurrence of gastrointestinal symptoms
(abdominal pain, flatulence, regurgitation) or infant's behavior. CONCLUSIONS: A
formula containing a mix of dairy lipids and plant oils enables a normal growth
in healthy newborns. This formula is well tolerated and does not lead to abnormal
gastrointestinal symptoms. Consequently, reintroduction of dairy lipids could
represent an interesting strategy to improve lipid quality in infant formulas.
TRIAL REGISTRATION: ClinicalTrials.gov Identifier NCT01611649 , retrospectively
registered on May 25, 2012.
PMID- 29357821
TI - Construct validity of the Dining Environment Audit Protocol: a secondary data
analysis of the Making Most of Mealtimes (M3) study.
AB - BACKGROUND: Research has demonstrated the importance of physical environments at
mealtimes for residents in long term care (LTC). However, a lack of a
standardized measurement to assess physical dining environments has resulted in
inconsistent research with potentially invalid and unreliable conclusions. The
development of a standardized, construct valid instrument that assesses dining
rooms is imperative to systematically examine physical environments in LTC. The
purpose of this study was to determine the construct validity of the new Dining
Environment Audit Protocol (DEAP) tool. METHODS: Secondary data collected from
the Making Most of Mealtimes (M3) study was used for this analysis. Data were
collected in 32 long term care homes, which included 82 dining rooms and 639
residents. A variety of resident and dining room level constructs were compared
to the summative scales found on the DEAP using Spearman correlations and Student
t-tests. A regression analysis identified individual characteristics assessed
with DEAP that were associated with the summative scales of homelikeness and
functionality. RESULTS: Regression analysis (p < 0.05) identified that the DEAP
homelikeness scale was positively associated with a view of the garden/green
space, presence of a clock and a posted menu. The functionality scale was
positively associated with number of chairs and lighting, while negatively
associated with furniture with rounded edges and clutter. Additionally, the
functionality scale was positively associated (p < 0.05) with the Mealtime Scan
physical scale (rho = 0.52), the dining room Mealtime-Relational Care Checklist
(M-RCC) (rho = 0.25), the DEAP total score (rho = 0.56), and the Mini Nutritional
Assessment- Short Form (rho = 0.26). Homelikeness was positively associated (p <
0.05) with the DEAP total score (rho = 0.53), staff Person Directed Care score
(rho = 0.49) and the resident Cognitive Performance Scale (t = 2.56), while
negatively associated with energy (rho = -0.26) and protein intake (rho = -0.24).
The homelikeness and functionality scales were also associated with one another
(rho = 0.26). CONCLUSION: The construct validity of the DEAP was supported
through significant correlations with a variety of measures that are
theoretically related to the homelikeness and functionality of LTC dining rooms.
This secondary analysis supports the use of the DEAP in future research to
quantify the physical environment of LTC dining rooms. Protocol registered with
ClinicalTrials.gov ID: NCT02800291; Registered retrospectively June 7, 2016.
PMID- 29357822
TI - MutScan: fast detection and visualization of target mutations by scanning FASTQ
data.
AB - BACKGROUND: Some types of clinical genetic tests, such as cancer testing using
circulating tumor DNA (ctDNA), require sensitive detection of known target
mutations. However, conventional next-generation sequencing (NGS) data analysis
pipelines typically involve different steps of filtering, which may cause miss
detection of key mutations with low frequencies. Variant validation is also
indicated for key mutations detected by bioinformatics pipelines. Typically, this
process can be executed using alignment visualization tools such as IGV or
GenomeBrowse. However, these tools are too heavy and therefore unsuitable for
validating mutations in ultra-deep sequencing data. RESULT: We developed MutScan
to address problems of sensitive detection and efficient validation for target
mutations. MutScan involves highly optimized string-searching algorithms, which
can scan input FASTQ files to grab all reads that support target mutations. The
collected supporting reads for each target mutation will be piled up and
visualized using web technologies such as HTML and JavaScript. Algorithms such as
rolling hash and bloom filter are applied to accelerate scanning and make MutScan
applicable to detect or visualize target mutations in a very fast way.
CONCLUSION: MutScan is a tool for the detection and visualization of target
mutations by only scanning FASTQ raw data directly. Compared to conventional
pipelines, this offers a very high performance, executing about 20 times faster,
and offering maximal sensitivity since it can grab mutations with even one single
supporting read. MutScan visualizes detected mutations by generating interactive
pile-ups using web technologies. These can serve to validate target mutations,
thus avoiding false positives. Furthermore, MutScan can visualize all mutation
records in a VCF file to HTML pages for cloud-friendly VCF validation. MutScan is
an open source tool available at GitHub: https://github.com/OpenGene/MutScan.
PMID- 29357823
TI - Chemotherapy weakly contributes to predicted neoantigen expression in ovarian
cancer.
AB - BACKGROUND: Patients with highly mutated tumors, such as melanoma or smoking
related lung cancer, have higher rates of response to immune checkpoint blockade
therapy, perhaps due to increased neoantigen expression. Many chemotherapies
including platinum compounds are known to be mutagenic, but the impact of
standard treatment protocols on mutational burden and resulting neoantigen
expression in most human cancers is unknown. METHODS: We sought to quantify the
effect of chemotherapy treatment on computationally predicted neoantigen
expression for high grade serous ovarian carcinoma patients enrolled in the
Australian Ovarian Cancer Study. In this series, 35 of 114 samples were collected
after exposure to chemotherapy; 14 are matched with an untreated sample from the
same patient. Our approach integrates whole genome and RNA sequencing of bulk
tumor samples with class I MHC binding prediction and mutational signatures
extracted from studies of chemotherapy-exposed Caenorhabditis elegans and Gallus
gallus cells. We additionally investigated the relationship between neoantigens,
tumor infiltrating immune cells estimated from RNA-seq with CIBERSORT, and
patient survival. RESULTS: Greater neoantigen burden and CD8+ T cell infiltration
in primary, pre-treatment samples were independently associated with improved
survival. Relapse samples collected after chemotherapy harbored a median of 78%
more expressed neoantigens than untreated primary samples, a figure that combines
the effects of chemotherapy and other processes operative during relapse. The
contribution from chemotherapy-associated signatures was small, accounting for a
mean of 5% (range 0-16) of the expressed neoantigen burden in relapse samples. In
both treated and untreated samples, most neoantigens were attributed to COSMIC
Signature (3), associated with BRCA disruption, Signature (1), associated with a
slow mutagenic process active in healthy tissue, and Signature (8), of unknown
etiology. CONCLUSION: Relapsed ovarian cancers harbor more predicted neoantigens
than primary tumors, but the increase is due to pre-existing mutational
processes, not mutagenesis from chemotherapy.
PMID- 29357824
TI - A remarkable response to pazopanib, despite recurrent liver toxicity, in a
patient with a high grade endometrial stromal sarcoma, a case report.
AB - BACKGROUND: Pazopanib is an oral tyrosine kinase inhibitor registered for
metastatic renal cell carcinoma and soft tissue sarcoma. Liver toxicity is a
common side effect for this class of agents. The current opinion is that in case
of severe liver toxicity pazopanib should be interrupted and restarted at a lower
dose after returning to Common Terminology Criteria for Adverse Events (CTCAE)
grade 1. After recurrence of liver toxicity at the lower dose it is advised to
permanently stop pazopanib. We describe a patient with an YWHAE-FAM22
translocated endometrial stromal sarcoma with a remarkable response to pazopanib
despite recurrent liver toxicity. CASE PRESENTATION: A 40 year old woman was
diagnosed with metastatic YWHAE-FAM22 translocated endometrial stromal sarcoma.
She was treated successively with doxorubicin, megestrol acetate and anastrozole,
before pazopanib was initiated. Several dose interruptions and reductions were
necessary due to liver toxicity, but nevertheless she had a good partial
response. Seven months after the start, pazopanib was permanently stopped because
of a bilateral pneumothorax. Nine months later it was reinitiated because of
progression and was continued for another 8 months until final disease
progression. CONCLUSION: In contrast to the current summary of product
characteristics of pazopanib, the drug was successfully continued despite
recurrent liver toxicity, and no further liver function deterioration was found.
This case suggests that further dose reductions are good practice when liver
toxicity limits treatment in responding patients. Secondly, this patient with
rare YWHAE-FAM22 translocated endometrial stromal sarcoma showed a remarkable
response to VEGFR/KIT inhibitor pazopanib. Recently, it was reported that this
specific subtype of endometrial stromal sarcoma overexpresses CD117, but has no
KIT mutations. This case illustrates that (a) pazopanib can be continued in
patients with recurrent liver toxicity after dose reductions under strict
surveillance and that (b) pazopanib shows good efficacy in YWHAE-FAM22
translocated endometrial stromal sarcoma.
PMID- 29357825
TI - The walnut JrVHAG1 gene is involved in cadmium stress response through ABA-signal
pathway and MYB transcription regulation.
AB - BACKGROUND: Vacuolar H+-ATPase (V-ATPase) is a vital protein complex involved in
abiotic stress response in plants. The G subunit of Juglans regia (JrVHAG1) was
previously identified as a drought tolerance-related gene involved in the ABA
(abscisic acid)-signal pathway. Heavy metal stress is becoming a major detriment
for plant growth, development, and production. In order to understand the role of
JrVHAG1, the potential function mechanism of JrVHAG1 exposed to CdCl2 stress was
confirmed in this study. RESULTS: Transcription of JrVHAG1 was induced by ABA and
increased to 58.89-fold (roots) and 7.38-fold (leaves) and by CdCl2 to 2.65-
(roots) and 11.42-fold (leaves) relative to control, respectively. Moreover, when
treated simultaneously with ABA and CdCl2 (ABA+CdCl2), JrVHAG1 was up-regulated
to 110.13- as well as 165.42-fold relative to control in the roots and leaves,
accordingly. Compared to the wild type (WT) Arabidopsis plants, the transgenic
plants with overexpression of JrVHAG1 (G2, G6, and G9) exhibited increased seed
germination rate, biomass accumulation, proline content, and activities of
superoxide dismutase (SOD) and peroxidase (POD) under ABA, CdCl2, and ABA+CdCl2
treatments. In contrast, the reactive oxygen species (ROS) staining,
malondialdehyde (MDA) content, hydrogen dioxide (H2O2) content, as well as
electrolyte leakage (EL) rates of transgenic seedlings were all lower than those
of WT exposed to ABA, CdCl2 and ABA+CdCl2 stresses. Furthermore, a 1200 bp
promoter fragment of JrVHAG1 was isolated by analyzing the genome of J. regia, in
which the cis-elements were identified. This JrVHAG1 promoter fragment showed
expression activity that was enhanced significantly when subjected to the above
treatments. Yeast one-hybrid assay and transient expression analysis demonstrated
that JrMYB2 specifically bound to the MYBCORE motif and shared similar expression
patterns with JrVHAG1 under ABA, CdCl2 and ABA+CdCl2 stress conditions.
CONCLUSIONS: Our results suggested that the JrVHAG1 gene functions as a CdCl2
stress response regulator by participating in ABA-signal pathway and MYB
transcription regulation network. JrVHAG1 gene is a useful candidate gene for
heavy metal stress tolerance in plant molecular breeding.
PMID- 29357826
TI - Effects of resistance training on body composition and functional capacity among
sarcopenic obese residents in long-term care facilities: a preliminary study.
AB - BACKGROUND: Aging-related loss of muscle and strength with increased adiposity is
prevalent among older people in long-term care (LTC) facilities. Studies have
shown that people with sarcopenic obesity (SO) are at high risk of declining
physical performance. At present, no interventional studies on residents with SO
in nursing homes have been conducted in the literature. The objectives of this
study include appraising the changes in body composition and physical performance
following resistance training among residents with SO in LTC facilities. METHODS:
This study used a quasiexperimental research design. Residents who are 60 years
of age or above and have been living a sedentary lifestyle in LTC facilities for
the past 3 months will be eligible for inclusion. The intervention group engaged
in chair muscle strength training twice a week for 12 weeks, whereas the control
group underwent the usual care. The main variables were physical parameters of
being lean and fat, the strength of grip and pinch, and a functional independence
measure using descriptive analysis, chi-squared test, t-test, and generalized
estimating equation for statistical analysis through SPSS. RESULTS: A total of 64
respondents with SO completed the study. After training, total grip strength (p =
0.001) and total pinch strength (p = 0.014) of the intervention group differed
significantly from those of the control group. The right grip strength of the
intervention group increased by 1.71 kg (p = 0.003) and the left grip strength
improved by 1.35 kg (p = 0.028) compared with baseline values. The self-care
scores of the intervention group increased by 2.76 points over baseline scores,
particularly for the action of dressing oneself. Although grip strength and self
care scores improved more among those in the intervention group, body fat and
skeletal muscle percentages did not differ significantly between the groups after
training (p > 0.05). CONCLUSIONS: Resistance exercises for elderly residents in
LTC facilities may play an important role in helping them maintain physical well
being and improve muscle strength. TRIAL REGISTRATION: Clinicaltrials.gov, number
NCT02912338 . Retrospectively registered on 09/21/2016.
PMID- 29357828
TI - Birt-Hogg-Dube syndrome in two Chinese families with mutations in the FLCN gene.
AB - BACKGROUND: Birt-Hogg-Dube syndrome is an autosomal dominant hereditary condition
caused by mutations in the folliculin-encoding gene FLCN (NM_144997). It is
associated with skin lesions such as fibrofolliculoma, acrochordon and
trichodiscoma; pulmonary lesions including spontaneous pneumothorax and pulmonary
cysts and renal cancer. METHODS: Genomic DNA was extracted from peripheral venous
blood samples of the propositi and their family members. Genetic analysis was
performed by whole exome sequencing and Sanger sequencing aiming at corresponding
exons in FLCN gene to explore the genetic mutations of these two families.
RESULTS: In this study, we performed genetic analysis by whole exome sequencing
and Sanger sequencing aiming at corresponding exons in FLCN gene to explore the
genetic mutations in two Chinese families. Patients from family 1 mostly suffered
from pneumothorax and pulmonary cysts, several of whom also mentioned skin
lesions or kidney lesions. While in family 2, only thoracic lesions were found in
the patients, without any other clinical manifestations. Two FLCN mutations have
been identified: One is an insertion mutation (c.1579_1580insA/p.R527Xfs on exon
14) previously reported in three Asian families (one mainland family and two
Taiwanese families); while the other is a firstly reviewed mutation in Asian
population (c.649C > T / p.Gln217X on exon 7) that ever been detected in a French
family. CONCLUSIONS: Overall, The detection of these two mutations expands the
spectrum of FLCN mutations and will provide insight into genetic diagnosis and
counseling of Birt-Hogg-Dube syndrome.
PMID- 29357827
TI - Transcriptomic analysis reveals unique molecular factors for lipid hydrolysis,
secondary cell-walls and oxidative protection associated with thermotolerance in
perennial grass.
AB - BACKGROUND: Heat stress is the primary abiotic stress limiting growth of cool
season grass species. The objective of this study was to determine molecular
factors and metabolic pathways associated with superior heat tolerance in thermal
bentgrass (Agrostis scabra) by comparative analysis of transcriptomic profiles
with its co-generic heat-sensitive species creeping bentgrass (A. stolonifera).
RESULTS: Transcriptomic profiling by RNA-seq in both heat-sensitive A.
stolonifera (cv. 'Penncross') and heat-tolerant A. scabra exposed to heat stress
found 1393 (675 up- and 718 down-regulated) and 1508 (777 up- and 731 down
regulated) differentially-expressed genes, respectively. The superior heat
tolerance in A. scabra was associated with more up-regulation of genes in
oxidative protection, proline biosynthesis, lipid hydrolysis, hemicellulose and
lignin biosynthesis, compared to heat-sensitive A. stolonifera. Several
transcriptional factors (TFs), such as high mobility group B protein 7 (HMGB7),
dehydration-responsive element-binding factor 1a (DREB1a), multiprotein-bridging
factor 1c (MBF1c), CCCH-domain containing protein 47 (CCCH47), were also found to
be up-regulated in A. scabra under heat stress. CONCLUSIONS: The unique TFs and
genes identified in thermal A. scabra could be potential candidate genes for
genetic modification of cultivated grass species for improving heat tolerance,
and the associated pathways could contribute to the transcriptional regulation
for superior heat tolerance in bentgrass species.
PMID- 29357829
TI - Caffeine is a risk factor for osteopenia of prematurity in preterm infants: a
cohort study.
AB - BACKGROUND: Caffeine, the most commonly used medication in Neonatal Intensive
Care Units, has calciuric and osteoclastogenic effects. METHODS: To examine the
association between the cumulative dose and duration of therapy of caffeine and
osteopenia of prematurity, a retrospective cohort study was conducted including
premature infants less than 31 weeks and birth weight less than 1500 g.
Osteopenia of prematurity was evaluated using chest X-rays on a biweekly basis
over 12 weeks of hospitalization. RESULTS: The cohort included 109 infants. 51%
had osteopenia of prematurity and 8% had spontaneous rib fractures. Using the
generalized linear mixed model, caffeine dose and duration of caffeine therapy
showed a strong association with osteopenia of prematurity. Steroids and vitamin
D were also significantly correlated with osteopenia of prematurity while
diuretic use did not show a statistically significant effect. CONCLUSION: The
cumulative dose and duration of therapy of caffeine, as well as steroid are
associated with osteopenia of prematurity in this cohort. Future studies are
needed to confirm these findings and determine the lowest dose of caffeine needed
to treat effectively apnea of prematurity.
PMID- 29357831
TI - The necessity and optimal time for performing pars plana vitrectomy in acute
retinal necrosis patients.
AB - BACKGROUND: To compare the efficacy of pars plana vitrectomy (PPV) at different
time points to treat acute retinal necrosis (ARN) and to investigate the
necessity of PPV for ARN. METHODS: A retrospective review of the treatment
options and outcomes of the ARN patients was performed. Thirty ARN patients (34
eyes) were included in this study. The eyes were divided into 3 groups depending
on the treatment administered. In the medically treated group, there was no
retinal detachment (RD) at the first visit. The routine group patients were
treated with systemic antiviral medications, as well as with intravitreal
antiviral injections. In the early PPV treatment group, there was no RD at the
first visit. The early PPV treatment group patients were treated with systemic
antiviral medications and PPV plus silicone oil tamponade and intravitreal
injection. In the PPV group, there was RD at the first visit. The PPV group
patients were treated with systemic antiviral medications and PPV plus silicone
oil tamponade and intravitreal injection. RESULTS: In the medically treated
group, the mean baseline best corrected visual acuity (BCVA) (logMAR) was 1.38 +/
0.35. The BCVA was 1.21 +/- 0.36 at the last visit for the medically treated
group. In this group, one eye (12.5%) developed RD after 1 month of treatment. In
the early PPV treatment group, the mean BCVA (logMAR) was 1.68 +/- 0.26. The BCVA
was 1.83 +/- 0.21 at the last visit for the early PPV group. In this group, five
eyes (29.4%) had recurrent RD before silicone oil removal. In the PPV group, the
mean BCVA (logMAR) was 2.0 +/- 0.35. The BCVA was 1.72 +/- 0.34 at the last visit
for the PPV group. In this group, one eye (11.1%) had recurrent RD before
silicone oil removal. There were no significant differences among the three
groups in the baseline BCVA and the BCVA at the last visit (p>0.05). There were
no significant differences between the early PPV group and the PPV group in the
recurrent RD rates (p = 0.38). CONCLUSIONS: Prophylactic PPV showed no difference
in recurrent RD rates or better BCVA. Therefore, prophylactic vitrectomy cannot
prevent RD nor improve the prognosis of ARN based on our research.
PMID- 29357830
TI - Nicotine dependence in Croatian male inpatients with schizophrenia.
AB - BACKGROUND: Patients with schizophrenia have the highest known rates of cigarette
smoking, but less is known about their smoking behavior and the differences
across geographical regions, including Croatia. The aim of this study was to
compare patterns of nicotine dependence between patients with schizophrenia and
healthy individuals, and to determine the relationship between clinical
presentation and the severity of smoking. METHODS: This cross-sectional study
included 182 recently hospitalized male inpatients and 280 healthy males, who
were daily smokers. All participants have fulfilled the Fagerstrom Test for
Nicotine Dependence (FTND). Patients were also evaluated by the Positive and
Negative Syndrome Scale (PANSS). RESULTS: Patients had higher FTND total score (p
= 0.010), smoked their first cigarette earlier in the morning (p = 0.000),
consumed higher number of cigarettes (p = 0.000), while healthy subjects had more
difficulties to refrain from smoking in places where it is forbidden (p = 0.000)
and smoked more even when they were sick (p = 0.000). While severe dependence was
more prevalent in the patient group, light dependence was more frequent in
control subjects (p = 0.04). Smoking behavior was not associated with either
PANSS total score or any of its subscales and items. CONCLUSIONS: Smokers with
schizophrenia differ from healthy smokers in both smoking behavior and level of
dependence. Longitudinal studies are needed to shed more light on the complex
relationship between smoking and psychopathology in schizophrenia.
PMID- 29357832
TI - DArT, SNP, and SSR analyses of genetic diversity in Lolium perenne L. using bulk
sampling.
AB - BACKGROUND: Lolium perenne L. is the most important forage grass species in
temperate regions. It is also considered as a sustainable source of biomass for
energy production. However, improvement in biomass yield has been limited by
comparison with other major crops. More efficient utilisation of genetic
resources and improved breeding schemes are required to advance L. perenne
breeding. In an attempt to elucidate the extent of genetic diversity in L.
perenne, 1384 DArT, 182 SNP and 48 SSR markers were applied to 297 accessions
(Set I) contributed by three German breeding companies and the IPK Genebank. Due
to the heterogeneous nature of Lolium accessions, bulk samples were used. Apart
from germplasm set I, additional set II and set III was used to determine the
reproducibility of marker system and judge the feasibility of bulk strategy in
this study. RESULTS: By assessing different bulk sizes, 24 individuals per sample
were shown to be a representative number of plants to discriminate different
accessions. Among the 297 accessions, all marker types revealed a high
polymorphism rate; 1.99, 2.00 and 8.19 alleles, were obtained per locus on
average using DArTs, SNPs and SSRs, respectively. The Jaccard distance for DArT
markers ranged from 0.00 to 0.73, the Modified Roger's distance (MRD) for SNP
markers ranged from 0.03 to 0.52, and for SSR markers from 0.26 to 0.76. Gene
diversity for dominant DArT and co-dominant SNP and SSR markers was found to be
0.26, 0.32 and 0.45, respectively. DArT markers showed the highest consistency
and reproducibility. CONCLUSION: The resulting data were evaluated using a number
of different classification methods, but none of the methods showed a clear
differentiation into distinct genetic pools. With regard to hybrid breeding, this
will possibly impede substantial progress towards increased biomass yields of L.
perenne by utilising heterosis.
PMID- 29357833
TI - Genome-wide DNA methylation profiling in whole blood reveals epigenetic
signatures associated with migraine.
AB - BACKGROUND: Migraine is a common heritable neurovascular disorder typically
characterised by episodic attacks of severe pulsating headache and nausea, often
accompanied by visual, auditory or other sensory symptoms. Although genome-wide
association studies have identified over 40 single nucleotide polymorphisms
associated with migraine, there remains uncertainty about the casual genes
involved in disease pathogenesis and how their function is regulated. RESULTS: We
performed an epigenome-wide association study, quantifying genome-wide patterns
of DNA methylation in 67 migraine cases and 67 controls with a matching age and
sex distribution. Association analyses between migraine and methylation probe
expression, after adjustment for cell type proportions, indicated an excess of
small P values, but there was no significant single-probe association after
correction for multiple testing (P < 1.09 * 10- 7). However, utilising a 1 kb
sliding window approach to combine adjacent migraine-methylation association P
values, we identified 62 independent differentially methylated regions (DMRs)
underlying migraine (false discovery rate < 0.05). Migraine association signals
were subtle but consistent in effect direction across the length of each DMR.
Subsequent analyses showed that the migraine-associated DMRs were enriched in
regulatory elements of the genome and were in close proximity to genes involved
in solute transportation and haemostasis. CONCLUSIONS: This study represents the
first genome-wide analysis of DNA methylation in migraine. We have identified DNA
methylation in the whole blood of subjects associated with migraine, highlighting
novel loci that provide insight into the biological pathways and mechanisms
underlying migraine pathogenesis.
PMID- 29357834
TI - Revealing the selection history of adaptive loci using genome-wide scans for
selection: an example from domestic sheep.
AB - BACKGROUND: One of the approaches to detect genetics variants affecting fitness
traits is to identify their surrounding genomic signatures of past selection.
With established methods for detecting selection signatures and the current and
future availability of large datasets, such studies should have the power to not
only detect these signatures but also to infer their selective histories.
Domesticated animals offer a powerful model for these approaches as they adapted
rapidly to environmental and human-mediated constraints in a relatively short
time. We investigated this question by studying a large dataset of 542
individuals from 27 domestic sheep populations raised in France, genotyped for
more than 500,000 SNPs. RESULTS: Population structure analysis revealed that this
set of populations harbour a large part of European sheep diversity in a small
geographical area, offering a powerful model for the study of adaptation.
Identification of extreme SNP and haplotype frequency differences between
populations listed 126 genomic regions likely affected by selection. These
signatures revealed selection at loci commonly identified as selection targets in
many species ("selection hotspots") including ABCG2, LCORL/NCAPG, MSTN, and coat
colour genes such as ASIP, MC1R, MITF, and TYRP1. For one of these regions
(ABCG2, LCORL/NCAPG), we could propose a historical scenario leading to the
introgression of an adaptive allele into a new genetic background. Among
selection signatures, we found clear evidence for parallel selection events in
different genetic backgrounds, most likely for different mutations. We confirmed
this allelic heterogeneity in one case by resequencing the MC1R gene in three
black-faced breeds. CONCLUSIONS: Our study illustrates how dense genetic data in
multiple populations allows the deciphering of evolutionary history of
populations and of their adaptive mutations.
PMID- 29357835
TI - Factors associated with mental health consultation in South Korea.
AB - BACKGROUND: The aim of this study was to examine factors associated with the use
of mental health consultation for depressive symptoms. METHODS: We used data from
the 2013 Community Health Survey, which included responses from 13,269
individuals who reported that they had experienced depressive symptoms for more
than 2 weeks in Korea. We investigated associations between mental health
consultation rates for depressive symptoms and sociodemographic, socioeconomic,
and health-related factors. Logistic regression analysis was used to examine the
significance of associations. RESULTS: Among participants who report depressive
symptoms, 16.0% (n = 2120) undergo mental health consultation. Respondents with a
college education or over are more likely to undergo mental health consultation
(odds ratio (OR) = 1.49; 95% CI: 1.21-1.84) than respondents with less education.
Individuals aged 70 years or above are less likely to receive mental health
consultation than those aged between 19 and 29 years. Females exhibit higher
mental health consultation rates than males. Respondents who are divorced show
greater odds of receiving mental health consultation than respondents who are
married and cohabitate with their spouse. CONCLUSIONS: This study indicates that
rates of use of mental health consultation services are lower among older adults
and men and higher among divorced people. Educational level shows a significant
positive association with mental health consultation among Koreans. The results
could have implications for mental health policy in many ways in Korea.
PMID- 29357836
TI - Up-regulation of SR-BI promotes progression and serves as a prognostic biomarker
in clear cell renal cell carcinoma.
AB - BACKGROUND: Scavenger receptor class B type I (SR-BI) has been reported to be
involved in carcinogenesis of several human cancers. However, it is currently
unknown whether SR-BI plays a role in clear cell renal cell carcinoma (ccRCC).
Here, we aimed to evaluate a tumor promotive mechanism for SR-BI in ccRCC.
METHODS: The expression of SR-BI was evaluated by real-time quantitative reverse
transcription polymerase chain reaction (qRT-PCR), Western blot and
immunohistochemistry (IHC) in ccRCC tissues and cell lines. Lipid droplets in
ccRCC tissues and normal kidney tissues were examined by Oil Red O (ORO) and
hematoxylin-eosin (HE) staining. The correlation between SR-BI mRNA levels and
clinicopathological features was analyzed by Pearson's chi-square test or
Fisher's exact test. Kaplan-Meier analysis and Cox model were used to evaluate
the difference in progression-free survival (PFS) associated with expression of
SR-BI. Inhibition of SR-BI was conducted by using small interfering RNA (siRNA).
In vitro assays were performed to assess the impact of SR-BI knockdown on cell
biological behaviors. High density lipoprotein (HDL)-cholesterol content in ccRCC
cells and extracellular media was also measured after transfection with siRNA.
RESULTS: The expression of SR-BI was markedly up-regulated in ccRCC tissues and
tumor cell lines. ORO and HE staining revealed huge amounts of lipid droplets
accumulation in ccRCC. Clinical analysis showed that over-expression of SR-BI was
positively associated with tumor size, grade, distant metastasis and inversely
correlated with PFS. Furthermore, SR-BI was proved to be an independent
prognostic marker in ccRCC patients. The inhibition of SR-BI attenuated the
tumorous behaviors of ccRCC cells, expression of metastasis and AKT pathway
related proteins. The content of HDL-cholesterol was reduced in cells while
increased in extracellular media after transfection with si-SR-BI. CONCLUSIONS:
Our results demonstrate that SR-BI functions as an oncogene and promotes
progression of ccRCC. SR-BI may serve as a potential prognostic biomarker and
therapeutic target for ccRCC.
PMID- 29357837
TI - Detecting TF-miRNA-gene network based modules for 5hmC and 5mC brain samples: a
intra- and inter-species case-study between human and rhesus.
AB - BACKGROUND: Study of epigenetics is currently a high-impact research topic. Multi
stage methylation is also an area of high-dimensional prospect. In this article,
we provide a new study (intra and inter-species study) on brain tissue between
human and rhesus on two methylation cytosine variants based data-profiles (viz.,
5-hydroxymethylcytosine (5hmC) and 5-methylcytosine (5mC) samples) through TF
miRNA-gene network based module detection. RESULTS: First of all, we determine
differentially 5hmC methylated genes for human as well as rhesus for intra
species analysis, and differentially multi-stage methylated genes for inter
species analysis. Thereafter, we utilize weighted topological overlap matrix
(TOM) measure and average linkage clustering consecutively on these genesets for
intra- and inter-species study.We identify co-methylated and multi-stage co
methylated gene modules by using dynamic tree cut, for intra-and inter-species
cases, respectively. Each module is represented by individual color in the
dendrogram. Gene Ontology and KEGG pathway based analysis are then performed to
identify biological functionalities of the identified modules. Finally, top ten
regulator TFs and targeter miRNAs that are associated with the maximum number of
gene modules, are determined for both intra-and inter-species analysis.
CONCLUSIONS: The novel TFs and miRNAs obtained from the analysis are: MYST3 and
ZNF771 as TFs (for human intra-species analysis), BAZ2B, RCOR3 and ATF1 as TFs
(for rhesus intra-species analysis), and mml-miR-768-3p and mml-miR-561 as miRs
(for rhesus intra-species analysis); and MYST3 and ZNF771 as miRs(for inter
species study). Furthermore, the genes/TFs/miRNAs that are already found to be
liable for several brain-related dreadful diseases as well as rare neglected
diseases (e.g., wolf Hirschhorn syndrome, Joubarts Syndrome, Huntington's
disease, Simian Immunodeficiency Virus(SIV) mediated enchaphilits, Parkinsons
Disease, Bipolar disorder and Schizophenia etc.) are mentioned.
PMID- 29357838
TI - AZGP1 inhibits soft tissue sarcoma cells invasion and migration.
AB - BACKGROUND: One of the major challenges in soft tissue sarcomas is to identify
factors that predict metastasis. AZGP1 is a potential biomarker of cancer
progression, but its value in soft tissue sarcomas remains unknown. The aim of
this study is to determine the expression level of AZGP1 in soft tissue sarcomas,
and to analyze its influence on tumor progression. METHODS: AZGP1
immunohistochemistry (IHC) and RT-PCR were performed in 86 patients with soft
tissue sarcomas. The relationships between AZGP1 levels and clinicopathologic
features were analyzed. In vitro experiments were performed using fibrosarcoma
(HT1080), rhabdomyosarcoma (RD) and synovial sarcoma (SW982) cell lines to
corroborate our findings. We used lentiviral over-expression and knockdown assays
to examine how changes of AZGP1 expressions might affect cellular migration and
invasion. RESULTS: The quantitative RT-PCR results showed that AZGP1 expression
was negatively correlated with metastasis and overall survival in soft tissue
sarcomas (p < 0.05). Immunohistochemical staining showed lower expression of
AZGP1 in patients with metastasis than in those without. Kaplan-Meier survival
analysis showed that patients with low expression of AZGP1 had shorter overall (p
= 0.056) and metastasis-free survivals (p = 0.038). These findings were
corroborated by our in vitro experiments. Over-expression of AZGP1 significantly
decreased RD cellular migration and invasion by 64% and 78%, respectively. HT1080
cells migration was inhibited by 2-fold, whereas their invasion was repressed by
7-fold after AZGP1 knockdown. CONCLUSIONS: Our study reveals that reduced AZGP1
expression correlates with in vitro cellular migration and invasion. In vivo, it
is associated with higher metastatic risk and shorter survival in patients with
soft tissue sarcomas.
PMID- 29357839
TI - Orbital sparganosis in an 8-year boy: a case report.
AB - BACKGROUND: Sparganosis is one of the neglected but important food-borne
parasitic zoonoses, with higher prevalence in Asian countries. The infection is
commonly located in the subcutaneous tissue, brain, breast, and lung, but fewer
reported infections involve the eye. Because the majority of patients with
sparganosis are adults, it is likely to be missed in children. CASE PRESENTATION:
An 8-year-old boy presented to our clinic complaining of a painless ocular mass
in his right eye for 1 month. The boy had a history of eating frogs and frog
poultice applications to his eyelids. The patient was checked for an elliptical
mass near the medial wall of the right eye. Serodiagnosis testing was positive in
an enzyme-linked immunosorbent assay. During surgical operation on the patient,
calcified parasite eggs and foreign body granulomatous reaction were found using
histological examination. Due to early detection and surgery, the patient fully
recovered with no damage to his eyesight. CONCLUSIONS: Although rare, ocular
sparganosis should be suspected in a mass of the eye when there is a history of
eating frogs and frog poultice applications on eyelids. Early surgical resection
is important for a good prognosis.
PMID- 29357840
TI - FAM3B/PANDER inhibits cell death and increases prostate tumor growth by
modulating the expression of Bcl-2 and Bcl-XL cell survival genes.
AB - BACKGROUND: FAM3B/PANDER is a novel cytokine-like protein that induces apoptosis
in insulin-secreting beta-cells. Since in silico data revealed that FAM3B can be
expressed in prostate tumors, we evaluated the putative role of this cytokine in
prostate tumor progression. METHODS: FAM3B expression was analyzed by
quantitative PCR in tumor tissue clinical samples and prostate tumor cell lines.
Culture growth and viability of DU145 cell line were evaluated after treatment
with either exogenous FAM3B protein obtained from conditioned media (CM) of 293 T
cells overexpressing FAM3B or a recombinant FAM3B protein produced in a bacterial
host. DU145 cells overexpressing FAM3B protein were produced by lentiviral
mediated transduction of full-length FAM3B cDNA. Cell viability and apoptosis
were analyzed in DU145/FAM3B cells after treatment with several cell death
inducers, such as TNF-alpha, staurosporine, etoposide, camptothecin, and serum
starvation conditions. Anchorage-independent growth in soft agarose assay was
used to evaluate in vitro tumorigenicity. In vivo tumorigenicity and invasiveness
were evaluated by tumor xenograft growth in nude mice. RESULTS: We observed an
increase in FAM3B expression in prostate tumor samples when compared to normal
tissues. DU145 cell viability and survival increased after exogenous treatment
with recombinant FAM3B protein or FAM3B-secreted protein. Overexpression of FAM3B
in DU145 cells promoted inhibition of DNA fragmentation and phosphatidylserine
externalization in a time and dose-dependent fashion, upon apoptosis triggered by
TNF-alpha. These events were accompanied by increased gene expression of anti
apoptotic Bcl-2 and Bcl-XL, decreased expression of pro-apoptotic Bax and
diminished caspase-3, -8 and -9 proteolytic activities. Furthermore, inhibition
of Bcl-2 anti-apoptotic family proteins with small molecules antagonists
decreases protective effects of FAM3B in DU145 cells. When compared to the
respective controls, cells overexpressing FAM3B displayed a decreased anchorage-
independent growth in vitro and increased tumor growth in xenografted nude mice.
The immunohistochemistry analysis of tumor xenografts revealed a similar anti
apoptotic phenotype displayed by FAM3B-overexpressing tumor cells. CONCLUSIONS:
Taken together, by activating pro-survival mechanisms FAM3B overexpression
contributes to increased resistance to cell death and tumor growth in nude mice,
highlighting a putative role for this cytokine in prostate cancer progression.
PMID- 29357842
TI - Breast magnetic resonance imaging for surveillance of women with a personal
history of breast cancer: outcomes stratified by interval between definitive
surgery and surveillance MR imaging.
AB - BACKGROUND: Women with a personal history of breast cancer are at increased risk
of future breast cancer events, and may benefit from supplemental screening
methods that could enhance early detection of subclinical disease. However,
current literature on breast magnetic resonance (MR) imaging surveillance is
limited. We investigated outcomes of surveillance breast magnetic resonance (MR)
imaging in women with a personal history of breast cancer. METHODS: We reviewed
1053 consecutive breast MR examinations that were performed for surveillance in
1044 women (median age, 53 years; range, 20-85 years) previously treated for
breast cancer between August 2014 and February 2016. All patients had previously
received supplemental surveillance with ultrasound. Cancer detection rate (CDR),
abnormal interpretation rate and characteristics of MR-detected cancers were
assessed, including extramammary cancers. We also calculated the PPV 1 , PPV 3 ,
sensitivity and specificity for MR-detected intramammary lesions. Performance
statistics were stratified by interval following initial surgery. RESULTS: The
CDR for MR-detected cancers was 6.7 per 1000 examinations (7 of 1053) and was 3.8
per 1000 examinations (4 of 1053) for intramammary cancers. The overall abnormal
interpretation rate was 8.0%, and the abnormal interpretation rate for
intramammary lesions was 7.2%. The PPV1, PPV3, sensitivity and specificity for
intramammary lesions was 5.3% (4 of 76), 15.8% (3 of 19), 75.0% (3 of 4) and
98.3% (1031 of 1049), respectively. For MR examinations performed <=36 months
after surgery, the overall CDR was 1.4 per 1000 examinations. For MR examinations
performed > 36 months after surgery, the overall CDR was 17.4 per 1000
examinations. CONCLUSIONS: Surveillance breast MR imaging may be considered in
women with a history of breast cancer, considering the low abnormal
interpretation rate and its high specificity. However, the cancer detection rate
was low and implementation may be more effective after more than 3 years after
surgery.
PMID- 29357841
TI - Study on the persistence of Zika virus (ZIKV) in body fluids of patients with
ZIKV infection in Brazil.
AB - BACKGROUND: Zika virus (ZIKV) has been identified in several body fluids of
infected individuals. In most cases, it remained detected in blood from few days
to 1 week after the onset of symptoms, and can persist longer in urine and in
semen. ZIKV infection can have dramatic consequences such as microcephaly and
Guillain-Barre syndrome. ZIKV sexual transmission has been documented. A better
understanding of ZIKV presence and persistence across biologic compartments is
needed to devise rational measures to prevent its transmission. METHODS: This
observational cohort study will recruit non-pregnant participants aged 18 years
and above with confirmed ZIKV infection [positive reverse transcriptase
polymerase chain reaction (RT-PCR) test in blood and/or urine]: symptomatic men
and women in ZIKV infection acute phase, and their symptomatic or asymptomatic
household/sexual infected contacts. Specimens of blood, urine, semen, vaginal
secretion/menstrual blood, rectal swab, oral fluids, tears, sweat, urine and
breast milk (if applicable) will be collected at pre-established intervals and
tested for ZIKV RNA presence by RT-PCR, other co-infection (dengue, Chikungunya,
HIV, hepatitis B and C, syphilis), antibody response (including immunoglobulins M
and G), plaque reduction neutralization test (if simultaneously positive for ZIKV
and dengue), and ZIKV culture and RNA sequencing. Data on socio-demographic
characteristics and comorbidities will be collected in parallel. Participants
will be followed up for 12 months. DISCUSSION: This prolonged longitudinal follow
up of ZIKV infected persons with regular biologic testing and data collection
will offer a unique opportunity to investigate the presence and persistence of
ZIKV in various biologic compartments, their clinical and immunological
correlates as well as the possibility of ZIKV reactivation/reinfection over time.
This valuable information will substantially contribute to the body of knowledge
on ZIKV infection and serve as a base for the development of more effective
recommendation on the prevention of ZIKV transmission. TRIAL REGISTRATION:
NCT03106714 . Registration Date: April, 7, 2017.
PMID- 29357843
TI - An individually randomized controlled trial to determine the effectiveness of the
Women for Women International Programme in reducing intimate partner violence and
strengthening livelihoods amongst women in Afghanistan: trial design, methods and
baseline findings.
AB - BACKGROUND: Intimate Partner Violence (IPV) is the most common form of violence
in conflict and post-conflict settings, but there are few evaluations of
interventions to prevent IPV in such settings. METHODS: The Women for Women
International (WfWI) intervention is a year-long combined economic and social
empowerment intervention for marginalized women survivors of conflict. Primarily,
it seeks to support women to achieve four key outcomes: women earn and save
money; women improve their health and well-being; women influence decisions in
their homes and communities; women connect to networks for support. The
organization recognizes Violence Against Women and Girls (VAWG) as a significant
barrier to women's empowerment and expects to see reduction in VAWG, and
specifically IPV, as part of building women's social and economic empowerment.
This program is being quantitatively evaluated through an individually randomized
control trial amongst women in Afghanistan, with a 24-month follow up. A
comparison of baseline characteristics of participants is also included as well
as a discussion of implementation of the baseline research. DISCUSSION: There is
a high demand amongst Afghan women for such interventions, and this posed
challenges in completing the randomization and baseline. In addition, the complex
security situation in Afghanistan also posed challenges. However, despite these
issues, recruitment was successfully achieved and the arms were balanced on socio
demographic measures. The evaluation will contribute to the limited evidence base
on interventions to prevent IPV in conflict-affected settings. TRIAL
REGISTRATION: NCT03236948 . Registered 28 July 2017, retrospectively registered.
PMID- 29357845
TI - Dairy product consumption and risk of hip fracture: a systematic review and meta
analysis.
AB - BACKGROUND: Dairy product consumption may affect the risk of hip fracture, but
previous studies have reported inconsistent findings. The primary aim of our meta
analysis was to examine and quantify the potential association of dairy product
consumption with risk of hip fracture. METHODS: We searched the databases of
PubMed and EMBASE for relevant articles from their inception through April 17,
2017. The final analysis included 10 cohort studies and 8 case-control studies.
Random-effects models were used to estimate the pooled risk. Subgroup and dose
response analyses were conducted to explore the relationships between the
consumption of milk and the risk of hip fracture. RESULTS: After pooling the data
from the included studies, the summary relative risk (RR) for hip fracture for
highest versus lowest consumption were 0.91 (95% CI: 0.74-1.12), 0.75 (95% CI:
0.66-0.86), 0.68 (95% CI: 0.61-0. 77), 1.02 (95% CI: 0.93-1.12) for milk, yogurt,
cheese, and total dairy products in cohort studies, respectively. Higher milk
consumption [Odds ratio (OR), 0.71, 95% CI: 0.55-0. 91] was associated with lower
risk of hip fracture for highest versus lowest consumption in case-control
studies. After quantifying the specific dose of milk, the summary RR/OR for an
increased milk consumption of 200 g/day was 1.00 (95% CI: 0.94-1.07), and 0.89
(95%CI: 0.64-1.24) with significant heterogeneity for cohort and case-control
studies, respectively; There was a nonlinear association between milk consumption
and hip fracture risk in cohort, and case-control studies. CONCLUSIONS: Our
findings indicate that consumption of yogurt and cheese was associated with lower
risk of hip fracture in cohort studies. However, the consumption of total dairy
products and cream was not significantly associated with the risk of hip
fracture. There was insufficient evidence to deduce the association between milk
consumption and risk of hip fracture. A lower threshold of 200 g/day milk intake
may have beneficial effects, whereas the effects of a higher threshold of milk
intake are unclear.
PMID- 29357844
TI - With axial loading during MRI diurnal T2-value changes in lumbar discs are
neglectable: a cross sectional study.
AB - BACKGROUND: Axial loading during MRI (alMRI) combined with T2 mapping recently
was shown as a promising method to reveal biomechanical intervertebral disc (IVD)
characteristics. This feasibility study aims to investigate whether there is a
diurnal variation in the IVD T2-value when using alMRI. This is of importance for
the planning of when to perform alMRI investigations and for interpretations of
alMRI findings in relation to clinical symptoms. METHODS: Six healthy volunteers
(30 lumbar discs), were examined with alMRI at three different sessions during 1
day. To be representative for a low back pain cohort in terms of age and IVD
degeneration the included participants had a wide age range (27-63y) and all
Pfirrmann grades represented. The T2-values were measured in five IVD regions of
interest (ROI). The ROIs were equally large in sagittal plane with ROI1
representing anterior parts of the IVD, ROI5 posterior IVD parts and ROI2-4 the
parts in between. RESULTS: T2-values of the entire IVD varied between 38 and 138
ms at 7 am, 33-143 ms at 11.30 am, and 31-147 ms at 4 pm with large regional IVD
variations at all time points. No significant alterations of the T2-values over
the day were found, neither for the entire IVD (p = 0.4) nor for the various ROIs
(p = 0.2-1.0). Neither when correlated to Pfirrmann grade, any significant
diurnal T2-value changes were found. CONCLUSIONS: With alMRI, only minor diurnal
T2-value changes were found in the lumbar discs. Nonsignificant and neglectable
diurnal changes are advantageous both for research purposes, as well as in the
clinical setting, giving comparable and robust data regardless of at what time
point the alMRI is performed.
PMID- 29357846
TI - Neoboutonia melleri var velutina Prain: in vitro and in vivo hepatoprotective
effects of the aqueous stem bark extract on acute hepatitis models.
AB - BACKGROUND: Hepatitis is a liver inflammation caused by different agents and
remains a public health problem worldwide. Medicinal plants are an important
source of new molecules being considered for treatment of this disease. Our work
aims at evaluating the hepatoprotective properties of Neoboutonia velutina, a
Cameroonian medicinal plant. METHODS: The aqueous extract has been prepared using
phytochemical methods. HepG2 cells were used to assess anti-inflammatory
properties of the extract at different concentrations. Acute hepatitis models
(Carbon tetrachloride and Concanavalin A) were performed in mice receiving or not
receiving, different extract doses by gavage. Liver injury was assessed using
histology, transaminases and pro-inflammatory markers. Extract antioxidant and
radical scavenging capacities were evaluated. RESULTS: The extract led to a
significant decrease in pro-inflammatory cytokine expression in vitro and to a
remarkable protection of mice from carbon tetrachloride-induced liver injury, as
shown by a significant decrease in dose-dependent transaminases level. Upon
extract treatment, inflammatory markers were significantly decreased and liver
injuries were limited as well. In the Concanavalin A model, the extract displayed
weak effects. CONCLUSIONS: Taking into account underlying mechanisms in both
hepatitis models, we demonstrate the extract's radical scavenging capacity.
Neoboutonia velutina displays a potent hepatoprotective effect mediated through
radical scavenging properties.
PMID- 29357847
TI - Critically appraised topic on adverse food reactions of companion animals (5):
discrepancies between ingredients and labeling in commercial pet foods.
AB - BACKGROUND: Elimination dietary trials for the diagnosis of adverse food
reactions (food allergies) in dogs and cats are often conducted with commercial
pet foods while relying on their label to select those not containing previously
eaten ingredients. There are concerns that industrial pet foods might contain
unlisted food sources that could negate the usefulness of performing food trials.
Furthermore, unidentified ingredients might cause clinical reactions in patients
hypersensitive to such items. RESULTS: We searched two article databases on July
7, 2017 and January 12, 2018 for relevant articles, and we screened abstracts
from the leading international veterinary dermatology congresses for suitable
material. Additional citations were found in the selected papers. In all, we
extracted data from 17 articles and one abstract. The studies varied both in the
number of pet foods tested (median: 15; range: 1 to 210) and that of ingredients
specifically evaluated (median: 4; range: 1 to 11). Studies most often employed
either PCR to detect DNA or ELISA to identify proteins from one or more vegetal
or animal species; two studies used mass spectrometry to increase the number of
detectable proteins. The various methods found ingredients that were not on the
label in 0 to 83% (median: 45%) of tested diets; this percentage varied between
33 and 83% in pet foods with "novel/limited" ingredients proposed for elimination
diets. Similarly, ingredients were found to be missing from the label in 0 to 38%
(median: 1%) of tested foods. Finally, six studies evaluated, among others,
several hydrolysate-containing pet foods: mislabeling with unlabeled or missing
ingredients was found only in one diet. CONCLUSIONS: The mislabeling of pet foods
appears rather common, even in those with "novel" or "limited" ingredients
proposed for elimination diets. Unexpected added ingredients are more frequently
detected than those missing from the label. There is insufficient information to
determine if the presence of a contaminating component will lead to a clinical
reaction in a patient allergic to it, as challenges with the mislabeled foods
were not performed in dogs or cats allergic to such ingredients. The testing of
hydrolysate-containing pet foods found only one instance of possible mislabeling.
PMID- 29357849
TI - Indian adolescents' perceptions of the home food environment.
AB - BACKGROUND: The home food environment has the potential to influence the eating
behaviour of adolescents. This investigation aimed to understand Indian
adolescents' perspectives of their home food environments. METHODS: Adolescents
aged 14-16 years (n = 1026, 65.3% girls) attending private secondary schools in
Kolkata completed a paper-based questionnaire during school time which included
questions about family food rules, availability and accessibility of foods at
home, and domestic cooking responsibility. Boys' and girls' opinions and
experiences were compared through cross-tabulation analyses. RESULTS: Almost all
the adolescents reported that fruits (91.6%) and vegetables (95.7%) were always
available in their homes. Approximately two-fifths reported that sugar-sweetened
beverages (36.2%) and salty snack foods (38.0%) were readily available. In 56.1%
households, adolescents were expected to follow certain food rules during
mealtimes (e.g. not talking with my mouth full). The majority of the respondents
(80.4%) identified mothers as the primary meal providers, only a minority
reported that fathers (5.1%) were responsible for preparation of family meals.
CONCLUSION: This understanding of the family-environmental determinants of
adolescent dietary habits provides useful directions for nutrition promotion
interventions. Health and educational professionals associated with adolescents
could communicate about the development of healthy home food environments to
provide positive health benefits for adolescents and their families.
PMID- 29357848
TI - Identification, synthesis and regulatory function of the N-acylated homoserine
lactone signals produced by Pseudomonas chlororaphis HT66.
AB - BACKGROUND: Pseudomonas chlororaphis HT66 isolated from the rice rhizosphere is
an important plant growth-promoting rhizobacteria that produce phenazine-1
carboxamide (PCN) in high yield. Phenazine production is regulated by a quorum
sensing (QS) system that involves the N-acylated homoserine lactones (AHLs)-a
prevalent type of QS molecule. RESULTS: Three QS signals were detected by thin
layer chromatography (TLC) and high-performance liquid chromatography-mass
spectrometry (HPLC-MS/MS), which identified to be N-(3-hydroxy hexanoyl)-L
homoserine lactone (3-OH-C6-HSL), N-(3-hydroxy octanoyl)-L-homoserine lactone (3
OH-C8-HSL) and N-(3-hydroxy decanoyl)-L-homoserine lactone (3-OH-C10-HSL). The
signal types and methods of synthesis were different from that in other phenazine
producing Pseudomonas strains. By non-scar deletion and heterologous expression
techniques, the biosynthesis of the AHL-signals was confirmed to be only
catalyzed by PhzI, while other AHLs synthases i.e., CsaI and HdtS were not
involved in strain HT66. In comparison to wild-type HT66, PCN production was 2.3
folds improved by over-expression of phzI, however, phzI or phzR mutant did not
produce PCN. The cell growth of HT66?phzI mutant was significantly decreased, and
the biofilm formation in phzI or phzR inactivated strains of HT66 decreased to
various extents. CONCLUSION: In conclusion, the results demonstrate that PhzI
PhzR system plays a critical role in numerous biological processes including
phenazine production.
PMID- 29357850
TI - Occurrence and molecular epidemiology of Giardia duodenalis infection in dog
populations in eastern Spain.
AB - BACKGROUND: Giardia duodenalis is one of the most common enteric parasites in
domestic animals including dogs. Young animals are more prone to the infection,
with clinical manifestations ranging from asymptomatic to acute or chronic
diarrhoea. Dogs are primarily infected by canine-specific (C-D) assemblages of G.
duodenalis. However, zoonotic assemblages A and B have been increasingly
documented in canine isolates, raising the question of whether and to which
extent dogs can act as natural reservoirs of human giardiosis. METHODS: In this
cross-sectional epidemiological survey we assessed the molecular diversity of G.
duodenalis in dogs in the province of Castellon, Eastern Spain. A total of 348
individual faecal samples from sheltered (n = 218), breeding (n = 24), hunting (n
= 68), shepherd (n = 24), and pet (n = 14) dogs were collected between 2014 and
2016. Detection of G. duodenalis cysts in faecal material was carried out by
direct fluorescence microscopy as a screening test, whereas a qPCR targeting the
small subunit ribosomal RNA gene of the parasite was subsequently used as a
confirmatory method. RESULTS: Giardia duodenalis was detected in 36.5% (95% CI:
31.6-41.7%) of dogs. No significant differences in prevalence rates could be
demonstrated among dogs according to their sex and geographical origin, but
breeding (45.8%; 95% CI: 27.9-64.9%) and sheltered (40.4%; 95% CI: 34.1-47.0%)
dogs harboured significantly higher proportions of G. duodenalis. Multi-locus
sequence-based genotyping of the glutamate dehydrogenase and beta-giardin genes
of G. duodenalis allowed the characterization of 35 canine isolates that were
unambiguously assigned to assemblages A (14.3%), B (22.9%), C (5.7%), and D
(37.1%). A number of inter-assemblage mixed infections including A + B (11.4%), A
+ D (2.9%), and A + B + D (5.7%) were also identified. CONCLUSIONS: Data
presented here are strongly indicative of high infection pressures in kennelled
animals. Zoonotic sub-assemblages AII, BIII, and BIV were responsible for a
considerable proportion of the G. duodenalis infections detected, but very few of
the genotypes identified have been previously documented in Spanish human
populations. Although possible, zoonotic transmission between dogs and humans
seems an infrequent event in this Spanish region.
PMID- 29357851
TI - Bioactivity of essential oils extracted from Cupressus macrocarpa branchlets and
Corymbia citriodora leaves grown in Egypt.
AB - BACKGROUND: Cupressus macrocarpa Hartw and Corymbia citriodora (Hook.) K.D. Hill
& L.A.S. Johnson, widely grown in many subtropical areas, are used for commercial
purposes, such as in perfumery, cosmetics, and room fresheners. Their potential
as a source of antimicrobial compounds may be useful in different applications.
METHODS: The chemical composition of essential oils (EOs) from C. macrocarpa
branchlets and C. citriodora leaves was analyzed by using gas chromatography-mass
spectrometry (GC/MS). Antibacterial and antifungal activities were assessed by
the micro-dilution method to determine the minimum inhibitory concentrations
(MICs), and minimum fungicidal concentrations (MFCs), and minimum bactericidal
concentrations (MBCs). Further, the antioxidant capacity of the EOs was
determined via 2,2'-diphenypicrylhydrazyl (DPPH) and beta-carotene-linoleic acid
assays. RESULTS: Terpinen-4-ol (23.7%), alpha-phellandrene (19.2%), alpha
citronellol (17.3%), and citronellal were the major constituents of EO from C.
macrocarpa branchlets, and alpha-citronellal (56%), alpha-citronellol (14.7%),
citronellol acetate (12.3%), isopulegol, and eucalyptol were the primary
constituents of EO from C. citriodora leaves. Antibacterial activity with MIC
values of EO from C. citriodora leaves was ranged from 0.06 mg/mL to 0.20 mg/mL,
and MBC from 0.12 mg/mL against E. coli to 0.41 mg/mL. EO from C. macrocarpa
branchlets showed less activity against bacterial strains. The MIC values against
tested fungi of the EO from C. citriodora ranged from 0.11 to 0.52 mg/mL while
for EO from C. macrocarpa from 0.29 to 3.21 mg/mL. The MIC and MFC values of EOs
against P. funiculosum were lower than those obtained from Ketoconazole (KTZ)
(0.20; 0.45; 0.29 and 0.53 mg/mL, respectively, vs 0.21 and 0.41 mg/mL.
Antioxidant activity of the EO from C. citriodora was higher than that of the
positive control but lower than that of the standard butylhydroxytoluene (BHT)
(IC50 = 5.1 +/- 0.1 MUg/mL). CONCLUSION: The results indicate that the EO from
Egyptian trees such as C. citriodora leaves may possesses strong bactericidal and
fungicidal activities and can be used as an agrochemical for controlling plant
pathogens and in human disease management which will add crop additive value.
PMID- 29357853
TI - Does women's caste make a significant contribution to adolescent pregnancy in
Nepal? A study of Dalit and non-Dalit adolescents and young adults in Rupandehi
district.
AB - BACKGROUND: Adolescent pregnancy is a public health concern worldwide. There are
disparities in the occurrence of adolescence pregnancy in different social groups
and settings; however, few studies have focused on the contribution of a woman's
caste in early pregnancy in Nepal. This study aimed to examine the association
between caste and adolescent pregnancy; and investigate factors that influence
this among women of Dalit and non-Dalit caste groups. METHODS: A cross sectional
survey among 457 women, age between 14 and 24 years was carried out in Rupandehi
district of Nepal. Bivariate and multivariate logistic regression analysis using
a stepwise entry method was performed to assess the association of women's caste,
ethnicity and other socio-demographic and individual factors with early
pregnancy. RESULTS: Over two thirds of the participants (69%) were pregnant
during adolescence. The highest percentage of adolescent pregnancies were
reported among women from Janajati groups (77%) and the lowest in Brahmin/Chhetri
caste groups (45%); while 72.5% of women from Dalit caste groups reported
adolescent pregnancy. When adjusted for demographic and individual variables,
early pregnancy was less likely among women who were from Brahman/Chhetri (OR:
0.60; 95% CI: 0.30, 1.22) and Madhesi/Muslim (OR 0.56; 95% CI: 0.23, 1.36)
compared to women from the Dalit caste, but multivariate regression analysis
found none of these were statistically significant. Women who had secondary level
education (OR: 0.34; 95% CI: 0.17, 0.65), had married after 17 years of age (OR:
0.02; 95% CI: 0.01, 0.14) and had attended fairs/clubs (OR: 0.40; CI: 0.21, 0.79)
were significantly less likely to experience early age pregnancy. Women who drank
alcohol (OR: 5.18; 95% CI: 1.02, 26.32) were significantly more likely to become
pregnant during adolescence compared to women who did not drink alcohol.
CONCLUSIONS: Women's caste had no direct contributory role in the early pregnancy
of the sample. Education, age at marriage and individual behaviours were the key
contributing factors. Reducing the number of adolescent pregnancies requires
addressing the factors that lead to and perpetuate child marriage; keeping girls
within education systems for longer; increase the knowledge and control of girls
over their own reproductive health and planning; and actions that promote gender
respect within relationships, decision-making and negotiation among both girls
and boys.
PMID- 29357852
TI - ADMP controls the size of Spemann's organizer through a network of self
regulating expansion-restriction signals.
AB - BACKGROUND: The bone morphogenetic protein (BMP) signaling gradient is central
for dorsoventral patterning in amphibian embryos. This gradient is established
through the interaction of several BMPs and BMP antagonists and modulators, some
secreted by Spemann's organizer, a cluster of cells coordinating embryonic
development. Anti-dorsalizing morphogenetic protein (ADMP), a BMP-like
transforming growth factor beta ligand, negatively affects the formation of the
organizer, although it is robustly expressed within the organizer itself.
Previously, we proposed that this apparent discrepancy may be important for the
ability of ADMP to scale the BMP gradient with embryo size, but how this is
achieved is unclear. RESULTS: Here we report that ADMP acts in the establishment
of the organizer via temporally and mechanistically distinct signals. At the
onset of gastrulation, ADMP is required to establish normal organizer-specific
gene expression domains, thus displaying a dorsal, organizer-promoting function.
The organizer-restricting, BMP-like function of ADMP becomes apparent slightly
later, from mid-gastrula. The organizer-promoting signal of ADMP is mediated by
the activin A type I receptor, ACVR1 (also known as activin receptor-like kinase
2, ALK2). ALK2 is expressed in the organizer and is required for organizer
establishment. The anti-organizer function of ADMP is mediated by ACVRL1 (ALK1),
a putative ADMP receptor expressed in the lateral regions flanking the organizer
that blocks expansion of the organizer. Truncated ALK1 prevents the organizer
restricting effects of ADMP overexpression, suggesting a ligand-receptor
interaction. We also present a mathematical model of the regulatory network
controlling the size of the organizer. CONCLUSIONS: We show that the opposed,
organizer-promoting and organizer-restricting roles of ADMP are mediated by
different receptors. A self-regulating network is proposed in which ADMP
functions early through ALK2 to expand its own expression domain, the organizer,
and later functions through ALK1 to restrict this domain. These effects are
dependent on ADMP concentration, timing, and the spatial localization of the two
receptors. This self-regulating temporal switch may control the size of the
organizer and the genes expressed within in response to genetic and external
stimuli during gastrulation.
PMID- 29357854
TI - Individualised treatment targets in patients with type-2 diabetes and
hypertension.
AB - AIM: Patients with type-2 diabetes mellitus (T2DM) are at high risk of
cardiovascular events, accentuated in the presence of hypertension. At present,
it is unclear to what extent the guidelines for the management of T2DM,
advocating reduction in HbA1c levels to below target levels, are being adhered to
in clinical practice. METHODS: DIALOGUE was a prospective, observational, non
interventional registry performed across multiple centres in Germany. Patients
aged 18 years or older who had T2DM and hypertension for whom the treating
physician considered blood glucose lowering medication as inadequate and/or not
safe/tolerable and chose to add a further oral drug or switch drug treatment were
included. Patients were assigned a treatment target HbA1c value (<= 6.5%
[strict]; > 6.5 to <= 7.0% [intermediate]; > 7.0 to <= 7.5% [lenient]). RESULTS:
8568 patients with T2DM and hypertension were enrolled. 6691 (78.1%) had 12-month
follow-up. Patients who were assigned a strict HbA1c treatment target (n = 2644)
were younger, had shorter diabetes duration, and less comorbidity in comparison
to those with intermediate (n = 2912) or lenient targets (n = 1135). Only 53.1%
of patients achieved their HbA1c treatment target (46.2% [strict], 56.8%
[intermediate], 59.4% [lenient]). There was little sign of treatment
intensification for patients that had not achieved their HbA1c target.
CONCLUSIONS: Achievement of treatment targets was poor, leaving many patients
with sub-optimal blood glucose levels. The apparent reluctance of physicians to
intensify antidiabetic drug therapy is alarming, especially considering the
evidence pointing to an association of hyperglycaemia and microvascular
complications in patients with T2DM.
PMID- 29357855
TI - A qualitative study of culturally embedded factors in complementary and
alternative medicine use.
AB - BACKGROUND: Within the intercultural milieu of medical pluralism, a nexus of
worldviews espousing distinct explanatory models of illness, our research aims at
exploring factors leading to complementary and alternative medicine (CAM) use
with special attention to their cultural context. METHODS: The results are based
on medical anthropological fieldwork (participant observation and in-depth
interviews) spanning a period from January 2015 to May 2017 at four clinics of
Traditional Chinese Medicine in Budapest, Hungary. Participant observation
involved 105 patients (males N = 42); in-depth interviews were conducted with
patients (N = 9) and practitioners (N = 9). The interviews were coded with
Interpretative Phenomenological Analysis; all information was aggregated
employing Atlas.ti software. RESULTS: In order to avoid the dichotomization of
"push and pull factors," results obtained from the fieldwork and interviews were
structured along milestones of the patient journey. These points of reference
include orientation among sources of information, biomedical diagnosis, patient
expectations and the physician-patient relationship, the biomedical treatment
trajectory and reasons for non-adherence, philosophical congruence, and alternate
routes of entry into the world of CAM. All discussed points which are a departure
from the strictly western therapy, entail an underlying socio-cultural
disposition and must be scrutinized in this context. CONCLUSIONS: The influence
of one's culturally determined explanatory model is ubiquitous from the onset of
the patient journey and exhibits a reciprocal relationship with subjective
experience. Firsthand experience (or that of the Other) signifies the most
reliable source of information in matters of illness and choice of therapy.
Furthermore, the theme of (building and losing) trust is present throughout the
patient journey, a determining factor in patient decision-making and dispositions
toward both CAM and biomedicine.
PMID- 29357857
TI - The genotoxicity of an aqueous extract of Gyejibokryeong-hwan.
AB - BACKGROUND: Gyejibokryeong-hwan (Guizhi Fuling Wan in China), a mixture of five
herbal plants, is a well-known treatment for renal diseases including those
associated with climacteric syndrome. However, the genotoxicity of Gyejibokryeong
hwan has not yet been well established. METHODS: The present study investigated
that the genotoxicity of an aqueous extract of Gyejibokryeong-hwan (GJBRHE): an
in vitro chromosomal aberration test using Chinese hamster lung cells, an in
vitro bacterial reverse mutation assay (Ames test) with Salmonella typhimurium
and Escherichia coli strains, and an in vivo micronucleus test using ICR mouse
bone marrow. RESULTS: GJBRHE with or without the S9 mix showed no genotoxicity in
the Ames test up to 5000 MUg/plate or in the in vivo MN test up to 2000 mg/kg
body weight. In contrast, the chromosomal aberration test showed that GJBRHE
induced an increase in the number of chromosomal aberrations compared with the
control after treatment for 6 h with 4200 MUg/mL GJBRHE in the presence of the S9
mix and for 22 h with 800 MUg/mL GJBRHE in the absence of the S9 mix.
CONCLUSIONS: GJBRHE did not cause detectable genotoxic effects in the bacterial
mutation test or the in vivo MN test, however genotoxic effect was detected in
the in vitro chromosomal aberration assay. Our results suggest that GJBRHE may be
associated with a low risk of carcinogenesis. Thus, further detailed experiments
would be needed to clarify the compound responsible for inducing this
genotoxicity of GJBRHE and to determine its mechanism.
PMID- 29357858
TI - Seroprevalence and risk factors associated with Pseudorabies virus infection in
Tibetan pigs in Tibet.
AB - BACKGROUND: Pseudorabies (PR) is an important emerging infectious disease that is
characterized by fever, extreme itching and encephalomyelitis. However, it is
still unclear whether Tibetan pigs are exposed to Pseudorabies virus (PRV) or
not. The present study was conducted to investigate the seroprevalence of PRV
infection in Tibetan pigs in Nyingchi area of Tibet through enzyme-linked
immunosorbent assay (ELISA). A total of 368 serum samples from Tibetan pigs were
collected during 2015. RESULTS: Results showed that 58 (15.76%) samples were
found positive for PRV antibodies with further distribution of 18.23%, 13.42% and
6.25% from Nyingchi, Mainling and Gongbo'gyamda areas on the Tibetan plateau,
respectively; along with 12.10%, 17.71% and 17.57% prevalence of PRV in
juveniles, sub-adults and adults, respectively. The prevalence of PRV infection
between male (14.61%) and female (16.84%) showed non-significant difference (P >
0.05). The risk factors of infection were found to be associated with feed type,
age and altitude. CONCLUSIONS: The present study depicts a serious concern with a
new emerging infectious disease in Tibetan pigs in Tibet, China.
PMID- 29357856
TI - Clinical relevance of contextual factors as triggers of placebo and nocebo
effects in musculoskeletal pain.
AB - Placebo and nocebo effects are embodied psycho-neurobiological responses capable
of modulating pain and producing changes at different neurobiological, body at
perceptual and cognitive levels. These modifications are triggered by different
contextual factors (CFs) presented in the therapeutic encounter between patient
and healthcare providers, such as healing rituals and signs. The CFs directly
impact on the quality of the therapeutic outcome: a positive context, that is a
context characterized by the presence of positive CFs, can reduce pain by
producing placebo effects, while a negative context, characterized by the
presence of negative CFs, can aggravate pain by creating nocebo effects. Despite
the increasing interest about this topic; the detailed study of CFs as triggers
of placebo and nocebo effects is still lacked in the management of
musculoskeletal pain.Increasing evidence suggest a relevant role of CFs in
musculoskeletal pain management. CFs are a complex sets of internal, external or
relational elements encompassing: patient's expectation, history, baseline
characteristics; clinician's behavior, belief, verbal suggestions and therapeutic
touch; positive therapeutic encounter, patient-centered approach and social
learning; overt therapy, posology of intervention, modality of treatment
administration; marketing features of treatment and health care setting.
Different explanatory models such as classical conditioning and expectancy can
explain how CFs trigger placebo and nocebo effects. CFs act through specific
neural networks and neurotransmitters that were described as mediators of placebo
and nocebo effects.Available findings suggest a relevant clinical role and impact
of CFs. They should be integrated in the clinical reasoning to increase the
number of treatment solutions, boosts their efficacy and improve the quality of
the decision-making. From a clinical perspective, the mindful manipulation of CFs
represents a useful opportunity to enrich a well-established therapy in
therapeutic setting within the ethical border. From a translational perspective,
there is a strong need of research studies on CFs close to routine and real-world
clinical practice in order to underline the uncertainty of therapy action and
help clinicians to implement knowledge in daily practice.
PMID- 29357860
TI - Solid type primary intraosseous squamous cell carcinoma in a cat.
AB - BACKGROUND: Squamous cell carcinoma (SCC) is the most common nonodontogenic oral
tumor in cats. In the jaw, it usually presents as an ulceroproliferative lesion
associated with enlargement of the affected bone. CASE PRESENTATION: This report
describes the case of a cat in which clinical and radiographic findings of a
mandibular swelling were suggestive of an aggressive process, but the oral mucosa
was unaffected. The results of histopathological and immunohistochemical
examination of the samples obtained from the intraosseous lesion were consistent
with SCC. The animal was euthanized 5 months after initial presentation as a
result of the severe progression of the disease, and no other primary tumors were
identified at necropsy. CONCLUSIONS: Based on the clinicopathological,
microscopic, and immunohistochemical staining features, as well as the absence of
a primary tumor at a distant site, we propose that the term, solid type primary
intraosseous SCC (PIOSCC), be used to describe this neoplasia, as it shares
similar features with human PIOSCC.
PMID- 29357859
TI - Clinical and genomic safety of treatment with Ginkgo biloba L. leaf extract (IDN
5933/Ginkgoselect(r)Plus) in elderly: a randomised placebo-controlled clinical
trial [GiBiEx].
AB - BACKGROUND: Numerous health benefits have been attributed to the Ginkgo biloba
leaf extract (GBLE), one of the most extensively used phytopharmaceutical drugs
worldwide. Recently, concerns of the safety of the extract have been raised after
a report from US National Toxicology Program (NTP) claimed high doses of GBLE
increased liver and thyroid cancer incidence in mice and rats. A safety study has
been designed to assess, in a population of elderly residents in nursing homes,
clinical and genomic risks associated to GBLE treatment. METHODS: GiBiEx is a
multicentre randomized clinical trial, placebo controlled, double blinded, which
compared subjects randomized to twice-daily doses of either 120-mg of IDN 5933
(also known as Ginkgoselect(r)Plus) or to placebo for a 6-months period. IDN 5933
is extracted from dried leaves and contains 24.3% flavone glycosides and 6.1% of
terpene lactones (2.9% bilobalide, 1.38% ginkgolide A, 0.66% ginkgolide B, 1.12%
ginkgolide C) as determined by HPLC. The study was completed by 47 subjects, 20
in the placebo group and 27 in the treatment group. Clinical (adverse clinical
effect and liver injury) and genomic (micronucleus frequency, comet assay, c-myc,
p53, and ctnnb1 expression profile in lymphocytes) endpoints were assessed at the
start and at the end of the study. RESULTS: No adverse clinical effects or
increase of liver injury markers were reported in the treatment group. The
frequency of micronuclei [Mean Ratio (MR) = 1.01, 95% Confidence Intervals (95%
CI) 0.86-1.18), and DNA breaks (comet assay) (MR = 0.91; 95% CI 0.58-1.43), did
not differ in the two study groups. No significant difference was found in the
expression profile of the three genes investigated. CONCLUSIONS: None of the
markers investigated revealed a higher risk in the treatment group, supporting
the safety of IDN 5933 at doses prescribed and for duration of six months. TRIAL
REGISTRATION: ClinicalTrials.gov Identifier: NCT03004508 , December 20, 2016.
Trial retrospectively registered.
PMID- 29357861
TI - Radiological changes do not influence clinical mid-term outcome in stemless
humeral head replacements with hollow screw fixation: a prospective radiological
and clinical evaluation.
AB - BACKGROUND: Stemless shoulder arthroplasty is a fairly new concept. Clinical and
radiological follow-up is essential to prove implant safety and concept. This
prospective single-centre study was performed to evaluate the influence of
radiological changes on clinical mid-term outcome following stemless humeral head
replacement with hollow screw fixation. METHODS: Short- and mid-term radiological
and clinical evaluations were performed in 73 consecutive shoulders treated
mainly for idiopathic and posttraumatic osteoarthritis with stemless humeral head
arthroplasty including 40 hemi- (HSA) and 33 total shoulder arthroplasties (TSA).
Operating times of stemless implantations were compared to 110 stemmed anatomical
shoulder prostheses. Appearances of humeral radiolucencies or radiological signs
of osteolysis or stress shielding were assessed on standardized radiographs.
Patients' clinical outcome was evaluated using the Constant score and patients'
satisfaction was documented. RESULTS: Radiological changes, detected in 37.0%,
did not affect clinical outcome. Constant scores significantly improved from
baseline to short and mid-term follow-up (p < 0.001). The majority of patients
(96.2%) were satisfied with the procedure. No loosening of the humeral head
component was detected during a mean follow-up of 58 months. Operating times were
significantly shorter with stemless compared to stemmed implants (p < 0.001).
CONCLUSIONS: Clinical mid-term outcome after stemless humeral head replacement
was not affected by radiological changes. TRIAL REGISTRATION: The institutional
review board (St. Vincent Hospital Vienna; 201212_EK01; date of issue:
11.12.2012) approved the study. The trial was registered at ClinicalTrials.gov (
NCT02754024 ). Retrospective registration.
PMID- 29357862
TI - The mortality risk factor of community acquired pneumonia patients with chronic
obstructive pulmonary disease: a retrospective cohort study.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is one of the most
common comorbidities in community acquired pneumonia (CAP) patients. We aimed to
investigate the characteristics and mortality risk factors of COPD patients
hospitalized with CAP. METHODS: A retrospective cohort study was conducted at
Shanghai Pulmonary Hospital and Shanghai Dahua Hospital. Clinical and demographic
data in patients diagnosed with CAP were collected between January 2015 and June
2016. Logistic regression analysis was performed to screen mortality risk factors
of COPD patients hospitalized with CAP. RESULTS: Of the total 520 CAP patients,
230 (44.2%) patients had been diagnosed comorbid with COPD (COPD-CAP). CAP
patients comorbid with COPD patients had higher rate of need for ICU admission
(18.3% vs 13.1%) and need for NIMV (26.1% vs 1.4%) than without COPD (nCOPD-CAP).
The PSI, CURB-65 and APACHE-II scores in COPD-CAP patients were higher than that
in nCOPD-CAP patients (95 vs 79, P < 0.001; 1 vs 1, P < 0.001; 13 vs 8, P <
0.001, respectively). Logistic regression analysis indicated that aspiration, D
dimer > 2.0 MUg/mL and CURB-65 >= 3 were risk factors associated with in-hospital
mortality ((odd ratio) OR = 5.678, OR = 4.268, OR = 20.764, respectively) in COPD
CAP patients. The risk factors associated with 60-day mortality in COPD-CAP
patients were comorbid with coronary heart disease, aspiration, need for NIMV
(non-invasive mechanical ventilation) and CURB-65 >= 3 (OR = 5.206, OR = 7.921,
OR = 3.974, OR = 18.002, respectively). CONCLUSIONS: COPD patients hospitalized
with CAP had higher rate of need for NIMV, need for ICU admission and severity
scores than those without COPD. Aspiration, D-dimer > 2.0 MUg/mL, comorbid with
coronary heart disease, need for NIMV and CURB-65 >= 3 were mortality risk
factors in CAP patients comorbid with COPD.
PMID- 29357864
TI - Clinicians can independently predict 30-day hospital readmissions as well as the
LACE index.
AB - BACKGROUND: Significant effort has been directed at developing prediction tools
to identify patients at high risk of unplanned hospital readmission, but it is
unclear what these tools add to clinicians' judgment. In our study, we assess
clinicians' abilities to independently predict 30-day hospital readmissions, and
we compare their abilities with a common prediction tool, the LACE index.
METHODS: Over a period of 50 days, we asked attendings, residents, and nurses to
predict the likelihood of 30-day hospital readmission on a scale of 0-100% for
359 patients discharged from a General Medicine Service. For readmitted versus
non-readmitted patients, we compared the mean and standard deviation of the
clinician predictions and the LACE index. We compared receiver operating
characteristic (ROC) curves for clinician predictions and for the LACE index.
RESULTS: For readmitted versus non-readmitted patients, attendings predicted a
risk of 48.1% versus 31.1% (p < 0.001), residents predicted 45.5% versus 34.6% (p
0.002), and nurses predicted 40.2% versus 30.6% (p 0.011), respectively. The LACE
index for readmitted patients was 11.3, versus 10.1 for non-readmitted patients
(p 0.003). The area under the curve (AUC) derived from the ROC curves was 0.689
for attendings, 0.641 for residents, 0.628 for nurses, and 0.620 for the LACE
index. Logistic regression analysis suggested that the LACE index only added
predictive value to resident predictions, but not attending or nurse predictions
(p < 0.05). CONCLUSIONS: Attendings, residents, and nurses were able to
independently predict readmissions as well as the LACE index. Improvements in
prediction tools are still needed to effectively predict hospital readmissions.
PMID- 29357865
TI - #Deathbedlive: the end-of-life trajectory, reflected in a cancer patient's
tweets.
AB - BACKGROUND: Understanding physical and psycho-social illness trajectories towards
the end of life can help in the planning of palliative and supportive care. With
terminal patients increasingly seeking and sharing health information and support
via social media, it is timely to examine whether these trajectories are
reflected in their digital narratives. In this exploratory study, we analysed the
Twitter feed of prominent cancer sufferer and physician, Kate Granger, over the
final 6 months of her life. METHODS: With the consent of Kate's widower, Chris
Pointon, 1628 Twitter posts from @GrangerKate were manually screened. The 550
tweets judged relevant to her disease were qualitatively content analysed with
reference to the six modifiable dimensions of the patient experience in Emanuel
and Emanuel's 'framework for a good death'. The frequency of each tweet category
was charted over time and textual content was examined and cross-referenced with
key events, to obtain a deeper understanding of its nature and significance.
RESULTS: Tweets were associated with physical symptoms (N = 270), psychological
and cognitive symptoms (N = 213), social relationships and support (N = 85),
economic demands and care giving needs (N = 85), hopes and expectations (N = 51)
and spiritual beliefs (N = 7). While medical treatments and procedures were
discussed in detail, medical information-seeking was largely absent, likely
reflecting Kate clinical expertise. Spirituality was expressed more as hope in
treatments or "someone out there listening", than in religious terms. The high
value of Kate's palliative care team was a dominant theme in the support
category, alongside the support she received from her online community of fellow
sufferers, friends, family and colleagues. Significant events, such as medical
procedures and hospital stays generated the densest Twitter engagement.
Transitions between trajectory phases were marked by changes in the relative
frequency of tweet-types. CONCLUSIONS: In Kate's words, "the power of patient
narrative cannot be underestimated". While this analysis spanned only 6 months,
it yielded rich insights. The results reflect theorised end-of-life dimensions
and reveal the potential of social media data and digital bio-ethnography to
shine a light on terminal patients' lived experiences, coping strategies and
support needs, suggesting new opportunities for enhancing personalised palliative
care and avenues for further research.
PMID- 29357863
TI - Accumulation mode particles and LPS exposure induce TLR-4 dependent and
independent inflammatory responses in the lung.
AB - BACKGROUND: Accumulation mode particles (AMP) are formed from engine combustion
and make up the inhalable vapour cloud of ambient particulate matter pollution.
Their small size facilitates dispersal and subsequent exposure far from their
original source, as well as the ability to penetrate alveolar spaces and
capillary walls of the lung when inhaled. A significant immuno-stimulatory
component of AMP is lipopolysaccharide (LPS), a product of Gram negative bacteria
breakdown. As LPS is implicated in the onset and exacerbation of asthma, the
presence or absence of LPS in ambient particulate matter (PM) may explain the
onset of asthmatic exacerbations to PM exposure. This study aimed to delineate
the effects of LPS and AMP on airway inflammation, and potential contribution to
airways disease by measuring airway inflammatory responses induced via activation
of the LPS cellular receptor, Toll-like receptor 4 (TLR-4). METHODS: The effects
of nebulized AMP, LPS and AMP administered with LPS on lung function, cellular
inflammatory infiltrate and cytokine responses were compared between wildtype
mice and mice not expressing TLR-4. RESULTS: The presence of LPS administered
with AMP appeared to drive elevated airway resistance and sensitivity via TLR-4.
Augmented TLR4 driven eosinophilia and greater TNF-alpha responses observed in
AMP-LPS treated mice independent of TLR-4 expression, suggests activation of
allergic responses by TLR4 and non-TLR4 pathways larger than those induced by LPS
administered alone. Treatment with AMP induced macrophage recruitment independent
of TLR-4 expression. CONCLUSIONS: These findings suggest AMP-LPS as a stronger
stimulus for allergic inflammation in the airways then LPS alone.
PMID- 29357866
TI - Family caregiver mistreatment of the elderly: prevalence of risk and associated
factors.
AB - BACKGROUND: The detection of elder mistreatment is emerging as a public health
priority; however, abusive behaviors exercised by caregivers are little known and
rarely detected among primary health care professionals. This study aims to
estimate the prevalence of risk of abuse against community-residing elderly with
moderate to severe dependency whose caregivers are relatives. In addition, we aim
to describe the association between such a risk and socio-demographic variables,
cognitive and dependency state of the victim, and the scale of the caregiver's
anxiety, depression, and burden. METHODS: Cross-sectional study developed in 72
Primary Health Care teams from Barcelona, Spain. Participants were caregivers and
their dependent care recipients (N = 829). Home interviews included the Caregiver
Abuse Screen (CASE); self-reported abuse from care recipient; activities of daily
living and cognitive state of the care recipient; anxiety and depression in
caregivers and Caregiver Burden Scale. The relationship prior to the dependency,
positive aspects of caregiving, and social support for the caregiver were also
assessed. Multivariate analysis was performed using logistic regression with risk
of abuse as dependent variable. RESULTS: Caregivers were mainly women (82.8%)
with a mean age of 63.3 years. Caregivers and care recipients lived in the same
household in 87.4% of cases, and 86.6% had enjoyed a good previous relationship.
Care recipients were women (65.6%), with a mean age of 84.2 years, and 64.2% had
moderate to severe cognitive impairment. CASE demonstrated a prevalence of 33.4%
(95% CI: 30.3-36.7) of abuse risk by the caregiver. Logistic regression showed as
statistically significant: caregiver burden (OR = 2.75; 95% CI: 1.74-4.33),
caregiver anxiety (OR = 2.06; 95% CI: 1.40-3.02), caregiver perception of
aggressive behavior in the care recipient (OR = 7.24; 95% CI: 4.99-10.51), and a
bad previous relationship (OR = 4.66; 95% CI: 1.25-17.4). CONCLUSIONS: Prevalence
of risk of abuse is high among family caregivers. Our study has found risk
factors in family caregivers that are preventable to an extent, namely: anxiety
and feelings of burden. It is essential to become aware of these risk factors and
their causes to intervene and help primary as well secondary prevention.
PMID- 29357868
TI - Manual therapy in the treatment of patients with hemophilia B and inhibitor.
AB - BACKGROUND: The main clinical manifestations of hemophilia are muscle and joint
bleeding. Recurrent bleeding leads to a degenerative process known as hemophilic
arthropathy. The development of inhibitors (antibodies against FVIII/FIX
concentrates) is the main complication in the treatment of hemophilia. The
objective was to assess the safety and efficacy of manual therapy treatment in a
patient with hemophilia and inhibitor. CASE PRESENTATION: A 26-year-old patient
with hemophilia B and inhibitor received physiotherapy treatment based on manual
therapy for 3 months, with a frequency of 2 sessions per week. The joint status
was evaluated using the Hemophilia Joint Health Score; pain was assessed with the
Visual Analog Scale; and the range of movement was evaluated using a universal
goniometer. The patient developed no joint bleeding in the knees or ankles as a
result of the physiotherapy treatment. Following treatment, improvements were
noted in the range of movement of knees and ankles, the perception of pain in
both knees, and ankle functionality. CONCLUSIONS: Until now, manual therapy using
joint traction was contraindicated in patients with hemophilia and inhibitor, as
it was feared to cause possible joint bleeding. This is the first case study to
address the safety and efficacy of manual therapy in a patient with hemophilia
and an inhibitor. The results of this study may help to establish which manual
therapy treatments are indicated in patients with hemophilic arthropathy and
inhibitors. Thus, a physiotherapy program based on manual therapy may be safe in
patients with hemophilia and inhibitor and such therapy may improve joint
condition, pain, and joint range of motion in patients with hemophilia and
inhibitor. Randomized clinical trials are needed to confirm the results of this
case study.
PMID- 29357867
TI - Generic health literacy measurement instruments for children and adolescents: a
systematic review of the literature.
AB - BACKGROUND: Health literacy is an important health promotion concern and recently
children and adolescents have been the focus of increased academic attention. To
assess the health literacy of this population, researchers have been focussing on
developing instruments to measure their health literacy. Compared to the wider
availability of instruments for adults, only a few tools are known for younger
age groups. The objective of this study is to systematically review the field of
generic child and adolescent health literacy measurement instruments that are
currently available. METHOD: A systematic literature search was undertaken in
five databases (PubMed, CINAHL, PsycNET, ERIC, and FIS) on articles published
between January 1990 and July 2015, addressing children and adolescents <=18
years old. Eligible articles were analysed, data was extracted, and synthesised
according to review objectives. RESULTS: Fifteen generic health literacy
measurement instruments for children and adolescents were identified. All, except
two, are self-administered instruments. Seven are objective measures (performance
based tests), seven are subjective measures (self-reporting), and one uses a
mixed-method measurement. Most instruments applied a broad and multidimensional
understanding of health literacy. The instruments were developed in eight
different countries, with most tools originating in the United States (n = 6).
Among the instruments, 31 different components related to health literacy were
identified. Accordingly, the studies exhibit a variety of implicit or explicit
conceptual and operational definitions, and most instruments have been used in
schools and other educational contexts. While the youngest age group studied was
7-year-old children within a parent-child study, there is only one instrument
specifically designed for primary school children and none for early years.
CONCLUSIONS: Despite the reported paucity of health literacy research involving
children and adolescents, an unexpected number of health literacy measurement
studies in children's populations was found. Most instruments tend to measure
their own specific understanding of health literacy and not all provide
sufficient conceptual information. To advance health literacy instruments, a much
more standardised approach is necessary including improved reporting on the
development and validation processes. Further research is required to improve
health literacy instruments for children and adolescents and to provide knowledge
to inform effective interventions.
PMID- 29357869
TI - Low use of artemisinin-based combination therapy for febrile children under five
and barriers to correct fever management in Benin: a decade after WHO
recommendation.
AB - BACKGROUND: Artemisinin-based combination therapy (ACT), used to treat
uncomplicated malaria cases, is one of the main strategies of malaria control and
elimination. One of the main objectives of the Benin National Malaria Control
Program's (NMCP) strategic plan is to ensure that at least 80% of uncomplicated
malaria is treated with ACT within 24 h. Therefore, it was of great interest to
measure whether the country case management of fever amongst children under five,
adhered to the NMCP's strategic plan and look into the barriers to the use of
ACT. METHODS: A cross-sectional survey based on a cluster and multi-stage
sampling was conducted in two rural health districts in Benin. We recruited 768
and 594 children under five years were included in the northern and in the
southern respectively. Data was collected on the general use of ACT and on the
correct use of ACT that adheres to the NMCP's strategy, as well as the barriers
that prevent the proper management of fever amongst children. To assess the
certain predictors of ACT usage, logistic regression was used, while taking into
account the cluster random effect. RESULTS: Among febrile children aged 6 to 59
months, 20.7% in the south and 33.9% in north received ACT. The correct use of
ACT, was very low, 5.8% and in southern and 8.6% northern areas. Caregivers who
received information on ACT were 3.13 time more likely in the south and 2.98 time
more likely in the north to give ACT to their feverish child, PPR = 3.13[1.72
4.15] and PPR = 2.98 [2.72-3.11] respectively. Chloroquine and quinine, other
malaria treatments not recommended by NMCP, were still being used in both areas:
12.3 and 3.3% in the south and 11.4 and 3.0% in the north. CONCLUSION: In Benin,
the use and the correct use of ACT for febrile children remains low. The study
also showed that having received information about the use of ACT is positively
associated with the use of ACT. This point highlights the fact that efforts may
not have been sufficiently integrated with social communication, which should be
based on the behavioural determinants of populations.
PMID- 29357870
TI - Primaquine ineligibility in anti-relapse therapy of Plasmodium vivax malaria: the
problem of G6PD deficiency and cytochrome P-450 2D6 polymorphisms.
AB - The hypnozoite reservoir of Plasmodium vivax represents both the greatest
obstacle and opportunity for ultimately eradicating this species. It is silent
and cannot be diagnosed until it awakens and provokes a clinical attack with
attendant morbidity, risk of mortality, and opportunities for onward
transmission. The only licensed drug that kills hypnozoites is primaquine, which
attacks the hypnozoite reservoir but imposes serious obstacles in doing so-at
hypnozoitocidal doses, it invariably causes a threatening acute haemolytic
anaemia in patients having an inborn deficiency in glucose-6-phosphate
dehydrogenase (G6PD), affecting about 8% of people living in malaria endemic
nations. That problem excludes a large number of people from safe and effective
treatment of the latent stage of vivax malaria: the G6PD deficient, pregnant or
lactating women, and young infants. These groups were estimated to comprise 14.3%
of populations resident in the 95 countries with endemic vivax malaria. Another
important obstacle regarding primaquine in the business of killing hypnozoites is
its apparent metabolism to an active metabolite exclusively via cytochrome P-450
isozyme 2D6 (CYP2D6). Natural polymorphisms of this allele create genotypes
expressing impaired enzymes that occur in over 20% of people living in Southeast
Asia, where more than half of P. vivax infections occur globally. Taken together,
the estimated frequencies of these primaquine ineligibles due to G6PD toxicity or
impaired CYP2D6 activity composed over 35% of the populations at risk of vivax
malaria. Much more detailed work is needed to refine these estimates, derive
probabilities of error for them, and improve their ethnographic granularity in
order to inform control and elimination strategy and tactics.
PMID- 29357871
TI - Factors related with public open space use among adolescents: a study using GPS
and accelerometers.
AB - BACKGROUND: Low physical activity levels and high levels of sedentary time among
adolescents call for population wide interventions. Public open spaces can be
important locations for adolescents' physical activity. This study aimed to
describe the prevalence, frequency and context of public open space visitation
and to gain insight into the individual, social and physical environmental
factors associated with public open space use among 12- to 16-year-old Flemish
(Belgian) adolescents. METHODS: Global positioning system devices, accelerometers
and one-on-one interviews were used to measure location-specific activity levels,
time spent at, reasons for using and accompaniment at public open spaces among
173 adolescents. Multilevel hurdle and gamma models were used to estimate the
associations between the independent variables (age, gender, ethnicity,
education, sport club membership and accompaniment) and the amount of time,
sedentary time, light-, moderate- to vigorous- and vigorous-intensity physical
activity at public open spaces. RESULTS: Three out of four participants had
visited a public open space (for recreational purposes) and participants were
most often accompanied by friends/classmates. Mainly public transportation
stops/stations were used, and subsequently the most reported reason for public
open space use was "to wait for something or someone". Furthermore, boys, younger
adolescents, non-western-European adolescents and lower educated adolescents were
more likely to use public open spaces. Additionally, boys and younger adolescents
were more likely to accumulate physical activity at public open spaces. The only
social environmental variable associated with time spent at public open spaces
was accompaniment by siblings: adolescents spent more time at public open spaces
when accompanied by their siblings. CONCLUSIONS: Public open spaces may be
effective areas to promote physical activity among groups at risk for physical
inactivity (i.e. low educated and non-western-European adolescents).
Additionally, girls and older adolescents were less likely to visit and be
physically active at public open spaces. Therefore, urban planners should
consider adding attractive features, in order to encourage physical activity
among girls and older adolescents at public open spaces. Furthermore, creating
public open spaces that are attractive for youth of all ages could contribute to
adolescents visiting public open spaces accompanied by siblings.
PMID- 29357873
TI - The importance of morphological identification of African anopheline mosquitoes
(Diptera: Culicidae) for malaria control programmes.
AB - BACKGROUND: The correct identification of disease vectors is the first step
towards implementing an effective control programme. Traditionally, for malaria
control, this was based on the morphological differences observed in the adults
and larvae between different mosquito species. However, the discovery of species
complexes meant that genetic tools were needed to separate the sibling species
and today there are standard molecular techniques that are used to identify the
two major malaria vector groups of mosquitoes. On the assumption that species
diagnostic DNA polymerase chain reaction (PCR) assays are highly species
specific, experiments were conducted to investigate what would happen if non
vector species were randomly included in the molecular assays. METHODS:
Morphological keys for the Afrotropical Anophelinae were used to provide the a
priori identifications. All mosquito specimens were then subjected to the
standard PCR assays for members of the Anopheles gambiae complex and Anopheles
funestus group. RESULTS: One hundred and fifty mosquitoes belonging to 11
morphological species were processed. Three species (Anopheles pretoriensis,
Anopheles rufipes and Anopheles rhodesiensis) amplified members of the An.
funestus group and four species (An. pretoriensis, An. rufipes, Anopheles listeri
and Anopheles squamosus) amplified members of the An. gambiae complex.
CONCLUSIONS: Morphological identification of mosquitoes prior to PCR assays not
only saves time and money in the laboratory, but also ensures that data received
by malaria vector control programmes are useful for targeting the major vectors.
PMID- 29357872
TI - Ribosome profiling of the retrovirus murine leukemia virus.
AB - BACKGROUND: The retrovirus murine leukemia virus (MuLV) has an 8.3 kb RNA genome
with a simple 5'-gag-pol-env-3' architecture. Translation of the pol gene is
dependent upon readthrough of the gag UAG stop codon; whereas the env gene is
translated from spliced mRNA transcripts. Here, we report the first high
resolution analysis of retrovirus gene expression through tandem ribosome
profiling (RiboSeq) and RNA sequencing (RNASeq) of MuLV-infected cells. RESULTS:
Ribosome profiling of MuLV-infected cells was performed, using the translational
inhibitors harringtonine and cycloheximide to distinguish initiating and
elongating ribosomes, respectively. Meta-analyses of host cell gene expression
demonstrated that the RiboSeq datasets specifically captured the footprints of
translating ribosomes at high resolution. Direct measurement of ribosomal
occupancy of the MuLV genomic RNA indicated that ~ 7% of ribosomes undergo gag
stop codon readthrough to access the pol gene. Initiation of translation was
found to occur at several additional sites within the 5' leaders of the gag and
env transcripts, upstream of their respective annotated start codons.
CONCLUSIONS: These experiments reveal the existence of a number of previously
uncharacterised, ribosomally occupied open reading frames within the MuLV genome,
with possible regulatory consequences. In addition, we provide the first direct
measurements of stop codon readthrough efficiency during cellular infection.
PMID- 29357874
TI - Quality of life after low-dose rate-brachytherapy for prostate carcinoma - long
term results and literature review on QLQ-C30 and QLQ-PR25 results in published
brachytherapy series.
AB - BACKGROUND: Patient-reported health-related quality of life (HRQOL) differs
between treatment options for prostate carcinoma. Long-term HRQOL data in
brachytherapy series are scarce. Therefore, we analyzed prostate-specific and
general HRQOL in patients treated with brachytherapy for prostate carcinoma after
long-term follow-up. METHODS: Two hundred ninety-six patients with prostate
carcinoma were treated with brachytherapy (01/1998-11/2003). General and prostate
specific HRQOL were measured using EORTC-QLQ-C30 and EORTC-QLQ-PR25,
respectively. Patients were asked to complete the questionnaires after a median
follow-up of 141 (119-181) months. QLQ-C30 results were compared to the German
reference population. QLQ-PR25 results were compared to an earlier follow-up
after a median of 51 months (no published QLQ-PR25 reference population for
comparison). Additionally, a literature review on HRQOL data in brachytherapy
series was performed. RESULTS: One hundred six (35.8%) patients were lost to
follow-up, 70 (23.6%) had died. 120 (40.5%) patients were contacted. 80
questionnaires were returned (27% of the original cohort; 91% of alive patients
were >=70 years). Sexual activity declined over time (mean scores: 40.5 vs. 45.5;
p = 0.006), hormonal treatment-related symptoms, problems associated with
incontinence aids, and burden of obstructive urinary symptoms did not differ
significantly compared to the 51-month follow-up. General HRQOL was numerically
better in our cohort as compared to the German reference population (> 16%
relative difference for both age strata; < 70 and >=70 years). CONCLUSIONS: Our
results indicate that symptom-burden after long-term follow-up and associated
prostate-specific HRQOL remains relatively stable from 51 to 141 months. General
HRQOL in surviving patients was numerically better compared to the reference
population.
PMID- 29357875
TI - Optimization of dose distributions of target volumes and organs at risk during
stereotactic body radiation therapy for pancreatic cancer with dose-limiting auto
shells.
AB - BACKGROUND: To identify optimization of dose distributions of target volumes and
decrease of radiation doses to normal tissues during stereotactic body radiation
therapy (SBRT) for pancreatic cancer with dose-limiting auto-shells. METHODS:
With the same prescription dose, dose constraints of normal organs and
calculation algorithm, treatment plans of each eligible patient were re-generated
with 3 shells, 5 shells and 7 shells, respectively. The prescription isodose line
and beam number of each patient in 3-shell, 5-shell and 7-shell plan remained the
same. Hence, a triplet data set of dosimetric parameters was generated and
analyzed. RESULTS: As the increase of shell number, the conformal index, volumes
encompassed by 100% prescription isodose line and 30% prescription isodose line
significantly decreased. The new conformal index was higher in 3-shell group than
that in 5-shell and 7-shell group. A sharper dose fall-off was found in 5-shell
and 7-shell group compared to 3-shell group. And the tumor coverage in 7-shell
was better than that of 3-shell and 5-shell. Lower D5cc of the intestine, D10cc
of the stomach, Dmax of the spinal cord and smaller V10 of the spleen was
confirmed in 7-shell group compared to 3-shell group. CONCLUSIONS: More conformal
dose distributions of target volumes and lower radiation doses to normal organs
could be performed with the increase of dose-limiting auto-shells, which may be
more beneficial to potential critical organs without established dose
constraints.
PMID- 29357876
TI - Training scholars in dissemination and implementation research for cancer
prevention and control: a mentored approach.
AB - BACKGROUND: As the field of D&I (dissemination and implementation) science grows
to meet the need for more effective and timely applications of research findings
in routine practice, the demand for formalized training programs has increased
concurrently. The Mentored Training for Dissemination and Implementation Research
in Cancer (MT-DIRC) Program aims to build capacity in the cancer control D&I
research workforce, especially among early career researchers. This paper
outlines the various components of the program and reports results of systematic
evaluations to ascertain its effectiveness. METHODS: Essential features of the
program include selection of early career fellows or more experienced
investigators with a focus relevant to cancer control transitioning to a D&I
research focus, a 5-day intensive training institute, ongoing peer and senior
mentoring, mentored planning and work on a D&I research proposal or project,
limited pilot funding, and training and ongoing improvement activities for
mentors. The core faculty and staff members of the MT-DIRC program gathered
baseline and ongoing evaluation data regarding D&I skill acquisition and
mentoring competency through participant surveys and analyzed it by iterative
collective reflection. RESULTS: A majority (79%) of fellows are female, assistant
professors (55%); 59% are in allied health disciplines, and 48% focus on cancer
prevention research. Forty-three D&I research competencies were assessed; all
improved from baseline to 6 and 18 months. These effects were apparent across
beginner, intermediate, and advanced initial D&I competency levels and across the
competency domains. Mentoring competency was rated very highly by the fellows-
higher than rated by the mentors themselves. The importance of different
mentoring activities, as rated by the fellows, was generally congruent with their
satisfaction with the activities, with the exception of relatively greater
satisfaction with the degree of emotional support and relatively lower
satisfaction for skill building and opportunity initially. CONCLUSIONS: These
first years of MT-DIRC demonstrated the program's ability to attract, engage, and
improve fellows' competencies and skills and implement a multicomponent mentoring
program that was well received. This account of the program can serve as a basis
for potential replication and evolution of this model in training future D&I
science researchers.
PMID- 29357877
TI - Salvage thoracic surgery in patients with lung cancer: potential indications and
benefits.
AB - BACKGROUND: To investigate the feasibility and efficacy of salvage lung resection
and describe the possible indications and contraindications in patients with
primary lung cancer. METHODS: Thirty patients undergoing anatomical salvage lung
resection were classified into three groups: GI, patients with progressive lung
tumor despite definitive chemo- and/or radiotherapy; GII, patients who underwent
emergency resection; and GIII, patients in whom neoadjuvant or definitive chemo-
and/or radiotherapy was contraindicated because of severe comorbidities. The
groups were compared based on, peri- and postoperative factors, and survival
rates. RESULTS: The morbidity rate was 70%. Revision surgery was required in 23%
of patients. Morbidity was affected by lower hematocrit and hemoglobin levels (P
= 0.05). Mean hospital stay was 11 +/- 4 days, which was longer in patients in
whom complications developed (P = 0.0003). The in-hospital or 30-day mortality
rate was 3%. Mean relapse-free survival and overall survivals were 14 +/- 12 and
19 +/- 13 months. CONCLUSION: Patients with progression of the persistent primary
tumor after definitive chemo- and/or radiotherapy can undergo salvage lung
resection with acceptable mortality and high morbidity rates, if the tumor is
considered resectable. Other indications may be considered for salvage lung
resection based on each patient's specific evaluation.
PMID- 29357878
TI - Unconjugated bilirubin induces pyroptosis in cultured rat cortical astrocytes.
AB - BACKGROUND: Bilirubin-induced neurological dysfunction (BIND), a severe
complication of extreme neonatal hyperbilirubinemia, could develop into permanent
neurodevelopmental impairments. Several studies have demonstrated that
inflammation and nerve cell death play important roles in bilirubin-induced
neurotoxicity; however, the underlying mechanism remains unidentified. METHODS:
The present study was intended to investigate whether pyroptosis, a highly
inflammatory form of programmed cell death, participated in the bilirubin
mediated toxicity on cultured rat cortical astrocytes. Further, VX-765, a potent
and selective competitive drug, was used to inhibit the activation of caspase-1.
The effects of VX-765 on astrocytes treated with bilirubin, including the cell
viability, morphological changes of the cell membrane and nucleus, and the
production of pro-inflammation cytokines, were observed. RESULTS: Stimulation of
the astrocytes with unconjugated bilirubin (UCB) at the conditions mimicking
those of jaundiced newborns significantly increased the activation of caspase-1.
Further, caspase-1 activation was inhibited by treatment with VX-765. Compared
with UCB-treated astrocytes, the relative cell viability of VX-765-pretreated
astrocytes was improved; meanwhile, the formation of plasma membrane pores was
prevented, as measured by lactate dehydrogenase release, trypan blue staining,
and ethidium bromide (EtBr) uptake. Moreover, DNA fragmentation was partly
attenuated and the release of IL-1beta and IL-18 was apparently decreased.
CONCLUSION: Pyroptosis is involved in the process of UCB-induced rat cortical
astrocytes' injury in vitro and may be the missing link of cell death and
inflammatory response exacerbating UCB-related neurotoxicity. More importantly,
the depression of caspase-1 activation, the core link of pyroptosis, attenuated
UCB-induced cellular dysfunction and cytokine release, which might shed light on
a new therapeutic approach to BIND.
PMID- 29357879
TI - Specific microRNA signatures responsible for immune disturbance related to hip
fracture in aged rats.
AB - BACKGROUND: Hip fracture is commonly associated with an overwhelming inflammatory
response, which may lead to high rates of morbidity and mortality in the elderly.
MicroRNAs (miRNAs) play important roles in the functions of immune system.
However, the association between miRNA dysregulation and immune disturbance (IMD)
related to elderly hip fracture is largely unknown. METHODS: In this study,
microarray profiling was carried out to evaluate the differential expression
patterns of miRNAs in plasma of the aged hip fracture rats with IMD, those
without IMD, and normal aged rats, followed by validation using quantitative real
time reverse transcription polymerase chain reaction (qRT-PCR). Genes and
signaling pathways of the dysregulated miRNAs related to elderly hip fracture
induced IMD were investigated in silico using Gene Ontology and analysis of Kyoto
Encyclopedia of Genes or Genomes. RESULTS: Dead or moribund rats with hip
fracture exhibited significantly reduced TNF-alpha/IL-10 ratio compared with
healthy controls and other hip fracture rats, which were therefore named as hip
fracture rats with IMD. Seven serum miRNAs in hip fracture rats with IMD were
significantly downregulated. qRT-PCR and in silico analysis revealed that miR
130a-3p likely participated in regulating the hip fracture-induced IMD.
Furthermore, Western blot experiment demonstrated that in lung tissue, the
reduction of miR-130a-3p was accompanied with the increase of the protein
expression of interferon regulatory factor-1 (IRF1) and sphingosine-1-phosphate
receptor 1 (SIPR1). CONCLUSIONS: miR-130a-3p desregulation may be associated with
elderly hip fracture-induced IMD, which might act as a new potential biomarker
for the diagnosis and prognosis of elderly hip fracture-induced IMD and a
potential therapeutic target as well.
PMID- 29357880
TI - The role of the complement system in traumatic brain injury: a review.
AB - Traumatic brain injury (TBI) is an important cause of disability and mortality in
the western world. While the initial injury sustained results in damage, it is
the subsequent secondary cascade that is thought to be the significant
determinant of subsequent outcomes. The changes associated with the secondary
injury do not become irreversible until some time after the start of the cascade.
This may present a window of opportunity for therapeutic interventions aiming to
improve outcomes subsequent to TBI. A prominent contributor to the secondary
injury is a multifaceted inflammatory reaction. The complement system plays a
notable role in this inflammatory reaction; however, it has often been overlooked
in the context of TBI secondary injury. The complement system has homeostatic
functions in the uninjured central nervous system (CNS), playing a part in
neurodevelopment as well as having protective functions in the fully developed
CNS, including protection from infection and inflammation. In the context of CNS
injury, it can have a number of deleterious effects, evidence for which primarily
comes not only from animal models but also, to a lesser extent, from human post
mortem studies. In stark contrast to this, complement may also promote
neurogenesis and plasticity subsequent to CNS injury. This review aims to explore
the role of the complement system in TBI secondary injury, by examining evidence
from both clinical and animal studies. We examine whether specific complement
activation pathways play more prominent roles in TBI than others. We also explore
the potential role of complement in post-TBI neuroprotection and CNS
repair/regeneration. Finally, we highlight the therapeutic potential of targeting
the complement system in the context of TBI and point out certain areas on which
future research is needed.
PMID- 29357881
TI - Physical exercise, gut, gut microbiota, and atherosclerotic cardiovascular
diseases.
AB - Arteriosclerotic cardiovascular diseases (ASCVDs) are the leading cause of
morbidity and mortality worldwide and its risk can be independently decreased by
regular physical activity. Recently, ASCVD and its risk factors were found to be
impacted by the gut microbiota through its diversity, distribution and
metabolites. Meanwhile, several experiments demonstrated the relationship between
physical exercise and diversity, distribution, metabolite of the gut microbiota
as well as its functions on the lipid metabolism and chronic systematic
inflammation. In this review, we summarize the current knowledge on the effects
of physical exercise on ASCVD through modulation of the gut microbiota and
intestinal function.
PMID- 29357882
TI - Quantitative investigation of the direct interaction between Hemagglutinin and
fusion proteins of Peste des petits ruminant virus using surface Plasmon
resonance.
AB - BACKGROUND: The specific and dynamic interaction between the hemagglutinin (H)
and fusion (F) proteins of morbilliviruses is a prerequisite for the
conformational rearrangements and membrane fusion during infection process. The
two heptad repeat regions (HRA and HRB) of F protein are both important for the
triggering of F protein. METHODS: In this study, the direct interactions of Peste
des petits ruminants virus (PPRV) H with F, HRA and HRB were quantitatively
evaluated using biosensor surface plasmon resonance (SPR). RESULTS: The binding
affinities of immobilized pCMV-HA-H (HA-H) interacted with proteins pCMV-HA-F (HA
F) and pCMV-HA-HRB (HA-HRB) (KD = 1.91 * 10- 8 M and 2.60 * 10- 7 M,
respectively) reacted an order of magnitude more strongly than that of pCMV-HA
HRA (HA-HRA) and pCMV-HA-Tp IGFR-LD (HA) (KD = 1.08 * 10- 4 M and 1.43 * 10- 4 M,
respectively). CONCLUSIONS: The differences of the binding affinities suggested
that HRB is involved in functionally important intermolecular interaction in the
fusion process.
PMID- 29357883
TI - Comparison of the clinical efficacy between single-agent and dual-agent
concurrent chemoradiotherapy in the treatment of unresectable esophageal squamous
cell carcinoma: a multicenter retrospective analysis.
AB - BACKGROUND: Some Chinese patients with esophageal squamous cell carcinomaare
often treated with single-agent concurrent chemoradiotherapy. However, no results
have been reported from randomized controlled clinical trials comparing single
agent with double-agent concurrent chemoradiotherapy. It therefore remains
unclear whether these regimens are equally clinically effective. In this study,
we retrospectively analyzed and compared the therapeutic effects of single-agent
and double-agent concurrent chemoradiotherapy in patients with unresectable
esophageal squamous cell carcinoma. METHODS: This study enrolled 168 patients who
received definitive concurrent chemoradiotherapy for locally advanced
unresectable esophageal squamous carcinoma at 10 hospitals between 2010 and 2015.
We evaluated survival time and toxicity. The Kaplan-Meier method was used to
estimate survival data. The log-rank test was used in univariate analysis A Cox
proportional hazards regression model was used to conduct a multivariate analysis
of the effects of prognostic factors on survival. RESULTS: In this study, 100
(59.5%) and 68 patients (40.5%) received single-agent and dual-agent combination
chemoradiotherapy, respectively. The estimate 5-year progression-free survival
(PFS) rate and overall survival (OS) rate of dual-agent therapy was higher than
that of single-agent therapy (52.5% and 40.9%, 78.2% and 60.7%, respectively),
but there were no significant differences (P = 0.367 and 0.161, respectively).
Multivariate analysis showed that sex, age,and radiotherapy dose had no
significant effects on OS or PFS. Only disease stage was associated with OS and
PFS in the multivariable analysis (P = 0.006 and 0.003, respectively). In dual
agent group, the incidence of acute toxicity and the incidence of 3 and4 grade
toxicity were higher than single-agent group. CONCLUSION: The 5-year PFS and OS
rates of dual-agent therapy were higher than those of single-agent concurrent
chemoradiotherapy for patients with unresectable esophageal squamous cell
carcinoma; however, there were no significant differences in univariate analysis
and multivariable analysis. Single-agent concurrent chemotherapy had less
toxicity than a double-drug regimen. Therefore, we suggest that single therapis
not inferior to dual therapy y. In the future, we aim to confirm our hypothesis
through a prospective randomized study.
PMID- 29357884
TI - From denial to awareness: a conceptual model for obtaining equity in healthcare.
AB - BACKGROUND: Although Swedish legislation prescribes equity in healthcare, studies
have reported inequalities, both in face-to-face encounters and in telephone
nursing. Research has suggested that telephone nursing has the capability to
increase equity in healthcare, as it is open to all and not limited by long
distances. However, this requires an increased awareness of equity in healthcare
among telephone nurses. The aim of this study was to explore and describe
perceptions of equity in healthcare among Swedish telephone nurses who had
participated in an educational intervention on equity in health, including which
of the power constructs gender, ethnicity and age they commented upon most
frequently. Further, the aim was to develop a conceptual model for obtaining
equity in healthcare, based on the results of the empirical investigation.
METHOD: A qualitative method was used. Free text comments from questionnaires
filled out by 133 telephone nurses before and after an educational intervention
on equity in health, as well as individual interviews with five participants,
were analyzed qualitatively. The number of comments related to inequity based on
gender, ethnicity or age in the free text comments was counted descriptively.
RESULTS: Gender was the factor commented upon the least and ethnicity the most.
Four concepts were found through the qualitative analysis: Denial, Defense,
Openness, and Awareness. Some informants denied inequity in healthcare in
general, and in telephone nursing in particular. Others acknowledged it, but
argued that they had workplace routines that protected against it. There were
also examples of an openness to the fact that inequity existed and a willingness
to learn and prevent it, as well as an already high awareness of inequity in
healthcare. CONCLUSION: A conceptual model was developed in which the four
concepts were divided into two qualitatively different blocks, with Denial and
Defense on one side of a continuum and Openness and Awareness on the other. In
order to reach equity in healthcare, action is also needed, and that concept was
therefore added to the model. The result can be used as a starting point when
developing educational interventions for healthcare personnel.
PMID- 29357885
TI - Hepatitis B infection and preeclampsia among pregnant Sudanese women.
AB - BACKGROUND: Previous published studies have reported conflicting results of
association between hepatitis B virus (HBV) infection and preeclampsia. There was
no published data on HBV and preeclampsia in Africa including Sudan. The aim of
the present study was to investigate the association between HBsAg seropositivity
and preeclampsia. METHODS: A case -controls study (200 women in each arm) was
conducted at Saad Abualila Maternity Hospital, Khartoum, Sudan. The cases were
women with preeclampsia and the controls were healthy pregnant women. Socio
demographic characteristics were gathered using questionnaire and HBsAg was
investigated using an ELISA. RESULTS: There was no significant difference between
the cases and the controls in their age, parity, residence, education and blood
groups. The majority of the cases were mild preeclampsia (159; 79.5%). In
comparison with the controls, a significantly higher number of the cases were
HBsAg seropositive [30 (15.0%) vs.12 (6.0%), P = 0.005]. In binary regression
women with HBsAg seropositive were at higher risk of preeclampsia than women who
were HBsAg seronegative (OR = 2.86, 95%, CI = 1.41-5.79, P = 0.003). CONCLUSION:
In the current study HBsAg seropositivity is associated with preeclampsia.
Preventive measure should be implemented.
PMID- 29357886
TI - Modelling the immunosuppressive effect of liver SBRT by simulating the dose to
circulating lymphocytes: an in-silico planning study.
AB - BACKGROUND: Tumor immune-evasion and associated failure of immunotherapy can
potentially be overcome by radiotherapy, which however also has detrimental
effects on tumor-infiltrating and circulating lymphocytes (CL). We therefore
established a model to simulate the radiation-dose delivered to CL. METHODS: A
MATLAB-model was established to quantify the CL-dose during SBRT of liver
metastases by considering the factors: hepatic blood-flow, -velocity and
transition-time of individual hepatic segments, as well as probability-based
recirculation. The effects of intra-hepatic tumor-location and size,
fractionation and treatment planning parameters (VMAT, 3DCRT, photon-energy, dose
rate and beam-on-time) were analyzed. A threshold dose >=0.5Gy was considered
inactivating CL and CL0.5 (%) is the proportion of inactivated CL. RESULTS: Mean
liver dose was mostly influenced by treatment-modality, whereas CL0.5 was mostly
influenced by beam-on-time. 3DCRT and VMAT (10MV-FFF) resulted in lowest CL0.5
values of 16 and 19%. Metastasis location influenced CL0.5, with a mean of 19%
for both apical and basal and 31% for the central location. PTV-volume
significantly increased CL0.5 from 27 to 67% (10MV-FFF) and from 31 to 98% (6MV
FFF) for PTV-volumes ranging from 14cm3 to 268cm3. CONCLUSION: A simulation-model
was established, quantifying the strong effects of treatment-technique, tumor
location and tumor-volume on dose to CL with potential implications for immune
optimized treatment-planning in the future.
PMID- 29357887
TI - Practice and consensus-based strategies in diagnosing and managing systemic
juvenile idiopathic arthritis in Germany.
AB - BACKGROUND: Systemic juvenile idiopathic arthritis (SJIA) is an autoinflammatory
disease associated with chronic arthritis. Early diagnosis and effective therapy
of SJIA is desirable, so that complications are avoided. The PRO-KIND initiative
of the German Society for Pediatric Rheumatology (GKJR) aims to define consensus
based strategies to harmonize diagnostic and therapeutic approaches in Germany.
METHODS: We analyzed data on patients diagnosed with SJIA from 3 national
registries in Germany. Subsequently, via online surveys and teleconferences among
pediatric rheumatologists with a special expertise in the treatment of SJIA, we
identified current diagnostic and treatment approaches in Germany. Those were
harmonized via the formulation of statements and, supported by findings from a
literature search. Finally, an in-person consensus conference using nominal group
technique was held to further modify and consent the statements. RESULTS: Up to
50% of patients diagnosed with SJIA in Germany do not fulfill the International
League of Associations for Rheumatology (ILAR) classification criteria, mostly
due to the absence of chronic arthritis. Our findings suggest that chronic
arthritis is not obligatory for the diagnosis and treatment of SJIA, allowing a
diagnosis of probable SJIA. Malignant, infectious and hereditary autoinflammatory
diseases should be considered before rendering a diagnosis of probable SJIA.
There is substantial variability in the initial treatment of SJIA. Based on
registry data, most patients initially receive systemic glucocorticoids, however,
increasingly substituted or accompanied by biological agents, i.e. interleukin
(IL)-1 and IL-6 blockade (up to 27.2% of patients). We identified preferred
initial therapies for probable and definitive SJIA, including step-up patterns
and treatment targets for the short-term (resolution of fever, decrease in C
reactive protein by 50% within 7 days), the mid-term (improvement in physician
global and active joint count by at least 50% or a JADAS-10 score of maximally
5.4 within 4 weeks) and the long-term (glucocorticoid-free clinically inactive
disease within 6 to 12 months), and an explicit treat-to-target strategy.
CONCLUSIONS: We developed consensus-based strategies regarding the diagnosis and
treatment of probable or definitive SJIA in Germany.
PMID- 29357888
TI - Realization of fully automated quantification of left ventricular volumes and
systolic function using transthoracic 3D echocardiography.
AB - BACKGROUND: Study on automated three-dimensional (3D) quantification of left
heart parameters by using Heartmodel software is still in the early stage and
fully automatic analysis was not clearly achieved. The aim of our study was to
evaluate the performance of this new technology in measuring left ventricular
(LV) volume and ejection fraction (EF) in patients with a variety of heart
diseases on the basis of rationally determining the default endocardial border
values. METHODS: Subjects with a variety of heart diseases were included
prospectively. High quality Heartmodel images were selected to determine the end
diastolic and end-systolic default values of endocardial border. The accuracy and
reproducibility of automated three-dimensional echocardiography (3DE) for
measuring LV end-diastolic volume (EDV), end-systolic volume (ESV) and EF were
evaluated with the traditional manual 3DE as the relative standard. RESULTS:
Ninety seven subjects were enrolled in the study. The default endocardial border
values were determined as 66% and 40% for end-diastole (ED) and end-systole (ES),
respectively. Most of the subjects (84/97) were automatically analyzed by
Heartmodel software without manual adjustment, revealing a close correlation of
automated 3DE with manual 3DE in measuring EDV, ESV and EF (r-values: EDV: 0.96,
ESV: 0.97, EF: 0.96). The EDV and ESV values obtained by automated 3DE were
higher than those measured by manual 3DE (biases: EDV: 16 +/- 18 ml, ESV: 11 +/-
12 ml). The intra- and inter-observer reproducibility of automated 3DE was better
than that of manual 3DE. Automated 3DE with manual adjustment showed good
consistency with manual 3DE in assessing the impairment degree of systolic
function in patients with wall motion abnormalities (n = 58), (Kappa = 0.74, P =
0.00). CONCLUSION: Fully automated 3DE quantification of LV volume and EF could
be achieved in most patients. Since automated 3DE was accurate and more
reproducible, it could replace the existing manual 3DE technology and be
routinely used in clinical practice.
PMID- 29357889
TI - A fuzzy set qualitative comparative analysis of 131 countries: which
configuration of the structural conditions can explain health better?
AB - BACKGROUND: According to the recommendations of the World Health Organization
Commission On Social Determinants of Health (CSDH) for intersectoral action on
health, the well-being of and equity in health within a population are achieved
via a complex fusion of policies and actions. In this study, following the CSDH's
approach and considering set-theoretic relations, we aimed to unravel this
complexity and answer the kinds of questions that are outside the scope of
conventional variable-oriented approach. METHODS: A fuzzy-set qualitative
comparative analysis of 131 countries was conducted to examine the
configurational effects of five macro-level structural conditions on life
expectancy at birth. The potential causal conditions were level of country
wealth, income inequality, quality of governance, education, and health system.
The data collected from different international data sources were recorded during
2004-2015. RESULTS: The intermediate solution of the truth table analysis
indicated a configuration of conditions including high level of governance,
education, wealth, and affluent health system to be consistently sufficient for
high life expectancy. On the other hand, four configurations, each containing two
or three conditions, were consistent with being usually sufficient to cause low
life expectancy. CONCLUSIONS: We were able to configurationally explore the cases
and specify the combinations of potentially causal conditions which were usually
sufficient to explain high or low life expectancy in different countries. As a
result, particular cases were identified for further research. In addition,
research may provide support for the CSDH's recommendations emphasizing the
importance of intersectoral action for health.
PMID- 29357890
TI - Detection of asymptomatic carriers of malaria in Kohat district of Pakistan.
AB - BACKGROUND: Kohat district is one of the medium intensity malaria transmission
areas in Pakistan where asymptomatic carriers are likely to form a reservoir of
infection. This study was done to explore the possibility of using microscopy,
rapid diagnostic testing (RDT), real time polymerase chain reaction (RT-PCR) and
RT-PCR followed by endpoint fluorometry (EPF) for detection of malaria in
asymptomatic immediate family members of patients of malaria (homestead) and in a
sample from the general population of Kohat. METHODS: This cross-sectional study
was done at Combined Military Hospital Kohat and Molecular Lab of Riphah
International University, Islamabad from Jan to Dec 2015. A total of 1000
individuals including 200 microscopy positive patients of malaria, 400
asymptomatic immediate family members (homestead) of the active patients of
malaria and 400 apparently healthy controls were tested by microscopy, RDT and RT
PCR. At the end of RT-PCR the result were read by EPF. RESULTS: In the 200
malaria microscopy positive patients, 190 (95%) were RDT positive and all were RT
PCR positive. In the 400 individuals from the homestead of malaria patients, 6
(1.5%) individuals were malaria microscopy positive while RDT failed to pick any
positive and 32 (8%) were RT-PCR positive for malaria. EPF of all the RT-PCR
positive results were positive and the negative results were negative. The
difference in the frequency of malaria in the homestead versus general population
was very significant (p = 0.0002) and the relative risk of malaria was 4.0 times
higher (95% CI 1.87-8.57). CONCLUSION: The chances of detecting asymptomatic
malaria carriers is significantly higher in the homestead of malaria patients
than in the general population and for this purpose RT-PCR with EPF can be very
useful in the diagnosis of malaria especially with low parasite density.
PMID- 29357891
TI - Comparison of the effects of exercise with chondroitin sulfate on knee
osteoarthritis in rabbits.
AB - BACKGROUND: The aim of the study is to compare the effects of exercise therapy
with chondroitin sulfate (CS) therapy in an experimental model of osteoarthritis
(OA). METHODS: Twenty-one New Zealand rabbits were randomly divided into four
groups: normal group (N group, n = 3); OA control group (C group, n = 6); OA plus
medication group (CS group, n = 6); and OA plus exercise group (E group, n = 6).
Four weeks after modeling, the rabbits were subjected to exercise (artificial, 30
min/time, 4 times/week) or medicated with CS (2% CS, 0.3 ml/time, once/week) for
4 weeks. Histopathological changes in treated joints were examined after
staining. X-ray and scanning electron microscopy was used to evaluate the
different therapies by examining the surfaces and joint spaces of the articular
cartilage. RT-qPCR was used to assess chondrogenic gene expression including
Col2, Col10, mmp-13, il-1beta, adamats-5, and acan in the experimental groups.
RESULTS: Histology showed both treatment groups resulted in cartilage that was in
good condition, with increased numbers of chondrocytes, and the results of X-ray
and scanning electron microscopy showed the therapeutic effect of exercise
therapy is equivalent to CS therapy, surface articular cartilage was flat, and
the of cartilage layer was thinning. All treated groups induced the expression of
Col10 and Col2 and decreased expression of mmp-13, il-1beta, and adamats-5
compared with the control groups. The expression of acan was upregulated in the E
group and downregulated in the CS group. Furthermore, expression of Col10 was
higher and il-1beta was lower in the exercise group compared to that of the CS
group. CONCLUSION: These results indicate that exercise has a positive effect on
OA compare with CS, and it also supplies reference for the movement mode to
improve function.
PMID- 29357892
TI - Semitransparent bandages based on chitosan and extracellular matrix for
photochemical tissue bonding.
AB - BACKGROUND: Extracellular matrices (ECMs) are often used in reconstructive
surgery to enhance tissue regeneration and remodeling. Sutures and staples are
currently used to fix ECMs to tissue although they can be invasive devices. Other
sutureless and less invasive techniques, such as photochemical tissue bonding,
cannot be coupled to ECMs because of their intrinsic opacity to light. RESULTS:
We succeeded in fabricating a biocompatible and adhesive device that is based on
ovine forestomach matrix (OFM) and a chitosan adhesive. The natural opacity of
the OFM has been overcome by adding the adhesive into the matrix that allows for
the light to effectively penetrate through it. The OFM-chitosan device is
semitransparent (attenuation length ~ 106 um) and can be photoactivated by green
light to bond to tissue. This device does not require sutures or staples and
guarantees a bonding strength of ~ 23 kPa. CONCLUSIONS: A new semitransparent and
biocompatible bandage has been successfully fabricated and characterized for
sutureless tissue bonding.
PMID- 29357893
TI - Alzheimer's disease diagnosis based on the Hippocampal Unified Multi-Atlas
Network (HUMAN) algorithm.
AB - BACKGROUND: Hippocampal atrophy is a supportive feature for the diagnosis of
probable Alzheimer's disease (AD). However, even for an expert neuroradiologist,
tracing the hippocampus and measuring its volume is a time consuming and
extremely challenging task. Accordingly, the development of reliable fully
automated segmentation algorithms is of paramount importance. MATERIALS AND
METHODS: The present study evaluates (i) the precision and the robustness of the
novel Hippocampal Unified Multi-Atlas Network (HUMAN) segmentation algorithm and
(ii) its clinical reliability for AD diagnosis. For these purposes, we used a
mixed cohort of 456 subjects and their T1 weighted magnetic resonance imaging
(MRI) brain scans. The cohort included 145 controls (CTRL), 217 mild cognitive
impairment (MCI) subjects and 94 AD patients from Alzheimer's Disease
Neuroimaging Initiative (ADNI). For each subject the baseline, repeat, 12 and 24
month follow-up scans were available. RESULTS: HUMAN provides hippocampal volumes
with a 3% precision; volume measurements effectively reveal AD, with an area
under the curve (AUC) AUC1 = 0.08 +/- 0.02. Segmented volumes can also reveal the
subtler effects present in MCI subjects, AUC2 = 0.76 +/- 0.05. The algorithm is
stable and reproducible over time, even for 24 month follow-up scans.
CONCLUSIONS: The experimental results demonstrate HUMAN is a precise segmentation
algorithm, besides hippocampal volumes, provided by HUMAN, can effectively
support the diagnosis of Alzheimer's disease and become a useful tool for other
neuroimaging applications.
PMID- 29357894
TI - Embedding weight management into safety-net pediatric primary care: randomized
controlled trial.
AB - BACKGROUND: Implementing evidence-based recommendations for treating pediatric
overweight and obesity is challenging in low-resource settings. We conducted a
randomized controlled trial to evaluate the effects of implementing the American
Academy of Pediatrics overweight/obesity recommendations using a Standard Care
approach alone or with the addition of an enhanced program in a safety-net
pediatric primary care setting (located in Bronx, New York, United States).
METHODS: In a 12-month trial, families of children (age 7-12 years; body mass
index >=85th American percentile for age and sex; 74% self-identified as
Hispanic/Latino; n = 360) were randomly assigned to receive Standard Care Alone
or Standard Care + Enhanced Program. An English/Spanish bilingual staff provided
the Standard Care Alone consisting of quarterly semi-structured pediatrician
visits targeting family-based behavioral changes. The Standard Care + Enhanced
Program was enriched with eight Skill-Building Core and monthly Post-Core Support
sessions. RESULTS: The mean body mass index Z-score declined in both arms (P <
0.01) with no significant difference between the Standard Care Alone (0.12 kg
[SE: 0.03]) and Standard Care + Enhanced Program (0.15 kg [SE: 0.03]) arm (P =
0.15). Compared to the Standard Care Alone, the Standard Care + Enhanced Program
resulted in significantly greater improvements in total cholesterol (P = 0.05),
low-density lipoprotein cholesterol (P = 0.04), aspartate aminotransferase (P =
0.02), and alanine transaminase (P = 0.03) concentrations. CONCLUSIONS: Safety
net primary care settings can provide efficacious pediatric weight management
services. Targeted family-based behavioral counseling helps overweight/obese
children achieve a modest body mass index Z-score improvement. A more intensive
lifestyle intervention program may improve some metabolic parameters. TRIAL
REGISTRATION: ClinicalTrials.gov Identifier: NCT00851201 . Registered 23 February
2009.
PMID- 29357895
TI - Clinical significance of peripheral circulating tumor cell counts in colorectal
polyps and non-metastatic colorectal cancer.
AB - BACKGROUND: The presence of peripheral circulating tumor cells indicates the
possible existence of a tumor in vivo; however, low numbers of circulating tumor
cells (CTCs) can be detected in peripheral blood of healthy individuals as well
as patients with benign tumors. It is not known whether peripheral CTC counts
differ between patients with benign colorectal disease and those with colorectal
cancer. METHODS: Comparative analysis of preoperative peripheral circulating
tumor cells counts was completed in patients with benign colorectal disease
(colorectal polyps) and non-metastatic cancer of the colon and rectum. RESULTS:
The results of this analysis showed that patients with colorectal cancer had
higher CTC counts than patients with colorectal polyps (3.47 +/- 0.32/3.2 ml vs
1.49 +/- 0.2/3.2 ml, P < 0.001). Colorectal cancer patients with tumors of the
sigmoid colon displayed the highest CTC counts (4.87 +/- 0.95/3.2 ml), followed
by those with tumors of the rectum (3.73 +/- 0.54/3.2 ml), ascending colon (3.5
+/- 0.63/3.2 ml), transverse colon (2.4 +/- 0.68/3.2 ml), and descending colon
(2.08 +/- 0.46/3.2 ml). Colorectal polyp patients with polyps in the rectum
showed the highest CTC counts (2.2 +/- 0.77/3.2 ml), followed by those with
polyps in the ascending colon (1.82 +/- 0.54/3.2 ml), sigmoid colon (1.38 +/-
0.25/3.2 ml), transverse colon (0.75 +/- 0.25/3.2 ml), and descending colon (0.33
+/- 0.21/3.2 ml). The differences in CTC counts suggest that anatomical location
of colorectal tumors may affect blood vessel metastasis. Meanwhile, patients with
moderately differentiated and poorly differentiated tumors displayed higher
peripheral blood CTC counts compared to those with well-differentiated tumors (P
< 0.001). This result suggests that the type of tissue differentiation of
colorectal tumors may act as another factor that affects blood vessel metastasis.
CONCLUSIONS: Circulating tumor cells can be detected in the peripheral blood of
colorectal cancer patients as well as patients with colorectal polyps. The
differences in CTC counts suggest that anatomical location and the type of tissue
differentiation of colorectal tumors may affect blood vessel metastasis.
PMID- 29357896
TI - Feasibility of anthropometric indices to identify dyslipidemia among adults in
Jilin Province: a cross-sectional study.
AB - BACKGROUND: Dyslipidemia and other cardiovascular disease (CVD) risk factors have
a strong association with obesity. Anthropometric indices have been widely used
to evaluate obesity in clinical and epidemiological studies. We aim to
investigate association between serum lipid levels and different anthropometric
indices. METHODS: Our study included 17,554 participants. We mainly investigated
area under the receiver operating characteristic (AUROC) curves and optimal
operating points (OOPs) between the anthropometric indices and serum lipid levels
or categories of abnormal serum lipid indices. RESULTS: For predicting one/two
categories of abnormal serum lipid indices among the anthropometric indices,
AUROC value of WC was the highest in men (0.718), and AUROC values of BRI and
WHtR were the highest in women (0.700 and 0.700) (all P < 0.001); OOP of WC was
82.450 in men; OOPs of BRI and WHtR were 3.435 and 0.504 in women. For predicting
three/more categories of abnormal serum lipid indices among the anthropometric
indices, AUROC value of WC was the highest in men (0.806), and AUROC values of
BRI and WHtR were the highest in women (0.783 and 0.783) (all P < 0.001); OOP of
WC was 84.150 in men; OOPs of BRI and WHtR were 3.926 and 0.529 in women.
CONCLUSIONS: WC was a good predictor for one/two or three/more categories of
abnormal serum lipid indices in men. However, BRI and WHtR were good predictors
for one/two or three/more categories of abnormal serum lipid indices in women.
ABSI showed the weakest predictive power.
PMID- 29357898
TI - Serum vitamin D and vitamin D-binding protein levels in mother-neonate pairs
during the lactation period.
AB - BACKGROUND: To determine longitudinally the relationship between serum 25
hydroxyvitamin D (vitamin D) and vitamin D-binding protein (DBP) levels in mother
neonate pairs and evaluate the efficiency of prophylactic vitamin D on lactation
days 45-60. METHODS: Mother-neonate pairs whose serum calcium (Ca), phosphorus
(P), magnesium (Mg), alkaline phosphatase (ALP), and parathyroid hormone (PTH)
levels were in normal ranges on postpartum/postnatal days 5-10 were classified
into two groups by their serum vitamin D concentrations (Group A: < 10 ng/ml and
Group B: > 20 ng/ml). Both maternal and neonatal Ca, P, Mg, ALP, and PTH
concentrations in group A and B were not different. Maternal and neonatal serum
DBP levels were measured in two groups. The mother-neonate pairs in both groups
were given 400 IU/d vitamin D orally. The same biochemical markers in group A
were remeasured on days 45-60 of the lactation period. RESULTS: In group A, the
mean maternal and neonatal vitamin D levels on postpartum/postnatal days 5-10
were significantly lower and the DBP levels were significantly higher than those
in group B (P = 0.000; P = 0.000 and P = 0.04; P = 0.004, respectively). On
lactation days 45-60, the maternal and neonatal DBP concentrations were not
different from those on postpartum/postnatal days 5-10. However, the maternal and
neonatal vitamin D levels were significantly increased (P = 0.000 and P = 0.000,
respectively), while the neonatal PTH concentrations were significantly decreased
(P = 0.000). The maternal and neonatal vitamin D concentrations were negatively
correlated with their DBP concentrations (P = 0.048 and P = 0.002, respectively).
CONCLUSION: High maternal and neonatal DBP levels may lead to an incorrect low
estimate of the true Vitamin D concentration. In this case, only prophylactic
vitamin D (400 IU/d) is indicated for mothers and their infants.
PMID- 29357899
TI - Pediatric multi-drug resistant-tuberculosis and HIV co-infection in a resource
limited setting: a case report.
AB - BACKGROUND: Tuberculosis remains a major cause of morbidity and mortality
worldwide, especially in developing countries. The diagnosis and treatment of
multi-drug resistant tuberculosis (MDR-TB) in children remain a major limitation
in this setting, largely due to difficulties in isolating Mycobacterium
tuberculosis from pediatric specimens, management with toxic second line drugs,
and practically the inexistence of contact tracing. In 2016, the World Health
Organization (WHO) recommended a standardized 9-month regimen for adults and
children in zones which are highly endemic for the human immunodeficiency virus
(HIV). Herein, we present a case of pediatric MDR-TB/HIV co-infection
highlighting the difficulties in treatment and the importance of contact tracing.
CASE PRESENTATION: A 6-year old male infant from the West Region of Cameroon
infected with HIV who presented at a local health center with a 10 days history
of productive cough associated with nocturnal fever and abdominal pains non
responsive to broad spectrum antibiotics. A sputum sample analysis requested was
smear positive for acid-fast bacilli, and he was initiated on quadritherapy for
drug sensitive pulmonary tuberculosis. Since he was a household contact of the
mother who was being managed in a referral hospital for MDR-TB at 1 month of
treatment, and given his critical clinical situation, a gastric aspirate was
repeated and sent for Xpert MTB/RIF to the Tuberculosis Reference Laboratory
which was positive for a Rifampicin resistant strain of M. tuberculosis. The
short 9 months regimen against MDR-TB was then initiated. During the course of
his management, he developed minor side effects of the drugs which were managed
symptomatically. CONCLUSION: Even though pediatric MDR-TB is difficult to
confirm, it can be treated with favorable clinical outcomes using the short
regimen recommended by the WHO. Experts involved in the control of tuberculosis
over the national territory should consider adopting routine contact tracing for
all cases of tuberculosis particularly amongst children.
PMID- 29357897
TI - Parkinson disease-associated mutations in LRRK2 cause centrosomal defects via
Rab8a phosphorylation.
AB - BACKGROUND: Mutations in LRRK2 are a common genetic cause of Parkinson's disease
(PD). LRRK2 interacts with and phosphorylates a subset of Rab proteins including
Rab8a, a protein which has been implicated in various centrosome-related events.
However, the cellular consequences of such phosphorylation remain elusive.
METHODS: Human neuroblastoma SH-SY5Y cells stably expressing wildtype or
pathogenic LRRK2 were used to test for polarity defects in the context of
centrosomal positioning. Centrosomal cohesion deficits were analyzed from
transiently transfected HEK293T cells, as well as from two distinct peripheral
cell types derived from LRRK2-PD patients. Kinase assays, coimmunoprecipitation
and GTP binding/retention assays were used to address Rab8a phosphorylation by
LRRK2 and its effects in vitro. Transient transfections and siRNA experiments
were performed to probe for the implication of Rab8a and its phosphorylated form
in the centrosomal deficits caused by pathogenic LRRK2. RESULTS: Here, we show
that pathogenic LRRK2 causes deficits in centrosomal positioning with effects on
neurite outgrowth, cell polarization and directed migration. Pathogenic LRRK2
also causes deficits in centrosome cohesion which can be detected in peripheral
cells derived from LRRK2-PD patients as compared to healthy controls, and which
are reversed upon LRRK2 kinase inhibition. The centrosomal cohesion and polarity
deficits can be mimicked when co-expressing wildtype LRRK2 with wildtype but not
phospho-deficient Rab8a. The centrosomal defects induced by pathogenic LRRK2 are
associated with a kinase activity-dependent increase in the centrosomal
localization of phosphorylated Rab8a, and are prominently reduced upon RNAi of
Rab8a. CONCLUSIONS: Our findings reveal a new function of LRRK2 mediated by Rab8a
phosphorylation and related to various centrosomal defects.
PMID- 29357900
TI - Insecticide resistance and target site mutations (G119S ace-1 and L1014F kdr) of
Culex pipiens in Morocco.
AB - BACKGROUND: Control of the mosquito vector Culex pipiens with insecticides is the
main way to control arboviruses that the species can transmit such as West Nile
virus (WNV) and Rift Valley fever virus (RVFV). However, its efficiency has been
hampered by the emergence of insecticide resistance. Little is known about the
insecticide-resistance status and underlying resistance mechanisms of field
collected populations of Cx. pipiens in Morocco. METHODS: Mosquito adults from
Mohammadia city in Morocco were reared from immature stages. The level of their
susceptibility to insecticides was assessed using standard WHO bioassay. The two
forms of the Cx. pipiens complex and their hybrids were identified by a multiplex
PCR. Identified mosquitoes were then tested for the presence of the G119S ace-1
and L1014F kdr mutations using PCR-RFLP and PCR assays, respectively. RESULTS:
WHO bioassays indicated that Cx. pipiens was resistant to all tested
insecticides: lambda-cyhalothrin (49% mortality), permethrin (63% mortality), DDT
(16% mortality), malation (52% mortality) and bendiocarb (39% mortality). The
frequency of the 119S allele was almost identical in the pipiens form and hybrids
(0.11 and 0.15, respectively) whereas it remained low in the molestus form
(0.03). No significant correlation was observed between the G119S allele and the
resistance phenotype to two tested insecticides (malathion and bendiocarb). The
frequency of the L1014F allele was identical in the pipiens form and hybrids
(0.44) whereas it was low in the molestus form (0.36) but no significant
difference was detected (chi2 = 1.46, df = 1, P = 0.225). The presence of the
L1014F kdr mutation was significantly associated with resistance to three tested
insecticides in pipiens form (P = 0.0019, P = 0.0023 and P = 0.023, respectively,
to lambda-cyhalothrin, permethrin and DDT) whereas no significant correlation was
observed between the L1014F kdr mutation and resistance phenotype in molestus
form and hybrids to the three tested insecticides. CONCLUSION: These findings
showed that wild populations of Cx. pipiens have developed resistance against the
main insecticide families with different modes of action: organochlorines (DDT),
organophosphates (malathion), carbamates (bendiocarb), pyrethroids (lambda
cyhalothrin, permethrin). Therefore, urgent action should be taken to manage the
resistance in this species to maintain the effectiveness of arbovirus control.
PMID- 29357901
TI - Can artificial tears prevent Acanthamoeba keratitis? An in vitro approach.
AB - BACKGROUND: The use of contact lenses has increased in recent years as has the
incidence of Dry Eye Syndrome, partly due to their use. Artificial tears are the
most common treatment option. Since these changes can facilitate Acanthamoeba
infection, the present study has been designed to evaluate the effect of three
artificial tears treatments in the viability of Acanthamoeba genotype T4
trophozoites. Optava FusionTM, Oculotect(r), and Artelac(r) Splash were selected
due to their formulation. METHODS: Viability was assessed using two staining
methods, Trypan Blue stain and CTC stain at different time intervals (2, 4, 6, 8
and 24 h). Trypan Blue viability was obtained by manual count with light
microscopy while the CTC stain was determined using flow cytometry. RESULTS:
Trypan Blue staining results demonstrated a decrease in viability for Optava
FusionTM and Artelac(r) Splash during the first 4 h of incubation. After, this
effect seems to lose strength. In the case of Oculotect(r), complete cell death
was observed after 2 h. Using flow cytometry analysis, Optava FusionTM and
Oculotect(r) exhibited the same effect observed with Trypan Blue staining.
However, Artelac(r) Splash revealed decreasing cell respiratory activity after
four hours, with no damage to the cell membrane. CONCLUSIONS: The present study
uses, for the first time, CTC stain analyzed by flow cytometry to establish
Acanthamoeba viability demonstrating its usefulness and complementarity with the
traditional stain, Trypan Blue. Artelac(r) Splash, with no preservatives, and
Optava Fusion TM, with Purite(r), have not shown any useful amoebicidal activity.
On the contrary, promising results presented by Ocultect(r), with BAK, open up a
new possibility for Acanthamoeba keratitis prophylaxis and treatment although in
vivo studies should be carried out.
PMID- 29357902
TI - Intensity-modulated radiotherapy with integrated-boost in patients with bone
metastasis of the spine: study protocol for a randomized controlled trial.
AB - BACKGROUND: Stereotactic body radiation therapy (SBRT) using intensity-modulated
radiotherapy (IMRT) with dose escalation by simultaneous integrated boost (SIB)
can be a safe modality for treating spinal bone metastases with enhanced
targeting accuracy and improve local tumor control. METHODS/DESIGN: This is a
single-center, prospective, randomized, controlled trial. One hundred and twenty
patients with spinal bone metastases will receive palliative radiation therapy at
the Heidelberg University Hospital. SBRT will be given in five or ten fractions
with or without SIB. Four treatment arms are planned: IMRT with 30 Gy in ten
fractions, IMRT with 30 Gy in ten fractions and SIB to 40 Gy, IMRT with 20 Gy in
five fractions, and IMRT with 20 Gy in five fractions and SIB to 30Gy in five
fractions will be compared. The target parameters will be measured at baseline
level and at three and six months after radiation. DISCUSSION: The primary
endpoint of this study was to assess and compare the local tumor control (by
means of different fractionation schedules and biological doses to the tumor
area). Secondary endpoints are acute and chronic adverse events, pain relief,
quality of life, and fatigue. TRIAL REGISTRATION: ClinicalTrials.gov, NCT02832765
. Registered on 27 July 2016.
PMID- 29357903
TI - Principles for interactions with biopharmaceutical companies: the development of
guidelines for patient advocacy organizations in the field of rare diseases.
AB - BACKGROUND: Rare diseases are a global public health concern, affecting an
estimated 350 million individuals. Only 5% of approximately 7000 known rare
diseases have a treatment, and only about half have a patient advocacy
organization. Biopharmaceutical companies face complex challenges in developing
treatments for rare diseases. Patient advocacy organizations may play a major
role by positively influencing research and development, clinical trials, and
regulations. Thus, collaboration among patient advocacy organizations and
industry is essential to bring new therapeutics to patients. METHODS: We
identified an unmet need for guidelines on day-to-day decision-making by rare
disease patient advocacy organizations when working with biopharmaceutical
partners. We convened an Independent Expert Panel experienced in collaborations
between patient advocacy organizations and biopharmaceutical companies (April
2017) to develop consensus guidelines for these relationships. The guidelines
were based on an original version by the International Fibrodysplasia Ossificans
Progressiva Association (IFOPA). The Expert Panel reviewed and broadened these to
be applicable to all patient advocacy organizations. Comments on the draft
Guidelines were provided first by Panel participants and subsequently by six
independent experts from patient advocacy organizations and industry. RESULTS:
The Panel comprised four experts from the rare disease community who lead patient
advocacy organizations; three leaders who perform advocacy functions within
biopharmaceutical companies; and two facilitators, both having leadership
experience in rare diseases and industry. The finalized Guidelines consist of
four main sections: Identification and Engagement With Companies, Patient
Engagement and Patient Privacy, Financial Contributions, and Clinical Trial
Communication and Support. The Guidelines address the daily considerations,
choices, and consequences of patient advocacy organizations as they engage with
biopharmaceutical companies, and offer recommendations for volunteer/paid leaders
of the organizations on how to interact in a thoughtful, responsible, ethical way
that engenders trust. CONCLUSIONS: These Guidelines recommend best practices and
standards for interactions between patient advocacy organizations and industry
that will ultimately have a positive effect on the development of novel
treatments. Patient advocacy organizations will be provided free access to these
Guidelines to help bring clarification to day-to-day decision-making around their
interactions, and for use as a living document with the potential for regular
revisions and updates.
PMID- 29357904
TI - Implementation of patient pagers in radiation oncology waiting rooms for patient
privacy and satisfaction.
AB - OBJECTIVE: In order to improve privacy, quality, and coordination of care, a
patient pager system was introduced to notify patients of daily treatment in the
Department of Radiation Oncology. One hundred patients undergoing daily radiation
therapy prospectively participated in a six-question survey addressing the paging
service, privacy prior to pager use, and demographics. Twelve radiation
therapists also participated in a survey addressing privacy and workflow.
RESULTS: Survey results from all patient participants revealed that convenience,
privacy, ease of use, desire for use for consults and return visits were highly
rated as very good to excellent. The top three categories were "ease of use,"
"convenience" and "privacy." Nineteen patients had the experience of our waiting
room prior to introduction of the patient pagers and highly rated "privacy,"
"efficiency," and "satisfaction." Twelve radiation therapists participated and
rated workflow related categories fair to good. Only patient privacy was rated as
very good to excellent. Thus, patients and staff highly rated the paging system
for privacy protection and satisfaction. However, it did not change overall
workflow. Our study shows clinics should prioritize privacy in the waiting room
to address the emotional needs of patients and improve satisfaction.
PMID- 29357907
TI - Measuring improvement in fracture risk prediction for a new risk factor: a
simulation.
AB - OBJECTIVE: Improvements in clinical risk prediction models for osteoporosis
related fracture can be evaluated using area under the receiver operating
characteristic (AUROC) curve and calibration, as well as reclassification
statistics such as the net reclassification improvement (NRI) and integrated
discrimination improvement (IDI) statistics. Our objective was to compare the
performance of these measures for assessing improvements to an existing fracture
risk prediction model. We simulated the effect of a new, randomly-generated risk
factor on prediction of major osteoporotic fracture (MOF) for the internationally
validated FRAX(r) model in a cohort from the Manitoba Bone Mineral Density (BMD)
Registry. RESULTS: The study cohort was comprised of 31,999 women 50+ years of
age; 9.9% sustained at least one MOF in a mean follow-up of 8.4 years. The
original prediction model had good discriminative performance, with AUROC = 0.706
and calibration (ratio of observed to predicted risk) of 0.990. The addition of
the simulated risk factor resulted in improvements in NRI and IDI for most
investigated conditions, while AUROC decreased and changes in calibration were
negative. Reclassification measures may give different information than
discrimination and calibration about the performance of new clinical risk
factors.
PMID- 29357905
TI - Chromosome-nuclear envelope attachments affect interphase chromosome territories
and entanglement.
AB - BACKGROUND: It is well recognized that the interphase chromatin of higher
eukaryotes folds into non-random configurations forming territories within the
nucleus. Chromosome territories have biologically significant properties, and
understanding how these properties change with time during lifetime of the cell
is important. Chromosome-nuclear envelope (Chr-NE) interactions play a role in
epigenetic regulation of DNA replication, repair, and transcription. However,
their role in maintaining chromosome territories remains unclear. RESULTS: We use
coarse-grained molecular dynamics simulations to study the effects of Chr-NE
interactions on the dynamics of chromosomes within a model of the Drosophila
melanogaster regular (non-polytene) interphase nucleus, on timescales comparable
to the duration of interphase. The model simulates the dynamics of chromosomes
bounded by the NE. Initially, the chromosomes in the model are prearranged in
fractal-like configurations with physical parameters such as nucleus size and
chromosome persistence length taken directly from experiment. Time evolution of
several key observables that characterize the chromosomes is quantified during
each simulation: chromosome territories, chromosome entanglement, compactness,
and presence of the Rabl (polarized) chromosome arrangement. We find that Chr-NE
interactions help maintain chromosome territories by slowing down and limiting,
but not eliminating, chromosome entanglement on biologically relevant timescales.
At the same time, Chr-NE interactions have little effect on the Rabl chromosome
arrangement as well as on how chromosome compactness changes with time. These
results are rationalized by simple dimensionality arguments, robust to model
details. All results are robust to the simulated activity of topoisomerase, which
may be present in the interphase cell nucleus. CONCLUSIONS: Our study
demonstrates that Chr-NE attachments may help maintain chromosome territories,
while slowing down and limiting chromosome entanglement on biologically relevant
timescales. However, Chr-NE attachments have little effect on chromosome
compactness or the Rabl chromosome arrangement.
PMID- 29357906
TI - Body mass index at age 18 years and recent body mass index in relation to risk of
breast cancer overall and ER/PR/HER2-defined subtypes in white women and African
American women: a pooled analysis.
AB - BACKGROUND: Although it has been well-documented that obesity is associated with
decreased risk of premenopausal breast cancer and increased risk of
postmenopausal breast cancer, it is unclear whether these associations differ
among breast cancer subtypes defined by the tumor protein expression status of
estrogen receptor (ER), progesterone receptor (PR), and human epidermal growth
factor receptor 2 (HER2). METHODS: We evaluated the associations of body mass
index (BMI) at age 18 years and recent BMI in relation to risk of breast cancer
overall and ER/PR/HER2-defined subtypes, in 6320 women (3934 case-patient
participants, 2386 control participants) aged 35-64 years, who participated in
one of three population-based case-control studies. We estimated multivariable
adjusted odd ratios (ORs) and corresponding 95% confidence intervals (CIs) using
polychotomous unconditional logistic regression methods for case-control
comparisons in premenopausal women and postmenopausal women. RESULTS: BMI at age
18 years was inversely associated with risk of breast cancer, particularly among
premenopausal women (>= 25 vs. < 20 kg/m2, OR = 0.72, 95% CI = 0.53-0.96; per 5
kg/m2 increase, OR = 0.83, 95% CI = 0.73-0.95). This inverse association did not
differ across ER/PR/HER2-defined subtypes or by race (white women, African
American women). Recent BMI was not associated with risk of premenopausal breast
cancer after adjustment for BMI at age 18 years; nevertheless, the analysis for
the joint effects of BMI at age 18 years and recent BMI showed that premenopausal
women in the highest categories of the two BMI measures (>= 25 kg/m2 at age 18
years and >= 30 kg/m2 for recent BMI) had 46% lower risk of breast cancer than
premenopausal women in the lowest categories of the two BMI measures (< 20 kg/m2
at age 18 years and < 25 kg/m2 for recent BMI; OR = 0.54, 95% CI = 0.38-0.78).
Neither measure of BMI was statistically significantly associated with risk of
postmenopausal breast cancer. CONCLUSION: Our findings indicate that high BMI
near the end of adolescence decreases risk of all ER/PR/HER2-defined subtypes of
premenopausal breast cancer and also suggest that this benefit could be maximized
among premenopausal women who consistently have high BMI during their
premenopausal years.
PMID- 29357908
TI - Single and combined use of red cell distribution width, mean platelet volume, and
cancer antigen 125 for differential diagnosis of ovarian cancer and benign
ovarian tumors.
AB - BACKGROUND: Cancer is widely believed to result from chronic inflammation, and
red cell distribution width (RDW) and mean platelet volume (MPV) are considered
as inflammatory markers for cancer. We investigated the values of RDW, MPV, and
cancer antigen 125 (CA125), alone or in combination, for distinguishing between
ovarian cancer and benign ovarian tumors. METHODS: The study included 326
patients with ovarian cancer, 290 patients with benign ovarian tumors, and 162
control subjects. Hematologic tests were performed at initial diagnosis. RESULTS:
RDW was increased and MPV was decreased in the ovarian cancer group compared with
the control and benign ovarian tumor groups. RDW was positively correlated and
MPV was negatively correlated with cancer stage. Area under the curve (AUC)
analysis for ovarian cancer versus benign ovarian tumors revealed that the
specificity and sensitivity were increased for the combination of MPV and CA125
compared with either marker alone, and the specificity was increased for the
combination of RDW and CA125, compared with either alone. The AUCs for RDW plus
CA125 and MPV plus CA125 were significantly larger than for any of the markers
alone. CONCLUSIONS: In conclusion, combinations of the markers RDW, MPV, and
CA125 may improve the differential diagnosis of ovarian cancer and benign ovarian
tumors.
PMID- 29357909
TI - Size and sequence polymorphisms in the glutamate-rich protein gene of the human
malaria parasite Plasmodium falciparum in Thailand.
AB - BACKGROUND: The glutamate-rich protein (GLURP) of the malaria parasite Plasmodium
falciparum is a key surface antigen that serves as a component of a clinical
vaccine. Moreover, the GLURP gene is also employed routinely as a genetic marker
for malarial genotyping in epidemiological studies. While extensive size
polymorphisms in GLURP are well recorded, the extent of the sequence diversity of
this gene is rarely investigated. The present study aimed to explore the genetic
diversity of GLURP in natural populations of P. falciparum. RESULTS: The
polymorphic C-terminal repetitive R2 region of GLURP sequences from 65 P.
falciparum isolates in Thailand were generated and combined with the data from
103 worldwide isolates to generate a GLURP database. The collection was comprised
of 168 alleles, encoding 105 unique GLURP subtypes, characterized by 18 types of
amino acid repeat units (AAU). Of these, 28 GLURP subtypes, formed by 10 AAU
types, were detected in P. falciparum in Thailand. Among them, 19 GLURP subtypes
and 2 AAU types are described for the first time in the Thai parasite population.
The AAU sequences were highly conserved, which is likely due to negative
selection. Standard Fst analysis revealed the shared distributions of GLURP types
among the P. falciparum populations, providing evidence of gene flow among the
different demographic populations. CONCLUSIONS: Sequence diversity causing size
variations in GLURP in Thai P. falciparum populations were detected, and caused
by non-synonymous substitutions in repeat units and some insertion/deletion of
aspartic acid or glutamic acid codons between repeat units. The P. falciparum
population structure based on GLURP showed promising implications for the
development of GLURP-based vaccines and for monitoring vaccine efficacy.
PMID- 29357910
TI - A synthetic cell-penetrating peptide derived from nuclear localization signal of
EPS8 exerts anticancer activity against acute myeloid leukemia.
AB - BACKGROUND: Oncogenic roles of epidermal growth factor receptor pathway substrate
no.8 (EPS8) have been widely reported in various tumors, making targeting of EPS8
an appealing prospect. Here, we describe the role of EPS8 in acute myeloid
leukemia (AML) and consider the potential of EPS8 as an anti-AML target. Nuclear
localization signal (NLS) residues of tumor-associated proteins are crucial for
cell cycle progression, and specific inhibitors derived from the NLS have
inhibitory effect on cancer cells. The NLS in EPS8 has potential as a specific
anti-AML target. METHODS: Gene Expression Omnibus expression profiles of AML
patients were used to test associations between EPS8 expression and AML patient
outcome. The biological characteristics of AML cells after EPS8 knockdown were
analyzed in vitro and in vivo. A specific peptide (CP-EPS8-NLS) derived from the
NLS of EPS8 (amino acids 298-310) was synthesized, and the anti-AML effects of CP
EPS8-NLS were analyzed in cancer cells and in xenograft models. Mutated CP-EPS8
NLS and penetratin served as controls. RESULTS: We observed that elevated EPS8
expression in AML patients is associated with poor outcome. Knockdown of EPS8
significantly suppressed the survival of AML cells in vitro and in vivo. CP-EPS8
NLS interfered with EPS8-associated signaling and consequently exerted anti-AML
activity. Importantly, CP-EPS8-NLS displayed anti-AML activity in various AML
cell types, with diminished activity in PBMCs. CP-ESP8-NLS suppressed U937 cell
proliferation, and injection of CP-EPS8-NLS exerted potent antitumor activity in
the xenograft tumor models. A synergistic effect of CP-EPS8-NLS and
chemotherapeutic agents was also observed in vitro and in vivo. Mechanistically,
treatment of various AML cells with CP-EPS8-NLS downregulated the expression of
EPS8 and its downstream pathways. CONCLUSIONS: The function of CP-EPS8-NLS is
explained by the presence of a NLS in EPS8, which has been shown to induce
nuclear translocation, consequently resulting in EPS8 overexpression. These
results indicate that EPS8 is a potential target for AML treatment.
PMID- 29357912
TI - eRegQual-an electronic health registry with interactive checklists and clinical
decision support for improving quality of antenatal care: study protocol for a
cluster randomized trial.
AB - BACKGROUND: Health worker compliance with established best-practice clinical and
public health guidelines may be enhanced by customized checklists of care and
clinical decision support driven by point-of-care data entry into an electronic
health registry. The public health system of Palestine is currently implementing
a national electronic registry (eRegistry) for maternal and child health. This
trial is embedded in the national implementation and aims to assess the
effectiveness of the eRegistry's interactive checklists and clinical decision
support, compared with the existing paper based records, on improving the quality
of care for pregnant women. METHODS: This two-arm cluster randomized controlled
trial is conducted in the West Bank, Palestine, and includes 120 clusters
(primary healthcare clinics) with an average annual enrollment of 60 pregnancies.
The intervention tool is the eRegistry's interactive checklists and clinical
decision support implemented within the District Health Information System 2
(DHIS2) Tracker software, developed and customized for the Palestinian context.
The primary outcomes reflect the processes of essential interventions, namely
timely and appropriate screening and management of: 1) anemia in pregnancy; 2)
hypertension in pregnancy; 3) abnormal fetal growth; 4) and diabetes mellitus in
pregnancy. The composite primary health outcome encompasses five conditions
representing risk for the mother or baby that could have been detected or
prevented by high-quality antenatal care: moderate or severe anemia at admission
for labor; severe hypertension at admission for labor; malpresentation at
delivery undetected during pregnancy; small for gestational age baby at delivery
undetected during pregnancy; and large for gestational age baby at delivery.
Primary analysis at the individual level taking the design effect of the
clustering into account will be performed as intention-to-treat. DISCUSSION: This
trial, embedded in the national implementation of the eRegistry in Palestine,
allows the assessment of process and health outcomes in a large-scale pragmatic
setting. Findings will inform the use of interactive checklists and clinical
decision support driven by point-of-care data entry into an eRegistry as a health
systems-strengthening approach. TRIAL REGISTRATION: ISRCTN trial registration
number, ISRCTN18008445 . Registered on 6 April 2017.
PMID- 29357911
TI - Comparative genomics analysis of triatomines reveals common first line and
inducible immunity-related genes and the absence of Imd canonical components
among hemimetabolous arthropods.
AB - BACKGROUND: Insects operate complex humoral and cellular immune strategies to
fend against invading microorganisms. The majority of these have been
characterized in Drosophila and other dipterans. Information on hemipterans,
including Triatominae vectors of Chagas disease remains incomplete and
fractionated. RESULTS: We identified putative immune-related homologs of three
Triatominae vectors of Chagas disease, Triatoma pallidipennis, T. dimidiata and
T. infestans (TTTs), using comparative transcriptomics based on established
immune response gene references, in conjunction with the predicted proteomes of
Rhodnius prolixus, Cimex lecticularis and Acyrthosiphon pisum hemimetabolous. We
present a compressive description of the humoral and cellular innate immune
components of these TTTs and extend the immune information of other related
hemipterans. Key homologs of the constitutive and induced immunity genes were
identified in all the studied hemipterans. CONCLUSIONS: Our results in the TTTs
extend previous observations in other hemipterans lacking several components of
the Imd signaling pathway. Comparison with other hexapods, using published data,
revealed that the absence of various Imd canonical components is common in
several hemimetabolous species.
PMID- 29357913
TI - Screening of methicillin-resistant Staphylococcus aureus nasal colonization among
elective surgery patients in referral hospital in Indonesia.
AB - OBJECTIVE: Methicillin-resistant Staphylococcus aureus (MRSA) colonization is
associated with serious surgical site infection in high-risk patients. High
prevalence of MRSA colonization was reported in many settings, nonetheless local
data is required. The purpose of this study is to identify the prevalence and
risk factor of MRSA nasal carriage in adult patients in National Referral
Hospital in Indonesia before underwent elective surgical procedure. RESULTS: From
384 patients, 16.9% patients of them had undergone orthopaedic surgery, 51.3% had
received antibiotics within the previous 3-month and 41.1% patients had history
of hospitalization within the previous 1 year. Total of 21.6% patients were on
invasive devices for at least 48 h before the operation; 24.2% had an open wound;
19.3% patients were referred from other hospital/ward. Of these patients, solid
tumor without metastasis was the most common factor identified by the Charlson
index (38.3%). Nasal colonization of Gram-positive bacteria was detected in
76.8%; S. aureus in 15.6% of patients (n = 60). MRSA was identified in three
isolates (0.8%) by both culture and polymerase chain reaction (PCR) tests. Due to
low prevalence of MRSA nasal carriage, this finding supports the recommendation
to not routinely apply mupirocin for nasal decolonization on patient planned for
surgery in Indonesia.
PMID- 29357915
TI - Evaluation of HbA1c screening during outreach events for prediabetes subject
recruitment for clinical research.
AB - BACKGROUND: There are a number of obstacles which may impede the recruitment of
underserved populations in clinical research studies; some of these factors
include mistrust of medical research, socioeconomic constraints, cultural
factors, and language barriers. For chronic metabolic disease indications, these
barriers may also include lack of disease awareness. Recently, national
organizations such as the American Diabetes Association (ADA) and Centers for
Disease Control and Prevention (CDC) have highlighted the need for prediabetes
recognition. Therefore the aim of the study was twofold: to raise prediabetes
awareness in an under-represented Hispanic community and to engage prediabetes
participants in clinical research. METHODS: Hemoglobin A1c (HbA1c) screening was
performed at major outreach events catered to the Hispanic community. All
participants signed an ethics review board approved waiver which collected basic
demographic information and the HbA1c test was performed with a hand-held monitor
and finger-stick blood sample. Participants were given their HbA1c results at the
event as well as information on prediabetes and upcoming clinic studies. After
the event, participants were contacted by a study participant recruiter to assess
interest in participating in clinical research. RESULTS: The majority of
participants screened fell within a prediabetes HbA1c range. Mean HbA1c was
similar among men and women, yet higher in individuals aged 45-65 years compared
to adults aged < 45 years (p < 0.05). For recruitment purposes, the highest
number of leads came from participants attending a faith-based community event.
In all, 17% of individuals contacted expressed interest in participating in
clinical research and created a profile within our database to be eligible for
future studies. CONCLUSIONS: Providing no-cost HbA1c screening is an excellent
recruitment tool for clinical research as well as an opportunity to raise
prediabetes awareness in a traditionally underserved population.
PMID- 29357914
TI - Cell adhesion-mediated mitochondria transfer contributes to mesenchymal stem cell
induced chemoresistance on T cell acute lymphoblastic leukemia cells.
AB - BACKGROUND: Despite the high cure rate of T cell acute lymphoblastic leukemia (T
ALL), drug resistance to chemotherapy remains a significant clinical problem.
Bone marrow mesenchymal stem cells (MSCs) protect leukemic cells from
chemotherapy, but the underlying mechanisms are poorly understood. In this study,
we aimed to uncover the mechanism of MSC-induced chemoresistance in T-ALL cells,
thus providing a promising clinical therapy target. METHODS: Cell viability was
determined using the viability assay kit CCK-8. The mitochondrial ROS levels were
detected using the fluorescent probe MitoSOXTM Red, and fluorescence intensity
was measured by flow cytometry. In vitro, MSCs and Jurkat cells were cocultured.
MSCs were labeled with green fluorescent protein (GFP), and Jurkat cells were
labeled with the mitochondria-specific dye MitoTracker Red. Bidirectional
mitochondrial transfer was detected by flow cytometry and confocal microscopy.
The mechanism of mitochondria transfer was analyzed by inhibitor assays.
Transcripts related to Jurkat cell/MSC adhesion in the coculture system were
assessed by qRT-PCR. After treatment with a neutralizing antibody against a key
adhesion molecule, mitochondria transfer from Jurkat cells to MSCs was again
detected by flow cytometry and confocal microscopy. Finally, we verified our
findings using human primary T-ALL cells cocultured with MSCs. RESULTS:
Chemotherapeutic drugs caused intracellular oxidative stress in Jurkat cells.
Jurkat cells transfer mitochondria to MSCs but receive few mitochondria from
MSCs, resulting in chemoresistance. This process of mitochondria transfer is
mediated by tunneling nanotubes, which are protrusions that extend from the cell
membrane . Moreover, we found that most Jurkat cells adhered to MSCs in the
coculture system, which was mediated by the adhesion molecule ICAM-1. Treatment
with a neutralizing antibody against ICAM-1 led to a decreased number of adhering
Jurkat cells, decreased mitochondria transfer, and increased chemotherapy-induced
cell death. CONCLUSIONS: We show evidence that mitochondria transfer from Jurkat
cells to MSCs, which is mediated by cell adhesion, may be a potential therapeutic
target for T-ALL treatment.
PMID- 29357916
TI - Anti-tumor effects of ONC201 in combination with VEGF-inhibitors significantly
impacts colorectal cancer growth and survival in vivo through complementary non
overlapping mechanisms.
AB - BACKGROUND: Small molecule ONC201 is an investigational anti-tumor agent that
upregulates intra-tumoral TRAIL expression and the integrated stress response
pathway. A Phase I clinical trial using ONC201 therapy in advanced cancer
patients has been completed and the drug has progressed into Phase II trials in
several cancer types. Colorectal cancer (CRC) remains one of the leading causes
of cancer worldwide and metastatic disease has a poor prognosis. Clinical trials
in CRC and other tumor types have demonstrated that therapeutics targeting the
vascular endothelial growth factor (VEGF) pathway, such as bevacizumab, are
effective in combination with certain chemotherapeutic agents. METHODS: We
investigated the potential combination of VEGF inhibitors such as bevacizumab and
its murine-counterpart; along with other anti-angiogenic agents and ONC201 in
both CRC xenograft and patient-derived xenograft (PDX) models. We utilized non
invasive imaging and immunohistochemistry to determine potential mechanisms of
action. RESULTS: Our results demonstrate significant tumor regression or complete
tumor ablation in human xenografts with the combination of ONC201 with
bevacizumab, and in syngeneic MC38 colorectal cancer xenografts using a murine
VEGF-A inhibitor. Imaging demonstrated the impact of this combination on
decreasing tumor growth and tumor metastasis. Our results indicate that ONC201
and anti-angiogenic agents act through distinct mechanisms while increasing tumor
cell death and inhibiting proliferation. CONCLUSION: With the use of both a
murine VEGF inhibitor in syngeneic models, and bevacizumab in human cell line
derived xenografts, we demonstrate that ONC201 in combination with anti
angiogenic therapies such as bevacizumab represents a promising approach for
further testing in the clinic for the treatment of CRC.
PMID- 29357917
TI - Prevalence of intestinal parasitic infections in children under the age of 5
years attending the Debre Birhan referral hospital, North Shoa, Ethiopia.
AB - OBJECTIVE: Intestinal parasitic infection is one of the major childhood health
problems in developing countries. In Ethiopia, epidemiological data for several
localities is limited. Hence, the aim of this study is to assess intestinal
parasitic infections among under-five children attending in Debre Birhan referral
hospital, which could help to decrease morbidity and mortality in children. A
cross-sectional study was conducted in February, 2014. Stool specimens were
collected and examined using concentration method. RESULTS: Out of the 247 under
five children participated, 17.4% (95% CI 12.7-22.1%) of the children were
infected with at least one or more protozoa parasites (14.2% [95% CI 9.9-18.5%])
and helminthes (3.2% [95% CI 1.0-5.4%]). Giardia lamblia (8.5%), Entamoeba
histolytica/dispar (5.7%), Trichuris trichiura (1.6%) and Ascaris lumbricoides
(1.2%) were the most identified parasites. Parasitic infection was higher in
children who had source of drinking water from the river (36.8%), among children
from mothers with poor hand washing practice (31.7%), and among children born
from illiterate mothers (27.5%). This revealed that intestinal parasites affect
the health of under-five children in the setting. Hence, improving environmental
hygiene and inadequate water sanitation, and health education for behavioral
changes to personal hygiene would be crucial for effective control of the
parasite infections.
PMID- 29357918
TI - Internet-based cognitive behavioural therapy (iCBT) for perinatal anxiety and
depression versus treatment as usual: study protocol for two randomised
controlled trials.
AB - BACKGROUND: We aimed to evaluate the acceptability and efficacy of two brief,
Internet-delivered cognitive behavioural therapy interventions-MUMentum Pregnancy
(study 1) and MUMentum Postnatal (study 2)-in reducing maternal symptoms of
anxiety, depression and overall psychological distress compared to usual care in
the perinatal period. METHODS/DESIGN: Women who are pregnant (study 1) or < 12
months postpartum (study 2) with current clinically elevated symptoms of anxiety
and/or depression according to validated self-report measures, will be recruited
via the research arm of a not-for-profit clinical and research unit in Australia
and randomised to the intervention group or treatment as usual control group. The
minimum sample size for each study (alpha 0.05; power 0.80 for a g of 0.80) was
identified as 50 with at least 10% more to be recruited to account for expected
attrition. The co-primary outcome measures are the Patient Health Questionnaire 9
item scale and Generalised Anxiety Disorder 7-item scale to measure depression
and anxiety symptom severity, respectively, and will be administered at the
following primary time-points: baseline; post treatment; and at one-month follow
up. Psychological distress will be measured according to the Kessler-10
psychological distress scale at each primary time-point and will also be
completed before each lesson for those in the intervention group. The total trial
period nine weeks for study 1 and 11 weeks for study 2. Program efficacy will be
determined using intent-to-treat mixed models. Maintenance of gains will be
assessed at one-month follow-up. DISCUSSION: The current randomised controlled
trial seeks to extend the literature by evaluating the efficacy of a self-help
intervention for women in the perinatal period. If efficacious, the MUMentum
programs have the potential to be easily disseminated via
https://thiswayup.org.au/ to large numbers of women across Australia as an
intervention for women screening positive for anxiety, depressive or distress
symptoms during pregnancy or postpartum. TRIAL REGISTRATION: Australian New
Zealand Clinical Trials Registry, ACTRN12616000560493 ; ACTRN12616000559415 .
Registered on 2nd May 2016.
PMID- 29357919
TI - MCM6 promotes metastasis of hepatocellular carcinoma via MEK/ERK pathway and
serves as a novel serum biomarker for early recurrence.
AB - BACKGROUND: The high incidence of recurrence and metastasis of hepatocellular
carcinoma (HCC) necessitate the discovery of new predictive biomarkers of
invasion and prognosis. Minichromosome maintenance complex component 6 (MCM6),
which has been reported to up-regulate in multiple malignancies, was considered
to be a novel diagnoses biomarker in HCC. However, its functional contributions
and prognostic value remain unclear. METHODS: The expression of MCM6 was analyzed
in 70 HCC tissues and 5 HCC cell lines by immunohistochemistry and real-time RT
PCR. The roles of MCM6 in HCC cell proliferation, migration and invasion were
explored by CCK8, Wound healing and Transwell assays, respectively. Western
blotting and Immunofluorescence staining were conducted to detect the protein
expressions of ERK signaling pathway and EMT-related markers. To verify the above
findings in vivo, we established subcutaneous xenograft tumor and orthotopic
xenograft tumor models in nude mice. Finally, Enzyme-linked immunosorbent assay
was used to evaluate the serum MCM6 level. RESULTS: MCM6 was significantly up
regulated in HCC tissues. Increased MCM6 expression was associated with
aggressive clinicopathological features and worse prognosis in HCC patients.
These results were consistent with our analyses of The Cancer Genome Atlas
database (TCGA). Furthermore, knockdown of MCM6 significantly decreased
proliferative and migratory/invasive capability of HCC cells in vitro, as well as
decreased tumor volume, weight and the number of pulmonary metastases in vivo.
Mechanistic analyses indicated that MCM6 promoted EMT and activated MEK/ERK
signaling. More importantly, serum MCM6 levels in HCC patients were significantly
higher than those in cirrhosis and healthy controls (P < 0.0001), and allowed
distinguishing early recurrence with high accuracy (AUC = 0.773). CONCLUSIONS:
Our findings indicate that MCM6 predicts poor prognosis and promotes metastasis
in HCC. Postoperative serum MCM6 level could be valuable to detect preclinical
early recurrence, indicative of a need for more careful surveillance and
aggressive therapeutic intervention.
PMID- 29357920
TI - Establishment of hematological reference intervals for healthy adults in Asmara.
AB - OBJECTIVES: Clinical laboratory reference intervals used in a specific area
should be derived from the local population as they are influenced by many
factors. The purpose of this quantitative cross sectional study was to establish
hematological reference intervals for healthy adults in Asmara and to determine
whether the currently used reference interval do represent the adult population
in the city. In addition, the established reference intervals were compared to
findings from similar studies conducted in selected countries in Africa. RESULTS:
There was a significant difference between males and females in the reference
intervals for erythrocyte count, hemoglobin, hematocrit, mean cell volume, mean
cell hemoglobin, mean cell hemoglobin concentration and differential white blood
cell count. All the evaluated hematological analytes were found to be higher in
males than in females except for platelet count. The out of range percentage for
the parameters extends from 3.5 to 46.7%; with red blood cell count having the
lowest while mean cell volume having the highest out of range percentage. The
results indicated that the currently used reference interval does not represent
the population in Asmara and are different from those obtained elsewhere in
Africa.
PMID- 29357921
TI - Relating process evaluation measures to complex intervention outcomes: findings
from the PACE-UP primary care pedometer-based walking trial.
AB - BACKGROUND: The PACE-UP trial demonstrated positive effects of a pedometer-based
walking intervention on objective physical activity (PA) outcomes at three and 12
months in 45-75-year-old primary care patients, in postal and nurse-supported
trial arms compared with controls. We explored associations between process
evaluation measures and change in PA outcomes. METHODS: The MRC framework guided
process evaluation. Three quantitative measures (nurse session attendance [dose
delivered], PA diary completion [fidelity] and pedometer use [fidelity]) were
selected as independent variables in multi-level models estimating intervention
effectiveness on PA outcomes (changes in step-counts and time in moderate-to
vigorous PA [MVPA] levels in >= 10-min bouts). RESULTS: Dose: attending all three
nurse sessions compared with 0-2 sessions was associated with an increase in
steps/day at three and 12 months of 1197 (95% confidence interval [CI] = 627
1766) and 605 (95% CI = 74-1137), respectively; and MVPA in bouts (min/week) at
three and 12 months by 74 (95% CI = 45-103) and 30 (95% CI = 3-57), respectively.
Fidelity: postal and nurse groups showed strong positive associations of diary
return with steps/day at three months: postal 1458 (95% CI = 854-2061), nurse 873
(95% CI = 190-1555). MVPA in bouts (min/week): postal 64 (95% CI = 33-94), nurse
50 (95% CI = 15-85). At 12 months, only the postal group effects remained
statistically significant: steps/day 1114 (95% CI = 538-1689), MVPA 47 (95% CI =
18-75). Regular pedometer use in the postal group only was associated with higher
three-month and 12-month steps/day: 1029 (95% CI = 383-1675) and 606 (95% CI = 22
1190), respectively, and with MVPA in bouts at three months: 40 (95% CI = 6-73).
CONCLUSION: Process evaluation measures demonstrated significant associations
with PA outcomes at three and 12 months. We cannot infer causality, but the
associations between the process measures and PA outcomes suggest that they were
important in enabling the trial changes observed and should be considered core
components of the PACE-UP nurse and postal interventions. We have shown the MRC
framework to be a useful tool for process evaluation of intervention
implementation. TRIAL REGISTRATION: ISRCTN Registry, ISRCTN98538934 . Registered
on 2 March 2012.
PMID- 29357922
TI - Implementation of health promotion programmes in schools: an approach to
understand the influence of contextual factors on the process?
AB - BACKGROUND: Implementing complex and multi-level public health programmes is
challenging in school settings. Discrepancies between expected and actual
programme outcomes are often reported. Such discrepancies are due to complex
interactions between contextual factors. Contextual factors relate to the
setting, the community, in which implementation occurs, the stakeholders
involved, and the characteristics of the programme itself. This work uses realist
evaluation to understand how contextual factors influence the implementation
process, to result in variable programme outcomes. This study focuses on
identifying contextual factors, pinpointing combinations of contextual factors,
and understanding interactions and effects of such factors and combinations on
programme outcomes on different levels of the implementation process. METHODS:
Schools which had participated in a school-based health promotion programme
between 2012 and 2015 were included. Two sets of qualitative data were collected:
semi-structured interviews with school staff and programme coordinators; and
written documents about the actions implemented in a selection of four schools.
Quantitative data included 1553 questionnaires targeting pupils aged 8 to 11 in
14 schools to describe the different school contexts. RESULTS: The comparison
between what was expected from the programme (programme theory) and the outcomes
identified in the field data, showed that some of the mechanisms expected to
support the implementation of the programme, did not operate as anticipated (e.g.
inclusion of training, initiation by decision-maker). Key factors which
influenced the implementation process included, amongst other factors, the mode
of introduction of the programme, home/school relationship, leadership of the
management team, and the level of delegated power. Five types of interactions
between contextual factors were put forward: enabling, hindering, neutral,
counterbalancing and moderating effects. Recurrent combinations of factors were
identified. Implementation was more challenging in vulnerable schools where
school climate was poor. CONCLUSION: A single programme cannot be suited or
introduced in the same manner in every context. However, key recurrent
combinations of contextual factors could contribute to the design of
implementation patterns, which could provide guidelines and recommendation for
grass-root programme implementation.
PMID- 29357923
TI - Interleukin-6/interleukin-6 receptor complex promotes osteogenic differentiation
of bone marrow-derived mesenchymal stem cells.
AB - BACKGROUND: Interleukin-6 (IL-6) with IL-6 receptor (IL-6R) play an important
role in the tissue regeneration in vivo, especially bone metabolism. Bone marrow
derived mesenchymal stem cells (BM-MSCs) are multipotent stromal cells, which are
main origin of osteoblasts. However, the roles of IL-6 and IL-6R in the
osteogenic differentiation of BM-MSCs are still unclear. METHODS: The expression
of IL-6 and IL-6R was detected in BM-MSCs during osteogenic differentiation. The
activation of the STAT3 pathway was assessed and its role in the osteogenic
differentiation of BM-MSCs was determined using the specific inhibitor AG490.
Exogenous IL-6/soluble IL-6R or antibodies against IL-6/IL-6R were used to
confirm the mechanism by which the IL-6/IL-6R complex promotes the osteogenic
differentiation. RESULTS: The levels of IL-6 and IL-6R, especially the level of
membranous IL-6R but not that of soluble IL-6R, increased during osteogenic
differentiation in BM-MSCs. The levels of IL-6 and IL-6R were positively
correlated with the osteogenic potential of BM-MSCs. The STAT3 signaling pathway
was activated during the osteogenic differentiation of BM-MSCs. AG490 markedly
inhibited the activation of the STAT3 pathway and, subsequently, the osteogenic
differentiation potential of BM-MSCs. Additionally, exogenous IL-6 and soluble IL
6R accelerated the osteogenic differentiation of BM-MSCs. In contrast, antibodies
against IL-6 or IL-6R suppressed the osteogenic differentiation of BM-MSCs.
Moreover, IL-6 and IL-6R were found to stimulate each other's expression in BM
MSCs. CONCLUSIONS: IL-6 and IL-6R levels increase during the osteogenic
differentiation of BM-MSCs. These two molecules form a complex to activate the
downstream STAT3 signaling pathway, which promotes osteogenic differentiation in
BM-MSCs via an autocrine/paracrine feedback loop.
PMID- 29357925
TI - Intra-amniotic inflammation and child neurodevelopment: a systematic review
protocol.
AB - BACKGROUND: Intra-amniotic inflammation is associated with adverse pregnancy and
neonatal outcomes. However, the impact on child neurodevelopment remains unclear.
We aim to assess the effect of intra-amniotic inflammation on neurodevelopmental
outcomes in children. METHODS: The databases MEDLINE, Embase, CINAHL, and
Cochrane will be searched from their inception until November 2017. Randomized
trials and cohort studies in which inflammatory markers were measured in amniotic
fluid collected by amniocentesis and in which infant's neurodevelopment was
assessed will be eligible. Two reviewers will independently select eligible
studies, assess their risk of bias, and extract data. Results will be compared
and a third party will be consulted in case of disagreement. Our primary outcome
of interest is child neurodevelopment, assessed with either a validated tool or
by revision of medical records for specific diagnosis. Secondary outcomes will
include abnormal brain imaging. Relative risks will be pooled and sensitivity
analyses will be performed for the indication of amniocentesis, gestational age
at amniocentesis, gestational age at delivery, and fetal sex. Risk of bias will
be assessed using the Cochrane Collaboration's tool for assessing the risk of
bias in randomized trials or an adapted version of the ROBINS-1 for the risk of
bias in non-randomized studies. DISCUSSION: This systematic review will report
the current evidence regarding the association between amniotic inflammation and
child neurodevelopment, and the modifiers of this association. The review will
generate new hypotheses on pathological pathways and will guide future research.
SYSTEMATIC REVIEW REGISTRATION: PROSPERO 2017 65065.
PMID- 29357924
TI - An automated A-value measurement tool for accurate cochlear duct length
estimation.
AB - BACKGROUND: There has been renewed interest in the cochlear duct length (CDL) for
preoperative cochlear implant electrode selection and postoperative generation of
patient-specific frequency maps. The CDL can be estimated by measuring the A
value, which is defined as the length between the round window and the furthest
point on the basal turn. Unfortunately, there is significant intra- and inter
observer variability when these measurements are made clinically. The objective
of this study was to develop an automated A-value measurement algorithm to
improve accuracy and eliminate observer variability. METHOD: Clinical and micro
CT images of 20 cadaveric cochleae specimens were acquired. The micro-CT of one
sample was chosen as the atlas, and A-value fiducials were placed onto that
image. Image registration (rigid affine and non-rigid B-spline) was applied
between the atlas and the 19 remaining clinical CT images. The registration
transform was applied to the A-value fiducials, and the A-value was then
automatically calculated for each specimen. High resolution micro-CT images of
the same 19 specimens were used to measure the gold standard A-values for
comparison against the manual and automated methods. RESULTS: The registration
algorithm had excellent qualitative overlap between the atlas and target images.
The automated method eliminated the observer variability and the systematic
underestimation by experts. Manual measurement of the A-value on clinical CT had
a mean error of 9.5 +/- 4.3% compared to micro-CT, and this improved to an error
of 2.7 +/- 2.1% using the automated algorithm. Both the automated and manual
methods correlated significantly with the gold standard micro-CT A-values (r =
0.70, p < 0.01 and r = 0.69, p < 0.01, respectively). CONCLUSION: An automated A
value measurement tool using atlas-based registration methods was successfully
developed and validated. The automated method eliminated the observer variability
and improved accuracy as compared to manual measurements by experts. This open
source tool has the potential to benefit cochlear implant recipients in the
future.
PMID- 29357926
TI - Psychotropic medication non-adherence and associated factors among adult patients
with major psychiatric disorders: a protocol for a systematic review.
AB - BACKGROUND: Evidence from the global burden of diseases show that psychiatric
disorders are a growing public health concern. Maintaining adherence to
medication is the most essential, but challenging course in the pharmacological
treatment modality for major psychiatric disorders. Nevertheless, there is a
paucity of abridged evidence on the level of psychotropic medication non
adherence and associated factors. Therefore, we aim to systematically summarize
existing primary studies finding to estimate the level and identify associated
factors of psychotropic medication non-adherence among adult patients with major
psychiatric disorders. METHODS: We will search studies using computerized search
engines, main electronic databases and other relevant sources. PubMed (Medline),
EMBASE, CINAHL, PsycINFO, Web of Science, WHO Global Health Library, and direct
Google search will be searched to retrieve studies written in English language
before December 2017. Observational studies (cross-sectional, case-control,
cohort or longitudinal, survey and surveillance reports) on major psychiatric
disorders (schizophrenia, major depressive and bipolar disorders) among adult
patients will be eligible. Data will be extracted independently by two authors.
Data synthesis and statistical analysis will be carried out. Pooled estimate will
be done to quantify the level of psychotropic medication non-adherence using
Comprehensive Meta-Analysis software. DISCUSSION: Psychiatric disorders remain a
public health, social and economic concern worldwide. Management of major
psychiatric disorders is highly affected by medication non-adherence. Thus,
undertaking an integrated and multifaceted approach is necessary to reduce the
burden of medication non-adherence, and enhance the quality of patients' life.
Evidence is required to design appropriate intervention to prevent psychotropic
medication non-adherence. PROTOCOL REGISTRATION: PROSPERO: 2017: CRD42017067436 .
PMID- 29357927
TI - Targeted reversion of induced pluripotent stem cells from patients with human
cleidocranial dysplasia improves bone regeneration in a rat calvarial bone defect
model.
AB - BACKGROUND: Runt-related transcription factor 2 (RUNX2) haploinsufficiency causes
cleidocranial dysplasia (CCD) which is characterized by supernumerary teeth,
short stature, clavicular dysplasia, and osteoporosis. At present, as a
therapeutic strategy for osteoporosis, mesenchymal stem cell (MSC)
transplantation therapy is performed in addition to drug therapy. However, MSC
based therapy for osteoporosis in CCD patients is difficult due to a reduction in
the ability of MSCs to differentiate into osteoblasts resulting from impaired
RUNX2 function. Here, we investigated whether induced pluripotent stem cells
(iPSCs) properly differentiate into osteoblasts after repairing the RUNX2
mutation in iPSCs derived from CCD patients to establish normal iPSCs, and
whether engraftment of osteoblasts derived from properly reverted iPSCs results
in better regeneration in immunodeficient rat calvarial bone defect models.
METHODS: Two cases of CCD patient-derived induced pluripotent stem cells (CCD
iPSCs) were generated using retroviral vectors (OCT3/4, SOX2, KLF4, and c-MYC) or
a Sendai virus SeVdp vector (KOSM302L). Reverted iPSCs were established using
programmable nucleases, clustered regularly interspaced short palindromic repeats
(CRISPR)/Cas-derived RNA-guided endonucleases, to correct mutations in CCD-iPSCs.
The mRNA expressions of osteoblast-specific markers were analyzed using
quantitative reverse-transcriptase polymerase chain reaction. iPSCs-derived
osteoblasts were transplanted into rat calvarial bone defects, and bone
regeneration was evaluated using microcomputed tomography analysis and
histological analysis. RESULTS: Mutation analysis showed that both contained
nonsense mutations: one at the very beginning of exon 1 and the other at the
initial position of the nuclear matrix-targeting signal. The osteoblasts derived
from CCD-iPSCs (CCD-OBs) expressed low levels of several osteoblast
differentiation markers, and transplantation of these osteoblasts into calvarial
bone defects created in rats with severe combined immunodeficiency showed poor
regeneration. However, reverted iPSCs improved the abnormal osteoblast
differentiation which resulted in much better engraftment into the rat calvarial
bone defect. CONCLUSIONS: Taken together, these results demonstrate that patient
specific iPSC technology can not only provide a useful disease model to elucidate
the role of RUNX2 in osteoblastic differentiation but also raises the tantalizing
prospect that reverted iPSCs might provide a practical medical treatment for CCD.
PMID- 29357928
TI - Evaluation of ex vivo produced endothelial progenitor cells for autologous
transplantation in primates.
AB - BACKGROUND: Autologous transplantation of endothelial progenitor cells (EPCs) is
a promising therapeutic approach in the treatment of various vascular diseases.
We previously reported a two-step culture system for scalable generation of human
EPCs derived from cord blood CD34+ cells ex vivo. Here, we now apply this culture
system to expand and differentiate human and nonhuman primate EPCs from mobilized
peripheral blood (PB) CD34+ cells for the therapeutic potential of autologous
transplantation. METHODS: The human and nonhuman primate EPCs from mobilized PB
CD34+ cells were cultured according to our previously reported system. The
generated adherent cells were then characterized by the morphology, surface
markers, nitric oxide (NO)/endothelial NO synthase (eNOS) levels and Dil
acetylated low-density lipoprotein (Dil-Ac-LDL) uptake/fluorescein isothiocyanate
(FITC)-lectin binding actives. Furthermore, the efficacy and safety studies were
performed by autologous transplantation via hepatic portal vein injection in a
nonhuman primate model with acute liver sinusoidal endothelial cell injury.
RESULTS: The mobilized PB CD34+ cells from both human and nonhuman primate were
efficiently expanded and differentiated. Over 2 * 108 adherent cells were
generated from 20 mL mobilized primate PB (1.51 * 106 +/- 3.39 * 105 CD34+ cells)
by 36-day culture and more than 80% of the produced cells were identified as
EPCs/endothelial cells (ECs). In the autologous transplant model, the injected
EPC/ECs from nonhuman primate PB were scattered in the intercellular spaces of
hepatocytes at the hepatic tissues 14 days post-transplantation, indicating
successful migration and reconstitution in the liver structure as the functional
EPCs/ECs. CONCLUSIONS: We successfully applied our previous two-step culture
system for the generation of primate EPCs from mobilized PB CD34+ cells,
evaluated the phenotypes ex vivo, and transplanted autologous EPCs/ECs in a
nonhuman primate model. Our study indicates that it may be possible for these ex
vivo high-efficient expanded EPCs to be used in clinical cell therapy.
PMID- 29357930
TI - What are the barriers and facilitators for third sector organisations (non
profits) to evaluate their services? A systematic review.
AB - BACKGROUND: The third sector is becoming a more common provider of social and
health services, but little is known about how third sector organisations (TSOs)
evaluate their activities. Past research has reported that the third sector is
under increasing pressure to evaluate its impact and performance by government
and other commissioning bodies. However, in responding to this increased pressure
to undertake evaluation, research suggests that many TSOs struggle to evaluate
their activities following the principles of evidence-based practice (EBP). Yet,
there has been no systematic effort to investigate why the third sector is
struggling to provide good quality evidence of its effects. METHODS: This
systematic review is reported following the PRISMA guidelines. Ten
interdisciplinary databases were searched using a search string developed
following best practice and in consultation with an information systems expert.
Included studies were primary research of any research design investigating
barriers to and facilitators of the evaluation process of TSOs as identified by
practitioners. All studies were quality appraised, and the results were
synthesised as a thematic summary. RESULTS: Twenty-four studies were included,
which mainly investigated TSOs working within health and social services. The
thematic summary identified the main barriers for TSOs to undertake evaluation to
be related to the (1) lack of financial resources, (2) lack of technical
capability and evaluation literacy and (3) challenges around identifying relevant
evaluation systems and outcome indicators. Key facilitating factors involved (1)
getting the appropriate support, (2) having an organisational culture that
supports evaluation and (3) the motivation to be accountable to stakeholders.
These findings were robust to study quality. CONCLUSIONS: This review constitutes
the first systematic effort to synthesise existing literature on factors
supporting and preventing evaluation by TSOs. The prevalence of factors revolving
around the lack of support, resources and clarity on appropriate outcome
indicators suggests that many of the identified challenges may be met by applying
evidence-based and stakeholder-inclusive strategies to develop shared evaluation
requirements. Future efforts should address the application of EBP as part of the
commissioning process of TSOs.
PMID- 29357929
TI - Addition of platinum derivatives to neoadjuvant single-agent fluoropyrimidine
chemoradiotherapy in patients with stage II/III rectal cancer: protocol for a
systematic review and meta-analysis (PROSPERO CRD42017073064).
AB - BACKGROUND: Neoadjuvant (chemo-)radiation has proven to improve local control
compared to surgery alone, but this improvement did not translate into better
overall or disease-specific survival. The addition of oxaliplatin to
fluoropyrimidine-based neoadjuvant chemoradiotherapy holds the potential of
positively affecting survival in this context since it has been proven effective
in the palliative and adjuvant setting of colorectal cancer. Thus, the objective
of this systematic review is to assess the efficacy, safety, and quality of life
resulting from adding a platinum derivative to neoadjuvant single-agent
fluoropyrimidine-based chemoradiotherapy in patients with Union for International
Cancer Control stage II and III rectal cancer. METHODS: MEDLINE, Web of Science,
and Cochrane Central Register of Controlled Trials will be systematically
searched to identify all randomized controlled trials comparing single-agent
fluoropyrimidine-based chemoradiotherapy to combined neoadjuvant therapy
including a platinum derivative. Predefined data on trial design, quality,
patient characteristics, and endpoints will be extracted. Quality of included
trials will be assessed according to the Cochrane Risk of Bias Tool, and the
GRADE recommendations will be applied to judge the quality of the resulting
evidence. The main outcome parameter will be survival, but also treatment
toxicity, perioperative morbidity, and quality of life will be assessed.
DISCUSSION: The findings of this systematic review and meta-analysis will provide
novel insights into the efficacy and safety of combined neoadjuvant
chemoradiotherapy including a platinum derivative and may form a basis for future
clinical decision-making, guideline evaluation, and research prioritization.
SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42017073064.
PMID- 29357931
TI - Physical activity and the prevention, reduction, and treatment of alcohol and/or
substance use across the lifespan (The PHASE review): protocol for a systematic
review.
AB - BACKGROUND: Alcohol and substance use results in significant human and economic
cost globally and is associated with economic costs of L21 billion and L15billion
within the UK, respectively, and trends for use are not improving.
Pharmacological interventions are well researched, but relapse rates across
interventions for substance and alcohol use disorders are as high as 60-90%.
Physical activity may offer an alternative or adjunct approach to reducing rates
of alcohol and substance use that is associated with few adverse side effects, is
easily accessible, and is potentially cost-effective. Through psychological,
behavioural, and physiological mechanisms, physical activity may offer benefits
in the prevention, reduction, and treatment of alcohol and substance use across
the lifespan. Whilst physical activity is widely advocated as offering benefit,
no systematic review exists of physical activity (in all forms) and its effects
on all levels of alcohol and substance use across all ages to help inform
policymakers, service providers, and commissioners. METHODS: The objectives of
this mixed methods systematic review are to describe and evaluate the
quantitative and qualitative research obtained by a diverse search strategy on
the impact of physical activity and its potential to: 1. Reduce the risk of
progression to alcohol and/or substance use (PREVENTION) 2. Support individuals
to reduce alcohol and/or substance use for harm reduction (REDUCTION), and 3.
Promote abstinence and relapse prevention during and after treatment for an
alcohol and/or substance use disorder (TREATMENT). With the input of key
stakeholders, we aim to assess how what we know can be translated into policy and
practice. Quantitative, qualitative, service evaluations, and economic analyses
will be brought together in a final narrative synthesis that will describe the
potential benefits of physical activity for whom, in what conditions, and in what
form. DISCUSSION: This review will provide details of what is known about
physical activity and the prevention, reduction, and treatment of alcohol and/or
substance use. The synthesised findings will be disseminated to policymakers,
service providers, and commissioners in the UK. SYSTEMATIC REVIEW REGISTRATION:
PROSPERO number: CRD42017079322 .
PMID- 29357933
TI - Metabolic flux analysis and the NAD(P)H/NAD(P)+ ratios in chemostat cultures of
Azotobacter vinelandii.
AB - BACKGROUND: Azotobacter vinelandii is a bacterium that produces alginate and
polyhydroxybutyrate (P3HB); however, the role of NAD(P)H/NAD(P)+ ratios on the
metabolic fluxes through biosynthesis pathways of these biopolymers remains
unknown. The aim of this study was to evaluate the NAD(P)H/NAD(P) + ratios and
the metabolic fluxes involved in alginate and P3HB biosynthesis, under oxygen
limiting and non-limiting oxygen conditions. RESULTS: The results reveal that
changes in the oxygen availability have an important effect on the metabolic
fluxes and intracellular NADPH/NADP+ ratio, showing that at the lowest OTR (2.4
mmol L-1 h-1), the flux through the tricarboxylic acid (TCA) cycle decreased 27.6
fold, but the flux through the P3HB biosynthesis increased 6.6-fold in contrast
to the cultures without oxygen limitation (OTR = 14.6 mmol L-1 h-1). This was
consistent with the increase in the level of transcription of phbB and the P3HB
biosynthesis. In addition, under conditions without oxygen limitation, there was
an increase in the carbon uptake rate (twofold), as well as in the flux through
the pentose phosphate (PP) pathway (4.8-fold), compared to the condition of 2.4
mmol L-1 h-1. At the highest OTR condition, a decrease in the NADPH/NADP+ ratio
of threefold was observed, probably as a response to the high respiration rate
induced by the respiratory protection of the nitrogenase under diazotrophic
conditions, correlating with a high expression of the uncoupled respiratory chain
genes (ndhII and cydA) and induction of the expression of the genes encoding the
nitrogenase complex (nifH). CONCLUSIONS: We have demonstrated that changes in
oxygen availability affect the internal redox state of the cell and carbon
metabolic fluxes. This also has a strong impact on the TCA cycle and PP pathway
as well as on alginate and P3HB biosynthetic fluxes.
PMID- 29357932
TI - Does hyperthyroidism worsen prognosis of thyroid carcinoma? A retrospective
analysis on 2820 consecutive thyroidectomies.
AB - BACKGROUND: Hyperthyroidism is associated with high incidence of thyroid
carcinoma; furthermore, tumors arisen in hyperthyroid tissue show an aggressive
behavior. Thyroid Stimulating Hormone (TSH) and Thyroid-stimulating antibodies,
present in Graves's disease, seem to play a key role in carcinogenesis and
tumoral growth. METHODS: We retrospectively reviewed our series of patients who
underwent thyroidectomy for thyroid carcinoma. We compared pathological features
and surgical outcomes of hyperthyroid versus euthyroid patients. RESULTS: From
2007 to 2015, 909 thyroidectomies were performed at our institution for thyroid
cancer: 87 patients were hyperthyroid and 822 euthyroid. We observed, in
hyperthyroid patients, a higher rate of transient hypoparathyroidism (28.1% vs
13.2%; p < 0.01) and of node metastases (12.6% vs 6.1%; p = 0.03); also local
recurrence rate was higher (5.7% vs 2.5%) even if not statistically significant
(p = 0.17). Five-year disease free survival rate was significant lower in the
same group (89.1% vs 96.6%; p = 0.03). CONCLUSION: Thyroid cancers in
hyperthyroid patients have an aggressive behavior, with high incidence of local
invasion and a worse prognosis than euthyroid patients. All hyperthyroid patients
should undergo a careful evaluation with ultrasound and scintigraphy; in case of
suspicious nodules, an aggressive approach, including thyroidectomy and
lymphectomy, is justified. In patients with toxic adenoma, thyroid cancer is
uncommon, thus a loboisthmectomy can be safely performed. TRIAL REGISTRATION
NUMBER: Research registry n. 2670 registered 19 June 2017 (retrospectively
registered).
PMID- 29357934
TI - The importance of genotype-phenotype correlation in the clinical management of
Marfan syndrome.
AB - BACKGROUND: Marfan syndrome (MFS) is a disorder of autosomal dominant
inheritance, in which aortic root dilation is the main cause of morbidity and
mortality. Fibrillin-1 (FBN-1) gene mutations are found in more than 90% of MFS
cases. The aim of our study was to summarise variants in FBN-1 and establish the
genotype-phenotype correlation, with particular interest in the onset of aortic
events, in a broad population of patients with an initial clinical suspicion of
MFS. MATERIAL AND METHODS: This single centre prospective cohort study included
all patients presenting variants in the FBN-1 gene who visited a Hereditary
Aortopathy clinic between September 2010 and October 2016. RESULTS: The study
included 90 patients with FBN-1 variants corresponding to 58 non-interrelated
families. Of the 57 FBN-1 variants found, 25 (43.9%) had previously been
described, 23 of which had been identified as associated with MFS, while the the
remainder are described for the first time. For 84 patients (93.3%), it was
possible to give a definite diagnosis of Marfan syndrome in accordance with Ghent
criteria. 44 of them had missense mutations, 6 of whom had suffered an aortic
event (with either prophylactic surgery for aneurysm or dissection), whereas 20
of the 35 patients with truncating mutations had suffered an event (13.6% vs.
57.1%, p < 0.001). These events tended to occur at earlier ages in patients with
truncating compared to those with missense mutations, although not significantly
(41.33 +/- 3.77 vs. 37.5 +/- 9.62 years, p = 0.162). CONCLUSIONS: Patients with
MFS and truncating variants in FBN-1 presented a higher proportion of aortic
events, compared to a more benign course in patients with missense mutations.
Genetic findings could, therefore, have importance not only in the diagnosis, but
also in risk stratification and clinical management of patients with suspected
MFS.
PMID- 29357935
TI - Temporary carriage of bovine coronavirus and bovine respiratory syncytial virus
by fomites and human nasal mucosa after exposure to infected calves.
AB - BACKGROUND: In order to prevent spread of the endemic pathogens bovine
coronavirus (BCoV) and bovine respiratory syncytial virus (BRSV) between herds,
knowledge of indirect transmission by personnel and fomites is fundamental. The
aims of the study were to determine the duration of viral RNA carriage and the
infectivity of viral particles on fomites and human nasal mucosa after exposure
to BCoV and BRSV. During two animal infection experiments, swabs were collected
from personnel (nasal mucosa) and their clothes, boots and equipment after
contact with calves shedding either virus. Viral RNA was quantified by RT-qPCR or
droplet digital RT-PCR (RT-ddPCR), and selected samples with high levels of viral
RNA were tested by cell culture for infectivity. RESULTS: For BCoV, 46% (n = 80)
of the swabs from human nasal mucosa collected 30 min after exposure were
positive by RT-qPCR. After two, four and six hours, 15%, 5% and 0% of the swabs
were positive, respectively. Infective virions were not detected in mucosal swabs
(n = 2). A high viral RNA load was detected on 97% (n = 44) of the fomites 24 h
after exposure, and infective virions were detected in two of three swabs. For
BRSV, 35% (n = 26) of the human nasal mucosa swabs collected 30 min after
exposure, were positive by RT-ddPCR, but none were positive for infective
virions. Of the fomites, 89% (n = 38) were positive for BRSV RNA 24 h after
exposure, but all were negative for infective viruses. CONCLUSIONS: The results
indicate that human nasal mucosa can carry both BCoV and BRSV RNA after exposure
to virus shedding calves, but the carriage seems short-lived and the transmission
potential is likely limited. High viral loads on contaminates fomites 24 h after
exposure to infected animals, and detection of infective BCoV, indicate that
contaminated fomites represent a significant risk for indirect transmission
between herds.
PMID- 29357936
TI - The effect of metabolic stress on genome stability of a synthetic biology chassis
Escherichia coli K12 strain.
AB - BACKGROUND: Synthetic organism-based biotechnologies are increasingly being
proposed for environmental applications, such as in situ sensing. Typically, the
novel function of these organisms is delivered by compiling genetic fragments in
the genome of a chassis organism. To behave predictably, these chassis are
designed with reduced genomes that minimize biological complexity. However, in
these proposed applications it is expected that even when contained within a
device, organisms will be exposed to fluctuating, often stressful, conditions and
it is not clear whether their genomes will retain stability. RESULTS: Here we
employed a chemostat design which enabled us to maintained two strains of E. coli
K12 under sustained starvation stress: first the reduced genome synthetic biology
chassis MDS42 and then, the control parent strain MG1655. We estimated mutation
rates and utilised them as indicators of an increase in genome instability. We
show that within 24 h the spontaneous mutation rate had increased similarly in
both strains, destabilizing the genomes. High rates were maintained for the
duration of the experiment. Growth rates of a cohort of randomly sampled mutants
from both strains were utilized as a proxy for emerging phenotypic, and by
association genetic variation. Mutant growth rates were consistently less than
rates in non-mutants, an indicator of reduced fitness and the presence of mildly
deleterious mutations in both the strains. In addition, the effect of these
mutations on the populations as a whole varied by strain. CONCLUSIONS: Overall,
this study shows that genome reductions in the MDS42 did not stabilize the
chassis under metabolic stress. Over time, this could compromise the
effectiveness of synthetic organisms built on chassis in environmental
applications.
PMID- 29357937
TI - Surgical strategies protecting against right ventricular dilatation following
tetralogy of Fallot repair.
AB - BACKGROUND: Right ventricular (RV) volume overload increases morbidity and
mortality after tetralogy of Fallot (TOF) repair. Surgical strategies like
pulmonary leaflets sparing and tricuspid valve repair at time of primary repair
may decrease RV overload. Our objective is to evaluate early and midterm results
of pulmonary leaflets sparing with infundibular preservation and tricuspid valve
repair in selected TOF patients with moderate pulmonary annular hypoplasia.
METHODS: From 2011 to 2016; 46 patients with TOF and moderate pulmonary annular
hypoplasia had surgical repair with sparing of the pulmonary valve leaflets.
Concomitant tricuspid valve repair was performed in 33 patients (71.8%). Mean age
was 13.1 +/- 4.8 months, 68% were males (n = 31) and mean weight was 9.5 +/- 2.3
kg. Preoperative McGoon ratio was 1.9 +/- 0.4 and pulmonary valve z-score ranges
from - 2 to - 3. Preoperative pressure gradient of RVOT was 80.9 +/- 7.7 mmHg and
10.9% had minor coronary anomalies (n = 5). RESULTS: All repairs were performed
through trans-atrial trans-pulmonary approach. 87% had pulmonary valve
commissurotomy (n = 40). Mean cardiopulmonary bypass time was 71 +/- 6.3 min and
ischemic time 42.4 +/- 4.9 min. Hospital mortality occurred in 4.3% (n = 2). Mean
RVOT pressure gradient decreased significantly postoperatively (28.8 +/- 7.2
mmHg, p-value< .001) and at the last follow up (23.6 +/- 1.8 mmHg, p-value<
.001). Pulmonary regurgitation progressed by one grade in 2 patients compared to
the postoperative grade. 1 patient (2.5%) had late mortality and reintervention
was required in 5 patients (12.5%). CONCLUSION: Pulmonary leaflets sparing, and
tricuspid valve repair are safe for TOF repair with no added morbidity or
mortality. These procedures could contribute to reducing right ventricular volume
overload over time after TOF repair.
PMID- 29357938
TI - Integration analysis of microRNA and mRNA paired expression profiling identifies
deregulated microRNA-transcription factor-gene regulatory networks in ovarian
endometriosis.
AB - BACKGROUND: The etiology and pathophysiology of endometriosis remain unclear.
Accumulating evidence suggests that aberrant microRNA (miRNA) and transcription
factor (TF) expression may be involved in the pathogenesis and development of
endometriosis. This study therefore aims to survey the key miRNAs, TFs and genes
and further understand the mechanism of endometriosis. METHODS: Paired expression
profiling of miRNA and mRNA in ectopic endometria compared with eutopic
endometria were determined by high-throughput sequencing techniques in eight
patients with ovarian endometriosis. Binary interactions and circuits among the
miRNAs, TFs, and corresponding genes were identified by the Pearson correlation
coefficients. miRNA-TF-gene regulatory networks were constructed using
bioinformatic methods. Eleven selected miRNAs and TFs were validated by
quantitative reverse transcription-polymerase chain reaction in 22 patients.
RESULTS: Overall, 107 differentially expressed miRNAs and 6112 differentially
expressed mRNAs were identified by comparing the sequencing of the ectopic
endometrium group and the eutopic endometrium group. The miRNA-TF-gene regulatory
network consists of 22 miRNAs, 12 TFs and 430 corresponding genes. Specifically,
some key regulators from the miR-449 and miR-34b/c cluster, miR-200 family, miR
106a-363 cluster, miR-182/183, FOX family, GATA family, and E2F family as well as
CEBPA, SOX9 and HNF4A were suggested to play vital regulatory roles in the
pathogenesis of endometriosis. CONCLUSION: Integration analysis of the miRNA and
mRNA expression profiles presents a unique insight into the regulatory network of
this enigmatic disorder and possibly provides clues regarding replacement therapy
for endometriosis.
PMID- 29357939
TI - Inherited thrombotic thrombocytopenic purpura mimicking immune thrombocytopenic
purpura during pregnancy: a case report.
AB - BACKGROUND: Thrombotic thrombocytopenic purpura is a very rare hereditary blood
deficiency disorder of ADAMTS13 (von Willebrand factor-cleaving protease) and a
life-threatening thrombotic microangiopathy characterized by thrombocytopenia and
microangiopathic hemolytic anemia. The deficiency in ADAMTS13 metalloprotease,
which cleaves the von Willebrand factor, may be congenital or acquired. The
congenital form is caused by inherited mutations in the ADAMTS13 gene. The
diagnosis is challenging due to the nonspecific signs and symptoms, as well as
the rarity of the disease. CASE PRESENTATION: We present an unusual case of a 20
year-old feoderm woman from northeast region of Brazil who manifested
thrombocytopenia during her pregnancy which was believed to be immune
thrombocytopenic purpura. CONCLUSIONS: Considering the importance of a
differential diagnosis of thrombotic microangiopathic disorders, congenital
thrombotic thrombocytopenic purpura may mimic the signs and symptoms of pre
eclampsia/eclampsia, hemolysis with elevated liver enzymes and low platelet count
syndrome, and atypical hemolytic-uremic syndrome. It should be considered in
suspect cases in patients with an ADAMTS13 activity at 5% without ADAMTS13
antibodies.
PMID- 29357940
TI - Children's experiences and responses towards an intervention for psychological
preparation for radiotherapy.
AB - BACKGROUND: Children can experience distress when undergoing radiotherapy as a
reaction to being scared of and unfamiliar with the procedure. The aim was to
evaluate children's experiences and responses towards an intervention for
psychological preparation for radiotherapy. METHODS: A case control design with
qualitative content analysis of semi-structured interviews and statistical
analysis of anxiety ratings were used for evaluating a strategy for psychological
preparation and distraction. Fifty-seven children aged 2 to 18 years and their
parents participated - 30 children in the baseline group and 27 in the
intervention group. Child interviews were performed and the child and their
parents rated the child's anxiety. RESULTS: The intervention was most appropriate
for the younger children, who enjoyed the digital story, the stuffed animal and
training with their parents. There were some technical problems and the digital
story was not detailed enough to fit exactly with various cancer diagnoses.
Children described suggestions for improvement of the intervention. The ratings
of the child's anxiety during radiation treatment showed no differences between
the baseline group and the intervention group. CONCLUSIONS: The children of all
the age groups experienced their interventions as positive. The strength of the
intervention was that it encouraged interaction within the family and provided an
opportunity for siblings and peers to take part in what the child was going
through. Future research on children's experiences to interventions should be
encouraged. The intervention and the technical solutions could improve by further
development. TRIAL REGISTRATION: The study design was structured as an un-matched
case-control study, baseline group vs. intervention group. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02993978 , Protocol Record 2012-113-31 M. Retrospectively
registered - 21 November 2016.
PMID- 29357941
TI - Primary hemophagocytic lymphohistiocytosis in adults: the utility of family
surveys in a single-center study from China.
AB - BACKGROUND: This study investigated the clinical characteristics of primary
hemophagocytic lymphohistiocytosis (HLH) in adults, including immunological
markers, pedigree findings, and conditions of allogeneic hematopoietic stem cell
transplantation (Allo-HSCT). METHODS: The study included clinical data of 18
adult patients with primary HLH treated in our center from June 2010 to January
2017. RESULTS: Of these 18 cases, pathogenic variants were found in the following
genes: PRF1 (n = 11), UNC13D (n = 5), SH2D1A (n = 2), RAB27a (n = 1), and LYST (n
= 2). One patient had pathogenic variants in both PRF1 and UNC13D genes, one
patient had pathogenic variants in both LYST and UNC13D genes and another patient
had pathogenic variants in both PRF1 and SH2D1A genes. Additionally, 3 of the 18
cases involved homozygous pathogenic variants, while 2 cases involved hemizygous
pathogenic variants. The remaining 13 cases involved compound heterozygous
pathogenic variants. The natural killer (NK) cell activity test was conducted in
all 18 cases where 14(77.8%)patients showed reduction in NK cell activity.
Furthermore, this article presents 3 representative results of the pedigree
findings from 12 patients who underwent family surveys. The 8 patients who
underwent Allo-HSCT had a median survival of 27.2 months, as compared with the
median survival of 7 months for the10 patients who did not undergo Allo-HSCT, a
significant difference between the two groups of patients (p = 0.006).
CONCLUSION: PRF1 was one of the most commonly mutated gene in adult patients with
primary HLH. Family surveys and immunological markers were important for the HLH
diagnosis and the selection of an appropriate donor. Allo-HSCT was an effective
therapy for adult primary HLH.
PMID- 29357942
TI - Contrasting development of lysigenous aerenchyma in two rice genotypes under
phosphorus deficiency.
AB - OBJECTIVES: Phosphorus (P) deficiency is a major limitation to plant growth.
Under several abiotic stresses, including P deficiency, upland cereal crops, such
as maize, are well known to develop lysigenous aerenchyma, a root tissue
containing gas spaces. Contrary to upland species, rice develops aerenchyma
constitutively. Nevertheless, aerenchyma in rice is also enhanced by several
abiotic stresses, including P deficiency. However, studies are limited and
genotypic differences are not clear. RESULTS: The formation of inducible
aerenchyma in response to P deficiency was evaluated in two rice genotypes, DJ123
and Nerica4. Whole root porosity increased for both genotypes in low P
conditions, but was more pronounced in DJ123. Direct aerenchyma measurements, at
20 and 30 mm from the seminal root tip, revealed that aerenchyma in low P
conditions was only enhanced in DJ123. These results confirm that P deficiency in
rice induces the formation of aerenchyma, and further show that genotypic
differences exist. Interestingly, DJ123 is considered tolerant to P deficiency,
whereas Nerica4 is sensitive, pointing towards a potential role of aerenchyma in
tolerance to P deficiency.
PMID- 29357943
TI - MOVING: Motivation-Oriented interVention study for the elderly IN Greifswald:
study protocol for a randomized controlled trial.
AB - BACKGROUND: Cardiovascular diseases (CVD) are the leading cause of mortality. In
2014, they were responsible for 38.9% of all causes of death in Germany. One
major risk factor for CVD is a lack of physical activity (PA). A health-promoting
lifestyle including regular PA and minimizing sitting time (ST) in daily life is
a central preventive measure. Previous studies have shown that PA decreases in
older age; 2.4-29% of the people aged over 60 years achieve the World Health
Organization recommendations. This age group spends on average 9.4 h per day in
sedentary activities. To increase PA and decrease ST, a low-threshold
intervention, consisting of individualized feedback letters based on objectively
measured data of PA and ST, was developed. The research question is: Do
individual feedback letters, based on accelerometer data, have a positive effect
on PA and ST? METHODS/DESIGN: MOVING is a two-arm, randomized controlled trial.
Inclusion criteria are age >= 65 years and the ability to be physically active.
Exclusion criteria are the permanent use of a wheelchair and simultaneous
participation in another study on PA. At baseline participants who give informed
consent will receive general information and recommendations about the positive
effects of regular PA and less ST. Participants of both groups will receive an
accelerometer device, which records PA and ST over a period of seven consecutive
days following by a randomization. Participants in the intervention group will
receive automatically generated, individualized feedback letters by mail based on
their PA and ST at baseline and at 3-month follow-up. Further follow-up
examinations will be carried out at 6 and 12 months. The primary outcome is the
increase of PA and the reduction of ST after 6 months in the intervention group
compared to the control group. DISCUSSION: The goal of the study is to examine
the effects of a simple feedback intervention on PA and ST in elderly people. We
aim to achieve an effect of 20% increase in moderate-to-vigorous physical
activity (MVPA). The intervention may have the potential to decrease crucial
cardiovascular risk factors and, therefore, contribute to prevention of CVD.
TRIAL REGISTRATION: German Clinical Trials Register, ID: DRKS00010410 .
Registered on 17 May 2017.
PMID- 29357944
TI - Minimum values for midluteal plasma progesterone and estradiol concentrations in
patients who achieved pregnancy with timed intercourse or intrauterine
insemination without a human menopausal gonadotropin.
AB - OBJECTIVE: The aim of the study was to assess the lower limits of midluteal
plasma progesterone and estradiol concentrations in patients who achieved
pregnancy with timed intercourse or intrauterine insemination without a human
menopausal gonadotropin stimulation. RESULTS: We included 297 pregnant cycles of
297 women and assessed midluteal plasma progesterone and estradiol concentrations
and pregnancy outcomes, retrospectively. These cycles were compared with the non
pregnant cycles (406 cycles) of the same women who became pregnant. Mean
midluteal plasma P4 and E2 concentrations were significantly (P < 0.01) higher in
pregnant cycles (14.5 and 188.5 pg/mL) than in non-pregnant cycles (10.7 and
162.6 pg/mL). The 5 percentiles of progesterone and estradiol in pregnant cycles
were 5.6 and 70.2 pg/mL, respectively. The lowest progesterone and estradiol
levels in pregnant cycles were 2.3 and 23.4 pg/mL, respectively. In non-pregnant
cycles, many women had low P4 levels that were less than 5.6 ng/mL. Subgroup
analyses showed slight differences among the four groups, which may have been due
to the ovarian function of each group. Miscarriage was not related to
progesterone and estradiol concentrations. These values may be useful for the
evaluation of necessary values for pregnancy with timed intercourse or
intrauterine insemination.
PMID- 29357945
TI - Human stem cells express pannexins.
AB - OBJECTIVE: Pannexins are channel proteins important for the release of calcium
and adenosine triphosphate, which are among other functions involved in early
development. Here, the expression of pannexins was investigated in induced
pluripotent stem cells derived from human cord blood endothelial cells (hCBiPS2),
in hematopoietic stem cell-derived induced pluripotent stem cells (HSC_F1285_T
iPS2) and in human embryonic stem cells (HES-3). The expression of pannexin
(Panx) 1-3 mRNAs was analyzed in all three undifferentiated stem cell lines. Stem
cells then underwent undirected differentiation into embryoid bodies and were
analyzed regarding expression of germ layer-specific genes. RESULTS: Panx1,
Panx2, and Panx3 mRNAs were expressed in all undifferentiated stem cell lines
investigated. In comparison, Panx1 showed the highest expression among all
pannexins. The undirected differentiation resulted in a mixed germ layer genotype
in all three stem cell lines. Whereas the expression of Panx1 was not affected by
differentiation, the expression of Panx2 was slightly increased in differentiated
hCBiPS2 cells, HSC_F1285_T-iPS2 as well as HES3 cells as compared to their
undifferentiated counterparts. A slight increase of Panx3 expression was observed
in differentiated hCBiPS2 cells only. In conclusion, pluripotent stem cells
express all three pannexin genes.
PMID- 29357946
TI - Follistatin-like protein 1 plays a tumor suppressor role in clear-cell renal cell
carcinoma.
AB - BACKGROUND: We previously showed that the expression of follistatin-like protein
1 (FSTL1) was significantly down-regulated in metastatic clear-cell renal cell
carcinoma (ccRCC). In this study, we aimed to characterize the role of FSTL1 in
the development of ccRCC. METHODS: The effects of FSTL1 on cell activity and cell
cycle were investigated in ccRCC cell lines with altered FSTL1 expression. Gene
expression microarray assays were performed to identify the major signaling
pathways affected by FSTL1 knockdown. The expression of FSTL1 in ccRCC and its
effect on postoperative prognosis were estimated in a cohort with 89 patients.
RESULTS: FSTL1 knockdown promoted anchorage-independent growth, migration,
invasion, and cell cycle of ccRCC cell lines, whereas FSTL1 overexpression
attenuated cell migration. FSTL1 knockdown up-regulated nuclear factor-kappaB (NF
kappaB) and hypoxia-inducible factor (HIF) signaling pathways, increased
epithelial-to-mesenchymal transition, up-regulated interleukin-6 expression, and
promoted tumor necrosis factor-alpha-induced degradation of NF-kappaB inhibitor
(IkappaBalpha) in ccRCC cell lines. FSTL1 immunostaining was selectively positive
in epithelial cytoplasm in the loop of Henle, and positive rate of FSTL1 was
significantly lower in ccRCC tissues than in adjacent renal tissues (P < 0.001).
The multivariate Cox regression analysis showed that the intratumoral FSTL1
expression conferred a favorable independent prognosis with a hazard ratio of
0.325 (95% confidence interval 0.118-0.894). HIF-2alpha expression was negatively
correlated with FSTL1 expression in ccRCC specimens (r = - 0.229, P = 0.044).
Intratumoral expression of HIF-2alpha, rather than HIF-1alpha, significantly
predicted an unfavorable prognosis in ccRCC (log-rank, P = 0.038). CONCLUSIONS:
FSTL1 plays a tumor suppression role possibly via repressing the NF-kappaB and
HIF-2alpha signaling pathways. To increase FSTL1 expression might be a candidate
therapeutic strategy for metastatic ccRCC.
PMID- 29357950
TI - Statement on Minimum Standards for the Care of Older People in Emergency
Departments by the Geriatric Emergency Medicine Special Interest Group of the
International Federation for Emergency Medicine.
PMID- 29357951
TI - Maternal and neonatal micronutrient levels in newborns with CHD.
AB - OBJECTIVE: It is suggested that folic acid and/or multivitamins, taken
periconceptionally, have a role in the prevention of many congenital anomalies.
The aim of this study was to determine the serum micronutrient levels in mother
infant pairs with CHD compared with those with healthy newborns and their
mothers. METHODS: Serum levels of folic acid, homocysteine, zinc, vitamin A,
vitamin D, and vitamin B12 were measured from 108 newborns with CHD (study group)
and 103 healthy newborns (control group). The mothers' micronutrient levels were
also measured simultaneously. RESULTS: When compared with healthy newborns, for
both maternal and neonatal data, homocysteine and zinc levels were higher and
vitamin D levels were lower in the study group. In multivariate analysis, only
maternal high zinc levels were associated with CHD in the newborns (p=0.02, OR:
0.9, 95% CI 0.8-0.9). The results did not change when analysed for truncal
anomalies including truncus arteriosus, tetralogy of Fallot, and d-transposition
of great arteries. There were positive correlations between maternal and neonatal
levels of micronutrients, except vitamin B12. CONCLUSION: We thought that high
homocysteine and zinc levels and low vitamin D levels in mother-infant pairs
might have a role in the aetiopathogenesis of CHD. Large-scale, prospective
studies are needed to clarify the role of micronutrients in CHDs.
PMID- 29357952
TI - Parental influences on children's food behaviours: challenges and opportunities
from studying culturally diverse samples.
PMID- 29357948
TI - Development of PD-1 and PD-L1 inhibitors as a form of cancer immunotherapy: a
comprehensive review of registration trials and future considerations.
AB - Early preclinical evidence provided the rationale for programmed cell death 1 (PD
1) and programmed death ligand 1 (PD-L1) blockade as a potential form of cancer
immunotherapy given that activation of the PD-1/PD-L1 axis putatively served as a
mechanism for tumor evasion of host tumor antigen-specific T-cell immunity. Early
phase studies investigating several humanized monoclonal IgG4 antibodies
targeting PD-1 and PD-L1 in advanced solid tumors paved way for the development
of the first PD-1 inhibitors, nivolumab and pembrolizumab, approved by the Food
and Drug Administration (FDA) in 2014. The number of FDA-approved agents of this
class is rapidly enlarging with indications for treatment spanning across a
spectrum of malignancies. The purpose of this review is to highlight the clinical
development of PD-1 and PD-L1 inhibitors in cancer therapy to date. In
particular, we focus on detailing the registration trials that have led to FDA
approved indications of anti-PD-1 and anti-PD-L1 therapies in cancer. As the
number of PD-1/PD-L1 inhibitors continues to grow, predictive biomarkers,
mechanisms of resistance, hyperprogressors, treatment duration and treatment
beyond progression, immune-related toxicities, and clinical trial design are key
concepts in need of further consideration to optimize the anticancer potential of
this class of immunotherapy.
PMID- 29357949
TI - The 150 most important questions in cancer research and clinical oncology series:
questions 86-93 : Edited by Chinese Journal of Cancer.
AB - Since the beginning of 2017, Chinese Journal of Cancer has published a series of
important questions in cancer research and clinical oncology, which spark diverse
thoughts, interesting communications, and potential collaborations among
researchers all over the world. In this article, 8 more questions are presented
as follows. Question 86. In which circumstances is good supportive care
associated with a survival advantage in patients with cancer? Question 87. Can we
develop animal models to mimic immunotherapy response of cancer patients?
Question 88. What are the mechanisms underlying hepatitis B virus-associated non
hepatocellular cancers? Question 89. Can we more precisely target tumor
metabolism by identifying individual patients who would benefit from the
treatment? Question 90. What type of cranial irradiation-based prophylactic
therapy combination can dramatically improve the survival of patients with
extensive small-cell lung cancer? Question 91. How can postoperative radiotherapy
prolong overall survival of the patients with resected pIIIA-N2 non-small cell
lung cancer? Question 92. What are the key molecular events that drive oral
leukoplakia or erythroplakia into oral cancer? Question 93. How could we track
the chemotherapeutics-driven evolution of tumor genome in non-small cell lung
cancer for more effective treatment?
PMID- 29357947
TI - Overnight auto-adjusting continuous airway pressure + standard care compared with
standard care alone in the prevention of morbidity in sickle cell disease phase
II (POMS2b): study protocol for a randomised controlled trial.
AB - BACKGROUND: In addition to pain, sickle cell anaemia (HbSS) complications include
neurocognitive difficulties in attention and processing speed associated with low
daytime and night-time oxygen saturation compounded by obstructive sleep apnoea
(OSA). In the general population OSA is treated with continuous positive airways
pressure (CPAP). The aim of this single-blind, randomised, controlled phase II
trial is to compare auto-adjusting CPAP (APAP) with standard care to standard
care alone in individuals with HbSS to determine whether the intervention
improves attention and processing speed, brain structure, pain and quality of
life. METHODS/DESIGN: Eligibility criteria include: ability to provide informed
consent; age > 8 years; diagnosis of HbSS; and mean overnight saturation of < 90%
for < 30% of the night (i.e. not meeting current criteria for overnight oxygen
therapy). Key exclusion criteria are: overnight respiratory support; respiratory
or decompensated cardiac failure; chronic transfusion; or contraindications to
APAP therapy or magnetic resonance imaging (MRI). Sixty individuals with HbSS (30
children and 30 adults) will be randomised to standard care + APAP or standard
care alone for six months. Minimisation factors are: age group (8-11, 12-15, 16
22 and > 23 years); silent infarction on MRI; minimum overnight oxygen saturation
> 90% or < 90%; and hydroxyurea use. For APAP individuals, the intervention is
administered at home. Adherence and effectiveness are recorded using software
documenting hours of use each night and overnight oximetry. Participant support
in terms of appropriate facemask and facilitating adherence are provided by an
unblinded sleep physiologist. The primary outcome is change in the cancellation
subtest from the Wechsler scales. Secondary outcomes include general cognitive
functioning, quantitative brain MRI, blood and urine chemistry, quality of life
and daily pain via a smartphone App (GoMedSolutions, Inc) and, where possible MRI
heart, echocardiography, and 6-min walk. These outcomes will be assessed at
baseline and after six months of treatment by assessors blind to treatment
assignment. DISCUSSION: Altering oxygen saturation in HbSS may lead to bone
marrow suppression. This risk will be reduced by monitoring full blood counts at
baseline, two weeks, three months and six months, providing treatment as
appropriate and reporting as safety events. TRIAL REGISTRATION: ISRCTN46012373 .
Registered on 10 July 2015. Protocol Version: 6.0 Date: 24th December 2015
Sponsor: University Hospital Southampton. Sponsor's protocol code: RHMCHIOT53.
PMID- 29357953
TI - Perirectal Screening for Carbapenem-Resistant Enterobacteriaceae Obtained From
100 Consecutive Healthy Pregnant Women in Labor at a Brooklyn Hospital: Results
and Risk Factors.
PMID- 29357954
TI - Projecting shortages and surpluses of doctors and nurses in the OECD: what looms
ahead.
AB - There is little debate that the health workforce is a key component of the health
care system. Since the training of doctors and nurses takes several years, and
the building of new schools even longer, projections are needed to allow for the
development of health workforce policies. Our work develops a projection model
for the demand of doctors and nurses by Organisation for Economic Co-operation
and Development (OECD) countries in the year 2030. The model is based on a
country's demand for health services, which includes the following factors: per
capita income, out-of-pocket health expenditures and the ageing of its
population. The supply of doctors and nurses is projected using country-specific
autoregressive integrated moving average models. Our work shows how dramatic
imbalances in the number of doctors and nurses will be in OECD countries should
current trends continue. For each country in the OECD with sufficient data, we
report its demand, supply and shortage or surplus of doctors and nurses for 2030.
We project a shortage of nearly 400,000 doctors across 32 OECD countries and
shortage of nearly 2.5 million nurses across 23 OECD countries in 2030. We
discuss the results and suggest policies that address the shortages.
PMID- 29357955
TI - Demographics of a large paediatric Postural Orthostatic Tachycardia Syndrome
Program.
AB - OBJECTIVE: The aim of this study was to identify and evaluate demographic and
clinical features of paediatric patients with postural orthostatic tachycardia
syndrome in a tertiary hospital speciality clinic. METHOD: This is a
retrospective review of clinical data obtained during initial outpatient
evaluation. RESULTS: A total of 708 patients met the evaluation criteria. Female
patients outnumbered males, 3.45:1. Caucasians were over-represented at 94.1% of
patients. Median age at diagnosis was 15.7 years. Joint hypermobility occurred in
57.3% of patients; 22.4% had hypermobile Ehlers-Danlos syndrome; and 34.9% had
hypermobility spectrum disorder. Median age of onset of symptoms was 12.6 years
in patients with hypermobility versus 13.7 years in those without (p=0.0001).
Median duration of symptoms was 3.3 years with hypermobility versus 1.5 years
without (p<0.00001). Putative triggers included infection in 23.6% of patients,
concussion in 11.4%, and surgery/trauma in 2.8%. Concurrent inflammatory
disorders were noted in 5.2% of patients. Six symptoms comprised 80% of initial
patient complaints. Overall, 66% of patients subsequently had at least 10
symptoms, 50% had at least 14 symptoms, and 30% reported at least 26 symptoms.
Symptoms were largely cardiovascular, gastrointestinal, and neurological.
Paediatric patients with postural orthostatic tachycardia syndrome seen in a
large speciality clinic are predominantly female, are mostly Caucasian, have
onset of symptoms in early adolescence, and have symptoms for over two years
before diagnosis. Over half of patients have joint hypermobility. More than one
third of patients have a possible autoimmune or inflammatory trigger, including
infection, concussion, or surgery/trauma. Patients experience symptoms that are
highly variable and multi-system in origin over the course of illness.
PMID- 29357956
TI - Prevalence and risk factors associated with non-attendance in neurodevelopmental
follow-up clinic among infants with CHD.
AB - BACKGROUND: Neurodevelopmental impairment is increasingly recognised as a
potentially disabling outcome of CHD and formal evaluation is recommended for
high-risk patients. However, data are lacking regarding the proportion of
eligible children who actually receive neurodevelopmental evaluation, and
barriers to follow-up are unclear. We examined the prevalence and risk factors
associated with failure to attend neurodevelopmental follow-up clinic after
infant cardiac surgery. METHODS: Survivors of infant (<1 year) cardiac surgery at
our institution (4/2011-3/2014) were included. Socio-demographic and clinical
characteristics were evaluated in neurodevelopmental clinic attendees and non
attendees in univariate and multivariable analyses. RESULTS: A total of 552
patients were included; median age at surgery was 2.4 months, 15% were premature,
and 80% had moderate-severe CHD. Only 17% returned for neurodevelopmental
evaluation, with a median age of 12.4 months. In univariate analysis, non
attendees were older at surgery, had lower surgical complexity, fewer non-cardiac
anomalies, shorter hospital stay, and lived farther from the surgical center. Non
attendee families had lower income, and fewer were college graduates or had
private insurance. In multivariable analysis, lack of private insurance remained
independently associated with non-attendance (adjusted odds ratio 1.85, p=0.01),
with a trend towards significance for distance from surgical center (adjusted
odds ratio 2.86, p=0.054 for ?200 miles). CONCLUSIONS: The majority of infants
with CHD at high risk for neurodevelopmental dysfunction evaluated in this study
are not receiving important neurodevelopmental evaluation. Efforts to remove
financial/insurance barriers, increase access to neurodevelopmental clinics, and
better delineate other barriers to receipt of neurodevelopmental evaluation are
needed.
PMID- 29357957
TI - Double sequential cardioversion for refractory ventricular tachycardia: A case
report.
AB - ABSTRACTSustained monomorphic ventricular tachycardia (VT) can result in
hypoperfusion or devolve into more dangerous rhythms such as ventricular
fibrillation. In an unstable patient with VT and a pulse, synchronized
cardioversion is the first-line treatment. When the VT is refractory to standard
cardioversion, the next step is to add an antiarrhythmic, such as amiodarone,
that carries with it the risk of lowering blood pressure in the already
hypotensive patient. Here we describe a case of double sequential synchronized
cardioversion of a patient with unstable VT refractory to standard direct current
cardioversion, resulting in a rapid conversion to sinus rhythm and return to
hemodynamic stability. The benefit of this technique is that it may obviate the
need for rapid infusion of medications, such as amiodarone, in the acute setting
that may worsen hypotension in the already unstable patient.
PMID- 29357958
TI - Sex- and age patterns in incidence of infectious diseases in Germany: analyses of
surveillance records over a 13-year period (2001-2013).
AB - Sex differences in the incidence of infections may indicate different risk
factors and behaviour but have not been analysed across pathogens. Based on 3.96
million records of 33 pathogens in Germany, notified from 2001 to 2013, we
applied Poisson regression to generate age-standardised incidence rate ratios and
assessed their distribution across age and sex. The following trends became
apparent: (a) pathogens with male incidence preponderance at infant and child age
(meningococcal disease (incidence rate ratio (IRR) = 1.19, 95% CI 1.03-1.38, age
= 0-4); influenza (IRR = 1.09, 95% CI 1.06-1.13, age = 0-4)), (b) pathogens with
sex-switch in incidence preponderance at puberty (e.g. norovirus (IRR = 1.10, 95%
CI 1.02-1.19 in age = 5-14, IRR = 0.96, 95% CI 0.93-0.99, age ? 60), (c)
pathogens with general male incidence preponderance (bacterial/parasitic
infections with campylobacter, Yersinia and Giardia), (d) pathogens with male
incidence preponderance at juvenile and adult age (sexually transmitted or vector
borne infections (combined-IRR = 2.53, 95% CI 2.36-2.71, age = 15-59), (e)
pathogens with male preponderance at older age (tick-borne encephalitis - IRR =
2.75, 95% CI 1.21-6.24, listeriosis - IRR = 2.06, 95% CI 1.38-3.06, age ? 60).
Risk factor concepts only partly serve to interpret similarities of grouped
infections, i.e. transmission-related explanations and sex-specific exposures not
consistently explain the pattern of food-borne infections (b). Sex-specific
differences in infectious disease incidence are well acknowledged regarding the
sexually transmitted diseases. This has led to designing gender-specific
prevention strategies. Our data suggest that for infections with other
transmission routes, gender-specific approaches can also be of benefit and
importance.
PMID- 29357959
TI - A rare case of a patient with aortic root aneurysm, bicuspid aortic valve, and
Scimitar syndrome with anomalous venous return to the right superior pulmonary
vein.
AB - A rare case of Scimitar Syndrome with an abnormal drainage of the right lower
pulmonary vein into the right superior pulmonary vein associated with aortic root
aneurism and bicuspid aortic valve is reported.
PMID- 29357960
TI - Spiritual care training in healthcare: Does it really have an impact?
AB - OBJECTIVE: Spiritual care has formed an integral part of palliative care since
its inception. People with advanced illnesses, however, frequently report that
their spiritual needs are not attended to by their medical care team. The present
study examines and describes the impact of a spiritual care training program on
practice and cultural change in our Canadian hospice. METHOD: A qualitative case
study approach was adopted to gather feedback from hospice staff and volunteers
using purposive sampling. In-depth interviews were conducted, transcribed, and
analyzed using thematic (semantic and latent) analysis. Result Our data suggest
that the program had a profound personal impact on attendees and contributed to a
slight shift in practice patterns in our organization. Using a program not
specifically tailored to our local and organizational cultural contexts resulted
in some unanticipated challenges such as the range of tensions between personal
and cultural boundaries. Although some people criticized parts of the program or
questioned the program's value, a general agreement suggests that the program had
a positive impact and meaningfully benefited our hospice. "What will happen
next?" was the question most frequently voiced by interviewees. Significance of
results Although the program may not have been a perfect fit for our
organization, its use instigated a process of cultural change that unfolds today.
The present study suggests that a systematic approach to spiritual care training
that includes the concepts of workplace spirituality and sensitive practice offer
useful frameworks for the development and implementation of spiritual care
training in other institutions.
PMID- 29357961
TI - Staphylococcus aureus Bloodstream Infection Due to Contaminated Hematopoietic
Stem-Cell Graft.
PMID- 29357962
TI - Pyrethroid and carbamate resistance in Australian Helicoverpa armigera
(Lepidoptera: Noctuidae) from 2008 to 2015: what has changed since the
introduction of Bt cotton?
AB - Pyrethroid and carbamate resistance was evaluated in Helicoverpa armigera from
2008 to 2015. Insects were collected as eggs primarily from cultivated hosts in
the major cropping areas of New South Wales and Queensland, Australia. Larvae
reared from eggs were tested for resistance to fenvalerate, bifenthrin or
methomyl in the F0 generation using a topical application of a discriminating
dose of insecticide. In 2008-2009, resistance to fenvalerate was 71% and no
resistance to bifenthrin was recorded. In the following two seasons, resistance
to pyrethroids was relatively stable with fenvalerate resistance ranging from 63%
to 67% and bifenthrin resistance ranging from 5.6% and 6.4% in 2009-2010 and 2010
2011, respectively. However, in 2011-2012, pyrethroid resistance had increased to
91% and 36% for fenvalerate and bifenthrin, respectively. Resistance remained
above 90% for fenvalerate and above 35% for bifenthrin in the following three
seasons from 2012 to 2015. In 2008-2009, methomyl resistance was 33% and declined
to 22% and 15% in 2009-2010 and 2010-2011, respectively. Methomyl resistance
remained at moderate levels from 2011-12 to 2014-15, ranging from 21% to 40%.
Factors that influenced selection pressure of pyrethroid and carbamate
insecticides and impacted resistance frequency in H. armigera may have been
associated with changes in the composition of the cropping landscape. The rapid
expansion of the pulse industry and the commensurate increased use of insecticide
may have played a role in reselection of high-level pyrethroid resistance, and
highlights the need for an urgent and strategic response to insecticide
resistance management in the Australian grains industry.
PMID- 29357965
TI - Annual Meeting Program.
PMID- 29357963
TI - Socio-economic status and maternal BMI are associated with duration of breast
feeding of Norwegian infants.
AB - OBJECTIVE: To explore whether there is an association between socio-economic
status and maternal BMI and duration of any breast-feeding/exclusive breast
feeding among Norwegian infants at 4 and 5 months of age in 2016. DESIGN: Cross
sectional design. Baseline data from a randomized controlled trial. Data
concerning breast-feeding were collected by FFQ. SETTING: Recruitment was done at
child health-care centres and through Facebook in 2016. In total, 960
infants/parents registered for participating in the study Early Food for Future
Health. SUBJECTS: A total of 715 infant/mother dyads completed the questionnaire
when the child was between 5 and 6 months old. RESULTS: At 5 months of age, 81.0
% of infants were breast-fed and 16.4 % were exclusively breast-fed. Infants of
highly educated mothers had higher odds of being breast-fed at 5 months compared
with infants of mothers with less education. Infants of multiparous mothers had
higher odds of being exclusively breast-fed for the first 5 months compared with
infants of mothers with one child. Infants of mothers with overweight/obesity had
reduced odds of both being breast-fed at all and being exclusively breast-fed at
4 months of age compared with infants of mothers with normal BMI. CONCLUSIONS:
Our results show that duration of breast-feeding varies with socio-economic
status and maternal BMI in Norway. Targeting groups with low socio-economic
status and mothers with overweight or obesity is important, as they are less
likely to breast-feed according to recommendations.
PMID- 29357966
TI - [Clinical Study on the Prognosis of Patients with Thymoma with Myasthenia
Gravis].
AB - BACKGROUND: Thymoma is frequently associated with myasthenia gravis (MG).
However, whether MG is a factor for the outcome of patients with thymoma
following complete thymectomy remains unknown. The aim of this study is to
investigate the effect of thymoma with MG prognostic factors. METHODS: A
retrospective analysis of The Chinese Alliance for Research in Thymomas (ChART)
database within 1992-2012 complete cases 875 cases, 20 years follow-up data
analysis thymic tumor tissue type credits and MG, Masaoka staging and prognosis,
postoperative adjuvant therapy and relationship with the prognosis of surgical
removal of the way. RESULTS: Thymic tumor tissue type credit has correlation with
MG, difference was statistically significant (chi2=24.908, P<0.001). MG:
incidence of B2 type (58/178, 32.58%) > B3 type (65/239, 27.20%) > B1 (27/132,
20.45%) > AB (43/267, 16.10%) > type A, 10.17% (6/59), Masaoka stage has no
correlation with MG (chi2=0.365, P=1.365). Survival analysis showed that the WHO
classification, Masaoka stage associated with prognosis (P<0.05), and whether the
merger MG (chi2=0.113, P=0.736), postoperative adjuvant radiotherapy (chi2=0.380,
P=0.538) has nothing to do with the prognosis, postoperative adjuvant
chemotherapy is associated with poor prognosis (chi2=14.417, P<0.001). Whether
has nothing to do with the prognosis of the thymus resection (chi2=1.548,
P=1.548), whether the whole correlated with the curative effect of thymus
excision with MG (chi2=24.695, P<0.001). CONCLUSIONS: Thymoma patients with MG
and extended thymectomy have no correlation with prognosis. Extended thymectomy
can improve the effect of MG patients.
PMID- 29357967
TI - [Prognostic Analysis of Lobectomy versus Sublobar Resection in Patients Aged >=60
Years with Stage Ia Small Cell Lung Cancer].
AB - BACKGROUND: Currently, the prognosis of lobectomy and sub-lobectomy for the
treatment of stage Ia small cell lung cancer (SCLC) is rarely reported. We
retrospectively studied T1N0M0 (<=3 cm) SCLC patients aged >=60 years, aiming to
comparatively analyze the prognosis of lobectomy and sub-lobectomy in treating
patients with Ia SCLC. METHODS: Patients with stage Ia SCLC diagnosed by
pathologic between 1992 and 2010 were selected from the "Surveillance,
Epidemiology and End Results database"(SEER). Outcome data were compared using
Kaplan-Meier (Log-rank test) and Cox model multivariate analysis. RESULTS: We
identified 515 patients. Median overall survival (OS) of the lobectomy (n=110),
sublobar resection (n=57) and non-surgical (n=348) cohort were 45, 23 and 16
months, respectively. The corresponding 5-year OS of the three groups were 44%,
30%, and 14%, respectively. No significant difference in the prognosis of
patients with or without lymph node examination/ dissection (P=0.107) and the 5
year OS of patients underwent lobectomy with chemoradiation was 50%. Cox
multivariable analysis showed that operation treatment, including lobectomy and
sublobectomy, was one of the independent factors associated with the prognosis of
early SCLC patients, and patients undergo lobectomy shows a better OS compared
with sublobar resection (Lob vs Sub, HR=0.645; 95%CI: 0.433-0.961, P=0.031).
CONCLUSIONS: For age >=60 years T1N0M0 (<=3 cm) SCLC patients, we recommend
anatomical lobectomy combined with adjuvant chemoradiation.
PMID- 29357968
TI - [Analysis of Prognostic Factors and Clinical Characteristics for Patients with
Limited Stage Small Cell Lung Cancer with Pleural Effusion].
AB - BACKGROUND: Malignant pleural effusion (PE) was generally defined as pleural
effusion containing tumors with poor prognosis. Some kinds of undefined pleural
effusions due to too small amount of effusion had poor prognosis too. This study
aimed to analyze the clinical characteristics and prognostic factors of patients
who suffered from limited-stage small cell lung cancer (LS-SCLC) complicated with
pleural effusion. METHODS: A retrospective analysis included 542 patients who
were diagnosed with LS-SCLC and had treatment in our hospital from October 2007
to January 2016. We had observed 109 patients who were diagnosed with pleural
effusion at their first visit to the doctor. We analyzed the clinical characters,
survival time and the prognostic factors of the 109 patients. Our main
observation targets were overall survival (OS) and progression free survival
(PFS). RESULTS: The median OS and PFS of whole group were 29.4 and 18.2 months.
Before treatment, survival time of patients with PE were significantly shorter
than patients without PE (median OS: 21.0 vs 31.7 months; median PFS: 14.1 vs 9.1
months; Log-rank, P=0.001, P=0.014). Multi-factor analysis of multivariate Cox
shows PE was the independent prognostic factor of LS-SCLC (P=0.04). Single factor
analysis showed factors affecting PE patient's survival time included clinical
stages, lymph node (LN) stages, KPS scores, pulmonary atelectasis and the state
of pleural after treatment. Cox multi-factor analysis reminded that the state of
pleural effusion after treatment was the independent prognostic factor of LS-SCLC
complicated with pleural effusion (P=0.016). There were three groups was
apportioned patients without pleural effusion before treatment (group 1; n=433),
patients whose pleural effusion disappeared after treatment (group 2; n=67) and
patients whose pleural effusion didn't disappear after treatment (group 3;
n=32).The median OS were 31.7, 23.2, 16.8 months in the group 1, 2, 3 and the
median PFS were 19.1, 17.9, 11.4 months. Obvious difference was noted by the
comparison of survival time of these three groups (Log-rank P<0.001, P<0.002).
The difference between group 2 and group 3 was significant (Log-rank P=0.046,
P=0.013) while no obvious difference was noted during comparison of group 1 and
group 2. For patients who have LS-SCLC complicated with PE, there is no
remarkable difference between chemoradiotherapy and chemotherapy alone.
CONCLUSIONS: The survival time of patients who suffered from limited-stage small
cell lung cancer complicated with pleural effusion was obviously shortened. The
disappearing of pleural effusion after treatment was the independent favorable
prognostic factor of survival. How to treat needed further investigation.
PMID- 29357969
TI - [Surgical Procedures and Perioperative Management for Non-small Cell Lung Cancer
Complicated with Left Atrial Tumor Thrombus].
AB - BACKGROUND: Non-small cell lung cancer with left atrial tumor thrombus accounts
for a small proportion of local advanced lung cancer. Whether surgery could bring
benefits, as well as surgical options are still controversial, and have always
been hot spots in surgical research. We report a single center experience of
surgical treatment to non-small cell lung cancer with left atrial tumor thrombus,
aim to figure out more reasonable treatment strategy. METHODS: From August 2006
to July 2017, a total of 11 cases of non-small cell lung cancer with left atrial
tumor thrombus underwent surgery in Thoracic Surgery Department of China-Japan
Friendship Hospital. Clinical data, treatment options, pathological types and
prognosis of these patients were collected to perform a retrospective study.
RESULTS: Of the 11 patients (mean age of 57.9), 7 were men and 4 were women. Six
of them received neoadjuvant radiotherapy and/or chemotherapy. All patients
underwent smooth operation, including 3 cases with cardiopulmonary bypass, 1 case
of posterolateral approach under extracorporeal membrane oxygenation, 6 cases of
conventional posterolateral approach and 1 case of video-assisted
minithoracotomy. Nine patients were evaluated as R0 resection while 2 cases were
evaluated as R1 resection. The Surgeries cost an average of 292 min (210 min-380
min), with an average of 436 mL (100 mL-1,600 mL) blood loss. One patient (9.1%)
died within 90 days after surgery, and another 4 cases (36.4%) suffered
postoperative complications such as arrhythmia, cerebral infarction or hypoxemia.
Six cases of squamous cell carcinoma, 4 cases of adenocarcinoma and 1 case of
sarcomatoid carcinoma were identified by pathology. Seven cases were staged as
pT4N0M0 while 4 cases were staged as pT4N1M0. Nine patients underwent adjuvant
chemotherapy, and two patients underwent radiotherapy during follow-up. The
overall follow-up time was 2 to 53 months, the 3-year disease-free survival rate
was 30.7%, the median disease-free survival time was 31 months, the 3-year
overall survival rate was 49.1% and the median overall survival time was 33
months. CONCLUSIONS: For selected patients of non-small cell lung cancer
complicated with left atrial tumor thrombus, choose a reasonable surgical
approach to resect both the tumor and the thrombus, strengthen the perioperative
management and apply neoadjuvant/adjuvant radiotherapy and/or chemotherapy, might
obtain satisfying prognosis.
PMID- 29357970
TI - [Epidemiological Evolution of Lung Cancer in the South of Spain from 1990 to
2010].
AB - BACKGROUND: Changes in lung cancer has been characterized by the increase of
cases among women and the increase in adenocarcinomas among other histological
subtypes. METHODS: Descriptive analysis of cases diagnosed with lung cancer in
Hospital Virgen de las Nieves (Spain) from 1990 to 2010, based on five variables
(age, sex, smoking, histology and pathological anatomy). The study establishes
associations between these variables and compares the results with the
literature. RESULTS: 2,026 patients were diagnosed with lung cancer in this
period; 1,838 were males (90.7%) and 188 women (9.3%); 1,892 patients (93.4%)
were smokers or ex-smokers and 134 (6.6%) had never smoked; the most frequent non
small cell histology types were squamous cell carcinoma and adenocarcinoma and it
was the most frequent neoplasia in women and were associated with a lower tobacco
consumption. CONCLUSIONS: The large majority of lung cancer cases is associated
with a history of smoking tobacco and there are histopathological differences
according to gender and cumulative tobacco smoke load.
PMID- 29357971
TI - [Clinical Observation of Gefitinib with Pericardial Perfusion for ?Advanced Non
small Cell Lung Cancer].
AB - BACKGROUND: Epidermal growth factor receptor (EGFR) mutation non-small cell lung
cancer (NSCLC) is an important subtype of lung cancer. The incidence of malignant
pericardial effusion (MPCE) in EGFR-mutant NSCLC patients is high. However, there
are few researches on the treatmentof this type of patients. METHODS: We
collected data on clinical characteristics and treatment of advanced NSCLC
patients who harboring EGFR mutants and MPCE between January 2010 and December
2016. The treatments were divided into three groups: oral gefitinib combined with
pericardial perfusion of hydroxycamptotheci (HCPT) group (gefitinib/HCPT);
intravenous chemotherapy combined with pericardial perfusion of HCPT group
(chemotherapy/HCPT) and gefitinib monotherapy group. And we retrospectively
analyzed patients' outcomes in three groups. RESULTS: In 273 advanced NSCLC
patients with EGFR mutations, 29 cases had pericardial effusion, among which 6
patients with small amount of pericardial effusion were excluded, and 23 patients
were analyzed. Median pericardium progression free survival (PFS) was 247 days.
PFS for gefitinib/HCPT group (460 days) was superior to PFS for chemotherapy/HCPT
group (94 days, P=0.008) and gefitinib monotherapy group (131 days, P=0.032). As
for the efficacy of primary pulmonary lesions, the efficacy in gefitinib/ HCPT
group was superior to chemotherapy/HCPT group [objective response rate (ORR):
33.3% vs 12.5%; disease control rate (DCR): 86.7% vs 62.5%]. There is no
difference of ORR and DCR between gefitinib/HCPT group and gefitinib monotherapy
group. No obvious adverse reaction was observed in all three groups. CONCLUSIONS:
First-line gefitinib therapy combined with pericardial perfusion of HCPT can
improve pericardium PFS for advanced NSCLC patients who harboring EGFR mutants
andmalignantpericardial effusion. This finding should be confirmed further
through multicenter, prospective clinical trials with large sample size.
PMID- 29357972
TI - [Progress of Long Non-coding RNA in Non-small Cell Lung Cancer].
AB - Lung cancer is one of the most important malignant tumors in the world. The
morbidity and mortality rank the first in all kinds of cancer. Long non-coding
RNA (lncRNA) is at least 200 nt long and has no protein coding capacity. It plays
an important role in the epigenetic regulation, cell cycle regulation, the
regulation of cell differentiation, and many other life activities. The studies
indicate that dysregulation of lncRNAs in non-small cell lung cancer (NSCLC)
tissue and blood circulation is associated with the occurrence and development of
cancer. The lncRNAs play an significant role in proliferation, differentiation,
migration and apoptosis of the tumor cells. Explore the potential mechanism
between lncRNAs and NSCLC is beneficial for the early diagnosis, target therpy
and improve prognosis. Therefore, the study aims to demonstrate the latest
studies on the lncRNAs related to occurence, diagnosis, therpy and prognosis of
NSCLC. It can help to deeply understanding of lncRNA, and provide new ideas for
the prevention of NSCLC.
PMID- 29357973
TI - [Circular RNA in Lung Cancer Research: Biogenesis, Functions and Roles].
AB - Lung cancer is the most commonly diagnosed cancer and the leading cause of cancer
death in China. In recent years, therapies for oncogenedrivers and immune
checkpoints have proved inspiring. Circular RNA (circRNA), which is a kind of RNA
with covalent ring structure relating to stages and metastasis of cancer, has
many special biological functions in physiological processes, diseases and so on.
Thus, circRNA is expected to be a potential biomarker for cancer prediction and
treatment in view of its high conservation and tissue-specific. However, function
analysis and regulatory mechanism of circRNA in lung cancer come so far remains
unclear and limited literatures are available. In this review, we highlight the
research history, formation mechanism, biological function of circRNA and
research progress in cancer, especially in lung cancer. We mean to provide
theoretical evidences and new ideas for researches on circRNAs in lung cancer.
PMID- 29357974
TI - [Research Progress in the Therapeutic Strategy Based on Targeting at ?Lung Cancer
Stem Cell].
AB - With high morbidity and mortality, lung cancer is a major threat to human health
and one of the focuses of tumor researches. Lung cancer stem cells (LCSCs) are
regarded as a subpopulation of cells within lung cancer tissues with the capacity
of self-renewal and differentiation, and might be related to tumorigenesis and
heterogeneity of lung cancer. Tumor recurrence, metastasis and drug resistance of
lung cancers could be clarified by LCSC hypothesis. Thus it's therapeutically
prospective to target at these cells. This review summarizes the biomarkers of
LCSCs and their aberrant signal pathways, as well as the therapeutic strategies
targeting at LCSCs.
PMID- 29357975
TI - [Targeting of the AKT/m-TOR Pathway: Biomarkers of Resistance to Cancer Therapy-
?AKT/m-TOR Pathway and Resistance to Cancer Therapy].
AB - Resistance to cancer therapy continues to be a major limitation for the
successful treatment of cancer. There are many published studies on therapy
resistance in breast and prostate cancers; however, there are currently no data
on molecular markers associated with resistance. The conflicting data were
reported regarding the AKT/m-TOR signaling pathway components as markers
predicting resistance. The AKT/m-TOR signaling pathway is involved in the
development of many human cancers; its activation is related to cell
proliferation, angiogenesis, apoptosis, as well as to therapy resistance.
Molecular alterations in the AKT/m-TOR signaling pathway provide a platform to
identify universal markers associated with the development of resistance to
cancer therapy.
PMID- 29357977
TI - Evolutionary Rewiring of Human Regulatory Networks by Waves of Genome Expansion.
AB - Genome expansion is believed to be an important driver of the evolution of gene
regulation. To investigate the role of a newly arising sequence in rewiring
regulatory networks, we estimated the age of each region of the human genome by
applying maximum parsimony to genome-wide alignments with 100 vertebrates. We
then studied the age distribution of several types of functional regions, with a
focus on regulatory elements. The age distribution of regulatory elements reveals
the extensive use of newly formed genomic sequence in the evolution of regulatory
interactions. Many transcription factors have expanded their repertoire of
targets through waves of genomic expansions that can be traced to specific
evolutionary times. Repeated elements contributed a major part of such expansion:
many classes of such elements are enriched in binding sites of one or a few
specific transcription factors, whose binding sites are localized in specific
portions of the element and characterized by distinctive motif words. These
features suggest that the binding sites were available as soon as the new
sequence entered the genome, rather than being created later by accumulation of
point mutations. By comparing the age of regulatory regions to the evolutionary
shift in expression of nearby genes, we show that rewiring through genome
expansion played an important role in shaping human regulatory networks.
PMID- 29357976
TI - Variation in natural exposure to anopheles mosquitoes and its effects on malaria
transmission.
AB - Variation in biting frequency by Anopheles mosquitoes can explain some of the
heterogeneity in malaria transmission in endemic areas. In this study in Burkina
Faso, we assessed natural exposure to mosquitoes by matching the genotype of
blood meals from 1066 mosquitoes with blood from residents of local households.
We observed that the distribution of mosquito bites exceeded the Pareto rule
(20/80) in two of the three surveys performed (20/85, 76, and 96) and, at its
most pronounced, is estimated to have profound epidemiological consequences,
inflating the basic reproduction number of malaria by 8-fold. The distribution of
bites from sporozoite-positive mosquitoes followed a similar pattern, with a
small number of individuals within households receiving multiple potentially
infectious bites over the period of a few days. Together, our findings indicate
that heterogeneity in mosquito exposure contributes considerably to heterogeneity
in infection risk and suggest significant variation in malaria transmission
potential.
PMID- 29357979
TI - Discussion of "Patient navigation reduces time to care for patients with breast
symptoms and abnormal screening mammograms".
PMID- 29357978
TI - Reccurrent F8 Intronic Deletion Found in Mild Hemophilia A Causes Alu
Exonization.
AB - Incorporation of distant intronic sequences in mature mRNA is an underappreciated
cause of genetic disease. Several disease-causing pseudoexons have been found to
contain repetitive elements such as Alu elements. This study describes an
original pathological mechanism by which a small intronic deletion leads to Alu
exonization. We identified an intronic deletion, c.2113+461_2113+473del, in the
F8 intron 13, in two individuals with mild hemophilia A. In vivo and in vitro
transcript analysis found an aberrant transcript, with an insertion of a 122-bp
intronic fragment (c.2113_2114ins2113+477_2113+598) at the exon 13-14 junction.
This out-of-frame insertion is predicted to lead to truncated protein
(p.Gly705Aspfs*37). DNA sequencing analysis found that the pseudoexon corresponds
to antisense AluY element and the deletion removed a part of the poly(T)-tail
from the right arm of these AluY. The heterogenous nuclear riboprotein C1/C2
(hnRNP C) is an important antisense Alu-derived cryptic exon silencer and binds
to poly(T)-tracts. Disruption of the hnRNP C binding site in AluY T-tract by
mutagenesis or hnRNP C knockdown using siRNA in HeLa cells reproduced the effect
of c.2113+461_2113+473del. The screening of 114 unrelated families with mild
hemophilia A in whom no genetic event was previously identified found a deletion
in the poly(T)-tail of AluY in intron 13 in 54% of case subjects (n = 61/114). In
conclusion, this study describes a deletion leading to Alu exonization found in
6.1% of families with mild hemophila A in France.
PMID- 29357980
TI - On the low dimensionality of behavioral deficits and alterations of brain network
connectivity after focal injury.
AB - Traditional neuropsychological approaches emphasize the specificity of behavioral
deficits and the modular organization of the brain. At the population level,
however, there is emerging evidence that deficits are correlated resulting in a
low dimensional structure of post-stroke neurological impairments. Here we
consider the implications of low dimensionality for the three-way mapping between
structural damage, altered physiology, and behavioral deficits. Understanding
this mapping will be aided by large-sample studies that apply multivariate models
and focus on explained percentage of variance, as opposed to univariate lesion
symptom techniques that report statistical significance. The low dimensionality
of behavioral deficits following stroke is paralleled by widespread, yet
relatively consistent, changes in functional connectivity (FC), including a
reduction in modularity. Both are related to the structural damage to white
matter and subcortical grey commonly produced by stroke. We suggest that large
scale physiological abnormalities following a stroke reduce the variety of neural
states visited during task processing and at rest, resulting in a limited
repertoire of behavioral states.
PMID- 29357981
TI - Median and Dorsal Raphe Serotonergic Neurons Control Moderate Versus Compulsive
Cocaine Intake.
AB - BACKGROUND: Reduced expression of the serotonin transporter (SERT) promotes
anxiety and cocaine intake in both humans and rats. We tested the hypothesis that
median raphe nucleus (MRN) and dorsal raphe nucleus (DRN) serotonergic
projections differentially mediate these phenotypes. METHODS: We used virally
mediated RNA interference to locally downregulate SERT expression and compared
the results with those of constitutive SERT knockout. Rats were allowed either
short access (ShA) (1 hour) or long access (LgA) (6 hours) to cocaine self
administration to model moderate versus compulsive-like cocaine taking. RESULTS:
SERT knockdown in the MRN increased cocaine intake selectively under ShA
conditions and, like ShA cocaine self-administration, reduced corticotropin
releasing factor (CRF) immunodensity in the paraventricular nucleus of the
hypothalamus. In contrast, SERT knockdown in the DRN increased cocaine intake
selectively under LgA conditions and, like LgA cocaine self-administration,
reduced CRF immunodensity in the central nucleus of the amygdala. SERT knockdown
in the MRN or DRN produced anxiety-like behavior, as did withdrawal from ShA or
LgA cocaine self-administration. The phenotype of SERT knockout rats was a
summation of the phenotypes generated by MRN- and DRN-specific SERT knockdown.
CONCLUSIONS: Our results highlight a differential role of serotonergic
projections arising from the MRN and DRN in the regulation of cocaine intake. We
propose that a cocaine-induced shift from MRN-driven serotonergic control of CRF
levels in the hypothalamus to DRN-driven serotonergic control of CRF levels in
the amygdala may contribute to the transition from moderate to compulsive intake
of cocaine.
PMID- 29357982
TI - Altered Gradients of Glutamate and Gamma-Aminobutyric Acid Transcripts in the
Cortical Visuospatial Working Memory Network in Schizophrenia.
AB - BACKGROUND: Visuospatial working memory (vsWM), which is impaired in
schizophrenia, requires information transfer across multiple nodes in the
cerebral cortex, including visual, posterior parietal, and dorsolateral
prefrontal regions. Information is conveyed across these regions via the
excitatory projections of glutamatergic pyramidal neurons located in layer 3,
whose activity is modulated by local inhibitory gamma-aminobutyric acidergic
(GABAergic) neurons. Key properties of these neurons differ across these cortical
regions. Consequently, in schizophrenia, alterations in the expression of gene
products regulating these properties could disrupt vsWM function in different
ways, depending on the region(s) affected. METHODS: Here, we quantified the
expression of markers of glutamate and GABA neurotransmission selectively in
layer 3 of four cortical regions in the vsWM network from 20 matched pairs of
schizophrenia and unaffected comparison subjects. RESULTS: In comparison
subjects, levels of glutamate transcripts tended to increase, whereas GABA
transcript levels tended to decrease, from caudal to rostral, across cortical
regions of the vsWM network. Composite measures across all transcripts revealed a
significant effect of region, with the glutamate measure lowest in the primary
visual cortex and highest in the dorsolateral prefrontal cortex, whereas the GABA
measure showed the opposite pattern. In schizophrenia subjects, the expression
levels of many of these transcripts were altered. However, this disease effect
differed across regions, such that the caudal-to-rostral increase in the
glutamate measure was blunted and the caudal-to-rostral decline in the GABA
measure was enhanced in the illness. CONCLUSIONS: Differential alterations in
layer 3 glutamate and GABA neurotransmission across cortical regions may
contribute to vsWM deficits in schizophrenia.
PMID- 29357983
TI - Direct anti-inflammatory effects of granulocyte colony-stimulating factor (G-CSF)
on activation and functional properties of human T cell subpopulations in vitro.
AB - We investigated the direct effects of human granulocyte colony-stimulating factor
(G-CSF) on functionality of human T-cell subsets. CD3+ T-lymphocytes were
isolated from blood of healthy donors by positive magnetic separation. T cell
activation with particles conjugated with antibodies (Abs) to human CD3, CD28 and
CD2 molecules increased the proportion of cells expressing G-CSF receptor (G
CSFR, CD114) in all T cell subpopulations studied (CD45RA+/CD197+ naive T cells,
CD45RA-/CD197+ central memory T cells, CD45RA-/CD197- effector memory T cells and
CD45RA+/CD197- terminally differentiated effector T cells). Upon T-cell
activation in vitro, G-CSF (10.0 ng/ml) significantly and specifically enhanced
the proportion of CD114+ T cells in central memory CD4+ T cell compartment. A
dilution series of G-CSF (range, 0.1-10.0 ng/ml) was tested, with no effect on
the expression of CD25 (interleukin-2 receptor alpha-chain) on activated T cells.
Meanwhile, G-CSF treatment enhanced the proportion of CD38+ T cells in CD4+ naive
T cell, effector memory T cell and terminally differentiated effector T cell
subsets, as well as in CD4- central memory T cells and terminally differentiated
effector T cells. G-CSF did not affect IL-2 production by T cells; relatively low
concentrations of G-CSF down-regulated INF-gamma production, while high
concentrations of this cytokine up-regulated IL-4 production in activated T
cells. The data obtained suggests that G-CSF could play a significant role both
in preventing the development of excessive and potentially damaging inflammatory
reactivity, and in constraining the expansion of potentially cytodestructive T
cells.
PMID- 29357984
TI - Do Children With Type 2 Diabetes in Canada Have Access to Safe and Effective
Treatment Options?
PMID- 29357986
TI - A Metasynthesis: Uncovering What Is Known About the Experiences of Families With
Children Who Have Life-limiting and Life-threatening Illnesses.
AB - PURPOSE: To conduct a metasynthesis of qualitative research exploring parents'
psychosocial experiences during complex and traumatic life transitions related to
caring for a child with a life-limiting (LLI) or life-threatening illness (LTI).
BACKGROUND: Parents' experiences of caring for a child impacted by an LLI or LTI
are not clearly understood, and holistic, comprehensive pediatric nursing care
for parents who have children with LLI and LTIs continues to be developed as
treatment improves and survival is extended. REVIEW METHODS: Predetermined
inclusion and exclusion criteria were used to review qualitative studies. Those
included were appraised, classified, and synthesized using systematic procedures
guided by Sandelowski and Barroso (2006). DATA SOURCES: A systematic search of
qualitative research was conducted by an experienced librarian to identify and
retrieve studies from 10 databases. RESULTS: Of the 3515 studies screened, 23
were included. A synthesis of the findings demonstrated that parents experience
profound and pervasive uncertainty, leading to their own illness experience being
described as a dual reality in which fighting for survival and recognizing the
threat of their child's death were daily challenges. Three key processes emerged:
the devastation of living with uncertainty, the emergence of hope, and moving
forward. CONCLUSION: The integration of findings adds to the current body of
knowledge by highlighting the very complex experiences that parents undergo.
These findings can support a more comprehensive pediatric nursing plan of care
that accounts for the intricacies of the parental experience and the importance
of hope.
PMID- 29357985
TI - Qualitative Analysis of Pediatric Patient and Caregiver Perspectives After Recent
Diagnosis With Inflammatory Bowel Disease.
AB - PURPOSE: A diagnosis of a chronic illness is a life-altering experience for a
child and his or her family. The purpose of this study was to elicit children and
parent perspectives following a diagnosis of Inflammatory Bowel Disease (IBD).
DESIGN & METHODS: A qualitative description design was employed. Eighteen
patients were recruited from a Pediatric IBD Clinic in Western Canada. Interviews
were used to gather perceptions, opinions, and attitudes from children and their
parents. Transcriptions of the interviews were analyzed using a qualitative
content analysis. RESULTS: Four themes were identified: perspective of diagnosis,
roles in care and decision-making, sharing the diagnosis, and treating the
disease. Children and parents expressed varied emotions in response to diagnosis.
Families articulated the desire to become more active members in the decision
making process on treatment choices. While using conventional medical therapy was
seen as an appropriate choice for short-term therapy, many parents hoped that
more non-conventional and alternative therapies could be used in the future.
CONCLUSION: Healthcare providers need to provide excellent education on the
disease process, treatment options, and the use of CAM therapy in IBD, while at
the same time supporting children and parent's voices in treatment decisions.
PRACTICE IMPLICATIONS: Improvement strategies need to be implemented to allow
families to feel that they have a voice when making decisions regarding treatment
options. Families need to be educated and supported on the use of CAM therapies
in IBD.
PMID- 29357988
TI - GESIDA/PETHEMA recommendations on the diagnosis and treatment of lymphomas in
patients infected by the human immunodeficiency virus.
AB - The incidence of non-Hodgkin's lymphoma and Hodgkin's lymphoma is higher in
patients with HIV infection than in the general population. Following the
introduction of combination antiretroviral therapy (cART), the prognostic
significance of HIV-related variables has decreased, and lymphoma-related factors
have become more pronounced. Currently, treatments for lymphomas in HIV-infected
patients do not differ from those used in the general population. However,
differentiating characteristics of seropositive patients, such as the need for
cART and specific prophylaxis and treatment of certain opportunistic infections,
should be considered. This document updates recommendations on the diagnosis and
treatment of lymphomas in HIV infected patients published by GESIDA/PETHEMA in
2008.
PMID- 29357987
TI - Patient Perspectives on Nurse-led Consultations Within a Pilot Structured
Transition Program for Young Adults Moving From an Academic Tertiary Setting to
Community-based Type 1 Diabetes Care.
AB - PURPOSE: We aimed to evaluate patient self-management activities, patient
perceptions of the therapeutic relationship and satisfaction with nurse-led
consultations as part of a structured, pilot program transitioning young adults
with type 1 diabetes (T1DM) to adult-oriented community-based practices. DESIGN
AND METHODS: A descriptive, cross-sectional study of patients receiving nurse-led
consultations. Patients provided sociodemographic/health information, glycated
hemoglobin (HbA1c) measures and completed questionnaires assessing self
management (Revised Self-Care Inventory) and the therapeutic relationship (Caring
Nurse-Patient Interaction - short scale). HbA1c values were compared to guideline
recommendations. RESULTS: Twenty patients participated. HbA1c was <=7.5% in 3/14
(21%) and 5/14 (36%) exhibited poor glycemic control (>=9.5%). The greatest
concordance for self-care was in relation to insulin therapy (4.5+/-0.5) while
patients reported the lowest adherence to diet recommendations (2.9+/-0.8).
Overall satisfaction with nurse-led consultations was high (4+/-0.5 out of 5).
Patients considered diabetes knowledge and technical competence as very important
and were most pleased with the humanistic aspects of nursing care. Respect for
privacy was deemed the most important (and most frequently observed) nursing
attitude/behavior during consultations. CONCLUSIONS: Young adults found the nurse
led consultations with therapeutic education to develop T1DM self-care skills are
an important complement to medical management during transition. PRACTICE
IMPLICATIONS: Patient autonomy and privacy should be respected during this
developmental period. Nurses taking a humanistic approach towards accompanying
and supporting the patient can enhance the therapeutic relationship during
transition and promote continuity of care. Transition nurses can use technical
competence and therapeutic education to empower patients for self-management.
PMID- 29357989
TI - Does visual letter similarity modulate masked form priming in young readers of
Arabic?
AB - We carried out a masked priming lexical decision experiment to study whether
visual letter similarity plays a role during the initial phases of word
processing in young readers of Arabic (fifth graders). Arabic is ideally suited
to test these effects because most Arabic letters share their basic shape with at
least one other letter and differ only in the number/position of diacritical
points (e.g., ? - ? ;? - ? ;? - ? ;? - ? - ? ? ;? - ? ;? - ? - ? ;? - ? ;? - ? ;?
- ?). We created two one-letter-different priming conditions for each target
word, in which a letter from the consonantal root was substituted by another
letter that did or did not keep the same shape (e.g., ???? - ???? vs. ???? -
????). Another goal of the current experiment was to test the presence of masked
orthographic priming effects, which are thought to be unreliable in Semitic
languages. To that end, we included an unrelated priming condition. We found a
sizable masked orthographic priming effect relative to the unrelated condition
regardless of visual letter similarity, thereby revealing that young readers are
able to quickly process the diacritical points of Arabic letters. Furthermore,
the presence of masked orthographic priming effects in Arabic suggests that the
word identification stream in Indo-European and Semitic languages is more similar
than previously thought.
PMID- 29357990
TI - Generalized trust predicts young children's willingness to delay gratification.
AB - Young children's willingness to delay gratification by forgoing an immediate
reward to obtain a more desirable one in the future predicts a wide range of
positive social, cognitive, and health outcomes. Standard accounts of this
phenomenon have focused on individual differences in cognitive control skills
that allow children to engage in goal-oriented behavior, but recent findings
suggest that person-specific trust is also important, with children showing a
stronger tendency to delay gratification if they have reason to trust the
individual who is promising the future reward. The current research builds on
those findings by examining generalized trust, which refers to the extent to
which others are generally viewed as trustworthy. A total of 150 3- to 5-year
olds in China were tested. Participants were given the opportunity to obtain one
sticker immediately, or wait for 15 min for two stickers. Results showed that
participants with high levels of generalized trust waited longer even after
controlling for age and level of executive function. These results suggest that
trust plays a role in delaying gratification even when children have no
information about the individual who is promising the future reward. More
broadly, the findings build on recent evidence that there is more to delay of
gratification than cognitive capacity, and they suggest that there are individual
differences in whether children consider sacrificing for a future outcome to be
worth the risk.
PMID- 29357991
TI - Feasibility of medical stone amendment for sewage sludge co-composting and
production of nutrient-rich compost.
AB - The feasibility of medical stone (MS) amendment as an innovative additive for
dewatered fresh sewage sludge (DFSS) co-composting was assessed using a 130-L
vessel-scale composter. To verify successful composting, five treatments were
designed with four different dosages (2, 4, 6, and 10) % of MS with a 1:1 mixture
(dry weight) of DFSS + wheat straw (WS). The WS was used as a bulking agent. A
control without any amendment treatment was carried out for the purpose of
comparison. For DFSS co-composting, the amendment with MS improved the
mineralization efficiency and compost quality in terms of CO2 emissions,
dehydrogenase enzyme (DE), electrical conductivity (EC), water-solubility, and
total nutrients transformation. The DTPA-extractable Cu and Zn were also
estimated to confirm the immobilization ability of the applied MS. Seed
germination and plant growth tests were conducted to ensure the compost stability
and phytotoxicity for Chinese cabbage (Brassica rapa chinensis L.) growth and
biomass, as well as chlorophyll content. The results showed that during the bio
oxidative phase, DOC, DON, AP, NH4+-N, and NO3--N increased drastically in all
the MS-blended treatments, except the application of 2% MS and the control
treatment; significantly lower water-soluble nutrients were observed in the 2% MS
and control treatments. A novel additive with 6-10% MS dosages considerably
enhanced the organic matter conversion in the stable end-product (compost) and
reduced the maturity period by two weeks compared to the 2% MS and control
treatments. Consequently, the maturity parameters (e.g., EC, SGI, NH4+-N, DOC,
and DON) confirmed that compost with 6-10% MS became more stable and mature
within four weeks of DFSS co-composting. At the end of composting, significantly
higher DTPA-extractable Cu and Zn contents were observed in the control
treatment, and subsequently, in the very low application (10%) of MS. Higher MS
dosage lowered the pH and EC to within the permissible limit compared to the
control, while increased concentrations of water-soluble nutrients diminished the
DTPA-extractable Cu and Zn contents. In addition, plant growth experiments
demonstrated that the addition of compost with 150 kg ha-1 TKN improved the
Chinese cabbage biomass and chlorophyll level. The highest dry weight biomass
(2.78 +/- 0.02 g/pot) was obtained with 6% MS-blended compost while the maximum
chlorophyll content was found with application of 4% MS compost (41.84 SPAD-unit)
for Chinese cabbage. Therefore, 6-10% MS can be recommended to improve DFSS
composting and to reduce the period to maturity by two weeks when considering its
composting effect on Chinese cabbage growth, biomass yield, and chlorophyll
level. However, amendment with 6% MS is a more economically feasible approach for
DFSS co-composting.
PMID- 29357992
TI - Force required for correcting the deformity of pectus carinatum and related
multivariate analysis.
AB - OBJECTIVE: To measure the force required for correcting pectus carinatum to the
desired position and investigate the correlations of the required force with
patients' gender, age, deformity type, severity and body mass index (BMI).
METHODS: A total of 125 patients with pectus carinatum were enrolled in the study
from August 2013 to August 2016. Their gender, age, deformity type, severity and
BMI were recorded. A chest wall compressor was used to measure the force required
for correcting the chest wall deformity. Multivariate linear regression was used
for data analysis. RESULTS: Among the 125 patients, 112 were males and 13 were
females. Their mean age was 13.7+/-1.5 years old, mean Haller index was 2.1+/
0.2, and mean BMI was 17.4+/-1.8 kg/m2. Multivariate linear regression analysis
showed that the desirable force for correcting chest wall deformity was not
correlated with gender and deformity type, but positively correlated with age and
BMI and negatively correlated with Haller index. CONCLUSIONS: The desirable force
measured for correcting chest wall deformities of patients with pectus carinatum
positively correlates with age and BMI and negatively correlates with Haller
index. The study provides valuable information for future improvement of
implanted bar, bar fixation technique, and personalized surgery. TYPE OF STUDY:
Retrospective study. LEVEL OF EVIDENCE: Level 3-4.
PMID- 29357993
TI - Long-term exposure to fine particulate matter air pollution and type 2 diabetes
mellitus in elderly: A cohort study in Hong Kong.
AB - BACKGROUND: Evidence for the link between long-term air pollution exposure and
occurrence of diabetes is limited and the results are mixed. OBJECTIVES: We aimed
to assess the association of long-term residential exposure to fine particulate
matter (PM2.5) with the prevalence and incidence of type 2 diabetes mellitus
(DM). METHODS: This is a prospective cohort study. We studied 61,447 participants
of the Chinese Elderly Health Services cohort in Hong Kong enrolled 1998-2001 and
followed participants without DM at baseline to 31 December 2010 to ascertain the
first hospital admissions for type 2 DM. Yearly mean residential PM2.5 exposure
was predicted based on satellite data. Logistic regression and time-varying Cox
regression model were used to evaluate the prevalence and incidence risk of DM
associated with PM2.5 while adjusting for potential individual and neighborhood
confounders. RESULTS: There were 61,447 participants included in the study of
prevalent DM, and in 53,905 participants without DM at baseline we studied
incident type 2 DM. Over a mean follow-up of 9.8 years, we ascertained 806
incident cases of type 2 DM. After adjusting for potential confounders, the odds
ratio (OR) for every interquartile range (3.2 MUg/m3) increase of PM2.5
concentration was 1.06 (95% confidence interval (CI): 1.01-1.11) for prevalent
DM, while the corresponding hazard ratio (HR) was 1.15 (95% CI: 1.05-1.25) for
incident type 2 DM. CONCLUSIONS: Long-term exposure to high levels of PM2.5 may
increase the risk of both prevalence and incidence of type 2 diabetes mellitus in
Hong Kong elderly population.
PMID- 29357994
TI - Severe pulmonary emphysema in a young patient with vasculitis associated with
proteinase-3 anti-neutrophil cytoplasmic antibodies (PR3-ANCA).
PMID- 29357995
TI - Neutrophilic Asthma.
PMID- 29357996
TI - Peroneus brevis tendon in proximal 5th metatarsal fractures: Anatomical
considerations for safe hook plate placement.
AB - INTRODUCTION: The peroneus brevis tendon (PBT) inserts into the proximal aspect
of the 5th metatarsal. Metatarsal bone fractures are encountered to be the most
common fractures in the foot with predominantly fractures at the base of the
fifth metatarsal bone. Mechanism of injury and treatment of the proximal 5th
metatarsal fractures vary due to the complex anatomy and diverse biomechanical
properties. The purpose of this study was to analyze the footprint of the PBT
with regards to the proximal 5th metatarsal fractures and to define a "safe zone"
for hook plate placement. MATERIALS AND METHODS: Forty-one (41) fixed human lower
leg specimens were dissected to expose the PBT insertion. The following footprint
characteristics were evaluated: area of insertion (AOI) (mm2), length (mm), width
(mm), shape and insertional variations. The position of the main PBT footprint
was localized according to the Lawrence and Botte classification for the proximal
5th metatarsal fractures (Zone I-III). A "safe zone" was defined for the fracture
specific hook plate placement. RESULTS: In 25 (61%) feet the PBT footprint was
situated in Zone I and in 16 feet (39%) in Zone I&II. The mean AOI, length and
width measured 54.5 mm2 (SD 16.5), 16.0 mm (SD 5.1) and 4.7 mm (SD 1.4),
respectively. Analysis of the footprint shapes revealed four different shape
types: kidney (29.3%), diamond (22.0%), crescent (31.7%) and oval (17.0%). A
"safe zone" for hook plate placement without or minimal interference of the PBT
at its insertion could be defined at the lateral aspect of the 5th metatarsal.
CONCLUSION: The majority of the PBT footprints were found in Zone I. Hook plate
placement demonstrated to be safe when placed strictly laterally at the proximal
aspect of the 5th metatarsal. Precise knowledge of the peroneus brevis anatomy
may help to better understand the biomechanical aspects of the proximal 5th
metatarsal fractures.
PMID- 29357997
TI - Year-round presence of neonicotinoid insecticides in tributaries to the Great
Lakes, USA.
AB - To better characterize the transport of neonicotinoid insecticides to the world's
largest freshwater ecosystem, monthly samples (October 2015-September 2016) were
collected from 10 major tributaries to the Great Lakes, USA. For the monthly
tributary samples, neonicotinoids were detected in every month sampled and five
of the six target neonicotinoids were detected. At least one neonicotinoid was
detected in 74% of the monthly samples with up to three neonicotinoids detected
in an individual sample (10% of all samples). The most frequently detected
neonicotinoid was imidacloprid (53%), followed by clothianidin (44%),
thiamethoxam (22%), acetamiprid (2%), and dinotefuran (1%). Thiacloprid was not
detected in any samples. The maximum concentration for an individual
neonicotinoid was 230 ng L-1 and the maximum total neonicotinoids in an
individual sample was 400 ng L-1. The median detected individual neonicotinoid
concentrations ranged from non-detect to 10 ng L-1. The detections of
clothianidin and thiamethoxam significantly increased as the percent of
cultivated crops in the basins increased (rho = 0.73, P = .01; rho = 0.66, P =
.04, respectively). In contrast, imidacloprid detections significantly increased
as the percent of the urbanization in the basins increased (rho = 0.66, P = .03).
Neonicotinoid concentrations generally increased in spring through summer
coinciding with the planting of neonicotinoid-treated seeds and broadcast
applications of neonicotinoids. More spatially intensive samples were collected
in an agriculturally dominated basin (8 sites along the Maumee River, Ohio) twice
during the spring, 2016 planting season to provide further information on
neonicotinoid inputs to the Great Lakes. Three neonicotinoids were ubiquitously
detected (clothianidin, imidacloprid, thiamethoxam) in all water samples
collected within this basin. Maximum individual neonicotinoid concentrations was
330 ng L-1 and maximum total neonicotinoid concentration was 670 ng L-1; median
detected individual neonicotinoid concentrations were 7.0 to 39 ng L-1.
PMID- 29357998
TI - [WebSurvCa: web-based estimation of death and survival probabilities in a
cohort].
AB - Relative survival has been used as a measure of the temporal evolution of the
excess risk of death of a cohort of patients diagnosed with cancer, taking into
account the mortality of a reference population. Once the excess risk of death
has been estimated, three probabilities can be computed at time T: 1) the crude
probability of death associated with the cause of initial diagnosis (disease
under study), 2) the crude probability of death associated with other causes, and
3) the probability of absolute survival in the cohort at time T. This paper
presents the WebSurvCa application (https://shiny.snpstats.net/WebSurvCa/),
whereby hospital-based and population-based cancer registries and registries of
other diseases can estimate such probabilities in their cohorts by selecting the
mortality of the relevant region (reference population).
PMID- 29357999
TI - Recommendations of the Spanish Working Group on Crohn's Disease and Ulcerative
Colitis (GETECCU) on the use of thiopurines in inflammatory bowel disease.
AB - Thiopurines (azathioprine and mercaptopurine) are widely used in patients with
inflammatory bowel disease. In this paper, we review the main indications for
their use, as well as practical aspects on efficacy, safety and method of
administration. They are mainly used to maintain remission in steroid-dependent
disease or with ciclosporin to control a severe ulcerative colitis flare-up, as
well as to prevent postoperative Crohn's disease recurrence, and also in
combination therapy with biologics. About 30-40% of patients will not respond to
treatment and 10-20% will not tolerate it due to adverse effects. Before they are
prescribed, immunisation status against certain infections should be checked.
Determination of thiopurine methyltransferase activity (TPMT) is not mandatory
but it increases initial safety. The appropriate dose is 2.5mg/kg/day for
azathioprine and 1.5mg/kg/day for mercaptopurine. Some adverse effects are
idiosyncratic (digestive intolerance, pancreatitis, fever, arthromyalgia, rash
and some forms of hepatotoxicity). Others are dose-dependent (myelotoxicity and
other types of hepatotoxicity), and their surveillance should never be
interrupted during treatment. If therapy fails or adverse effects develop,
management can include switching from one thiopurine to the other, reducing the
dose, combining low doses of azathioprine with allopurinol and assessing
metabolites, before their use is ruled out. Non-melanoma skin cancer, lymphomas
and urinary tract tumours have been linked to thiopurine therapy. Thiopurine use
is safe during conception, pregnancy and breastfeeding.
PMID- 29358000
TI - Detection and management of cardiomyopathy in female dystrophinopathy carriers.
AB - Regular health checkups for mothers of patients with Duchenne muscular dystrophy
have been performed at National Hospital Organization Tokushima Hospital since
1994. Among 43 mothers participated in this study, 28 dystrophinopathy carriers
were identified. Skeletal and cardiac muscle functions of these subjects were
examined. High serum creatine kinase was found in 23 subjects (82.1%). Obvious
muscle weakness was present in 5 (17.8%) and had progressed from 1994 to 2015.
Cardiomyopathy was observed in 15 subjects (60.0%), including dilated
cardiomyopathy-like damage that was more common in the left ventricular (LV)
posterior wall. Late gadolinium enhancement on cardiac MRI was found in 5 of 6
subjects, suggesting fibrotic cardiac muscle. In speckle tracking
echocardiography performed seven years later, global longitudinal strain was
decreased in these subjects, indicating LV myocardial contractile abnormality.
These results suggest that female dystrophinopathy carriers should receive
regular checkups for detection and treatment of cardiomyopathy, even if they have
no cardiac symptoms.
PMID- 29358001
TI - Catheter-based endobronchial electroporation is feasible for the focal treatment
of peribronchial tumors.
AB - OBJECTIVE: To evaluate the feasibility of catheter-based endobronchial
electroporation for the treatment of peribronchial tumors and assess the
incidence of treatment-related adverse events. METHODS: Cytotoxicity of
electroporation with or without cisplatin or gefitinib was assessed in vitro with
lung cancer and normal cell lines. A novel catheter was designed for
endobronchial electroporation, and computer simulations were used to predict in
vivo treatment effects. Electroporation with the test catheter was performed
(2000 V, 70 pulses) in the main bronchus of 8 pigs at 11 locations. Computed
tomography imaging was performed before they were killed at 4 hours (6 animals)
or 4 weeks (2 animals) posttreatment. Treated airway and surrounding parenchyma
were compared with sham treatment via gross and histopathology. RESULTS:
Significant cell death due to electroporation and increased cytotoxicity in
combination with cisplatin or gefitinib were observed in cancer cells only (P <
.05). Simulations predicted penetrative electroporation of peribronchial
parenchyma without tissue heating. Electric pulse delivery in vivo induced
transient venous and bronchial spasms that resolved without intervention. Cross
sectional measurement of electroporation effects on computed tomography (14.4 +/-
1.4 by 10.5 +/- 1.3 mm) and gross pathology (17.2 +/- 3.0 by 8.8 +/- 0.6 mm) were
representative of values predicted by simulation (P < .001). Cell death due to
irreversible electroporation was observed in bronchial and parenchymal tissue in
acute tissue samples. Treated lung rapidly recovered from the effects of
electroporation without change in bronchial patency at 4 weeks posttreatment.
CONCLUSIONS: Catheter-based endobronchial electroporation is a reproducible
technique that can be used to treat peribronchial tumors in combination with
cisplatin, without affecting patency of the treated bronchus.
PMID- 29358002
TI - The effect of a natural food based tube feeding in minimizing diarrhea in
critically ill neurological patients.
AB - BACKGROUND & AIMS: Diarrhea has negative consequences for patients, health care
staff and health care costs when neurological patients are fed enterally over
long periods. We examined the effect of tube feeding with natural foods in
reducing the number of fluid stool evacuations and diarrhea in critically ill
neurological patients. METHODS: A multicenter, prospective, open-label and
randomized controlled trial (RCT) was conducted at facilities in Germany
specializing in early rehabilitation after neurological damage. Patients of the
INTERVENTION group were fed by tube using a commercially available product based
on real foods such as milk, meat, carrots, whereas CONTROL patients received a
standard tube-feed made of powdered raw materials. All received enteral nutrition
over a maximum of 30 days. The number of defecations and the consistency of each
stool according to the Bristol Stool Chart (BSC) were monitored. In addition,
daily calories, liquids and antibiotic-use were recorded. RESULTS: 118 Patients
who had suffered ischemic stroke, intracerebral hemorrhage, traumatic brain
injury or hypoxic brain damage and requiring enteral nutrition were enrolled; 59
were randomized to receive the intervention and 59 control feed. There were no
significant differences in clinical screening data, age, sex, observation period
or days under enteral nutrition between the groups. Patients in both groups
received equivalent amount of calories and fluids. In both groups antibiotics
were frequently prescribed (69.5% in the INTERVENTION group and 75.7% in the
CONTROL group) for 10-11 days on average. In comparison to the CONTROL group,
patients in the INTERVENTION group had a significant reduction of the number of
watery stool evacuations (type 7 BSC) (minus 61%, IRR = 0.39, p < 0.001). Further
statistical evaluations using the following corrections: major diarrhea
associated confounders (number and duration of antibiotics); shorter observation
period of 15 days; excluding patients with Clostridiumdifficile associated
diarrhea (CDAD) and the Per Protocol Population, confirmed the primary
hypothesis. The number of days with diarrhea was significantly lower in the
INTERVENTION group (0.8 +/- 1.60 days versus 2.0 +/- 3.46 days). CONCLUSIONS:
Tube feeding with natural based food was effective in reducing the number of
watery defecations and diarrhea in long term tube-fed critically ill neurological
patients, compared to those fed with standard tube feeding.
PMID- 29358003
TI - Predicting device failure after percutaneous repair of functional mitral
regurgitation in advanced heart failure: Implications for patient selection.
AB - BACKGROUND: Patients with heart failure (HF) and severe symptomatic functional
mitral regurgitation (FMR) may benefit from MitraClip implantation. With
increasing numbers of patients being treated the success of procedure becomes a
key issue. We sought to investigate the pre-procedural predictors of device
failure in patients with advanced HF treated with MitraClip. METHODS: From April
2012 to November 2016, 76 patients with poor functional class (NYHA class III-IV)
and severe left ventricular (LV) remodeling underwent MitraClip implantation at
University Hospitals of Trieste and Bologna (Italy). Device failure was assessed
according to MVARC criteria. Patients were subsequently followed to additionally
assess the patient success after 12months. RESULTS: Mean age was 67+/-12years,
the mean Log-EuroSCORE was 23.4+/-16.5%, and the mean LV end-diastolic volume
index and ejection fraction (EF) were 112+/-33ml/m2 and 30.6+/-8.9%,
respectively. At short-term evaluation, device failure was observed in 22 (29%)
patients. Univariate predictors of device failure were LVEF, LV and left atrial
volumes and anteroposterior mitral annulus diameter. Annulus dimension (OR 1.153,
95% CI 1.002-1.327, p=0.043) and LV end-diastolic volume (OR 1.024, 95% CI 1.000
1.049, p=0.049) were the only variables independently associated with the risk of
device failure at the multivariate model. CONCLUSIONS: Pre-procedural
anteroposterior mitral annulus diameter accurately predicted the risk of device
failure after MitraClip in the setting of advanced HF. Its assessment might aid
the selection of the best candidates to percutaneous correction of FMR.
PMID- 29358004
TI - Hematologists' Preferences for First-line Therapy Characteristics for Multiple
Myeloma in Japan: Attribute Rating and Discrete Choice Experiment.
AB - PURPOSE: With the progress being made in the treatment of multiple myeloma and
other complex cancers, a variety of clinical research and treatment options are
being pursued. This study uses a discrete choice experiment (DCE) to estimate
treatment characteristic preferences of hematologists in Japan. METHODS: A 2
stage process was applied within this study. The first stage is an attribute
rating exercise in which each of the full list of 21 attributes is rated on its
importance by the clinicians when selecting a first-line therapy. The top 8 rated
attributes from a stepwise logistic regression model are then used to develop a
DCE to estimate hematologists' willingness to trade-off characteristics of the
treatment options in their recommendation of a first-line treatment. A logit
model was used to identify the attribute levels that were the strongest
determinants of the physicians' treatment preferences. FINDINGS: From among the
potential treatment attributes presented, improved overall survival had the most
significant impact on the treatment choice of participating Japanese
hematologists. Improvement in the ability to promptly reduce M-protein is also a
highly prioritized treatment characteristic, with hematologists willing to
sacrifice just over 1 month extra overall survival for this. Additionally, the
hematologists' value improved suitability for chromosomal abnormalities with poor
prognosis, suitability of the mechanism of action in initial treatment, and
promptly improving calcium-renal-anemia-bone symptoms each at roughly 0.9 months
extended overall survival. The reduction of adverse events is among the other
significant factors in choice of treatment, though it was not found to be as
strong a determinant as those mentioned. IMPLICATIONS: This study reinforces the
expectation that clinical research and treatment options should continue to focus
on overall survival and are key priorities in multiple myeloma treatment
development. However, clinicians are willing to consider and trade off other
clinical factors and markers in their choice of treatment. The potential
improvements presented were also found to have a greater impact on treatment
choice than aversion to the potential worse outcomes presented.
PMID- 29358005
TI - Allbutt of Leeds and Duchenne de Boulogne: Newly discovered insights on Duchenne
by a British neuropsychiatrist.
AB - It is well-established that Guillaume-Benjamin-Amand Duchenne de Boulogne (1806
1875), and Jean-Martin Charcot (1825-1893) were the founding fathers of Parisian
and French neurology during the second half of the 19th century, although much
more is known about Charcot than about his "master" Duchenne. In Britain, Thomas
Clifford Allbutt (1836-1925) was Leeds' most distinguished physician of the 19th
century, eventually becoming Regius Professor of Physic at Cambridge. Allbutt's
1860-1861 year of postgraduate study in Paris and his friendship with Duchenne
profoundly influenced his own contributions to nervous system and mental
diseases, partly in collaboration with his colleague James Crichton-Browne (1840
1938) at the nearby West Riding Lunatic Asylum in Wakefield, Yorkshire. The
present report briefly recalls the careers of Duchenne and Allbutt, and also
presents a unique account by Allbutt of Duchenne in action at the height of his
powers, investigating and defining the previously uncharted field of
neuromuscular diseases with the aid of his localized electrization techniques.
This account is discussed in relation to: Duchenne's personality and pioneering
neurological achievements; the origins of French neurology; and the development
of Anglo-French neurological relationships during the 19th century.
Interestingly, both Duchenne and Crichton-Browne separately made important and
much-appreciated contributions to the third major book by Charles Darwin (1809
1882), The Expression of the Emotions in Man and Animals, published in 1872.
PMID- 29358006
TI - Computer-aided Design/Computer-aided Manufacturing-guided Endodontic Surgery:
Guided Osteotomy and Apex Localization in a Mandibular Molar with a Thick Buccal
Bone Plate.
AB - A mandibular molar with a thick buccal bone plate is a challenging problem in
endodontic surgery despite the increase in the success rate of endodontic surgery
nowadays. This report describes the application of a surgical template to guide
osteotomy and facilitate apex localization in a mandibular molar with a thick
buccal bone plate. A 57-year-old woman visited the authors' clinic for pain in
tooth 19 and was diagnosed with symptomatic apical periodontitis in this
previously treated tooth. Nonsurgical retreatment was performed; however, 2 years
later, the patient reported pain in the same tooth. A periapical lesion was
confirmed using cone-beam computed tomographic (CBCT) imaging, and endodontic
surgery on the mesial root of tooth 19 was planned. After CBCT imaging and cast
scan data were transferred to implant surgical planning software, the data were
superimposed. In the superimposed model, an anchor pin was designed to target the
mesial root apex of tooth 19. The surgical template was then printed using a 3
dimensional printer. Endodontic microsurgery included application of this printed
surgical template. A computer-aided design/computer-aided manufacturing (CAD/CAM)
guided surgical template minimized the extent of osteotomy and enabled precise
targeting of the apex in this case. There were no postoperative complications. A
CAD/CAM-guided surgical template is useful in endodontic surgery for complicated
cases.
PMID- 29358007
TI - Pretreatment with intravenous fish oil reduces hepatic ischemia reperfusion
injury in a murine model.
AB - BACKGROUND: Ischemia reperfusion injury is a barrier to liver surgery and
transplantation, particularly for steatotic livers. The purpose of this study was
to determine if pretreatment with a single dose of intravenous fish oil decreases
hepatic ischemia reperfusion injury and improves recovery of injured livers.
METHODS: Sixty adult male C57BL/6 mice received 1 g/kg intravenous fish oil
(Omegaven, Fresenius Kabi) or isovolumetric 0.9% NaCl (saline) via tail vein 1
hour before 30 minutes of 70% hepatic ischemia. Animals were killed 4, 8, or 24
hours postreperfusion, and livers were harvested for histologic analysis.
RESULTS: Four hours postreperfusion, saline-treated livers demonstrated marked
ischemia diffusely around the central veins, while intravenous fish oil-treated
livers demonstrated only patchy necrosis with intervening normal parenchyma.
Eight hours postreperfusion, all livers demonstrated pale areas of cell loss with
surrounding regenerating hepatocytes. Ki67 staining confirmed 14.4/10 high
powered field (95% confidence interval, 3.2-25.6) more regenerating hepatocytes
around areas of necrosis in intravenous fish oil-treated livers. Twenty-four
hours postreperfusion, all livers demonstrated patchy areas of necrosis, with an
89% (95% confidence interval, 85-92) decrease in the area of necrosis in
intravenous fish oil-treated livers. CONCLUSION: Intravenous fish oil treatment
prior to hepatic ischemia reperfusion injury decreased the area of hepatic
necrosis and increased hepatocyte regeneration compared to saline treatment in a
mouse model.
PMID- 29358009
TI - What Can Big Data on Academic Interest Reveal about a Drug? Reflections in Three
Major US Databases.
AB - The different stages of the life cycle of a drug - 'prenatal' stage, birth of a
drug, rapid growth, maturity and stability, decline, and status before 'death' -
are reflected in the three following databases: journal articles (PubMed
www.ncbi.nlm.nih.gov/pubmed); patents (US Patent Office
http://partfl1.uspto.gov/netahtml/PTO/search-adv.htlm); and approved drugs (FDA -
www.accessdata.fda.gov/scripts/cder/drugsatfda/index/cfm). These databases are
huge, from authoritative sources, correctly classified, and they properly link
different datasets. Analysis of such data can uncover hidden patterns important
for the assessment of drug status and may also yield some predictions regarding
its future prospects. Drug-related, publication-based academic bibliographic
records are especially numerous and support the development of various
scientometric indices. In combination with information from other types of
databases, they can outline various trends in pharmacology. Scientometric indices
can be classified into those indicating a change in the status of a drug, and
those assessing the chances for success, or even drug discontinuation. Here, we
present big data analytics on publication-based academic interest in two
segments: (i) description of scientometric indices and (ii) their applications
for the assessment of the status of a drug.
PMID- 29358008
TI - An approach to value-based simulator selection: The creation and evaluation of
the simulator value index tool.
AB - BACKGROUND: Currently there is no reliable, standardized mechanism to support
health care professionals during the evaluation of and procurement processes for
simulators. A tool founded on best practices could facilitate simulator purchase
processes. METHODS: In a 3-phase process, we identified top factors considered
during the simulator purchase process through expert consensus (n = 127), created
the Simulator Value Index (SVI) tool, evaluated targeted validity evidence, and
evaluated the practical value of this SVI. A web-based survey was sent to
simulation professionals. Participants (n = 79) used the SVI and provided
feedback. We evaluated the practical value of 4 tool variations by calculating
their sensitivity to predict a preferred simulator. RESULTS: Seventeen top
factors were identified and ranked. The top 2 were technical
stability/reliability of the simulator and customer service, with no practical
differences in rank across institution or stakeholder role. Full SVI variations
predicted successfully the preferred simulator with good (87%) sensitivity,
whereas the sensitivity of variations in cost and customer service and cost and
technical stability decreased (<=54%). The majority (73%) of participants agreed
that the SVI was helpful at guiding simulator purchase decisions, and 88% agreed
the SVI tool would help facilitate discussion with peers and leadership.
CONCLUSION: Our findings indicate the SVI supports the process of simulator
purchase using a standardized framework. Sensitivity of the tool improved when
factors extend beyond traditionally targeted factors. We propose the tool will
facilitate discussion amongst simulation professionals dealing with simulation,
provide essential information for finance and procurement professionals, and
improve the long-term value of simulation solutions. Limitations and application
of the tool are discussed.
PMID- 29358010
TI - Volar Plate Fixation to Treat Scaphoid Nonunion: A Case Series With Minimum 3
Years of Follow-Up.
AB - PURPOSE: Several options exist for treating scaphoid nonunion. For selected
cases, some authors recommend using a volar buttress plate. The aim of the study
was to report the clinical and radiological outcomes achieved at a minimum of 3
years' follow-up of treating scaphoid nonunion with a scaphoid volar plate.
METHODS: We retrospectively reviewed 15 patients with symptomatic scaphoid
nonunion treated with scaphoid plate osteosynthesis and a bone graft from the
volar aspect of the distal radius between January 2011 and November 2013. The
patients' average age was 32 years (range, 21-62 years). No patient had undergone
previous scaphoid surgery. Clinical assessments included wrist range of motion
(ROM), grip strength, and a 10-point visual analog scale rating of pain. Further
subjective assessment was performed using validated measurement tools. All
patients underwent plain radiography with 6 different views and computed
tomography before and after surgery. The scapholunate angle was measured to
evaluate carpal alignment. RESULTS: The mean follow-up period was 42 months
(range, 36-51 months). Bone union was obtained in 13 of 15 patients (87%) at an
average of 5 months (range, 3-8 months) after surgery. Significant improvements
in the scapholunate angle and visual analog scale pain score were observed.
However, both wrist ROM and grip strength remained significantly decreased
relative to the opposite side (62% and 55% of normal, respectively). Four
patients experienced hardware complications: plate breakage (1 scaphoid) and
screw back-out (3 scaphoids). Impingement between the radial styloid and the
scaphoid plate was identified in 6 patients. Five patients required additional
surgery to remove the plate. CONCLUSIONS: Our study indicates unsatisfactory
results treating scaphoid nonunion with a volar scaphoid plate. Although the rate
of union was comparable with that of other series, we noted more complications.
We are concerned about both the high proportion of hardware complications and
required secondary surgical procedures. TYPE OF STUDY/LEVEL OF EVIDENCE:
Therapeutic IV.
PMID- 29358011
TI - From 6 years to 5 days for organ allocation policy change.
PMID- 29358012
TI - Once- versus twice-daily dosing of eliglustat in adults with Gaucher disease type
1: The Phase 3, randomized, double-blind EDGE trial.
AB - Eliglustat is a first-line oral therapy for adults with Gaucher disease type 1
(GD1) with compatible CYP2D6-metabolizer phenotypes (>90% of patients). The
randomized, double-blind EDGE trial (NCT01074944, Sanofi Genzyme) evaluated once
daily eliglustat dosing compared with the approved twice-daily regimen at the
same total daily dose in adults with GD1. Subjects received twice-daily dosing
during a 6- to 18-month lead-in period. Only subjects who attained prespecified
treatment goals for hemoglobin, platelet count, spleen and liver volumes, and
bone symptoms during the lead-in period were randomized to once- or twice-daily
dosing. Of 170 enrolled patients, 156 completed the lead-in period and 131 met
all requirements to enter the double-blind treatment period. To achieve the
composite primary endpoint in the double-blind period, patients had to maintain
clinical stability relative to baseline on all five endpoints (hemoglobin,
platelet count, spleen and liver volumes, and bone symptoms) and meet
pharmacokinetic and other tolerability requirements as determined by the
investigator after 1year of eliglustat treatment. After 1year, 80.4% (95% CI:
67.6, 89.8) of once-daily patients were stable compared with 83.1% (95% CI: 71.0,
91.6) of twice-daily patients. The 95% CI for the mean difference of -2.7%
between groups was -17.7, 11.9. Because the lower bound of the CI exceeded the
pre-defined non-inferiority margin of -15%, once-daily dosing could not be
declared non-inferior to twice-daily dosing. Both once-daily and twice-daily
patients maintained mean values for hematologic and visceral measures within
established therapeutic goals during the double-blind treatment and long-term
extension periods. Eliglustat was generally well-tolerated during this long-term
trial (mean treatment duration: 3.3years), with just four withdrawals (2%) for
related adverse events (AE), and similar AE profiles for both dosing regimens.
Patients on twice-daily eliglustat showed more stability overall, and this dose
regimen was better tolerated, confirming the dosing regimen for most patients
specified in the drug label.
PMID- 29358013
TI - Perioperative Care of the Obese Cardiac Surgical Patient.
AB - Morbid obesity is associated with impairment of cardiovascular, pulmonary,
gastrointestinal, and renal physiology with significant perioperative
consequences and has been linked with higher morbidity and mortality after
cardiac surgery. Cardiac surgery patients have a higher incidence of difficult
airway and difficult laryngoscopy than general surgery patients do, and obesity
is associated with difficult mask ventilation and direct laryngoscopy.
Positioning injuries occur more frequently because obese patients are at greater
risk of pressure injury, such as rhabdomyolysis and compartment syndrome. Despite
the association between obesity and several chronic disease states, the effects
of obesity on perioperative outcomes are conflicting. Studies examining outcomes
of overweight and obese patients in cardiac surgery have reported varying
results. An "obesity paradox" has been described, in which the mortality for
overweight and obese patients is lower compared with patients of normal weight.
This review describes the physiologic abnormalities and clinical implications of
obesity in cardiac surgery and summarizes recommendations for anesthesiologists
to optimize perioperative care of the obese cardiac surgical patient.
PMID- 29358014
TI - High Volume Aortic Practices Demonstrate Benefits Crossing Healthcare Boundaries.
PMID- 29358015
TI - The Portuguese Registry of Hypertrophic Cardiomyopathy: Overall results.
AB - INTRODUCTION: We report the results of the Portuguese Registry of Hypertrophic
Cardiomyopathy, an initiative that reflects the current spectrum of cardiology
centers throughout the territory of Portugal. METHODS: A direct invitation to
participate was sent to cardiology departments. Baseline and outcome data were
collected. RESULTS: A total of 29 centers participated and 1042 patients were
recruited. Four centers recruited 49% of the patients, of whom 59% were male, and
mean age at diagnosis was 53+/-16 years. Hypertrophic cardiomyopathy (HCM) was
identified as familial in 33%. The major reason for diagnosis was symptoms (53%).
HCM was obstructive in 35% of cases and genetic testing was performed in 51%.
Invasive septal reduction therapy was offered to 8% (23% of obstructive
patients). Most patients (84%) had an estimated five-year risk of sudden death of
<6%. Thirteen percent received an implantable cardioverter-defibrillator. After a
median follow-up of 3.3 years (interquartile range [P25-P75] 1.3-6.5 years), 31%
were asymptomatic. All-cause mortality was 1.19%/year and cardiovascular
mortality 0.65%/year. The incidence of heart failure-related death was
0.25%/year, of sudden cardiac death 0.22%/year and of stroke-related death
0.04%/year. Heart failure-related death plus heart transplantation occurred in
0.27%/year and sudden cardiac death plus equivalents occurred in 0.53%/year.
CONCLUSIONS: Contemporary HCM in Portugal is characterized by relatively advanced
age at diagnosis, and a high proportion of invasive treatment of obstructive
forms. Long-term mortality is low; heart failure is the most common cause of
death followed by sudden cardiac death. However, the burden of morbidity remains
considerable, emphasizing the need for disease-specific treatments that impact
the natural history of the disease.
PMID- 29358016
TI - TRIMAGE: A dedicated trimodality (PET/MR/EEG) imaging tool for schizophrenia.
AB - Simultaneous PET/MR/EEG (Positron Emission Tomography - Magnetic Resonance -
Electroencephalography), a new tool for the investigation of neuronal networks in
the human brain, is presented here within the framework of the European Union
Project TRIMAGE. The trimodal, cost-effective PET/MR/EEG imaging tool makes use
of cutting edge technology both in PET and in MR fields. A novel type of magnet
(1.5T, non-cryogenic) has been built together with a PET scanner that makes use
of the most advanced photodetectors (i.e., SiPM matrices), scintillators matrices
(LYSO) and digital electronics. The combined PET/MR/EEG system is dedicated to
brain imaging and has an inner diameter of 260 mm and an axial Field-of-View of
160 mm. It enables the acquisition and assessment of molecular metabolic
information with high spatial and temporal resolution in a given brain
simultaneously. The dopaminergic system and the glutamatergic system in
schizophrenic patients are investigated via PET, the same
physiological/pathophysiological conditions with regard to functional
connectivity, via fMRI, and its electrophysiological signature via EEG. In
addition to basic neuroscience questions addressing neurovascular-metabolic
coupling, this new methodology lays the foundation for individual physiological
and pathological fingerprints for a wide research field addressing healthy aging,
gender effects, plasticity and different psychiatric and neurological diseases.
The preliminary performances of two components of the imaging tool (PET and MR)
are discussed. Initial results of the search of possible candidates for suitable
schizophrenia biomarkers are also presented as obtained with PET/MR systems
available to the collaboration.
PMID- 29358018
TI - Non invasive mechanical ventilation in myotonic dystrophy type 1? Hypoventilation
versus quality of life perspective.
PMID- 29358017
TI - Normal CA1 Place Fields but Discoordinated Network Discharge in a Fmr1-Null Mouse
Model of Fragile X Syndrome.
AB - Silence of FMR1 causes loss of fragile X mental retardation protein (FMRP) and
dysregulated translation at synapses, resulting in the intellectual disability
and autistic symptoms of fragile X syndrome (FXS). Synaptic dysfunction
hypotheses for how intellectual disabilities like cognitive inflexibility arise
in FXS predict impaired neural coding in the absence of FMRP. We tested the
prediction by comparing hippocampus place cells in wild-type and FXS-model mice.
Experience-driven CA1 synaptic function and synaptic plasticity changes are
excessive in Fmr1-null mice, but CA1 place fields are normal. However, Fmr1-null
discharge relationships to local field potential oscillations are abnormally
weak, stereotyped, and homogeneous; also, discharge coordination within Fmr1-null
place cell networks is weaker and less reliable than wild-type. Rather than
disruption of single-cell neural codes, these findings point to invariant tuning
of single-cell responses and inadequate discharge coordination within neural
ensembles as a pathophysiological basis of cognitive inflexibility in FXS. VIDEO
ABSTRACT.
PMID- 29358019
TI - The Early Psychosis Screener (EPS): Quantitative validation against the SIPS
using machine learning.
AB - Machine learning techniques were used to identify highly informative early
psychosis self-report items and to validate an early psychosis screener (EPS)
against the Structured Interview for Psychosis-risk Syndromes (SIPS). The
Prodromal Questionnaire-Brief Version (PQ-B) and 148 additional items were
administered to 229 individuals being screened with the SIPS at 7 North American
Prodrome Longitudinal Study sites and at Columbia University. Fifty individuals
were found to have SIPS scores of 0, 1, or 2, making them clinically low risk
(CLR) controls; 144 were classified as clinically high risk (CHR) (SIPS 3-5) and
35 were found to have first episode psychosis (FEP) (SIPS 6). Spectral clustering
analysis, performed on 124 of the items, yielded two cohesive item groups, the
first mostly related to psychosis and mania, the second mostly related to
depression, anxiety, and social and general work/school functioning. Items within
each group were sorted according to their usefulness in distinguishing between
CLR and CHR individuals using the Minimum Redundancy Maximum Relevance procedure.
A receiver operating characteristic area under the curve (AUC) analysis indicated
that maximal differentiation of CLR and CHR participants was achieved with a 26
item solution (AUC=0.899+/-0.001). The EPS-26 outperformed the PQ-B (AUC=0.834+/
0.001). For screening purposes, the self-report EPS-26 appeared to differentiate
individuals who are either CLR or CHR approximately as well as the clinician
administered SIPS. The EPS-26 may prove useful as a self-report screener and may
lead to a decrease in the duration of untreated psychosis. A validation of the
EPS-26 against actual conversion is underway.
PMID- 29358020
TI - Introducing Tina Spagnola.
PMID- 29358021
TI - Combining biochar, zeolite and wood vinegar for composting of pig manure: The
effect on greenhouse gas emission and nitrogen conservation.
AB - The effect of enhancing wood vinegar (WV) with a mixture of biochar (B) and
zeolite (Z) to compost pig manure (PM) in a 130 L reactor was evaluated to
determine the levels of greenhouse gas (GHG) and ammonia emissions. Six
treatments were prepared in a 2:1 ratio of PM mixed with wheat straw (WS; dry
weight basis): PM + WS (control), PM + WS + 10%B, PM + WS + 10%B + 10%Z, and PM +
WS with 0.5%, 1.0% and 2.0%WV combined with 10%B + 10%Z. These were composted for
50 days, and the results indicated that the combined use of B, Z, and WV could
shorten the thermophilic phase and improve the maturity of compost compared to
the control treatment. In addition, WV mixed with B and Z could reduce ammonia
loss by 64.45-74.32% and decrease CO2, CH4, and N2O emissions by 33.90-46.98%,
50.39-61.15%, and 79.51-81.10%, respectively. Furthermore, compared to treatments
in which B and B + Z were added, adding WV was more efficient to reduce the
nitrogen and carbon loss, and the 10%B + 10%Z + 2%WV treatment presented the
lowest loss of carbon (9.16%) and nitrogen (0.75%). Based on the maturity indexes
used, nitrogen conservation, and efficiency of GHG emissions reduction, the
treatment 10%B + 10%Z + 2%WV is suggested for efficient PM composting.
PMID- 29358022
TI - Innovative dual-step management of semi-aerobic landfill in a tropical climate.
AB - Despite concerted efforts to innovate the solid waste management (SWM) system,
land disposal continues to represent the most widely used technology in the
treatment of urban solid waste worldwide. On the other hand, landfilling is an
unavoidable step in closing the material cycle, since final residues, although
minimized, need to be safely disposed of and confined. In recent years, the
implementation of more sustainable landfilling aims to achieve the Final Storage
Quality conditions as fast as possible. In particular, semi-aerobic landfill
appears to represent an effective solution for use in the poorest economies due
to lower management costs and shorter aftercare resulting from aerobic
stabilisation of the waste. Nevertheless, the implementation of a semi-aerobic
landfill in a tropical climate may affect the correct functioning of the plant: a
lack of moisture during the dry season and heavy rainfalls during the wet season
could negatively affect performance of both the degradation process, and of
leachate and biogas management. This paper illustrates the results obtained
through the experimentation of a potential dual-step management of semi-aerobic
landfilling in a tropical climate in which composting process was reproduced
during the dry season and subsequently flushing (high rainfall rate) during the
wet period. Eight bioreactors specifically designed: four operated under
anaerobic conditions and four under semi-aerobic conditions; half of the reactors
were filled with high organic content waste, half with residual waste obtained
following enhanced source segregation. The synergic effect of the subsequent
phases (composting and flushing) in the semi-aerobic landfill was evaluated on
the basis of both types of waste. Biogas production, leachate composition and
waste stabilization were analysed during the trial and at the end of each step,
and compared in view of the performance of anaerobic reactors. The results
obtained underlined the effectiveness of the dual-step management evidencing how
wastes reached a higher degree of stabilization and reference FSQ values for
leachate were achieved over a one-year simulation period.
PMID- 29358023
TI - Surgical strategy and outcomes for the delayed diagnosis of pulmonary atresia
with intact ventricular septum.
AB - BACKGROUND: In the present study, we summarize the experiences and evaluate
clinical outcomes for the delayed diagnosis of pulmonary atresia with intact
ventricular septum (PAIVS) patients when undergoing an initial visit and
diagnosis in our heart center. METHODS: Fifty-eight cases of delayed diagnosis of
PAIVS in patients aged more than 6 months between January 2006 and June 2016 were
reviewed in our hospital. The median age at initial diagnosis was 12.2 months
(range, 6.1-79.6 months). Forty-five cases eventually reached definitive repair.
Survival, risk factors for death, and clinical status after definitive repair
were assessed. RESULTS: Among patients who completed definitive repair, the
Fontan procedure was performed in a large proportion of older PAIVS children
(42.2%, 19/45), while only a few patients received biventricular repair (22.2%,
10/45). The medium-term (10-year) survival rates of biventricular repair, 1.5
ventricular repair, and univentricular palliation were 100.0%, 93.3%, and 81.2%,
respectively. At the latest follow-up, most patients had a good clinical status
after definitive repairs, with a low re-operation rate. CONCLUSIONS: A large
proportion of the delayed diagnosis of PAIVS patients had to receive
univentricular palliation because of limited potential for right ventricular
growth. However, optimal definitive repairs could also have been achieved in
these patients with a low mortality rate.
PMID- 29358024
TI - The association between relevant co-morbidities and prevalent as well as incident
heart failure in patients with atrial fibrillation.
AB - BACKGROUND: Congestive heart failure (CHF) is a serious complication in patients
with atrial fibrillation (AF). OBJECTIVE: To study associations between relevant
co-morbidities and CHF in patients with AF. METHODS: Study population included
all adults (n=12,283) >=45 years diagnosed with AF at 75 primary care centers in
Sweden 2001-2007. Logistic regression was used to calculate odds ratios with 95%
confidence intervals (CIs) for the associations between co-morbidities, and
prevalent CHF. In a subsample (n=9424), (excluding patients with earlier CHF),
Cox regression was used to estimate hazard ratios with 95% CIs for the
association between co-morbidities, and a first hospital diagnosis of CHF, after
adjustment for age and socio-economic factors. RESULTS: During 5.4 years' follow
up (standard deviation 2.5), 2259 patients (24.0%; 1135 men, 21.8%, and 1124
women, 26.7%) were diagnosed with CHF. Patients with hypertension were less
likely to have CHF, while a diagnosis of coronary heart disease, valvular heart
disease, diabetes, or chronic obstructive pulmonary disease (COPD), was
consistently associated with CHF among men and women. CHF was more common among
women with depression. The relative fully adjusted risk of incident CHF was
increased for the following diseases in men with AF: valvular heart disease,
cardiomyopathy, and diabetes; and for the following diseases in women: valvular
heart disease, diabetes, obesity, and COPD. The corresponding risk was decreased
among women for hypertension. CONCLUSIONS: In this clinical setting we found
hypertension to be associated with a decreased risk of CHF among women; valvular
heart disease and diabetes to be associated with an increased risk of CHF in both
sexes; and cardiomyopathy to be associated with an increased risk of CHF among
men.
PMID- 29358025
TI - Chain Assembly and Disassembly Processes Differently Affect the Conformational
Space of Ubiquitin Chains.
AB - Ubiquitination is the most versatile posttranslational modification. The
information is encoded by linkage type as well as chain length, which are
translated by ubiquitin binding domains into specific signaling events. Chain
topology determines the conformational space of a ubiquitin chain and adds an
additional regulatory layer to this ubiquitin code. In particular, processes that
modify chain length will be affected by chain conformations as they require
access to the elongation or cleavage sites. We investigated conformational
distributions in the context of chain elongation and disassembly using pulsed
electron-electron double resonance spectroscopy in combination with molecular
modeling. Analysis of the conformational space of diubiquitin revealed
conformational selection or remodeling as mechanisms for chain recognition during
elongation or hydrolysis, respectively. Chain elongation to tetraubiquitin
increases the sampled conformational space, suggesting that a high intrinsic
flexibility of K48-linked chains may contribute to efficient proteasomal
degradation.
PMID- 29358026
TI - Regulation of Kinase Activity in the Caenorhabditis elegans EGF Receptor, LET-23.
AB - In the active HER receptor dimers, kinases play distinct roles; one is the
catalytically active kinase and the other is its allosteric activator. This
specialization enables signaling by the catalytically inactive HER3, which
functions exclusively as an allosteric activator upon heterodimerization with
other HER receptors. It is unclear whether the allosteric activation mechanism
evolved before HER receptors functionally specialized. We determined the crystal
structure of the kinase domain of the only EGF receptor in Caenorhabditis
elegans, LET-23. Our structure of a non-human EGFR kinase reveals autoinhibitory
features conserved in the human counterpart. Strikingly, mutations within the
putative allosteric dimer interface abrogate activity of the isolated LET-23
kinase and of the full-length receptor despite these regions being only partially
conserved with human EGFR. Our results indicate that ancestral EGFRs have built
in features that poise them for allosteric activation that could facilitate
emergence of the catalytically dead, yet functional, orthologs.
PMID- 29358028
TI - Personality and Parkinson's disease: A meta-analysis.
AB - INTRODUCTION: Personality changes are considered pre-motor features of
Parkinson's disease (PD). Cross-sectional studies revealed that PD patients were
more introvert, apprehensive, and cautious than healthy subjects (HS), whereas
other studies failed to disclose these behavioural traits. Some studies found
mixed results concerning Novelty Seeking (NS) and Harm Avoidance (HA) profiles in
PD patients. To better clarify the personality profile in PD we performed a meta
analysis on studies exploring such topic according to both Cloninger's
Psychobiological Model (PM) and Big Five Model (BFM) METHODS: The meta-analysis
included 17 studies evaluating the personality in PD patients compared with HS.
The outcomes were the dimensions of the temperament and character of the PM and
personality traits of BFM. Effect sizes from data reported in the primary studies
were computed using Hedges'g unbiased approach. Heterogeneity among the studies
and publication bias were assessed. Meta-regressions were conducted with age at
evaluation, gender, schooling, and type of personality trait tools as moderators.
RESULTS: As for PM, PD patients scored higher on HA and lower on NS than HS. No
difference was found on Reward Dependence, Perseverance/Persistence and on
character level. As for BFM, higher levels of Neuroticism, but lower levels of
Openness and Extraversion were associated with PD. DISCUSSION: The personality
profile in PD is characterized by high Neuroticism and HA, and by low Openness,
Extraversion and NS. The personality profile delineated in the present study on
PD patients seems to reflect the premorbid one and might contribute to
development and persistence of affective disorders.
PMID- 29358029
TI - [Do you speak English? Yes, we do!]
PMID- 29358027
TI - Design, synthesis and biological evaluation of new carbazole derivatives as anti
cancer and anti-migratory agents.
AB - Based on the efficacy of EHop-016 as an inhibitor of migration and Rac1
activation, a new series of carbazole derivatives has been synthesized. Cytotoxic
and anti-migratory effects of these compounds were evaluated in MCF-7 and MDA-MB
231 breast cancer cell lines. Preliminary investigations of their anticancer
activity demonstrated that several compounds have moderate antiproliferative
effects on cancer cell lines with GI50 values in the range of 13-50 uM.
Furthermore, compounds 3b and 11b inhibit migration activity of metastatic cell
line MDA-MB-231 by 32% and 34%, respectively. Compound 11b was shown to inhibit
activation of the Rho GTPase Rac1 by 55% at 250 nM in both MDA-MB-231 and MDA-MB
435 cell lines. Compared with the IC50 of Rac1 inhibition by lead compound EHop
016 of 1.1 uM, compound 11b demonstrates 4X improved in vitro efficacy.
PMID- 29358030
TI - The future of pharmacy practice research - Perspectives of academics and
practitioners from Australia, NZ, United Kingdom, Canada and USA.
AB - BACKGROUND: Healthcare is under significant pressure with the explosion of long
term conditions, shift in worldwide demographics and is evolving through advances
in technology. Aligned with this is the changing role of pharmacy from the
traditional dispenser of medicines to having (in addition) a more advanced
clinical role. This study aimed to understand what the pharmacy practice research
agenda might look like from the viewpoint of pharmacy academics and practitioners
across five high-income countries. METHOD: Qualitative methods were used, and
thirty one-hour interviews were undertaken with practitioners and academics from
five economically advanced countries. These nations have comparable socio
economic status but differing health systems and include; Australia, Canada, New
Zealand, United Kingdom and United States of America. Six key informants were
chosen from each country, three academics and three community pharmacists. A
general inductive analysis was undertaken to analyse the most common and
recurring themes. RESULTS: These themes of research were based around current
community pharmacy practice issues and the enablers to changing the profession.
Specific areas pharmacy practice could be more involved with included long term
health conditions. Some community pharmacists also believed that research into
the impact of professional standards and policy change would be beneficial. The
findings of this research suggest that current pharmacy practice research methods
are sufficient, but need to be used more effectively. CONCLUSION: Participants
identified a wide range of issues within community pharmacy practice. Academics
largely focused on how research can be utilised in the community and how to
implement findings to ensure sustainability of pharmacy practice research. Issues
that community pharmacists would like to research are related to the current
practice model, such as allocating time to provide patient-focused services in
addition to managing a business.
PMID- 29358031
TI - Effect of Prophylactic Cranial Irradiation on Overall Survival in Metastatic
Small-Cell Lung Cancer: A Propensity Score-Matched Analysis.
AB - INTRODUCTION: Patients with small-cell lung cancer (SCLC) have a high incidence
of occult brain metastases and are often treated with prophylactic cranial
irradiation (PCI). Despite a small survival advantage in some studies, the role
of PCI in extensive stage SCLC remains controversial. We used the National Cancer
Database to assess survival of patients with metastatic SCLC treated with PCI.
PATIENTS AND METHODS: Metastatic SCLC patients without brain metastases were
identified. To minimize treatment selection bias, patients with an overall
survival (OS) < 6 months were excluded. Cox regression identified variables
associated with OS. Patients were propensity score-matched on factors associated
with receipt of PCI or OS. The effect of PCI on OS was examined using Kaplan
Meier estimates. RESULTS: In the overall cohort (n = 4257), treatment with PCI (n
= 473) was associated with improved survival (hazard ratio, 0.66; 95% confidence
interval, 0.60-0.74; P < .0001). Comparisons of propensity score-matched cohorts
revealed a significant survival benefit for patients who received PCI in median
OS (13.9 vs. 11.1 months; P < .0001), as well as 1- and 2-year OS (61.2% vs.
44.0% and 19.8% vs. 11.5%, respectively; P < .0001). This survival benefit
persisted even after excluding patients who survived < 9 months (median: 15.3 vs.
12.9 months; P < .0001). In multivariable analysis, predictors of receipt of PCI
were Caucasian race, younger age, and lower Charlson-Deyo score. CONCLUSION:
Using a modern population-based data set, we showed that metastatic SCLC patients
treated with PCI have significantly improved OS. This large retrospective study
helps address the conflicting prospective data.
PMID- 29358032
TI - Spring assisted cranioplasty: A patient specific computational model.
AB - Implantation of spring-like distractors in the treatment of sagittal
craniosynostosis is a novel technique that has proven functionally and
aesthetically effective in correcting skull deformities; however, final shape
outcomes remain moderately unpredictable due to an incomplete understanding of
the skull-distractor interaction. The aim of this study was to create a patient
specific computational model of spring assisted cranioplasty (SAC) that can help
predict the individual overall final head shape. Pre-operative computed
tomography images of a SAC patient were processed to extract a 3D model of the
infant skull anatomy and simulate spring implantation. The distractors were
modeled based on mechanical experimental data. Viscoelastic bone properties from
the literature were tuned using the specific patient procedural information
recorded during surgery and from x-ray measurements at follow-up. The model
accurately captured spring expansion on-table (within 9% of the measured values),
as well as at first and second follow-ups (within 8% of the measured values).
Comparison between immediate post-operative 3D head scanning and numerical
results for this patient proved that the model could successfully predict the
final overall head shape. This preliminary work showed the potential application
of computational modeling to study SAC, to support pre-operative planning and
guide novel distractor design.
PMID- 29358033
TI - Response from the Editors: time-lapse systems for ART - meta-analyses and the
issue of bias.
PMID- 29358034
TI - The effects of a school-based exercise program on neurophysiological indices of
working memory operations in adolescents.
AB - OBJECTIVES: To examine the effects of a structured exercise program implemented
during school break-time on working memory maintenance and neurophysiological
indices of task preparation processes in adolescents. DESIGN: Using class-wise
random allocation, participants from four classes were divided into an exercise
(n=20) and a wait-list control group (n=16). Over a period of eight weeks, the
exercise group engaged in 20min of combined aerobic and coordinative exercise on
each school day. METHODS: Prior to and after the intervention period,
participants performed a computer-based Sternberg task for the assessment of
working memory performance. Simultaneously, the contingent negative variation
(CNV) of event-related potentials was measured. RESULTS: The exercise group
demonstrated a larger decrease in reaction time from pre- to post-test relative
to the control group, F(1, 31)=13.5, p<0.001. No changes were found for accuracy
on the Sternberg task across groups. Using cluster-based permutation testing, the
analysis of event-related potentials revealed a significant increase of the
initial CNV from pre- to post-test in the exercise group, cluster value=-2376.2,
p=0.006, which was most pronounced for the fronto-central region, with no such
effect observed for the control group. CONCLUSIONS: Daily engagement in a short
combined aerobic and coordinative exercise program following the school lunch
time break elicits benefits for working memory in adolescents. These changes are
accompanied by improvements of task preparation processes, which allow the
selection of a more appropriate cognitive control strategy.
PMID- 29358035
TI - EWS/FLI Confers Tumor Cell Synthetic Lethality to CDK12 Inhibition in Ewing
Sarcoma.
AB - Many cancer types are driven by oncogenic transcription factors that have been
difficult to drug. Transcriptional inhibitors, however, may offer inroads into
targeting these cancers. Through chemical genomics screening, we identified that
Ewing sarcoma is a disease with preferential sensitivity to THZ1, a covalent
small-molecule CDK7/12/13 inhibitor. The selective CDK12/13 inhibitor, THZ531,
impairs DNA damage repair in an EWS/FLI-dependent manner, supporting a synthetic
lethal relationship between response to THZ1/THZ531 and EWS/FLI expression. The
combination of these molecules with PARP inhibitors showed striking synergy in
cell viability and DNA damage assays in vitro and in multiple models of Ewing
sarcoma, including a PDX, in vivo without hematopoietic toxicity.
PMID- 29358036
TI - Descriptive epidemiology of metabolic syndrome among obese adolescent population.
AB - AIMS: The study was done to assess the magnitude of problems of metabolic
syndrome among obese adolescents. MATERIALS AND METHOD: It was a cross-sectional
study done from January 2013 to June 2014 in paediatric endocrine outpatient
department in BIRDEM General Hospital, Dhaka, Bangladesh. Total 172 adolescents
having exogenous obesity aged 10-18 years were included. Impaired fasting glucose
(IFG), impaired glucose tolerance (IGT) and type 2 diabetes mellitus (DM) were
defined as per WHO criteria.The adolescents having Body Mass Index (BMI) >=95th
centile were classified as obese.Waist circumference was measured at the level
midway between the lower rib margin & the iliac crest, at the level of umbilicus
with the person breathing out gently in centimeter. Hip circumference was
measured at the maximum width over the buttocks at the level of the greater
trochanters in centimeter. RESULT: Among 172 obese adolescents, metabolic
syndrome was found in 66 patients (38.4%). The commonest metabolic abnormality
among those having metabolic syndrome was low HDL level (77.3%) followed by high
triglyceride level(71.2%). Glucose intolerance (IFG and/or IGT) was found in
16.7%, Type 2 DM in 10.6%, systolic hypertension in 10.7% and diastolic
hypertension in 12.1%. Triglyceride (p = 0.042) and Cholesterol level (p = 0.016)
were significantly higher and HDL-cholesterol level (p = 0.000) was significantly
lower among obese adolescents having metabolic syndrome. Less physical activity
(p = 0.04) was significantly related to the development of metabolic syndrome. On
logistic regression analysis male sex, family history of obesity and low HDL
cholesterol correlated to metabolic syndrome. CONCLUSION: The High rate of
metabolic syndrome among obese adolescents is alarming.
PMID- 29358037
TI - Antidepressant-Induced Activation in Children and Adolescents: Risk, Recognition
and Management.
AB - The tolerability of antidepressants is poorly characterized in children and
adolescents with depressive and anxiety disorders. Among adverse events that
affect the tolerability of antidepressants in youth is activation, a cluster of
symptoms that represent a hyperarousal event characterized by impulsivity,
restlessness, and/or insomnia. This cluster of symptoms was first identified as a
side effect of selective serotonin and selective serotonin norepinephrine
inhibitors (SSRIs and SSNRIs) in the early 1990s; however, activation remains
poorly characterized in terms of prevalence, risk factors, and pathophysiology.
This article describes the pathophysiology of antidepressant-related activation,
predictors of activation and its clinical management in youth with depressive and
anxiety disorders who are treated with antidepressant medications.
PMID- 29358038
TI - Comprehensive analysis of mRNA internal cleavage sites in Arabidopsis thaliana.
AB - The major obstacle of efficient transgene expression seems to be gene silencing,
and one of the important factors in gene silencing is mRNA stability. Regulation
of mRNA stability is an important aspect of the control of gene expression. mRNAs
are degraded by both exonucleolytic digestion and endonucleolytic cleavage.
However, with the exception of small RNA-guided cleavage, the mechanisms
underlying endonucleolytic cleavage-dependent RNA degradation remain to be
elucidated. High-throughput approaches for genome-wide profiling of RNA cleavage
sites, collectively termed degradome sequencing, have been developed by several
groups. These analyses have contributed to the identification of mRNA cleavage
sites in plants, but due to selection of poly (A) mRNA in library preparation,
these approaches cannot identify cleavage sites in a fully accurate manner. To
address this issue, we developed a new experimental method, truncated RNA end
sequencing (TREseq), which enabled us to accurately identify many cleavage sites.
TREseq can also be used to estimate the efficiency of mRNA cleavage, revealing
differences in base frequencies near cleavage sites that reflect differences in
cleavage efficiency. These results will contribute to gain important knowledge
about the stability of the transgene mRNA in the future.
PMID- 29358040
TI - Collaborate or Collapse: Capacity Building in Zoonotic and Neglected Tropical
Disease Modelling.
AB - We share the insights from a successful collaboration in organizing and
implementing an international scientific capacity-building workshop in Malaysia
titled Mathematical Modelling of Neglected Infectious Diseases: Capacity Building
in Southeast Asia. This workshop focused on the delivery of technical know-how
and on essential soft skills related to effective grant proposal writing and
networking.
PMID- 29358039
TI - Essential Role of Nr2f Nuclear Receptors in Patterning the Vertebrate Upper Jaw.
AB - The jaw is central to the extensive variety of feeding and predatory behaviors
across vertebrates. The bones of the lower but not upper jaw form around an early
developing cartilage template. Whereas Endothelin1 patterns the lower jaw, the
factors that specify upper-jaw morphology remain elusive. Here, we identify
Nuclear Receptor 2f genes (Nr2fs) as enriched in and required for upper-jaw
formation in zebrafish. Combinatorial loss of Nr2fs transforms maxillary
components of the upper jaw into lower-jaw-like structures. Conversely, nr2f5
misexpression disrupts lower-jaw development. Genome-wide analyses reveal that
Nr2fs repress mandibular gene expression and early chondrogenesis in maxillary
precursors. Rescue of lower-jaw defects in endothelin1 mutants by reducing Nr2f
dosage further demonstrates that Nr2f expression must be suppressed for normal
lower-jaw development. We propose that Nr2fs shape the upper jaw by protecting
maxillary progenitors from early chondrogenesis, thus preserving cells for later
osteogenesis.
PMID- 29358041
TI - The RNA-Binding Protein NONO Coordinates Hepatic Adaptation to Feeding.
AB - The mechanisms by which feeding and fasting drive rhythmic gene expression for
physiological adaptation to daily rhythm in nutrient availability are not well
understood. Here we show that, upon feeding, the RNA-binding protein NONO
accumulates within speckle-like structures in liver cell nuclei. Combining RNA
immunoprecipitation and sequencing (RIP-seq), we find that an increased number of
RNAs are bound by NONO after feeding. We further show that NONO binds and
regulates the rhythmicity of genes involved in nutrient metabolism post
transcriptionally. Finally, we show that disrupted rhythmicity of NONO target
genes has profound metabolic impact. Indeed, NONO-deficient mice exhibit impaired
glucose tolerance and lower hepatic glycogen and lipids. Accordingly, these mice
shift from glucose storage to fat oxidation, and therefore remain lean throughout
adulthood. In conclusion, our study demonstrates that NONO post-transcriptionally
coordinates circadian mRNA expression of metabolic genes with the feeding/fasting
cycle, thereby playing a critical role in energy homeostasis.
PMID- 29358042
TI - Determinants of high device cost in current percutaneous coronary interventions.
AB - BACKGROUND: Percutaneous coronary interventions (PCI), especially medical
devices, consume large amounts of medical resources. It is important to know
which type of lesions requires high device costs among current PCI. The purpose
of this study was to investigate the association between lesion characteristics
and medical device costs in current PCI. METHODS: We identified 593 coronary
artery lesions in our PCI database between January 1, 2015 and December 31, 2015.
The total PCI cost was calculated for each lesion. The highest quartile (Q1) of
total PCI costs was defined as the highest cost group, whereas the other
quartiles (Q2, Q3, Q4) were defined as the low-intermediate cost group. RESULTS:
The mean PCI cost in the highest cost and low-intermediate cost groups was
Y1,032,943 +/- 211,912 and Y532,547 +/- 112,127, respectively. In a multivariate
logistic regression analysis, lesion length (10 mm increase: OR 2.93, 95% CI 2.25
3.82, P < 0.001), left main lesion (OR 2.96, 95% CI 1.02-8.60, P = 0.046),
moderate to severe calcification (OR 16.43, 95% CI 7.97-33.88, P < 0.001),
chronic total occlusion (CTO) (OR 5.83, 95% CI 2.07-16.39, P = 0.001), and
bifurcation (OR 2.01, 95% CI 1.08-3.75, P = 0.027) were significantly associated
with the highest cost group. CONCLUSIONS: Lesion characteristics including CTO,
diffuse long lesion, calcification, and bifurcation were significantly associated
with the highest device cost. Non-CTO complex lesions including bifurcation and
calcification as well as CTO lesions require higher PCI device costs than non
complex lesions.
PMID- 29358043
TI - Impact of Acute Kidney Injury on Short- and Long-term Outcomes After
Transcatheter Aortic Valve Implantation.
AB - INTRODUCTION AND OBJECTIVES: Acute kidney injury (AKI) is frequently observed
after transcatheter aortic valve implantation (TAVI) and is associated with
higher mortality. However, the impact of AKI on long-term outcomes remains
controversial. Therefore, we sought to evaluate the impact of AKI on short- and
long-term outcomes following TAVI using the Valve Academic Research Consortium 2
criteria. METHODS: Consecutive patients (n = 794) with severe aortic stenosis who
underwent TAVI were included in a multicenter Brazilian registry. Logistic
regression analysis was used to identify predictors of AKI. Four-year outcomes
were determined as Kaplan-Meier survival curves, and an adjusted landmark
analysis was used to test the impact of AKI on mortality among survivors at 12
months. RESULTS: The incidence of AKI after TAVI was 18%. Independent predictors
of AKI were age, diabetes mellitus, major or life-threatening bleeding and valve
malpositioning. Acute kidney injury was independently associated with higher risk
of all-cause death (adjusted HR, 2.8; 95%CI, 2.0-3.9; P < .001) and
cardiovascular mortality (adjusted HR, 2.9; 95%CI, 1.9-4.4; P < .001) over the
entire follow-up period. However, when considering only survivors at 12 months,
there was no difference in both clinical endpoints (adjusted HR, 1.2; 95%CI, 0.5
2.4; P = .71, and HR, 0.7; 95%CI, 0.2-2.1; P = .57, respectively). CONCLUSIONS:
Acute kidney injury is a frequent complication after TAVI. Older age, diabetes,
major or life-threatening bleeding, and valve malpositioning were independent
predictors of AKI. Acute kidney injury is associated with worse short- and long
term outcomes. However, the major impact of AKI on mortality is limited to the
first year after TAVI.
PMID- 29358044
TI - CRISPR-Based Chromatin Remodeling of the Endogenous Oct4 or Sox2 Locus Enables
Reprogramming to Pluripotency.
AB - Generation of induced pluripotent stem cells typically requires the ectopic
expression of transcription factors to reactivate the pluripotency network.
However, it remains largely unclear what remodeling events on endogenous
chromatin trigger reprogramming toward induced pluripotent stem cells (iPSCs).
Toward this end, we employed CRISPR activation to precisely target and remodel
endogenous gene loci of Oct4 and Sox2. Interestingly, we found that single-locus
targeting of Sox2 was sufficient to remodel and activate Sox2, which was followed
by the induction of other pluripotent genes and establishment of the pluripotency
network. Simultaneous remodeling of the Oct4 promoter and enhancer also triggered
reprogramming. Authentic pluripotent cell lines were established in both cases.
Finally, we showed that targeted manipulation of histone acetylation at the Oct4
gene locus could also initiate reprogramming. Our study generated authentic iPSCs
with CRISPR activation through precise epigenetic remodeling of endogenous loci
and shed light on how targeted chromatin remodeling triggers pluripotency
induction.
PMID- 29358045
TI - Consolidation Radiation Therapy for Patients With Advanced Hodgkin Lymphoma in
Complete Metabolic Response According to PET-CT or Gallium Imaging.
AB - INTRODUCTION: The purpose of this study was to evaluate the role of consolidation
radiation therapy (RT) in advanced Hodgkin lymphoma (HL) in the setting of a
complete metabolic response (CR) to chemotherapy (ChT). PATIENTS AND METHODS:
Patients with stage III/IV HL treated with ChT alone or combined modality therapy
(CMT) between 1992 and 2012 were reviewed. Only patients in a CR according to
positron emission tomography-computed tomography (PET-CT) or gallium imaging were
included. Clinical end points were estimated using the Kaplan-Meier method and a
multivariate analysis using the Cox proportional hazards model was performed.
RESULTS: Ninety patients were identified (46 CMT; 44 ChT alone). Median follow-up
was 50 months. ChT (median 6 cycles) consisted primarily of ABVD (doxorubicin,
bleomycin, vinblastine, and dacarbazine; 74%) or an ABVD hybrid (10%). Post-ChT
imaging consisted of PET-CT (71%) or gallium (29%). RT plans primarily included
all initially involved sites of disease with a median dose of 21 Gy (range, 13-31
Gy). CMT was associated with improved 5-year progression-free survival (PFS; 88%
vs. 65%, respectively; P < .001) and overall survival (97% vs. 78%, respectively;
P = .002) compared with ChT alone. In multivariate analysis, age younger than 45
years (hazard ratio [HR], 0.23; 95% confidence interval [CI], 0.07-0.74; P =
.013) and CMT (HR, 0.32; 95% CI, 0.11-0.96; P = .04) were independently
associated with improved PFS. Secondary malignancies were comparable in both
cohorts (5 with CMT, 4 with ChT), whereas cardiac events were slightly more
frequent with CMT (5 vs. 2). CONCLUSION: Low-dose RT, administered to all sites
of original involvement, was associated with improved PFS, even in the setting of
a metabolic CR after ABVD.
PMID- 29358046
TI - Clinical course of a cohort with type 2 diabetes mellitus after endocrine
assessment. A 26-week study.
AB - OBJECTIVE: To assess the degree of metabolic control and hypoglycemic treatments
in a cohort of patients with type 2 diabetes mellitus (T2DM) after evaluation in
an endocrinology clinic. MATERIAL AND METHODS: A prospective cohort study on 465
patients with T2DM who were not being monitored at an endocrinology clinic. Blood
glucose control data and treatments received were recorded at an initial visit
and after 26 weeks of follow-up. RESULTS: Baseline glycosylated hemoglobin
(HbA1c) level was 8.3+/-1.8%, as compared to 6.6+/-0.9% after 26 weeks of follow
up (P<.0001). The proportion of patients with HbA1c levels <7% increased from
33.1% to 71.3% (P<.0001). In 59.9% of patients, a decrease >=0.8% in HbA1c was
seen. In the multivariate analysis, variables predicting for an improvement in
the degree of metabolic control were older age (OR 1.038; 95%CI 1-1.07; P=.041),
higher baseline HbA1c values (OR 5.51; 95%CI 3.4-9; P<.0001), T2DM duration <5
years (OR 4.63; 95%CI 1.6-13.3; P=.005), and change in hypoglycemic treatment (OR
2.77, 95%CI 1.1-6.9; P=.03). Hypoglycemic therapy was changed in 75.1% of study
patients with T2DM. After 26 weeks of follow-up, decreases were seen in both the
proportion of patients who receiveding no treatment (from 7% to 0.3%, P<.0001)
and the proportions of patients on oral antidiabetic therapy (60.9% vs 55.5%,
P=.003) and insulin (10.5% vs 6.2%, P=.021). However, the proportion of patients
receiving insulin combined with oral antidiabetic drugs increased from 21.1% to
38% (P<.0001). CONCLUSIONS: An improved metabolic control was seen in this cohort
of patients with T2DM after their evaluation in an endocrinology clinic. However,
HbA1c levels <7% were not achieved in 28.7% of patients, which shows the
difficulty to achieve adequate control in clinical practice.
PMID- 29358047
TI - Teachers' attitudes and perceptions about preparation of public schools to assist
students with type 1 diabetes.
AB - OBJECTIVE: To assess teachers' attitudes and perceptions about preparation of
public primary and secondary education schools in the Puerto Real University
Hospital (Cadiz, Spain) area to care for students with type 1 diabetes mellitus
(T1DM) METHODS: A descriptive observational study where answers to an attitude
and perception questionnaire on the preparation of schools to care for pupils
with T1DM were analyzed. A total of 765 teachers (mean age, 44.3+/-8.8 years;
61.7% women) from 44 public schools in the area of the Puerto Real University
Hospital were selected by random sampling. RESULTS: Overall, 43.2% of teachers
surveyed had or had previously had students with T1DM, but only 0.8% had received
specific training on diabetes. 18.9% of teachers reported that one of their
students with T1DM had experienced at least one episode of hypoglycemia at
school, and half of them felt that their school was not prepared to deal with
diabetic emergencies. 6.4% stated that their school had glucagon in its first aid
kit, and 46.9% would be willing to administer it personally. Women, physical
education teachers, and headmasters had a more positive perception of the school
than their colleagues. Teachers with a positive perception of school preparation
and with a positive attitude to administer glucagon were significantly younger
than those with no positive perception and attitude. CONCLUSIONS: The study
results suggest that teachers of public schools in our health area have not been
specifically trained in the care of patients with T1DM and perceive that their
educational centers are not qualified to address diabetic emergencies.
PMID- 29358048
TI - Establishment of DNA-DNA Interactions by the Cohesin Ring.
AB - The ring-shaped structural maintenance of chromosome (SMC) complexes are multi
subunit ATPases that topologically encircle DNA. SMC rings make vital
contributions to numerous chromosomal functions, including mitotic chromosome
condensation, sister chromatid cohesion, DNA repair, and transcriptional
regulation. They are thought to do so by establishing interactions between more
than one DNA. Here, we demonstrate DNA-DNA tethering by the purified fission
yeast cohesin complex. DNA-bound cohesin efficiently and topologically captures a
second DNA, but only if that is single-stranded DNA (ssDNA). Like initial double
stranded DNA (dsDNA) embrace, second ssDNA capture is ATP-dependent, and it
strictly requires the cohesin loader complex. Second-ssDNA capture is relatively
labile but is converted into stable dsDNA-dsDNA cohesion through DNA synthesis.
Our study illustrates second-DNA capture by an SMC complex and provides a
molecular model for the establishment of sister chromatid cohesion.
PMID- 29358049
TI - Ultraconserved Enhancers Are Required for Normal Development.
AB - Non-coding "ultraconserved" regions containing hundreds of consecutive bases of
perfect sequence conservation across mammalian genomes can function as distant
acting enhancers. However, initial deletion studies in mice revealed that loss of
such extraordinarily constrained sequences had no immediate impact on viability.
Here, we show that ultraconserved enhancers are required for normal development.
Focusing on some of the longest ultraconserved sites genome wide, located near
the essential neuronal transcription factor Arx, we used genome editing to create
an expanded series of knockout mice lacking individual or combinations of
ultraconserved enhancers. Mice with single or pairwise deletions of
ultraconserved enhancers were viable and fertile but in nearly all cases showed
neurological or growth abnormalities, including substantial alterations of neuron
populations and structural brain defects. Our results demonstrate the functional
importance of ultraconserved enhancers and indicate that remarkably strong
sequence conservation likely results from fitness deficits that appear subtle in
a laboratory setting.
PMID- 29358050
TI - Multiscale Structuring of the E. coli Chromosome by Nucleoid-Associated and
Condensin Proteins.
AB - As in eukaryotes, bacterial genomes are not randomly folded. Bacterial genetic
information is generally carried on a circular chromosome with a single origin of
replication from which two replication forks proceed bidirectionally toward the
opposite terminus region. Here, we investigate the higher-order architecture of
the Escherichia coli genome, showing its partition into two structurally distinct
entities by a complex and intertwined network of contacts: the replication
terminus (ter) region and the rest of the chromosome. Outside of ter, the
condensin MukBEF and the ubiquitous nucleoid-associated protein (NAP) HU promote
DNA contacts in the megabase range. Within ter, the MatP protein prevents MukBEF
activity, and contacts are restricted to ~280 kb, creating a domain with distinct
structural properties. We also show how other NAPs contribute to nucleoid
organization, such as H-NS, which restricts short-range interactions. Combined,
these results reveal the contributions of major evolutionarily conserved proteins
in a bacterial chromosome organization.
PMID- 29358051
TI - Non-classical Immunity Controls Microbiota Impact on Skin Immunity and Tissue
Repair.
AB - Mammalian barrier surfaces are constitutively colonized by numerous
microorganisms. We explored how the microbiota was sensed by the immune system
and the defining properties of such responses. Here, we show that a skin
commensal can induce T cell responses in a manner that is restricted to non
classical MHC class I molecules. These responses are uncoupled from inflammation
and highly distinct from pathogen-induced cells. Commensal-specific T cells
express a defined gene signature that is characterized by expression of effector
genes together with immunoregulatory and tissue-repair signatures. As such, non
classical MHCI-restricted commensal-specific immune responses not only promoted
protection to pathogens, but also accelerated skin wound closure. Thus, the
microbiota can induce a highly physiological and pleiotropic form of adaptive
immunity that couples antimicrobial function with tissue repair. Our work also
reveals that non-classical MHC class I molecules, an evolutionarily ancient arm
of the immune system, can promote homeostatic immunity to the microbiota.
PMID- 29358053
TI - Parameters of metabolic quantification in clinical practice. Is it now time to
include them in reports?
AB - Qualitative techniques have traditionally been the standard for the diagnostic
assessment with 18F-FDG PET studies. Since the introduction of the technique,
quantitative parameters have been sought, more accurate and with better
diagnostic precision, that may offer relevant information of the behavior,
aggressiveness or prognosis of tumors. Nowadays, more and more studies with high
quality evidence show the utility of other metabolic parameters different from
the SUV maximum, which despite being widely used in clinical practice is
controversial and many physicians still do not know its real meaning. The
objective of this paper has been to review the key concepts of these metabolic
parameters that could be relevant in normal practice in the future. It has been
seen that there is more evidence in the complete evaluation of the metabolism of
a lesion, through volumetric parameters that more adequately reflect the
patient's tumor burden. Basically, these parameters calculate the volume of tumor
that fulfills certain characteristics. A software available in the majority of
the workstations has been used for this purpose and it has allowed to calculate
these volumes using more or less complex criteria. The simplest threshold-based
segmentation methods are available in most equipments, they are easy to calculate
and they have been shown in many studies to have an important prognostic
significance.
PMID- 29358052
TI - Lipids Shape the Electron Acceptor-Binding Site of the Peripheral Membrane
Protein Dihydroorotate Dehydrogenase.
AB - The interactions between proteins and biological membranes are important for drug
development, but remain notoriously refractory to structural investigation. We
combine non-denaturing mass spectrometry (MS) with molecular dynamics (MD)
simulations to unravel the connections among co-factor, lipid, and inhibitor
binding in the peripheral membrane protein dihydroorotate dehydrogenase (DHODH),
a key anticancer target. Interrogation of intact DHODH complexes by MS reveals
that phospholipids bind via their charged head groups at a limited number of
sites, while binding of the inhibitor brequinar involves simultaneous association
with detergent molecules. MD simulations show that lipids support flexible
segments in the membrane-binding domain and position the inhibitor and electron
acceptor-binding site away from the membrane surface, similar to the electron
acceptor-binding site in respiratory chain complex I. By complementing MS with MD
simulations, we demonstrate how a peripheral membrane protein uses lipids to
modulate its structure in a similar manner as integral membrane proteins.
PMID- 29358054
TI - Assessment of poliovirus antibody seroprevalence in polio high risk areas of West
Africa.
AB - We conducted a serological survey of anti-polio antibodies in polio high-risk
areas of Mali, Guinea and Cote d'Ivoire to assess risk of future poliovirus
outbreaks. Random community sampling of children 6-11 and 36-48 months-old was
conducted; neutralizing antibodies against poliovirus were detected using
microneutralization assay. We analysed 1059/1064 (99.5%) of enrolled children.
Seroprevalence to poliovirus type 1 (PV1) across all age groups and locations
ranged between 92 and 100%, for PV2 it was 77-100%, and 89-95% for PV3. PV2
seroprevalence in the younger age group in Guinea and Cote d'Ivoire was <80%.
History of <4 polio vaccine doses and acute malnutrition were associated with
seronegativity (OR = 2.1 CI95% = 1.5-3.1, OR = 1.8 CI95% = 1.1-3.3 respectively).
The risk of poliovirus outbreak following importation is low because of high
population immunity to PV1, however, due to large cohort of PV2 seronegative
children any future detection of vaccine-derived poliovirus type 2 requires
urgent response to arrest rapid spread.
PMID- 29358055
TI - Systematic causality assessment of adverse events following HPV vaccines:
Analysis of current data from Apulia region (Italy).
AB - Since 2013, World Health Organization (WHO) recommended that adverse events
following immunization (AEFIs) should be evaluated by a standardized algorithm
for causality assessment, however the use of WHO procedure is rarely adopted. In
Italy, AEFIs (classified only by temporal criteria) are registered in the
National Drug Authority (AIFA) database, but causality assessment is not
mandatory. Every year AIFA publishes the AEFIs report, that doesn't contain
information about causal correlation between events and vaccines. From AIFA
database, we selected AEFIs following human papillomavirus vaccination (HPV)
reported in Apulia (about 4,000,000 inhabitants) during 2008-2016. For serious
AEFIs, we applied WHO causality assessment criteria; for cases hospitalized, we
repeated the assessment getting additional information from health documentation.
In 2008-2016, 100 HPV AEFIs (reporting rate: 17.8 per 100,000 doses) were
registered of which 19 were serious (rate: 3.4 per 100,000 doses) and 12 led to
hospitalization. After causality assessment, for 9 AEFIs the classification was
"consistent causal association to immunization", for 3 indeterminate, for 5
"inconsistent causal association to immunization" and for 2 not-classifiable.
Among hospitalized patients, 5 AEFIs were consistent, 5 inconsistent, 1 not
classifiable and 1 indeterminate; adding information from health documentation,
the results were similar except for indeterminate and not classifiable AEFIs that
turned into "not consistent". Only half of severe AEFIs could be associated with
vaccination and this suggests that AIFA report provides a incomplete picture of
HPV vaccine safety, with a risk for readers to confound "post hoc" and "propter
hoc" approach without considering the causality assessment results. In the view
of the systematic use of WHO causality assessment algorithm in the AEFI
surveillance, the efforts of Public Health must be focused on the improvement of
the quality of the information provided to reduce conclusions inter-observer
variability; the routine follow-up of reports, also to collect additional
information, must be guaranteed.
PMID- 29358056
TI - Use of ENABL(r) adjuvant to increase the potency of an adenovirus-vectored foot
and-mouth disease virus serotype A subunit vaccine.
AB - A foot-and-mouth disease (FMD) recombinant subunit vaccine formulated with a
lipid/polymer adjuvant was evaluated in two vaccine efficacy challenge studies in
steers. The vaccine active ingredient is a replication-deficient human adenovirus
serotype 5 vector encoding the FMD virus (FMDV) A24/Cruzeiro/BRA/55 capsid
(AdtA24). In the first study, AdtA24 formulated in ENABL(r) adjuvant was compared
to a fourfold higher dose of AdtA24 without adjuvant. Steers vaccinated with
AdtA24 + ENABL(r) adjuvant developed a significantly higher virus neutralizing
test (VNT) antibody titer and an improved clinical response following FMDV
A24/Cruzeiro/BRA/55 intradermal lingual challenge at 14 days post-vaccination
(dpv) than steers vaccinated with the active ingredient alone. In the second
study, vaccination with AdtA24 formulated in ENABL(r) at the same dose used in
the first study, followed by FMDV A24/Cruzeiro/BRA/55 challenge on 7 or 14 dpv,
prevented clinical FMD in all steers and conferred 90% protection against
viremia. In addition, post-challenge FMDV titers in nasal samples from vaccinated
steers compared to unvaccinated steers were significantly reduced. In both
studies, none of the AdtA24 vaccinated steers developed antibodies to the FMDV
non-structural proteins prior to challenge with FMDV, indicative of the capacity
to differentiate infected from vaccinated animals (DIVA). These results
demonstrate that administration of AdtA24 formulated in ENABL(r) adjuvant lowered
the protective dose and prevented clinical FMD following exposure of vaccinated
steers to virulent FMDV at 7 or 14 dpv.
PMID- 29358057
TI - A bivalent vaccine derived from attenuated Salmonella expressing O-antigen
polysaccharide provides protection against avian pathogenic Escherichia coli O1
and O2 infection.
AB - Avian pathogenic Escherichia coli (APEC), a leading cause of avian airsacculitis
and colibacillosis, is responsible for significant economic loss in the poultry
industry. APEC serogroups O1, O2, and O78 are predominantly associated with
disease. Lipopolysaccharide (LPS) O-antigen has been shown to be a potent antigen
for inducing specific protective immune responses. Therefore, we sought to
develop a multivalent polysaccharide vaccine to prevent most APEC infections. We
previously reported the stable expression of plasmid pSS27 encoding the APEC O1 O
antigen gene cluster (10.8 kb) in attenuated Salmonella enterica serovar
Typhimurium S740 provided excellent protection against APEC O1 challenge. In this
study, the plasmid pSS28 harboring the APEC O2 O-antigen polysaccharide gene
cluster (15.5 kb) was constructed. Biosynthesis of pSS28-encoded APEC O2 O
antigen in Salmonella vaccine strain S740 was validated by Western blot. The
recombinant Salmonella vaccine strain S740 (pSS28) elicited homologous protection
against virulent wild-type APEC O2 challenge in a chicken model. Furthermore,
through equal-volume mixing the two monovalent vaccine strains S740 (pSS27) and
S740 (pSS28), a bivalent vaccine candidate against both APEC O1 and O2 was
developed. Immunization of chickens with the bivalent vaccine elicited production
of serum IgG and mucosal sIgA antibodies against the LPS of both APEC O1 and O2.
Moreover, antibodies induced by the bivalent vaccine promoted opsonization,
provoked complement-mediated bactericidal activity, and elicited protection
against lethal challenge with both virulent APEC O1 and O2 strains. These results
demonstrate that the bivalent vaccine comprised of S740 (pSS27) and S740 (pSS28)
is a promising vaccine candidate against APEC O1 and O2 infection.
PMID- 29358058
TI - Reply to Christian D. Fankhauser, Nico C. Grossmann, Joerg Beyer, and Thomas
Hermanns' Letter to the Editor re: Sophia C. Kamran, Thomas Seisen, Sarah C.
Markt, et al. Contemporary Treatment Patterns and Outcomes for Clinical Stage IS
Testicular Cancer. Eur Urol 2018;73:262-70.
PMID- 29358059
TI - Efficacy, Predictive Factors, and Prediction Nomograms for 68Ga-labeled Prostate
specific Membrane Antigen-ligand Positron-emission Tomography/Computed Tomography
in Early Biochemical Recurrent Prostate Cancer After Radical Prostatectomy.
AB - : Recently, 68Ga-labeled prostate-specific membrane antigen (PSMA)-ligand
positron-emission tomography (PET) imaging has been shown to improve detection
rates in recurrent prostate cancer (PC). However, published studies include only
small patient numbers at low prostate-specific antigen (PSA) values. For this
study, 272 consecutive patients with biochemical recurrence after radical
prostatectomy and PSA value between 0.2 and 1ng/ml were included. The 68Ga-PSMA
ligand PET/computed tomography (CT) was evaluated, and detection rates were
determined and correlated to various clinical variables using univariate and
multivariable analyses. Subgroups of patients with very low (0.2-0.5ng/ml) and
low (>0.5-1.0ng/ml) PSA values were analyzed. In total, lesions indicative of PC
recurrence were detected in 55% (74/134) and 74% (102/138) with very low and low
PSA values, respectively. Main sites of recurrence were pelvic or retroperitoneal
lymph nodes metastases, followed by local recurrence and bone metastases with
higher probability in the low versus very low PSA subgroup. Detection rates
significantly increased with higher PSA values, primary pT>=3a, primary pN+
disease, grade group >=4, previous radiation therapy, and concurrent androgen
deprivation therapy (ADT) in univariate analysis. In a multivariable logistic
regression model, concurrent ADT and PSA values were identified as most relevant
predictors of positive 68Ga-PSMA-ligand PET/CT. Further, prediction nomograms
were established, which may help in estimating pretest PSMA-ligand PET positivity
in clinical practice. PATIENT SUMMARY: In our study, 68Ga-labeled prostate
specific membrane antigen (PSMA)-ligand positron-emission tomography
(PET)/computed tomography (CT) detected recurrent disease after radical
prostatectomy in 55% (74/134) and 74% (102/138) of patients with very low (0.2
0.5ng/ml) and low (>0.5-1.0ng/ml) prostate-specific antigen values, respectively.
On the basis of these data, it seems reasonable to perform 68Ga-PSMA-ligand
PET/CT also in patients with early biochemical recurrence, as it can tailor
further therapy decisions (eg, local vs systemic treatment). The established
prediction nomograms can further assist urologists in discussions on the use of
68Ga-PSMA-ligand PET/CT with their patients in specific clinical settings.
PMID- 29358060
TI - Journal Shopping and Pruning the Literature.
PMID- 29358061
TI - Pott's Disease Resulting in Complete Cervical Vertebral Destruction.
AB - Skeletal tuberculosis, otherwise known as Pott's disease, has been recognized for
centuries. Although typically diagnosed in citizens from countries with endemic
tuberculosis, long-term workers in these regions, such as military deployees, can
also acquire the disease. We present a case report of a military veteran
presenting with neck pain and initially diagnosed with cervical disc disease. The
patient's pain progressed to the point of developing paresthesias in his
bilateral upper extremities. Eventually, cervical spine radiographs were obtained
that revealed complete cervical vertebral body destruction from spinal
tuberculosis. Epidemiology, diagnosis, and treatment of the disorder are
discussed.
PMID- 29358063
TI - Priming of microbial microcystin degradation in biomass-fed gravity driven
membrane filtration biofilms.
AB - Gravity-driven membrane (GDM) filtration is a promising tool for low-cost
decentralized drinking water production. The biofilms in GDM systems are able of
removing harmful chemical components, particularly toxic cyanobacterial
metabolites such as microcystins (MCs). This is relevant for the application of
GDM filtration because anthropogenic nutrient input and climate change have led
to an increase of toxic cyanobacterial blooms. However, removal of MCs in newly
developing GDM biofilms is only established after a prolonged period of time.
Since cyanobacterial blooms are transient phenomena, it is important to
understand MC removal in mature biofilms with or without prior toxin exposure. In
this study, the microbial community composition of GDM biofilms was investigated
in systems fed with water from a lake with periodic blooms of MC-producing
cyanobacteria. Two out of three experimental treatments were supplemented with
dead biomass of a MC-containing cyanobacterial strain, or of a non-toxic mutant,
respectively. Analysis of bacterial rRNA genes revealed that both biomass-amended
treatments were significantly more similar to each other than to a non
supplemented control. Therefore, it was hypothesized that biofilms could
potentially be 'primed' for rapid MC removal by prior addition of non-toxic
biomass. A subsequent experiment showed that MC removal developed significantly
faster in mature biofilms that were pre-fed with biomass from the mutant strain
than in unamended controls, indicating that MC degradation was a facultative
trait of bacterial populations in GDM biofilms. The significant enrichment of
bacteria related to both aerobic and anaerobic MC degraders suggested that this
process might have occurred in parallel in different microniches.
PMID- 29358064
TI - Evaluation of the Effect of Operator Experience on Outcome of Hepatic Artery
Embolization of Hepatocellular Carcinoma in a Tertiary Cancer Center.
AB - RATIONALE AND OBJECTIVES: There is lack of information on the learning curve and
the effect of operator's experience on the quality outcomes of transarterial
hepatic embolization (TAE). The aim of this study was to evaluate the effect of
operator experience on outcomes of TAE of hepatocellular carcinoma. MATERIALS AND
METHODS: Demographic characteristics and outcomes including overall survival
(OS), time to local tumor progression (TLP), and post-procedure complications in
patients with hepatocellular carcinoma treated with TAE were collected.
Operators' experience was measured in years based on the years after completion
of fellowship and the date of first embolization, and was divided into five
groups: G1, less than 5 years of operator's experience (YOE) at the time of first
embolization; G2, 5-10 YOE; G3, 10-15 YOE; G4, 15-20 YOE; and G5, more than 20
YOE. The effects of operator's experience and outcomes were assessed using linear
regression. RESULTS: From January 2012 to January 2015, 93 patients (age range =
30-86 years) were treated. The number of patients treated by each group was as
follows: G1 = 12; G2 = 8; G3 = 23; G4 = 5; and G5 = 45. All groups were similar
in regard to degree of cirrhosis, Barcelona Clinic Liver Cancer staging, and
Child-Pugh score (P > .05). Median TLP was 8.8 months. TLP was 7.0, 6.8, 19.2,
7.9, and 8.2 months in G1, G2, G3, G4, and G5, respectively (P = .56). OS for 1,
2, and 3 years was 75%, 56%, and 42% for G1; 87%, 54%, and 54% for G2; 91%, 71%,
and 45% for G3, 100%, 50%, and 0 for G4; and 84%, 65%, and 40% for G5.
CONCLUSION: Among interventional radiology fellowship-trained operators in a
tertiary cancer center, OS, TLP, and post-procedure complications of TAE were not
affected by the years of post-fellowship experience.
PMID- 29358065
TI - Knowledge and beliefs about chronic non cancer pain management for family
medicine group nurses.
PMID- 29358066
TI - Portomesenteric vein thrombosis in sleeve gastrectomy: a 10-year review.
AB - BACKGROUND: Worldwide, the laparoscopic sleeve gastrectomy (LSG) is becoming the
dominant bariatric procedure due to its reliable weight loss and low complication
rate. Portomesenteric vein thrombosis (PVT) is an uncommon complication of LSG
with an incidence of .3% to 1% and can lead to serious consequences, such as
bowel ischemia and death. OBJECTIVES: This paper will present the presentation,
risk factors, treatment, and long-term outcomes of patients who had PVT post-LSG.
SETTING: Five bariatric centers in a private setting in Australia. METHODS:
Retrospective data were collected from 5 bariatric centers across Australia from
2007 to 2016. RESULTS: Across 5 centers, 5951 patients underwent LSG; 18 had
recognized PVT (.3%). The mean body mass index was 41.8. Of patients, 39% had a
history or family history of deep vein thrombosis. The average time to diagnosis
was 13 days (range, 5-25). Treatment was nonoperative with anticoagulation in
94%. One patient required operative management with bowel resection. All patients
were discharged on therapeutic anticoagulation. Mean total weight loss was 27.7%
(14.8%-66.3%). Mean follow-up was 10 months. There were no mortalities. Given the
low number of patients, no statistically significant data could be derived.
CONCLUSION: PVT is difficult to diagnose, with significant consequences. The
presenting symptoms are nonspecific, and a high index of suspicion needs to be
maintained. Cross-sectional imaging with computed tomography of the abdomen is
recommended. Patients with PVT post-LSG without previous risk factors can be
anticoagulated for 3 to 6 months with an international normalized ratio of 2 to
3.
PMID- 29358067
TI - Midterm outcomes of laparoscopic sleeve gastrectomy as a stand-alone procedure in
super-obese patients.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) has been gaining popularity as
a safe and effective bariatric procedure for patients with morbid obesity.
However, the long-term outcomes of LSG alone in patients with body mass index
over 50 kg/m2, or super obesity, have not been analyzed in comparison to those of
other bariatric procedures. OBJECTIVES: This study aimed to compare midterm
results of LSG and laparoscopic Roux-en-Y gastric bypass (RYGB) and to evaluate
the efficacy of LSG as a stand-alone bariatric procedure for patients with super
obesity. SETTING: Tertiary medical center. METHODS: The 3-year outcomes of 607
super-obese patients who underwent either LSG or RYGB at an academic institution
between December 2003 and February 2012 were retrospectively reviewed. Patient
records at 6, 12, 18, 24, and 36 months of follow-up were analyzed. RESULTS: The
average percent excess weight loss and change in body mass index of the LSG
versus RYGB group showed no significant difference at any follow-up period. The
rate of resolution of type 2 diabetes and the mean hemoglobin A1C level in both
groups were also comparable. The dramatic loss of patient data beginning at the
12-month follow-up (220/607, 36.24%) was also analyzed. The surgery type was
associated with the duration of follow-up at .133, with a P value of .001.
CONCLUSIONS: We concluded that LSG is a comparably effective stand-alone
procedure for patients with super obesity as RYGB. Our study is the first to
suggest that compared with RYGB, LSG is associated with a shorter duration of
postoperative follow-up.
PMID- 29358068
TI - Single-Parent Expression Is a General Mechanism Driving Extensive Complementation
of Non-syntenic Genes in Maize Hybrids.
AB - Maize (Zea mays L.) displays an exceptional degree of structural genomic
diversity [1, 2]. In addition, variation in gene expression further contributes
to the extraordinary phenotypic diversity and plasticity of maize. This study
provides a systematic investigation on how distantly related homozygous maize
inbred lines affect the transcriptomic plasticity of their highly heterozygous F1
hybrids. The classical dominance model of heterosis explains the superiority of
hybrid plants by the complementation of deleterious parental alleles by superior
alleles of the second parent at many loci [3]. Genes active in one inbred line
but inactive in another represent an extreme instance of allelic diversity
defined as single-parent expression [4]. We observed on average ~1,000 such genes
in all inbred line combinations during primary root development. These genes
consistently displayed expression complementation (i.e., activity) in their
hybrid progeny. Consequently, extreme expression complementation is a general
mechanism that results on average in ~600 additionally active genes and their
encoded biological functions in hybrids. The modern maize genome is complemented
by a set of non-syntenic genes, which emerged after the separation of the maize
and sorghum lineages and lack syntenic orthologs in any other grass species [5].
We demonstrated that non-syntenic genes are the driving force of gene expression
complementation in hybrids. Among those, the highly diversified families of bZIP
and bHLH transcription factors [6] are systematically overrepresented. In
summary, extreme gene expression complementation extensively shapes the
transcriptomic plasticity of maize hybrids and might therefore be one factor
controlling the developmental plasticity of hybrids.
PMID- 29358069
TI - Pupil Size Coupling to Cortical States Protects the Stability of Deep Sleep via
Parasympathetic Modulation.
AB - During wakefulness, pupil diameter can reflect changes in attention, vigilance,
and cortical states. How pupil size relates to cortical activity during sleep,
however, remains unknown. Pupillometry during natural sleep is inherently
challenging since the eyelids are usually closed. Here, we present a novel head
fixed sleep paradigm in combination with infrared back-illumination pupillometry
(iBip) allowing robust tracking of pupil diameter in sleeping mice. We found that
pupil size can be used as a reliable indicator of sleep states and that cortical
activity becomes tightly coupled to pupil size fluctuations during non-rapid eye
movement (NREM) sleep. Pharmacological blocking experiments indicate that the
observed pupil size changes during sleep are mediated via the parasympathetic
system. We furthermore found that constrictions of the pupil during NREM episodes
might play a protective role for stability of sleep depth. These findings reveal
a fundamental relationship between cortical activity and pupil size, which has so
far been hidden behind closed eyelids.
PMID- 29358070
TI - Hunter-Gatherer Olfaction Is Special.
AB - People struggle to name odors [1-4]. This has been attributed to a diminution of
olfaction in trade-off to vision [5-10]. This presumption has been challenged
recently by data from the hunter-gatherer Jahai who, unlike English speakers,
find odors as easy to name as colors [4]. Is the superior olfactory performance
among the Jahai because of their ecology (tropical rainforest), their language
family (Aslian), or because of their subsistence (they are hunter-gatherers)? We
provide novel evidence from the hunter-gatherer Semaq Beri and the non-hunter
gatherer (swidden-horticulturalist) Semelai that subsistence is the critical
factor. Semaq Beri and Semelai speakers-who speak closely related languages and
live in the tropical rainforest of the Malay Peninsula-took part in a controlled
odor- and color-naming experiment. The swidden-horticulturalist Semelai found
odors much more difficult to name than colors, replicating the typical Western
finding. But for the hunter-gatherer Semaq Beri odor naming was as easy as color
naming, suggesting that hunter-gatherer olfactory cognition is special.
PMID- 29358071
TI - Prefrontal Control of Visual Distraction.
AB - Avoiding distraction by conspicuous but irrelevant stimuli is critical to
accomplishing daily tasks. Regions of prefrontal cortex control attention by
enhancing the representation of task-relevant information in sensory cortex,
which can be measured in modulation of both single neurons and event-related
electrical potentials (ERPs) on the cranial surface [1, 2]. When irrelevant
information is particularly conspicuous, it can distract attention and interfere
with the selection of behaviorally relevant information. Such distraction can be
minimized via top-down control [3-5], but the cognitive and neural mechanisms
giving rise to this control over distraction remain uncertain and debated [6-9].
Bridging neurophysiology to electrophysiology, we simultaneously recorded neurons
in prefrontal cortex and ERPs over extrastriate visual cortex to track the
processing of salient distractors during a visual search task. Critically, when
the salient distractor was successfully ignored, but not otherwise, we observed
robust suppression of salient distractor representations. Like target selection,
the distractor suppression was observed in prefrontal cortex before it appeared
over extrastriate cortical areas. Furthermore, all prefrontal neurons that showed
suppression of the task-irrelevant distractor also contributed to selecting the
target. This suggests a common prefrontal mechanism is responsible for both
selecting task-relevant and suppressing task-irrelevant information in sensory
cortex. Taken together, our results resolve a long-standing debate over the
mechanisms that prevent distraction, and provide the first evidence directly
linking suppressed neural firing in prefrontal cortex with surface ERP measures
of distractor suppression.
PMID- 29358072
TI - A Transient Rise in Free Mg2+ Ions Released from ATP-Mg Hydrolysis Contributes to
Mitotic Chromosome Condensation.
AB - For cell division, negatively charged chromatin, in which nucleosome fibers (10
nm fibers) are irregularly folded [1-5], must be condensed into chromosomes and
segregated. While condensin and other proteins are critical for organizing
chromatin into the appropriate chromosome shape [6-17], free divalent cations
such as Mg2+ and Ca2+, which condense chromatin or chromosomes in vitro [18-28],
have long been considered important, especially for local condensation, because
the nucleosome fiber has a net negative charge and is by itself stretched like
"beads on a string" by electrostatic repulsion. For further folding, other
positively charged factors are required to decrease the charge and repulsion
[29]. However, technical limitations to measure intracellular free divalent
cations, but not total cations [30], especially Mg2+, have prevented us from
elucidating their function. Here, we developed a Forster resonance energy
transfer (FRET)-based Mg2+ indicator that monitors free Mg2+ dynamics throughout
the cell cycle. By combining this indicator with Ca2+ [31] and adenosine
triphosphate (ATP) [32] indicators, we demonstrate that the levels of free Mg2+,
but not Ca2+, increase during mitosis. The Mg2+ increase is coupled with a
decrease in ATP, which is normally bound to Mg2+ in the cell [33]. ATP inhibited
Mg2+-dependent chromatin condensation in vitro. Chelating Mg2+ induced mitotic
cell arrest and chromosome decondensation, while ATP reduction had the opposite
effect. Our results suggest that ATP-bound Mg2+ is released by ATP hydrolysis and
contributes to mitotic chromosome condensation with increased rigidity,
suggesting a novel regulatory mechanism for higher-order chromatin organization
by the intracellular Mg2+-ATP balance.
PMID- 29358073
TI - Phase Entrainment of Brain Oscillations Causally Modulates Neural Responses to
Intelligible Speech.
AB - Due to their periodic nature, neural oscillations might represent an optimal
"tool" for the processing of rhythmic stimulus input [1-3]. Indeed, the alignment
of neural oscillations to a rhythmic stimulus, often termed phase entrainment,
has been repeatedly demonstrated [4-7]. Phase entrainment is central to current
theories of speech processing [8-10] and has been associated with successful
speech comprehension [11-17]. However, typical manipulations that reduce speech
intelligibility (e.g., addition of noise and time reversal [11, 12, 14, 16, 17])
could destroy critical acoustic cues for entrainment (such as "acoustic edges"
[7]). Hence, the association between phase entrainment and speech intelligibility
might only be "epiphenomenal"; i.e., both decline due to the same manipulation,
without any causal link between the two [18]. Here, we use transcranial
alternating current stimulation (tACS [19]) to manipulate the phase lag between
neural oscillations and speech rhythm while measuring neural responses to
intelligible and unintelligible vocoded stimuli with sparse fMRI. We found that
this manipulation significantly modulates the BOLD response to intelligible
speech in the superior temporal gyrus, and the strength of BOLD modulation is
correlated with a phasic modulation of performance in a behavioral task.
Importantly, these findings are absent for unintelligible speech and during sham
stimulation; we thus demonstrate that phase entrainment has a specific, causal
influence on neural responses to intelligible speech. Our results not only
provide an important step toward understanding the neural foundation of human
abilities at speech comprehension but also suggest new methods for enhancing
speech perception that can be explored in the future.
PMID- 29358074
TI - [Post micturition incontinence and Cowper's syringocele: 3 new case reports].
PMID- 29358075
TI - Initial development and pilot testing of observer-reported outcomes (ObsROs) for
children with cystic fibrosis ages 0-11years.
AB - PURPOSE: Patient-reported outcomes are important clinical trial endpoints. Young
children may not be able to reliably report on how they feel or function, so
observer-reported outcomes (ObsROs) may be more appropriate for them. The purpose
of this study was to develop and pilot field test electronic parent-reported
observational instruments for children with cystic fibrosis (CF) 0-6 and 7
11years of age. METHODS: We performed concept elicitation interviews with parents
of children with CF <=11years of age to elicit the respiratory signs they could
observe at baseline and during an acute respiratory illness. The resulting
instruments were refined based on interviews with parents and clinicians. We
conducted a pilot field test to evaluate test-retest reliability and the ability
of items to distinguish well and sick periods. RESULTS: The instruments consist
of 17 items assessing respiratory signs and observable CF-related impacts. Test
retest reliability was acceptable for both age groups but discrimination was low
for ages 7-11, likely reflecting less direct observation of older children by
their parents. CONCLUSIONS: An ObsRO for children with CF ages 0-6 appears
promising, while self-report may be more appropriate for children >6years of age.
Next steps for the 0-6year old instrument will be utilizing it as an exploratory
endpoint in clinical trials to enable item reduction, scale development, and
further reliability and validity testing. Ultimately, this ObsRO could be a
promising endpoint for early intervention trials in young children with CF.
PMID- 29358076
TI - Mechanistic View of hnRNPA2 Low-Complexity Domain Structure, Interactions, and
Phase Separation Altered by Mutation and Arginine Methylation.
AB - hnRNPA2, a component of RNA-processing membraneless organelles, forms inclusions
when mutated in a syndrome characterized by the degeneration of neurons (bearing
features of amyotrophic lateral sclerosis [ALS] and frontotemporal dementia),
muscle, and bone. Here we provide a unified structural view of hnRNPA2 self
assembly, aggregation, and interaction and the distinct effects of small chemical
changes-disease mutations and arginine methylation-on these assemblies. The
hnRNPA2 low-complexity (LC) domain is compact and intrinsically disordered as a
monomer, retaining predominant disorder in a liquid-liquid phase-separated form.
Disease mutations D290V and P298L induce aggregation by enhancing and extending,
respectively, the aggregation-prone region. Co-aggregating in disease inclusions,
hnRNPA2 LC directly interacts with and induces phase separation of TDP-43.
Conversely, arginine methylation reduces hnRNPA2 phase separation, disrupting
arginine-mediated contacts. These results highlight the mechanistic role of
specific LC domain interactions and modifications conserved across many hnRNP
family members but altered by aggregation-causing pathological mutations.
PMID- 29358077
TI - Evidence against Stable Protein S-Nitrosylation as a Widespread Mechanism of Post
translational Regulation.
AB - S-nitrosation, commonly referred to as S-nitrosylation, is widely regarded as a
ubiquitous, stable post-translational modification that directly regulates many
proteins. Such a widespread role would appear to be incompatible with the
inherent lability of the S-nitroso bond, especially its propensity to rapidly
react with thiols to generate disulfide bonds. As anticipated, we observed robust
and widespread protein S-nitrosation after exposing cells to nitrosocysteine or
lipopolysaccharide. Proteins detected using the ascorbate-dependent biotin switch
method are typically interpreted to be directly regulated by S-nitrosation.
However, these S-nitrosated proteins are shown to predominantly comprise
transient intermediates leading to disulfide bond formation. These disulfides are
likely to be the dominant end effectors resulting from elevations in nitrosating
cellular nitric oxide species. We propose that S-nitrosation primarily serves as
a transient intermediate leading to disulfide formation. Overall, we conclude
that the current widely held perception that stable S-nitrosation directly
regulates the function of many proteins is significantly incorrect.
PMID- 29358079
TI - Bacterial RNA Biology on a Genome Scale.
AB - Bacteria are an exceedingly diverse group of organisms whose molecular
exploration is experiencing a renaissance. While the classical view of bacterial
gene expression was relatively simple, the emerging view is more complex,
encompassing extensive post-transcriptional control involving riboswitches, RNA
thermometers, and regulatory small RNAs (sRNAs) associated with the RNA-binding
proteins CsrA, Hfq, and ProQ, as well as CRISPR/Cas systems that are programmed
by RNAs. Moreover, increasing interest in members of the human microbiota and
environmental microbial communities has highlighted the importance of
understudied bacterial species with largely unknown transcriptome structures and
RNA-based control mechanisms. Collectively, this creates a need for global RNA
biology approaches that can rapidly and comprehensively analyze the RNA
composition of a bacterium of interest. We review such approaches with a focus on
RNA-seq as a versatile tool to investigate the different layers of gene
expression in which RNA is made, processed, regulated, modified, translated, and
turned over.
PMID- 29358078
TI - A Multiplex Enzymatic Machinery for Cellular Protein S-nitrosylation.
AB - S-nitrosylation, the oxidative modification of Cys residues by nitric oxide (NO)
to form S-nitrosothiols (SNOs), modifies all main classes of proteins and
provides a fundamental redox-based cellular signaling mechanism. However, in
contrast to other post-translational protein modifications, S-nitrosylation is
generally considered to be non-enzymatic, involving multiple chemical routes. We
report here that endogenous protein S-nitrosylation in the model organism E. coli
depends principally upon the enzymatic activity of the hybrid cluster protein
Hcp, employing NO produced by nitrate reductase. Anaerobiosis on nitrate induces
both Hcp and nitrate reductase, thereby resulting in the S-nitrosylation
dependent assembly of a large interactome including enzymes that generate NO (NO
synthase), synthesize SNO-proteins (SNO synthase), and propagate SNO-based
signaling (trans-nitrosylases) to regulate cell motility and metabolism. Thus,
protein S-nitrosylation by NO in E. coli is essentially enzymatic, and the
potential generality of the multiplex enzymatic mechanism that we describe may
support a re-conceptualization of NO-based cellular signaling.
PMID- 29358080
TI - A Regulatory Module Controlling Homeostasis of a Plant Immune Kinase.
AB - Plant pattern recognition receptors (PRRs) perceive microbial and endogenous
molecular patterns to activate immune signaling. The cytoplasmic kinase BIK1 acts
downstream of multiple PRRs as a rate-limiting component, whose phosphorylation
and accumulation are central to immune signal propagation. Previous work
identified the calcium-dependent protein kinase CPK28 and heterotrimeric G
proteins as negative and positive regulators of BIK1 accumulation, respectively.
However, mechanisms underlying this regulation remain unknown. Here we show that
the plant U-box proteins PUB25 and PUB26 are homologous E3 ligases that mark BIK1
for degradation to negatively regulate immunity. We demonstrate that the
heterotrimeric G proteins inhibit PUB25/26 activity to stabilize BIK1, whereas
CPK28 specifically phosphorylates conserved residues in PUB25/26 to enhance their
activity and promote BIK1 degradation. Interestingly, PUB25/26 specifically
target non-activated BIK1, suggesting that activated BIK1 is maintained for
immune signaling. Our findings reveal a multi-protein regulatory module that
enables robust yet tightly regulated immune responses.
PMID- 29358081
TI - The Circle of Gratitude.
PMID- 29358082
TI - Learning lessons: The need for effective evaluation of regulatory change.
PMID- 29358084
TI - Intestinal Epithelial Cell Autophagy Is Required to Protect against TNF-Induced
Apoptosis during Chronic Colitis in Mice.
AB - Genome-wide association studies have linked polymorphisms in the autophagy gene
ATG16L1 with susceptibility to inflammatory bowel disease (IBD). However, the
cell-type-specific effects of autophagy on the regulation of chronic intestinal
inflammation have not been investigated. Here, we assessed the effect of myeloid
specific or intestinal epithelial cell (IEC)-specific deletion of Atg16l1 on
chronic colitis triggered by the intestinal opportunistic pathogen Helicobacter
hepaticus in mice. Although Atg16l1 deficiency in myeloid cells had little effect
on disease, mice selectively lacking Atg16l1 in IECs (Atg16l1VC) developed
severely exacerbated pathology, accompanied by elevated pro-inflammatory cytokine
secretion and increased IEC apoptosis. Using ex vivo IEC organoids, we
demonstrate that autophagy intrinsically controls TNF-induced apoptosis and in
vivo blockade of TNF attenuated the exacerbated pathology in Atg16l1VC mice.
These findings suggest that the IBD susceptibility gene ATG16L1 and the process
of autophagy within the epithelium control inflammation-induced apoptosis and
barrier integrity to limit chronic intestinal inflammation.
PMID- 29358083
TI - Loss of Paneth Cell Autophagy Causes Acute Susceptibility to Toxoplasma gondii
Mediated Inflammation.
AB - The protozoan parasite Toxoplasma gondii triggers severe small intestinal
immunopathology characterized by IFN-gamma- and intestinal microbiota-mediated
inflammation, Paneth cell loss, and bacterial dysbiosis. Paneth cells are a
prominent secretory epithelial cell type that resides at the base of intestinal
crypts and releases antimicrobial peptides. We demonstrate that the microbiota
triggers basal Paneth cell-specific autophagy via induction of IFN-gamma, a known
trigger of autophagy, to maintain intestinal homeostasis. Deletion of the
autophagy protein Atg5 specifically in Paneth cells results in exaggerated
intestinal inflammation characterized by complete destruction of the intestinal
crypts resembling that seen in pan-epithelial Atg5-deficient mice. Additionally,
lack of functional autophagy in Paneth cells within intestinal organoids and T.
gondii-infected mice causes increased sensitivity to the proinflammatory cytokine
TNF along with increased intestinal permeability, leading to exaggerated
microbiota- and IFN-gamma-dependent intestinal immunopathology. Thus, Atg5
expression in Paneth cells is essential for tissue protection against cytokine
mediated immunopathology during acute gastrointestinal infection.
PMID- 29358085
TI - Compartmentalization of HP1 Proteins in Pluripotency Acquisition and Maintenance.
AB - The heterochromatin protein 1 (HP1) family is involved in various functions with
maintenance of chromatin structure. During murine somatic cell reprogramming, we
find that early depletion of HP1gamma reduces the generation of induced
pluripotent stem cells, while late depletion enhances the process, with a
concomitant change from a centromeric to nucleoplasmic localization and
elongation-associated histone H3.3 enrichment. Depletion of heterochromatin
anchoring protein SENP7 increased reprogramming efficiency to a similar extent as
HP1gamma, indicating the importance of HP1gamma release from chromatin for
pluripotency acquisition. HP1gamma interacted with OCT4 and DPPA4 in HP1alpha and
HP1beta knockouts and in H3K9 methylation depleted H3K9M embryonic stem cell
(ESC) lines. HP1alpha and HP1gamma complexes in ESCs differed in association with
histones, the histone chaperone CAF1 complex, and specific components of
chromatin-modifying complexes such as DPY30, implying distinct functional
contributions. Taken together, our results reveal the complex contribution of the
HP1 proteins to pluripotency.
PMID- 29358086
TI - MEIS1 Regulates Hemogenic Endothelial Generation, Megakaryopoiesis, and
Thrombopoiesis in Human Pluripotent Stem Cells by Targeting TAL1 and FLI1.
AB - Human pluripotent stem cells (hPSCs) provide an unlimited source for generating
various kinds of functional blood cells. However, efficient strategies for
generating large-scale functional blood cells from hPSCs are still lacking, and
the mechanism underlying human hematopoiesis remains largely unknown. In this
study, we identified myeloid ectopic viral integration site 1 homolog (MEIS1) as
a crucial regulator of hPSC early hematopoietic differentiation. MEIS1 is vital
for specification of APLNR+ mesoderm progenitors to functional hemogenic
endothelial progenitors (HEPs), thereby controlling formation of hematopoietic
progenitor cells (HPCs). TAL1 mediates the function of MEIS1 in HEP
specification. In addition, MEIS1 is vital for megakaryopoiesis and
thrombopoiesis from hPSCs. Mechanistically, FLI1 acts as a downstream gene
necessary for the function of MEIS1 during megakaryopoiesis. Thus, MEIS1 controls
human hematopoiesis in a stage-specific manner and can be potentially manipulated
for large-scale generation of HPCs or platelets from hPSCs for therapeutic
applications in regenerative medicine.
PMID- 29358087
TI - Triple S-Phase Labeling of Dividing Stem Cells.
AB - Marking replicating DNA with multiple labels presents the possibility of
revealing new features and mechanisms of DNA synthesis and cell division;
however, progression beyond double labeling has been hampered by cross-reactivity
of label detection and scarcity of appropriate labels. Here, we present a method
for triple S-phase labeling of the dividing cells, with a fourth label used to
mark cells actively engaged in cell-cycle progression (e.g., using Ki67) or to
phenotype the dividing cells or their progeny (e.g., using a GFP-expressing
lineage reporter transgene). We apply this method to determine the parameters of
neural stem cell division in the adult brain, to birth date up to four cohorts of
dividing cells, and to reveal patterns of stem cell division in non-neural
tissues.
PMID- 29358088
TI - Isogenic FUS-eGFP iPSC Reporter Lines Enable Quantification of FUS Stress Granule
Pathology that Is Rescued by Drugs Inducing Autophagy.
AB - Perturbations in stress granule (SG) dynamics may be at the core of amyotrophic
lateral sclerosis (ALS). Since SGs are membraneless compartments, modeling their
dynamics in human motor neurons has been challenging, thus hindering the
identification of effective therapeutics. Here, we report the generation of
isogenic induced pluripotent stem cells carrying wild-type and P525L FUS-eGFP. We
demonstrate that FUS-eGFP is recruited into SGs and that P525L profoundly alters
their dynamics. With a screening campaign, we demonstrate that PI3K/AKT/mTOR
pathway inhibition increases autophagy and ameliorates SG phenotypes linked to
P525L FUS by reducing FUS-eGFP recruitment into SGs. Using a Drosophila model of
FUS-ALS, we corroborate that induction of autophagy significantly increases
survival. Finally, by screening clinically approved drugs for their ability to
ameliorate FUS SG phenotypes, we identify a number of brain-penetrant anti
depressants and anti-psychotics that also induce autophagy. These drugs could be
repurposed as potential ALS treatments.
PMID- 29358090
TI - Integrating multisensory information across external and motor-based frames of
reference.
AB - In the mirror box illusion, participants often report that their hand is located
where they see it, even when the position of the reflected hand differs from the
actual position of their hand. This illusory shift (an index of multisensory
integration) is stronger when the two hands engage in synchronous bimanual
movement, in which visual and proprioceptive information is congruent in both
motor-based (i.e. coordinate centered on the effector) and external (i.e.
coordinates centered on elements external to the effector) frames of reference.
To investigate the separate contributions of external and motor-based congruence
in multisensory integration, we instructed participants to make synchronous or
asynchronous tapping movements in either the same (i.e. both hands palms up) or
opposing (palm up, palm down) postures. When in opposing postures, externally
congruent movements were incongruent in a motor-based frame of reference, and
vice versa. Across three experiments, participants reported more illusory shift
and stronger ownership of the viewed hand in the mirror for external versus motor
based congruence trials regardless of motor outflow or motor effort, indicating
that information from an externally-based representation is more strongly
weighted in multisensory integration. These findings provide evidence that not
only information across sensory modalities, but also information regarding
crossmodal congruence represented in different spatial frames of reference, is
differentially weighted in multisensory integration. We discuss how our findings
can be incorporated into current computational models on multisensory
integration.
PMID- 29358089
TI - BAK/BAX-Mediated Apoptosis Is a Myc-Induced Roadblock to Reprogramming.
AB - Despite intensive efforts to optimize the process, reprogramming differentiated
cells to induced pluripotent stem cells (iPSCs) remains inefficient. The most
common combination of transcription factors employed comprises OCT4, KLF4, SOX2,
and MYC (OKSM). If MYC is omitted (OKS), reprogramming efficiency is reduced
further. Cells must overcome several obstacles to reach the pluripotent state,
one of which is apoptosis. To directly determine how extensively apoptosis limits
reprogramming, we exploited mouse embryonic fibroblasts (MEFs) lacking the two
essential mediators of apoptosis, BAK and BAX. Our results show that
reprogramming is enhanced in MEFs deficient in BAK and BAX, but only when MYC is
part of the reprogramming cocktail. Thus, the propensity for Myc overexpression
to elicit apoptosis creates a significant roadblock to reprogramming under OKSM
conditions. Our results suggest that blocking apoptosis during reprogramming may
enhance the derivation of iPSCs for research and therapeutic purposes.
PMID- 29358091
TI - Voulez-vous jouer avec moi? Twelve-month-olds understand that foreign languages
can communicate.
AB - Infants understand that speech in their native language allows speakers to
communicate. Is this understanding limited to their native language or does it
extend to non-native languages with which infants have no experience? Twelve
month-old infants saw an actor, the Communicator, repeatedly select one of two
objects. When the Communicator could no longer reach the target but a Recipient
could, the Communicator vocalized a nonsense phrase either in English (infants'
native language), Spanish (rhythmically different), or Russian (phonotactically
different), or hummed (a non-speech vocalization). Across all three languages,
native and non-native, but not humming, infants looked longer when the Recipient
gave the Communicator the non-target object. Although, by 12 months, infants do
not readily map non-native words to objects or discriminate most non-native
speech contrasts, they understand that non-native languages can transfer
information to others. Understanding language as a tool for communication extends
beyond infants' native language: By 12 months, infants view language as a
universal mechanism for transferring and acquiring new information.
PMID- 29358092
TI - Nitroolefin-based BODIPY as a novel water-soluble ratiometric fluorescent probe
for detection of endogenous thiols.
AB - Small molecule biothiols, including cysteine (Cys), homocysteine (Hcy), and
glutathione (GSH), play many crucial roles in physiological processes. In this
work, we have prepared a nitroolefin-based BODIPY fluorescent probe with
excellent water solubility for detection thiols, which displayed ratiometric
fluorescent signal for thiols. Incorporation of a nitroolefin unit to the BODIPY
dye would transform it into a strong Michael acceptor, which would be highly
susceptible to sulfhydryl nucleophiles. This probe shows an obvious ratio change
upon response with thiols, an increase of the emission at 517 nm along with a
concomitant decrease of fluorescence peak at 573 nm. Moreover, these successes of
intracellular imaging experiments in A549 cells indicated that this probe is
suitable for imaging of ex-/endogenous thiols in living cells.
PMID- 29358093
TI - Spectroscopic and theoretical investigation of conformational changes of proteins
by synthesized pyrimidine derivative and its sensitivity towards FRET
application.
AB - Interest in synthesizing and characterizing (IR, NMR and HRMS spectroscopic
methods) a pyrimidine based Schiff-base ligand, 2-(2-(Anthracen-9-ylmethylene)
hydrazinyl)-4,6-dimethyl pyrimidine (ANHP) has been developed for its application
to ascertain the conformational change of protein and sensitivity towards
fluorescence resonance energy transfer (FRET) process. Location of ANHP in bovine
serum albumin (BSA) and human serum albumin (HSA) proteins environment has been
determined using different spectroscopic techniques. Weakly fluorescent ANHP have
shown greater protein induced fluorescence enhancement (PIFE) in case of HSA than
BSA, though in both cases energy transfer efficiency are almost same but
difference in binding constant values encourages us to find the location of ANHP
within the complex protein environment. From the FRET parameter and alpha
helicity change, it has been found that ANHP bound with Trp-214 of HSA and
surface Trp-134 of BSA. Conformational changes of proteins have been observed
more for HSA than BSA in presence of ANHP, which has confirmed the location of
ANHP in both the protein environments. Coupled with experimental studies,
molecular docking analysis has also been done to explain the locations and
distance dependent FRET process of ANHP in both proteins.
PMID- 29358094
TI - How people learn about causal influence when there are many possible causes: A
model based on informative transitions.
AB - Four experiments tested how people learn cause-effect relations when there are
many possible causes of an effect. When there are many cues, even if all the cues
together strongly predict the effect, the bivariate relation between each
individual cue and the effect can be weak, which can make it difficult to detect
the influence of each cue. We hypothesized that when detecting the influence of a
cue, in addition to learning from the states of the cues and effect (e.g., a cue
is present and the effect is present), which is hypothesized by multiple existing
theories of learning, participants would also learn from transitions - how the
cues and effect change over time (e.g., a cue turns on and the effect turns on).
We found that participants were better able to identify positive and negative
cues in an environment in which only one cue changed from one trial to the next,
compared to multiple cues changing (Experiments 1A, 1B). Within a single learning
sequence, participants were also more likely to update their beliefs about causal
strength when one cue changed at a time ('one-change transitions') than when
multiple cues changed simultaneously (Experiment 2). Furthermore, learning was
impaired when the trials were grouped by the state of the effect (Experiment 3)
or when the trials were grouped by the state of a cue (Experiment 4), both of
which reduce the number of one-change transitions. We developed a modification of
the Rescorla-Wagner algorithm to model this 'Informative Transitions' learning
processes.
PMID- 29358096
TI - Corrigendum to "The impact of alcohol policies on alcohol-attributable diseases
in Taiwan-A population-based study" [Drug Alcohol Depend. 180 (2017) 103-112].
PMID- 29358095
TI - Synergistic antitumor effect of combined paclitaxel with FEN1 inhibitor in
cervical cancer cells.
AB - Studies on cervical cancer are urgently required to improve clinical outcomes. As
a major anticancer drug for cervical cancer, paclitaxel has been used for many
years in clinical therapy but its therapeutic efficacy is limited by common
obstacle from cancer cells. The enhanced DNA repair pathways of cancer cells have
been proved to survive DNA damage induced by chemotherapeutic drug. Inhibitors of
specific DNA repair pathway can sensitize cancer cells to the treatment of
chemotherapeutic drugs. In this paper we found that the effect of paclitaxel can
be significantly improved when used in combination with FEN1 inhibitor SC13,
suggesting a synergistic mechanism between the two compounds. Our studies suggest
that FEN1 inhibition could be a novel strategy of tumor-targeting therapy for
cervical cancer. Our work also revealed that paclitaxel demonstrates stronger
synergistic effect with SC13 than other common used chemical drugs such as
doxorubicin, carboplatin or camptothecin on cervical cancer cells.
PMID- 29358097
TI - The effect of 5-HTTLPR and a serotonergic multi-marker score on amygdala,
prefrontal and anterior cingulate cortex reactivity and habituation in a large,
healthy fMRI cohort.
AB - Major depressive disorder (MDD) is characterized by low mood for at least two
weeks. Impaired emotion regulation has been suggested to be the consequence of
dysfunctional serotonergic regulation of limbic and prefrontal regions,
especially the amygdala, the anterior cingulate cortex (ACC) and the prefrontal
cortex (PFC). The impact of genetic variation on brain function can be
investigated with intermediate phenotypes. A suggested intermediate phenotype of
MDD is emotion recognition: The 5-HTTLPR polymorphism of SLC6A4 as well as other
serotonergic genes have been associated with amygdala and prefrontal function
during emotion recognition. Previously, it has been suggested that habituation is
a more reliable index of emotion recognition than functional activation. We
examined the relationship of genes involved in serotonergic signaling with
amygdala as well as prefrontal functional activation and habituation during an
emotion recognition task in 171 healthy subjects. While effects of 5-HTTLPR and
of a serotonergic multi-marker score (5-HTTLPR, TPH1(rs1800532), TPH2(rs4570625),
HTR1A(rs6295) and HTR2A(rs6311)) on amygdala activation did not withstand
correction for multiple regions of interest, we observed a strong correlation of
the multi-marker score and habituation in the amygdala, DLPFC, and ACC. We
replicated a well-studied intermediate phenotype for association with 5-HTTLPR
and provided additional evidence for polygenic involvement. Furthermore, we
showed that task habituation may be influenced by genetic variation in
serotonergic signaling, particularly by a serotonergic multi-marker score. We
provided preliminary evidence that PFC activation is an important intermediate
phenotype of MDD. Future studies are needed to corroborate the results in larger
samples.
PMID- 29358098
TI - X-ray Computed Microtomography technique applied for cementitious materials: A
review.
AB - The main objective of this article is to present a bibliographical review about
the use of the X-ray microtomography method in 3D images processing of
cementitious materials microstructure, analyzing the pores microstructure and
connectivity network, enabling tthe possibility of building a relationship
between permeability and porosity. The use of this technique enables the
understanding of physical, chemical and mechanical properties of cementitious
materials by publishing good results, considering that the quality and quantity
of accessible information were significant and may contribute to the study of
cementitious materials development.
PMID- 29358099
TI - Effects of nerve stimulation on amphibian oviductal activity.
AB - The present study describes, for the first time in an anuran amphibian, the nerve
stimulation effects on the secretory and motor activity of the oviduct of adult
females. The results reveal that in Rhinella arenarum oviducts, the epithelial
and glandular secretory cells of the mucosa of the pars convoluta respond to
nerve stimulation secreting the products synthetized and stored in their
cytoplasm. The ultrastructural analysis showed that the cell content released is
made up of granular, fibrillar and floccular material, exocytosis being the main
secretory mechanism found in epithelial secretory cells, although apocrine and
holocrine processes could also be observed. In contrast, in glandular cells only
exocytosis processes were found. With respect to the participation of the nervous
system in the motility of the duct, observations under our experimental
conditions indicated that oviductal nerve stimulation promotes motor activity as
manifested by a succession of coordinated contractions and relaxations that
generate movements similar to peristaltic waves. These results were observed in
oviducts from animals captured during the reproductive and post reproductive
periods. However, it is important to note that both the secretory response and
duct motility are markedly decreased during the post reproductive period of the
species.
PMID- 29358100
TI - Juvenile myoclonic epilepsy and sleep.
AB - Juvenile myoclonic epilepsy (JME) is a sleep-related epilepsy syndrome, and only
a few studies have addressed the relationship between JME and sleep disorders. In
this review, the sleep characteristics of patients with JME were summarized based
on the features of circadian rhythm, the possible cause of the early morning
seizures, the common subjective and objective sleep disorders, the alterations in
sleep architecture, and the effect of sleep deprivation and sodium valproate
(VPA). The aims of this study were to summarize the interaction between JME and
sleep, to reveal JME sleep characteristics, to encourage clinicians to focus on
JME and sleep, to heighten the positive diagnosis rate, to guide the treatment,
to improve the prognosis, and to enhance the daily life quality of patients with
JME. At the same time, this study aimed to present existing controversies, in
order to necessitate further studies.
PMID- 29358101
TI - The validity and reliability of the Arabic version of the Confusion Assessment
Method for the Intensive Care Unit (CAM-ICU): A prospective cohort study.
AB - BACKGROUND: Accurate diagnosis for Arabic speaking critically ill patients
suffering from delirium is limited by the need for a valid/reliable translation
of a standardized delirium instrument such as the Confusion Assessment Method for
the ICU (CAM-ICU). OBJECTIVE: To determine the validity and reliability of the
Arabic version of the CAM-ICU. DESIGN: A prospective cohort study design was used
to conduct the current study. SETTINGS: Data collection took place in Geriatric,
Emergency and Surgical intensive care units. PARTICIPANTS: Fifty-eight adult
patients met the inclusion criteria and participated in the study. Among the
participants 22(38%) patients were on mechanical ventilation. METHODS: After
translating the CAM-ICU into Arabic language, the Arabic CAM-ICU was administered
by two well-trained critical care nurses and compared with reference standard
assessments by delirium experts using the Diagnostic and Statistical Manual of
Mental Disorders, 4th Edition, Text Revision (DSM -IV-TR), along with assessment
of severity of illness using Sequential Organ Failure Assessment (SOFA).
Concurrent validity was assessed by calculating sensitivity, specificity and
positive and negative predictive value (PPV and NPV) for the two Arabic CAM-ICU
raters, where calculations were based on considering the DSM-IV-TR criterion as
the reference standard. The convergent validity of the Arabic CAM-ICU was
explored by comparing the Arabic CAM-ICU ratings and the total score of SOFA
(severity of illness) and MMSE (cognitive impairment). RESULTS: A total of 58 ICU
patients were included, of whom 27 (47%) were diagnosed with delirium during
their ICU stay via DSM-IV criteria. Interrater reliability for the Arabic CAM
ICU, overall and for mechanically ventilated patients assessed using Cohen's
kappa (kappa) were 0.82 and 1, respectively, p < 0.001. The sensitivities (95%
CI) for the two critical care nurses when using the Arabic CAM-ICU compared with
the reference standard were 81% (60%-93%) and 85% (65%-95%), respectively,
whereas specificity (95% CI) was 81%(62%-92%) for both nurses. High sensitivity
and specificity measures were also observed across subgroups; 100% for
mechanically ventilated patients, 88% (60%-98%) and 79% (49%-94%) for those aged
65 years or older and 82% (56%-95%) and 75% (43%-93%) for those with SOFA scores
at or above the median value. CONCLUSIONS: The Arabic CAM-ICU appeared to be
valid and reliable tool for diagnosing delirium. Future investigations may lead
to a better understanding of the prevalence, predictors, and consequences of
delirium among critically ill Arabic speaking patients.
PMID- 29358102
TI - Social cognition intervention in schizophrenia: Description of the training of
affect recognition program - Indian version.
AB - Social cognition refers to mental operations involved in processing of social
cues and includes the domains of emotion processing, Theory of Mind (ToM), social
perception, social knowledge and attributional bias. Significant deficits in ToM,
emotion perception and social perception have been demonstrated in schizophrenia
which can have an impact on socio-occupational functioning. Intervention modules
for social cognition have demonstrated moderate effect sizes for improving
emotion identification and discrimination. We describe the Indian version of the
Training of Affect Recognition (TAR) program and a pilot study to demonstrate the
feasibility of administering this intervention program in the Indian population.
We also discuss the cultural sensibilities in adopting an intervention program
for the Indian setting. To the best of our knowledge this is the first
intervention program for social cognition for use in persons with schizophrenia
in India.
PMID- 29358103
TI - Application of stacked convolutional and long short-term memory network for
accurate identification of CAD ECG signals.
AB - Coronary artery disease (CAD) is the most common cause of heart disease globally.
This is because there is no symptom exhibited in its initial phase until the
disease progresses to an advanced stage. The electrocardiogram (ECG) is a widely
accessible diagnostic tool to diagnose CAD that captures abnormal activity of the
heart. However, it lacks diagnostic sensitivity. One reason is that, it is very
challenging to visually interpret the ECG signal due to its very low amplitude.
Hence, identification of abnormal ECG morphology by clinicians may be prone to
error. Thus, it is essential to develop a software which can provide an automated
and objective interpretation of the ECG signal. This paper proposes the
implementation of long short-term memory (LSTM) network with convolutional neural
network (CNN) to automatically diagnose CAD ECG signals accurately. Our proposed
deep learning model is able to detect CAD ECG signals with a diagnostic accuracy
of 99.85% with blindfold strategy. The developed prototype model is ready to be
tested with an appropriate huge database before the clinical usage.
PMID- 29358104
TI - The association of discharge destination with 30-day rehospitalization rates
among older adults receiving lumbar spinal fusion surgery.
AB - BACKGROUND: As defined by Medicare (United States), post-acute rehabilitation
services include care provided in inpatient rehabilitation units and facilities,
skilled nursing facilities, long-term acute hospitals, and by home health
services. METHODS: We retrospectively evaluated the use of rehabilitation-based
post-acute services among Medicare beneficiaries who were hospitalized for lumbar
spinal fusion (ICD-9-CM procedure codes 81.04-81.08) in 2012-2014, examined the
case-mix for those discharged to rehabilitation- and non-rehabilitation based
services, and determined the association between these categories of discharge
disposition and 30-day rehospitalization. The independent effect of
rehabilitation-based discharge destination on 30-day readmissions was examined
with a generalized linear mixed model, first adjusting for patient
characteristics and then stratified by clusters that delineated more homogenous
clinical profiles. RESULTS: Among 261,558 Medicare beneficiaries with lumbar
spinal fusion surgery, 50.8% were discharged to a rehabilitation-based post-acute
services. Patients discharged to rehabilitation-based services were older and had
more comorbidities, and had longer hospital lengths of stays. After adjusting for
patient and hospital characteristics, patients discharged to rehabilitation-based
post-acute care had increased odds of 30-day rehospitalization than those without
discharge to other destinations (OR 1.36; 95%CI = 1.31, 1.40). Analysis of
patients by clinical profile clusters found similar results. CONCLUSIONS:
Clinical profiles of Medicare beneficiaries who had lumbar spinal fusion surgery
and were discharged to rehabilitation-based post-acute services included more
comorbidities than those discharged to non-rehabilitation based settings.
Controlling for these differences did not mediate the negative association
between use of rehabilitation-based post-acute services and 30-day readmission.
PMID- 29358105
TI - Using real-time ultrasound imaging as adjunct teaching tools to enhance physical
therapist students' ability and confidence to perform traction of the knee joint.
AB - Often, physical therapy students struggle with the skill and the confidence to
perform manual techniques for musculoskeletal examination. Current teaching
methods lack concurrent objective feedback. Real-time ultrasound imaging (RTUI)
has the advantage of generating visualization of anatomical structures in real
time in an efficient and safe manner. We hypothesize that the use of RTUI to
augment teaching with concurrent objective visual feedback will result in
students' improved ability to create a change in joint space when performing a
manual knee traction and higher confidence scores. Eighty-six students were
randomly allocated to a control or an experimental group. All participants
received baseline instructions on how to perform knee traction. The control group
received standardized lab instruction (visual, video, and instructor/partner
feedback). The experimental group received standardized lab instruction augmented
with RTUI feedback. Pre-data and post-data collection consisted of measuring
participants' ability to create changes in joint space when performing knee
traction, a confidence survey evaluating perceived ability and a reflection
paper. Joint space changes between groups were compared using a paired t-test.
Surveys were analyzed with descriptive statistics and compared using Wilcoxon
Rank Sum and for the reflection papers, themes were identified and descriptive
statistics reported. Although there were no statistically significant differences
between the control and the experimental group, overall scores improved.
Qualitative data suggests students found the use of ultrasound imaging beneficial
and would like more exposure. This novel approach to teaching knee traction with
RTUI has potential and may be a basis for further studies.
PMID- 29358106
TI - The stereotactic suboccipitaltranscerebellar approach to lesions of the brainstem
and the cerebellum.
AB - OBJECTIVE: The stereotactic suboccipital-transcerebellar approach is widely
regarded as technically demanding requiring substantial modifications of the
standard stereotactic methods thus often making a transfrontal approach
preferable. In this comprehensive series we aim to present our experience with
the stereotactic suboccipital-transcerebellar approach to lesions of the
brainstem or cerebellum using two standard stereotactic systems. PATIENTS AND
METHODS: In the period of 2000-2015 overall 80 patients (mean age 43.95 +/- 23.76
years) with lesions of the brainstem or cerebellum underwent stereotactic surgery
for diagnostic or therapeutic purposes via a suboccipital approach. In 59
patients stereotactic surgery was performed using the Riechert-Mundinger
Stereotactic Frame, the Leksell Stereotactic Frame was used in 21 patients. For
both frames standard systems were used without modification. Retrospective
analysis of intraoperative stereotactic technique, achievement of the predefined
surgical objectives and perioperative complications was carried out. RESULTS: In
this series, the stereotactic suboccipital-transcerebellar approach proved to be
feasible with two standard stereotactic systems. Using either frame the
predefined surgical objective was achieved in 90.0%. A verified neuropathological
diagnosis was obtained in 89.6%. Minor transient perioperative complications
occurred in 8.75%. There was no surgery-related permanent morbidity or mortality.
CONCLUSION: In this comprehensive series the stereotactic suboccipital
transcerebellar approach using a standard stereotactic system proved to be a
favorable stereotactic approach with a high diagnostic success rate and no
surgery-related permanent morbidity.
PMID- 29358107
TI - The oxymoron of image-guided resection in 3 T MRI-negative extratemporal
epilepsy: Technique and postoperative results.
AB - OBJECTIVE: We share our experience with extratemporal MRI-negative epilepsies
that received "image-guided" resection with the use of neuronavigation after
invasive presurgical video-EEG monitoring. We describe and discuss our technique
of image generation, navigation system registration, and surgical resection. In
addition, we evaluate seizure outcome with respect to the preoperatively planned
versus achieved resection. PATIENTS AND METHODS: Seven patients with 3 T MRI
negative extratemporal epilepsy received navigation-guided resective surgery. The
resection plan was based on electrophysiological data from intracranial EEG
recordings. For each case a resection segment was created in the neuronavigation
device in a systematic manner. We compared the preoperatively planned segment to
the achieved resection and looked for correlation with postoperative seizure
outcome according to Engel classification, at last follow-up (mean 2.4 years,
range 1-4 years). RESULTS: Mean volume of planned resections was 23.8 +/- 15.3
cm3 and of achieved resections 17 +/- 10.4 cm3. There was complete overlap with
planned resection in 4 patients and partial overlap in 3. Postoperative seizure
outcome was class I in 4 patients (57%), IIIA in 1 patient and IVB in 2 patients.
Three patients reached seizure-freedom (Engel IA). Volume of planned resection,
volume difference of planned versus achieved resection and level of overlap
(complete versus partial) did not significantly correlate to seizure outcome.
CONCLUSION: The use of neuronavigation for planning and executing a tailored
resection in MRI-negative extratemporal epilepsy is elaborate but can be an
effective procedure.
PMID- 29358108
TI - Increased PT/SS may play an important role in the pathogenesis of lumbar
spondylolisthesis with degenerative lumbar scoliosis.
AB - OBJECTIVE: To explore the clinical and spinopelvic features in patients with
lumbar spondylolisthesis and degenerative lumbar scoliosis (LSDLS) and those with
standalone lumbar spondylolisthesis (SALS). PATIENTS AND METHODS: We
retrospectively analyzed 130 patients with lumbar spondylolisthesis seen between
05/2013 and 12/2016 in our hospital. Propensity score matching was used to reduce
an age distribution imbalance between the two groups. Clinical and spinopelvic
parameters were compared by independent samples t test and chi-square test.
Nonlinear binary logistic regression analysis was used to analyze the independent
factors. RESULTS: There was no significant difference between groups for body
mass index, level of intercrest line, level of spondylolisthesis, grade of
spondylolisthesis, sagittal translation, segmental angulation, thoracic kyphosis,
or sagittal vertical axis. The LSDLS group had significantly lower lumbar
lordosis (LL) than the SALS group. The LSDLS group showed significantly greater
pelvic tilt (PT) and ratio of PT to SS (sacral slope) (PT/SS), and lower SS. The
pelvic incidence (PI) was not significantly different. The LSDLS group showed
stronger significant differences in PT/SS compared to the SALS group. With each
0.1 increase in PT/SS, the risk of LSDLS increased 1.465 times. CONCLUSIONS:
Patients with spondylolysis are less prone to development of DLS. Lower LL and
SS, and higher PT are associated with the occurrence of LSDLS. Increased PT/SS
may play an important role in the pathogenesis of LSDLS.
PMID- 29358109
TI - DEEPAligner: Deep encoding of pathways to align epigenetic signatures.
AB - BACKGROUND AND OBJECTIVE: Recently, differential DNA Methylation is known to
affect the regulatory mechanism of biological pathways. A pathway encompasses a
set of interacting genes or gene products that altogether perform a given
biological function. Pathways often encode strong methylation signatures that are
capable of distinguishing biologically distinct subtypes. Even though Next
Generation Sequencing techniques such as MeDIP-seq and MBD-isolated genome
sequencing (MiGS) allow for genome-wide identification of clinical and biological
subtypes, there is a pressing need for computational methods to compare
epigenetic signatures across pathways. METHODS: A novel alignment method, called
DEEPAligner (Deep Encoded Epigenetic Pathway Aligner), is proposed in this paper
that finds functionally consistent and topologically sound alignments of
epigenetic signatures from pathway networks. A deep embedding framework is used
to obtain epigenetic signatures from pathways which are then aligned for
functional consistency and local topological similarity. RESULTS: Experiments on
four benchmark cancer datasets reveal epigenetic signatures that are conserved in
cancer-specific and across-cancer subtypes. CONCLUSION: The proposed deep
embedding framework obtains highly coherent signatures that are aligned for
biological as well as structural orthology. Comparison with state-of-the-art
network alignment methods clearly suggest that the proposed method obtains
topologically and functionally more consistent alignments. AVAILABILITY:
http://bdbl.nitc.ac.in/DEEPAligner.
PMID- 29358110
TI - Unwanted ventilatory alarm: Be careful about flow sensors size.
PMID- 29358111
TI - Simultaneous surface and depth neural activity recording with graphene transistor
based dual-modality probes.
AB - Subdural surface and penetrating depth probes are widely applied to record neural
activities from the cortical surface and intracortical locations of the brain,
respectively. Simultaneous surface and depth neural activity recording is
essential to understand the linkage between the two modalities. Here, we develop
flexible dual-modality neural probes based on graphene transistors. The neural
probes exhibit stable electrical performance even under 90 degrees bending
because of the excellent mechanical properties of graphene, and thus allow multi
site recording from the subdural surface of rat cortex. In addition, finite
element analysis was carried out to investigate the mechanical interactions
between probe and cortex tissue during intracortical implantation. Based on the
simulation results, a sharp tip angle of pi/6 was chosen to facilitate tissue
penetration of the neural probes. Accordingly, the graphene transistor-based dual
modality neural probes have been successfully applied for simultaneous surface
and depth recording of epileptiform activity of rat brain in vivo. Our results
show that graphene transistor-based dual-modality neural probes can serve as a
facile and versatile tool to study tempo-spatial patterns of neural activities.
PMID- 29358112
TI - Biosensors for rapid and sensitive detection of Staphylococcus aureus in food.
AB - Foodborne illness outbreaks caused by the consumption of food contaminated with
harmful bacteria has drastically increased in the past decades. Therefore,
detection of harmful bacteria in the food has become an important factor for the
recognition and prevention of problems associated with food safety and public
health. Staphylococcus aureus is one of the most commonly isolated foodborne
pathogen and it is considered as a major cause of foodborne illnesses worldwide.
A number of different methods have been developed for the detection and
identification of S. aureus in food samples. However, some of these methods are
laborious and time-consuming and are not suitable for on-site applications.
Therefore, it is highly important to develop rapid and more approachable
detection methods. In the last decade, biosensors have gained popularity as an
attractive alternative method and now considered as one of most rapid and on-site
applicable methods. An overview of the biosensor based methods used for the
detection of S. aureus is presented herein. This review focuses on the state-of
the-art biosensor methods towards the detection and quantification of S. aureus,
and discusses the most commonly used biosensor methods based on the transducing
mode, such as electrochemical, optical, and mass-based biosensors.
PMID- 29358113
TI - Long term exposure to low dose neurotoxic pesticides affects hatching, viability
and cholinesterase activity of Artemia sp.
AB - The brine shrimp Artemia was used as a model organism to test toxicity of several
neuroactive pesticides (chlorpyrifos (CLP), chlorpyrifos oxon (CLP ox), diazinon
(DZN), carbaryl (CBR)) following exposure to far below than lethal doses. Cysts
were exposed to the pesticides in order to test a scenario similar to actual
coastal environment contamination, by analyzing different responses. Cysts were
rehydrated in water containing the pesticides at concentrations ranging from 10
11 to 10-5 M, for 72, 96 and 192 h, respectively. For these exposure times,
morpho-functional and biochemical parameters, such as hatching speed and
viability were investigated in the larvae together with cholinesterase (ChE)
activity quantification and histochemical localization. Finally, ChE inhibition
was also compared with conventional selective ChE inhibitors. Results showed that
CLP ox and CBR caused a significant dose-dependent decrease in hatching speed,
followed by high percentages of larval death, while CLP and DZN were responsible
for irregular hatching patterns. In addition, the pesticides mostly caused larval
death some days post-hatching, whereas this effect was negligible for the
specific ChE inhibitors, suggesting that part of pesticide toxicity may be due to
molecules other than the primary target. ChE activity was observed in the
protocerebrum lobes, linked to the development of pair eyes. Such activity was
inhibited in larvae exposed to all pesticides. When compared to conventional
selective inhibitors of ChE activities, this inhibition demonstrated that the
selected pesticides mainly affect acetylcholinesterase and, to a lesser extent,
pseudocholinesterases. In conclusion, the brine shrimp is a good model to test
the environmental toxicity of long term exposure to cholinergic pesticides, since
changes in hatching speed, viability and ChE activity were observed.
PMID- 29358114
TI - Expression of flavivirus capsids enhance the cellular environment for viral
replication by activating Akt-signalling pathways.
AB - Flaviviruses depend on multiple host pathways during their life cycles and have
evolved strategies to avoid the innate immune response. Previously, we showed
that the West Nile virus capsid protein plays a role in this process by blocking
apoptosis. In this study, we examined how expression of capsid proteins from
several flaviviruses affects apoptosis and other host processes that impact virus
replication. All of the tested capsid proteins protected cells from Fas-dependent
apoptosis through a mechanism that requires activated Akt. Capsid expression
upregulated other Akt-dependent cellular processes including expression of
glucose transporter 1 and mitochondrial metabolism. Protein phosphatase 1, which
is known to inactivate Akt, was identified as a DENV capsid interacting protein.
This suggests that DENV capsid expression activates Akt by sequestering
phosphatases that downregulate phospho-Akt. Capsid-dependent upregulation of Akt
would enhance downstream signalling pathways that affect cell survival and
metabolism, thus providing a favourable environment for virus replication.
PMID- 29358115
TI - Long-term exposure to residential green and blue spaces and anxiety and
depression in adults: A cross-sectional study.
AB - BACKGROUND: Although exposure to natural outdoor environments has been
consistently associated with improved perceived general health, available
evidence on a protective association between this exposure and specific mental
health disorders such as depression and anxiety is still limited. OBJECTIVE: The
aim of this study was to evaluate the effects of long-term exposure to
residential green and blue spaces on anxiety and depression and intake of related
medication. Additionally, we aimed to explore potential mediators and effect
modifiers of this association. METHODS: The study was based on an existing adult
cohort (ALFA - Alzheimer and Families) and includes 958 adult participants from
Barcelona recruited in 2013-2014. For each participant residential green and blue
exposure indicators [surrounding greenness (NDVI), amount of green (land-cover)
and access to major green spaces and blue spaces] were generated for different
buffers (100m, 300m and 500m). Participants reported their history of doctor
diagnosed anxiety and depressive disorders and intake of related medication.
Logistic regression models were applied to assess the corresponding associations.
RESULTS: Increasing surrounding greenness was associated with reduced odds of
self-reported history of benzodiazepines [e.g. Odds ratio - OR (95%CI) = 0.62
(0.43, 0.89) for 1-interquartile range (IQR) increase in NDVI in a 300m buffer]
and access to major green spaces was associated with self-reported history of
depression [OR (95%CI) = 0.18 (0.06, 0.58)]. No statistically significant
associations were observed with blue spaces. Air pollution (between 0.8% and
29.6%) and noise (between 2.2% and 5.3%) mediated a proportion of the
associations observed, whereas physical activity and social support played a
minor role. CONCLUSION: Our findings suggest a potential protective role of green
spaces on mental health (depression and anxiety) in adults, but further studies,
especially longitudinal studies, are needed to provide further evidence of these
benefits and of the mediation role of exposures like air pollution and noise.
PMID- 29358116
TI - Cadmium and atherosclerosis: A review of toxicological mechanisms and a meta
analysis of epidemiologic studies.
AB - Cadmium has been proposed to be the one of the factors of atherosclerosis
development, although the existing data are still controversial. The primary
objective of the present study is the review and the meta-analysis of studies
demonstrating the association between Cd exposure and atherosclerosis as well as
review of the potential mechanisms of such association. We performed a systematic
search in the PubMed-Medline database using the MeSH terms cadmium,
cardiovascular disease, atherosclerosis, coronary artery disease, myocardial
infarction, stroke, mortality and humans up through December 20, 2017. Elevated
urinary Cd levels were associated with increased mortality for cardiovascular
disease (HR = 1.34, 95% CI: 1.07-1.67) as well as elevated blood Cd levels (HR =
1.78, 95% CI: 1.24-2.56). Analysis restricted to never smokers showed similar,
though more imprecise, results. Consistently, we also observed an association
between Cd exposure markers (blood and urine) and coronary heart disease, stroke,
and peripheral artery disease. Moreover, Cd exposure was associated with
atherogenic changes in lipid profile. High Cd exposure was associated with higher
TC levels (OR = 1.48, 95% CI: 1.10-2.01), higher LDL-C levels (OR = 1.31, 95% CI
0.99-1.73) and lower HDL-C levels (OR = 1.96, 95% CI: 1.09-3.55). The mechanisms
of atherogenic effect of cadmium may involve oxidative stress, inflammation,
endothelial dysfunction, enhanced lipid synthesis, up-regulation of adhesion
molecules, prostanoid dysbalance, as well as altered glycosaminoglycan synthesis.
PMID- 29358118
TI - Polygenic risk for Alzheimer's disease influences precuneal volume in two
independent general populations.
AB - Alzheimer's disease (AD) is heritable with complex genetic underpinnings. Based
on previous results from large-scale genome-wide association studies, recent
studies found an association between the polygenic risk score (PGRS) of AD and
the structure of some preselected brain regions, but the effects of AD PGRS on
all voxels of the brain have not been fully investigated. In the present study,
we examined the voxel-wise effect of AD PGRS on the entire brain and the
influence of AD PGRS on cognitive function in 2 independent healthy young
cohorts. In both cohorts, an elevated AD PGRS was associated with a smaller
precuneal volume, and the effect remained after excluding the APOE genotype. No
correlation was found between AD PGRS and any cognitive measure in either sample.
Finding a negative correlation between the AD PGRS and the precuneal volume could
help to elucidate the mechanism of the genetic risk for AD and could provide a
potential biomarker for early detection and possible interventions in AD.
PMID- 29358117
TI - The effect of Alzheimer's disease on spatial navigation strategies.
AB - Hippocampal and basal forebrain (BF) atrophy is associated with allocentric
navigation impairment in Alzheimer's disease (AD) and may lead to recruitment of
compensatory navigation strategies. We examined navigation strategy preference,
its association with allocentric navigation, and the role of hippocampal and BF
volumes in this association in early clinical stages of AD. Sixty nine
participants-amnestic mild cognitive impairment (aMCI) due to AD (n = 28), AD
dementia (n = 21), and cognitively normal (CN) older adults (n = 20)-underwent
virtual Y-maze strategy assessment, real-space navigation testing, cognitive
assessment, and hippocampal and BF volumetry. Preference for egocentric over
allocentric strategy increased with AD severity (aMCI: 67% vs. 33%; dementia: 94%
vs. 6%), which contrasted with preference in the CN group (39% vs. 61%). Those
with aMCI who preferred egocentric strategy had worse allocentric navigation.
Among those with aMCI, hippocampal and BF atrophy explained up to 25% of the
association between strategy preference and allocentric navigation. The
preference for egocentric strategy in AD may reflect recruitment of compensatory
extrahippocampal navigation strategies as adaptation to hippocampal and BF
neurodegeneration.
PMID- 29358119
TI - Low subjective socioeconomic status stimulates orexigenic hormone ghrelin - A
randomised trial.
AB - Recent evidence suggests that lower perceived socioeconomic status is linked to
increased appetite and intake of greater calories. Yet, whether insecurity of
socioeconomic resources directly influences regulatory systems of appetite and
energy intake is not known. Considering psychological states, mindsets and
beliefs have shown to meaningfully affect physiological responses to food, the
present study tested the hypothesis that low subjective socioeconomic status
(SSS) will have a direct influence on physiological responses, such as appetite
related hormones (ghrelin, pancreatic polypeptide and insulin). Forty-eight
healthy males were randomly (crossover, counterbalanced) assigned, to two
experimental conditions where participants were either experimentally induced to
feel low SSS or not (control; CON). Feelings of low SSS resulted in an increase
in active ghrelin (an orexigenic hormone) following the SSS manipulation compared
with baseline, while no change in active ghrelin was observed in CON.
Furthermore, participants reported lower fullness and satiety following low SSS
compared with CON. Our findings demonstrate that SSS may influence hunger
regulation and appetite, and suggest that physiological systems regulating energy
balance (i.e. caloric resources) may also be sensitive to perceived deprivation
or imbalances in critical non-food resources (socioeconomic resources).
PMID- 29358120
TI - Hair cortisol concentrations in war-affected adolescents: A prospective
intervention trial.
AB - Temporal examinations of the biological signature of stress or trauma in war
affected populations are seldom undertaken. Moreover, few studies have examined
whether stress biomarkers track biological sensitivity to brief interventions
targeting the improvement of psychosocial wellbeing. Our study is the first to
prospectively examine, in war-affected adolescents, the associations between hair
cortisol concentrations (HCC) and self-reports of stress, insecurity,
posttraumatic reactions, and lifetime trauma. We conducted a randomized
controlled trial to test the impact of an 8-week intervention based on profound
stress attunement. We collected data for a gender-balanced sample of 733 Syrian
refugee (n = 411) and Jordanian non-refugee (n = 322) adolescents (12-18 years),
at three time-points. We used growth mixture models to classify cortisol
trajectories, and growth models to evaluate intervention impact on stress
physiology. We observed three trajectories of HCC: hypersecretion, medium
secretion, and hyposecretion (9.6%, 87.5% and 2.9% of the cohort, respectively).
For every one percent increase in levels of insecurity, adolescents were 0.02
times more likely to have a trajectory of hypersecretion (95% CI: 1.00, 1.03, p =
0.01). For each additional symptom of posttraumatic stress reported, they were
0.07 times less likely to show hyposecretion (95% CI: 0.89, 0.98, p = 0.01).
Indeed, stronger posttraumatic stress reactions were associated with a pattern of
within-individual cortisol dysregulation and medium secretion. Overall, HCC
decreased by a third in response to the intervention (95% CI: -0.19, -0.03, p =
0.01). While the intervention decreased HCC for youth with hypersecretion and
medium secretion, it increased HCC for youth with hyposecretion (95% CI: 0.22,
1.16, p = 0.004), relative to controls. This suggests a beneficial regularization
of cortisol levels, corroborating self-reports of improved psychosocial
wellbeing. We did not find evidence to suggest that gender, resilience, or
posttraumatic stress disorder influenced the strength or direction of responses
to the intervention. This robust impact evaluation exemplifies the utility of
biomarkers for tracking physiological changes in response to interventions over
time. It enhances the understanding of trajectories of endocrine response in
adverse environments and patterns of stress responsivity to ecological
improvement.
PMID- 29358122
TI - Risk factors for child neglect: A meta-analytic review.
AB - Knowledge of risk factors and their effects is vital for successfully preventing
and reducing child neglect. This study provides a meta-analytic update of
research on risk factors for child neglect. A total of 315 effect sizes were
extracted from 36 primary studies and classified into 24 risk domains. Effects of
15 risk domains were significant and ranged from small (r = .110) to large (r =
.372) in magnitude. Most risks were found at the parental level, such as having a
history of antisocial behavior/criminal offending (r = .372); having a history of
mental/psychiatric problems (r = . 259); having mental/physical problems (r =
.207); and experiences of abuse in own childhood (r = .182). The effect of mother
related risk factors was not significantly different from the effect of father
related risk factors. It is concluded that child neglect is determined by
multiple risk domains and that especially parent-related risk factors are
important in preventing and reducing child neglect. Implications of the results
for clinical practice are discussed.
PMID- 29358121
TI - Psychological and physical intimate partner violence and young children's mental
health: The role of maternal posttraumatic stress symptoms and parenting
behaviors.
AB - Young children are at significant risk of exposure to intimate partner violence
(IPV), and vulnerable to exposure-related psychopathology, yet few studies
investigate the effects of exposure to IPV on children under the age of 5 years.
The current study investigated the role of maternal PTSD symptoms and parenting
strategies in the relationship between mothers' IPV experiences and
psychopathology in their young children, ages 3-6 years in a community-based
cohort of 308 mother-child dyads at high risk for family violence. Data were
collected from 2011 to 2014. IPV history and maternal PTSD symptoms were assessed
by self-report questionnaires. Children's symptoms were assessed with a
developmentally-sensitive psychiatric interview administered to mothers.
Punitive/restrictive parenting was independently-coded from in-depth interviews
with mothers about their disciplinary practices. Hypothesized direct and indirect
pathways between physical and psychological IPV, maternal PTSD, maternal
parenting style, and children's internalizing and externalizing symptoms were
examined with mediation models. Results indicated that neither physical nor
psychological IPV experienced by mothers was directly associated with children's
symptoms. However, both types of victimization were associated with maternal PTSD
symptoms. Examination of indirect pathways suggested that maternal PTSD symptoms
mediated the relationship between mothers' psychological and physical IPV
experiences and children's internalizing and externalizing symptoms and mothers'
restrictive/punitive parenting mediated the relationship between mothers'
psychological IPV and children's externalizing symptoms. In addition, there was a
path from maternal physical IPV to child externalizing symptoms through both
maternal PTSD symptoms and restrictive/punitive parenting. Findings highlight the
importance of supporting parents in recovering from the sequelae of their own
traumatic experiences, as their ensuing mental health symptoms and parenting
behaviors may have a significant impact on their children's emotional health.
PMID- 29358123
TI - Aggression and violence in healthcare and its impact on nursing students: A
narrative review of the literature.
AB - Aggression and violence is a significant social problem in many countries and an
increasing problem in healthcare settings in which nurses are particularly
vulnerable. The literature suggests that aggression and violence has a
significant negative impact upon nurses and potentially upon nursing students and
can result in these staff members experiencing stress as a direct result of these
adverse events. The literature suggests that there is confusion over what
constitutes aggression and violence in the workplace and therefore a true lack of
understanding of the scale of the problem relating to nursing students. This
review proposes that nursing students are indeed at significant risk of
aggression and violence in the clinical setting which has the potential to
significantly impact their role as a novice carer. Furthermore, aggression and
violence can manifest negative stress responses in individuals, therefore, the
potential for nursing students to cope with stressful situations shall be
presented.
PMID- 29358125
TI - Radiation induced in-situ cationic polymerization of polystyrene organogel for
selective absorption of cholorophenols from petrochemical wastewater.
AB - A new in-situ cationic polymerization was performed to synthesize a cross-linked
(91%) polystyrene (PS) organogel through tetrachloroethylene radiolysis assisted
by 60Co gamma rays. Hoernschemeyer diagram and swelling capacity test show a
better selectivity of PS organogel to chlorinated molecules compared to ester,
hydrocarbons and alcohols organic molecules by 80-184 folds. Response surface
modeling (RSM) of CPs (2,4,6-trichlorophenol) sorption from artificial wastewater
confirm superiority of PS organogel to absorb 1746 MUmol CPs/g (~345 mg CPs/g) at
broad pH (4-10) and temperature (25-45 degrees C). Based on ANOVA statistic,
simulated CPs absorption model onto PS organogel was successfully developed, with
accuracy of prediction of R2~ RAdj2 of 0.991-0.995 and lower coefficient of
variation of 2.73% with Fmodel of 611.4 at p < .0001. Particularly, the usage of
PS organogel for petroleum wastewater reclamation exhibited higher absorption
affinities for all the organic contaminants especially for CPs (>99%) by non
covalent and/or dispersive interaction mechanisms with a well-term reusability
and good stability up to 5 cycles.
PMID- 29358124
TI - A2E-associated cell death and inflammation in retinal pigmented epithelial cells
from human induced pluripotent stem cells.
AB - Accumulation of lipofuscin in the retinal pigmented epithelium (RPE) is observed
in retinal degenerative diseases including Stargardt disease and age-related
macular degeneration. Bis-retinoid N-retinyl-N-retinylidene ethanolamine (A2E) is
a major component of lipofuscin. A2E has been implicated in RPE atrophy and
retinal inflammation; however, mice with A2E accumulation display only a mild
retinal phenotype. In the current study, human iPSC-RPE (hiPSC-RPE) cells were
generated from healthy individuals to examine effects of A2E in human RPE cells.
hiPSC-RPE cells displayed RPE-specific features, which include expression of RPE
specific genes, tight junction formation and ability to carry out phagocytosis.
hiPSC-RPE cells demonstrated cell death and increased VEGF-A production in a time
dependent manner when they were cocultured with 10MUM of A2E. PCR array analyses
revealed upregulation of 26 and 12 pro-inflammatory cytokines upon A2E and H2O2
exposure respectively, indicating that A2E and H2O2 can cause inflammation in
human retinas. Notably, identified gene profiles were different between A2E- and
H2O2- treated hiPSC-RPE cells. A2E caused inflammatory changes observed in
retinal degenerative diseases more closely as compared to H2O2. Collectively,
these data obtained with hiPSC-RPE cells provide evidence that A2E plays an
important role in pathogenesis of retinal degenerative diseases in humans.
PMID- 29358126
TI - Differential mobility spectrometry followed by tandem mass spectrometry with
multiple ion monitoring for bioanalysis of eptifibatide in rat plasma.
AB - Eptifibatide is a therapeutic cyclic peptide with poor collision-induced
dissociation (CID) efficiency for multiple reaction monitoring (MRM), which
limits the development of a traditional liquid chromatography-tandem mass
spectrometry (LC-MS/MS) bioassay with MRM. In this study, a method combining
differential mobility spectrometry (DMS) with liquid chromatography-multiple ion
monitoring (LC-DMS-MIM) was developed for the quantitation of eptifibatide in rat
plasma. After solid phase extraction (SPE) of 100 MUL plasma on an Oasis(r) HLB
cartridge, the analyte and I.S. (octreotide) were analyzed using a SCIEX QTRAP
6500 operated in the positive ion mode and preceded by a DMS device. The lower
limit of quantitation (LLOQ) for eptifibatide was 0.5 ng/mL using only 100 MUL
plasma. The method was linear in the concentration range 0.5-300 ng/mL with good
precision and accuracy. Compared to regulated quantitative LC-MS/MS bioanalysis
of eptifibatide, the LC-DMS-MIM method effectively overcomes the sensitivity
challenge in the LC-MRM method and reduces the high background noise and matrix
interference in LC-MIM method without DMS. The method was successfully applied to
a pharmacokinetic study involving intravenous injection of eptifibatide to Wistar
rats.
PMID- 29358127
TI - The role of curcumae rhizoma-sparganii rhizoma medicated serum in epithelial
mesenchymal transition in the triple negative breast cancer: Pharmacological role
of CR-SR in the TBNC.
AB - The aim of this study was to investigate the effect of Curcumae Rhizoma-Sparganii
Rhizoma (CR-SR) medicated serum on the changes of epithelial-mesenchymal
transition (EMT) in the triple-negative breast cancer (TNBC). The EMT model was
developed by using the TNBC MDA-MB-468 cells, which were treated with TGF-beta1.
The migration and invasion abilities of TGF-beta1-treated MDA-MB-468 cells were
detected by wound healing assay and transwell assay. Protein expression levels of
E-cadherin and vimentin were determined by western blot. CR-SR medicated serum
repressed the phenotypic transition in the TGF-beta1-induced MDA-MB-468 cells.
Moreover, CR-SR medicated serum inhibited TGF-beta1-induced cell proliferation,
migration and invasion. Besides, CR-SR medicated serum could reduce TGF-beta1
induced up-regulation of the phosphorylation levels of Smad3, and reduce the
expression of several transcription factors (Snail1, Snail2 and Twist1). CR-SR
medicated serum might suppress TGF-beta1-induced EMT in TNBC by decreasing the
phosphorylated Smad3 (p-Smad3) pathway in vitro.
PMID- 29358128
TI - Transferrin and tocopheryl-polyethylene glycol-succinate dual ligands decorated,
cisplatin loaded nano-sized system for the treatment of lung cancer.
AB - Nanocarriers decorated with different ligands were used to achieve lung cancer
treatment. Surface decoration of nanoparticulate system will assist in targeting
the drug to specific tumor cells and tissues. The aim of this research was to
develop a dual ligands decorated nanocarriers (NCs), which could increase the
cell uptake and anti-tumor efficiency. Two different ligands: Transferrin (Tf)
and D-alpha-tocopheryl polyethylene glycol succinate (TPGS) containing ligands
were synthesized. Dual ligands decorated nanocarriers (DL-NCs) was constructed.
The in vitro cytotoxicity, in vivo biodistribution, and in vivo antitumor
efficacy of the DL-NCs were evaluated. DL-NCs can efficiently deliver cisplatin
(CDDP) into lung cancer cells in vitro and reduced xenograft tumor size in vivo.
The encapsulation of CDDP in the DL-NCs significantly improved the cytotoxicity
and antitumor efficacy. DL-NCs held great potential for achieving an optimal
therapeutic effect in the treatment of lung cancer.
PMID- 29358129
TI - miR-1301-3p promotes prostate cancer stem cell expansion by targeting SFRP1 and
GSK3beta.
AB - Cancer stem cells promote tumor progression, drug-resistance, and relapse, and
many microRNAs (miRNAs) play critical roles in the expansion of cancer stem
cells. In the present study, we investigated the role of miR-1301-3p in the
expansion of prostate cancer stem cells; miR-1301-3p was significantly
upregulated in prostate cancer cells and tissues compared with normal prostate
cells and tissues. Sphere formation and side population assays suggested that miR
1301-3p promoted the expansion of prostate cancer stem cells, and increased the
expression of prostate cancer stem cell-associated genes, such as OCT4, SOX2,
NANOG, CD44, KLF4, c-MYC, and MMP2. MiR-1301-3p targeted Wnt pathway inhibitors,
GSK3beta and SFRP1, and inhibited their expression by directly binding to their
3' untranslated regions. TOP/FOP luciferase assays suggested that miR-1301-3p
activated the Wnt pathway, which was confirmed by increased beta-catenin
expression in the nucleus. Furthermore, the miR-1301-3p level correlated
negatively with GSK3beta and SFRP1 in prostate cancer tissues. In summary, we
found that miR-1301-3p promoted the expansion of prostate cancer stem cells by
inhibiting GSK3beta and SFRP1, and activating the Wnt pathway.
PMID- 29358130
TI - Ononitol monohydrate enhances PRDM16 & UCP-1 expression, mitochondrial biogenesis
and insulin sensitivity via STAT6 and LTB4R in maturing adipocytes.
AB - Ononitol monohydrate (OMH), a glycoside was originally isolated from Cassia tora
(Linn.). Glycosides regulate lipid metabolism but scientific validation desired.
Hence, we aimed to evaluate the effect of OMH on enhancing mitochondrial
potential, mitochondrial biogenesis, upregulate the expression of brown
adipogenesis specific genes in maturing adipocytes. In addition, we observed the
inter-relation between adipocyte and T-lymphocyte; whether, OMH treated adipocyte
condition medium stimulate T-cell chemokine linked with insulin resistance. In a
dose dependent manner OMH treated to preadipocyte significantly inhibited
maturation and enhanced mitochondrial biogenesis, it was confirmed by Oil red 'O
and Nile red stain without inducing cytotoxicity. The mRNA levels of adipocyte
browning related genes such as, PR domain containing 16 (PRDM16), peroxisome
proliferator activated receptor gamma coactivator 1 alpha (PPARgammaC1alpha) and
uncoupling protein-1 (UCP-1) have been significantly upregulated. In addition,
adipogenic transcription factors [such as proliferator activated receptor gamma
(PPARgamma), CCAAT/enhancer binding protein (C/EBPalpha) and sterol regulatory
element binding protein-1c (SREBP-1c)] and adipogenic genes were significantly
down-regulated by treatment with OMH when compared to control cells. Protein
expression levels of adiponectin have been increased; leptin, C/EBPalpha and
leukotriene B4 receptor (LTB4R) were down regulated by OMH in mature adipocytes.
In addition, adipocyte condition medium and OMH treated T-lymphocyte,
significantly increased insulin signaling pathway related mRNAs, such as
interlukin-4 (IL-4), signal transducer and activator of transcription 6 (STAT6)
and decreased leukotriene B4 (LTB4). The present findings suggest that OMH
increased browning factors in differentiating and maturing preadipocyte also
decreased adipose tissue inflammation as well as the enhanced insulin signaling.
PMID- 29358131
TI - Bovine meat versus pork in Toxoplasma gondii transmission in Italy: A
quantitative risk assessment model.
AB - Toxoplasma gondii is a widespread zoonotic parasite with a high seroprevalence in
the human population and the ability to infect almost all warm blooded animals.
Humans can acquire toxoplasmosis from different transmission routes and food
plays a critical role. Within the food category, meat is of utmost importance, as
it may contain bradyzoites inside tissue cysts, which can potentially cause
infection after ingestion if parasites are not inactivated through freezing or
cooking before consumption. In Italy, the most commonly consumed meat-producing
animal species are bovines and pigs. However, T. gondii prevalence and
consumption habits for meat of these animal species are very different. There is
debate within the scientific community concerning which of these animal species
is the main source of meat-derived human toxoplasmosis. The aim of this work was
to build a quantitative risk assessment model to estimate the yearly probability
of acquiring toxoplasmosis infection due to consumption of bovine meat and pork
(excluding cured products) in Italy, taking into account the different eating
habits. The model was fitted with data obtained from the literature regarding:
bradyzoite concentrations, portion size, dose-response relation, prevalence of T.
gondii in bovines and swine, meat consumption and meat preparation habits.
Alternative handling scenarios were considered. The model estimated the risk per
year of acquiring T. gondii infection in Italy from bovine and swine meat to be
0.034% and 0.019%, respectively. Results suggest that, due to existing eating
habits, bovine meat can be a not negligible source of toxoplasmosis in Italy.
PMID- 29358132
TI - Microbial inactivation and MLF performances of Tempranillo Rioja wines treated
with PEF after alcoholic fermentation.
AB - This study was performed with the aim of reducing the microbial communities of
wines after alcoholic fermentation to improve the establishment of commercial
Oenococcus oeni inoculum for developing the malolactic fermentation. Microbial
community reduction was accomplished by applying Pulsed Electric Field (PEF)
technology to four different wines. Overall, significant reductions in yeast
population were observed. To a lesser extent, lactic acid bacteria were reduced
while acetic acid bacteria were completely eliminated after the PEF treatment. In
three out of the four tested wines, a decrease in the competitive pressure
between microorganisms due to the detected reduction led to a general but slight
shortening of the malolactic fermentation duration. In the wine with the most
adverse conditions to commercial starter establishment, the shortest malolactic
fermentation was reached after PEF treatment. Finally, the sensorial quality of
three out of the four treated wines was considered better after the PEF
treatment. Therefore, PEF technology meant an important tool for improving the
malolactic fermentation performance.
PMID- 29358134
TI - Isolation and characterization of microcrystalline cellulose from pomelo peel.
AB - In this study, cellulose from pomelo peel (PP-C) was isolated using alkaline
hydrogen peroxide liquor extraction, and PP-C was further hydrolyzed by
hydrochloric acid to yield microcrystalline cellulose (PP-MCC). Several
analytical methods were used to determine the structural characteristics,
crystalline behavior, morphological properties, thermal properties, and water/oil
binding capacity of PP-C and PP-MCC. Fourier-transform infrared spectra and
morphological analysis showed that the alkaline hydrogen peroxide treatment and
acid hydrolysis successfully removed hemicellulose and lignin from pomelo peel
fibers. Both PP-C and PP-MCC had only a cellulose I polymorph structure, and the
crystallinity index of PP-MCC increased compared with that of PP-C. The
degradation temperatures of PP-C and PP-MCC were approximately 257 degrees C and
280 degrees C, respectively. The water/oil binding capacity of PP-MCC was
significantly higher than that of the commercial MCC. Our results thus suggested
that PP-MCC might be suitable for use as a food stabilizer and pharmaceutical
additive.
PMID- 29358133
TI - Third molar agenesis as a potential marker for craniofacial deformities.
AB - : The identification of clinical patterns of tooth agenesis in individuals born
with craniofacial deformities may be a useful tool for risk determination of
these defects. We hypothesize that specific craniofacial deformities are
associated with third molar agenesis. OBJECTIVE: The aim of this study was to
identify if third molar agenesis could have a relation with other craniofacial
structure alterations, such as cleft lip and palate, skeletal malocclusion, or
specific growth patterns in humans. DESIGN: Data were obtained from 550
individuals ascertained as part of studies aiming to identify genetic
contributions to oral clefts. 831 dental records of patients aged over eight
years seeking orthodontic treatment were also included. SN-GoGn angle were used
to classify the growth pattern (hypo-divergent, normal and hyper-divergent), and
the ANB angle was used to verify the skeletal malocclusion pattern (Class I, II
and III). Panoramic radiographs were used to determine third molar agenesis.
RESULTS: A high frequency of third molar agenesis among individuals born with
cleft lip with or without cleft palate (55%), as well as among their relatives
(93.5%) was found. Third molar agenesis was not associated to skeletal
malocclusion or growth pattern. CONCLUSION: It appears that third molar agenesis
is associated with the disturbances that lead to cleft lip and palate.
PMID- 29358135
TI - Effects of revegetation and precipitation gradient on soil carbon and nitrogen
variations in deep profiles on the Loess Plateau of China.
AB - Precipitation is one of the most important factors affecting the variations in
soil carbon (C) and nitrogen (N) following revegetation. However, the effects of
revegetation and precipitation gradients on soil organic carbon (SOC), total
nitrogen (TN) and C-N interactions in deep profiles over large scales are poorly
understood. This study measured the SOC and TN stocks to depth of 300 cm in three
revegetation types (grassland, shrubland and forestland) and paired cropland
stands at seven sites along a precipitation gradient with mean annual
precipitation (MAP) from 280 to 540 mm yr-1 in the Loess Plateau of China. The
results showed that the SOC and TN stocks in the 0-300 cm profile increased along
the precipitation gradient. Revegetation did not always result in accumulation of
SOC and TN stocks, which depended on the precipitation condition and varied among
different vegetation types. Grassland restoration resulted in more SOC and TN
accumulation than shrubland and forestland in areas with MAP < 510 mm, whereas
there were losses in SOC and TN following grass plantation in sites with MAP >
510 mm. The changes in SOC and TN stocks following revegetation (?SOC and ?TN)
were significantly correlated with MAP in only the 0-20 cm layer, whereas the
changes in the C/N ratio of each depth were significantly and negatively
correlated with MAP. The correlations between ?SOC and ?TN were stronger in the 0
60 cm layer than that in the 60-300 cm layer, and an accumulation of 1 g TN was
associated with approximately 7.9 g increase of SOC in the 0-300 cm profile
following revegetation. This study indicated that the changes in soil C and N
stocks following revegetation had different patterns along precipitation gradient
and among depths, and grassland restoration and N fertilizer input benefitted
soil C and N sequestration in drier areas.
PMID- 29358136
TI - Reduced phosphorus retention by anoxic bottom sediments after the remediation of
an industrial acidified lake area: Indications from P, Al, and Fe sediment
fractions.
AB - Formerly acidified lakes and watersheds can become more productive when
recovering from acidity, especially when exposed to anthropogenic disturbance and
increased nutrient loading. Occasional toxic cyanobacterial blooms and other
signs of eutrophication have been observed for a decade in lakes located in the
Sudbury, Ontario, mining area that was severely affected by acid deposition
before the start of smelter emission reductions in the 1970s. Oligotrophic Long
Lake and its upstream lakes have been exposed to waste water input and
development impacts from the City of Greater Sudbury and likely have a legacy of
nutrient enrichment in their sediment. Based on observations from other published
studies, we hypothesized that P, which was previously adsorbed by metals
liberated during acidification caused by the mining activities, is now being
released from the sediment as internal P loading contributing to increased
cyanobacteria biomass. Support for this hypothesis includes (1) lake observations
of oxygen depletion and hypolimnetic anoxia and slightly elevated hypolimnetic
total P concentration and (2) P, Al, and Fe fractionation of two sediment layers
(0-5, 5-10 cm), showing elevated concentrations of TP and iron releasable P (BD
fraction), decreased concentrations in fractions associated with Al, and fraction
ratios indicating decreased sediment adsorption capacity. The comparison with two
moderately enriched lakes within 200 km distance, but never directly affected by
mining operations, supports the increasing similarity of Long Lake surficial
sediment adsorption capacity with that of unaffected lakes. There is cause for
concern that increased eutrophication including the proliferation of
cyanobacteria of formerly acidic lakes is wide-spread and occurs wherever
recovery coincides with anthropogenic disturbances and physical changes related
to climate change.
PMID- 29358137
TI - Trentepohlia algae biofilms as bioindicator of atmospheric metal pollution.
AB - In this work, a reddish biocolonization composed mainly by Trentepohlia algae
affecting a synthetic building material from a modern building from the 90s
located in the Bizkaia Science and Technology Park (Zamudio, North of Spain) was
characterized and its ability to accumulate metals coming from the surrounding
atmosphere was evaluated. To asses if these biofilms can act as bioindicators of
the surrounding metal pollution, a fast non-invasive in situ methodology based on
the use of hand-held energy dispersive X-ray fluorescence (HH-ED-XRF) was used.
In order to corroborate the in situ obtained conclusions, some fragments from the
affected material were taken to analyze the metal distribution by means of micro
energy dispersive X-ray fluorescence spectroscopy (MU-ED-XRF) and to confirm the
presence of metal particles deposited on it using Scanning Electron Microscopy
coupled to an Energy Dispersive Spectrometer (SEM-EDS). In order to confirm if
Trentepohlia algae biofilms growing on the surface of building materials could be
a fast way to in situ provide information about the surrounding metal pollution,
a second Trentepohlia algae biofilm growing on a different kind of material
(sandstone) was analyzed from an older historical building, La Galea Fortress
(Getxo, North of Spain).
PMID- 29358138
TI - Synergistic removal effect of P in sediment of all fractions by combining the
modified bentonite granules and submerged macrophyte.
AB - The removal efficiency of sediment phosphorus (P) with the in-situ synergistic
effect of modified bentonite granules (MBG) and Vallisneria spiralis (V.
spiralis) in West Lake, Hangzhou, China was investigated for the first time in
the study. CMBG-Na10-450 (nitrification (10% Na2CO3)-calcination (450 degrees C)
combined modification) was prepared and characterized, and the removal effects of
sediment P of all fractions with CMBG-Na10-450 and V. spiralis in combination and
separately were evaluated in batch experiments. Results showed that CMBG-Na10-450
could promote the growth of V. spiralis, and the residual P of the sediment not
adsorbed on CMBG-Na10-450 was changed through root oxygenation and nutrition
allocation, and then enhanced the extra P adsorption on CMBG-Na10-450. The
combination of MBG and V. spiralis exhibited a synergistic removal effect higher
than the summation of MBG and V. spiralis applied separately. The results of
microcosm experiments showed that the combination of CMBG-Na10-450 and V.
spiralis enhanced the function of P metabolism by increasing the special genus
that belongs to the family Erysipelotrichaceae.
PMID- 29358139
TI - Variable effects of biochar application to soils on nitrification-mediated N2O
emissions.
AB - Although a meta-analysis on biochar's effects on N2O emission reported an overall
reduction in N2O emission by adding biochar to the soils, there are still
variations in the changes in N2O emission, especially from field results. The
objectives of this study are 1) to compare the effects of biochar addition on N2O
emission between three agricultural upland field experiments, where soil water
status was dry favoring nitrification and 2) to identify main factors explaining
biochar's variable effects on N2O emission. Three field experiments were
conducted: Exp A in the cultivated grassland treated with rice husk biochar at 2
ton ha-1 + urea (CHAR) and with urea only (CON); Exp B in the cabbage field with
CHAR and CON treatments; and Exp C in the pepper field with CHAR, CON, and CHAR +
DCD (dicyandiamide, nitrification inhibitor) treatments. In Exp A and C,
cumulative N2O emissions significantly increased by 82.5% and 55.8% in the CHAR
than CON treatments, respectively, while in Exp B, there was no difference in
cumulative N2O emission between the CHAR and CON. Based on results from using
nitrification inhibitor and soil % water filled pore space (WFPS), we assumed
that the main N2O production mechanism was nitrification. Our results suggest
that soil water status right after urea application is the primary determinant of
different effects of biochar on N2O emission in addition to soil C status and
biochar's adsorption. Principal component analysis using the 25 compiled data
also supported our results. This study identified the specific field conditions
under which biochar could have stimulating effects on N2O emission. Mitigation
potential of biochar application should be reconsidered if biochar and urea were
amended to dry soils with low C contents.
PMID- 29358140
TI - Enhanced removal of iodide ions by nano Cu2O/Cu modified activated carbon from
simulated wastewater with improved countercurrent two-stage adsorption.
AB - A newly developed adsorbent nano Cu2O/Cu-modified activated carbon composite
(nano Cu2O/Cu-C) was used to remove radioactive iodide ions (I-) from simulated
wastewater. The emphasis of this research is to improve adsorption performance
and obtain higher I- removal efficiency compared with the single-stage
adsorption. To fully develop the amount of adsorption by nano Cu2O/Cu-C, and to
increase the decontamination factor (DF) of I-, an improved countercurrent two
stage adsorption (ICTA) process was introduced. In the ICTA process, measures
dealing with desorption of loaded adsorbent in the stage-two adsorption were
taken and more extensive application of countercurrent two-stage adsorption (CTA)
process could be made after the improvement to ICTA process in this study.
Furthermore, in order to analyze the process and determine the I- concentration
in the effluent, a calculation method was devised based on the Langmuir isotherm
equations and adsorption accumulation principle. The mean DFs were 177, 166, and
89.7, respectively, when the initial I- concentrations were 5.00, 10.0, and 20.0
mg/L; and the adsorbent dosage was 1.25 g/L. These results were approximately
8.76, 8.97, and 6.79 times higher, respectively, than with conventional single
stage adsorption. The experimental values of the I- concentration were higher
than the calculated ones, which could be ascribed to desorption of the residual
loaded adsorbent and formation of CuI in the adsorption at stage 1. Formation of
CuI in the adsorption at stage 1 was considered to be the predominant reason.
PMID- 29358141
TI - Arsenic speciation in wild marine organisms and a health risk assessment in a
subtropical bay of China.
AB - The total arsenic (As) and As species were analyzed in 19 species of wild marine
organisms collected from 12 locations in Daya Bay, China; additionally, both the
levels of As in the seawater and sediments and the salinity were investigated.
The greatest level of As was found in crabs (13.4-35.1 MUg/g), followed by
shrimps (8.52-27.6 MUg/g), benthic fish (3.45-28.6 MUg/g), and pelagic fish (1.22
5.23 MUg/g). There were significantly positive correlations between the As
concentrations in the benthic fish Callionymus richardsonii/shrimp Metapenaeopsis
palmensis and those in sediments, indicating that As levels in them were highly
dependent on those in the sediments. Arsenobetaine (AsB) (87.3-99.8%) was the
most dominant form of As found in all marine organisms. In benthic fish and
shrimp, the bioaccumulation of As, especially AsB, was positively correlated with
the salinity of the seawater, indicating that the salinity could control the
transfer of As. The calculated hazard quotients (HQs) of the inorganic As in the
marine organisms were all <1; thus, there was no apparent health hazard through
the consumption of wild marine organisms.
PMID- 29358142
TI - Distribution of Escherichia coli, coliphages and enteric viruses in water,
epilithic biofilms and sediments of an urban river in Germany.
AB - Fecal contamination of surface water is commonly evaluated by quantification of
bacterial or viral indicators such as Escherichia coli and coliphages, or by
direct testing for pathogens such as enteric viruses. Retention of fecally
derived organisms in biofilms and sediments is less frequently considered. In
this study, we assessed the distribution of E. coli, somatic coliphages, and
enteric viruses including human adenovirus (HAdV), enterovirus (EV), norovirus
genogroup GII (NoV GII) and group A rotavirus (RoV) in an urban river environment
in Germany. 24 samples each of water, epilithic biofilms and sediments were
examined. E. coli and somatic coliphages were prevalent not only in the flowing
water, but also in epilithic biofilms and sediments, where they were accumulated
compared to the overlying water. During enhanced rainfall, E. coli and coliphage
concentrations increased by approximately 2.5 and 1 log unit, respectively, in
the flowing water, whereas concentrations did not change significantly in
epilithic biofilms and sediments. The occurrence of human enteric viruses
detected by qPCR was higher in water than in biofilms and sediments. 87.5% of all
water samples were positive for HAdV. Enteric viruses found less frequently were
EV, RoV and NoV GII in 20.8%, 16.7% and 8.3% of the water samples, respectively.
In epilithic biofilms and sediments, HAdV was found in 54.2% and 50.0% of the
samples, respectively, and EV was found in 4.2% of both biofilm and sediment
samples. RoV and NoV GII were not detected in any of the biofilms and sediments.
Overall, the prevalence of enteric viruses was in the order of HAdV > EV > RoV >=
NoV GII. In conclusion, epilithic biofilms and sediments can be reservoirs for
fecal indicators and enteric viruses and thus should be taken into consideration
when assessing microbial pollution of surface water environments.
PMID- 29358143
TI - Inventories and reduction scenarios of urban waste-related greenhouse gas
emissions for management potential.
AB - Waste-related greenhouse gas (GHG) emissions have been recognized as one of the
prominent contributors to global warming. Current urban waste regulations,
however, face increasing challenges from stakeholders' trade-offs and hierarchic
management. A combined method, i.e., life cycle inventories and scenario
analysis, was employed to investigate waste-related GHG emissions during 1995
2015 and to project future scenarios of waste-driven carbon emissions by 2050 in
a pilot low carbon city, Xiamen, China. The process-based carbon analysis of
waste generation (prevention and separation), transportation (collection and
transfer) and disposal (treatment and recycling) shows that the main contributors
of carbon emissions are associated with waste disposal processes, solid waste,
the municipal sector and Xiamen Mainland. Significant spatial differences of
waste-related CO2e emissions were observed between Xiamen Island and Xiamen
Mainland using the carbon intensity and density indexes. An uptrend of waste
related CO2e emissions from 2015 to 2050 is identified in the business as usual,
waste disposal optimization, waste reduction and the integrated scenario, with
mean annual growth rates of 8.86%, 8.42%, 6.90% and 6.61%, respectively. The
scenario and sensitivity analysis imply that effective waste-related carbon
reduction requires trade-offs among alternative strategies, actions and
stakeholders in a feasible plan, and emphasize a priority of waste prevention and
collection in Xiamen. Our results could benefit to the future modeling of urban
multiple wastes and life-cycle carbon control in similar cities within and beyond
China.
PMID- 29358144
TI - Bioaccumulation and oxidative stress caused by pesticides in Cyprinus carpio
reared in a rice-fish system.
AB - Nowadays, many irrigated rice producers have adopted the rice-fish farming
systems, in which fish are reared in the same environment as rice is grown. These
animals can biologically control many pests that disturb the crop, as well as
increase the income of producers when they are reared in the same area as rice.
However, a large variety of pesticides is often used for pest control in
conventional irrigated rice crops, and fish are exposed to different pesticide
concentrations and active ingredients. Thus, the aim of the current study is to
assess the potential risks of these pesticides to freshwater fish (Cyprinus
carpio) cultured in integrated rice-fish farming systems. We assessed five
pesticides widely used in rice culture. This is the first report on the
bioaccumulation of the insecticides lambda-cyhalothrin + thiamethoxam and
clorantraniliprole and of the fungicide tebuconazole + trifloxystrobin associated
with oxidative stress and with enzymatic and non-enzymatic parameters in edible
fish samples reared in integrated in rice-fish farming systems. After 100 days of
exposure, lambda-cyhalothrin and tebuconazole bioaccumulated in carp muscles.
Acethylcholinesterase activity in the brain was lower in the experimental group
than in the control group. Overall, the biochemical analyses showed increased
responses in the experimental groups in comparison to the controls. Lipid
peroxidation levels increased in the liver, gills and muscles. Protein oxidation
increased in the liver and muscles. Catalase activity increased in the liver, and
glutathione S-transferase increased in the liver, gills and muscles. Results
demonstrate that these pesticides have severe adverse consequences in fish and
indicate their potential risk to human health due to their bioaccumulation in
farmed fish.
PMID- 29358145
TI - Integrating uncertainties to the combined environmental and economic assessment
of algal biorefineries: A Monte Carlo approach.
AB - The economic and environmental performance of microalgal processes has been
widely analyzed in recent years. However, few studies propose an integrated
process-based approach to evaluate economic and environmental indicators
simultaneously. Biodiesel is usually the single product and the effect of
environmental benefits of co-products obtained in the process is rarely
discussed. In addition, there is wide variation of the results due to inherent
variability of some parameters as well as different assumptions in the models and
limited knowledge about the processes. In this study, two standardized models
were combined to provide an integrated simulation tool allowing the simultaneous
estimation of economic and environmental indicators from a unique set of input
parameters. First, a harmonized scenario was assessed to validate the joint
environmental and techno-economic model. The findings were consistent with
previous assessments. In a second stage, a Monte Carlo simulation was applied to
evaluate the influence of variable and uncertain parameters in the model output,
as well as the correlations between the different outputs. The simulation showed
a high probability of achieving favorable environmental performance for the
evaluated categories and a minimum selling price ranging from $11gal-1 to $106gal
1. Greenhouse gas emissions and minimum selling price were found to have the
strongest positive linear relationship, whereas eutrophication showed weak
correlations with the other indicators (namely greenhouse gas emissions,
cumulative energy demand and minimum selling price). Process parameters
(especially biomass productivity and lipid content) were the main source of
variation, whereas uncertainties linked to the characterization methods and
economic parameters had limited effect on the results.
PMID- 29358146
TI - Soybean supplementation increases the resilience of microbial and nematode
communities in soil to extreme rainfall in an agroforestry system.
AB - A current challenge for ecological research in agriculture is to identify ways in
which to improve the resilience of the soil food web to extreme climate events,
such as severe rainfall. Plant species composition influence soil biota
communities differently, which might affect the recovery of soil food web after
extreme rainfall. We compared the effects of rainfall stress up on the soil
microbial food web in three planting systems: a monoculture of the focal species
Zanthoxylum bungeanum and mixed cultures of Z. bungeanum and Medicago sativa or
Z. bungeanum and Glycine max. We tested the effect of the presence of a legume on
the recovery of trophic interactions between microorganisms and nematodes after
extreme rainfall. Our results indicated that all chemical properties of the soil
recovered to control levels (normal rainfall) in the three planting systems 45
days after exposure to extreme rain. However, on day 45, the bulk microbial
community differed from controls in the monoculture treatment, but not in the two
mixed planting treatments. The nematode community did not fully recover in the
monoculture or Z. bungeanum and M. sativa treatments, while nematode populations
in the combined Z. bungeanum and G. max treatment were indistinguishable from
controls. G. max performed better than M. sativa in terms of increasing the
resilience of microbial and nematode communities to extreme rainfall. Soil
microbial biomass and nematode density were positively correlated with the
available carbon and nitrogen content in soil, demonstrating a link between soil
health and biological properties. This study demonstrated that certain leguminous
plants can stabilize the soil food web via interactions with soil biota
communities after extreme rainfall.
PMID- 29358147
TI - Synthesis and biological evaluation of aurora kinases inhibitors based on N
trisubstituted pyrimidine scaffold.
AB - The inhibition of the members of aurora kinase family using ATP-competitive small
molecules is an effective method for anticancer therapeutics. Based on our
previous work, we synthesized 12 new N-trisubstituted pyrimidine derivatives and
evaluated their biological activities and stabilities. Among them, compound 11j
showed the best inhibition against aurora A kinase (IC50 = 7.1 nM), human
leukemia cell line U937 (IC50 = 12.2 nM) and the growth of U937 xenograft tumors
in vivo. By the flow cytometry and immunofluorescence analysis of U937, we found
that compound 11j can induced polyploidy formation including (4N, 8N and 16N) and
induce defects in both chromosome alignment and spindle formation. Furthermore,
compound 11j exhibited good chemical, physical, and thermal stabilities. All
these results suggested that 11j is a promising lead compound for further
development of anticancer drugs.
PMID- 29358148
TI - First detection and genomics analysis of KPC-2-producing Citrobacter isolates
from river sediments.
AB - The wide spread of carbapenemase-producing Enterobacteriaceae (CPE) in the
environment is an emerging environmental issue with potentially-serious public
health implications. However, carbapenemase-producing Citrobacter from
environment has rarely been investigated. Here we report the isolation and
comparative genomics of carbapenemase-producing Citrobacter isolates from river
sediment in China. Potential CPE was isolated by selective MacConkey agar plates
containing 2 mg/L meropenem. The presence of carbapenemase genes was detected by
PCR and sequencing. The clonal relatedness of Klebsiella pneumoniae carbapenemase
(KPC-2)-producing Citrobacter isolates was assessed by pulsed-field gel
electrophoresis (PFGE) and multilocus sequence typing. Plasmid analysis of KPC-2
producing Citrobacter isolates was performed by S1-PFGE, Southern blotting, and
whole genome sequencing. A total of four KPC-2-producing Citrobacter and three
Aeromonas isolates were recovered from 54 sediment cultures of Shifeng River.
Notably, all KPC-producing isolates were isolated from sampling sites near a
waste water treatment plant. Antimicrobial susceptibility testing showed that
three of the four sequenced isolates (C1710, C191, and C196) resistant to
multiple antibiotics. Genotyping and pan-genome analyses revealed that the C191
and C196 C. freundii isolates exhibited a high level of genetic similarity.
Plasmid analysis confirmed that the blaKPC-2 gene is located on either IncF or
IncN3 plasmids in all isolates. The blaKPC-2 gene of C1710, C181 and C191 was
successfully transferred with E. coli EC600 as the recipient strain. In silico
analysis further suggested that pKPC-191 is a novel IncF plasmid, with 99%
identity to two previously described IncFII plasmids at 71% coverage. We report
here the presence of diverse conjugative blaKPC-2 plasmids from environmental
Citrobacter isolates, which poses the possible dissemination of antimicrobial
resistance into clinical isolates. To our knowledge, this is the first study to
culture and characterize KPC-2-producing Citrobacter isolates from river
sediments in China.
PMID- 29358149
TI - Decline in atmospheric sulphur deposition and changes in climate are the major
drivers of long-term change in grassland plant communities in Scotland.
AB - The predicted long lag time between a decrease in atmospheric deposition and a
measured response in vegetation has generally excluded the investigation of
vegetation recovery from the impacts of atmospheric deposition. However, policy
makers require such evidence to assess whether policy decisions to reduce
emissions will have a positive impact on habitats. Here we have shown that 40
years after the peak of SOx emissions, decreases in SOx are related to
significant changes in species richness and cover in Scottish Calcareous,
Mestrophic, Nardus and Wet grasslands. Using a survey of vegetation plots across
Scotland, first carried out between 1958 and 1987 and resurveyed between 2012 and
2014, we test whether temporal changes in species richness and cover of
bryophytes, Cyperaceae, forbs, Poaceae, and Juncaceae can be explained by changes
in sulphur and nitrogen deposition, climate and/or grazing intensity, and whether
these patterns differ between six grassland habitats: Acid, Calcareous, Lolium,
Nardus, Mesotrophic and Wet grasslands. The results indicate that Calcareous,
Mesotrophic, Nardus and Wet grasslands in Scotland are starting to recover from
the UK peak of SOx deposition in the 1970's. A decline in the cover of grasses,
an increase in cover of bryophytes and forbs and the development of a more
diverse sward (a reversal of the impacts of increased SOx) was related to
decreased SOx deposition. However there was no evidence of a recovery from SOx
deposition in the Acid or Lolium grasslands. Despite a decline in NOx deposition
between the two surveys we found no evidence of a reversal of the impacts of
increased N deposition. The climate also changed significantly between the two
surveys, becoming warmer and wetter. This change in climate was related to
significant changes in both the cover and species richness of bryophytes,
Cyperaceae, forbs, Poaceae and Juncaceae but the changes differed between
habitats.
PMID- 29358150
TI - Micromechanisms of fatigue crack growth in polycarbonate polyurethane: Time
dependent and hydration effects.
AB - Polycarbonate polyurethane has cartilage-like, hygroscopic, and elastomeric
properties that make it an attractive material for orthopedic joint replacement
application. However, little data exists on the cyclic loading and fracture
behavior of polycarbonate polyurethane. This study investigates the mechanisms of
fatigue crack growth in polycarbonate polyurethane with respect to time dependent
effects and conditioning. We studied two commercially available polycarbonate
polyurethanes, Bionate(r) 75D and 80A. Tension testing was performed on specimens
at variable time points after being removed from hydration and variable strain
rates. Fatigue crack propagation characterized three aspects of loading. Study 1
investigated the impact of continuous loading (24h/day) versus intermittent
loading (8-10h/day) allowing for relaxation overnight. Study 2 evaluated the
effect of frequency and study 3 examined the impact of hydration on the fatigue
crack propagation in polycarbonate polyurethane. Samples loaded intermittently
failed instantaneously and prematurely upon reloading while samples loaded
continuously sustained longer stable cracks. Crack growth for samples tested at 2
and 5Hz was largely planar with little crack deflection. However, samples tested
at 10Hz showed high degrees of crack tip deflection and multiple crack fronts.
Crack growth in hydrated samples proceeded with much greater ductile crack mouth
opening displacement than dry samples. An understanding of the failure mechanisms
of this polymer is important to assess the long-term structural integrity of this
material for use in load-bearing orthopedic implant applications.
PMID- 29358151
TI - Prediction of circumferential compliance and burst strength of polymeric vascular
grafts.
AB - The circumferential compliance and burst strength of vascular grafts are
predicted through the conically modified von Mises and elasticity theories,
providing an analytical closed form solution for both parameters. Besides the
graft's radii, the model for circumferential compliance depends solely on the
elastic modulus and Poisson's ratio of the polymer material, and its accuracy was
verified by finite element analysis and measurements. The analytical expression
of the burst strength requires accurate determination of the material's tensile
and compressive yield stress, which were carefully obtained by using digital
image correlation measurements in uniaxial tensile and compressive tests of the
constitutive material. The average measured circumferential compliance and burst
strength of an 8mm graft made of a commonly used biomaterial, Tecoflex(r) SG-80A,
are 1.05%/100mmHg-1 and 34.1psi (1763mmHg) and the proposed analytical
predictions fall within the experimental scattering. Thus, it is shown that the
circumferential compliance and burst strength of vascular grafts can be
analytically predicted by knowing the elastic and yield material properties
accurately, without needing to actually test the graft under radial pressure.
This is a major advantage which can aid in the design and tailoring of vascular
grafts.
PMID- 29358152
TI - How proteolytic inhibitors interact with dentin on glass-fiber post luting over 6
months.
AB - OBJECTIVES: Enzyme inhibitors minimize the degradation of unprotected collagen of
dentin promoted by matrix metalloproteinases (MMPs) and cysteine cathepsins
(CCs). As the evidence of their effect on the root canal is limited, this study
aimed to evaluate the role of EDTA, chlorhexidine and E-64 as antiproteolytic
agents on the bond strength (BS) of glass-fiber posts in root canals. MATERIALS
AND METHODS: Ninety-six bovine roots were distributed in groups for each time
point (n = 8). Adper Scotchbond Multipurpose (MP)/ RelyX ARC system was used to
lute the post according to the treatment: negative control (NC)- water, EDTA- 17%
ethylenediaminetetraacetic acid, CHX- 2% digluconate chlorhexidine, E-64-5- 5MUM
E-64, E-64-10- 10MUM E-64 and positive control (PC)- MP associated with
activator/ catalyst. Then, slices were subjected to push-out test (0.5mm/min)
after 24h/6 mons. Data were analyzed by three-way ANOVA/Tukey tests. Failure
modes were analyzed (40*). RESULTS: The factors treatment, time, root canal third
and the interaction between treatment and time were statistically significant. At
24h, no negative interactions were observed among the root dentin, bonding system
and post. At 6 mons, CHX improved the BS for middle and apical root thirds.
CONCLUSIONS: CHX was able to promote beneficial BS after 6 mons, which was not
noted for any other tested enzyme inhibitors.
PMID- 29358153
TI - Ferulic acid derivatives from Ligusticum chuanxiong.
AB - Four new ferulic acid derivatives ligusticumacid A-C (1-3) and ligusticumaldehyde
A (4), one new dimer ligusticumacid D (5), and two novel 8-8' lignans
ligusticumacid E-F (6-7) were isolated from the rhizome of Ligusticum chuanxiong
Hort. In particular, compounds 1-2, 5 were rarely phenylpropanoid phenolic acid
dimers through different polymerization action in natural products. Their
structures were established using UV, IR, HRESIMS, NMR data. The absolute
configurations of 3 was determined by quantum ECD calculation and 4, 6-7 were
determined by the ECD exciton chirality method. In addition, all compounds were
evaluated for their neuroprotective effects on human neuroblastoma SH-SY5Y cell
injury induced by H2O2. Compound 2 had a moderate neuroprotective activity and 7
had a weak neuroprotective activity on human neuroblastoma SH-SY5Y cell injury
induced by H2O2 respectively.
PMID- 29358154
TI - Development and single-laboratory validation of a UHPLC-MS/MS method for
quantitation of microcystins and nodularin in natural water, cyanobacteria,
shellfish and algal supplement tablet powders.
AB - A simple, rapid UHPLC-MS/MS method has been developed and optimised for the
quantitation of microcystins and nodularin in wide variety of sample matrices.
Microcystin analogues targeted were MC-LR, MC-RR, MC-LA, MC-LY, MC-LF, LC-LW, MC
YR, MC-WR, [Asp3] MC-LR, [Dha7] MC-LR, MC-HilR and MC-HtyR. Optimisation studies
were conducted to develop a simple, quick and efficient extraction protocol
without the need for complex pre-analysis concentration procedures, together with
a rapid sub 5min chromatographic separation of toxins in shellfish and algal
supplement tablet powders, as well as water and cyanobacterial bloom samples.
Validation studies were undertaken on each matrix-analyte combination to the full
method performance characteristics following international guidelines. The method
was found to be specific and linear over the full calibration range. Method
sensitivity in terms of limits of detection, quantitation and reporting were
found to be significantly improved in comparison to LC-UV methods and applicable
to the analysis of each of the four matrices. Overall, acceptable recoveries were
determined for each of the matrices studied, with associated precision and within
laboratory reproducibility well within expected guidance limits. Results from the
formalised ruggedness analysis of all available cyanotoxins, showed that the
method was robust for all parameters investigated. The results presented here
show that the optimised LC-MS/MS method for cyanotoxins is fit for the purpose of
detection and quantitation of a range of microcystins and nodularin in shellfish,
algal supplement tablet powder, water and cyanobacteria. The method provides a
valuable early warning tool for the rapid, routine extraction and analysis of
natural waters, cyanobacterial blooms, algal powders, food supplements and
shellfish tissues, enabling monitoring labs to supplement traditional microscopy
techniques and report toxicity results within a short timeframe of sample
receipt. The new method, now accredited to ISO17025 standard, is simple, quick,
applicable to multiple matrices and is highly suitable for use as a routine, high
throughout, fast turnaround regulatory monitoring tool.
PMID- 29358155
TI - The infant disorganised attachment classification: "Patterning within the
disturbance of coherence".
AB - Since its introduction by Main and Solomon in 1990, the infant disorganised
attachment classification has functioned as a predictor of mental health in
developmental psychology research. It has also been used by practitioners as an
indicator of inadequate parenting and developmental risk, at times with greater
confidence than research would support. Although attachment disorganisation takes
many forms, it is generally understood to reflect a child's experience of being
repeatedly alarmed by their parent's behaviour. In this paper we analyse how the
infant disorganised attachment classification has been stabilised and
interpreted, reporting results from archival study, ethnographic observations at
four training institutes for coding disorganised attachment, interviews with
researchers, certified coders and clinicians, and focus groups with child welfare
practitioners. Our analysis points to the role of power/knowledge disjunctures in
hindering communication between key groups: Main and Solomon and their readers;
the oral culture of coders and the written culture of published papers; the
research community and practitioners. We highlight how understandings of
disorganised attachment have been magnetised by a simplified image of a child
fearful of his or her own parent.
PMID- 29358156
TI - The effects of calcineurin inhibitor FK506 on actin cytoskeleton, neuronal
survival and glial reactions after pilocarpine-induced status epilepticus in
mice.
AB - After status epilepticus (SE), actin cytoskeleton (F-actin) becomes progressively
deconstructed in the hippocampus, which is consistent with the delayed pyramidal
cell death in both time course and spatial distribution. A variety of experiments
show that calcineurin inhibitors such as FK506 are able to inhibit the SE-induced
actin depolymerization. However, it is still unclear what changes happen to the F
actin in the epileptic brain after FK506 treatment. A pilocarpine model of SE in
mice was used to examine the effects of FK506 on the F-actin in the hippocampal
neurons. The post SE (PSE) mice with or without FK506 treatment were monitored
consecutively for 14 days to examine the frequency and duration of spontaneous
seizures. The effects of FK506 on the activity of cofilin and actin dynamics were
assessed at 7 and 14 d PSE by western blots. The organization of F-actin,
neuronal cell death, and glial reactions were investigated by phalloidin
staining, histological and immunocytochemical staining, respectively. As compared
to the PSE + vehicle mice, FK506 treatment significantly decreased the frequency
and duration of spontaneous seizures. Relative to the PSE + vehicle mice, western
blots detected a partial restoration of phosphorylated cofilin and a significant
increase of F/G ratio in the hippocampus after FK506 treatment. In the PSE +
vehicle mice, almost no F-actin puncta were left in the CA1 and CA3 subfields at
7 and 14 d PSE. FK506-treated PSE mice showed a similar decrease of F-actin, but
the extent of damage was significantly ameliorated. Consistently, the surviving
neurons became significantly increased in number after FK506 treatment, relative
to the PSE + vehicle groups. After FK506 treatment, microglial reaction was
partially inhibited, but the expression of GFAP was not significantly changed,
compared to the PSE + vehicle mice. The results suggest that post-epileptic
treatment with FK506 ameliorated, but could not stop the deconstruction of F
actin or the delayed neuronal loss in the PSE mice.
PMID- 29358157
TI - Alterations of theta oscillation in executive control in temporal lobe epilepsy
patients.
AB - Attention dysfunction, especially executive control has been investigated within
many types of diseases of the central nervous system. The present study aims to
clarify alterations of the executive control (EC) network in patients with
temporal lobe epilepsy (TLE). Twenty patients with TLE and 20 matched healthy
control subjects participated in the attention network test (ANT), and scalp
electroencephalogram (EEG) recordings were set up. The ANT was used to evaluate
attention network behavior deficits. Power spectral density (PSD), coherence and
correlation were used to detect power and oscillation alterations of attention
network in patients with TLE. The most significant differences in executive
control were found between patients with TLE and healthy control subjects. Power
spectral density in the theta band, and coherence and correlation in the theta
band in the frontal area were decreased in patients with TLE. Our results
indicate that patients with TLE have severe attention dysfunction, especially in
executive control. In addition, brain theta oscillation impairment in frontal
area might be connected with poor executive control behavior. These findings will
provide new insight into diagnosing and treating patients with temporal lobe
epilepsy.
PMID- 29358158
TI - Internet-Based Cognitive Behavioral Therapy for Children and Adolescents With
Dental Anxiety: Open Trial.
AB - BACKGROUND: Cognitive behavioral therapy (CBT) is an evidence-based method for
treating specific phobias, but access to treatment is difficult, especially for
children and adolescents with dental anxiety. Psychologist-guided Internet-based
CBT (ICBT) may be an effective way of increasing accessibility while maintaining
treatment effects. OBJECTIVE: The aim of this study was to test the hypothesis
that psychologist-guided ICBT improves school-aged children's and adolescents'
ability to manage dental anxiety by (1) decreasing avoidance and affecting the
phobia diagnosis and (2) decreasing the dental fear and increasing the target
groups' self-efficacy. The study also aimed to examine the feasibility and
acceptability of this novel treatment. METHODS: This was an open, uncontrolled
trial with assessments at baseline, posttreatment, and the 1-year follow-up. The
study enrolled and treated 18 participants. The primary outcome was level of
avoidance behaviors, as measured by the picture-guided behavioral avoidance test
(PG-BAT). The secondary outcome was a diagnostic evaluation with the parents
conducted by a psychologist. The specific phobia section of the structured
interview Kiddie-Schedule for Affective Disorders and Schizophrenia for School
Age Children-Present and Lifetime (K-SADS-PL) was used. Other outcome measures
included level of dental anxiety and self-efficacy. The ICBT, which employed
exposure therapy, comprised 12 modules of texts, animations, dentistry-related
video clips, and an exercise package (including dental instruments). Participants
accessed the treatment through an Internet-based treatment platform and received
Web-based guidance from a psychologist. Treatment also included training at
dental clinics. Feasibility and acceptability were assessed by measures of
engagement, adherence, compliance, completed measures, patient and parent
satisfaction scale, and staff acceptability. RESULTS: The level of avoidance
(according to the primary outcome measure PG-BAT) and dental anxiety decreased
and self-efficacy increased significantly (P<.001), within-group effect sizes for
both the primary outcome (Cohen d=1.5), and other outcomes were large in the
range of 0.9 and 1.5. According to K-SADS-PL, 53% (8/15) of the participants were
free from diagnosable dental anxiety at the 1-year follow-up. At the 1-year
follow-up, improvements were maintained and clinically significant, with 60%
(9/15) of participants who had been unable to manage intraoral injection of local
anesthetics before ICBT reporting having accomplished this task at a dental
clinic. The target group showed improvement in all the outcome measures. High
levels of feasibility and acceptability were observed for the treatment.
CONCLUSIONS: ICBT is a promising and feasible treatment for dental anxiety in
children and adolescents. Integrating it into routine pediatric dental care would
increase access to an effective psychological treatment. The results of this open
trial must be replicated in controlled studies.
PMID- 29358159
TI - A Natural Language Processing System That Links Medical Terms in Electronic
Health Record Notes to Lay Definitions: System Development Using Physician
Reviews.
AB - BACKGROUND: Many health care systems now allow patients to access their
electronic health record (EHR) notes online through patient portals. Medical
jargon in EHR notes can confuse patients, which may interfere with potential
benefits of patient access to EHR notes. OBJECTIVE: The aim of this study was to
develop and evaluate the usability and content quality of NoteAid, a Web-based
natural language processing system that links medical terms in EHR notes to lay
definitions, that is, definitions easily understood by lay people. METHODS:
NoteAid incorporates two core components: CoDeMed, a lexical resource of lay
definitions for medical terms, and MedLink, a computational unit that links
medical terms to lay definitions. We developed innovative computational methods,
including an adapted distant supervision algorithm to prioritize medical terms
important for EHR comprehension to facilitate the effort of building CoDeMed. Ten
physician domain experts evaluated the user interface and content quality of
NoteAid. The evaluation protocol included a cognitive walkthrough session and a
postsession questionnaire. Physician feedback sessions were audio-recorded. We
used standard content analysis methods to analyze qualitative data from these
sessions. RESULTS: Physician feedback was mixed. Positive feedback on NoteAid
included (1) Easy to use, (2) Good visual display, (3) Satisfactory system speed,
and (4) Adequate lay definitions. Opportunities for improvement arising from
evaluation sessions and feedback included (1) improving the display of
definitions for partially matched terms, (2) including more medical terms in
CoDeMed, (3) improving the handling of terms whose definitions vary depending on
different contexts, and (4) standardizing the scope of definitions for medicines.
On the basis of these results, we have improved NoteAid's user interface and a
number of definitions, and added 4502 more definitions in CoDeMed. CONCLUSIONS:
Physician evaluation yielded useful feedback for content validation and
refinement of this innovative tool that has the potential to improve patient EHR
comprehension and experience using patient portals. Future ongoing work will
develop algorithms to handle ambiguous medical terms and test and evaluate
NoteAid with patients.
PMID- 29358162
TI - Man wins right to take assisted dying case to Court of Appeal.
PMID- 29358163
TI - Emergency cancer diagnoses in England fall from in 24% to 20% in 10 years.
PMID- 29358161
TI - Translation and Validation of the Nomophobia Questionnaire in the Italian
Language: Exploratory Factor Analysis.
AB - BACKGROUND: Nomophobia, which is a neologism derived from the combination of "no
mobile," "phone," and "phobia" is considered to be a modern situational phobia
and indicates a fear of feeling disconnected. OBJECTIVE: No psychometric scales
are available in Italian for investigating such a construct. We therefore planned
a translation and validation study of the Nomophobia Questionnaire (NMP-Q), which
is an instrument developed by Yildirim and Correia. Subjects were recruited via
an online survey using a snowball approach. METHODS: The NMP-Q was translated
from English into Italian using a classical "backwards and forwards" procedure.
In order to explore the underlying factor structure of the translated
questionnaire, an exploratory factor analysis was carried out. A principal
component analysis approach with varimax rotation was performed. Multivariate
regression analyses were computed to shed light on the psychological predictors
of nomophobia. RESULTS: A sample of 403 subjects volunteered to take part in the
study. The average age of participants was 27.91 years (standard deviation 8.63)
and the sample was comprised of 160 males (160/403, 39.7%) and 243 females
(243/403, 60.3%). Forty-five subjects spent less than 1 hour on their mobile
phone per day (45/403, 11.2%), 94 spent between 1 and 2 hours (94/403, 23.3%), 69
spent between 2 and 3 hours (69/403, 17.1%), 58 spent between 3 and 4 hours
(58/403, 14.4%), 48 spent between 4 and 5 hours (48/403, 11.9%), 29 spent between
5 and 7 hours (29/403, 7.2%), 36 spent between 7 and 9 hours (36/403, 8.9%), and
24 spent more than 10 hours (24/403, 6.0%). The eigenvalues and scree plot
supported a 3-factorial nature of the translated questionnaire. The NMP-Q showed
an overall Cronbach alpha coefficient of 0.95 (0.94, 0.89, and 0.88 for the three
factors). The first factor explained up to 23.32% of the total variance, while
the second and third factors explained up to 23.91% and 18.67% of the variance,
respectively. The total NMP-Q score correlated with the number of hours spent on
a mobile phone. CONCLUSIONS: The Italian version of the NMP-Q proved to be
reliable.
PMID- 29358160
TI - Awareness of Prevention Strategies and Willingness to Use Preexposure Prophylaxis
in Brazilian Men Who Have Sex With Men Using Apps for Sexual Encounters: Online
Cross-Sectional Study.
AB - BACKGROUND: Geosocial networking (GSN) smartphone apps are becoming the main
venue for sexual encounters among Brazilian men who have sex with men (MSM). To
address the increased HIV incidence in this population, preexposure prophylaxis
(PrEP) was recently implemented in the Brazilian public health system in the
context of combined HIV prevention. OBJECTIVE: This study aimed to describe the
characteristics of MSM using GSN apps for sexual encounters, their awareness of
prevention strategies, and willingness to use PrEP. METHODS: This study was an
online cross-sectional study conducted in 10 Brazilian state capitals from July 1
to July 31, 2016. The questionnaire was programmed on SurveyGizmo and advertised
in two GSN apps used by MSM to find sexual partners (Hornet and Grindr).
Inclusion criteria were >18 years of age, cisgender men, with an HIV-negative
status. Eligible individuals answered questions on: demographics; behavior; and
knowledge, preferences, and willingness to use PrEP, nonoccupational postexposure
prophylaxis (nPEP), HIV self-testing (HIVST), and condoms. Logistic regression
modeling was performed to assess the factors associated with daily oral PrEP
willingness. RESULTS: During the study period, 8885 individuals provided consent
and started the questionnaire. Of these, 23.05% (2048/8885) were ineligible, 6837
(6837/8885, 76.94%) initiated, and 5065 (5065/8885, 57.00%) completed the entire
questionnaire and were included in the present analysis. Median age was 30 years
(interquartile range: 25-36), most self-declared as MSM (4991/5065, 98.54%),
white (3194/5065, 63.06%), middle income (2148/5065, 42.41%), and had 12 or more
years of schooling (3106/5062, 61.36%). The majority of MSM (3363/5064, 66.41%)
scored >10 points (high risk) on The HIV Incidence Risk for MSM Scale, but only
21.39% (1083/5064) had a low perceived likelihood of getting HIV in the next
year. Daily use of apps for sex was reported by 35.58% (1798/5054). Most MSM
(4327/5065, 85.43%) reported testing for HIV at least once in their lifetime and
9.16% (464/5065) used nPEP in the previous year. PrEP, nPEP, and HIVST awareness
was reported by 57.89% (2932/5065), 57.39% (2907/5065), and 26.57% (1346/5065) of
participants, respectively. Half of all respondents (2653/5065, 52.38%) were
willing to use daily oral PrEP, and this finding was associated with higher
numbers of male sexual partners (adjusted odds ratio [AOR] 1.26, 95% CI 1.09
1.47), condomless receptive anal intercourse (AOR 1.27, 95% CI 1.12-1.44), sex
with HIV-positive partner versus no HIV-positive partner (one HIV-positive
partner: AOR 1.36, 95% CI 1.11-1.67), daily use of apps for sexual encounters
(AOR 1.48, 95% CI 1.17-1.87), high and unknown perceived likelihood of getting
HIV in the next year (AOR 1.72, 95% CI 1.47-2.02 and AOR 1.39, 95% CI 1.13-1.70),
sexually transmitted infection diagnosis (AOR 1.25, 95% CI 1.03-1.51), stimulant
use (AOR 1.24, 95% CI 1.07-1.43), PrEP awareness (AOR 1.48, 95% CI 1.30-1.70),
and unwillingness to use condoms (AOR 1.16, 95% CI 1.00-1.33). CONCLUSIONS: Our
results evidenced high-risk scores in the studied population, suggesting the
importance of PrEP use. Those individuals presenting risky sexual behaviors were
more willing to use PrEP. Nonetheless, only 58% (2932/5065) of individuals had
heard about this prevention strategy. Efforts to increase awareness of new
prevention strategies are needed, and mobile health tools are a promising
strategy to reach MSM.
PMID- 29358164
TI - Hepatic metabolomic profiling changes along with postnatal liver maturation in
breeder roosters.
AB - To understand the hepatic metabolic changes during postnatal liver maturation
process in breeder roosters, we investigated the hepatic metabolites composition
of 1-day-old, 42-day-old, and 35-week-old breeder roosters using gas
chromatography-mass spectrometer (GC-MS). Comprehensive multivariate data
analyses were applied to identify the distinguishing metabolites of liver. 84
different kinds of distinguishing metabolites were identified between the livers
of 1-day-old and 42-day-old breeder roosters, and 58 different kinds of
distinguishing metabolites were identified between the livers from 42-day-old and
35-week-old breeder roosters. Further pathway annotations revealed that the
hepatic metabolism was extensively remodeled during the postnatal liver
maturation process. The antioxidant capacity of the liver and metabolism of
carbohydrates, proteins, amino acids, fats, cholesterols, nucleic acids, and
vitamins were all significantly changed at different growing periods after birth.
Specifically, we found that the hepatic amino acid metabolic function was
continuously enhanced from 1-day-old to 35-week-old roosters. However, the
glucose and lipid metabolic functions were weakened from 1-day-old to 42-day-old
roosters and then elevated from 42-day-old to 35-week-old roosters. In
conclusion, the present study revealed that the metabolomic changes are related
to the adaption of liver functions in breeder roosters.
PMID- 29358165
TI - Functional analysis of thyroid hormone receptor beta in Xenopus tropicalis
founders using CRISPR-Cas.
AB - Amphibians provide an ideal model to study the actions of thyroid hormone (TH) in
animal development because TH signaling via two TH receptors, TRalpha and TRbeta,
is indispensable for amphibian metamorphosis. However, specific roles for the
TRbeta isoform in metamorphosis are poorly understood. To address this issue, we
generated trbeta-disrupted Xenopus tropicalis tadpoles using the CRISPR-Cas
system. We first established a highly efficient and rapid workflow for gene
disruption in the founder generation (F0) by injecting sgRNA and Cas9
ribonucleoprotein. Most embryos showed severe mutant phenotypes carrying high
somatic mutation rates. Utilizing this founder analysis system, we examined the
role of trbeta in metamorphosis. trbeta-disrupted pre-metamorphic tadpoles
exhibited mixed responsiveness to exogenous TH. Specifically, gill resorption and
activation of several TH-response genes, including trbeta itself and two protease
genes, were impaired. However, hind limb outgrowth and induction of the TH
response genes, klf9 and fra-2, were not affected by loss of trbeta Surprisingly,
trbeta-disrupted tadpoles were able to undergo spontaneous metamorphosis
normally, except for a slight delay in tail resorption. These results indicate
TRbeta is not required but contributes to the timing of resorptive events of
metamorphosis.
PMID- 29358167
TI - Consultant is jailed for four years for sexual assaults on military recruits.
PMID- 29358166
TI - An ancient conserved role for prion protein in learning and memory.
AB - The misfolding of cellular prion protein (PrPC) to form PrP Scrapie (PrPSc) is an
exemplar of toxic gain-of-function mechanisms inducing propagated protein
misfolding and progressive devastating neurodegeneration. Despite this, PrPC
function in the brain is also reduced and subverted during prion disease
progression; thus understanding the normal function of PrPC in healthy brains is
key. Disrupting PrPC in mice has led to a myriad of controversial functions that
sometimes map onto disease symptoms, including a proposed role in memory or
learning. Intriguingly, PrPC interaction with amyloid beta (Abeta) oligomers at
synapses has also linked its function to Alzheimer's disease and dementia in
recent years. We set out to test the involvement of PrPC in memory using a
disparate animal model, the zebrafish. Here we document an age-dependent memory
decline in prp2-/- zebrafish, pointing to a conserved and ancient role of PrPC in
memory. Specifically, we found that aged (3-year-old) prp2-/- fish performed
poorly in an object recognition task relative to age-matched prp2+/+ fish or 1
year-old prp2-/- fish. Further, using a novel object approach (NOA) test, we
found that aged (3-year-old) prp2-/- fish approached the novel object more than
either age-matched prp2+/+ fish or 1-year-old prp2-/- fish, but did not have
decreased anxiety when we tested them in a novel tank diving test. Taken
together, the results of the NOA and novel tank diving tests suggest an altered
cognitive appraisal of the novel object in the 3-year-old prp2-/- fish. The
learning paradigm established here enables a path forward to study PrPC
interactions of relevance to Alzheimer's disease and prion diseases, and to
screen for candidate therapeutics for these diseases. The findings underpin a
need to consider the relative contributions of loss- versus gain-of-function of
PrPC during Alzheimer's and prion diseases, and have implications upon the
prospects of several promising therapeutic strategies.
PMID- 29358168
TI - Indian clinical guidelines lack scope, rigour, and quality, study finds.
PMID- 29358169
TI - CCR5 Governs DNA Damage Repair and Breast Cancer Stem Cell Expansion.
AB - The functional significance of the chemokine receptor CCR5 in human breast cancer
epithelial cells is poorly understood. Here, we report that CCR5 expression in
human breast cancer correlates with poor outcome. CCR5+ breast cancer epithelial
cells formed mammospheres and initiated tumors with >60-fold greater efficiency
in mice. Reintroduction of CCR5 expression into CCR5-negative breast cancer cells
promoted tumor metastases and induced DNA repair gene expression and activity.
CCR5 antagonists Maraviroc and Vicriviroc dramatically enhanced cell killing
mediated by DNA-damaging chemotherapeutic agents. Single-cell analysis revealed
CCR5 governs PI3K/Akt, ribosomal biogenesis, and cell survival signaling. As CCR5
augments DNA repair and is reexpressed selectively on cancerous, but not normal
breast epithelial cells, CCR5 inhibitors may enhance the tumor-specific
activities of DNA damage response-based treatments, allowing a dose reduction of
standard chemotherapy and radiation.Significance: This study offers a preclinical
rationale to reposition CCR5 inhibitors to improve the treatment of breast
cancer, based on their ability to enhance the tumor-specific activities of DNA
damaging chemotherapies administered in that disease. Cancer Res; 78(7); 1657-71.
(c)2018 AACR.
PMID- 29358170
TI - 2-Hydroxyglutarate-Mediated Autophagy of the Endoplasmic Reticulum Leads to an
Unusual Downregulation of Phospholipid Biosynthesis in Mutant IDH1 Gliomas.
AB - Tumor metabolism is reprogrammed to meet the demands of proliferating cancer
cells. In particular, cancer cells upregulate synthesis of the membrane
phospholipids phosphatidylcholine (PtdCho) and phosphatidylethanolamine (PtdE) in
order to allow for rapid membrane turnover. Nonetheless, we show here that, in
mutant isocitrate dehydrogenase 1 (IDHmut) gliomas, which produce the
oncometabolite 2-hydroxyglutarate (2-HG), PtdCho and PtdE biosynthesis is
downregulated and results in lower levels of both phospholipids when compared
with wild-type IDH1 cells. 2-HG inhibited collagen-4-prolyl hydroxylase activity,
leading to accumulation of misfolded procollagen-IV in the endoplasmic reticulum
(ER) of both genetically engineered and patient-derived IDHmut glioma models. The
resulting ER stress triggered increased expression of FAM134b, which mediated
autophagic degradation of the ER (ER-phagy) and a reduction in the ER area.
Because the ER is the site of phospholipid synthesis, ER-phagy led to reduced
PtdCho and PtdE biosynthesis. Inhibition of ER-phagy via pharmacological or
molecular approaches restored phospholipid biosynthesis in IDHmut glioma cells,
triggered apoptotic cell death, inhibited tumor growth, and prolonged the
survival of orthotopic IDHmut glioma-bearing mice, pointing to a potential
therapeutic opportunity. Glioma patient biopsies also exhibited increased ER
phagy and downregulation of PtdCho and PtdE levels in IDHmut samples compared
with wild-type, clinically validating our observations. Collectively, this study
provides detailed and clinically relevant insights into the functional link
between oncometabolite-driven ER-phagy and phospholipid biosynthesis in IDHmut
gliomas.Significance: Downregulation of phospholipid biosynthesis via ER-phagy is
essential for proliferation and clonogenicity of mutant IDH1 gliomas, a finding
with immediate therapeutic implications. Cancer Res; 78(9); 2290-304. (c)2018
AACR.
PMID- 29358171
TI - Small-Molecule Activators of Protein Phosphatase 2A for the Treatment of
Castration-Resistant Prostate Cancer.
AB - Primary prostate cancer is generally treatable by androgen deprivation therapy,
however, later recurrences of castrate-resistant prostate cancer (CRPC) that are
more difficult to treat nearly always occur due to aberrant reactivation of the
androgen receptor (AR). In this study, we report that CRPC cells are particularly
sensitive to the growth-inhibitory effects of reengineered tricyclic
sulfonamides, a class of molecules that activate the protein phosphatase PP2A,
which inhibits multiple oncogenic signaling pathways. Treatment of CRPC cells
with small-molecule activators of PP2A (SMAP) in vitro decreased cellular
viability and clonogenicity and induced apoptosis. SMAP treatment also induced an
array of significant changes in the phosphoproteome, including most notably
dephosphorylation of full-length and truncated isoforms of the AR and
downregulation of its regulatory kinases in a dose-dependent and time-dependent
manner. In murine xenograft models of human CRPC, the potent compound SMAP-2
exhibited efficacy comparable with enzalutamide in inhibiting tumor formation.
Overall, our results provide a preclinical proof of concept for the efficacy of
SMAP in AR degradation and CRPC treatment.Significance: A novel class of small
molecule activators of the tumor suppressor PP2A, a serine/threonine phosphatase
that inhibits many oncogenic signaling pathways, is shown to deregulate the
phosphoproteome and to destabilize the androgen receptor in advanced prostate
cancer. Cancer Res; 78(8); 2065-80. (c)2018 AACR.
PMID- 29358173
TI - Combination Gemcitabine and WT1 Peptide Vaccination Improves Progression-Free
Survival in Advanced Pancreatic Ductal Adenocarcinoma: A Phase II Randomized
Study.
AB - We investigated the efficacy of a Wilms' tumor gene 1 (WT1) vaccine combined with
gemcitabine (GEMWT1) and compared it with gemcitabine (GEM) monotherapy for
advanced pancreatic ductal adenocarcinoma (PDAC) in a randomized phase II study.
We randomly assigned HLA-A*02:01- or HLA-A*24:02-positive patients with advanced
PDAC to receive GEMWT1 or GEM. We assessed WT1-specific immune responses via
delayed-type hypersensitivity (DTH) to the WT1 peptide and a tetramer assay to
detect WT1-specific cytotoxic T lymphocytes (WT1-CTL). Of 91 patients enrolled,
85 were evaluable (GEMWT1: n = 42; GEM: n = 43). GEMWT1 prolonged progression
free survival [PFS; hazard ratio (HR), 0.66; P = 0.084] and improved overall
survival rate at 1 year (1-year OS%; GEMWT1: 35.7%; GEM: 20.9%). However, the
difference in OS was not significant (HR: 0.82; P = 0.363). These effects were
particularly evident in metastatic PDAC (PFS: HR 0.51, P = 0.0017; 1-year OS%:
GEMWT1 27.3%; GEM 11.8%). The combination was well tolerated, with no unexpected
serious adverse events. In patients with metastatic PDAC, PFS in the DTH-positive
GEMWT1 group was significantly prolonged, with a better HR of 0.27 compared with
the GEM group, whereas PFS in the DTH-negative GEMWT1 group was similar to that
in the GEM group (HR 0.86; P = 0.001). DTH positivity was associated with an
increase in WT1-CTLs induced by the WT1 vaccine. GEM plus the WT1 vaccine
prolonged PFS and may improve 1-year OS% in advanced PDAC. These clinical effects
were associated with the induction of WT1-specific immune responses. Cancer
Immunol Res; 6(3); 1-12. (c)2018 AACR.
PMID- 29358174
TI - Low-Density Lipoprotein Uptake Inhibits the Activation and Antitumor Functions of
Human Vgamma9Vdelta2 T Cells.
AB - Vgamma9Vdelta2 T cells, the main subset of gammadelta T lymphocytes in human
peripheral blood, are endowed with antitumor functions such as cytotoxicity and
IFNgamma production. These functions are triggered upon T-cell receptor-dependent
activation by non-peptidic prenyl pyrophosphates ("phosphoantigens") that are
selective agonists of Vgamma9Vdelta2 T cells, and which have been evaluated in
clinical studies. Because phosphoantigens have shown interindividual variation in
Vgamma9Vdelta2 T-cell activities, we asked whether metabolic resources, namely
lipids such as cholesterol, could affect phosphoantigen-mediated Vgamma9Vdelta2 T
cell activation and function. We show here that Vgamma9Vdelta2 T cells express
the LDL receptor upon activation and take up LDL cholesterol. Resulting changes,
such as decreased mitochondrial mass and reduced ATP production, correlate with
downregulation of Vgamma9Vdelta2 T-cell activation and functionality. In
particular, the expression of IFNgamma, NKG2D, and DNAM-1 were reduced upon LDL
cholesterol treatment of phosphoantigen-expanded Vgamma9Vdelta2 T cells. As a
result, their capacity to target breast cancer cells was compromised both in
vitro and in an in vivo xenograft mouse model. Thus, this study describes the
role of LDL cholesterol as an inhibitor of the antitumor functions of
phosphoantigen-activated Vgamma9Vdelta2 T cells. Our observations have
implications for therapeutic applications dependent on Vgamma9Vdelta2 T cells.
Cancer Immunol Res; 6(4); 448-57. (c)2018 AACR.
PMID- 29358172
TI - Selective mTORC2 Inhibitor Therapeutically Blocks Breast Cancer Cell Growth and
Survival.
AB - Small-molecule inhibitors of the mTORC2 kinase (torkinibs) have shown efficacy in
early clinical trials. However, the torkinibs under study also inhibit the other
mTOR-containing complex mTORC1. While mTORC1/mTORC2 combined inhibition may be
beneficial in cancer cells, recent reports describe compensatory cell survival
upon mTORC1 inhibition due to loss of negative feedback on PI3K, increased
autophagy, and increased macropinocytosis. Genetic models suggest that selective
mTORC2 inhibition would be effective in breast cancers, but the lack of selective
small-molecule inhibitors of mTORC2 have precluded testing of this hypothesis to
date. Here we report the engineering of a nanoparticle-based RNAi therapeutic
that can effectively silence the mTORC2 obligate cofactor Rictor. Nanoparticle
based Rictor ablation in HER2-amplified breast tumors was achieved following
intratumoral and intravenous delivery, decreasing Akt phosphorylation and
increasing tumor cell killing. Selective mTORC2 inhibition in vivo, combined with
the HER2 inhibitor lapatinib, decreased the growth of HER2-amplified breast
cancers to a greater extent than either agent alone, suggesting that mTORC2
promotes lapatinib resistance, but is overcome by mTORC2 inhibition. Importantly,
selective mTORC2 inhibition was effective in a triple-negative breast cancer
(TNBC) model, decreasing Akt phosphorylation and tumor growth, consistent with
our findings that RICTOR mRNA correlates with worse outcome in patients with
basal-like TNBC. Together, our results offer preclinical validation of a novel
RNAi delivery platform for therapeutic gene ablation in breast cancer, and they
show that mTORC2-selective targeting is feasible and efficacious in this disease
setting.Significance: This study describes a nanomedicine to effectively inhibit
the growth regulatory kinase mTORC2 in a preclinical model of breast cancer,
targeting an important pathogenic enzyme in that setting that has been
undruggable to date. Cancer Res; 78(7); 1845-58. (c)2018 AACR.
PMID- 29358175
TI - Extracellular vesicle-mediated transfer of constitutively active MyD88L265P
engages MyD88wt and activates signaling.
AB - The link between inflammation and cancer is particularly strong in Waldenstrom
macroglobulinemia (WM), a diffuse large B-cell lymphoma wherein the majority of
patients harbor a constitutively active mutation in the innate immune-signaling
adaptor myeloid differentiation primary response 88 (MyD88). MyD88Leu265Pro
(MyD88L265P) constitutively triggers the myddosome assembly providing a survival
signal for cancer cells. Here, we report detection and a functional role of MyD88
in the extracellular vesicles (EVs) shed from WM cells. MyD88L265P was
transferred via EVs into the cytoplasm of the recipient mast cells and
macrophages, recruiting the endogenous MyD88 that triggered the activation of
proinflammatory signaling in the absence of receptor activation. Additionally,
internalization of EVs containing MyD88L265P was observed in mice with an effect
on the bone marrow microenvironment. MyD88-loaded EVs were detected in the bone
marrow aspirates of WM patients thus establishing the physiological role of EVs
for MyD88L265P transmission and shaping of the proinflammatory microenvironment.
Results establish the mechanism of transmission of signaling complexes via EVs to
propagate inflammation as a new mechanism of intercellular communication.
PMID- 29358176
TI - The potential role of gammadelta T cells after allogeneic HCT for leukemia.
AB - Allogeneic hematopoetic stem cell transplantation (HCT) offers an option for
patients with hematologic malignancies, in whom conventional standard therapies
failed or are not effective enough to cure the disease. Successful HCT can
restore functional hematopoiesis and immune function, and the new donor-derived
immune system can exert a graft-versus-leukemia (GVL) effect. However, allogenic
HCT can also be associated with serious risks for transplantation-related
morbidities or mortalities such as graft-versus-host disease (GVHD) or life
threatening infectious complications. GVHD is caused by alloreactive T
lymphocytes, which express the alphabeta T-cell receptor, whereas lymphocytes
expressing the gammadelta T-cell receptor are not alloreactive and do not induce
GVHD but can exhibit potent antileukemia and anti-infectious activities.
Therefore, gammadelta T cells are becoming increasingly interesting in allogeneic
HCT, and clinical strategies to exploit the full function of these lymphocytes
have been and are being developed. Such strategies comprise the in vivo
activation of gammadelta T cells or subsets after HCT by certain drugs or
antibodies or the ex vivo expansion and manipulation of either patient-derived or
donor-derived gammadelta T cells and their subsets and the adoptive transfer of
the ex vivo-activated lymphocytes. On the basis of the absence of dysregulated
alloreactivity, such approaches could induce potent GVL effects in the absence of
GVHD. The introduction of large-scale clinical methods to enrich, isolate,
expand, and manipulate gammadelta T cells will facilitate future clinical studies
that aim to exploit the full function of these beneficial nonalloreactive
lymphocytes.
PMID- 29358177
TI - Tyrosine kinase inhibitors and immune checkpoint blockade in allogeneic
hematopoietic cell transplantation.
AB - Advances in the prevention of graft-versus-host disease (GVHD) and opportunistic
infection have improved survival after allogeneic hematopoietic cell
transplantation (allo-HCT) in the past decade. However, few inroads have been
made into the treatment or prevention of relapse of the underlying malignancy for
which allo-HCT is being performed. The introduction of US Food and Drug
Administration-approved agents with significant activity in a variety of
hematologic malignancies provides an opportunity to evaluate these interventions
in the allo-HCT setting. Some of the most promising new agents include tyrosine
kinase inhibitors (TKIs) directed at bcr-abl, kinase inhibitors targeting fms
like tyrosine kinase 3, and immune checkpoint inhibitors blocking both CTLA4 and
PD-1. Data have emerged indicating potential efficacy of these agents in
preventing or treating relapse, though definitive evidence remains elusive.
However, potential toxicity can be considerable, highlighting the need for
further clinical trials to define the therapeutic window. This review explores
the immunologic and clinical consequence of treatment with both TKIs and
checkpoint inhibitors in the peri- and post-allo-HCT setting.
PMID- 29358178
TI - Introduction to a review series on strategies to improve GVL effects.
PMID- 29358180
TI - Loss of Toll-like receptor 2 results in accelerated leukemogenesis in the NUP98
HOXD13 mouse model of MDS.
PMID- 29358179
TI - Strategies to activate NK cells to prevent relapse and induce remission following
hematopoietic stem cell transplantation.
AB - Natural killer (NK) cells are lymphocytes of innate immunity that respond to
virus infected and tumor cells. After allogeneic transplantation, NK cells are
the first reconstituting lymphocytes, but are dysfunctional. Manipulating this
first wave of lymphocytes could be instrumental in reducing the 40% relapse rate
following transplantation with reduced-intensity conditioning. NK cells express
numerous activating and inhibitory receptors. Some recognize classical or
nonclassical HLA class I ligands, others recognize class I-like ligands or
unrelated ligands. Dominant in the NK-cell transplant literature are killer cell
immunoglobulin-like receptors (KIRs), encoded on chromosome 19q. Inhibitory KIR
recognition of the cognate HLA class I ligand is responsible for NK-cell
education, which makes them tolerant of healthy cells, but responsive to
unhealthy cells having reduced expression of HLA class I. KIR A and KIR B are
functionally distinctive KIR haplotype groups that differ in KIR gene content.
Allogeneic transplant donors having a KIR B haplotype and lacking a recipient HLA
C epitope provide protection against relapse from acute myeloid leukemia.
Cytomegalovirus infection stimulates and expands a distinctive NK-cell population
that expresses the NKG2C receptor and exhibits enhanced effector functions. These
adaptive NK cells display immune memory and methylation signatures like CD8 T
cells. As potential therapy, NK cells, including adaptive NK cells, can be
adoptively transferred with, or without, agents such as interleukin-15 that
promote NK-cell survival. Strategies combining NK-cell infusions with CD16
binding antibodies or immune engagers could make NK cells antigen specific.
Together with checkpoint inhibitors, these approaches have considerable potential
as anticancer therapies.
PMID- 29358181
TI - Posttransplant chimeric antigen receptor therapy.
AB - Therapeutic T-cell engineering is emerging as a powerful approach to treat
refractory hematological malignancies. Its most successful embodiment to date is
based on the use of second-generation chimeric antigen receptors (CARs) targeting
CD19, a cell surface molecule found in most B-cell leukemias and lymphomas.
Remarkable complete remissions have been obtained with autologous T cells
expressing CD19 CARs in patients with relapsed, chemo-refractory B-cell acute
lymphoblastic leukemia, chronic lymphocytic leukemia, and non-Hodgkin lymphoma.
Allogeneic CAR T cells may also be harnessed to treat relapse after allogeneic
hematopoietic stem cell transplantation. However, the use of donor T cells poses
unique challenges owing to potential alloreactivity. We review different
approaches to mitigate the risk of causing or aggravating graft-versus-host
disease (GVHD), including CAR therapies based on donor leukocyte infusion, virus
specific T cells, T-cell receptor-deficient T cells, lymphoid progenitor cells,
and regulatory T cells. Advances in CAR design, T-cell selection and gene editing
are poised to enable the safe use of allogeneic CAR T cells without incurring
GVHD.
PMID- 29358182
TI - Blinatumomab for minimal residual disease in adults with B-cell precursor acute
lymphoblastic leukemia.
AB - Approximately 30% to 50% of adults with acute lymphoblastic leukemia (ALL) in
hematologic complete remission after multiagent therapy exhibit minimal residual
disease (MRD) by reverse transcriptase-polymerase chain reaction or flow
cytometry. MRD is the strongest predictor of relapse in ALL. In this open-label,
single-arm study, adults with B-cell precursor ALL in hematologic complete
remission with MRD (>=10-3) received blinatumomab 15 ug/m2 per day by continuous
IV infusion for up to 4 cycles. Patients could undergo allogeneic hematopoietic
stem-cell transplantation any time after cycle 1. The primary end point was
complete MRD response status after 1 cycle of blinatumomab. One hundred sixteen
patients received blinatumomab. Eighty-eight (78%) of 113 evaluable patients
achieved a complete MRD response. In the subgroup of 110 patients with Ph
negative ALL in hematologic remission, the Kaplan-Meier estimate of relapse-free
survival (RFS) at 18 months was 54%. Median overall survival (OS) was 36.5
months. In landmark analyses, complete MRD responders had longer RFS (23.6 vs 5.7
months; P = .002) and OS (38.9 vs 12.5 months; P = .002) compared with MRD
nonresponders. Adverse events were consistent with previous studies of
blinatumomab. Twelve (10%) and 3 patients (3%) had grade 3 or 4 neurologic
events, respectively. Four patients (3%) had cytokine release syndrome grade 1, n
= 2; grade 3, n = 2), all during cycle 1. After treatment with blinatumomab in a
population of patients with MRD-positive B-cell precursor ALL, a majority
achieved a complete MRD response, which was associated with significantly longer
RFS and OS compared with MRD nonresponders. This study is registered at
www.clinicaltrials.gov as #NCT01207388.
PMID- 29358183
TI - Clinical implications of cancer gene mutations in patients with chronic
lymphocytic leukemia treated with lenalidomide.
AB - Lenalidomide is clinically active in chronic lymphocytic leukemia (CLL), but its
effectiveness in the context of the CLL mutational landscape is unknown. We
performed targeted capture sequencing of 295 cancer genes in specimens from 102
CLL patients with treatment-naive disease (TN patients) and 186 CLL patients with
relapsed/refractory disease (R/R patients) who received lenalidomide-based
therapy at our institution. The most frequently mutated gene was SF3B1 (15%),
followed by NOTCH1 (14%) and TP53 (14%), with R/R patients having significantly
more TP53 mutations than did TN patients. Among all lenalidomide-treated
patients, del(17p) (P <= .001), del(11q) (P = .032), and complex karyotype (P =
.022), along with mutations in TP53 (P <= .001), KRAS (P = .034), and DDX3X (P <=
.001), were associated with worse overall response (OR). R/R patients with SF3B1
and MGA mutations had significantly worse OR (P = .025 and .035, respectively).
TN and R/R patients with del(17p) and TP53 mutations had worse overall survival
(OS) and progression-free survival (PFS). In R/R patients, complex karyotype and
SF3B1 mutations were associated with worse OS and PFS; DDX3X mutations were
associated with worse PFS only. Weibull regression multivariate analysis revealed
that TP53 aberrations (del(17p), TP53 mutation, or both), along with complex
karyotype and SF3B1 mutations, were associated with worse OS in the R/R cohort.
Taken together, cancer gene mutations in CLL contribute to the already
comprehensive risk stratification and add to prognosis and response to treatment.
The related trials were registered at www.clinicaltrials.gov as #NCT00267059,
#NCT00535873, #NCT00759603, #NCT01446133, and #NCT01002755.
PMID- 29358184
TI - Curcumin as an In Vivo Selective Intestinal Breast Cancer Resistance Protein
Inhibitor in Cynomolgus Monkeys.
AB - To estimate the clinical impact of pharmacokinetic modulation via breast cancer
resistance protein (BCRP), in vivo approaches in nonclinical settings are desired
in drug development. Clinical observation has identified curcumin as a promising
candidate for in vivo selective BCRP inhibition, in addition to several well
known inhibitors, such as lapatinib and pantoprazole. This study aimed to confirm
the inhibitory efficacy of curcumin on gastrointestinal BCRP function in
cynomolgus monkeys and to perform comparisons with lapatinib and pantoprazole.
Oral area under the plasma concentration-time curve (AUC) and bioavailability of
well known BCRP (sulfasalazine and rosuvastatin), P-glycoprotein (fexofenadine,
aliskiren, and talinolol), and CYP3A (midazolam) substrates were investigated in
the presence and absence of inhibitors. Oral exposures of sulfasalazine and
rosuvastatin were markedly elevated by curcumin with minimal changes in systemic
clearance, whereas pharmacokinetic alterations after fexofenadine, aliskiren, and
talinolol oral exposure were limited. Curcumin increased oral midazolam exposure
without affecting systemic clearance, presumably owing to partial inhibition of
intestinal CYP3A. Lapatinib increased the oral AUC for sulfasalazine to a greater
extent than curcumin did, whereas pantoprazole had a smaller effect. However,
lapatinib also exerted significant effects on fexofenadine, failed to selectively
discriminate between BCRP and P-glycoprotein inhibition, and had an effect on
oral midazolam exposure comparable with that of curcumin. Thus, pharmacokinetic
evaluation in monkeys demonstrated that pretreatment with curcumin as an in vivo
selective BCRP inhibitor was more appropriate than pretreatment with lapatinib
and pantoprazole for the assessment of the impact of BCRP on gastrointestinal
absorption in nonrodent models.
PMID- 29358185
TI - Stress echocardiography in contemporary clinical cardiology: practical
considerations and accreditation.
AB - Stress echocardiography is a widely utilised test in patients with known or
suspected coronary artery disease (CAD), valvular heart disease and
cardiomyopathies. Its advantages include the ubiquitous availability of
echocardiography, lack of ionising radiation, choice of physiological or
pharmacological stressors, good diagnostic accuracy and robust supporting
evidence base. SE has evolved significantly as a technique over the past three
decades and has benefitted considerably from improvements in overall image
quality (superior resolution), machine technology (e.g. digital cine-loop
acquisition and side-by-side image display) and development of second-generation
ultrasound contrast agents that have improved reader confidence and diagnostic
accuracy. The purpose of this article is to review the breadth of SE in
contemporary clinical cardiology and discuss the recently launched British
Society of Echocardiography (BSE) Stress Echocardiography accreditation scheme.
PMID- 29358186
TI - A role for P2X4 receptors in lysosome function.
PMID- 29358187
TI - Correction: The relationship between form and function throughout the history of
excitation-contraction coupling.
PMID- 29358188
TI - Globular C1q receptor (p33) binds and stabilizes pro-inflammatory MCP-1: a novel
mechanism for regulation of MCP-1 production and function.
AB - The protein gC1qR (globular C1q receptor), also named p33, was originally
identified as a binding partner of the globular heads of C1q in the complement
system. gC1qR/p33 is abundantly expressed in many cell types, but the functional
importance of this protein is not completely understood. Here, we investigate the
impact of gC1qR/p33 on the production and function of the pathophysiologically
important chemokine monocyte chemoattractant protein-1 (MCP-1) and the underlying
molecular mechanisms. Knockdown of gC1qR/p33 negatively regulated the production
of MCP-1, but had no effect on the expression of transcript for MCP-1 in human
periodontal ligament cells, suggesting a translational/post-translational
mechanism of action. Laser scanning confocal microscopy showed considerable
cytosolic co-localization of gC1qR/p33 and MCP-1, and co-immunoprecipitation
disclosed direct physical interaction between gC1qR/p33 and MCP-1. Surface
plasmon resonance analysis revealed a high-affinity binding (KD = 10.9 nM)
between gC1qR/p33 and MCP-1. Using a transwell migration assay, we found that
recombinant gC1qR/p33 enhances MCP-1-induced migration of human THP-1 monocytes,
pointing to a functional importance of the interaction between gC1qR/p33 and MCP
1. An in vitro assay revealed a rapid turnover of the MCP-1 protein and that
gC1qR/p33 stabilizes MCP-1, hence preventing its degradation. We propose that
endogenous gC1qR/p33 physically interacts with MCP-1 causing stabilization of the
MCP-1 protein and stimulation of its activity in human periodontal ligament
cells, suggesting a novel gC1qR/p33-mediated pro-inflammatory mechanism of
action.
PMID- 29358189
TI - CMS-G from Beta vulgaris ssp. maritima is maintained in natural populations
despite containing an atypical cytochrome c oxidase.
AB - While mitochondrial mutants of the respiratory machinery are rare and often
lethal, cytoplasmic male sterility (CMS), a mitochondrially inherited trait that
results in pollen abortion, is frequently encountered in wild populations. It
generates a breeding system called gynodioecy. In Beta vulgaris ssp. maritima, a
gynodioecious species, we found CMS-G to be widespread across the distribution
range of the species. Despite the sequencing of the mitochondrial genome of CMS
G, the mitochondrial sterilizing factor causing CMS-G is still unknown. By
characterizing biochemically CMS-G, we found that the expression of several
mitochondrial proteins is altered in CMS-G plants. In particular, Cox1, a core
subunit of the cytochrome c oxidase (complex IV), is larger but can still
assemble into complex IV. However, the CMS-G-specific complex IV was only
detected as a stabilized dimer. We did not observe any alteration of the affinity
of complex IV for cytochrome c; however, in CMS-G, complex IV capacity is
reduced. Our results show that CMS-G is maintained in many natural populations
despite being associated with an atypical complex IV. We suggest that the
modified complex IV could incur the associated cost predicted by theoretical
models to maintain gynodioecy in wild populations.
PMID- 29358190
TI - Hospitalization Rates, Prevalence of Cardiovascular Manifestations, and Outcomes
Associated With Sarcoidosis in the United States.
AB - BACKGROUND: Recent trends of hospitalizations and in-hospital mortality are not
well defined in sarcoidosis. We examined aforementioned trends and prevalence of
cardiovascular manifestations and explored rates of implantable cardioverter
defibrillator implantation in hospitalizations with sarcoidosis. METHODS AND
RESULTS: Using data from the National Inpatient Sample, a retrospective
population cohort from 2005 to 2014 was studied. To identify sarcoidosis, an
International Classification of Diseases, Ninth Revision, Clinical Modification
(ICD-9-CM) diagnosis code was used. We excluded hospitalizations with myocardial
infarction, coronary artery disease, and ischemic cardiomyopathy. Cardiovascular
manifestations were defined by the presence of diagnosis codes for conduction
disorders, arrhythmias, heart failure, nonischemic cardiomyopathy, and pulmonary
hypertension. A total of 609 051 sarcoidosis hospitalizations were identified,
with an age of 55+/-14 years, 67% women, and 50% black. The number of sarcoidosis
hospitalizations increased from 2005 through 2014 (138 versus 175 per 100 000,
Ptrend<0.001). We observed declining trends of unadjusted in-hospital mortality
(6.5 to 4.9 per 100 sarcoidosis hospitalizations, Ptrend<0.001). Overall ~31%
(n=188 438) of sarcoidosis hospitalizations had coexistent cardiovascular
manifestations of one or more type. Heart failure (~16%) and arrhythmias (~15%)
were the most prevalent cardiovascular manifestations. Rates of implantable
cardioverter-defibrillator placement were ~7.5 per 1000 sarcoidosis
hospitalizations (Ptrend=0.95) during the study period. Black race was associated
with 21% increased risk of in-hospital mortality (odds ratio, 1.21; 95%
confidence interval, 1.16-1.27 [P<0.001]). CONCLUSIONS: Sarcoidosis
hospitalizations have increased over the past decade with a myriad of coexistent
cardiovascular manifestations. Black race is a significant predictor of in
hospital mortality, which is declining. Further efforts are needed to improve
care in view of low implantable cardioverter-defibrillator rates in sarcoidosis.
PMID- 29358191
TI - A Disintegrin and Metalloprotease-22 Attenuates Hypertrophic Remodeling in Mice
Through Inhibition of the Protein Kinase B Signaling Pathway.
AB - BACKGROUND: Severe cardiac hypertrophy can lead to cardiac remodeling and even
heart failure in the end, which is a leading cause of cardiovascular disease
related mortality worldwide. A disintegrin and metalloprotease-22 (ADAM22), a
member of the transmembrane and secreted metalloendopeptidase family,
participates in many biological processes, including those in the cardiovascular
system. However, there is no explicit information on whether ADAM22 can regulate
the process of cardiac hypertrophy; the effects that ADAM22 exerts in cardiac
hypertrophy remain elusive. METHODS AND RESULTS: We observed significantly
increased ADAM22 expression in failing hearts from patients with dilated
cardiomyopathy and hypertrophic cardiomyopathy; the same trend was observed in
mice induced by transaortic constriction and in neonatal rat cardiomyocytes
treated by angiotensin II. Therefore, we constructed both cardiac-specific ADAM22
overexpression and knockout mice. At 4 weeks after transaortic constriction,
cardiac-specific ADAM22 knockout, by the CRISPR/Cas9 (clustered regularly
interspaced palindromic repeat (CRISPR)-Cas9) system, deteriorated the severity
of cardiac hypertrophy in mice, whereas cardiac-specific ADAM22 overexpression
mitigated the degrees of cardiac hypertrophy in mice. Similarly, altered ADAM22
expression modulated the angiotensin II-mediated cardiomyocyte hypertrophy in
neonatal rat cardiomyocytes. After screening several signaling pathways, we found
ADAM22 played a role in inhibition of protein kinase B (AKT) activation. Under
the cardiac-specific ADAM22 knockout background, AKT activation was enhanced in
transaortic constriction-induced mice and angiotensin II-stimulated neonatal rat
cardiomyocytes, with a severe degree of cardiac hypertrophy. Treatment of a
specific AKT inhibitor attenuated the transaortic constriction-enhanced AKT
activation and cardiac hypertrophy in mice. CONCLUSIONS: The findings
demonstrated that ADAM22 negatively regulates the AKT activation and the process
of cardiac hypertrophy and may provide new insights into the pathobiological
features of cardiac hypertrophy.
PMID- 29358192
TI - Circulating Circles Predict Postoperative Atrial Fibrillation.
PMID- 29358193
TI - Relationship of Arterial Stiffness Index and Pulse Pressure With Cardiovascular
Disease and Mortality.
AB - BACKGROUND: Vascular aging results in stiffer arteries and may have a role in the
development of cardiovascular disease (CVD). Arterial stiffness index (ASI),
measured by finger photoplethysmography, and pulse pressure (PP) are 2
independent vascular aging indices. We investigated whether ASI or PP predict new
onset CVD and mortality in a large community-based population. METHODS AND
RESULTS: We studied 169 613 UK Biobank participants (mean age 56.8 years; 45.8%
males) who underwent ASI measurement and blood pressure measurement for PP
calculation. Mean+/-SD ASI was 9.30+/-3.1 m/s and mean+/-SD PP was 50.98+/-13.2
mm Hg. During a median disease follow-up of 2.8 years (interquartile range 1.4
4.0), 18 190 participants developed CVD, of which 1587 myocardial infarction
(MI), 4326 coronary heart disease, 1192 heart failure, and 1319 stroke. During a
median mortality follow-up of 6.1 years (interquartile range 5.8-6.3), 3678
participants died, of which 1180 of CVD. Higher ASI was associated with increased
risk of overall CVD (unadjusted hazard ratio 1.27; 95% confidence interval [CI],
1.25-1.28), myocardial infarction (1.38; 95% CI, 1.32-1.44), coronary heart
disease (1.31; 95% CI, 1.27-1.34), and heart failure (1.31; 95% CI 1.24-1.37).
ASI also predicted mortality (all-cause, CVD, other). Higher PP was associated
with overall CVD (1.57; 95% CI, 1.55-1.59), myocardial infarction (1.48; 95% CI,
1.42-1.54), coronary heart disease (1.47; 95% CI, 1.43-1.50), heart failure
(1.47; 95% CI, 1.40-1.55), and CVD mortality (1.47; 95% CI, 1.40-1.55). PP
improved risk reclassification of CVD in a non-laboratory-based Framingham Risk
Score by 5.4%, ASI by 2.3%. CONCLUSIONS: ASI and PP are independent predictors of
CVD and mortality outcomes. Although both improved risk prediction for new-onset
disease, PP appears to have a larger clinical value than ASI.
PMID- 29358195
TI - National Trends in Nonstatin Use and Expenditures Among the US Adult Population
From 2002 to 2013: Insights From Medical Expenditure Panel Survey.
AB - BACKGROUND: Evidence supporting nonstatin lipid-lowering therapy in
atherosclerotic cardiovascular disease risk reduction is variable. We aim to
examine nonstatin utilization and expenditures in the United States between 2002
and 2013. METHODS AND RESULTS: We used the Medical Expenditure Panel Survey
database to estimate national trends in nonstatin use and cost (total and out-of
pocket, adjusted to 2013 US dollars using a gross domestic product deflator)
among adults 40 years or older. Nonstatin users increased from 3 million (2.5%)
in 2002-2003 (20.1 million prescriptions) to 8 million (5.6%) in 2012-2013 (45.8
million prescriptions). Among adults with atherosclerotic cardiovascular disease,
nonstatin use increased from 7.5% in 2002-2003 to 13.9% in 2012-2013 after
peaking at 20.3% in 2006-2007. In 2012-2013, 15.9% of high-intensity statin users
also used nonstatins, versus 9.7% of low/moderate-intensity users and 3.6% of
statin nonusers. Nonstatin use was significantly lower among women (odds ratio
0.80; 95% confidence interval 0.75-0.86), racial/ethnic minorities (odds ratio
0.41; 95% confidence interval 0.36-0.47), and the uninsured (odds ratio 0.47; 95%
confidence interval 0.40-0.56). Total nonstatin expenditures increased from $1.7
billion (out-of-pocket cost, $0.7 billion) in 2002-2003 to $7.9 billion (out-of
pocket cost $1.6 billion) in 2012-2013, as per-user nonstatin expenditure
increased from $550 to $992. Nonstatin expenditure as a proportion of all lipid
lowering therapy expenditure increased 4-fold from 8% to 32%. CONCLUSIONS:
Between 2002 and 2013, nonstatin use increased by 124%, resulting in a 364%
increase in nonstatin-associated expenditures.
PMID- 29358194
TI - Relationship of High-Density Lipoprotein Cholesterol With Renal Function in
Patients Treated With Atorvastatin.
AB - BACKGROUND: It is not known whether the concentration of high-density lipoprotein
(HDL) cholesterol is related to renal function in statin-treated patients. We
therefore investigated whether HDL cholesterol levels predicted renal function in
atorvastatin-treated patients in the TNT (Treating to New Targets) trial. METHODS
AND RESULTS: A total of 9542 participants were included in this analysis. Renal
function was assessed by estimated glomerular filtration rate (eGFR). HDL
cholesterol levels at month 3 were used as this is the time point at which on
treatment HDL cholesterol levels became stable. Among 6319 participants with a
normal eGFR (>=60 mL/min per 1.73 m2) at baseline, higher HDL cholesterol levels
at month 3 were significantly associated with lower risk of decline in eGFR (ie,
having eGFR <60 mL/min per 1.73 m2) during follow-up (HR of 1.04, 0.88, 0.85, and
0.77 for HDL cholesterol quintiles 2, 3, 4, and 5, respectively, relative to
quintile 1, P for trend=0.006). Among 3223 participants with an eGFR (<60 mL/min
per 1.73 m2) at baseline, higher HDL cholesterol levels at month 3 had less
impact on eGFR during follow-up, with statistical significance observed only when
analyzing HDL cholesterol levels as a continuous variable (P=0.043), but not as a
categorical quintile variable (P for trend=0.27). CONCLUSIONS: In patients
treated with atorvastatin, higher HDL cholesterol levels were associated with
lower risk of eGFR decline in patients with normal eGFR at baseline. However,
further study is needed to establish whether there is any causal relationship
between HDLs and renal function. CLINICAL TRIAL REGISTRATION: URL:
https://www.clinicaltrials.gov. Unique identifier: NCT00327691.
PMID- 29358196
TI - The Latest in Resuscitation Science Research: Highlights from the American Heart
Association's 2017 Resuscitation Science Symposium.
PMID- 29358197
TI - Impact of Renal Denervation on Atrial Arrhythmogenic Substrate in Ischemic Model
of Heart Failure.
AB - BACKGROUND: Myocardial infarction increases the risk of heart failure (HF) and
atrial fibrillation. Renal denervation (RDN) might suppress the development of
atrial remodeling. This study aimed to elucidate the molecular mechanism of RDN
in the suppression of atrial fibrillation in a HF model after myocardial
infarction. METHODS AND RESULTS: HF rabbits were created 4 weeks after coronary
ligation. Rabbits were classified into 3 groups: normal control (n=10), HF
(n=10), and HF-RDN (n=6). Surgical and chemical RDN were approached through
midabdominal incisions in HF-RDN. Left anterior descending coronary artery in HF
and HF-RDN was ligated to create myocardial infarction. After
electrophysiological study, the rabbits were euthanized and the left atrial
appendage was harvested for real-time polymerase chain reaction analysis and
Trichrome stain. Left atrial dimension and left ventricular mass were smaller in
HF-RDN by echocardiography compared with HF. Attenuated atrial fibrosis and
tyrosine hydroxylase levels were observed in HF-RDN compared with HF. The mRNA
expressions of Cav1.2, Nav1.5, Kir2.1, KvLQT1, phosphoinositide 3-kinase, AKT,
and endothelial nitric oxide synthase in HF-RDN were significantly higher
compared with HF. The effective refractory period and action potential duration
of HF-RDN were significantly shorter compared with HF. Decreased atrial
fibrillation inducibility was noted in HF-RDN compared with HF (50% versus 100%,
P<0.05). CONCLUSIONS: RDN reversed atrial electrical and structural remodeling,
and suppressed the atrial fibrillation inducibility in an ischemic HF model. The
beneficial effect of RDN may be related to prevention of the downregulation of
the phosphoinositide 3-kinase/AKT/endothelial nitric oxide synthase signaling
pathway.
PMID- 29358199
TI - The need for health in all policies in Canada.
PMID- 29358198
TI - Transplantation of Allogeneic Pericytes Improves Myocardial Vascularization and
Reduces Interstitial Fibrosis in a Swine Model of Reperfused Acute Myocardial
Infarction.
AB - BACKGROUND: Transplantation of adventitial pericytes (APCs) promotes cardiac
repair in murine models of myocardial infarction. The aim of present study was to
confirm the benefit of APC therapy in a large animal model. METHODS AND RESULTS:
We performed a blind, randomized, placebo-controlled APC therapy trial in a swine
model of reperfused myocardial infarction. A first study used human APCs (hAPCs)
from patients undergoing coronary artery bypass graft surgery. A second study
used allogeneic swine APCs (sAPCs). Primary end points were (1) ejection fraction
as assessed by cardiac magnetic resonance imaging and (2) myocardial
vascularization and fibrosis as determined by immunohistochemistry.
Transplantation of hAPCs reduced fibrosis but failed to improve the other
efficacy end points. Incompatibility of the xenogeneic model was suggested by the
occurrence of a cytotoxic response following in vitro challenge of hAPCs with
swine spleen lymphocytes and the failure to retrieve hAPCs in transplanted
hearts. We next considered sAPCs as an alternative. Flow cytometry,
immunocytochemistry, and functional/cytotoxic assays indicate that sAPCs are a
surrogate of hAPCs. Transplantation of allogeneic sAPCs benefited capillary
density and fibrosis but did not improve cardiac magnetic resonance imaging
indices of contractility. Transplanted cells were detected in the border zone.
CONCLUSIONS: Immunologic barriers limit the applicability of a xenogeneic swine
model to assess hAPC efficacy. On the other hand, we newly show that
transplantation of allogeneic sAPCs is feasible, safe, and immunologically
acceptable. The approach induces proangiogenic and antifibrotic benefits, though
these effects were not enough to result in functional improvements.
PMID- 29358200
TI - Effect of provincial spending on social services and health care on health
outcomes in Canada: an observational longitudinal study.
AB - BACKGROUND: Escalating health care spending is a concern in Western countries,
given the lack of evidence of a direct connection between spending and
improvements in health. We aimed to determine the association between spending on
health care and social programs and health outcomes in Canada. METHODS: We used
retrospective data from Canadian provincial expenditure reports, for the period
1981 to 2011, to model the effects of social and health spending (as a ratio,
social/health) on potentially avoidable mortality, infant mortality and life
expectancy. We used linear regressions, accounting for provincial fixed effects
and time, and controlling for confounding variables at the provincial level.
RESULTS: A 1-cent increase in social spending per dollar spent on health was
associated with a 0.1% (95% confidence interval [CI] 0.04% to 0.16%) decrease in
potentially avoidable mortality and a 0.01% (95% CI 0.01% to 0.02%) increase in
life expectancy. The ratio had a statistically nonsignificant relationship with
infant mortality (p = 0.2). INTERPRETATION: Population-level health outcomes
could benefit from a reallocation of government dollars from health to social
spending, even if total government spending were left unchanged. This result is
consistent with other findings from Canada and the United States.
PMID- 29358202
TI - Hoarseness of unclear origin in adults.
PMID- 29358201
TI - Management of osteoarthritis of the knee in younger patients.
PMID- 29358203
TI - Muscle weakness related to herpes zoster.
PMID- 29358204
TI - Cultural competency and culturally safe clinical care.
PMID- 29358205
TI - Not all Polysporin products contain lidocaine.
PMID- 29358206
TI - Growing consensus on link between strep and obsessive-compulsive disorder.
PMID- 29358207
TI - Blood-delivering drones saving lives in Africa and maybe soon in Canada.
PMID- 29358208
TI - New hope for unsanctioned safe injection site.
PMID- 29358209
TI - Reducing the cost of inequality.
PMID- 29358210
TI - The adherens junction-associated LIM domain protein Smallish regulates epithelial
morphogenesis.
AB - In epithelia, cells adhere to each other in a dynamic fashion, allowing the cells
to change their shape and move along each other during morphogenesis. The
regulation of adhesion occurs at the belt-shaped adherens junction, the zonula
adherens (ZA). Formation of the ZA depends on components of the Par-atypical PKC
(Par-aPKC) complex of polarity regulators. We have identified the Lin11, Isl-1,
Mec-3 (LIM) protein Smallish (Smash), the orthologue of vertebrate LMO7, as a
binding partner of Bazooka/Par-3 (Baz), a core component of the Par-aPKC complex.
Smash also binds to Canoe/Afadin and the tyrosine kinase Src42A and localizes to
the ZA in a planar polarized fashion. Animals lacking Smash show loss of planar
cell polarity (PCP) in the embryonic epidermis and reduced cell bond tension,
leading to severe defects during embryonic morphogenesis of epithelial tissues
and organs. Overexpression of Smash causes apical constriction of epithelial
cells. We propose that Smash is a key regulator of morphogenesis coordinating PCP
and actomyosin contractility at the ZA.
PMID- 29358211
TI - The Cullin-3-Rbx1-KCTD10 complex controls endothelial barrier function via K63
ubiquitination of RhoB.
AB - RhoGTPases control endothelial cell (EC) migration, adhesion, and barrier
formation. Whereas the relevance of RhoA for endothelial barrier function is
widely accepted, the role of the RhoA homologue RhoB is poorly defined. RhoB and
RhoA are 85% identical, but RhoB's subcellular localization and half-life are
uniquely different. Here, we studied the role of ubiquitination for the function
and stability of RhoB in primary human ECs. We show that the K63
polyubiquitination at lysine 162 and 181 of RhoB targets the protein to
lysosomes. Moreover, we identified the RING E3 ligase complex Cullin-3-Rbx1
KCTD10 as key modulator of endothelial barrier integrity via its regulation of
the ubiquitination, localization, and activity of RhoB. In conclusion, our data
show that ubiquitination controls the subcellular localization and lysosomal
degradation of RhoB and thereby regulates the stability of the endothelial
barrier through control of RhoB-mediated EC contraction.
PMID- 29358212
TI - Regulation of intercellular TARGET OF MONOPTEROS 7 protein transport in the
Arabidopsis root.
AB - Intercellular communication coordinates hypophysis establishment in the
Arabidopsis embryo. Previously, TARGET OF MONOPTEROS 7 (TMO7) was reported to be
transported to the hypophysis, the founder cell of the root cap, and RNA
suppression experiments implicated its function in embryonic root development.
However, the protein properties and mechanisms mediating TMO7 protein transport,
and the role the movement plays in development remained unclear. Here, we report
that in the post-embryonic root, TMO7 and its close relatives are transported
into the root cap through plasmodesmata in a sequence-dependent manner. We also
show that nuclear residence is crucial for TMO7 transport, and postulate that
modification, potentially phosphorylation, labels TMO7 for transport.
Additionally, three novel CRISPR/Cas9-induced tmo7 alleles confirmed a role in
hypophysis division, but suggest complex redundancies with close relatives in
root formation. Finally, we demonstrate that TMO7 transport is biologically
meaningful, as local expression partially restores hypophysis division in a
plasmodesmal protein transport mutant. Our study identifies motifs and amino
acids that are pivotal for TMO7 protein transport, and establishes the importance
of TMO7 in hypophysis and root development.
PMID- 29358213
TI - Nodal induces sequential restriction of germ cell factors during primordial germ
cell specification.
AB - Specification of the germ cell lineage is required for sexual reproduction in
animals. The mechanism of germ cell specification varies among animals but
roughly clusters into either inherited or inductive mechanisms. The inductive
mechanism, the use of cell-cell interactions for germ cell specification, appears
to be the ancestral mechanism in animal phylogeny, yet the pathways responsible
for this process are only recently surfacing. Here, we show that germ cell
factors in the sea star initially are present broadly, then become restricted
dorsally and then in the left side of the embryo where the germ cells form a
posterior enterocoel. We find that Nodal signaling is required for the
restriction of two germ cell factors, Nanos and Vasa, during the early
development of this animal. We learned that Nodal inhibits germ cell factor
accumulation in three ways including: inhibition of specific transcription,
degradation of specific mRNAs and inhibition of tissue morphogenesis. These
results document a signaling mechanism required for the sequential restriction of
germ cell factors, which causes a specific set of embryonic cells to become the
primordial germ cells.
PMID- 29358214
TI - Identification of jellyfish neuropeptides that act directly as oocyte maturation
inducing hormones.
AB - Oocyte meiotic maturation is crucial for sexually reproducing animals, and its
core cytoplasmic regulators are highly conserved between species. By contrast,
the few known maturation-inducing hormones (MIHs) that act on oocytes to initiate
this process are highly variable in their molecular nature. Using the hydrozoan
jellyfish species Clytia and Cladonema, which undergo oocyte maturation in
response to dark-light and light-dark transitions, respectively, we deduced
amidated tetrapeptide sequences from gonad transcriptome data and found that
synthetic peptides could induce maturation of isolated oocytes at nanomolar
concentrations. Antibody preabsorption experiments conclusively demonstrated that
these W/RPRPamide-related neuropeptides account for endogenous MIH activity
produced by isolated gonads. We show that the MIH peptides are synthesised by
neural-type cells in the gonad, are released following dark-light/light-dark
transitions, and probably act on the oocyte surface. They are produced by male as
well as female jellyfish and can trigger both sperm and egg release, suggesting a
role in spawning coordination. We propose an evolutionary link between hydrozoan
MIHs and the neuropeptide hormones that regulate reproduction upstream of MIHs in
bilaterian species.
PMID- 29358216
TI - Fishing out oocyte maturation-inducing hormones.
PMID- 29358217
TI - Homeostatic Response of Mouse renin Gene Transcription in a Hypertensive
Environment Is Mediated by a Novel 5' Enhancer.
AB - The renin-angiotensin system plays an essential role in blood pressure
homeostasis. Because renin activity is reflected as a blood pressure phenotype,
its gene expression in the kidney is tightly regulated by a feedback mechanism;
i.e., renin gene transcription is suppressed in a hypertensive state. To address
the molecular mechanisms controlling hypertension-responsive mouse renin (mRen)
gene regulation, we deleted either 5' (17-kb) or 3' (78-kb) regions of the
endogenous mRen gene and placed the animals in a hypertensive environment. While
the mRen gene bearing the 3' deletion was appropriately downregulated, the one
bearing the 5' deletion lost this hypertension responsiveness. Because the 17-kb
sequence exhibited enhancer activity in vivo and in vitro, we narrowed down the
enhancer to a 2.3-kb core using luciferase assays in As4.1 cells. When this 2.3
kb sequence was removed from the endogenous mRen gene in the mouse, its basal
expression was dramatically reduced, and the hypertension responsiveness was
significantly attenuated. Furthermore, we demonstrated that the angiotensin II
signal played an important role in mRen gene suppression. We propose that in a
hypertensive environment, the activity of this novel enhancer is attenuated, and,
as a consequence, mRen gene transcription is suppressed to maintain blood
pressure.
PMID- 29358218
TI - TCF7/TCF1 feedback controls osteocalcin signaling in brown adipocytes independent
of canonical WNT/beta-catenin pathway.
AB - Osteocalcin has recently been shown to regulate energy homeostasis through
multiple pathways. Adipose tissue is a main organ of energy metabolism, and
administration of recombinant osteocalcin in mice promoted energy consumption,
thus counteracting obesity and glucose intolerance. The regulation of osteocalcin
in islet beta cells has been well documented; however, it is unknown whether
osteocalcin can also act on adipocytes, and if does, how it functions. Here, we
provided evidence to demonstrate a specific role for osteocalcin in brown
adipocyte thermogenesis. Importantly, expression of Gprc6a gene encoding a G
protein-coupled receptor as osteocalcin receptor was activated by brown-fat-like
differentiation. Moreover, Gprc6a expression could be further potentiated by
osteocalcin. Meanwhile, overexpression and knockdown experiments validated its
crucial role in osteocalcin-mediated thermogenic genes' activation. For the first
time, we identified Tcf7 and Wnt3a as putative targets for osteocalcin signaling.
TCF7 belongs to TCF/LEF1 family DNA binding factors crucial for canonical
WNT/beta-catenin pathway; however, TCF7 modulates Gprc6a and Ucp1 promoter
activation independent of beta-catenin. Further studies revealed that
thermogenesis coactivator PRDM16 and histone demethylase LSD1 might be required
for TCF7 activity. Hence, our study described a TCF7-dependent feedback control
of osteocalcin-GPRC6A axis in brown adipocyte physiologies.
PMID- 29358219
TI - Consent and the ethical duty to participate in health data research.
AB - The predominant view is that a study using health data is observational research
and should require individual consent unless it can be shown that gaining consent
is impractical. But recent arguments have been made that citizens have an ethical
obligation to share their health information for research purposes. In our view,
this obligation is sufficient ground to expand the circumstances where secondary
use research with identifiable health information is permitted without explicit
subject consent. As such, for some studies the Institutional Review
Board/Research Ethics Committee review process should not assess the practicality
of gaining consent for data use. Instead the review process should focus on
assessing the public good of the research, public engagement and transparency.
PMID- 29358215
TI - The hematopoietic stem cell niche: from embryo to adult.
AB - Hematopoietic stem cells (HSCs) develop in discrete anatomical niches, migrating
during embryogenesis from the aorta-gonad-mesonephros (AGM) region to the fetal
liver, and finally to the bone marrow, where most HSCs reside throughout adult
life. These niches provide supportive microenvironments that specify, expand and
maintain HSCs. Understanding the constituents and molecular regulation of HSC
niches is of considerable importance as it could shed new light on the
mechanistic principles of HSC emergence and maintenance, and provide novel
strategies for regenerative medicine. However, controversy exists concerning the
cellular complexity of the bone marrow niche, and our understanding of the
different HSC niches during development remains limited. In this Review, we
summarize and discuss what is known about the heterogeneity of the HSC niches at
distinct stages of their ontogeny, from the embryo to the adult bone marrow,
drawing predominantly on data from mouse studies.
PMID- 29358222
TI - Carcinogen Exposure among Canadian Tobacco Users: Changes in NNK Exposure from
2007-2009 through 2012-2013.
AB - Background: Tobacco-specific nitrosamines (TSNAs) are a class of carcinogens
found in tobacco products, whose levels can vary considerably depending on
tobacco blends and manufacturing processes. The current study examined whether
recent increases in levels of the TSNA NNK [4-(methylnitrosamino-1-(3-pyridyl)-1
butanone] in Canadian cigarettes translated into differences in exposure among
Canadian tobacco users.Methods: Nationally representative data from the Canadian
Health Measures Survey (CHMS) were used to measure levels of total urinary NNAL
[4-(methylnitrosamino)-1-(3-pyridyl)-1-butanol], a metabolite of the TSNA NNK,
among tobacco users. Data from CHMS Cycle 3 (2012-13) were used to examine NNAL,
and linear regression was used to examine predictors. Data from CHMS Cycle 1
(2007-09) and Cycle 3 (2012-13) were used to examine changes in NNAL over
time.Results: From 2007-2009 through 2012-2013, levels of creatinine-corrected
NNAL increased by 64% (P < 0.0001). Levels of NNAL in 2012-2013 were higher among
older respondents (P = 0.04), among females (P = 0.03), among respondents
identifying as "white" and "Aboriginal" (P < 0.0001), and among those with
greater daily cigarette consumption (P < 0.001), as well as greater levels of
urinary free cotinine (P < 0.0001) and urinary creatinine (P <
0.0001).Conclusions: The findings indicate that exposure to the TSNA NNK among
Canadian tobacco users has increased considerably from 2007-2009 through 2012
2013, in parallel to changes in TSNA levels in Canadian cigarettes. In the
absence of epidemiologic data, it is unclear whether this change translates into
increased risk.Impact: The study findings have potential implications for tobacco
manufacturers, who bear a responsibility to reduce levels of tobacco carcinogens
to the full extent possible. Cancer Epidemiol Biomarkers Prev; 27(3); 262-7.
(c)2018 AACR.
PMID- 29358221
TI - Sustained Formation of Nitroglycerin-Derived Nitric Oxide by Aldehyde
Dehydrogenase-2 in Vascular Smooth Muscle without Added Reductants: Implications
for the Development of Nitrate Tolerance.
AB - According to current views, oxidation of aldehyde dehydrogenase-2 (ALDH2) during
glyceryltrinitrate (GTN) biotransformation is essentially involved in vascular
nitrate tolerance and explains the dependence of this reaction on added thiols.
Using a novel fluorescent intracellular nitric oxide (NO) probe expressed in
vascular smooth muscle cells (VSMCs), we observed ALDH2-catalyzed formation of NO
from GTN in the presence of exogenously added dithiothreitol (DTT), whereas only
a short burst of NO, corresponding to a single turnover of ALDH2, occurred in the
absence of DTT. This short burst of NO associated with oxidation of the reactive
C302 residue in the active site was followed by formation of low-nanomolar NO,
even without added DTT, indicating slow recovery of ALDH2 activity by an
endogenous reductant. In addition to the thiol-reversible oxidation of ALDH2,
thiol-refractive inactivation was observed, particularly under high-turnover
conditions. Organ bath experiments with rat aortas showed that relaxation by GTN
lasted longer than that caused by the NO donor diethylamine/NONOate, in line with
the long-lasting nanomolar NO generation from GTN observed in VSMCs. Our results
suggest that an endogenous reductant with low efficiency allows sustained
generation of GTN-derived NO in the low-nanomolar range that is sufficient for
vascular relaxation. On a longer time scale, mechanism-based, thiol-refractive
irreversible inactivation of ALDH2, and possibly depletion of the endogenous
reductant, will render blood vessels tolerant to GTN. Accordingly, full
reactivation of oxidized ALDH2 may not occur in vivo and may not be necessary to
explain GTN-induced vasodilation.
PMID- 29358220
TI - Caveolins as Regulators of Stress Adaptation.
AB - Caveolins have been recognized over the past few decades as key regulators of
cell physiology. They are ubiquitously expressed and regulate a number of
processes that ultimately impact efficiency of cellular processes. Though not
critical to life, they are central to stress adaptation in a number of organs.
The following review will focus specifically on the role of caveolin in stress
adaptation in the heart, brain, and eye, three organs that are susceptible to
acute and chronic stress and that show as well declining function with age. In
addition, we consider some novel molecular mechanisms that may account for this
stress adaptation and also offer potential to drive the future of caveolin
research.
PMID- 29358224
TI - Total Nut, Tree Nut, Peanut, and Peanut Butter Consumption and the Risk of
Pancreatic Cancer in the Netherlands Cohort Study.
AB - Background: Nut intake has been associated with decreased cancer-related
mortality, but few studies have examined the potential of nuts in the
chemoprevention of pancreatic cancer. We prospectively investigated the
association of total nut, tree nut, peanut, and peanut butter consumption with
pancreatic cancer risk.Methods: In the Netherlands Cohort Study, 120,852 men and
women completed a baseline questionnaire, including a food frequency
questionnaire, in 1986. After 20.3 years of follow-up, 583 incident pancreatic
cancer cases, including 349 microscopically confirmed pancreatic cancer (MCPC)
cases, were included in multivariable case-cohort analyses.Results: Increased
total nut consumption was associated with a nonsignificantly decreased MCPC risk
in men [HR (95% confidence interval) for 10+ g/d vs. nonconsumers = 0.72 (0.47
1.11), Ptrend = 0.163]. No clear association was found in women. For tree nut and
peanut consumption, nonsignificant inverse associations were observed in men. In
women, no or unclear associations were found for tree nut and peanut consumption.
Peanut butter intake was related to a significantly reduced risk of MCPC in men
[HR (95% confidence interval) for 5+ g/d vs. nonconsumers = 0.53 (0.28-1.00),
Ptrend = 0.047], but this relation was not clear in women. Evidence for a
nonlinear dose-response relation with MCPC was found for tree nut intake only.
The associations were weaker when looking at total pancreatic cancer.Conclusions:
Our results suggest that nuts and peanut butter might reduce pancreatic cancer
risk in men. In women, no or unclear associations were found.Impact: Nut
consumption might reduce the risk of pancreatic cancer in men. Cancer Epidemiol
Biomarkers Prev; 27(3); 274-84. (c)2018 AACR.
PMID- 29358223
TI - Marine omega-3 Polyunsaturated Fatty Acid and Fish Intake after Colon Cancer
Diagnosis and Survival: CALGB 89803 (Alliance).
AB - Background: Marine omega-3 polyunsaturated fatty acids (PUFAs), primarily found
in dark fish, may prevent colorectal cancer progression, in part through
inhibition of prostaglandin-endoperoxide synthase 2 (PTGS2). However, data in
humans are limited.Methods: We examined marine omega-3 PUFAs and fish intake and
survival among 1,011 colon cancer patients enrolled in Cancer and Leukemia Group
B 89803 between 1999 and 2001 and followed through 2009. Diet was assessed during
and 6 months after chemotherapy. We used Cox proportional hazards regression to
estimate hazard ratios (HRs) and 95% confidence intervals (CIs) for disease-free
(DFS), recurrence-free (RFS), and overall survival (OS).Results: We observed 343
recurrences and 305 deaths (median follow-up: 7 years). Patients in the highest
vs. lowest quartile of marine omega-3 PUFA intake had an HR for DFS of 0.72 (95%
CI, 0.54-0.97; Ptrend = 0.03). Individuals who consumed dark fish >=1/week versus
never had longer DFS (HR 0.65; 95% CI, 0.48-0.87; P-value = 0.007), RFS (HR 0.61;
95% CI, 0.46-0.86; Ptrend = 0.007), and OS (HR 0.68; 95% CI, 0.48-0.96; Ptrend =
0.04). In a subset of 510 patients, the association between marine omega-3 PUFA
intake and DFS appeared stronger in patients with high PTGS2 expression (HR 0.32;
95% CI, 0.11-0.95; Ptrend = 0.01) compared with patients with absent/low PTGS2
expression (HR 0.78; 95% CI, 0.48-1.27; Ptrend = 0.35; Pinteraction =
0.19).Conclusions: Patients with high intake of marine omega-3 PUFAs and dark
fish after colon cancer diagnosis may have longer DFS.Impact: Randomized
controlled trials examining dark fish and/or marine omega-3 PUFA supplements and
colon cancer recurrence/survival are needed. Cancer Epidemiol Biomarkers Prev;
27(4); 438-45. (c)2018 AACR.
PMID- 29358226
TI - Cesarean Delivery and Risk of Infant Leukemia: A Report from the Children's
Oncology Group.
AB - Background: Studies have reported increased risks of pediatric acute
lymphoblastic leukemia (ALL) among children born by cesarean delivery (CD).
However, no previous study has examined the impact of CD on risk of infant
leukemia specifically.Methods: In this study, 443 infants diagnosed with acute
leukemia, including both ALL and acute myelogenous leukemia (AML), were
identified at Children's Oncology Group institutions between January 1996 and
December 2006; 324 controls frequency matched by year of birth were identified
though random digit dialing and random selection from U.S. birth registries.
Using interview data and, for a subset of participants, medical record data, we
analyzed CD overall and by indications that likely resulted in pre-labor CD
(PLCD) or emergency CD (ECD). Odds ratios (ORs) and 95% confidence intervals
(CIs) for risk of ALL and AML were estimated using multivariable unconditional
logistic regression models, adjusted for year of birth, birth weight, and
maternal race.Results: We observed an increased point estimate for the
association between CD and ALL (OR, 1.52 and 95% CI, 1.02-2.25). We did not
observe an association between CD and AML (OR, 1.02 and 95% CI, 0.64-1.62). In
analyses of indication for CD, we observed elevated effect estimates for the
associations of both PLCD and ECD and infant ALL.Conclusions: Our analysis
suggests an increased risk of infant ALL following CD, including both PLCD and
ECD. Altered microbiota colonization may be involved in development of leukemia
in infants, but clear biological mechanisms have yet to be determined.Impact:
This study provides the first in-depth examination of CD and infant leukemia.
Cancer Epidemiol Biomarkers Prev; 27(4); 473-8. (c)2018 AACR.
PMID- 29358225
TI - Association between Post-Cancer Diagnosis Dietary Inflammatory Potential and
Mortality among Invasive Breast Cancer Survivors in the Women's Health
Initiative.
AB - Background: Inflammation is important in chronic disease and can be modulated by
dietary exposures. Our aim was to examine whether the inflammatory potential of
diet after cancer diagnosis, assessed using the dietary inflammatory index (DII),
is associated with all-cause and cause-specific mortality among women diagnosed
with invasive breast cancer in the Women's Health Initiative (WHI).Methods: Our
analytic cohort included 2,150 postmenopausal women, ages 50 to 79 years at
baseline, who developed invasive breast cancer during follow-up and completed a
food frequency questionnaire (FFQ) on average 1.5 years after diagnosis. Women
were followed from breast cancer diagnosis until death or the end of follow-up by
October 2014. Energy-adjusted DII (E-DII) scores were calculated from food plus
supplements using a nutrient-density approach. Cox proportional hazards models
were fit to estimate multivariable-adjusted HRs and 95% confidence intervals
(CIs) for all-cause, breast cancer-specific, and cardiovascular disease (CVD)
mortality.Results: After a median 13.3 years of follow-up, 580 deaths from any
cause occurred, including 212 breast cancer deaths and 103 CVD deaths. Lower
(i.e., more anti-inflammatory) E-DII scores were associated with a lower risk of
CVD mortality (HRQ1VSQ4 = 0.44; 95% CI, 0.24-0.82; Ptrend = 0.005), but not with
breast cancer-specific mortality (HRQ1VSQ4 = 0.96; 95% CI, 0.62-1.49; Ptrend =
0.96) or all-cause mortality (HRQ1VSQ4 = 0.82; 95% CI, 0.63-1.05; Ptrend =
0.17).Conclusions: Consuming a more anti-inflammatory diet after breast cancer
diagnosis may be a means for reducing risk of death from CVD.Impact: Survival
after invasive breast cancer diagnosis may be improved by consumption of an anti
inflammatory diet. Cancer Epidemiol Biomarkers Prev; 27(4); 454-63. (c)2018 AACR.
PMID- 29358227
TI - Inflammatory Pathways Regulated by Tumor Necrosis Receptor-Associated Factor 1
Protect From Metabolic Consequences in Diet-Induced Obesity.
AB - RATIONALE: The coincidence of inflammation and metabolic derangements in obese
adipose tissue has sparked the concept of met-inflammation. Previous
observations, however, suggest that inflammatory pathways may not ultimately
cause dysmetabolism. OBJECTIVE: We have revisited the relationship between
inflammation and metabolism by testing the role of TRAF (tumor necrosis receptor
associated factor)-1, an inhibitory adapter of inflammatory signaling of TNF
(tumor necrosis factor)-alpha, IL (interleukin)-1beta, and TLRs (toll-like
receptors). METHODS AND RESULTS: Mice deficient for TRAF-1, which is expressed in
obese adipocytes and adipose tissue lymphocytes, caused an expected
hyperinflammatory phenotype in adipose tissue with enhanced adipokine and
chemokine expression, increased leukocyte accumulation, and potentiated
proinflammatory signaling in macrophages and adipocytes in a mouse model of diet
induced obesity. Unexpectedly, TRAF-1-/- mice were protected from metabolic
derangements and adipocyte growth, failed to gain weight, and showed improved
insulin resistance-an effect caused by increased lipid breakdown in adipocytes
and UCP (uncoupling protein)-1-enabled thermogenesis. TRAF-1-dependent catabolic
and proinflammatory cues were synergistically driven by beta3-adrenergic and
inflammatory signaling and required the presence of both TRAF-1-deficient
adipocytes and macrophages. In human obesity, TRAF-1-dependent genes were
upregulated. CONCLUSIONS: Enhancing TRAF-1-dependent inflammatory pathways in a
gain-of-function approach protected from metabolic derangements in diet-induced
obesity. These findings identify TRAF-1 as a regulator of dysmetabolism in mice
and humans and question the pathogenic role of chronic inflammation in
metabolism.
PMID- 29358228
TI - E2F1 Suppresses Oxidative Metabolism and Endothelial Differentiation of Bone
Marrow Progenitor Cells.
AB - RATIONALE: The majority of current cardiovascular cell therapy trials use bone
marrow progenitor cells (BM PCs) and achieve only modest efficacy; the limited
potential of these cells to differentiate into endothelial-lineage cells is one
of the major barriers to the success of this promising therapy. We have
previously reported that the E2F transcription factor 1 (E2F1) is a repressor of
revascularization after ischemic injury. OBJECTIVE: We sought to define the role
of E2F1 in the regulation of BM PC function. METHODS AND RESULTS: Ablation of
E2F1 (E2F1 deficient) in mouse BM PCs increases oxidative metabolism and reduces
lactate production, resulting in enhanced endothelial differentiation. The
metabolic switch in E2F1-deficient BM PCs is mediated by a reduction in the
expression of pyruvate dehydrogenase kinase 4 and pyruvate dehydrogenase kinase
2; overexpression of pyruvate dehydrogenase kinase 4 reverses the enhancement of
oxidative metabolism and endothelial differentiation. Deletion of E2F1 in the BM
increases the amount of PC-derived endothelial cells in the ischemic myocardium,
enhances vascular growth, reduces infarct size, and improves cardiac function
after myocardial infarction. CONCLUSION: Our results suggest a novel mechanism by
which E2F1 mediates the metabolic control of BM PC differentiation, and
strategies that inhibit E2F1 or enhance oxidative metabolism in BM PCs may
improve the effectiveness of cell therapy.
PMID- 29358229
TI - Clonal Expansion of Endothelial Cells Contributes to Ischemia-Induced
Neovascularization.
AB - RATIONALE: Vascularization is critical to maintain organ function. Although many
molecular pathways were shown to control vessel growth, the genuine process of
capillary formation under different conditions is unclear. OBJECTIVE: Here, we
elucidated whether clonal expansion contributes to vessel growth by using
Confetti mice for genetic tracing of clonally expanding endothelial cells (ECs).
METHODS AND RESULTS: In postnatal retina angiogenesis, we predominantly observed
random distribution of fluorescence labeled ECs indicative of random integration
or cell mixing. However, in models of pathophysiological angiogenesis
(retinopathy of prematurity), as well as ischemia-induced angiogenesis in limbs
and hearts, clonally expanded ECs were significantly more abundant (<=69%).
Inhibition of VEGFR2 (vascular endothelial growth factor receptor 2) reduced
clonal expansion after ischemia. To determine the mechanism underlying clonal
expansion in vivo, we assessed gene expression specifically in clonally expanded
ECs selected by laser capture microscopy. Clonally expanded ECs showed an
enrichment of genes involved in endothelial-to-mesenchymal transition. Moreover,
hypoxia-induced clonal expansion and endothelial-to-mesenchymal transition in ECs
in vitro suggesting that hypoxia-enhanced endothelial-to-mesenchymal transition
might contribute to vessel growth under ischemia. CONCLUSIONS: Our data suggest
that neovascularization after ischemia is partially mediated by clonal expansion
of ECs. Identification of the pathways that control clonal expansion may provide
novel tools to augment therapeutic neovascularization or treat pathological
angiogenesis.
PMID- 29358230
TI - Carillion's toll on NHS.
PMID- 29358231
TI - An Overexpressed Q Allele Leads to Increased Spike Density and Improved
Processing Quality in Common Wheat (Triticum aestivum).
AB - Spike density and processing quality are important traits in modern wheat
production and are controlled by multiple gene loci. The associated genes have
been intensively studied and new discoveries have been constantly reported during
the past few decades. However, no gene playing a significant role in the
development of these two traits has been identified. In the current study, a
common wheat mutant with extremely compact spikes and good processing quality was
isolated and characterized. A new allele (Qc1 ) of the Q gene (an important
domestication gene) responsible for the mutant phenotype was cloned, and the
molecular mechanism for the mutant phenotype was studied. Results revealed that
Qc1 originated from a point mutation that interferes with the miRNA172-directed
cleavage of Q transcripts, leading to its overexpression. It also reduces the
longitudinal cell size of rachises, resulting in an increased spike density.
Furthermore, Qc1 increases the number of vascular bundles, which suggests a
higher efficiency in the transportation of assimilates in the spikes of the
mutant than that of wild type. This accounts for the improved processing quality.
The effects of Qc1 on spike density and wheat processing quality were confirmed
by analyzing nine common wheat mutants possessing four different Qc alleles.
These results deepen our understanding of the key roles of Q gene, and provide
new insights for the potential application of Qc alleles in wheat quality
breeding.
PMID- 29358232
TI - Improving Genomic Prediction in Cassava Field Experiments by Accounting for
Interplot Competition.
AB - Plants competing for available resources is an unavoidable phenomenon in a field.
We conducted studies in cassava (Manihot esculenta Crantz) in order to understand
the pattern of this competition. Taking into account the competitive ability of
genotypes while selecting parents for breeding advancement or commercialization
can be very useful. We assumed that competition could occur at two levels: (i)
the genotypic level, which we call interclonal, and (ii) the plot level
irrespective of the type of genotype, which we call interplot competition or
competition error. Modification in incidence matrices was applied in order to
relate neighboring genotype/plot to the performance of a target genotype/plot
with respect to its competitive ability. This was added into a genomic selection
(GS) model to simultaneously predict the direct and competitive ability of a
genotype. Predictability of the models was tested through a 10-fold cross
validation method repeated five times. The best model was chosen as the one with
the lowest prediction root mean squared error (pRMSE) compared to that of the
base model having no competitive component. Results from our real data studies
indicated that <10% increase in accuracy was achieved with GS-interclonal
competition model, but this value reached up to 25% with a GS-competition error
model. We also found that the competitive influence of a cassava clone is not
just limited to the adjacent neighbors but spreads beyond them. Through
simulations, we found that a 26% increase of accuracy in estimating trait
genotypic effect can be achieved even in the presence of high competitive
variance.
PMID- 29358233
TI - The control of paramyxovirus genome hexamer length and mRNA editing.
AB - The unusual ability of a human parainfluenza virus type 2 (hPIV2) nucleoprotein
point mutation (NPQ202A) to strongly enhance minigenome replication was found to
depend on the absence of a functional, internal element of the bipartite
replication promoter (CRII). This point mutation allows relatively robust CRII
minus minigenome replication in a CRII-independent manner, under conditions in
which NPwt is essentially inactive. The nature of the amino acid at position 202
apparently controls whether viral RNA-dependent RNA polymerase (vRdRp) can, or
cannot, initiate RNA synthesis in a CRII-independent manner. By repressing genome
synthesis when vRdRp cannot correctly interact with CRII, gln202 of N, the only
residue of the RNA-binding groove that contacts a nucleotide base in the N-RNA,
acts as a gatekeeper for wild-type (CRII-dependent) RNA synthesis. This ensures
that only hexamer-length genomes are replicated, and that the critical hexamer
phase of the cis-acting mRNA editing sequence is maintained.
PMID- 29358234
TI - nextPARS: parallel probing of RNA structures in Illumina.
AB - RNA molecules play important roles in virtually every cellular process. These
functions are often mediated through the adoption of specific structures that
enable RNAs to interact with other molecules. Thus, determining the secondary
structures of RNAs is central to understanding their function and evolution. In
recent years several sequencing-based approaches have been developed that allow
probing structural features of thousands of RNA molecules present in a sample.
Here, we describe nextPARS, a novel Illumina-based implementation of in vitro
parallel probing of RNA structures. Our approach achieves comparable accuracy to
previous implementations, while enabling higher throughput and sample
multiplexing.
PMID- 29358235
TI - Transcriptional and chromatin changes accompanying de novo formation of
transgenic piRNA clusters.
AB - Expression of transposable elements in the germline is controlled by Piwi
interacting (pi) RNAs produced by genomic loci termed piRNA clusters and
associated with Rhino, a heterochromatin protein 1 (HP1) homolog. Previously, we
have shown that transgenes containing a fragment of the I retrotransposon form de
novo piRNA clusters in the Drosophila germline providing suppression of I-element
activity. We noted that identical transgenes located in different genomic sites
vary considerably in piRNA production and classified them as "strong" and "weak"
piRNA clusters. Here, we investigated what chromatin and transcriptional changes
occur at the transgene insertion sites after their conversion into piRNA
clusters. We found that the formation of a transgenic piRNA cluster is
accompanied by activation of transcription from both genomic strands that likely
initiates at multiple random sites. The chromatin of all transgene-associated
piRNA clusters contain high levels of trimethylated lysine 9 of histone H3
(H3K9me3) and HP1a, whereas Rhino binding is considerably higher at the strong
clusters. None of these chromatin marks was revealed at the "empty" sites before
transgene insertion. Finally, we have shown that in the nucleus of polyploid
nurse cells, the formation of a piRNA cluster at a given transgenic genomic copy
works according to an "all-or-nothing" model: either there is high Rhino
enrichment or there is no association with Rhino at all. As a result, genomic
copies of a weak piRNA transgenic cluster show a mosaic association with Rhino
foci, while the majority of strong transgene copies associate with Rhino and are
hence involved in piRNA production.
PMID- 29358236
TI - We must support junior doctors working after hours.
PMID- 29358238
TI - For the scholarly, free-thinking family physician.
PMID- 29358237
TI - Stretching the arms of the type VI secretion sheath protein.
PMID- 29358239
TI - ?
PMID- 29358240
TI - Composition of Canadian Pain Society guideline development group?
PMID- 29358241
TI - Estimating cancer risk from radiation.
PMID- 29358242
TI - Correction.
PMID- 29358243
TI - Patient perspectives: Exploring patient values and preferences.
PMID- 29358244
TI - ?
PMID- 29358246
TI - Eliciting patient values and preferences to inform shared decision making in
preventive screening.
PMID- 29358247
TI - When you suspect diabetes in a child.
PMID- 29358245
TI - Deprescribing antipsychotics for behavioural and psychological symptoms of
dementia and insomnia: Evidence-based clinical practice guideline.
AB - OBJECTIVE: To develop an evidence-based guideline to help clinicians make
decisions about when and how to safely taper and stop antipsychotics; to focus on
the highest level of evidence available and seek input from primary care
professionals in the guideline development, review, and endorsement processes.
METHODS: The overall team comprised 9 clinicians (1 family physician, 1 family
physician specializing in long-term care, 1 geriatric psychiatrist, 2
geriatricians, 4 pharmacists) and a methodologist; members disclosed conflicts of
interest. For guideline development, a systematic process was used, including the
GRADE (Grading of Recommendations Assessment, Development and Evaluation)
approach. Evidence was generated from a Cochrane systematic review of
antipsychotic deprescribing trials for the behavioural and psychological symptoms
of dementia, and a systematic review was conducted to assess the evidence behind
the benefits of using antipsychotics for insomnia. A review of reviews of the
harms of continued antipsychotic use was performed, as well as narrative
syntheses of patient preferences and resource implications. This evidence and
GRADE quality-of-evidence ratings were used to generate recommendations. The team
refined guideline content and recommendation wording through consensus and
synthesized clinical considerations to address common front-line clinician
questions. The draft guideline was distributed to clinicians and stakeholders for
review and revisions were made at each stage. RECOMMENDATIONS: We recommend
deprescribing antipsychotics for adults with behavioural and psychological
symptoms of dementia treated for at least 3 months (symptoms stabilized or no
response to an adequate trial) and for adults with primary insomnia treated for
any duration or secondary insomnia in which underlying comorbidities are managed.
A decision-support algorithm was developed to accompany the guideline.
CONCLUSION: Antipsychotics are associated with harms and can be safely tapered.
Patients and caregivers might be more amenable to deprescribing if they
understand the rationale (potential for harm), are involved in developing the
tapering plan, and are offered behavioural advice or management. This guideline
provides recommendations for making decisions about when and how to reduce the
dose of or stop antipsychotics. Recommendations are meant to assist with, not
dictate, decision making in conjunction with patients and families.
PMID- 29358248
TI - Rare presentation of acute aortic dissection in a family doctor's office.
PMID- 29358249
TI - Choosing Wisely Canada recommendations.
PMID- 29358250
TI - Determining the causes of postural hypotension.
PMID- 29358251
TI - Infant sleep training: rest easy?
PMID- 29358252
TI - Can we eliminate HIV?
PMID- 29358253
TI - Stubborn heel pain: Treatment of plantar fasciitis using high-load strength
training.
PMID- 29358254
TI - Screening with Papanicolaou tests in Alberta: Are we Choosing Wisely?
AB - OBJECTIVE: To describe the prevalence and geographic distribution of cervical
cancer screening, as well as the age groups of those undergoing screening, in
Alberta, and to determine if screening practices conform to current guidelines
and follow Choosing Wisely Canada recommendations. DESIGN: Descriptive study
using data from the Alberta Ministry of Health Analytics and Performance
Reporting Branch. SETTING: Alberta. PARTICIPANTS: Women who had 1 or more
Papanicolaou tests between 2011 and 2013. MAIN OUTCOME MEASURES: Number of women
aged 15 to 20 and those aged 70 and older who had 1 or more Pap tests in a 3-year
period; year-to-year trends in screening rates for women in these 2 age groups;
trends in screening rates in various geographic regions (ie, cities and zones) in
Alberta; and the discipline of clinicians who ordered the Pap tests. RESULTS:
Between 2011 and 2013, 805 632 women in the province of Alberta had 1 or more Pap
tests for cervical cancer screening. Overall, 25 511 (17.5%) women aged 15 to 20
and 16 818 (10.3%) aged 70 and older were screened contrary to most existing
guidelines. Screening rates varied markedly in different geographic regions of
the province. Most Pap tests were ordered by family physicians or general
practitioners. CONCLUSION: Within the geographic regions of Alberta, provincial,
national, and international guidelines for screening with Pap tests are
inconsistently followed. This strongly echoes the need for clinicians and
patients to consider the Choosing Wisely Canada recommendations and current
guidelines for cervical cancer screening.
PMID- 29358255
TI - Hope for Superman.
PMID- 29358257
TI - Our power to negotiate with electronic medical record vendors.
PMID- 29358256
TI - Housecalls: Essential art in family medicine.
PMID- 29358258
TI - ?
PMID- 29358259
TI - ?
PMID- 29358260
TI - Technology, compassionate care, and family practice.
PMID- 29358261
TI - ?
PMID- 29358262
TI - ?
PMID- 29358263
TI - ?
PMID- 29358264
TI - ?
PMID- 29358265
TI - Experiences of practice facilitators working on the Improved Delivery of
Cardiovascular Care project: Retrospective case study.
AB - OBJECTIVE: To examine the barriers to and facilitators of practice facilitation
experienced by participants in the Improving Delivery of Cardiovascular Care
(IDOCC) project. DESIGN: Case studies of practice facilitators' narrative
reports. SETTING: Eastern Ontario. PARTICIPANTS: Primary care practices that
participated in the IDOCC project. MAIN OUTCOME MEASURES: Cases were identified
by calculating sum scores in order to determine practices' performance relative
to their peers. Two case exemplars were selected that scored within +/- 1 SD of
the total mean score, and a qualitative analysis of practice facilitators'
narrative reports was conducted using a 5-factor implementation framework to
identify barriers and facilitators. Narratives were divided into 3 phases:
planning, implementation, and sustainability. RESULTS: Barriers and facilitators
fluctuated over the intervention's 3 phases. Site A reported more barriers (n =
47) than facilitators (n = 38), while site B reported a roughly equal number of
barriers (n = 144) and facilitators (n = 136). In both sites, the most common
barriers involved organizational and provider factors and the most common
facilitators were associated with innovation and structural factors. CONCLUSION:
Both practices encountered various barriers and facilitators throughout the
IDOCC's 3 phases. The case studies reveal the complex interactions of these
factors over time, and provide insight into the implementation of practice
facilitation programs.
PMID- 29358267
TI - Does clinical exposure matter? Pilot assessment of patient visits in an urban
family medicine residency program.
AB - OBJECTIVE: To determine the number of patient visits, patient demographic
information, and diagnoses in an urban ambulatory care setting in a family
medicine residency program, and assess the correlation between the number of
patient visits and residents' in-training examination (ITE) scores. DESIGN:
Retrospective analysis of data from resident practice profiles, electronic
medical records, and residents' final ITE scores. SETTING: Family medicine
teaching unit in a community hospital in Barrie, Ont. PARTICIPANTS: Practice
profile data were from family medicine residents enrolled in the program from
July 1, 2013, to June 30, 2014, and electronic medical record and ITE data were
from those enrolled in the program from July 1, 2010, to June 30, 2015. MAIN
OUTCOME MEASURES: Number of patient visits, patient characteristics (eg, sex,
age), priority topics addressed in clinic, resident characteristics (eg, age,
sex, level of residency), and residents' final ITE scores. RESULTS: Between July
1, 2013, and June 30, 2014, there were 11 115 patient visits. First-year
residents had a mean of 5.48 patient visits per clinic, and second-year residents
had a mean of 5.98 patient visits per clinic. A Pearson correlation coefficient
of 0.68 was found to exist between the number of patients seen and the final ITE
scores, with a 10.5% difference in mean score between residents who had 1251 or
more visits and those who had 1150 or fewer visits. Three diagnoses (ie,
epistaxis, meningitis, and neck pain) deemed important for Certification by the
College of Family Physicians of Canada were not seen by any of the residents in
clinic. CONCLUSION: There is a moderate correlation between the number of
patients seen by residents in ambulatory care and ITE scores in family medicine.
It is important to assess patients' demographic information and diagnoses made in
resident practices to ensure an adequate clinical experience.
PMID- 29358266
TI - Associations between sensory loss and social networks, participation, support,
and loneliness: Analysis of the Canadian Longitudinal Study on Aging.
AB - OBJECTIVE: To determine if hearing loss, vision loss, and dual sensory loss were
associated with social network diversity, social participation, availability of
social support, and loneliness, respectively, in a population-based sample of
older Canadians and to determine whether age or sex modified the associations.
DESIGN: Cross-sectional population-based study. SETTING: Canada. PARTICIPANTS:
The sample included 21 241 participants in the Canadian Longitudinal Study on
Aging tracking cohort. The sample was nationally representative of English- and
French-speaking, non-institutionalized 45- to 89-year-old Canadians who did not
live on First Nations reserves and who had normal cognition. Participants with
missing data for any of the variables in the multivariable regression models were
excluded from analysis. MAIN OUTCOME MEASURES: Hearing and vision loss were
determined by self-report. Dual sensory loss was defined as reporting both
hearing and vision loss. Univariate analyses were performed to assess cross
sectional associations between hearing, vision, and dual sensory loss, and
social, demographic, and medical variables. Multivariable regression models were
used to analyze cross-sectional associations between each type of sensory loss
and social network diversity, social participation, availability of social
support, and loneliness. RESULTS: Vision loss (in men) and dual sensory loss (in
65- to 85-year-olds) were independently associated with reduced social network
diversity. Vision loss and dual sensory loss (in 65- to 85-year-olds) were each
independently associated with reduced social participation. All forms of sensory
loss were associated with both low availability of social support and loneliness.
CONCLUSION: Sensory impairment is associated with reduced social function in
older Canadians. Interventions and research that address the social needs of
older individuals with sensory loss are needed.
PMID- 29358268
TI - ?
PMID- 29358269
TI - ?
PMID- 29358270
TI - High predictive value of brain MRI imaging in primary mitochondrial respiratory
chain deficiency.
AB - BACKGROUND: Because the mitochondrial respiratory chain (RC) is ubiquitous, its
deficiency can theoretically give rise to any symptom in any organ or tissue at
any age with any mode of inheritance, owing to the twofold genetic origin of
respiratory enzyme machinery, that is, nuclear and mitochondrial. Not all
respiratory enzyme deficiencies are primary and secondary or artefactual
deficiency is frequently observed, leading to a number of misleading conclusions
and inappropriate investigations in clinical practice. This study is aimed at
investigating the potential role of brain MRI in distinguishing primary RC
deficiency from phenocopies and other aetiologies. METHODS: Starting from a large
series of 189 patients (median age: 3.5 years (8 days-56 years), 58% males)
showing signs of RC enzyme deficiency, for whom both brain MRIs and disease
causing mutations were available, we retrospectively studied the positive
predictive value (PPV) and the positive likelihood ratio (LR+) of brain MRI
imaging and its ability to discriminate between two groups: primary deficiency of
the mitochondrial RC machinery and phenocopies. RESULTS: Detection of (1)
brainstem hyperintensity with basal ganglia involvement (P<=0.001) and (2)
lactate peak with either brainstem or basal ganglia hyperintensity was highly
suggestive of primary RC deficiency (P<=0.01). Fourteen items had a PPV>95% and
LR+ was greater than 9 for seven signs. Biallelic SLC19A3 mutations represented
the main differential diagnosis. Non-significant differences between the two
groups were found for cortical/subcortical atrophy, leucoencephalopathy and
involvement of caudate nuclei, spinothalamic tract and corpus callosum.
CONCLUSION: Based on these results and owing to invasiveness of skeletal muscle
biopsies and cost of high-throughput DNA sequencing, we suggest giving
consideration to brain MRI imaging as a diagnostic marker and an informative
investigation to be performed in patients showing signs of RC enzyme deficiency.
PMID- 29358271
TI - Catalogue of inherited disorders found among the Irish Traveller population.
AB - Background Irish Travellers are an endogamous, nomadic, ethnic minority
population mostly resident on the island of Ireland with smaller populations in
Europe and the USA. High levels of consanguinity result in many rare autosomal
recessive disorders. Due to founder effects and endogamy, most recessive
disorders are caused by specific homozygous mutations unique to this population.
Key clinicians and scientists with experience in managing rare disorders seen in
this population have developed a de facto advisory service on differential
diagnoses to consider when faced with specific clinical scenarios. Objective(s)
To catalogue all known inherited disorders found in the Irish Traveller
population. Methods We performed detailed literature and database searches to
identify relevant publications and the disease mutations of known genetic
disorders found in Irish Travellers. Results We identified 104 genetic disorders:
90 inherited in an autosomal recessive manner; 13 autosomal dominant and one a
recurring chromosomal duplication. Conclusion We have collated our experience of
inherited disorders found in the Irish Traveller population to make it publically
available through this publication to facilitate a targeted genetic approach to
diagnostics in this ethnic group.
PMID- 29358272
TI - FAM46A mutations are responsible for autosomal recessive osteogenesis imperfecta.
AB - BACKGROUND: Stuve-Wiedemann syndrome (SWS) is characterised by bowing of the
lower limbs, respiratory distress and hyperthermia that are often responsible for
early death. Survivors develop progressive scoliosis and spontaneous fractures.
We previously identified LIFR mutations in most SWS cases, but absence of LIFR
pathogenic changes in five patients led us to perform exome sequencing and to
identify homozygosity for a FAM46A mutation in one case [p.Ser205Tyrfs*13]. The
follow-up of this case supported a final diagnosis of osteogenesis imperfecta
(OI), based on vertebral collapses and blue sclerae. METHODS AND RESULTS: This
prompted us to screen FAM46A in 25 OI patients with no known mutations.We
identified a homozygous deleterious variant in FAM46A in two affected sibs with
typical OI [p.His127Arg]. Another homozygous variant, [p.Asp231Gly], also classed
as deleterious, was detected in a patient with type III OI of consanguineous
parents using homozygosity mapping and exome sequencing.FAM46A is a member of the
superfamily of nucleotidyltransferase fold proteins but its exact function is
presently unknown. Nevertheless, there are lines of evidence pointing to a
relevant role of FAM46A in bone development. By RT-PCR analysis, we detected
specific expression of FAM46A in human osteoblasts andinterestingly, a nonsense
mutation in Fam46a has been recently identified in an ENU-derived (N-ethyl-N
nitrosourea) mouse model characterised by decreased body length, limb, rib,
pelvis, and skull deformities and reduced cortical thickness in long bones.
CONCLUSION: We conclude that FAM46A mutations are responsible for a severe form
of OI with congenital bowing of the lower limbs and suggest screening this gene
in unexplained OI forms.
PMID- 29358273
TI - cIAP1/2-TRAF2-SHP-1-Src-MyD88 Complex Regulates Lipopolysaccharide-Induced IL-27
Production through NF-kappaB Activation in Human Macrophages.
AB - The inhibitors of apoptosis (IAP) proteins, initially described in the context of
apoptosis regulation as promoting cell survival, have recently emerged as key
regulators of innate immune signaling. As a result, downregulation of IAP via
Smac mimetics (SMM) has both survival and immunoregulatory effects. IAPs modulate
cytokine production in murine models either as a single agent or in response to
LPS. However, the role of SMM and the involvement of IAPs in primary human cells
and in particular macrophages with respect to cytokine production and innate
immune responses remain largely unknown. IL-27, a member of the IL-12 cytokine
family produced by APCs such as macrophages, has broad immunoregulatory
properties in both innate and adaptive immune responses. Herein, we show that
cellular IAPs (cIAPs) positively regulate LPS-induced IL-27 production in both
primary human monocytes and macrophages. Investigations for the signaling
mechanism of cIAPs involvement in IL-27 production in human macrophages revealed
that LPS-induced IL-27 production is regulated by a novel signaling complex
comprising cIAP1/2, TNFR-associated factor 2 (TRAF2), SHP-1, Src, and MyD88
leading to p38, c-Jun N-terminal kinases (JNK) and Akt activation and NF-kappaB
signaling. In cancer cells, SMM induce the production of cytokines by activating
the noncanonical alternate NF-kappaB pathway. However, in human macrophages, SMM
do not induce the production of TNF-alpha and other cytokines while inhibiting
LPS-induced IL-27 production by inhibiting the classical NF-kappaB pathway. These
signaling pathways may constitute novel therapeutic avenues for immune modulation
of IL-27 and provide insight into the modulatory immune effects of SMM.
PMID- 29358274
TI - CD8alpha+CD11c+ Extracellular Vesicles in the Lungs Control Immune Homeostasis of
the Respiratory Tract via TGF-beta1 and IL-10.
AB - The immune balance of the respiratory tract is strictly regulated. Extracellular
vesicles (EVs) have been reported to participate in maintaining the immune
balance in the intestinal tract, but whether they are involved in regulation of
the immune balance in the respiratory tract has yet to be revealed. In this
study, we found that physiological EVs from lungs of WT mice (L-EVs) could be
isolated, which contained the immunosuppressive cytokines TGF-beta1 and IL-10.
Among L-EV subsets, only the CD8alpha+CD11c+ EV subset was positive for TGF-beta1
and IL-10 and could inhibit CD4+ T cell proliferation via TGF-beta1 in vitro and
relieve murine asthmatic symptoms. Mechanistically, L-EVs were effective at
inhibiting OVA peptide-specific CD4+ T cell proliferation in a TGF-beta1- and IL
10-dependent manner. In addition, they could prevent CD4+ T cells from hilar
lymph nodes from secreting IL-4, IL-9, and IL-17A via IL-10 ex vivo, suggesting
inhibition of Th2, Th9, and Th17 cell responses. Altogether, our results indicate
that EVs from the lungs are involved in control of the immune balance in the
respiratory tract, which reveals a novel mechanism in the maintenance of
respiratory tract immune homeostasis.
PMID- 29358275
TI - Erythrocyte Saturation with IgG Is Required for Inducing Antibody-Mediated Immune
Suppression and Impacts Both Erythrocyte Clearance and Antigen-Modulation
Mechanisms.
AB - Anti-D prevents hemolytic disease of the fetus and newborn, and this mechanism
has been referred to as Ab-mediated immune suppression (AMIS). Anti-D, as well as
other polyclonal AMIS-inducing Abs, most often induce both epitope masking and
erythrocyte clearance mechanisms. We have previously observed that some Abs that
successfully induce AMIS effects could be split into those that mediate epitope
masking versus those that induce erythrocyte clearance, allowing the ability to
analyze these mechanisms separately. In addition, AMIS-inducing activity has
recently been shown to induce Ag modulation (Ag loss from the erythrocyte
surface). To assess these mechanisms, we immunized mice with transgenic murine
RBCs expressing a single Ag protein comprising a recombinant Ag composed of hen
egg lysozyme, OVA sequences comprising aa 251-349, and the human Duffy
transmembrane protein (HOD-Ag) with serial doses of polyclonal anti-OVA IgG as
the AMIS-inducing Ab. The anti-OVA Ab induced AMIS in the absence of apparent
epitope masking. AMIS occurred only when the erythrocytes appeared saturated with
IgG. This Ab was capable of inducing HOD-RBC clearance, as well as loss of the
OVA epitope at doses of Ab that caused AMIS effects. HOD-RBCs also lost
reactivity with Abs specific for the hen egg lysozyme and Duffy portions of the
Ag consistent with the initiation of Ag modulation and/or trogocytosis
mechanisms. These data support the concept that an AMIS-inducing Ab that does not
cause epitope masking can induce AMIS effects in a manner consistent with RBC
clearance and/or Ag modulation.
PMID- 29358276
TI - Correction: Virtual Sorting Has a Distinctive Advantage in Identification of
Anticorrelated Genes and Further Negative Regulators of Immune Cell
Subpopulations.
PMID- 29358277
TI - Intracellular BH3 Profiling Reveals Shifts in Antiapoptotic Dependency in Human B
Cell Maturation and Mitogen-Stimulated Proliferation.
AB - Apoptosis is critical to B cell maturation, but studies of apoptotic regulation
in primary human B cells is lacking. In this study, we sought to better
understand the mechanisms of apoptotic regulation in normal and activated B
cells. Using intracellular BH3 profiling, we defined the Bcl2 dependency of B
cell subsets from human peripheral blood and tonsillar lymphoid tissue as well as
mitogen-activated B cells. We found that naive and memory B cells were BCL-2
dependent, whereas germinal center B cells were MCL-1-dependent and plasma cells
were BCL-XL-dependent. B cells stimulated to proliferate ex vivo by CpG or
CD40L/IL-4 became more dependent on MCL-1 and BCL-XL As B cell lymphomas often
rely on survival mechanisms derived from normal and activated B cells, these
findings offer new insight into potential therapeutic strategies for lymphomas.
PMID- 29358278
TI - Human and Rhesus Macaque KIR Haplotypes Defined by Their Transcriptomes.
AB - The killer-cell Ig-like receptors (KIRs) play a central role in the immune
recognition in infection, pregnancy, and transplantation through their
interactions with MHC class I molecules. KIR genes display abundant copy number
variation as well as high levels of polymorphism. As a result, it is challenging
to characterize this structurally dynamic region. KIR haplotypes have been
analyzed in different species using conventional characterization methods, such
as Sanger sequencing and Roche/454 pyrosequencing. However, these methods are
time-consuming and often failed to define complete haplotypes, or do not reach
allele-level resolution. In addition, most analyses were performed on genomic
DNA, and thus were lacking substantial information about transcription and its
corresponding modifications. In this paper, we present a single-molecule real
time sequencing approach, using Pacific Biosciences Sequel platform to
characterize the KIR transcriptomes in human and rhesus macaque (Macaca mulatta)
families. This high-resolution approach allowed the identification of novel Mamu
KIR alleles, the extension of reported allele sequences, and the determination of
human and macaque KIR haplotypes. In addition, multiple recombinant KIR genes
were discovered, all located on contracted haplotypes, which were likely the
result of chromosomal rearrangements. The relatively high number of contracted
haplotypes discovered might be indicative of selection on small KIR repertoires
and/or novel fusion gene products. This next-generation method provides an
improved high-resolution characterization of the KIR cluster in humans and
macaques, which eventually may aid in a better understanding and interpretation
of KIR allele-associated diseases, as well as the immune response in
transplantation and reproduction.
PMID- 29358279
TI - Copper Regulates the Canonical NLRP3 Inflammasome.
AB - Inflammasomes are multimeric protein complexes that are activated through a NOD
like receptor and regulate the proteolytic activation of caspase-1 and cytokines,
like IL-1beta. The NLRP3 inflammasome is implicated in many human pathologies
including infections, autoinflammatory syndromes, chronic inflammation, and
metabolic diseases; however, the molecular mechanisms of activation are not fully
understood. In this study we show that NLRP3 inflammasome activation requires
intracellular copper. A clinically approved copper chelator, tetrathiomolybdate,
inhibited the canonical NLRP3 but not the AIM2, NLRC4, and NLRP1 inflammasomes or
NF-kappaB-dependent priming. We demonstrate that NLRP3 inflammasome activation is
blocked by removing copper from the active site of superoxide dismutase 1,
recapitulating impaired inflammasome function in superoxide dismutase 1-deficient
mice. This regulation is specific to macrophages, but not monocytes, both in mice
and humans. In vivo, depletion of bioavailable copper resulted in attenuated
caspase-1-dependent inflammation and reduced susceptibility to LPS-induced
endotoxic shock. Our results indicate that targeting the intracellular copper
homeostasis has potential for the treatment of NLRP3-dependent diseases.
PMID- 29358281
TI - Visualising the interaction of CD4 T cells and DCs in the evolution of
inflammatory arthritis.
AB - OBJECTIVES: Successful early intervention in rheumatoid arthritis (RA) with the
aim of resetting immunological tolerance requires a clearer understanding of how
specificity, cellular kinetics and spatial behaviour shape the evolution of
articular T cell responses. We aimed to define initial seeding of articular CD4+
T cell responses in early experimental arthritis, evaluating their dynamic
behaviour and interactions with dendritic cells (DCs) in the inflamed articular
environment. METHODS: Antigen-induced arthritis was used to model articular
inflammation. Flow cytometry and PCR of T cell receptor (TCR) diversity genes
allowed phenotypic analysis of infiltrating T cells. The dynamic interactions of
T cells with joint residing DCs were visualised using intravital multiphoton
microscopy. RESULTS: Initial recruitment of antigen-specific T cells into the
joint was paralleled by accumulation of CD4+ T cells with diverse antigen
receptor expression and ability to produce tumour necrosis factor alpha
(TNFalpha) and interferon gamma (IFNgamma) on mitogenic restimulation. A
proportion of this infiltrate demonstrated slower motility speeds and engaged for
longer periods with articular DCs in vivo. Abatacept treatment did not disrupt
these interactions but did reduce T cell expression of inducible costimulatory
(ICOS) molecule. We also demonstrated that non-specific CD4+ T cells could be
recruited during these early articular events. CONCLUSIONS: We demonstrate that
CD4+ T cells engage with articular DCs supporting antigen specific T cell
reactivation. This cellular dialogue can be targeted therapeutically to reduce
local T cell activation.
PMID- 29358282
TI - Response to: 'Smoking paradox in the development of psoriatic arthritis among
patients with psoriasis' by Lee and Song.
PMID- 29358280
TI - Cytokine- and TCR-Mediated Regulation of T Cell Expression of Ly6C and Sca-1.
AB - Ly6C and Sca-1 (Ly6A/E) are Ly6 family GPI-anchored surface molecules that are
differentially expressed by multiple immune populations. Ly6C expression has been
used to distinguish short-lived effector CD4+ T cells from memory precursor
effector cells, whereas Sca-1 has been used in the identification of CD8+ memory
stem cells. This study examines the expression patterns of these molecules and
establishes that, in vitro, IL-27, type I IFN, and IFN-gamma are potent inducers
of Ly6C and Sca-1 in naive mouse CD4+ and CD8+ T cells, whereas TGF-beta limits
their expression. The induction of Ly6C and Sca-1 by IL-27 and IFN-gamma is
dependent on STAT1, but not STAT3 or T-bet. In mouse splenocytes, at homeostasis,
Ly6C and Sca-1 expression was not restricted to effector cells, but was also
found at various levels on naive and memory populations. However, in response to
infection with Toxoplasma gondii, pathogen-specific T cells expressed high levels
of these molecules and in this context, endogenous IL-27 and IFN-gamma were
required for the expression of Ly6C but not Sca-1. Together, these findings
highlight the TCR-dependent and cytokine-mediated signals that modulate T cell
expression of Ly6C and Sca-1 in vitro and in vivo during infection.
PMID- 29358283
TI - Response to: 'Statins in systemic lupus erythematosus' by Abud-Mendoza.
PMID- 29358284
TI - Response to: 'Depression and anxiety associate with less remission after 1 year
in rheumatoid arthritis' by Boer et al.
PMID- 29358285
TI - EULAR recommendations for the use of imaging in large vessel vasculitis in
clinical practice.
AB - To develop evidence-based recommendations for the use of imaging modalities in
primary large vessel vasculitis (LVV) including giant cell arteritis (GCA) and
Takayasu arteritis (TAK). European League Against Rheumatism (EULAR) standardised
operating procedures were followed. A systematic literature review was conducted
to retrieve data on the role of imaging modalities including ultrasound, MRI, CT
and [18F]-fluorodeoxyglucose positron emission tomography (PET) in LVV. Based on
evidence and expert opinion, the task force consisting of 20 physicians,
healthcare professionals and patients from 10 EULAR countries developed
recommendations, with consensus obtained through voting. The final level of
agreement was voted anonymously. A total of 12 recommendations have been
formulated. The task force recommends an early imaging test in patients with
suspected LVV, with ultrasound and MRI being the first choices in GCA and TAK,
respectively. CT or PET may be used alternatively. In case the diagnosis is still
in question after clinical examination and imaging, additional investigations
including temporal artery biopsy and/or additional imaging are required. In
patients with a suspected flare, imaging might help to better assess disease
activity. The frequency and choice of imaging modalities for long-term monitoring
of structural damage remains an individual decision; close monitoring for aortic
aneurysms should be conducted in patients at risk for this complication. All
imaging should be performed by a trained specialist using appropriate operational
procedures and settings. These are the first EULAR recommendations providing up
to-date guidance for the role of imaging in the diagnosis and monitoring of
patients with (suspected) LVV.
PMID- 29358287
TI - Using Yeast Synthetic Lethality To Inform Drug Combination for Malaria.
AB - Combinatorial chemotherapy is necessary for the treatment of malaria. However,
finding a suitable partner drug for a new candidate is challenging. Here we
develop an algorithm that identifies all of the gene pairs of Plasmodium
falciparum that possess orthologues in yeast that have a synthetic lethal
interaction but are absent in humans. This suggests new options for drug
combinations, particularly for inhibitors of targets such as P. falciparum
calcineurin, cation ATPase 4, or phosphatidylinositol 4-kinase.
PMID- 29358286
TI - Aberrant tRNA processing causes an autoinflammatory syndrome responsive to TNF
inhibitors.
AB - OBJECTIVES: To characterise the clinical features, immune manifestations and
molecular mechanisms in a recently described autoinflammatory disease caused by
mutations in TRNT1, a tRNA processing enzyme, and to explore the use of cytokine
inhibitors in suppressing the inflammatory phenotype. METHODS: We studied nine
patients with biallelic mutations in TRNT1 and the syndrome of congenital
sideroblastic anaemia with immunodeficiency, fevers and developmental delay
(SIFD). Genetic studies included whole exome sequencing (WES) and candidate gene
screening. Patients' primary cells were used for deep RNA and tRNA sequencing,
cytokine profiling, immunophenotyping, immunoblotting and electron microscopy
(EM). RESULTS: We identified eight mutations in these nine patients, three of
which have not been previously associated with SIFD. Three patients died in early
childhood. Inflammatory cytokines, mainly interleukin (IL)-6, interferon gamma
(IFN-gamma) and IFN-induced cytokines were elevated in the serum, whereas tumour
necrosis factor (TNF) and IL-1beta were present in tissue biopsies of patients
with active inflammatory disease. Deep tRNA sequencing of patients' fibroblasts
showed significant deficiency of mature cytosolic tRNAs. EM of bone marrow and
skin biopsy samples revealed striking abnormalities across all cell types and a
mix of necrotic and normal-appearing cells. By immunoprecipitation, we found
evidence for dysregulation in protein clearance pathways. In 4/4 patients,
treatment with a TNF inhibitor suppressed inflammation, reduced the need for
blood transfusions and improved growth. CONCLUSIONS: Mutations of TRNT1 lead to a
severe and often fatal syndrome, linking protein homeostasis and
autoinflammation. Molecular diagnosis in early life will be crucial for
initiating anti-TNF therapy, which might prevent some of the severe disease
consequences.
PMID- 29358288
TI - FKS2 and FKS3 Genes of Opportunistic Human Pathogen Candida albicans Influence
Echinocandin Susceptibility.
AB - Candida albicans, a prevailing opportunistic fungal pathogen of humans, has a
diploid genome containing three homologous FKS genes that are evolutionarily
conserved. One of these, the essential gene FKS1, encodes the catalytic subunit
of glucan synthase, which is the target of echinocandin drugs and also serves as
a site of drug resistance. The other two glucan synthase-encoding genes, FKS2 and
FKS3, are also expressed, but their roles in resistance are considered
unimportant. However, we report here that expression of FKS1 is upregulated in
strains lacking either FKS2 or FKS3 Furthermore, in contrast to what is observed
in heterozygous FKS1 deletion strains, cells lacking FKS2 or FKS3 contain
increased amounts of cell wall glucan, are more resistant to echinocandin drugs,
and consistently are tolerant to cell wall-damaging agents. Our data indicate
that C. albicansFKS2 and FKS3 can act as negative regulators of FKS1, thereby
influencing echinocandin susceptibility.
PMID- 29358289
TI - Tn6450, a Novel Multidrug Resistance Transposon Characterized in a Proteus
mirabilis Isolate from Chicken in China.
AB - A novel 65.8-kb multidrug resistance transposon, designated Tn6450, was
characterized in a Proteus mirabilis isolate from chicken in China. Tn6450
contains 18 different antimicrobial resistance genes, including cephalosporinase
gene blaDHA-1 and fluoroquinolone resistance genes qnrA1 and aac(6')-Ib-cr It
carries a class 1/2 hybrid integron composed of intI2 and a 3' conserved segment
of the class 1 integron. Tn6450 is derived from Tn7 via acquisition of new mobile
elements and resistance genes.
PMID- 29358290
TI - Evaluating the Relationship between Vancomycin Trough Concentration and 24-Hour
Area under the Concentration-Time Curve in Neonates.
AB - Bacterial sepsis is a major cause of morbidity and mortality in neonates,
especially those involving methicillin-resistant Staphylococcus aureus (MRSA).
Guidelines by the Infectious Diseases Society of America recommend the vancomycin
24-h area under the concentration-time curve to MIC ratio (AUC24/MIC) of >400 as
the best predictor of successful treatment against MRSA infections when the MIC
is <=1 mg/liter. The relationship between steady-state vancomycin trough
concentrations and AUC24 values (mg.h/liter) has not been studied in an Asian
neonatal population. We conducted a retrospective chart review in Singapore
hospitals and collected patient characteristics and therapeutic drug monitoring
data from neonates on vancomycin therapy over a 5-year period. A one-compartment
population pharmacokinetic model was built from the collected data, internally
validated, and then used to assess the relationship between steady-state trough
concentrations and AUC24 A Monte Carlo simulation sensitivity analysis was also
conducted. A total of 76 neonates with 429 vancomycin concentrations were
included for analysis. Median (interquartile range) was 30 weeks (28 to 36 weeks)
for postmenstrual age (PMA) and 1,043 g (811 to 1,919 g) for weight at the
initiation of treatment. Vancomycin clearance was predicted by weight, PMA, and
serum creatinine. For MRSA isolates with a vancomycin MIC of <=1, our major
finding was that the minimum steady-state trough concentration range predictive
of achieving an AUC24/MIC of >400 was 8 to 8.9 mg/liter. Steady-state troughs
within 15 to 20 mg/liter are unlikely to be necessary to achieve an AUC24/MIC of
>400, whereas troughs within 10 to 14.9 mg/liter may be more appropriate.
PMID- 29358291
TI - Population Pharmacokinetics of High-Dose Tigecycline in Patients with Sepsis or
Septic Shock.
AB - Tigecycline is a glycylcycline often used in critically ill patients as the
antibiotic of last resort. The pharmacokinetics (PK) of tigecycline in intensive
care unit (ICU) patients can be affected by severe pathophysiological changes so
that standard dosing might not be adequate. The aim of this study was to describe
population PK of high-dose tigecycline in patients with sepsis or septic shock
and evaluate the relationship between individual PK parameters and patient
covariates. The study population consisted of 37 adult ICU patients receiving a
200-mg loading dose of tigecycline followed by multiple doses of 100 mg every 12
h. Blood samples were collected at 0.5, 2, 4, 8, and 12 h after dose
administration. A two-compartment model with interindividual (IIV) and
interoccasion (IOV) variability in PK parameters was used to describe the
concentration-time course of tigecycline. The estimated values of mean population
PK parameters were 22.1 liters/h and 69.4 liters/h for elimination and
intercompartmental clearance, respectively, and 162 liters and 87.9 liters for
volume of the central and peripheral compartment, respectively. The IIV and IOV
in clearance were less than 20%. The estimated values of distribution volumes
were different from previously published values, which might be due to
pathophysiological changes in ICU patients. No systematic relationship between
individual PK parameters and patient covariates was found. The developed model
does not show evidence that individual tigecycline dosing adjustment based on
patient covariates is necessary to obtain the same target concentration in
patients with sepsis or septic shock. Dosing adjustments should be based on the
pathogens, their susceptibility, and PK targets.
PMID- 29358292
TI - Single Intravenous Dose of Oritavancin for Treatment of Acute Skin and Skin
Structure Infections Caused by Gram-Positive Bacteria: Summary of Safety Analysis
from the Phase 3 SOLO Studies.
AB - Oritavancin is a lipoglycopeptide with bactericidal activity against Gram
positive organisms. Its rapid concentration-dependent bactericidal activity and
long elimination half-life allow single-dose treatment of acute bacterial skin
and skin structure infections (ABSSSI). SOLO I and SOLO II were randomized,
double-blind studies evaluating the efficacy and safety of a single 1,200-mg
intravenous (i.v.) dose of oritavancin versus twice-daily i.v. vancomycin for 7
to 10 days in ABSSSI patients. Safety data from both studies were pooled for
safety analysis. The database comprised pooled safety data for 976 oritavancin
treated patients and 983 vancomycin-treated patients. The incidences of adverse
events, serious adverse events, and discontinuations due to adverse events were
similar for oritavancin (55.3, 5.8, and 3.7%, respectively) and vancomycin (56.9,
5.9, and 4.2%, respectively). The median time to onset (3.8 days versus 3.1 days,
respectively) and the duration (3.0 days for both groups) of adverse events were
also similar between the two groups. The most frequently reported events were
nausea, headache, and vomiting. Greater than 90% of all events were mild or
moderate in severity. There were slightly more infections and infestations,
abscesses or cellulitis, and hepatic and cardiac adverse events in the
oritavancin group; however, more than 80% of these events were mild or moderate.
Subgroup analyses did not identify clinically meaningful differences in the
incidence of adverse events attributed to oritavancin. A single 1,200-mg dose of
oritavancin was well tolerated and had a safety profile similar to that of twice
daily vancomycin. The long elimination half-life of oritavancin compared to that
of vancomycin did not result in a clinically meaningful delay to the onset or
prolongation of adverse events. (This study has been registered at
ClinicalTrials.gov under registration no. NCT01252719 and NCT01252732.).
PMID- 29358293
TI - Amikacin Pharmacokinetic-Pharmacodynamic Analysis in Pediatric Cancer Patients.
AB - We performed pharmacokinetic-pharmacodynamic (PK-PD) and simulation analyses to
evaluate a standard amikacin dose of 15 mg/kg once daily in children with cancer
and to determine an optimal dosing strategy. A population pharmacokinetic model
was developed from clinical data collected in 34 pediatric patients and used in a
simulation study to predict the population probability of various dosing regimens
to achieve accepted safety (steady-state unbound trough plasma concentration
[fCmin] of <10 mg/liter)- and efficacy (free, unbound plasma concentration-to-MIC
ratio [fCmax/MIC] of >=8)-linked targets. In addition, an adaptive resistance PD
(ARPD) model of Pseudomonas aeruginosa was built based on literature time-kill
curve data and linked to the PK model to perform PK-ARPD simulations and compare
results with those of the probability approach. Using the probability approach,
an amikacin dose of 60 mg/kg administered once daily is expected to achieve the
target fCmax/MIC in 80% of pediatric patients weighing 8 to 70 kg with a 97.5%
probability, and almost all patients were predicted to have fCmin of <10
mg/liter. However, PK-ARPD simulation predicted that 60 mg/kg/day is unlikely to
suppress bacterial resistance with repeated dosing. Furthermore, PK-ARPD
simulation suggested that amikacin at 90 mg/kg, given in two divided doses (45
mg/kg twice a day), is expected to hit safety and efficacy targets and is
associated with a lower rate of bacterial resistance. The disagreement between
the two methods is due to the inability of the probability approach to predict
development of drug resistance with repeated dosing. This originates from the use
of PK-PD indices based on the MIC that neglects measurement errors, ignores the
time course dynamic nature of bacterial growth and killing, and incorrectly
assumes the MIC to be constant during treatment.
PMID- 29358294
TI - Quantitative Analysis of Gentamicin Exposure in Neonates and Infants Calls into
Question Its Current Dosing Recommendations.
AB - Optimal dosing of gentamicin in neonates is still a matter of debate despite its
common use. We identified gentamicin dosing regimens from eight international
guidelines and seven Swiss neonatal intensive care units. The dose per
administration, the dosing interval, the total daily dose, and the demographic
characteristics between guidelines were compared. There was considerable
variability with respect to dose (4 to 6 mg/kg), dosing interval (24 h to 48 h),
total daily dose (2.5 to 6 mg/kg/day), and patient demographic characteristics
that were used to calculate individualized dosing regimens. A model-based
simulation study in 1071 neonates was performed to determine the achievement of
efficacious peak gentamicin concentrations according to predefined MICs (Cmax/MIC
>= 10) and safe trough concentrations (Cmin <= 2 mg/liter) with recommended
dosing regimens. MIC targets of 0.5 and 1 mg/liter were used. Dosing optimization
was performed giving priority to the first day of treatment and with the goal of
simplifying dosing. Current gentamicin neonatal guidelines allow to achieve
effective peak concentrations for MICs <= 0.5 mg/liter but not higher. Model
based simulations indicate that to attain peak gentamicin concentrations of >=10
mg/liter, a dose of 7.5 mg/kg should be administered using an extended dosing
interval regimen. Trough concentrations of <=2 mg/liter can be maintained with a
dosing interval of 36 to 48 h in neonates according to gestational and postnatal
age. For treatment beyond 3 days, therapeutic drug monitoring is advised to
maintain adequate serum concentrations.
PMID- 29358295
TI - Orientia tsutsugamushi Is Highly Susceptible to the RNA Polymerase Switch Region
Inhibitor Corallopyronin A In Vitro and In Vivo.
AB - Scrub typhus is a potentially lethal infection caused by the obligate
intracellular bacterium Orientia tsutsugamushi Reports on the emergence of
doxycycline-resistant strains highlight the urgent need to develop novel
antiinfectives against scrub typhus. Corallopyronin A (CorA) is a novel alpha
pyrone compound synthesized by the myxobacterium Corallococcus coralloides that
was characterized as a noncompetitive inhibitor of the switch region of the
bacterial RNA polymerase (RNAP). We investigated the antimicrobial action of CorA
against the human-pathogenic Karp strain of O. tsutsugamushiin vitro and in vivo
The MIC of CorA against O. tsutsugamushi was remarkably low (0.0078 MUg/ml), 16
fold lower than that against Rickettsia typhi In the lethal intraperitoneal O.
tsutsugamushi mouse infection model, a minimum daily dose of 100 MUg CorA
protected 100% of infected mice. Two days of treatment were sufficient to confer
protection. In contrast to BALB/c mice, SCID mice succumbed to the infection
despite treatment with CorA or tetracycline, suggesting that antimicrobial
treatment required synergistic action of the adaptive immune response. Similar to
tetracycline, CorA did not prevent latent infection of O. tsutsugamushiin vivo
However, latency was not caused by acquisition of antimicrobial resistance, since
O. tsutsugamushi reisolated from latently infected BALB/c mice remained fully
susceptible to CorA. No mutations were found in the CorA-binding regions of the
beta and beta' RNAP subunit genes rpoB and rpoC Inhibition of the RNAP switch
region of O. tsutsugamushi by CorA is therefore a novel and highly potent target
for antimicrobial therapy for scrub typhus.
PMID- 29358296
TI - Population Pharmacokinetic Model-Based Evaluation of Standard Dosing Regimens for
Cefuroxime Used in Coronary Artery Bypass Graft Surgery with Cardiopulmonary
Bypass.
AB - The purpose of this study was to investigate the population pharmacokinetics (PK)
of cefuroxime in patients undergoing coronary artery bypass graft (CABG) surgery.
In this observational pharmacokinetic study, multiple blood samples were
collected over a 48-h interval of intravenous cefuroxime administration. The
samples were analyzed by using a validated high-performance liquid chromatography
(HPLC) method. Population pharmacokinetic models were developed using Monolix
(version 4.4) software. Pharmacokinetic-pharmacodynamic (PD) simulations were
performed to explore the ability of different dosage regimens to achieve the
pharmacodynamic targets. A total of 468 blood samples from 78 patients were
analyzed. The PK for cefuroxime were best described by a two-compartment model
with between-subject variability on clearance, the volume of distribution of the
central compartment, and the volume of distribution of the peripheral
compartment. The clearance of cefuroxime was related to creatinine clearance
(CLCR). Dosing simulations showed that standard dosing regimens of 1.5 g could
achieve the PK-PD target of the percentage of the time that the free
concentration is maintained above the MIC during a dosing interval (fTMIC) of 65%
for an MIC of 8 mg/liter in patients with a CLCR of 30, 60, or 90 ml/min, whereas
this dosing regimen failed to achieve the PK-PD target in patients with a CLCR of
>=125 ml/min. In conclusion, administration of standard doses of 1.5 g three
times daily provided adequate antibiotic prophylaxis in patients undergoing CABG
surgery. Lower doses failed to achieve the PK-PD target. Patients with high CLCR
values required either higher doses or shorter intervals of cefuroxime dosing. On
the other hand, lower doses (1 g three times daily) produced adequate target
attainment for patients with low CLCR values (<=30 ml/min).
PMID- 29358297
TI - Cellular Pharmacokinetics and Intracellular Activity of Gepotidacin against
Staphylococcus aureus Isolates with Different Resistance Phenotypes in Models of
Cultured Phagocytic Cells.
AB - Gepotidacin (GSK2140944), a novel triazaacenaphthylene bacterial topoisomerase
inhibitor, is currently in clinical development for the treatment of bacterial
infections. This study examined in vitro its activity against intracellular
Staphylococcus aureus (involved in the persistent character of skin and skin
structure infections) by use of a pharmacodynamic model and in relation to
cellular pharmacokinetics in phagocytic cells. Compared to oxacillin, vancomycin,
linezolid, daptomycin, azithromycin, and moxifloxacin, gepotidacin was (i) more
potent intracellularly (the apparent bacteriostatic concentration [Cs ] was
reached at an extracellular concentration about 0.7* its MIC and was not affected
by mechanisms of resistance to the comparators) and (ii) caused a maximal
reduction of the intracellular burden (maximum effect) of about -1.6 log10 CFU
(which was better than that caused by linezolid, macrolides, and daptomycin and
similar to that caused by moxifloxacin). After 24 h of incubation of infected
cells with antibiotics at 100* their MIC, the intracellular persisting fraction
was <0.1% with moxifloxacin, 0.5% with gepotidacin, and >1% with the other drugs.
The accumulation and efflux of gepotidacin in phagocytes were very fast (kin and
kout, ~0.3 min-1; the plateau was reached within 15 min) but modest
(intracellular concentration-to-extracellular concentration ratio, ~1.6). In cell
fractionation studies, about 40 to 60% of the drug was recovered in the soluble
fraction and ~40% was associated with lysosomes in uninfected cells. In infected
cells, about 20% of cell-associated gepotidacin was recovered in a sedimentable
fraction that also contained bacteria. This study highlights the potential for
further study of gepotidacin to fight infections where intracellular niches may
play a determining role in bacterial persistence and relapses.
PMID- 29358298
TI - Unraveling the Metabolic Routes of Retapamulin: Insights into Drug Development of
Pleuromutilins.
AB - Retapamulin, a semisynthetic pleuromutilin derivative, is exclusively used for
the topical short-term medication of impetigo and staphylococcal infections. In
the present study, we report that retapamulin is adequately and rapidly
metabolized in vitro via various metabolic pathways, such as hydroxylation,
including mono-, di-, and trihydroxylation, and demethylation. Like tiamulin and
valnemulin, the major metabolic routes of retapamulin were hydroxylation at the
2beta and 8alpha positions of the mutilin moiety. Moreover, in vivo metabolism
concurred with the results of the in vitro assays. Additionally, we observed
significant interspecies differences in the metabolism of retapamulin. Until now,
modifying the side chain was the mainstream method for new drug discovery of the
pleuromutilins. This approach, however, could not resolve the low bioavailability
and short efficacy of the drugs. Considering the rapid metabolism of the
pleuromutilins mediated by cytochrome P450 enzymes, we propose that blocking the
active metabolic site (C-2 and C-8 motif) or administering the drug in
combination with cytochrome P450 enzyme inhibitors is a promising pathway in the
development of novel pleuromutilin drugs with slow metabolism and long efficacy.
PMID- 29358300
TI - January 22nd Question.
PMID- 29358301
TI - Money to transform NHS services is diverted to reducing deficits, watchdog finds.
PMID- 29358299
TI - Shaping Substrate Selectivity in a Broad-Spectrum Metallo-beta-Lactamase.
AB - Metallo-beta-lactamases (MBLs) are the major group of carbapenemases produced by
bacterial pathogens. The design of MBL inhibitors has been limited by, among
other issues, incomplete knowledge about how these enzymes modulate substrate
recognition. While most MBLs are broad-spectrum enzymes, B2 MBLs are exclusive
carbapenemases. This narrower substrate profile has been attributed to a sequence
insertion present in B2 enzymes that limits accessibility to the active site. In
this work, we evaluate the role of sequence insertions naturally occurring in the
B2 enzyme Sfh-I and in the broad-spectrum B1 enzyme SPM-1. We engineered a
chimeric protein in which the sequence insertion of SPM-1 was replaced by the one
present in Sfh-I. The chimeric variant is a selective cephalosporinase, revealing
that the substrate profile of MBLs can be further tuned depending on the protein
context. These results also show that the stable scaffold of MBLs allows a
modular engineering much richer than the one observed in nature.
PMID- 29358302
TI - Public will have to pay L220bn for PFI until 2040, NAO calculates.
PMID- 29358303
TI - GPs in Scotland vote to accept new contract.
PMID- 29358304
TI - The AMH genotype (rs10407022 T>G) is associated with circulating AMH levels in
boys, but not in girls.
AB - OBJECTIVE: Fetal anti-Mullerian hormone (AMH) is responsible for normal male
sexual differentiation, and circulating AMH is used as a marker of testicular
tissue in newborns with disorders of sex development. Little is known about the
mechanism of action in postnatal life. A recent genome wide association study
(GWAS) reported genetic variation of AMH affecting AMH levels in young men. This
study investigated the effect of genetic variation of AMH and AMH type II
receptor (AMHR2) (AMHrs10407022 T>G and AMHR2rs11170547 C>T) on circulating
reproductive hormone levels and pubertal onset in boys and girls. DESIGN AND
METHODS: This study is a combined longitudinal and cross-sectional study in
healthy Danish boys and girls from the general population. We included 658 boys
aged 5.8-19.8 years and 320 girls aged 5.6-16.5 years. The main outcome measures
were genotyping of AMH and AMHR2, pubertal staging and serum levels of
reproductive hormones. RESULTS: AMHrs10407022T>G was associated with higher serum
levels of AMH in prepubertal boys (TT: 575 pmol/L vs TG: 633 pmol/L vs GG: 837
pmol/L, P = 0.002) and adolescents (TT: 44 pmol/L vs TG: 58 pmol/L vs GG: 79
pmol/L, P < 0.001). Adolescent boys carrying the genetic variation also had lower
levels of LH (TT: 3.0 IU/L vs TG: 2.8 IU/L vs GG: 1.8 IU/L, P = 0.012). Hormone
levels in girls and pubertal onset in either sex did not seem to be profoundly
affected by the genotypes. CONCLUSION: Our findings support recent GWAS results
in young adults and expand our understanding of genetic variation affecting AMH
levels even in boys prior to the pubertal decline of circulating AMH.
PMID- 29358305
TI - Cerebral organoids derived from Sandhoff disease-induced pluripotent stem cells
exhibit impaired neurodifferentiation.
AB - Sandhoff disease, one of the GM2 gangliosidoses, is a lysosomal storage disorder
characterized by the absence of beta-hexosaminidase A and B activity and the
concomitant lysosomal accumulation of its substrate, GM2 ganglioside. It features
catastrophic neurodegeneration and death in early childhood. How the lysosomal
accumulation of ganglioside might affect the early development of the nervous
system is not understood. Recently, cerebral organoids derived from induced
pluripotent stem (iPS) cells have illuminated early developmental events altered
by disease processes. To develop an early neurodevelopmental model of Sandhoff
disease, we first generated iPS cells from the fibroblasts of an infantile
Sandhoff disease patient, then corrected one of the mutant HEXB alleles in those
iPS cells using CRISPR/Cas9 genome-editing technology, thereby creating isogenic
controls. Next, we used the parental Sandhoff disease iPS cells and isogenic HEXB
corrected iPS cell clones to generate cerebral organoids that modeled the first
trimester of neurodevelopment. The Sandhoff disease organoids, but not the HEXB
corrected organoids, accumulated GM2 ganglioside and exhibited increased size and
cellular proliferation compared with the HEXB-corrected organoids. Whole
transcriptome analysis demonstrated that development was impaired in the Sandhoff
disease organoids, suggesting that alterations in neuronal differentiation may
occur during early development in the GM2 gangliosidoses.
PMID- 29358306
TI - PARK7 regulates inflammation-induced pro-labour mediators in myometrial and
amnion cells.
AB - Preterm birth is a prevalent cause of neonatal deaths worldwide. Inflammation has
been implicated in spontaneous preterm birth involved in the processes of uterine
contractility and membrane rupture. Parkinson protein 7 (PARK7) has been found to
play an inflammatory role in non-gestational tissues. The aims of this study were
to determine the expression of PARK7 in myometrium and fetal membranes with
respect to term labour onset and to elucidate the effect of PARK7 silencing in
primary myometrium and amnion cells on pro-inflammatory and pro-labour mediators.
PARK7 mRNA expression was higher in term myometrium and fetal membranes from
women in labour compared to non-labouring samples and in amnion from preterm
deliveries with chorioamnionitis. In human primary myometrial cells transfected
with PARK7 siRNA (siPARK7), there was a significant decrease in IL1B, TNF, fsl-1
and poly(I:C)-induced expression of pro-inflammatory cytokine IL6, chemokines
(CXCL8, CCL2), adhesion molecule ICAM1, prostaglandin PGF2alpha and its receptor
PTGFR. Similarly, amnion cells transfected with siPARK7 displayed a decrease in
IL1B-induced expression of IL6, CXCL8 and ICAM1. In myometrial cells transfected
with siPARK7, there was a significant reduction of NF-kappaB RELA transcriptional
activity when stimulated with fsl-1, flagellin and poly(I:C), but not with IL1B
or TNF. Collectively, our novel data describe a role for PARK7 in regulating
inflammation-induced pro-inflammatory and pro-labour mediators in human
myometrial and amnion cells.
PMID- 29358307
TI - miR-422a inhibits osteosarcoma proliferation by targeting BCL2L2 and KRAS.
AB - Osteosarcoma is the most common primary malignant bone tumor in children and
adolescents. However, the underlying mechanism of osteosarcoma carcinogenesis and
progression remains unknown. In the present study, we evaluated the expression
profile of miRNAs in osteosarcoma tissues and the adjacent normal tissues. We
found that the expression of miR-422a was down-regulated in osteosarcoma tissues
and cell lines. In addition, we observed significantly elevated levels of
repressive H3K9me3 and H3K27me3 and decreased active H3K4me3 on the promote
region of miR-422a in osteosarcoma cells and clinical samples. Furthermore, up
regulation of miR-422a exhibited both in vitro and in vivo anti-tumor effects by
inhibiting osteosarcoma cell growth and inducing apoptosis and cell cycle arrest.
We also found that miR-422a targeted BCL2L2 and KRAS and negatively regulated
their protein expression. Furthermore, restoration of miR-422a and knockdown of
BCL2L2 and KRAS promoted apoptosis and induce cell cycle arrest in osteosarcoma
cells. Taken together, the present study demonstrates that miR-422a may serve as
a tumor suppressor in osteosarcoma via inhibiting BCL2L2 and KRAS translation
both in vitro and in vivo Therefore, miR-422a could be developed as a novel
therapeutic target in osteosarcoma.
PMID- 29358308
TI - beta-adrenoceptor activation increased VAMP-2 and syntaxin-4 in secretory
granules are involved in protein secretion of submandibular gland through the
PKA/F-actin pathway.
AB - Autologous submandibular gland transplantation is an effective treatment for
severe dry eye syndrome. However, the protein secretion in transplanted gland is
altered by a mechanism that remains to be elucidated. In the present study, we
found that beta1-adrenoceptor (beta1-AR) and beta2-AR expression and the
phosphorylation of the downstream molecule protein kinase A (PKA) were elevated
in transplanted submandibular glands obtained from epiphora patients.
Synaptobrevin/vesicle-associated membrane protein 2 (VAMP-2) interacted with
syntaxin-4 and actin in human submandibular gland. The contents of syntaxin-4 and
actin interacting with VAMP-2 were increased in transplanted gland. Moreover,
VAMP-2 and syntaxin-4 expression in the secretory granule fraction, and VAMP-2
expression in the membrane protein fraction were increased in isoproterenol
treated and transplanted glands. Isoproterenol increased F-actin polymerization
in the apical and lateral regions of the cytoplasm in both control and
transplanted glands. Inhibiting PKA activity and/or F-actin formation abolished
the isoproterenol-enhanced expression of VAMP-2 and syntaxin-4 in the secretory
granule fraction and the isoproterenol-enhanced expression of VAMP-2 in the
membrane protein fraction. Taken together, these results indicate that the
activation of beta-ARs induces secretory granules and cell membrane fusion via
the interaction of VAMP-2 and syntaxin-4 in a PKA- and F-actin-dependent manner
in human submandibular gland. Up-regulated beta-ARs might participate in altering
protein secretion in transplanted submandibular gland by promoting the
interaction of VAMP-2 with syntaxin-4.
PMID- 29358309
TI - The roles of interleukins in perfusion recovery after peripheral arterial
disease.
AB - In peripheral arterial disease (PAD) patients, occlusions in the major arteries
that supply the leg makes blood flow dependent on the capacity of
neovascularization. There is no current medication that is able to increase
neovascularization to the ischemic limb and directly treat the primary problem of
PAD. An increasing body of evidence supports the notion that inflammation plays
an important role in the vascular remodeling and perfusion recovery after PAD.
Interleukins (ILs), a group of proteins produced during inflammation, have been
considered to be important for angiogenesis and arteriogenesis after tissue
ischemia. This review summarizes the latest clinical and experimental
developments of the role of ILs in blood perfusion recovery after PAD.
PMID- 29358311
TI - beta-Elemene inhibits the proliferation of primary human airway granulation
fibroblasts by down-regulating canonical Wnt/beta-catenin pathway.
AB - Benign airway stenosis is a clinical challenge because of recurrent granulation
tissues. Our previous study proved that a Chinese drug, beta-elemene, could
effectively inhibit the growth of fibroblasts cultured from hyperplastic human
airway granulation tissues, which could slow down the progression of this
disease. The purpose of the present study is to find out the mechanism for this
effect. We cultured fibroblasts from normal human airway tissues and human airway
granulation tissues. These cells were cultured with 160 MUg/ml normal saline
(NS), different doses of beta-elemene, or 10 ng/ml canonical Wnt/beta-catenin
pathway inhibitor (Dickkopf-1, DKK-1). The proliferation rate of cells and the
expression of six molecules involved in canonical Wnt/beta-catenin pathway,
Wnt3a, glycogen synthase kinase-3beta (GSK-3beta), beta-catenin, alpha-smooth
muscle actin (alpha-SMA), transforming growth factor-beta (TGF-beta), and
Collagen I (Col-I), were measured. At last, we used canonical Wnt/beta-catenin
pathway activator (LiCl) to further ascertain the mechanism of beta-elemene.
Canonical Wnt/beta-catenin pathway is activated in human airway granulation
fibroblasts. beta-Elemene didn't affect normal human airway fibroblasts; however,
it had a dose-responsive inhibitive effect on the proliferation and expression of
Wnt3a, non-active GSK-3beta, beta-catenin, alpha-SMA, TGF-beta, and Col-I of
human airway granulation fibroblasts. More importantly, it had the same effect on
the expression and nuclear translocation of active beta-catenin. All these
effects were similar to 10 ng/ml DKK-1 and could be attenuated by 10 mM LiCl.
Thus, beta-elemene inhibits the proliferation of primary human airway granulation
fibroblasts by down-regulating canonical Wnt/beta-catenin pathway. This pathway
is possibly a promising target to treat benign tracheobronchial stenosis.
PMID- 29358312
TI - Government is not doing enough to improve children's health, paediatricians warn.
PMID- 29358310
TI - 6-(7-nitro-2,1,3-benzoxadiazol-4-ylthio) hexanol: a promising new anticancer
compound.
AB - The 7-nitro-2,1,3-nitrobenzoxadiazole (NBD) derivatives are a series of compounds
containing the NBD scaffold that are not glutathione (GSH) peptidomimetics, and
result in a strong inhibition of glutathione S-transferases (GSTs). Growing
evidences highlight their pivotal roles and outstanding anticancer activity in
different tumor models. In particular, 6-(7-nitro-2,1,3-benzoxadiazol-4-ylthio)
hexanol (NBDHEX) is extensively studied, which is a very efficient inhibitor of
GSTP1-1. It triggers apoptosis in several tumor cell lines and this cytotoxic
activity is observed at micro and submicromolar concentrations. Importantly,
studies have shown that NBDHEX acts as an anticancer drug by inhibiting GSTs
catalytic activity, avoiding inconvenience of the inhibitor extrusion from the
cell by specific pumps and disrupting the interaction between the GSTP1-1 and key
signaling effectors. Additionally, some researchers also have discovered that
NBDHEX can act as late-phase autophagy inhibitor, which opens new opportunities
to fully exploit its therapeutic potential. In this review, we summarize the
advantages, anticancer mechanisms, and analogs of this compound, which will
establish the basis on the usage of NBDHEX in clinical applications in future.
PMID- 29358314
TI - Patient experience of general practice and use of emergency hospital services in
England: regression analysis of national cross-sectional time series data.
AB - BACKGROUND: The UK Government has introduced several national policies to improve
access to primary care. We examined associations between patient experience of
general practice and rates of visits to accident and emergency (A&E) departments
and emergency hospital admissions in England. METHODS: The study included 8124
general practices between 2011-2012 and 2013-2014. Outcome measures were annual
rates of A&E visits and emergency admissions by general practice population,
according to administrative hospital records. Explanatory variables included
three patient experience measures from the General Practice Patient Survey:
practice-level means of experience of making an appointment, satisfaction with
opening hours and overall experience (on 0-100 scales). The main analysis used
random-effects Poisson regression for cross-sectional time series. Five
sensitivity analyses examined changes in model specification. RESULTS: Mean
practice-level rates of A&E visits and emergency admissions increased from 2011
2012 to 2013-2014 (310.3-324.4 and 98.8-102.9 per 1000 patients). Each patient
experience measure decreased; for example, mean satisfaction with opening hours
was 79.4 in 2011-2012 and 76.6 in 2013-2014. In the adjusted regression analysis,
an SD increase in experience of making appointments (equal to 9 points) predicted
decreases of 1.8% (95% CI -2.4% to -1.2%) in A&E visit rates and 1.4% (95% CI
1.9% to -0.9%) in admission rates. This equalled 301 174 fewer A&E visits and 74
610 fewer admissions nationally per year. Satisfaction with opening hours and
overall experience were not consistently associated with either outcome measure
across the main and sensitivity analyses. CONCLUSIONS: Associations between
patient experience of general practice and use of emergency hospital services
were small or inconsistent. In England, realistic short-term improvements in
patient experience of general practice may only have modest effects on A&E visits
and emergency admissions.
PMID- 29358313
TI - Symptom-Disease Pair Analysis of Diagnostic Error (SPADE): a conceptual framework
and methodological approach for unearthing misdiagnosis-related harms using big
data.
AB - BACKGROUND: The public health burden associated with diagnostic errors is likely
enormous, with some estimates suggesting millions of individuals are harmed each
year in the USA, and presumably many more worldwide. According to the US National
Academy of Medicine, improving diagnosis in healthcare is now considered 'a
moral, professional, and public health imperative.' Unfortunately, well
established, valid and readily available operational measures of diagnostic
performance and misdiagnosis-related harms are lacking, hampering progress.
Existing methods often rely on judging errors through labour-intensive human
reviews of medical records that are constrained by poor clinical documentation,
low reliability and hindsight bias. METHODS: Key gaps in operational measurement
might be filled via thoughtful statistical analysis of existing large clinical,
billing, administrative claims or similar data sets. In this manuscript, we
describe a method to quantify and monitor diagnostic errors using an approach we
call 'Symptom-Disease Pair Analysis of Diagnostic Error' (SPADE). RESULTS: We
first offer a conceptual framework for establishing valid symptom-disease pairs
illustrated using the well-known diagnostic error dyad of dizziness-stroke. We
then describe analytical methods for both look-back (case-control) and look
forward (cohort) measures of diagnostic error and misdiagnosis-related harms
using 'big data'. After discussing the strengths and limitations of the SPADE
approach by comparing it to other strategies for detecting diagnostic errors, we
identify the sources of validity and reliability that undergird our approach.
CONCLUSION: SPADE-derived metrics could eventually be used for operational
diagnostic performance dashboards and national benchmarking. This approach has
the potential to transform diagnostic quality and safety across a broad range of
clinical problems and settings.
PMID- 29358316
TI - Ras-Mediated Activation of the Raf Family Kinases.
AB - The extracellular signal-regulated kinase (ERK) cascade comprised of the Raf,
MEK, and ERK protein kinases constitutes a key effector cascade used by the Ras
GTPases to relay signals regulating cell growth, survival, proliferation, and
differentiation. Of the ERK cascade components, the regulation of the Raf kinases
is by far the most complex, involving changes in subcellular localization,
protein and lipid interactions, as well as alterations in the Raf phosphorylation
state. The Raf kinases interact directly with active, membrane-localized Ras, and
this interaction is often the first step in the Raf activation process, which
ultimately results in ERK activation and the downstream phosphorylation of
cellular targets that will specify a particular biological response. Here, we
will examine our current understanding of how Ras promotes Raf activation,
focusing on the molecular mechanisms that contribute to the Raf
activation/inactivation cycle.
PMID- 29358315
TI - Effector T Cells in Multiple Sclerosis.
AB - Multiple sclerosis (MS) has long been considered a CD4 T-cell disease, primarily
because of the findings that the strongest genetic risk for MS is the major
histocompatibility complex (MHC) class II locus, and that T cells play a central
role in directing the immune response. The importance that the T helper (Th)1
cytokine, interferon gamma (IFN-gamma), and the Th17 cytokine, interleukin (IL)
17, play in MS pathogenesis is indicated by recent clinical trial data by the
enhanced presence of Th1/Th17 cells in central nervous system (CNS) tissue,
cerebrospinal fluid (CSF), and blood, and by research on animal models of MS,
such as experimental autoimmune encephalomyelitis (EAE). Although the majority of
research on MS pathogenesis has centered on the role of effector CD4 T cells,
accumulating data suggests that CD8 T cells may play a significant role in the
human disease. In fact, in contrast to most animal models, the primary T cell
found in the CNS in patients with MS, is the CD8 T cell. As patient-derived
effector T cells are also resistant to mechanisms of dominant tolerance such as
that induced by interaction with regulatory T cells (Tregs), their reduced
response to regulation may also contribute to the unchecked effector T-cell
activity in patients with MS. These concepts will be discussed below.
PMID- 29358317
TI - Clinical Course of Multiple Sclerosis.
AB - The 1996 originally established multiple sclerosis (MS) subtypes, based solely on
clinical impression and consensus, were revised in 2013 to review potential
imaging and biological correlates and to reflect recently identified clinical
aspects of MS. As a result, potential new disease phenotypes, radiologically
isolated syndrome, and clinically isolated syndrome were considered along with
the addition of two new descriptor subtypes: activity and progression applied to
relapsing remitting and progressive MS phenotypes. In this way, the description
of an individual patient's disease course is refined and provides temporal
information about the ongoing disease process. There is still a lack of imaging
and biological markers that would distinguish MS phenotypes and prognosticate the
disease course on an individual patient's level, creating a pressing need for
large collaborative research efforts in this field.
PMID- 29358318
TI - Regulation of Bone Remodeling by Parathyroid Hormone.
AB - Parathyroid hormone (PTH) exerts profound effects on skeletal homeostasis through
multiple cellular and molecular mechanisms. Continuous hyperparathyroidism causes
net loss of bone mass, despite accelerating bone formation by osteoblasts.
Intermittent treatment with PTH analogs represents the only Food and Drug
Administration (FDA)-approved bone anabolic osteoporosis treatment strategy.
Functional PTH receptors are present on cells of the osteoblast lineage, ranging
from early skeletal stem cells to matrix-embedded osteocytes. In addition, bone
remodeling by osteoclasts liberates latent growth factors present within bone
matrix. Here, we will provide an overview of the multiple cellular and molecular
mechanisms through which PTH influences bone homeostasis. Notably, net skeletal
effects of continuous versus intermittent can differ significantly. Where
possible, we will highlight mechanisms through which continuous
hyperparathyroidism leads to bone loss, and through which intermittent
hyperparathyroidism boosts bone mass. Given the therapeutic usage of intermittent
PTH (iPTH) treatment for osteoporosis, particular attention will be paid toward
mechanisms underlying the bone anabolic effects of once daily PTH administration.
PMID- 29358319
TI - Magnetic Resonance Imaging in Multiple Sclerosis.
AB - Since its technical development in the early 1980s, magnetic resonance imaging
(MRI) has quickly been adopted as an essential tool in supporting the diagnosis,
longitudinal monitoring, evaluation of therapeutic response, and scientific
investigations in multiple sclerosis (MS). The clinical usage of MRI has
increased in parallel with technical innovations in the technique itself; the
widespread adoption of clinically routine MRI at 1.5T has allowed sensitive
qualitative and quantitative assessments of macroscopic central nervous system
(CNS) inflammatory demyelinating lesions and tissue atrophy. However,
conventional MRI lesion measures lack specificity for the underlying MS pathology
and only weakly correlate with clinical status. Higher field strength units and
newer, advanced MRI techniques offer increased sensitivity and specificity in the
detection of disease activity and disease severity. This review summarizes the
current status and future prospects regarding the role of MRI in the
characterization of MS-related brain and spinal cord involvement.
PMID- 29358320
TI - Multiple Sclerosis Pathology.
AB - Multiple sclerosis (MS) is a chronic inflammatory demyelinating disease of the
central nervous system (CNS), which gives rise to focal lesions in the gray and
white matter and to diffuse neurodegeneration in the entire brain. In this
review, the spectrum of MS lesions and their relation to the inflammatory process
is described. Pathology suggests that inflammation drives tissue injury at all
stages of the disease. Focal inflammatory infiltrates in the meninges and the
perivascular spaces appear to produce soluble factors, which induce demyelination
or neurodegeneration either directly or indirectly through microglia activation.
The nature of these soluble factors, which are responsible for demyelinating
activity in sera and cerebrospinal fluid of the patients, is currently undefined.
Demyelination and neurodegeneration is finally accomplished by oxidative injury
and mitochondrial damage leading to a state of "virtual hypoxia."
PMID- 29358321
TI - Regulation of Astrocyte Functions in Multiple Sclerosis.
AB - Astrocytes play complex roles in health and disease. Here, we review recent
findings on molecular pathways that control astrocyte function in multiple
sclerosis (MS) as well as new tools for their investigation. In particular, we
describe positive and negative regulators of astrocyte-mediated pathogenesis in
MS, such as sphingolipid metabolism and aryl hydrocarbon receptor signaling,
respectively. In addition, we also discuss the issue of astrocyte heterogeneity
and its relevance for the contribution of astrocytes to MS pathogenesis. Finally,
we discuss how new genomic tools could transform the study of astrocyte biology
in MS.
PMID- 29358322
TI - B-Cell Therapies in Multiple Sclerosis.
AB - B cells play a vital function in multiple sclerosis (MS) pathogenesis through an
array of effector functions. All currently approved MS disease-modifying
therapies alter the frequency, phenotype, or homing of B cells in one way or
another. The importance of this mechanism of action has been reinforced with the
successful development and clinical testing of B-cell-depleting monoclonal
antibodies that target the CD20 surface antigen. Ocrelizumab, a humanized anti
CD20 monoclonal antibody, was approved by the Food and Drug Administration (FDA)
in March 2017 after pivotal trials showed dramatic reductions in inflammatory
disease activity in relapsing MS as well as lessening of disability progression
in primary progressive MS. These and other clinical studies place B cells at the
center of the inflammatory cascade in MS and provide a launching point for
development of therapies that target selective pathogenic B-cell populations.
PMID- 29358323
TI - Unraveling the molecular mechanism of interactions of the Rho GTPases Cdc42 and
Rac1 with the scaffolding protein IQGAP2.
AB - IQ motif-containing GTPase-activating proteins (IQGAPs) are scaffolding proteins
playing central roles in cell-cell adhesion, polarity, and motility. The Rho
GTPases Cdc42 and Rac1, in their GTP-bound active forms, interact with all three
human IQGAPs. The IQGAP-Cdc42 interaction promotes metastasis by enhancing actin
polymerization. However, despite their high sequence identity, Cdc42 and Rac1
differ in their interactions with IQGAP. Two Cdc42 molecules can bind to the Ex
domain and the RasGAP site of the GTPase-activating protein (GAP)-related domain
(GRD) of IQGAP and promote IQGAP dimerization. Only one Rac1 molecule might bind
to the RasGAP site of GRD and may not facilitate the dimerization, and the exact
mechanism of Cdc42 and Rac1 binding to IQGAP is unclear. Using all-atom molecular
dynamics simulations, site-directed mutagenesis, and Western blotting, we
unraveled the detailed mechanisms of Cdc42 and Rac1 interactions with IQGAP2. We
observed that Cdc42 binding to the Ex-domain of GRD of IQGAP2 (GRD2) releases the
Ex-domain at the C-terminal region of GRD2, facilitating IQGAP2 dimerization.
Cdc42 binding to the Ex-domain promoted allosteric changes in the RasGAP site,
providing a binding site for the second Cdc42 in the RasGAP site. Of note, the
Cdc42 "insert loop" was important for the interaction of the first Cdc42 with the
Ex-domain. By contrast, differences in Rac1 insert-loop sequence and structure
precluded its interaction with the Ex-domain. Rac1 could bind only to the RasGAP
site of apo-GRD2 and could not facilitate IQGAP2 dimerization. Our detailed
mechanistic insights help decipher how Cdc42 can stimulate actin polymerization
in metastasis.
PMID- 29358324
TI - The CD300e molecule in mice is an immune-activating receptor.
AB - CD300 molecules (CD300s) belong to paired activating and inhibitory receptor
families, which mediate immune responses. Human CD300e (hCD300e) is expressed in
monocytes and myeloid dendritic cells and transmits an immune-activating signal
by interacting with DNAX-activating protein 12 (DAP12). However, the CD300e
ortholog in mice (mCD300e) is poorly characterized. Here, we found that mCD300e
is also an immune-activating receptor. We found that mCD300e engagement triggers
cytokine production in mCD300e-transduced bone marrow-derived mast cells (BMMCs).
Loss of DAP12 and another signaling protein, FcRgamma, did not affect surface
expression of transduced mCD300e, but abrogated mCD300e-mediated cytokine
production in the BMMCs. Co-immunoprecipitation experiments revealed that mCD300e
physically interacts with both FcRgamma and DAP12, suggesting that mCD300e
delivers an activating signal via these two proteins. Binding and reporter assays
with the mCD300e extracellular domain identified sphingomyelin as a ligand of
both mCD300e and hCD300e. Notably, the binding of sphingomyelin to mCD300e
stimulated cytokine production in the transduced BMMCs in an FcRgamma- and DAP12
dependent manner. Flow cytometric analysis with an mCD300e-specific Ab disclosed
that mCD300e expression is highly restricted to CD115+Ly-6Clow/int peripheral
blood monocytes, corresponding to CD14dim/+CD16+ human nonclassical and
intermediate monocytes. Loss of FcRgamma or DAP12 lowered the surface expression
of endogenous mCD300e in the CD115+Ly-6Clow/int monocytes. Stimulation with
sphingomyelin failed to activate the CD115+Ly-6Clow/int mouse monocytes, but
induced hCD300e-mediated cytokine production in the CD14dimCD16+ human monocytes.
Taken together, these observations indicate that mCD300e recognizes sphingomyelin
and thereby regulates nonclassical and intermediate monocyte functions through
FcRgamma and DAP12.
PMID- 29358325
TI - Mapping allosteric linkage to channel gating by extracellular domains in the
human epithelial sodium channel.
AB - The epithelial sodium channel (ENaC) mediates sodium absorption in lung, kidney,
and colon epithelia. Channels in the ENaC/degenerin family possess an
extracellular region that senses physicochemical changes in the extracellular
milieu and allosterically regulates the channel opening. Proteolytic cleavage
activates the ENaC opening, by the removal of specific segments in the finger
domains of the alpha- and gamma ENaC-subunits. Cleavage causes perturbations in
the extracellular region that propagate to the channel gate. However, it is not
known how the channel structure mediates the propagation of activation signals
through the extracellular sensing domains. Here, to identify the structure
function determinants that mediate allosteric ENaC activation, we performed MD
simulations, thiol modification of residues substituted by cysteine, and voltage
clamp electrophysiology recordings. Our simulations of an ENaC heterotetramer,
alpha1betaalpha2gamma, in the proteolytically cleaved and uncleaved states
revealed structural pathways in the alpha-subunit that are responsible for ENaC
proteolytic activation. To validate these findings, we performed site-directed
mutagenesis to introduce cysteine substitutions in the extracellular domains of
the alpha-, beta-, and gamma ENaC-subunits. Insertion of a cysteine at the alpha
subunit Glu557 site, predicted to stabilize a closed state of ENaC, inhibited
ENaC basal activity and retarded the kinetics of proteolytic activation by 2
fold. Our results suggest that the lower palm domain of alphaENaC is essential
for ENaC activation. In conclusion, our integrated computational and experimental
approach suggests key structure-function determinants for ENaC proteolytic
activation and points toward a mechanistic model for the allosteric communication
in the extracellular domains of the ENaC/degenerin family channels.
PMID- 29358326
TI - Oxysterol-binding protein-related protein 5 (ORP5) promotes cell proliferation by
activation of mTORC1 signaling.
AB - Oxysterol-binding protein (OSBP) and OSBP-related proteins (ORPs) constitute a
large family of proteins that mainly function in lipid transport and sensing.
ORP5 is an endoplasmic reticulum (ER)-anchored protein implicated in lipid
transfer at the contact sites between the ER and other membranes. Recent studies
indicate that ORP5 is also involved in cancer cell invasion and tumor
progression. However, the molecular mechanism underlying ORP5's involvement in
cancer is unclear. Here, we report that ORP5 promotes cell proliferation and
motility of HeLa cells, an effect that depends on its functional OSBP-related
domain (ORD). We also found that ORP5 depletion or substitutions of key residues
located within ORP5-ORD and responsible for interactions with lipids interfered
with cell proliferation, migration, and invasion. ORP5 interacted with the
protein mechanistic target of rapamycin (mTOR), and this interaction also
required ORP5-ORD. Of note, whereas ORP5 overexpression induced mTOR complex 1
(mTORC1) activity, ORP5 down-regulation had the opposite effect. Finally, ORP5
depleted cells exhibited impaired mTOR localization to lysosomes, which may have
accounted for the blunted mTORC1 activation. Together, our results suggest that
ORP5 expression is positively correlated with mTORC1 signaling and that ORP5
stimulates cell proliferation, at least in part, by activating mTORC1.
PMID- 29358327
TI - Low-level overexpression of p53 promotes warfarin-induced calcification of
porcine aortic valve interstitial cells by activating Slug gene transcription.
AB - The most frequently used oral anti-coagulant warfarin has been implicated in
inducing calcification of aortic valve interstitial cells (AVICs), whereas the
mechanism is not fully understood. The low-level activation of p53 is found to be
involved in osteogenic transdifferentiation and calcification of AVICs. Whether
p53 participates in warfarin-induced AVIC calcification remains unknown. In this
study, we investigated the role of low-level p53 overexpression in warfarin
induced porcine AVIC (pAVIC) calcification. Immunostaining, quantitative PCR, and
Western blotting revealed that p53 was expressed in human and pAVICs and that p53
expression was slightly increased in calcific human aortic valves compared with
non-calcific valves. Terminal deoxynucleotidyltransferase-mediated dUTP nick end
labeling staining indicated that apoptosis slightly increased in calcific aortic
valves than in non-calcific valves. Warfarin treatment led to a low-level
increase of p53 mRNA and protein in both pAVICs and mouse aortic valves. Low
level overexpression of p53 in pAVICs via an adenovirus vector did not affect
pAVIC apoptosis but promoted warfarin-induced calcium deposition and expression
of osteogenic markers. shRNA-mediated p53 knockdown attenuated the pAVIC calcium
deposition and osteogenic marker expression. Moreover, ChIP and luciferase assays
showed that p53 was recruited to the slug promoter and activated slug expression
in calcific pAVICs. Of note, overexpression of Slug increased osteogenic marker
Runx2 expression, but not pAVIC calcium deposition, and Slug knockdown attenuated
pAVIC calcification and p53-mediated pAVIC calcium deposition and expression of
osteogenic markers. In conclusion, we found that p53 plays an important role in
warfarin induced pAVIC calcification, and increased slug transcription by p53 is
required for p53-mediated pAVIC calcification.
PMID- 29358328
TI - A human xenobiotic nuclear receptor contributes to nonresponsiveness of
Mycobacterium tuberculosis to the antituberculosis drug rifampicin.
AB - Mycobacterium tuberculosis is the causative agent of tuberculosis (TB). It
acquires phenotypic drug resistance inside macrophages, and this resistance
mainly arises from host-induced stress. However, whether cellular drug-efflux
mechanisms in macrophages contribute to nonresponsiveness of M. tuberculosis to
anti-TB drugs is unclear. Here, we report that xenobiotic nuclear receptors
mediate TB drug nonresponsiveness by modulating drug-efflux transporters in
macrophages. This was evident from expression analysis of drug-efflux
transporters in macrophages isolated from TB patients. Among patients harboring
rifampicin-susceptible M. tuberculosis, we observed increased intracellular
survival of M. tuberculosis upon rifampicin treatment of macrophages isolated
from patients not responding to anti-TB drugs compared with macrophages from
patients who did respond. Of note, M. tuberculosis infection and rifampicin
exposure synergistically modulated macrophage drug-efflux transporters in vitro
We also found that the xenobiotic nuclear receptor pregnane X receptor (PXR)
modulates macrophage drug-efflux transporter expression and activity, which
compromised the anti-TB efficacy of rifampicin. We further validated this finding
in a TB mouse model in which use of the PXR antagonist ketoconazole rescued
rifampicin anti-TB activity. We conclude that PXR activation in macrophages
compromises the efficacy of the anti-TB drug rifampicin. Alternative therapeutic
strategies, such as use of the rifampicin derivatives rifapentine and rifabutin,
which do not activate PXR, or of a PXR antagonist, may be effective for tackling
drug nonresponsiveness of M. tuberculosis that arises from drug-efflux systems of
the host.
PMID- 29358329
TI - Profilin reduces aggregation and phase separation of huntingtin N-terminal
fragments by preferentially binding to soluble monomers and oligomers.
AB - Huntingtin N-terminal fragments (Htt-NTFs) with expanded polyglutamine tracts
form a range of neurotoxic aggregates that are associated with Huntington's
disease. Here, we show that aggregation of Htt-NTFs, irrespective of
polyglutamine length, yields at least three phases (designated M, S, and F) that
are delineated by sharp concentration thresholds and distinct aggregate sizes and
morphologies. We found that monomers and oligomers make up the soluble M phase,
~25-nm spheres dominate in the soluble S phase, and long, linear fibrils make up
the insoluble F phase. Previous studies showed that profilin, an abundant
cellular protein, reduces Htt-NTF aggregation and toxicity in cells. We confirm
that profilin achieves its cellular effects through direct binding to the C
terminal proline-rich region of Htt-NTFs. We show that profilin preferentially
binds to Htt-NTF M-phase species and destabilizes aggregation and phase
separation by shifting the concentration boundaries for phase separation to
higher values through a process known as polyphasic linkage. Our experiments,
aided by coarse-grained computer simulations and theoretical analysis, suggest
that preferential binding of profilin to the M-phase species of Htt-NTFs is
enhanced through a combination of specific interactions between profilin and
polyproline segments and auxiliary interactions between profilin and
polyglutamine tracts. Polyphasic linkage may be a general strategy that cells
utilize to regulate phase behavior of aggregation-prone proteins. Accordingly,
detailed knowledge of phase behavior and an understanding of how ligands modulate
phase boundaries may pave the way for developing new therapeutics against a
variety of aggregation-prone proteins.
PMID- 29358330
TI - Histone variant H3.3-mediated chromatin remodeling is essential for paternal
genome activation in mouse preimplantation embryos.
AB - Derepression of chromatin-mediated transcriptional repression of paternal and
maternal genomes is considered the first major step that initiates zygotic gene
expression after fertilization. The histone variant H3.3 is present in both male
and female gametes and is thought to be important for remodeling the paternal and
maternal genomes for activation during both fertilization and embryogenesis.
However, the underlying mechanisms remain poorly understood. Using our H3.3B-HA
tagged mouse model, engineered to report H3.3 expression in live animals and to
distinguish different sources of H3.3 protein in embryos, we show here that sperm
derived H3.3 (sH3.3) protein is removed from the sperm genome shortly after
fertilization and extruded from the zygotes via the second polar bodies (PBII)
during embryogenesis. We also found that the maternal H3.3 (mH3.3) protein is
incorporated into the paternal genome as early as 2 h postfertilization and is
detectable in the paternal genome until the morula stage. Knockdown of maternal
H3.3 resulted in compromised embryonic development both of fertilized embryos and
of androgenetic haploid embryos. Furthermore, we report that mH3.3 depletion in
oocytes impairs both activation of the Oct4 pluripotency marker gene and global
de novo transcription from the paternal genome important for early embryonic
development. Our results suggest that H3.3-mediated paternal chromatin remodeling
is essential for the development of preimplantation embryos and the activation of
the paternal genome during embryogenesis.
PMID- 29358332
TI - Trypanosoma cruzi Produces the Specialized Proresolving Mediators Resolvin D1,
Resolvin D5, and Resolvin E2.
AB - Trypanosoma cruzi is a protozoan parasite that causes Chagas disease (CD). CD is
a persistent, lifelong infection affecting many organs, most notably the heart,
where it may result in acute myocarditis and chronic cardiomyopathy. The
pathological features include myocardial inflammation and fibrosis. In the Brazil
strain-infected CD-1 mouse, which recapitulates many of the features of human
infection, we found increased plasma levels of resolvin D1 (RvD1), a specialized
proresolving mediator of inflammation, during both the acute and chronic phases
of infection (>100 days postinfection) as determined by enzyme-linked
immunosorbent assay (ELISA). Additionally, ELISA on lysates of trypomastigotes of
both strains Tulahuen and Brazil revealed elevated levels of RvD1 compared with
lysates of cultured epimastigotes of T. cruzi, tachyzoites of Toxoplasma gondii,
trypomastigotes of Trypanosoma brucei, cultured L6E9 myoblasts, and culture
medium containing no cells. Lysates of T. cruzi-infected myoblasts also displayed
increased levels of RvD1. Lipid mediator metabolomics confirmed that the
trypomastigotes of T. cruzi produced RvD1, RvD5, and RvE2, which have been
demonstrated to modulate the host response to bacterial infections. Plasma RvD1
levels may be both host and parasite derived. Since T. cruzi synthesizes
specialized proresolving mediators of inflammation, as well as proinflammatory
eicosanoids, such as thromboxane A2, one may speculate that by using these lipid
mediators to modulate its microenvironment, the parasite is able to survive.
PMID- 29358331
TI - LSD1 demethylase and the methyl-binding protein PHF20L1 prevent SET7
methyltransferase-dependent proteolysis of the stem-cell protein SOX2.
AB - The pluripotency-controlling stem-cell protein SRY-box 2 (SOX2) plays a pivotal
role in maintaining the self-renewal and pluripotency of embryonic stem cells and
also of teratocarcinoma or embryonic carcinoma cells. SOX2 is monomethylated at
lysine 119 (Lys-119) in mouse embryonic stem cells by the SET7 methyltransferase,
and this methylation triggers ubiquitin-dependent SOX2 proteolysis. However, the
molecular regulators and mechanisms controlling SET7-induced SOX2 proteolysis are
unknown. Here, we report that in human ovarian teratocarcinoma PA-1 cells,
methylation-dependent SOX2 proteolysis is dynamically regulated by the LSD1
lysine demethylase and a methyl-binding protein, PHD finger protein 20-like 1
(PHF20L1). We found that LSD1 not only removes the methyl group from
monomethylated Lys-117 (equivalent to Lys-119 in mouse SOX2), but it also
demethylates monomethylated Lys-42 in SOX2, a reaction that SET7 also regulated
and that also triggered SOX2 proteolysis. Our studies further revealed that
PHF20L1 binds both monomethylated Lys-42 and Lys-117 in SOX2 and thereby prevents
SOX2 proteolysis. Down-regulation of either LSD1 or PHF20L1 promoted SOX2
proteolysis, which was prevented by SET7 inactivation in both PA-1 and mouse
embryonic stem cells. Our studies also disclosed that LSD1 and PHF20L1 normally
regulate the growth of pluripotent mouse embryonic stem cells and PA-1 cells by
preventing methylation-dependent SOX2 proteolysis. In conclusion, our findings
reveal an important mechanism by which the stability of the pluripotency
controlling stem-cell protein SOX2 is dynamically regulated by the activities of
SET7, LSD1, and PHF20L1 in pluripotent stem cells.
PMID- 29358333
TI - Ehrlichia chaffeensis TRP120 Effector Targets and Recruits Host Polycomb Group
Proteins for Degradation To Promote Intracellular Infection.
AB - Ehrlichia chaffeensis has a group of well-characterized type I secreted tandem
repeat protein (TRP) effectors that have moonlighting capabilities. TRPs modulate
various cellular processes, reprogram host gene transcription as nucleomodulins,
function as ubiquitin ligases, and directly activate conserved host cell
signaling pathways to promote E. chaffeensis infection. One TRP-interacting host
target is polycomb group ring finger protein 5 (PCGF5), a member of the polycomb
group (PcG) protein family and a component of the polycomb repressive complex 1
(PRC1). The current study demonstrates that during early infection, PCGF5
strongly colocalizes with TRP120 in the nucleus and later dramatically
redistributes to the ehrlichial vacuole along with other PCGF isoforms. Ectopic
expression and immunoprecipitation of TRP120 confirmed the interaction of TRP120
with multiple different PCGF isoforms. At 48 h postinfection, a dramatic
redistribution of PCGF isoforms from the nucleus to the ehrlichial vacuole was
observed, which also temporally coincided with proteasomal degradation of PCGF
isoforms and TRP120 expression on the vacuole. A decrease in PRC1-mediated
repressive chromatin mark and an altered transcriptional activity in PRC1
associated Hox genes primarily from HOXB and HOXC clusters were observed along
with the degradation of PCGF isoforms, suggesting disruption of the PRC1 in E.
chaffeensis-infected cells. Notably, small interfering RNA (siRNA)-mediated
knockdown of PCGF isoforms resulted in significantly increased E. chaffeensis
infection. This study demonstrates a novel strategy in which E. chaffeensis
manipulates PRC complexes through interactions between TRP120 and PCGF isoforms
to promote infection.
PMID- 29358335
TI - Mycoplasma genitalium Nonadherent Phase Variants Arise by Multiple Mechanisms and
Escape Antibody-Dependent Growth Inhibition.
AB - Antigenic variation of the immunodominant MgpB and MgpC proteins has been
suggested to be a mechanism of immune evasion of the human pathogen Mycoplasma
genitalium, a cause of several reproductive tract disease syndromes. Phase
variation resulting in the loss of adherence has also been documented, but the
molecular mechanisms underlying this process and its role in pathogenesis are
still poorly understood. In this study, we isolated and characterized 40
spontaneous, nonadherent phase variants from in vitro-passaged M. genitalium
cultures. In all cases, nonadherence was associated with the loss of MgpBC
protein expression, attributable to sequence changes in the mgpBC expression
site. Phase variants were grouped into seven classes on the basis of the nature
of the mutation. Consistent with the established role of RecA in phase variation,
31 (79.5%) variants arose via recombination with MgPa repeat regions that contain
mgpBC variable sequences. The remaining mutants arose via nonsense or frameshift
mutations. As expected, revertants were obtained for phase variants that were
predicted to be reversible but not for those that arose via an irreversible
mechanism. Furthermore, phase variants were enriched in M. genitalium cultures
exposed to antibodies reacting to the extracellular, conserved C terminus of MgpB
but not in cultures exposed to antibodies reacting to an intracellular domain of
MgpB or the cytoplasmic HU protein. Genetic characterization of the antibody
selected phase variants confirmed that they arose via reversible and irreversible
recombination and point mutations within mgpBC These phase variants resisted
antibody-mediated growth inhibition, suggesting that phase variation promotes
immune evasion.
PMID- 29358334
TI - The QseG Lipoprotein Impacts the Virulence of Enterohemorrhagic Escherichia coli
and Citrobacter rodentium and Regulates Flagellar Phase Variation in Salmonella
enterica Serovar Typhimurium.
AB - The QseEF histidine kinase/response regulator system modulates expression of
enterohemorrhagic Escherichia coli (EHEC) and Salmonella enterica serovar
Typhimurium virulence genes in response to the host neurotransmitters epinephrine
and norepinephrine. qseG, which encodes an outer membrane lipoprotein, is
cotranscribed with qseEF in these enteric pathogens, but there is little
knowledge of its role in virulence. Here, we found that in EHEC QseG interacts
with the type III secretion system (T3SS) gate protein SepL and modulates the
kinetics of attaching and effacing (AE) lesion formation on tissue-cultured
cells. Moreover, an EHEC DeltaqseG mutant had reduced intestinal colonization in
an infant rabbit model. Additionally, in Citrobacter rodentium, an AE lesion
forming pathogen like EHEC, QseG is required for full virulence in a mouse model.
In S Typhimurium, we found that QseG regulates the phase switch between the two
flagellin types, FliC and FljB. In an S Typhimurium DeltaqseG mutant, the phase
variable promoter for fljB is preferentially switched into the "on" position,
leading to overproduction of this phase two flagellin. In infection of tissue
cultured cells, the S Typhimurium DeltaqseG mutant provokes increased
inflammatory cytokine production versus the wild type; in vivo, in a murine
infection model, the DeltaqseG strain caused a more severe inflammatory response
and was attenuated versus the wild-type strain. Collectively, our findings
demonstrate that QseG is important for full virulence in several enteric
pathogens and controls flagellar phase variation in S Typhimurium, and they
highlight both the complexity and conservation of the regulatory networks that
control the virulence of enteric pathogens.
PMID- 29358336
TI - Screening and Genomic Characterization of Filamentous Hemagglutinin-Deficient
Bordetella pertussis.
AB - Despite high vaccine coverage, pertussis cases in the United States have
increased over the last decade. Growing evidence suggests that disease resurgence
results, in part, from genetic divergence of circulating strain populations away
from vaccine references. The United States employs acellular vaccines
exclusively, and current Bordetella pertussis isolates are predominantly
deficient in at least one immunogen, pertactin (Prn). First detected in the
United States retrospectively in a 1994 isolate, the rapid spread of Prn
deficiency is likely vaccine driven, raising concerns about whether other
acellular vaccine immunogens experience similar pressures, as further antigenic
changes could potentially threaten vaccine efficacy. We developed an
electrochemiluminescent antibody capture assay to monitor the production of the
acellular vaccine immunogen filamentous hemagglutinin (Fha). Screening 722 U.S.
surveillance isolates collected from 2010 to 2016 identified two that were both
Prn and Fha deficient. Three additional Fha-deficient laboratory strains were
also identified from a historic collection of 65 isolates dating back to 1935.
Whole-genome sequencing of deficient isolates revealed putative, underlying
genetic changes. Only four isolates harbored mutations to known genes involved in
Fha production, highlighting the complexity of its regulation. The chromosomes of
two Fha-deficient isolates included unexpected structural variation that did not
appear to influence Fha production. Furthermore, insertion sequence disruption of
fhaB was also detected in a previously identified pertussis toxin-deficient
isolate that still produced normal levels of Fha. These results demonstrate the
genetic potential for additional vaccine immunogen deficiency and underscore the
importance of continued surveillance of circulating B. pertussis evolution in
response to vaccine pressure.
PMID- 29358337
TI - Characterization of the In Vitro Chlamydia pecorum Response to Gamma Interferon.
AB - Chlamydia pecorum is an important intracellular bacterium that causes a range of
diseases in animals, including a native Australian marsupial, the koala. In
humans and animals, a gamma interferon (IFN-gamma)-mediated immune response is
important for the control of intracellular bacteria. The present study tested the
hypotheses that C. pecorum can escape IFN-gamma-mediated depletion of host cell
tryptophan pools. In doing so, we demonstrated that, unlike Chlamydia
trachomatis, C. pecorum is completely resistant to IFN-gamma in human epithelial
cells. While the growth of C. pecorum was inhibited in tryptophan-deficient
medium, it could be restored by the addition of kynurenine, anthranilic acid, and
indole, metabolites that could be exploited by the gene products of the C.
pecorum tryptophan biosynthesis operon. We also found that expression of trp
genes was detectable only when C. pecorum was grown in tryptophan-free medium,
with gene repression occurring in response to the addition of kynurenine,
anthranilic acid, and indole. When grown in bovine kidney epithelial cells,
bovine IFN-gamma also failed to restrict the growth of C. pecorum, while C.
trachomatis was inhibited, suggesting that C. pecorum could use the same
mechanisms to evade the immune response in vivo in its natural host. Highlighting
the different mechanisms triggered by IFN-gamma, however, both species failed to
grow in murine McCoy cells treated with murine IFN-gamma. This work confirms
previous hypotheses about the potential survival of C. pecorum after IFN-gamma
mediated host cell tryptophan depletion and raises questions about the immune
pathways used by the natural hosts of C. pecorum to control the widespread
pathogen.
PMID- 29358338
TI - Quantitative Proteomics After Spinal Cord Injury (SCI) in a Regenerative and a
Nonregenerative Stage in the Frog Xenopus laevis.
AB - The capacity to regenerate the spinal cord after an injury is a coveted trait
that only a limited group of nonmammalian organisms can achieve. In Xenopus
laevis, this capacity is only present during larval or tadpole stages, but is
absent during postmetamorphic frog stages. This provides an excellent model for
comparative studies between a regenerative and a nonregenerative stage to
identify the cellular and molecular mechanisms that explain this difference in
regenerative potential. Here, we used iTRAQ chemistry to obtain a quantitative
proteome of the spinal cord 1 day after a transection injury in regenerative and
nonregenerative stage animals, and used sham operated animals as controls. We
quantified a total of 6,384 proteins, with 172 showing significant differential
expression in the regenerative stage and 240 in the nonregenerative stage, with
an overlap of only 14 proteins. Functional enrichment analysis revealed that
although the regenerative stage downregulated synapse/vesicle and mitochondrial
proteins, the nonregenerative stage upregulated lipid metabolism proteins, and
downregulated ribosomal and translation control proteins. Furthermore, STRING
network analysis showed that proteins belonging to these groups are highly
interconnected, providing interesting candidates for future functional studies.
Data are available via ProteomeXchange with identifier PXD006993.
PMID- 29358339
TI - Quantitative Proteomics of Strong and Weak Biofilm Formers of Enterococcus
faecalis Reveals Novel Regulators of Biofilm Formation.
AB - Enterococcus faecalis is a bacterial pathogen associated with both endodontic and
systemic infections. The biofilm formation ability of E. faecalis plays a key
role in its virulence and drug resistance attributes. The formation of E.
faecalis biofilms on implanted medical devices often results in treatment
failure. In the present study, we report protein markers associated with the
biofilm formation ability of E. faecalis using iTRAQ-based quantitative
proteomics approach. In order to elucidate the biofilm-associated protein
markers, we investigated the proteome of strong and weak biofilm-forming E.
faecalis clinical isolates in comparison with standard American Type Culture
Collection (ATCC) control strains. Comparison of E. faecalis strong and weak
biofilm-forming clinical isolates with ATCC control strains showed that proteins
associated with shikimate kinase pathway and sulfate transport were up-regulated
in the strong biofilm former, while proteins associated with secondary
metabolites, cofactor biosynthesis, and tetrahydrofolate biosynthesis were down
regulated. In the weak biofilm former, proteins associated with nucleoside and
nucleotide biosynthesis were up-regulated, whereas proteins associated with
sulfate and sugar transport were down-regulated. Further pathway and gene
ontology analyses revealed that the major differences in biofilm formation arise
from differences in metabolic activity levels of the strong and weak biofilm
formers, with higher levels of metabolic activity observed in the weak biofilm
former. The differences in metabolic activity could therefore be a major
determinant of the biofilm ability of E. faecalis The new markers identified from
this study can be further characterized in order to understand their exact role
in E. faecalis biofilm formation ability. This, in turn, can lead to numerous
therapeutic benefits in the treatment of this oral and systemic pathogen. The
data has been deposited to the ProteomeXchange with identifier PXD006542.
PMID- 29358342
TI - Routine Oxygen Supplementation in Acute Cardiovascular Disease: The End of a
Paradigm?
PMID- 29358340
TI - Simple, scalable, and ultrasensitive tip-based identification of protease
substrates.
AB - Proteases are in the center of many diseases, and consequently, proteases and
their substrates are important drug targets as represented by an estimated 5-10%
of all drugs under development. Mass spectrometry has been an indispensable tool
for the discovery of novel protease substrates, particularly through the proteome
scale enrichment of so-called N-terminal peptides representing endogenous protein
N termini. Methods such as combined fractional diagonal chromatography
(COFRADIC)1 and, later, terminal amine isotopic labeling of substrates (TAILS)
have revealed numerous insights into protease substrates and consensus motifs. We
present an alternative and simple protocol for N-terminal peptide enrichment,
based on charge-based fractional diagonal chromatography (ChaFRADIC) and
requiring only well-established protein chemistry and a pipette tip. Using iTRAQ
8-plex, we quantified on average 2,073 +/- 52 unique N-terminal peptides from
only 4.3 MUg per sample/channel, allowing the identification of proteolytic
targets and consensus motifs. This high sensitivity may even allow working with
clinical samples such as needle biopsies in the future. We applied our method to
study the dynamics of staurosporine-induced apoptosis. Our data demonstrate an
orchestrated regulation of specific pathways after 1.5 h, 3 h, and 6 h of
treatment, with many important players of homeostasis targeted already after 1.5
h. We additionally observed an early multilevel modulation of the splicing
machinery both by proteolysis and phosphorylation. This may reflect the known
role of alternative splicing variants for a variety of apoptotic genes, which
seems to be a driving force of staurosporine-induced apoptosis.
PMID- 29358343
TI - Protecting Life and Limb in Peripheral Artery Disease.
PMID- 29358341
TI - Temporal Effects of Combined Birinapant and Paclitaxel on Pancreatic Cancer Cells
Investigated via Large-Scale, Ion-Current-Based Quantitative Proteomics
(IonStar).
AB - Despite decades of effort, pancreatic adenocarcinoma (PDAC) remains an
intractable clinical challenge. An insufficient understanding of mechanisms
underlying tumor cell responses to chemotherapy contributes significantly to the
lack of effective treatment regimens. Here, paclitaxel, a first-line
chemotherapeutic agent, was observed to interact synergistically with birinapant,
a second mitochondrial-derived activator of caspases mimetic. Therefore, we
investigated molecular-level drug interaction mechanisms using comprehensive,
reproducible, and well-controlled ion-current-based MS1 quantification (IonStar).
By analyzing 40 biological samples in a single batch, we compared temporal
proteomic responses of PDAC cells treated with birinapant and paclitaxel, alone
and combined. Using stringent criteria (e.g. strict false-discovery-rate (FDR)
control, two peptides/protein), we quantified 4069 unique proteins confidently
(99.8% without any missing data), and 541 proteins were significantly altered in
the three treatment groups, with an FDR of <1%. Interestingly, most of these
proteins were altered only by combined birinapant/paclitaxel, and these
predominantly represented three biological processes: mitochondrial function,
cell growth and apoptosis, and cell cycle arrest. Proteins responsible for
activation of oxidative phosphorylation, fatty acid beta-oxidation, and
inactivation of aerobic glycolysis were altered largely by combined
birinapant/paclitaxel compared with single drugs, suggesting the Warburg effect,
which is critical for survival and proliferation of cancer cells, was alleviated
by the combination treatment. Metabolic profiling was performed to confirm
substantially greater suppression of the Warburg effect by the combined agents
compared with either drug alone. Immunoassays confirmed proteomic data revealing
changes in apoptosis/survival signaling pathways, such as inhibition of PI3K/AKT,
JAK/STAT, and MAPK/ERK signal transduction, as well as induction of G2/M arrest,
and showed the drug combination induced much more apoptosis than did single
agents. Overall, this in-depth, large-scale proteomics study provided novel
insights into molecular mechanisms underlying synergy of combined
birinapant/paclitaxel and describes a proteomics/informatics pipeline that can be
applied broadly to the development of cancer drug combination regimens.
PMID- 29358344
TI - Standardized Definition of Structural Valve Degeneration for Surgical and
Transcatheter Bioprosthetic Aortic Valves.
AB - Bioprostheses are prone to structural valve degeneration, resulting in limited
long-term durability. A significant challenge when comparing the durability of
different types of bioprostheses is the lack of a standardized terminology for
the definition of a degenerated valve. This issue becomes especially important
when we try to compare the degeneration rate of surgically inserted and
transcatheter bioprosthetic valves. This document, by the VIVID (Valve-in-Valve
International Data), proposes practical and standardized definitions of valve
degeneration and provides recommendations for the timing of clinical and imaging
follow-up assessments accordingly. Its goal is to improve the quality of research
and clinical care for patients with deteriorated bioprostheses by providing
objective and strict criteria that can be utilized in future clinical trials. We
hope that the adoption of these criteria by both the cardiological and surgical
communities will lead to improved comparability and interpretation of durability
analyses.
PMID- 29358346
TI - Asymptomatic ST-Segment-Elevation ECG in Patient With Kidney Failure.
PMID- 29358347
TI - Letter by Koutsampasopoulos et al Regarding Article, "Evidence Supporting the
Existence of a Distinct Obese Phenotype of Heart Failure With Preserved Ejection
Fraction".
PMID- 29358348
TI - Letter by Jin-shan and Xue-bin Regarding Article, "Evidence Supporting the
Existence of a Distinct Obese Phenotype of Heart Failure With Preserved Ejection
Fraction".
PMID- 29358349
TI - Letter by Carbone et al Regarding Article, "Evidence Supporting the Existence of
a Distinct Obese Phenotype of Heart Failure With Preserved Ejection Fraction".
PMID- 29358350
TI - Response by Obokata and Borlaug to Letters Regarding Article, "Evidence
Supporting the Existence of a Distinct Obese Phenotype of Heart Failure With
Preserved Ejection Fraction".
PMID- 29358351
TI - Gravitational waves from a first-order electroweak phase transition: a brief
review.
AB - We review the production of gravitational waves by an electroweak first-order
phase transition. The resulting signal is a good candidate for detection at next
generation gravitational wave detectors, such as LISA. Detection of such a source
of gravitational waves could yield information about physics beyond the Standard
Model that is complementary to that accessible to current and near-future
collider experiments. We summarize efforts to simulate and model the phase
transition and the resulting production of gravitational waves.This article is
part of the Theo Murphy meeting issue 'Higgs cosmology'.
PMID- 29358352
TI - Higgs cosmology.
AB - The discovery of the Higgs boson in 2012 and other results from the Large Hadron
Collider have confirmed the standard model of particle physics as the correct
theory of elementary particles and their interactions up to energies of several
TeV. Remarkably, the theory may even remain valid all the way to the Planck scale
of quantum gravity, and therefore it provides a solid theoretical basis for
describing the early Universe. Furthermore, the Higgs field itself has unique
properties that may have allowed it to play a central role in the evolution of
the Universe, from inflation to cosmological phase transitions and the origin of
both baryonic and dark matter, and possibly to determine its ultimate fate
through the electroweak vacuum instability. These connections between particle
physics and cosmology have given rise to a new and growing field of Higgs
cosmology, which promises to shed new light on some of the most puzzling
questions about the Universe as new data from particle physics experiments and
cosmological observations become available.This article is part of the Theo
Murphy meeting issue 'Higgs cosmology'.
PMID- 29358353
TI - Vacuum stability in the early universe and the backreaction of classical gravity.
AB - In the case of a metastable electroweak vacuum, the quantum corrected effective
potential plays a crucial role in the potential instability of the standard
model. In the early universe, in particular during inflation and reheating, this
instability can be triggered leading to catastrophic vacuum decay. We discuss how
the large space-time curvature of the early universe can be incorporated in the
calculation and in many cases significantly modify the flat space prediction. The
two key new elements are the unavoidable generation of the non-minimal coupling
between the Higgs field and the scalar curvature of gravity and a curvature
induced contribution to the running of the constants. For the minimal set up of
the standard model and a decoupled inflation sector we show how a metastable
vacuum can lead to very tight bounds for the non-minimal coupling. We also
discuss a novel and very much related dark matter generation mechanism.This
article is part of the Theo Murphy meeting issue 'Higgs cosmology'.
PMID- 29358354
TI - Is electroweak baryogenesis dead?
AB - Electroweak baryogenesis is severely challenged in its traditional settings: the
minimal supersymmetric standard model, and in more general two Higgs doublet
models. Fine tuning of parameters is required, or large couplings leading to a
Landau pole at scales just above the new physics introduced. The situation is
somewhat better in models with a singlet scalar coupling to the Higgs so as to
give a strongly first-order phase transition due to a tree-level barrier, but
even in this case no UV complete models had been demonstrated to give successful
baryogenesis. Here, we point out some directions that overcome this limitation,
by introducing a new source of particle-antiparticle (CP) violation in the
couplings of the singlet field. A model of electroweak baryogenesis requiring no
fine tuning and consistent to scales far above 1 TeV is demonstrated, in which
dark matter plays the leading role in creating a CP asymmetry that is the source
of the baryon asymmetry.This article is part of the Theo Murphy meeting issue
'Higgs cosmology'.
PMID- 29358355
TI - Cosmological implications of Higgs near-criticality.
AB - The Standard Model electroweak (EW) vacuum, in the absence of new physics below
the Planck scale, lies very close to the boundary between stability and
metastability, with the last option being the most probable. Several cosmological
implications of this so-called 'near-criticality' are discussed. In the
metastable vacuum case, the main challenges that the survival of the EW vacuum
faces during the evolution of the Universe are analysed. In the stable vacuum
case, the possibility of implementing Higgs inflation is critically examined.This
article is part of the Theo Murphy meeting issue 'Higgs cosmology'.
PMID- 29358356
TI - Signatures of Higgs dilaton and critical Higgs inflation.
AB - We test the Higgs dilaton inflation model (HDM) using the latest cosmological
datasets, including the cosmic microwave background temperature, polarization and
lensing data from the Planck satellite (2015), the BICEP and Keck Array
experiments, the type Ia supernovae from the JLA catalogue, the baryon acoustic
oscillations from CMASS, LOWZ and 6dF, the weak lensing data from the CFHTLenS
survey and the matter power spectrum measurements from the latest SDSS data
release. We find that the values of all cosmological parameters allowed by the
HDM are well within the Planck satellite (2015) constraints. In particular, we
determine [Formula: see text], [Formula: see text], [Formula: see text],
[Formula: see text] and [Formula: see text] (at 95.5% c.l.). We also place new
stringent constraints on the couplings of the HDM, xichi <0.00328 and [Formula:
see text] (at 95.5% c.l.). We find that the HDM is only slightly better than the
w0wa CDM model, with [Formula: see text] Given that the HDM has two fewer
parameters, we find Bayesian evidence favouring the HDM over the w0wa CDM model.
We also study the critical Higgs inflation model, taking into account the running
of both the self-coupling lambda(MU) and the non-minimal coupling to gravity
xi(MU). We find peaks in the curvature power spectrum at scales corresponding to
the critical value MU that re-enter during the radiation era and collapse to form
a broad distribution of clustered primordial black holes, which could constitute
today the main component of dark matter.This article is part of the Theo Murphy
meeting issue 'Higgs cosmology'.
PMID- 29358357
TI - Renormalization group flow of the Higgs potential.
AB - We summarize results for local and global properties of the effective potential
for the Higgs boson obtained from the functional renormalization group, which
allows one to describe the effective potential as a function of both scalar field
amplitude and renormalization group scale. This sheds light onto the limitations
of standard estimates which rely on the identification of the two scales and
helps in clarifying the origin of a possible property of meta-stability of the
Higgs potential. We demonstrate that the inclusion of higher-dimensional
operators induced by an underlying theory at a high scale (GUT or Planck scale)
can relax the conventional lower bound on the Higgs mass derived from the
criterion of absolute stability.This article is part of the Theo Murphy meeting
issue 'Higgs cosmology'.
PMID- 29358358
TI - Accretion of a symmetry-breaking scalar field by a Schwarzschild black hole.
AB - We simulate the behaviour of a Higgs-like field in the vicinity of a
Schwarzschild black hole using a highly accurate numerical framework. We consider
both the limit of the zero-temperature Higgs potential and a toy model for the
time-dependent evolution of the potential when immersed in a slowly cooling
radiation bath. Through these numerical investigations, we aim to improve our
understanding of the non-equilibrium dynamics of a symmetry-breaking field (such
as the Higgs) in the vicinity of a compact object such as a black hole.
Understanding this dynamics may suggest new approaches for studying properties of
scalar fields using black holes as a laboratory.This article is part of the Theo
Murphy meeting issue 'Higgs Cosmology'.
PMID- 29358359
TI - The serendipity of electroweak baryogenesis.
AB - The origin of the matter-antimatter asymmetry of the universe remains unexplained
in the Standard Model (SM) of particle physics. The origin of the flavour
structure is another major puzzle of the theory. In this article, we report on
recent work attempting to link the two themes through the appealing framework of
electroweak (EW) baryogenesis. We show that Yukawa couplings of SM fermions can
be the source of CP violation for EW baryogenesis if they vary at the same time
as the Higgs is acquiring its vacuum expectation value, offering new avenues for
EW baryogenesis. The advantage of this approach is that it circumvents the usual
severe bounds from electric dipole moments. These ideas apply if the mechanism
explaining the flavour structure of the SM is connected to EW symmetry breaking,
as motivated for instance in Randall-Sundrum or Composite Higgs models. We
compute the resulting baryon asymmetry for different configurations of the Yukawa
coupling variation across the bubble wall and show that it can naturally be of
the right order.This article is part of the Theo Murphy meeting issue 'Higgs
cosmology'.
PMID- 29358361
TI - Transcranial Magnetic Stimulation over Left Inferior Frontal and Posterior
Temporal Cortex Disrupts Gesture-Speech Integration.
AB - Language and action naturally occur together in the form of cospeech gestures,
and there is now convincing evidence that listeners display a strong tendency to
integrate semantic information from both domains during comprehension. A
contentious question, however, has been which brain areas are causally involved
in this integration process. In previous neuroimaging studies, left inferior
frontal gyrus (IFG) and posterior middle temporal gyrus (pMTG) have emerged as
candidate areas; however, it is currently not clear whether these areas are
causally or merely epiphenomenally involved in gesture-speech integration. In the
present series of experiments, we directly tested for a potential critical role
of IFG and pMTG by observing the effect of disrupting activity in these areas
using transcranial magnetic stimulation in a mixed gender sample of healthy human
volunteers. The outcome measure was performance on a Stroop-like gesture task
(Kelly et al., 2010a), which provides a behavioral index of gesture-speech
integration. Our results provide clear evidence that disrupting activity in IFG
and pMTG selectively impairs gesture-speech integration, suggesting that both
areas are causally involved in the process. These findings are consistent with
the idea that these areas play a joint role in gesture-speech integration, with
IFG regulating strategic semantic access via top-down signals acting upon
temporal storage areas.SIGNIFICANCE STATEMENT Previous neuroimaging studies
suggest an involvement of inferior frontal gyrus and posterior middle temporal
gyrus in gesture-speech integration, but findings have been mixed and due to
methodological constraints did not allow inferences of causality. By adopting a
virtual lesion approach involving transcranial magnetic stimulation, the present
study provides clear evidence that both areas are causally involved in combining
semantic information arising from gesture and speech. These findings support the
view that, rather than being separate entities, gesture and speech are part of an
integrated multimodal language system, with inferior frontal gyrus and posterior
middle temporal gyrus serving as critical nodes of the cortical network
underpinning this system.
PMID- 29358362
TI - Aging Affects Adaptation to Sound-Level Statistics in Human Auditory Cortex.
AB - Optimal perception requires efficient and adaptive neural processing of sensory
input. Neurons in nonhuman mammals adapt to the statistical properties of
acoustic feature distributions such that they become sensitive to sounds that are
most likely to occur in the environment. However, whether human auditory
responses adapt to stimulus statistical distributions and how aging affects
adaptation to stimulus statistics is unknown. We used MEG to study how exposure
to different distributions of sound levels affects adaptation in auditory cortex
of younger (mean: 25 years; n = 19) and older (mean: 64 years; n = 20) adults
(male and female). Participants passively listened to two sound-level
distributions with different modes (either 15 or 45 dB sensation level). In a
control block with long interstimulus intervals, allowing neural populations to
recover from adaptation, neural response magnitudes were similar between younger
and older adults. Critically, both age groups demonstrated adaptation to sound
level stimulus statistics, but adaptation was altered for older compared with
younger people: in the older group, neural responses continued to be sensitive to
sound level under conditions in which responses were fully adapted in the younger
group. The lack of full adaptation to the statistics of the sensory environment
may be a physiological mechanism underlying the known difficulty that older
adults have with filtering out irrelevant sensory information.SIGNIFICANCE
STATEMENT Behavior requires efficient processing of acoustic stimulation. Animal
work suggests that neurons accomplish efficient processing by adjusting their
response sensitivity depending on statistical properties of the acoustic
environment. Little is known about the extent to which this adaptation to
stimulus statistics generalizes to humans, particularly to older humans. We used
MEG to investigate how aging influences adaptation to sound-level statistics.
Listeners were presented with sounds drawn from sound-level distributions with
different modes (15 vs 45 dB). Auditory cortex neurons adapted to sound-level
statistics in younger and older adults, but adaptation was incomplete in older
people. The data suggest that the aging auditory system does not fully capitalize
on the statistics available in sound environments to tune the perceptual system
dynamically.
PMID- 29358360
TI - Functional Segmentation of the Anterior Limb of the Internal Capsule: Linking
White Matter Abnormalities to Specific Connections.
AB - The anterior limb of the internal capsule (ALIC) carries thalamic and brainstem
fibers from prefrontal cortical regions that are associated with different
aspects of emotion, motivation, cognition processing, and decision-making. This
large fiber bundle is abnormal in several psychiatric illnesses and a major
target for deep brain stimulation. Yet, we have very little information about
where specific prefrontal fibers travel within the bundle. Using a combination of
tracing studies and diffusion MRI in male nonhuman primates, as well as diffusion
MRI in male and female human subjects, we segmented the human ALIC into five
regions based on the positions of axons from different cortical regions within
the capsule. Fractional anisotropy (FA) abnormalities in patients with bipolar
disorder were detected when FA was averaged in the ALIC segment that carries
ventrolateral prefrontal cortical connections. Together, the results set the
stage for linking abnormalities within the ALIC to specific connections and
demonstrate the utility of applying connectivity profiles of large white matter
bundles based on animal anatomic studies to human connections and associating
disease abnormalities in those pathways with specific connections. The ability to
functionally segment large white matter bundles into their components begins a
new era of refining how we think about white matter organization and use that
information in understanding abnormalities.SIGNIFICANCE STATEMENT The anterior
limb of the internal capsule (ALIC) connects prefrontal cortex with the thalamus
and brainstem and is abnormal in psychiatric illnesses. However, we know little
about the location of specific prefrontal fibers within the bundle. Using a
combination of animal tracing studies and diffusion MRI in animals and human
subjects, we segmented the human ALIC into five regions based on the positions of
axons from different cortical regions. We then demonstrated that differences in
FA values between bipolar disorder patients and healthy control subjects were
specific to a given segment. Together, the results set the stage for linking
abnormalities within the ALIC to specific connections and for refining how we
think about white matter organization in general.
PMID- 29358363
TI - Serotonin Disinhibits a Caenorhabditis elegans Sensory Neuron by Suppressing Ca2+
Dependent Negative Feedback.
AB - Neuromodulators, such as serotonin (5-HT), alter neuronal excitability and
synaptic strengths, and define different behavioral states. Neuromodulator
dependent changes in neuronal activity patterns are frequently measured using
calcium reporters because calcium imaging can easily be performed on intact
functioning nervous systems. With only 302 neurons, the nematode Caenorhabditis
elegans provides a relatively simple, yet powerful, system to understand
neuromodulation at the level of individual neurons. C. elegans hermaphrodites are
repelled by 1-octanol, and the initiation of these aversive responses is
potentiated by 5-HT. 5-HT acts on the ASH polymodal nociceptors that sense the 1
octanol stimulus. Surprisingly, 5-HT suppresses ASH Ca2+ transients while
simultaneously potentiating 1-octanol-dependent ASH depolarization. Here we
further explore this seemingly inverse relationship. Our results show the
following (1) 5-HT acts downstream of depolarization, through Galphaq-mediated
signaling and calcineurin, to inhibit L-type voltage-gated Ca2+ channels; (2) the
1-octanol-evoked Ca2+ transients in ASHs inhibit depolarization; and (3) the Ca2+
activated K+ channel, SLO-1, acts downstream of 5-HT and is a critical regulator
of ASH response dynamics. These findings define a Ca2+-dependent inhibitory
feedback loop that can be modulated by 5-HT to increase neuronal excitability and
regulate behavior, and highlight the possibility that neuromodulator-induced
changes in the amplitudes of Ca2+ transients do not necessarily predict
corresponding changes in depolarization.SIGNIFICANCE STATEMENT Neuromodulators,
such as 5-HT, modify behavior by regulating excitability and synaptic efficiency
in neurons. Neuromodulation is often studied using Ca2+ imaging, whereby
neuromodulator-dependent changes in neuronal activity levels can be detected in
intact, functioning circuits. Here we show that 5-HT reduces the amplitude of
depolarization-dependent Ca2+ transients in a C. elegans nociceptive neuron,
through Galphaq signaling and calcineurin but that Ca2+ itself inhibits
depolarization, likely through Ca2+-activated K+ channels. The net effect of 5
HT, therefore, is to increase neuronal excitability through disinhibition. These
results establish a novel 5-HT signal transduction pathway, and demonstrate that
neuromodulators can change Ca2+ signals and depolarization amplitudes in opposite
directions, simultaneously, within a single neuron.
PMID- 29358364
TI - Single-Cell Stimulation in Barrel Cortex Influences Psychophysical Detection
Performance.
AB - A single whisker stimulus elicits action potentials in a sparse subset of neurons
in somatosensory cortex. The precise contribution of these neurons to the
animal's perception of a whisker stimulus is unknown. Here we show that single
cell stimulation in rat barrel cortex of both sexes influences the psychophysical
detection of a near-threshold whisker stimulus in a cell type-dependent manner,
without affecting false alarm rate. Counterintuitively, stimulation of single
fast-spiking putative inhibitory neurons increased detection performance. Single
cell stimulation of putative excitatory neurons failed to change detection
performance, except for a small subset of deep-layer neurons that were highly
sensitive to whisker stimulation and that had an unexpectedly strong impact on
detection performance. These findings indicate that the perceptual impact of
excitatory barrel cortical neurons relates to their firing response to whisker
stimulation and that strong activity in a single highly sensitive neuron in
barrel cortex can already enhance sensory detection. Our data suggest that
sensory detection is based on a decoding mechanism that lends a disproportionally
large weight to interneurons and to deep-layer neurons showing a strong response
to sensory stimulation.SIGNIFICANCE STATEMENT Rat whisker somatosensory cortex
contains a variety of neuronal cell types with distinct anatomical and
physiological characteristics. How each of these different cell types contribute
to the animal's perception of whisker stimuli is unknown. We explored this
question by using a powerful electrophysiological stimulation technique that
allowed us to target and stimulate single neurons with different sensory response
types in whisker cortex. In awake, behaving animals, trained to detect whisker
stimulation, only costimulation of single fast-spiking inhibitory neurons or
single deep-layer excitatory neurons with strong responses to whisker stimulation
enhanced detection performance. Our data demonstrate that single cortical neurons
can have measurable impact on the detection of sensory stimuli and suggest a
decoding mechanism based on select cell types.
PMID- 29358366
TI - Margaret McCartney: Hiding and seeking doctors' conflicts of interest.
PMID- 29358367
TI - GP who sexually assaulted patients is jailed for 12 years.
PMID- 29358365
TI - Cortical Connections Position Primate Area 25 as a Keystone for Interoception,
Emotion, and Memory.
AB - The structural and functional integrity of subgenual cingulate area 25 (A25) is
crucial for emotional expression and equilibrium. A25 has a key role in affective
networks, and its disruption has been linked to mood disorders, but its cortical
connections have yet to be systematically or fully studied. Using neural tracers
in rhesus monkeys, we found that A25 was densely connected with other
ventromedial and posterior orbitofrontal areas associated with emotions and
homeostasis. A moderate pathway linked A25 with frontopolar area 10, an area
associated with complex cognition, which may regulate emotions and dampen
negative affect. Beyond the frontal lobe, A25 was connected with auditory
association areas and memory-related medial temporal cortices, and with the
interoceptive-related anterior insula. A25 mostly targeted the superficial
cortical layers of other areas, where broadly dispersed terminations comingled
with modulatory inhibitory or disinhibitory microsystems, suggesting a dominant
excitatory effect. The architecture and connections suggest that A25 is the
consummate feedback system in the PFC. Conversely, in the entorhinal cortex, A25
pathways terminated in the middle-deep layers amid a strong local inhibitory
microenvironment, suggesting gating of hippocampal output to other cortices and
memory storage. The graded cortical architecture and associated laminar patterns
of connections suggest how areas, layers, and functionally distinct classes of
inhibitory neurons can be recruited dynamically to meet task demands. The
complement of cortical connections of A25 with areas associated with memory,
emotion, and somatic homeostasis provide the circuit basis to understand its
vulnerability in psychiatric and neurologic disorders.SIGNIFICANCE STATEMENT
Integrity of the prefrontal subgenual cingulate cortex is crucial for healthy
emotional function. Subgenual area 25 (A25) is mostly linked with other
prefrontal areas associated with emotion in a dense network positioned to recruit
large fields of cortex. In healthy states, A25 is associated with internal
states, autonomic function, and transient negative affect. Constant hyperactivity
in A25 is a biomarker for depression in humans and may trigger extensive
activation in its dominant connections with areas associated with emotions and
internal balance. A pathway between A25 and frontopolar area 10 may provide a
critical link to regulate emotions and dampen persistent negative affect, which
may be explored for therapeutic intervention in depression.
PMID- 29358368
TI - Antibody detection by agglutination-PCR (ADAP) enables early diagnosis of HIV
infection by oral fluid analysis.
AB - Oral fluid (OF) is a highly effective substrate for population-based HIV
screening efforts, as it is noninfectious and significantly easier to collect
than blood. However, anti-HIV antibodies are found at far lower concentrations in
OF compared with blood, leading to poor sensitivity and a longer period of time
from infection to detection threshold. Thus, despite its inherent advantages in
sample collection, OF is not widely used for population screening. Here we report
the development of an HIV OF assay based on Antibody Detection by Agglutination
PCR (ADAP) technology. This assay is 1,000-10,000 times more analytically
sensitive than clinical enzyme-linked immunoassays (EIAs), displaying both 100%
clinical sensitivity and 100% specificity for detecting HIV antibodies within OF
samples. We show that the enhanced analytical sensitivity enables this assay to
correctly identify HIV-infected individuals otherwise missed by current OF
assays. We envision that the attributes of this improved HIV OF assay can
increase testing rates of at-risk individuals while enabling diagnosis and
treatment at an earlier time point.
PMID- 29358370
TI - Regulation of inflammatory responses by dynamic subcellular localization of RNA
binding protein Arid5a.
AB - Adenine-thymine (AT)-rich interactive domain 5a (Arid5a) is an RNA-binding
protein found in the cytoplasm and nucleus of normally growing cells. Although
Arid5a is known to play an important role in immune regulation, whether and how
Arid5a subcellular localization impacts immune regulation has remained unclear.
In this study, we generated Arid5a transgenic (TG) mice to address this question.
While ectopic Arid5a overexpression did not affect expression of inflammatory
cytokines under unstimulated conditions, significantly higher levels of
inflammatory cytokines, such as IL-6, were produced in response to
lipopolysaccharide (LPS) stimulation. Consistent with this, TG mice were more
sensitive to LPS treatment than wild-type mice. We also found that Arid5a is
imported into the nucleus via a classical importin-alpha/beta1-mediated pathway.
On stimulation, nuclear Arid5a levels were decreased, while there was a
concomitant increase in cytoplasmic Arid5a. Arid5a is associated with up
frameshift protein 1, and its nuclear export is regulated by a nuclear export
receptor, chromosomal region maintenance 1. Taken together, these data indicate
that Arid5a is a dynamic protein that translocates to the cytoplasm from the
nucleus so as to properly exert its dual function in mRNA stabilization and
transcriptional regulation during inflammatory conditions.
PMID- 29358369
TI - A neurochemical hypothesis for the origin of hominids.
AB - It has always been difficult to account for the evolution of certain human
characters such as language, empathy, and altruism via individual reproductive
success. However, the striatum, a subcortical region originally thought to be
exclusively motor, is now known to contribute to social behaviors and
"personality styles" that may link such complexities with natural selection. We
here report that the human striatum exhibits a unique neurochemical profile that
differs dramatically from those of other primates. The human signature of
elevated striatal dopamine, serotonin, and neuropeptide Y, coupled with lowered
acetylcholine, systematically favors externally driven behavior and greatly
amplifies sensitivity to social cues that promote social conformity, empathy, and
altruism. We propose that selection induced an initial form of this profile in
early hominids, which increased their affiliative behavior, and that this shift
either preceded or accompanied the adoption of bipedality and elimination of the
sectorial canine. We further hypothesize that these changes were critical for
increased individual fitness and promoted the adoption of social monogamy, which
progressively increased cooperation as well as a dependence on tradition-based
cultural transmission. These eventually facilitated the acquisition of language
by elevating the reproductive advantage afforded those most sensitive to social
cues.
PMID- 29358371
TI - Tactile distance illusions reflect a coherent stretch of tactile space.
AB - Illusions of the perception of distance between two touches on the skin have been
described since the classic work of Weber in the 19th century. The perceptual
mechanisms underlying such spatial distortions, however, remain poorly
understood. One potential interpretation is that the representational space of
touch is related to the true structure of the skin by a geometrically simple
stretch. If distortions of tactile distance perception reflect a simple stretch
of tactile space, perceived distance should vary predictably as a function of the
orientation of the stimulus on the skin, showing a sinusoidal pattern. Here, we
tested this prediction by obtained judgments of perceived tactile distance for
pairs of touches aligned with eight orientations on the skin. Across four
experiments, the results were highly consistent with this prediction, showing no
apparent deviation from a model of simple stretch of tactile space. Similar
results were apparent on both the dorsum and palm of the hand, as well as the
forehead. These results show that spatial distortions of touch are well
characterized by a geometrically simple stretch of tactile space.
PMID- 29358372
TI - Surface structure evolution in a homologous series of ionic liquids.
AB - Interfaces of room temperature ionic liquids (RTILs) are important for both
applications and basic science and are therefore intensely studied. However, the
evolution of their interface structure with the cation's alkyl chain length
[Formula: see text] from Coulomb to van der Waals interaction domination has not
yet been studied for even a single broad homologous RTIL series. We present here
such a study of the liquid-air interface for [Formula: see text], using angstrom
resolution X-ray methods. For [Formula: see text], a typical "simple liquid"
monotonic surface-normal electron density profile [Formula: see text] is
obtained, like those of water and organic solvents. For [Formula: see text],
increasingly more pronounced nanoscale self-segregation of the molecules' charged
moieties and apolar chains yields surface layering with alternating regions of
headgroups and chains. The layering decays into the bulk over a few, to a few
tens, of nanometers. The layering periods and decay lengths, their linear
[Formula: see text] dependence, and slopes are discussed within two models, one
with partial-chain interdigitation and the other with liquid-like chains. No
surface-parallel long-range order is found within the surface layer. For
[Formula: see text], a different surface phase is observed above melting. Our
results also impact general liquid-phase issues like supramolecular self
aggregation and bulk-surface structure relations.
PMID- 29358373
TI - Hsp90 chaperones hemoglobin maturation in erythroid and nonerythroid cells.
AB - Maturation of adult (alpha2beta2) and fetal hemoglobin (alpha2gamma2) tetramers
requires that heme be incorporated into each globin. While hemoglobin alpha (Hb
alpha) relies on a specific erythroid chaperone (alpha Hb-stabilizing protein,
AHSP), the other chaperones that may help mature the partner globins (Hb-gamma or
Hb-beta) in erythroid cells, or may enable nonerythroid cells to express mature
Hb, are unknown. We investigated a role for heat-shock protein 90 (hsp90) in Hb
maturation in erythroid precursor cells that naturally express Hb-alpha with
either Hb-gamma (K562 and HiDEP-1 cells) or Hb-beta (HUDEP-2) and in nonerythroid
cell lines that either endogenously express Hb-alphabeta (RAW and A549) or that
we transfected to express the globins. We found the following: (i) AHSP and hsp90
associate with distinct globin partners in their immature heme-free states (AHSP
with apo-Hbalpha, and hsp90 with apo-Hbbeta or Hb-gamma) and that hsp90 does not
associate with mature Hb. (ii) Hsp90 stabilizes the apo-globins and helps to
drive their heme insertion reactions, as judged by pharmacologic hsp90 inhibition
or by coexpression of an ATP-ase defective hsp90. (iii) In nonerythroid cells,
heme insertion into all globins became hsp90-dependent, which may explain how
mixed Hb tetramers can mature in cells that do not express AHSP. Together, our
findings uncover a process in which hsp90 first binds to immature, heme-free Hb
gamma or Hb-beta, drives their heme insertion process, and then dissociates to
allow their heterotetramer formation with Hb-alpha. Thus, in driving heme
insertion, hsp90 works in concert with AHSP to generate functional Hb tetramers
during erythropoiesis.
PMID- 29358374
TI - Eocene greenhouse climate revealed by coupled clumped isotope-Mg/Ca thermometry.
AB - Past greenhouse periods with elevated atmospheric CO2 were characterized by
globally warmer sea-surface temperatures (SST). However, the extent to which the
high latitudes warmed to a greater degree than the tropics (polar amplification)
remains poorly constrained, in particular because there are only a few
temperature reconstructions from the tropics. Consequently, the relationship
between increased CO2, the degree of tropical warming, and the resulting
latitudinal SST gradient is not well known. Here, we present coupled clumped
isotope (Delta47)-Mg/Ca measurements of foraminifera from a set of globally
distributed sites in the tropics and midlatitudes. Delta47 is insensitive to
seawater chemistry and therefore provides a robust constraint on tropical SST.
Crucially, coupling these data with Mg/Ca measurements allows the precise
reconstruction of Mg/Casw throughout the Eocene, enabling the reinterpretation of
all planktonic foraminifera Mg/Ca data. The combined dataset constrains the range
in Eocene tropical SST to 30-36 degrees C (from sites in all basins). We compare
these accurate tropical SST to deep-ocean temperatures, serving as a minimum
constraint on high-latitude SST. This results in a robust conservative
reconstruction of the early Eocene latitudinal gradient, which was reduced by at
least 32 +/- 10% compared with present day, demonstrating greater polar
amplification than captured by most climate models.
PMID- 29358375
TI - Modular origins of biological electron transfer chains.
AB - Oxidoreductases catalyze electron transfer reactions that ultimately provide the
energy for life. A limited set of ancestral protein-metal modules are presumably
the building blocks that evolved into this diverse protein family. However, the
identity of these modules and their path to modern oxidoreductases is unknown.
Using a comparative structural analysis approach, we identify a set of
fundamental electron transfer modules that have evolved to form the extant
oxidoreductases. Using transition metal-containing cofactors as fiducial markers,
it is possible to cluster cofactor microenvironments into as few as four major
modules: bacterial ferredoxin, cytochrome c, symerythrin, and plastocyanin-type
folds. From structural alignments, it is challenging to ascertain whether modules
evolved from a single common ancestor (homology) or arose by independent
convergence on a limited set of structural forms (analogy). Additional insight
into common origins is contained in the spatial adjacency network (SPAN), which
is based on proximity of modules in oxidoreductases containing multiple cofactor
electron transfer chains. Electron transfer chains within complex modern
oxidoreductases likely evolved through repeated duplication and diversification
of ancient modular units that arose in the Archean eon.
PMID- 29358376
TI - High-resolution cryo-EM structures of actin-bound myosin states reveal the
mechanism of myosin force sensing.
AB - Myosins adjust their power outputs in response to mechanical loads in an isoform
dependent manner, resulting in their ability to dynamically adapt to a range of
motile challenges. Here, we reveal the structural basis for force-sensing based
on near-atomic resolution structures of one rigor and two ADP-bound states of
myosin-IB (myo1b) bound to actin, determined by cryo-electron microscopy. The two
ADP-bound states are separated by a 25 degrees rotation of the lever. The lever
of the first ADP state is rotated toward the pointed end of the actin filament
and forms a previously unidentified interface with the N-terminal subdomain,
which constitutes the upper half of the nucleotide-binding cleft. This pointed
end orientation of the lever blocks ADP release by preventing the N-terminal
subdomain from the pivoting required to open the nucleotide binding site, thus
revealing how myo1b is inhibited by mechanical loads that restrain lever
rotation. The lever of the second ADP state adopts a rigor-like orientation,
stabilized by class-specific elements of myo1b. We identify a role for this
conformation as an intermediate in the ADP release pathway. Moreover, comparison
of our structures with other myosins reveals structural diversity in the
actomyosin binding site, and we reveal the high-resolution structure of actin
bound phalloidin, a potent stabilizer of filamentous actin. These results provide
a framework to understand the spectrum of force-sensing capacities among the
myosin superfamily.
PMID- 29358377
TI - Heritable aspects of biological motion perception and its covariation with
autistic traits.
AB - The ability to detect biological motion (BM) and decipher the meaning therein is
essential to human survival and social interaction. However, at the individual
level, we are not equally equipped with this ability. In particular, impaired BM
perception and abnormal neural responses to BM have been observed in autism
spectrum disorder (ASD), a highly heritable neurodevelopmental disorder
characterized by devastating social deficits. Here, we examined the underlying
sources of individual differences in two abilities fundamental to BM perception
(i.e., the abilities to process local kinematic and global configurational
information of BM) and explored whether BM perception shares a common genetic
origin with autistic traits. Using the classical twin method, we found reliable
genetic influences on BM perception and revealed a clear dissociation between its
two components-whereas genes account for about 50% of the individual variation in
local BM processing, global BM processing is largely shaped by environment.
Critically, participants' sensitivity to local BM cues was negatively correlated
with their autistic traits through the dimension of social communication, with
the covariation largely mediated by shared genetic effects. These findings
demonstrate that the ability to process BM, especially with regard to its
inherent kinetics, is heritable. They also advance our understanding of the
sources of the linkage between autistic symptoms and BM perception deficits,
opening up the possibility of treating the ability to process local BM
information as a distinct hallmark of social cognition.
PMID- 29358378
TI - Redirection of lipid flux toward phospholipids in yeast increases fatty acid
turnover and secretion.
AB - Bio-based production of fatty acids and fatty acid-derived products can enable
sustainable substitution of petroleum-derived fuels and chemicals. However,
developing new microbial cell factories for producing high levels of fatty acids
requires extensive engineering of lipid metabolism, a complex and tightly
regulated metabolic network. Here we generated a Saccharomyces cerevisiae
platform strain with a simplified lipid metabolism network with high-level
production of free fatty acids (FFAs) due to redirected fatty acid metabolism and
reduced feedback regulation. Deletion of the main fatty acid activation genes
(the first step in beta-oxidation), main storage lipid formation genes, and
phosphatidate phosphatase genes resulted in a constrained lipid metabolic network
in which fatty acid flux was directed to a large extent toward phospholipids.
This resulted in simultaneous increases of phospholipids by up to 2.8-fold and of
FFAs by up to 40-fold compared with wild-type levels. Further deletion of
phospholipase genes PLB1 and PLB2 resulted in a 46% decrease in FFA levels and
105% increase in phospholipid levels, suggesting that phospholipid hydrolysis
plays an important role in FFA production when phospholipid levels are increased.
The multiple deletion mutant generated allowed for a study of fatty acid dynamics
in lipid metabolism and represents a platform strain with interesting properties
that provide insight into the future development of lipid-related cell factories.
PMID- 29358380
TI - Aberration-corrected cryoimmersion light microscopy.
AB - Cryogenic fluorescent light microscopy of flash-frozen cells stands out by
artifact-free fixation and very little photobleaching of the fluorophores used.
To attain the highest level of resolution, aberration-free immersion objectives
with accurately matched immersion media are required, but both do not exist for
imaging below the glass-transition temperature of water. Here, we resolve this
challenge by combining a cryoimmersion medium, HFE-7200, which matches the
refractive index of room-temperature water, with a technological concept in which
the body of the objective and the front lens are not in thermal equilibrium. We
implemented this concept by replacing the metallic front-lens mount of a standard
bioimaging water immersion objective with an insulating ceramic mount heated
around its perimeter. In this way, the objective metal housing can be maintained
at room temperature, while creating a thermally shielded cold microenvironment
around the sample and front lens. To demonstrate the range of potential
applications, we show that our method can provide superior contrast in
Escherichia coli and yeast cells expressing fluorescent proteins and resolve
submicrometer structures in multicolor immunolabeled human bone osteosarcoma
epithelial (U2OS) cells at [Formula: see text]C.
PMID- 29358381
TI - Structural flexibility and protein adaptation to temperature: Molecular dynamics
analysis of malate dehydrogenases of marine molluscs.
AB - Orthologous proteins of species adapted to different temperatures exhibit
differences in stability and function that are interpreted to reflect adaptive
variation in structural "flexibility." However, quantifying flexibility and
comparing flexibility across proteins has remained a challenge. To address this
issue, we examined temperature effects on cytosolic malate dehydrogenase (cMDH)
orthologs from differently thermally adapted congeners of five genera of marine
molluscs whose field body temperatures span a range of ~60 degrees C. We
describe consistent patterns of convergent evolution in adaptation of function
[temperature effects on KM of cofactor (NADH)] and structural stability (rate of
heat denaturation of activity). To determine how these differences depend on
flexibilities of overall structure and of regions known to be important in
binding and catalysis, we performed molecular dynamics simulation (MDS) analyses.
MDS analyses revealed a significant negative correlation between adaptation
temperature and heat-induced increase of backbone atom movements [root mean
square deviation (rmsd) of main-chain atoms]. Root mean square fluctuations
(RMSFs) of movement by individual amino acid residues varied across the sequence
in a qualitatively similar pattern among orthologs. Regions of sequence involved
in ligand binding and catalysis-termed mobile regions 1 and 2 (MR1 and MR2),
respectively-showed the largest values for RMSF. Heat-induced changes in RMSF
values across the sequence and, importantly, in MR1 and MR2 were greatest in cold
adapted species. MDS methods are shown to provide powerful tools for examining
adaptation of enzymes by providing a quantitative index of protein flexibility
and identifying sequence regions where adaptive change in flexibility occurs.
PMID- 29358379
TI - Context-dependent functions of angiopoietin 2 are determined by the endothelial
phosphatase VEPTP.
AB - The angiopoietin (ANGPT)-TIE2/TEK signaling pathway is essential for blood and
lymphatic vascular homeostasis. ANGPT1 is a potent TIE2 activator, whereas ANGPT2
functions as a context-dependent agonist/antagonist. In disease, ANGPT2-mediated
inhibition of TIE2 in blood vessels is linked to vascular leak, inflammation, and
metastasis. Using conditional knockout studies in mice, we show TIE2 is
predominantly activated by ANGPT1 in the cardiovascular system and by ANGPT2 in
the lymphatic vasculature. Mechanisms underlying opposing actions of ANGPT2 in
blood vs. lymphatic endothelium are poorly understood. Here we show the
endothelial-specific phosphatase VEPTP (vascular endothelial protein tyrosine
phosphatase) determines TIE2 response to ANGPT2. VEPTP is absent from lymphatic
endothelium in mouse in vivo, permitting ANGPT2/TIE2-mediated lymphangiogenesis.
Inhibition of VEPTP converts ANGPT2 into a potent TIE2 activator in blood
endothelium. Our data support a model whereby VEPTP functions as a rheostat to
modulate ANGPT2 ligand effect on TIE2.
PMID- 29358382
TI - Prediction of inherited genomic susceptibility to 20 common cancer types by a
supervised machine-learning method.
AB - Prevention and early intervention are the most effective ways of avoiding or
minimizing psychological, physical, and financial suffering from cancer. However,
such proactive action requires the ability to predict the individual's
susceptibility to cancer with a measure of probability. Of the triad of cancer
causing factors (inherited genomic susceptibility, environmental factors, and
lifestyle factors), the inherited genomic component may be derivable from the
recent public availability of a large body of whole-genome variation data.
However, genome-wide association studies have so far showed limited success in
predicting the inherited susceptibility to common cancers. We present here a
multiple classification approach for predicting individuals' inherited genomic
susceptibility to acquire the most likely phenotype among a panel of 20 major
common cancer types plus 1 "healthy" type by application of a supervised machine
learning method under competing conditions among the cohorts of the 21 types.
This approach suggests that, depending on the phenotypes of 5,919 individuals of
"white" ethnic population in this study, (i) the portion of the cohort of a
cancer type who acquired the observed type due to mostly inherited genomic
susceptibility factors ranges from about 33 to 88% (or its corollary: the portion
due to mostly environmental and lifestyle factors ranges from 12 to 67%), and
(ii) on an individual level, the method also predicts individuals' inherited
genomic susceptibility to acquire the other types ranked with associated
probabilities. These probabilities may provide practical information for
individuals, heath professionals, and health policymakers related to prevention
and/or early intervention of cancer.
PMID- 29358383
TI - Urban flux measurements reveal a large pool of oxygenated volatile organic
compound emissions.
AB - Atmospheric chemistry is fueled by a large annual influx of nonmethane volatile
organic compounds (NMVOC). These compounds influence ozone formation, lead to
secondary organic aerosol production, and play a significant role for the
oxidizing capacity of the atmosphere. The anthropogenic NMVOC budget is
considerably uncertain due to the diversity of urban emission sources. Here, we
present comprehensive observations of urban NMVOC eddy covariance fluxes using a
newly designed proton-transfer-reaction quadrupole interface time-of-flight mass
spectrometer. We found emission fluxes of a surprisingly large pool of oxygenated
NMVOCs (OVOCs) with an appreciable fraction of higher oxidized OVOCs that cannot
be explained by known fast photochemical turnaround or current primary emission
estimates. Measured OVOC/NMVOC bulk flux ratios are two to four times higher than
inferred from aggregated anthropogenic emission inventories. Extrapolating these
results would double the global anthropogenic NMVOC flux. In view of globally
accelerating urbanization, our study highlights the need to reevaluate the
influence of anthropogenic NMVOC on atmospheric chemistry, human health, and the
climate system.
PMID- 29358384
TI - Humidity determines snowpack ablation under a warming climate.
AB - Climate change is altering historical patterns of snow accumulation and melt,
threatening societal frameworks for water supply. However, decreases in spring
snow water equivalent (SWE) and changes in snowmelt are not ubiquitous despite
widespread warming in the western United States, highlighting the importance of
latent and radiant energy fluxes in snow ablation. Here we demonstrate how
atmospheric humidity and solar radiation interact with warming temperature to
control snowpack ablation at 462 sites spanning a gradient in mean winter
temperature from -8.9 to +2.9 degrees C. The most widespread response to warming
was an increase in episodic, midwinter ablation events. Under humid conditions
these ablation events were dominated by melt, averaging 21% (202 mm/year) of SWE.
Winter ablation under dry atmospheric conditions at similar temperatures was
smaller, averaging 12% (58 mm/year) of SWE and likely dominated by sublimation
fluxes. These contrasting patterns result from the critical role that atmospheric
humidity plays in local energy balance, with latent and longwave radiant fluxes
cooling the snowpack under dry conditions and warming it under humid conditions.
Similarly, spring melt rates were faster under humid conditions, yet the second
most common trend was a reduction in spring melt rates associated with earlier
initiation when solar radiation inputs are smaller. Our analyses demonstrate that
regional differences in atmospheric humidity are a major cause of the spatial
variability in snowpack response to warming. Better constraints on humidity will
be critical to predicting both the amount and timing of surface water supplies
under climate change.
PMID- 29358386
TI - Profile of Steve Granick.
PMID- 29358385
TI - Shape-directed dynamics of active colloids powered by induced-charge
electrophoresis.
AB - The symmetry and shape of colloidal particles can direct complex particle motions
through fluid environments powered by simple energy inputs. The ability to
rationally design or "program" the dynamics of such active colloids is an
important step toward the realization of colloidal machines, in which components
assemble spontaneously in space and time to perform dynamic (dissipative)
functions such as actuation and transport. Here, we systematically investigate
the dynamics of polarizable particles of different shapes moving in an
oscillating electric field via induced-charge electrophoresis (ICEP). We consider
particles from each point group in three dimensions (3D) and identify the
different rotational and translational motions allowed by symmetry. We describe
how the 3D shape of rigid particles can be tailored to achieve desired dynamics
including oscillatory motions, helical trajectories, and complex periodic orbits.
The methodology we develop is generally applicable to the design of shape
directed particle motions powered by other energy inputs.
PMID- 29358387
TI - Precipitation formation from orographic cloud seeding.
AB - Throughout the western United States and other semiarid mountainous regions
across the globe, water supplies are fed primarily through the melting of
snowpack. Growing populations place higher demands on water, while warmer winters
and earlier springs reduce its supply. Water managers are tantalized by the
prospect of cloud seeding as a way to increase winter snowfall, thereby shifting
the balance between water supply and demand. Little direct scientific evidence
exists that confirms even the basic physical hypothesis upon which cloud seeding
relies. The intent of glaciogenic seeding of orographic clouds is to introduce
aerosol into a cloud to alter the natural development of cloud particles and
enhance wintertime precipitation in a targeted region. The hypothesized chain of
events begins with the introduction of silver iodide aerosol into cloud regions
containing supercooled liquid water, leading to the nucleation of ice crystals,
followed by ice particle growth to sizes sufficiently large such that snow falls
to the ground. Despite numerous experiments spanning several decades, no direct
observations of this process exist. Here, measurements from radars and aircraft
mounted cloud physics probes are presented that together show the initiation,
growth, and fallout to the mountain surface of ice crystals resulting from
glaciogenic seeding. These data, by themselves, do not address the question of
cloud seeding efficacy, but rather form a critical set of observations necessary
for such investigations. These observations are unambiguous and provide details
of the physical chain of events following the introduction of glaciogenic cloud
seeding aerosol into supercooled liquid orographic clouds.
PMID- 29358388
TI - Early hominids may have been weed species.
AB - Panid, gorillid, and hominid social structures appear to have diverged as
dramatically as did their locomotor patterns as they emerged from a late Miocene
last common ancestor (LCA). Despite their elimination of the sectorial canine
complex and adoption of bipedality with its attendant removal of their ready
access to the arboreal canopy, Australopithecus was able to easily invade novel
habitats after florescence from its likely ancestral genus, Ardipithecus sp.
Other hominoids, unable to sustain sufficient population growth, began an
inexorable decline, culminating in their restriction to modern refugia. Success
similar to that of earliest hominids also characterizes several species of
macaques, often termed "weed species." We here review their most salient
demographic features and find that a key element is irregularly elevated female
survival. It is reasonable to conclude that a similar feature characterized early
hominids, most likely made possible by the adoption of social monogamy. Reduced
female mortality is a more probable key to early hominid success than a reduction
in birth space, which would have been physiologically more difficult.
PMID- 29358389
TI - Climate vulnerability and resilience in the most valuable North American fishery.
AB - Managing natural resources in an era of increasing climate impacts requires
accounting for the synergistic effects of climate, ecosystem changes, and
harvesting on resource productivity. Coincident with recent exceptional warming
of the northwest Atlantic Ocean and removal of large predatory fish, the American
lobster has become the most valuable fishery resource in North America. Using a
model that links ocean temperature, predator density, and fishing to population
productivity, we show that harvester-driven conservation efforts to protect large
lobsters prepared the Gulf of Maine lobster fishery to capitalize on favorable
ecosystem conditions, resulting in the record-breaking landings recently observed
in the region. In contrast, in the warmer southern New England region, the
absence of similar conservation efforts precipitated warming-induced recruitment
failure that led to the collapse of the fishery. Population projections under
expected warming suggest that the American lobster fishery is vulnerable to
future temperature increases, but continued efforts to preserve the stock's
reproductive potential can dampen the negative impacts of warming. This study
demonstrates that, even though global climate change is severely impacting marine
ecosystems, widely adopted, proactive conservation measures can increase the
resilience of commercial fisheries to climate change.
PMID- 29358390
TI - Frequency modulation of neural oscillations according to visual task demands.
AB - Temporal integration in visual perception is thought to occur within cycles of
occipital alpha-band (8-12 Hz) oscillations. Successive stimuli may be integrated
when they fall within the same alpha cycle and segregated for different alpha
cycles. Consequently, the speed of alpha oscillations correlates with the
temporal resolution of perception, such that lower alpha frequencies provide
longer time windows for perceptual integration and higher alpha frequencies
correspond to faster sampling and segregation. Can the brain's rhythmic activity
be dynamically controlled to adjust its processing speed according to different
visual task demands? We recorded magnetoencephalography (MEG) while participants
switched between task instructions for temporal integration and segregation,
holding stimuli and task difficulty constant. We found that the peak frequency of
alpha oscillations decreased when visual task demands required temporal
integration compared with segregation. Alpha frequency was strategically
modulated immediately before and during stimulus processing, suggesting a
preparatory top-down source of modulation. Its neural generators were located in
occipital and inferotemporal cortex. The frequency modulation was specific to
alpha oscillations and did not occur in the delta (1-3 Hz), theta (3-7 Hz), beta
(15-30 Hz), or gamma (30-50 Hz) frequency range. These results show that alpha
frequency is under top-down control to increase or decrease the temporal
resolution of visual perception.
PMID- 29358391
TI - Evolutionary history of carbon monoxide dehydrogenase/acetyl-CoA synthase, one of
the oldest enzymatic complexes.
AB - Carbon monoxide dehydrogenase/acetyl-CoA synthase (CODH/ACS) is a five-subunit
enzyme complex responsible for the carbonyl branch of the Wood-Ljungdahl (WL)
pathway, considered one of the most ancient metabolisms for anaerobic carbon
fixation, but its origin and evolutionary history have been unclear. While
traditionally associated with methanogens and acetogens, the presence of CODH/ACS
homologs has been reported in a large number of uncultured anaerobic lineages.
Here, we have carried out an exhaustive phylogenomic study of CODH/ACS in over
6,400 archaeal and bacterial genomes. The identification of complete and likely
functional CODH/ACS complexes in these genomes significantly expands its
distribution in microbial lineages. The CODH/ACS complex displays astounding
conservation and vertical inheritance over geological times. Rare intradomain and
interdomain transfer events might tie into important functional transitions,
including the acquisition of CODH/ACS in some archaeal methanogens not known to
fix carbon, the tinkering of the complex in a clade of model bacterial acetogens,
or emergence of archaeal-bacterial hybrid complexes. Once these transfers were
clearly identified, our results allowed us to infer the presence of a CODH/ACS
complex with at least four subunits in the last universal common ancestor (LUCA).
Different scenarios on the possible role of ancestral CODH/ACS are discussed.
Despite common assumptions, all are equally compatible with an autotrophic,
mixotrophic, or heterotrophic LUCA. Functional characterization of CODH/ACS from
a larger spectrum of bacterial and archaeal lineages and detailed evolutionary
analysis of the WL methyl branch will help resolve this issue.
PMID- 29358392
TI - IL-1beta enables CNS access to CCR2hi monocytes and the generation of pathogenic
cells through GM-CSF released by CNS endothelial cells.
AB - Molecular interventions that limit pathogenic CNS inflammation are used to treat
autoimmune conditions such as multiple sclerosis (MS). Remarkably, IL-1beta
knockout mice are highly resistant to experimental autoimmune encephalomyelitis
(EAE), an animal model of MS. Here, we show that interfering with the IL-1beta/IL
1R1 axis severely impairs the transmigration of myeloid cells across central
nervous system (CNS) endothelial cells (ECs). Notably, we report that IL-1beta
expression by inflammatory CCR2hi monocytes favors their entry into the spinal
cord before EAE onset. Following activation with IL-1beta, CNS ECs release GM
CSF, which in turn converts monocytes into antigen-presenting cells (APCs).
Accordingly, spinal cord-infiltrated monocyte-derived APCs are associated with
dividing CD4+ T cells. Factors released from the interaction between IL-1beta
competent myeloid cells and CD4+ T cells are highly toxic to neurons. Together,
our results suggest that IL-1beta signaling is an entry point for targeting both
the initiation and exacerbation of neuroinflammation.
PMID- 29358393
TI - Increased thermogenesis by a noncanonical pathway in ANGPTL3/8-deficient mice.
AB - Dietary triglyceride (TG) is the most efficient energy substrate. It is processed
and stored at substantially lower metabolic cost than is protein or carbohydrate.
In fed animals, circulating TGs are preferentially routed for storage to white
adipose tissue (WAT) by angiopoietin-like proteins 3 (A3) and 8 (A8). Here, we
show that mice lacking A3 and A8 (A3-/-A8-/- mice) have decreased fat mass and a
striking increase in temperature (+1 degrees C) in the fed (but not fasted)
state, without alterations in food intake or physical activity. Subcutaneous WAT
(WAT-SQ) from these animals had morphologic and metabolic changes characteristic
of beiging. O2 consumption rates (OCRs) and expression of genes involved in both
fatty acid synthesis and fatty acid oxidation were increased in WAT-SQ of A3-/-A8
/- mice, but not in their epididymal or brown adipose tissue (BAT). The
hyperthermic response to feeding was blocked by maintaining A3-/-A8-/- mice at
thermoneutrality or by treating with a beta3-adrenergic receptor (AR) antagonist.
To determine if sympathetic stimulation was sufficient to increase body
temperature in A3-/-A8-/- mice, WT and A3-/-A8-/- animals were maintained at
thermoneutrality and then treated with a beta3-AR agonist; treatment induced
hyperthermia in A3-/-A8-/- , but not WT, mice. Antibody-mediated inactivation of
both circulating A3 and A8 induced hyperthermia in WT mice. Together, these data
indicate that A3 and A8 are essential for efficient storage of dietary TG and
that disruption of these genes increases feeding-induced thermogenesis and energy
utilization.
PMID- 29358394
TI - Global models underestimate large decadal declining and rising water storage
trends relative to GRACE satellite data.
AB - Assessing reliability of global models is critical because of increasing reliance
on these models to address past and projected future climate and human stresses
on global water resources. Here, we evaluate model reliability based on a
comprehensive comparison of decadal trends (2002-2014) in land water storage from
seven global models (WGHM, PCR-GLOBWB, GLDAS NOAH, MOSAIC, VIC, CLM, and CLSM) to
trends from three Gravity Recovery and Climate Experiment (GRACE) satellite
solutions in 186 river basins (~60% of global land area). Medians of modeled
basin water storage trends greatly underestimate GRACE-derived large decreasing
(<=-0.5 km3/y) and increasing (>=0.5 km3/y) trends. Decreasing trends from GRACE
are mostly related to human use (irrigation) and climate variations, whereas
increasing trends reflect climate variations. For example, in the Amazon, GRACE
estimates a large increasing trend of ~43 km3/y, whereas most models estimate
decreasing trends (-71 to 11 km3/y). Land water storage trends, summed over all
basins, are positive for GRACE (~71-82 km3/y) but negative for models (-450 to
12 km3/y), contributing opposing trends to global mean sea level change. Impacts
of climate forcing on decadal land water storage trends exceed those of modeled
human intervention by about a factor of 2. The model-GRACE comparison highlights
potential areas of future model development, particularly simulated water
storage. The inability of models to capture large decadal water storage trends
based on GRACE indicates that model projections of climate and human-induced
water storage changes may be underestimated.
PMID- 29358395
TI - Genetic and epigenetic alterations in normal tissues have differential impacts on
cancer risk among tissues.
AB - Genetic and epigenetic alterations are both involved in carcinogenesis, and their
low-level accumulation in normal tissues constitutes cancer risk. However, their
relative importance has never been examined, as measurement of low-level
mutations has been difficult. Here, we measured low-level accumulations of
genetic and epigenetic alterations in normal tissues with low, intermediate, and
high cancer risk and analyzed their relative effects on cancer risk in the
esophagus and stomach. Accumulation of genetic alterations, estimated as a
frequency of rare base substitution mutations, significantly increased according
to cancer risk in esophageal mucosae, but not in gastric mucosae. The mutation
patterns reflected the exposure to lifestyle risk factors. In contrast, the
accumulation of epigenetic alterations, measured as DNA methylation levels of
marker genes, significantly increased according to cancer risk in both tissues.
Patients with cancer (high-risk individuals) were precisely discriminated from
healthy individuals with exposure to risk factors (intermediate-risk individuals)
by a combination of alterations in the esophagus (odds ratio, 18.2; 95%
confidence interval, 3.69-89.9) and by only epigenetic alterations in the stomach
(odds ratio, 7.67; 95% confidence interval, 2.52-23.3). The relative importance
of epigenetic alterations upon genetic alterations was 1.04 in the esophagus and
2.31 in the stomach. The differential impacts among tissues will be critically
important for effective cancer prevention and precision cancer risk diagnosis.
PMID- 29358397
TI - Potential for western US seasonal snowpack prediction.
AB - Western US snowpack-snow that accumulates on the ground in the mountains-plays a
critical role in regional hydroclimate and water supply, with 80% of snowmelt
runoff being used for agriculture. While climate projections provide estimates of
snowpack loss by the end of the century and weather forecasts provide predictions
of weather conditions out to 2 weeks, less progress has been made for snow
predictions at seasonal timescales (months to 2 years), crucial for regional
agricultural decisions (e.g., plant choice and quantity). Seasonal predictions
with climate models first took the form of El Nino predictions 3 decades ago,
with hydroclimate predictions emerging more recently. While the field has been
focused on single-season predictions (3 months or less), we are now poised to
advance our predictions beyond this timeframe. Utilizing observations, climate
indices, and a suite of global climate models, we demonstrate the feasibility of
seasonal snowpack predictions and quantify the limits of predictive skill 8
months in advance. This physically based dynamic system outperforms observation
based statistical predictions made on July 1 for March snowpack everywhere except
the southern Sierra Nevada, a region where prediction skill is nonexistent for
every predictor presently tested. Additionally, in the absence of externally
forced negative trends in snowpack, narrow maritime mountain ranges with high
hydroclimate variability pose a challenge for seasonal prediction in our present
system; natural snowpack variability may inherently be unpredictable at this
timescale. This work highlights present prediction system successes and gives
cause for optimism for developing seasonal predictions for societal needs.
PMID- 29358396
TI - Centipedes subdue giant prey by blocking KCNQ channels.
AB - Centipedes can subdue giant prey by using venom, which is metabolically expensive
to synthesize and thus used frugally through efficiently disrupting essential
physiological systems. Here, we show that a centipede (Scolopendra subspinipes
mutilans, ~3 g) can subdue a mouse (~45 g) within 30 seconds. We found that this
observation is largely due to a peptide toxin in the venom, SsTx, and further
established that SsTx blocks KCNQ potassium channels to exert the lethal
toxicity. We also demonstrated that a KCNQ opener, retigabine, neutralizes the
toxicity of a centipede's venom. The study indicates that centipedes' venom has
evolved to simultaneously disrupt cardiovascular, respiratory, muscular, and
nervous systems by targeting the broadly distributed KCNQ channels, thus
providing a therapeutic strategy for centipede envenomation.
PMID- 29358398
TI - Nonsense-mediated mRNA decay factors cure most [PSI+] prion variants.
AB - The yeast prion [PSI+] is a self-propagating amyloid of Sup35p with a folded in
register parallel beta-sheet architecture. In a genetic screen for antiprion
genes, using the yeast knockout collection, UPF1/NAM7 and UPF3, encoding nonsense
mediated mRNA decay (NMD) factors, were frequently detected. Almost all [PSI+]
variants arising in the absence of Upf proteins were eliminated by restored
normal levels of these proteins, and [PSI+] arises more frequently in upf
mutants. Upf1p, complexed with Upf2p and Upf3p, is a multifunctional protein with
helicase, ATP-binding, and RNA-binding activities promoting efficient translation
termination and degradation of mRNAs with premature nonsense codons. We find that
the curing ability of Upf proteins is uncorrelated with these previously reported
functions but does depend on their interaction with Sup35p and formation of the
Upf1p-Upf2p-Upf3p complex (i.e., the Upf complex). Indeed, Sup35p amyloid
formation in vitro is inhibited by substoichiometric Upf1p. Inhibition of [PSI+]
prion generation and propagation by Upf proteins may be due to the monomeric Upf
proteins and the Upf complex competing with Sup35p amyloid fibers for available
Sup35p monomers. Alternatively, the association of the Upf complex with amyloid
filaments may block the addition of new monomers. Our results suggest that
maintenance of normal protein-protein interactions prevents prion formation and
can even reverse the process.
PMID- 29358399
TI - Tau induces blood vessel abnormalities and angiogenesis-related gene expression
in P301L transgenic mice and human Alzheimer's disease.
AB - Mixed pathology, with both Alzheimer's disease and vascular abnormalities, is the
most common cause of clinical dementia in the elderly. While usually thought to
be concurrent diseases, the fact that changes in cerebral blood flow are a
prominent early and persistent alteration in Alzheimer's disease raises the
possibility that vascular alterations and Alzheimer pathology are more directly
linked. Here, we report that aged tau-overexpressing mice develop changes to
blood vessels including abnormal, spiraling morphologies; reduced blood vessel
diameters; and increased overall blood vessel density in cortex. Blood flow in
these vessels was altered, with periods of obstructed flow rarely observed in
normal capillaries. These changes were accompanied by cortical atrophy as well as
increased expression of angiogenesis-related genes such as Vegfa, Serpine1, and
Plau in CD31-positive endothelial cells. Interestingly, mice overexpressing
nonmutant forms of tau in the absence of frank neurodegeneration also
demonstrated similar changes. Furthermore, many of the genes we observe in mice
are also altered in human RNA datasets from Alzheimer patients, particularly in
brain regions classically associated with tau pathology such as the temporal lobe
and limbic system regions. Together these data indicate that tau pathological
changes in neurons can impact brain endothelial cell biology, altering the
integrity of the brain's microvasculature.
PMID- 29358400
TI - Methyltransferases of gentamicin biosynthesis.
AB - Gentamicin C complex from Micromonospora echinospora remains a globally important
antibiotic, and there is revived interest in the semisynthesis of analogs that
might show improved therapeutic properties. The complex consists of five
components differing in their methylation pattern at one or more sites in the
molecule. We show here, using specific gene deletion and chemical
complementation, that the gentamicin pathway up to the branch point is defined by
the selectivity of the methyltransferases GenN, GenD1, and GenK. Unexpectedly,
they comprise a methylation network in which early intermediates are ectopically
modified. Using whole-genome sequence, we have also discovered the terminal 6'-N
methyltransfer required to produce gentamicin C2b from C1a or gentamicin C1 from
C2, an example of an essential biosynthetic enzyme being located not in the
biosynthetic gene cluster but far removed on the chromosome. These findings fully
account for the methylation pattern in gentamicins and open the way to production
of individual gentamicins by fermentation, as starting materials for
semisynthesis.
PMID- 29358402
TI - Correction for Droog et al., Estrogen receptor alpha wields treatment-specific
enhancers between morphologically similar endometrial tumors.
PMID- 29358401
TI - Establishment of the early cilia preassembly protein complex during motile
ciliogenesis.
AB - Motile cilia are characterized by dynein motor units, which preassemble in the
cytoplasm before trafficking into the cilia. Proteins required for dynein
preassembly were discovered by finding human mutations that result in absent
ciliary motors, but little is known about their expression, function, or
interactions. By monitoring ciliogenesis in primary airway epithelial cells and
MCIDAS-regulated induced pluripotent stem cells, we uncovered two phases of
expression of preassembly proteins. An early phase, composed of HEATR2, SPAG1,
and DNAAF2, preceded other preassembly proteins and was independent of MCIDAS
regulation. The early preassembly proteins colocalized within perinuclear foci
that also contained dynein arm proteins. These proteins also interacted based on
immunoprecipitation and Forster resonance energy transfer (FRET) studies. FRET
analysis of HEAT domain deletions and human mutations showed that HEATR2
interacted with itself and SPAG1 at multiple HEAT domains, while DNAAF2
interacted with SPAG1. Human mutations in HEATR2 did not affect this interaction,
but triggered the formation of p62/Sequestosome-1-positive aggregates containing
the early preassembly proteins, suggesting that degradation of an early
preassembly complex is responsible for disease and pointing to key regions
required for HEATR2 scaffold stability. We speculate that HEATR2 is an early
scaffold for the initiation of dynein complex assembly in motile cilia.
PMID- 29358403
TI - Intracellular Ca2+ stores control in vivo neuronal hyperactivity in a mouse model
of Alzheimer's disease.
AB - Neuronal hyperactivity is the emerging functional hallmark of Alzheimer's disease
(AD) in both humans and different mouse models, mediating an impairment of memory
and cognition. The mechanisms underlying neuronal hyperactivity remain, however,
elusive. In vivo Ca2+ imaging of somatic, dendritic, and axonal activity patterns
of cortical neurons revealed that both healthy aging and AD-related mutations
augment neuronal hyperactivity. The AD-related enhancement occurred even without
amyloid deposition and neuroinflammation, mainly due to presenilin-mediated
dysfunction of intracellular Ca2+ stores in presynaptic boutons, likely causing
more frequent activation of synaptic NMDA receptors. In mutant but not wild-type
mice, store emptying reduced both the frequency and amplitude of presynaptic Ca2+
transients and, most importantly, normalized neuronal network activity.
Postsynaptically, the store dysfunction was minor and largely restricted to
hyperactive cells. These findings identify presynaptic Ca2+ stores as a key
element controlling AD-related neuronal hyperactivity and as a target for disease
modifying treatments.
PMID- 29358404
TI - Nonfollicular reactivation of bone marrow resident memory CD4 T cells in immune
clusters of the bone marrow.
AB - The bone marrow maintains memory CD4 T cells, which provide memory to systemic
antigens. Here we demonstrate that memory CD4 T cells are reactivated by antigen
in the bone marrow. In a secondary immune response, antigen-specific T cells of
the bone marrow mobilize and aggregate in immune clusters together with MHC class
II-expressing cells, mostly B lymphocytes. They proliferate vigorously and
express effector cytokines, but they do not develop into follicular T-helper
cells. Neither do the B lymphocytes develop into germinal center B cells in the
bone marrow. Within 10 days, the immune clusters disappear again. Within 30 days,
the expanded antigen-specific memory CD4 T cells return to memory niches and are
maintained again individually as resting cells. Thus, in secondary immune
responses in the bone marrow T-cell memory is amplified, while in germinal center
reactions of secondary lymphoid organs humoral memory is adapted by affinity
maturation.
PMID- 29358405
TI - Assembly and ecological function of the root microbiome across angiosperm plant
species.
AB - Across plants and animals, host-associated microbial communities play fundamental
roles in host nutrition, development, and immunity. The factors that shape host
microbiome interactions are poorly understood, yet essential for understanding
the evolution and ecology of these symbioses. Plant roots assemble two distinct
microbial compartments from surrounding soil: the rhizosphere (microbes
surrounding roots) and the endosphere (microbes within roots). Root-associated
microbes were key for the evolution of land plants and underlie fundamental
ecosystem processes. However, it is largely unknown how plant evolution has
shaped root microbial communities, and in turn, how these microbes affect plant
ecology, such as the ability to mitigate biotic and abiotic stressors. Here we
show that variation among 30 angiosperm species, which have diverged for up to
140 million years, affects root bacterial diversity and composition. Greater
similarity in root microbiomes between hosts leads to negative effects on plant
performance through soil feedback, with specific microbial taxa in the endosphere
and rhizosphere potentially affecting competitive interactions among plant
species. Drought also shifts the composition of root microbiomes, most notably by
increasing the relative abundance of the Actinobacteria. However, this drought
response varies across host plant species, and host-specific changes in the
relative abundance of endosphere Streptomyces are associated with host drought
tolerance. Our results emphasize the causes of variation in root microbiomes and
their ecological importance for plant performance in response to biotic and
abiotic stressors.
PMID- 29358406
TI - Molecular clutch drives cell response to surface viscosity.
AB - Cell response to matrix rigidity has been explained by the mechanical properties
of the actin-talin-integrin-fibronectin clutch. Here the molecular clutch model
is extended to account for cell interactions with purely viscous surfaces (i.e.,
without an elastic component). Supported lipid bilayers present an idealized and
controllable system through which to study this concept. Using lipids of
different diffusion coefficients, the mobility (i.e., surface viscosity) of the
presented ligands (in this case RGD) was altered by an order of magnitude. Cell
size and cytoskeletal organization were proportional to viscosity. Furthermore,
there was a higher number of focal adhesions and a higher phosphorylation of FAK
on less-mobile (more-viscous) surfaces. Actin retrograde flow, an indicator of
the force exerted on surfaces, was also seen to be faster on more mobile
surfaces. This has consequential effects on downstream molecules; the
mechanosensitive YAP protein localized to the nucleus more on less-mobile (more
viscous) surfaces and differentiation of myoblast cells was enhanced on higher
viscosity. This behavior was explained within the framework of the molecular
clutch model, with lower viscosity leading to a low force loading rate,
preventing the exposure of mechanosensitive proteins, and with a higher viscosity
causing a higher force loading rate exposing these sites, activating downstream
pathways. Consequently, the understanding of how viscosity (regardless of matrix
stiffness) influences cell response adds a further tool to engineer materials
that control cell behavior.
PMID- 29358408
TI - Sporadic on/off switching of HTLV-1 Tax expression is crucial to maintain the
whole population of virus-induced leukemic cells.
AB - Viruses causing chronic infection artfully manipulate infected cells to enable
viral persistence in vivo under the pressure of immunity. Human T-cell leukemia
virus type 1 (HTLV-1) establishes persistent infection mainly in CD4+ T cells in
vivo and induces leukemia in this subset. HTLV-1-encoded Tax is a critical
transactivator of viral replication and a potent oncoprotein, but its
significance in pathogenesis remains obscure due to its very low level of
expression in vivo. Here, we show that Tax is expressed in a minor fraction of
leukemic cells at any given time, and importantly, its expression spontaneously
switches between on and off states. Live cell imaging revealed that the average
duration of one episode of Tax expression is ~19 hours. Knockdown of Tax rapidly
induced apoptosis in most cells, indicating that Tax is critical for maintaining
the population, even if its short-term expression is limited to a small
subpopulation. Single-cell analysis and computational simulation suggest that
transient Tax expression triggers antiapoptotic machinery, and this effect
continues even after Tax expression is diminished; this activation of the
antiapoptotic machinery is the critical event for maintaining the population. In
addition, Tax is induced by various cytotoxic stresses and also promotes HTLV-1
replication. Thus, it seems that Tax protects infected cells from apoptosis and
increases the chance of viral transmission at a critical moment. Keeping the
expression of Tax minimal but inducible on demand is, therefore, a fundamental
strategy of HTLV-1 to promote persistent infection and leukemogenesis.
PMID- 29358407
TI - Motile cilia of human airway epithelia contain hedgehog signaling components that
mediate noncanonical hedgehog signaling.
AB - Differentiated airway epithelia produce sonic hedgehog (SHH), which is found in
the thin layer of liquid covering the airway surface. Although previous studies
showed that vertebrate HH signaling requires primary cilia, as airway epithelia
mature, the cells lose primary cilia and produce hundreds of motile cilia. Thus,
whether airway epithelia have apical receptors for SHH has remained unknown. We
discovered that motile cilia on airway epithelial cells have HH signaling
proteins, including patched and smoothened. These cilia also have proteins
affecting cAMP-dependent signaling, including Galphai and adenylyl cyclase 5/6.
Apical SHH decreases intracellular levels of cAMP, which reduces ciliary beat
frequency and pH in airway surface liquid. These results suggest that apical SHH
may mediate noncanonical HH signaling through motile cilia to dampen respiratory
defenses at the contact point between the environment and the lung, perhaps
counterbalancing processes that stimulate airway defenses.
PMID- 29358410
TI - Transit-time and age distributions for nonlinear time-dependent compartmental
systems.
AB - Many processes in nature are modeled using compartmental systems
(reservoir/pool/box systems). Usually, they are expressed as a set of first-order
differential equations describing the transfer of matter across a network of
compartments. The concepts of age of matter in compartments and the time required
for particles to transit the system are important diagnostics of these models
with applications to a wide range of scientific questions. Until now, explicit
formulas for transit-time and age distributions of nonlinear time-dependent
compartmental systems were not available. We compute densities for these types of
systems under the assumption of well-mixed compartments. Assuming that a solution
of the nonlinear system is available at least numerically, we show how to
construct a linear time-dependent system with the same solution trajectory. We
demonstrate how to exploit this solution to compute transit-time and age
distributions in dependence on given start values and initial age distributions.
Furthermore, we derive equations for the time evolution of quantiles and moments
of the age distributions. Our results generalize available density formulas for
the linear time-independent case and mean-age formulas for the linear time
dependent case. As an example, we apply our formulas to a nonlinear and a linear
version of a simple global carbon cycle model driven by a time-dependent input
signal which represents fossil fuel additions. We derive time-dependent age
distributions for all compartments and calculate the time it takes to remove
fossil carbon in a business-as-usual scenario.
PMID- 29358411
TI - Dendritic Cells on the Way to Glory.
PMID- 29358409
TI - Structure and function of the archaeal response regulator CheY.
AB - Motility is a central feature of many microorganisms and provides an efficient
strategy to respond to environmental changes. Bacteria and archaea have developed
fundamentally different rotary motors enabling their motility, termed flagellum
and archaellum, respectively. Bacterial motility along chemical gradients, called
chemotaxis, critically relies on the response regulator CheY, which, when
phosphorylated, inverses the rotational direction of the flagellum via a switch
complex at the base of the motor. The structural difference between archaellum
and flagellum and the presence of functional CheY in archaea raises the question
of how the CheY protein changed to allow communication with the archaeal motility
machinery. Here we show that archaeal CheY shares the overall structure and
mechanism of magnesium-dependent phosphorylation with its bacterial counterpart.
However, bacterial and archaeal CheY differ in the electrostatic potential of the
helix alpha4. The helix alpha4 is important in bacteria for interaction with the
flagellar switch complex, a structure that is absent in archaea. We demonstrated
that phosphorylation-dependent activation, and conserved residues in the archaeal
CheY helix alpha4, are important for interaction with the archaeal-specific
adaptor protein CheF. This forms a bridge between the chemotaxis system and the
archaeal motility machinery. Conclusively, archaeal CheY proteins conserved the
central mechanistic features between bacteria and archaea, but differ in the
helix alpha4 to allow binding to an archaellum-specific interaction partner.
PMID- 29358412
TI - Pillars Article: Efficient presentation of soluble antigen by cultured human
dendritic cells is maintained by granulocyte/macrophage colony-stimulating factor
plus interleukin 4 and downregulated by tumor necrosis factor alpha. J. Exp. Med.
1994. 179:1109-1118.
PMID- 29358414
TI - Quality of family relationships and outcomes of dementia: a systematic review.
AB - OBJECTIVES: To evaluate the association between the quality of relationship
between a person with dementia and their family carer and outcomes for the person
with dementia. DESIGN: Systematic review. ELIGIBILITY CRITERIA: Cohort studies of
people with clinically diagnosed dementia and their main carers. Exposures of
interest were any elements of relationship quality, for example, attachment
style, expressed emotion and coping style. Our primary outcome was
institutionalisation, and secondary outcomes were hospitalisation, death, quality
of life and behavioural and psychiatric symptoms of dementia ('challenging
behaviour'). DATA SOURCES: MEDLINE, Embase, Web of Science, PsycInfo, the
Cochrane Library and Opengrey were searched from inception to May 2017. STUDY
APPRAISAL AND SYNTHESIS METHODS: The Newcastle-Ottawa Scale was used to assess
risk of bias. A narrative synthesis of results was performed due to differences
between studies. RESULTS: Twenty studies were included. None of the studies
controlled for all prespecified confounding factors (age, gender, socioeconomic
status and severity of dementia). Reporting of results was inadequate with many
studies simply reporting whether associations were 'statistically significant'
without providing effect size estimates or CIs. There was a suggestion of an
association between relationship factors and global challenging behaviour. All
studies evaluating global challenging behaviour provided statistical evidence of
an association (most P values below 0.02). There was no consistent evidence for
an association for any other outcome assessed. CONCLUSIONS: There is currently no
strong or consistent evidence on the effects of relationship factors on
institutionalisation, hospitalisation, death or quality of life for people with
dementia. There was a suggestion of an association between relationship factors
and challenging behaviour, although the evidence for this was weak. To improve
our ability to support those with dementia and their families, further robust
studies are needed. PROSPERO REGISTRATION NUMBER: CRD42015020518.
PMID- 29358415
TI - Identifying patients at risk of inhospital death or hospice transfer for early
goals of care discussions in a US referral center: the HELPS model derived from
retrospective data.
AB - OBJECTIVE: Create a score to identify patients at risk of death or hospice
placement who may benefit from goals of care discussion earlier in the
hospitalisation. DESIGN: Retrospective cohort study to develop a risk index using
multivariable logistic regression. SETTING: Two tertiary care hospitals in
Southeastern Minnesota. PARTICIPANTS: 92 879 adult general care admissions (50%
male, average age 60 years). PRIMARY AND SECONDARY OUTCOME MEASURES: Our outcome
measure was an aggregate of inhospital death or discharge to hospice. Predictor
variables for the model encompassed comorbidities, nutrition status, functional
status, demographics, fall risk, mental status, Charlson Comorbidity Index and
acuity of illness on admission. Resuscitation status, race, geographic area of
residence and marital status were added as covariates to account for confounding.
RESULTS: Inhospital mortality and discharge to hospice were rare, with incidences
of 1.2% and 0.8%, respectively. The Hospital End-of-Life Prognostic Score (HELPS)
demonstrated good discrimination (C-statistic=0.866 in derivation set and 0.834
in validation set). The patients with the highest 5% of scores had an 8% risk of
the outcome measure, relative risk 12.9 (10.9-15.4) when compared to the bottom
95%. CONCLUSIONS: HELPS is able to identify patients with a high risk of
inhospital death or need for hospice at discharge. These patients may benefit
from early goals of care discussions.
PMID- 29358413
TI - The Hypoxia-Adenosine Link during Intestinal Inflammation.
AB - Intestinal inflammation is a key element in inflammatory bowel disease and is
related to a combination of factors, including genetics, mucosal barrier
dysfunction, bacteria translocation, deleterious host-microbe interactions, and
dysregulated immune responses. Over the past decade, it has been appreciated that
these inflammatory lesions are associated with profound tissue hypoxia.
Interestingly, an endogenous adaptive response under the control of hypoxia
signaling is enhancement in adenosine signaling, which impacts these different
endpoints, including promoting barrier function and encouraging anti-inflammatory
activity. In this review, we discuss the hypoxia-adenosine link in inflammatory
bowel disease, intestinal ischemia/reperfusion injury, and colon cancer. In
addition, we provide a summary of clinical implications of hypoxia and adenosine
signaling in intestinal inflammation and disease.
PMID- 29358416
TI - Retrospective analysis assessing the spatial and temporal distribution of
paediatric acute respiratory tract infections in Ho Chi Minh City, Vietnam.
AB - BACKGROUND: Acute respiratory tract infections (ARIs) are the leading cause of
morbidity and mortality in young children in low/middle-income countries. Using
routine hospital data, we aimed to examine the spatial distribution, temporal
trends and climatic risk factors of paediatric ARIs in Vietnam. METHODS: Data
from hospitalised paediatric (<16 years) patients with ARIs residing in Ho Chi
Minh City (HCMC) between 2005 and 2010 were retrieved from the two main
Children's Hospitals and the Hospital for Tropical Diseases in HCMC. Spatial
mapping and time series analysis were performed after disaggregating data into
upper respiratory tract infections (URIs) and lower respiratory tract infections
(LRIs). RESULTS: Over the study period, there were 155 999 paediatric patients
admitted with ARIs (33% of all hospital admissions). There were 68 120 URIs (14%)
and 87 879 LRIs (19%). The most common diagnoses were acute pharyngitis (28% of
all ARI), pneumonia (21%), bronchitis (18%) and bronchiolitis (16%). A
significant increasing trend over time was found for both URIs (mean weekly
incidence per 1000 population, I=3.12), incidence rate ratio for 1-week increase
in time (RR 1.0, 95% CI 1.02 to 1.17) for URI and (I=4.02, RR 1.08 (95% CI 1.006
to 1.16)) for LRI. The weekly URI incidence peaked in May-June and was
significantly associated with lags in weekly URI incidence and the average
humidity, rainfall and water level. The weekly LRI incidence exhibited
significant seasonality (P<0.0001), with an annual peak in September-October and
was significantly associated with lags in weekly LRI incidence and lags in weekly
average temperature, rainfall and water level. CONCLUSIONS: ARIs are a leading
cause of childhood hospitalisation in HCMC, Vietnam. The incidence of ARIs was
higher in the wet season and in specific HCMC districts. These results may guide
health authorities in where and when to effectively allocate resources for the
prevention and control of ARIs.
PMID- 29358418
TI - Smartphone Smoking Cessation Application (SSC App) trial: a multicountry double
blind automated randomised controlled trial of a smoking cessation decision-aid
'app'.
AB - OBJECTIVE: To assess the efficacy of an interactive smoking cessation decision
aid application (pp) compared with a smoking cessation static information app on
continuous abstinence. DESIGN: Automated double-blind randomised controlled trial
with 6 months follow-up (2014-2015). SETTING: Smartphone-based. PARTICIPANTS: 684
participants (daily smokers of cigarettes, 18 years old or over) recruited
passively from app stores in the USA, Australia, UK and Singapore, and randomised
to one of two sub-apps. INTERVENTIONS: Behavioural, decision-aid, smartphone
application. MAIN OUTCOMES: Continuous abstinence at 10 days, 1 month, 3 months
and 6 months. RESULTS: Smokers who received the decision-aid app were more likely
to be continuously abstinent at 1 month compared with the information-only app
(28.5% vs 16.9%; relative risk (RR) 1.68; 95% CI 1.25 to 2.28). The effect was
sustained at 3 months (23.8% vs 10.2%; RR 2.08; 95% CI 1.38 to 3.18) and 6 months
(10.2% vs 4.8%; RR 2.02; 95% CI 1.08 to 3.81). Participants receiving the
decision-aid app were also more likely to have made an informed choice (31.9% vs
19.6%) and have lower decisional conflict (19.5% vs 3.9%). CONCLUSION: A
smartphone decision-aid app with support features significantly increased smoking
cessation and informed choice. With an increasing number of smokers attempting to
quit, unassisted evidence-based decision-aid apps can provide an effective and
user-friendly option to many who are making quit decisions without healthcare
professionals. TRIAL REGISTRATION NUMBER: ACTRN12613000833763.
PMID- 29358417
TI - Economic impact of medication non-adherence by disease groups: a systematic
review.
AB - OBJECTIVE: To determine the economic impact of medication non-adherence across
multiple disease groups. DESIGN: Systematic review. EVIDENCE REVIEW: A
comprehensive literature search was conducted in PubMed and Scopus in September
2017. Studies quantifying the cost of medication non-adherence in relation to
economic impact were included. Relevant information was extracted and quality
assessed using the Drummond checklist. RESULTS: Seventy-nine individual studies
assessing the cost of medication non-adherence across 14 disease groups were
included. Wide-scoping cost variations were reported, with lower levels of
adherence generally associated with higher total costs. The annual adjusted
disease-specific economic cost of non-adherence per person ranged from $949 to
$44 190 (in 2015 US$). Costs attributed to 'all causes' non-adherence ranged from
$5271 to $52 341. Medication possession ratio was the metric most used to
calculate patient adherence, with varying cut-off points defining non-adherence.
The main indicators used to measure the cost of non-adherence were total cost or
total healthcare cost (83% of studies), pharmacy costs (70%), inpatient costs
(46%), outpatient costs (50%), emergency department visit costs (27%), medical
costs (29%) and hospitalisation costs (18%). Drummond quality assessment yielded
10 studies of high quality with all studies performing partial economic
evaluations to varying extents. CONCLUSION: Medication non-adherence places a
significant cost burden on healthcare systems. Current research assessing the
economic impact of medication non-adherence is limited and of varying quality,
failing to provide adaptable data to influence health policy. The correlation
between increased non-adherence and higher disease prevalence should be used to
inform policymakers to help circumvent avoidable costs to the healthcare system.
Differences in methods make the comparison among studies challenging and an
accurate estimation of true magnitude of the cost impossible. Standardisation of
the metric measures used to estimate medication non-adherence and development of
a streamlined approach to quantify costs is required. PROSPERO REGISTRATION
NUMBER: CRD42015027338.
PMID- 29358419
TI - Disparities in spread and control of influenza in slums of Delhi: findings from
an agent-based modelling study.
AB - OBJECTIVES: This research studies the role of slums in the spread and control of
infectious diseases in the National Capital Territory of India, Delhi, using
detailed social contact networks of its residents. METHODS: We use an agent-based
model to study the spread of influenza in Delhi through person-to-person contact.
Two different networks are used: one in which slum and non-slum regions are
treated the same, and the other in which 298 slum zones are identified. In the
second network, slum-specific demographics and activities are assigned to the
individuals whose homes reside inside these zones. The main effects of
integrating slums are that the network has more home-related contacts due to
larger family sizes and more outside contacts due to more daily activities
outside home. Various vaccination and social distancing interventions are applied
to control the spread of influenza. RESULTS: Simulation-based results show that
when slum attributes are ignored, the effectiveness of vaccination can be
overestimated by 30%-55%, in terms of reducing the peak number of infections and
the size of the epidemic, and in delaying the time to peak infection. The slum
population sustains greater infection rates under all intervention scenarios in
the network that treats slums differently. Vaccination strategy performs better
than social distancing strategies in slums. CONCLUSIONS: Unique characteristics
of slums play a significant role in the spread of infectious diseases. Modelling
slums and estimating their impact on epidemics will help policy makers and
regulators more accurately prioritise allocation of scarce medical resources and
implement public health policies.
PMID- 29358420
TI - Comparative efficacy and acceptability of antiepileptic drugs for classical
trigeminal neuralgia: a Bayesian network meta-analysis protocol.
AB - INTRODUCTION: Trigeminal neuralgia (TN) affects 4 to 28.9/100 000 people
worldwide, and antiepileptic drugs such as carbamazepine and oxcarbazepine are
the firstline treatment options. However, the efficacy and safety of other
antiepileptic drugs remain unclear due to insufficient direct comparisons.
OBJECTIVE: To compare the efficacy and acceptability of all currently available
antiepileptic agents for the treatment of patients with classical TN. METHODS: We
will search the PubMed, EMBASE, Cochrane Library and Web of Science databases for
unpublished or undergoing research listed in registry platforms. We will include
all randomised controlled trials comparing two different antiepileptic drugs or
one antiepileptic drug with placebo in patients with classical TN. The primary
outcomes will be the proportion of responders and the number of subjects who
dropout during the treatment. The secondary outcomes will include the two primary
outcomes but in the follow-up period, changes in the self-reporting assessment
scale for neuralgia and quality of life assessment. In terms of network meta
analysis, we will fit our model to a Bayesian framework using the JAGS and
pcnetmeta packages of the R project. ETHICS AND DISSEMINATION: This protocol will
not disseminate any private patient data. The results of this review will be
disseminated through peer reviewed publication. PROSPERO REGISTRATION NUMBER:
CRD42016048640.
PMID- 29358422
TI - 'I did try and point out about his dignity': a qualitative narrative study of
patients and carers' experiences and expectations of junior doctors.
AB - OBJECTIVES: For many years, the voice of patients has been understood as a
critical element for the improvement of care quality in healthcare settings. How
well medical graduates are prepared for clinical practice is an important
question, but one that has rarely been considered from patient and public
perspectives. We aimed to fill this gap by exploring patients and carers'
experiences and expectations of junior doctors. DESIGN: This comprises part of a
wider study on UK medical graduates' preparedness for practice. A qualitative
narrative methodology was used, comprising four individual and six group
interviews. PARTICIPANTS: 25 patients and carers from three UK countries.
ANALYSIS: Data were transcribed, anonymised and analysed using framework
analysis. MAIN RESULTS: We identified three themes pertinent to answering our
research question: (1) sources of knowledge (sources of information contributing
to patients and carers' perceptions of junior doctors' impacting on
expectations); (2) desires for student/trainee learning (experiences and
expectations of medical training); and (3) future doctors (experiences and
expectations of junior doctors). We also highlight metaphorical talk and humour,
where relevant, in the quotes presented to give deeper insights into
participants' perspectives of the issues. Participants focused on personal and
interpersonal aspects of being a doctor, such as respect and communication. There
was a strong assertion that medical graduates needed to gain direct experience
with a diverse range of patients to encourage individualised care. Participants
narrated their experiences of having symptoms ignored and attributed to an
existing diagnosis ('diagnostic overshadowing') and problems relating to
confidentiality. CONCLUSIONS: Our findings support the view that patients and
carers have clear expectations about junior doctors, and that patient views are
important for preparing junior doctors for practice. There is a necessity for
greater dialogue between patients, doctors and educators to clarify expectations
and confidentiality issues around patient care.
PMID- 29358421
TI - Evaluating dengue burden in Africa in passive fever surveillance and
seroprevalence studies: protocol of field studies of the Dengue Vaccine
Initiative.
AB - INTRODUCTION: Dengue is an important and well-documented public health problem in
the Asia-Pacific and Latin American regions. However, in Africa, information on
disease burden is limited to case reports and reports of sporadic outbreaks, thus
hindering the implementation of public health actions for disease control. To
gather evidence on the undocumented burden of dengue in Africa, epidemiological
studies with standardised methods were launched in three locations in Africa.
METHODS AND ANALYSIS: In 2014-2017, the Dengue Vaccine Initiative initiated field
studies at three sites in Ouagadougou, Burkina Faso; Lambarene, Gabon and
Mombasa, Kenya to obtain comparable incidence data on dengue and assess its
burden through standardised hospital-based surveillance and community-based
serological methods. Multidisciplinary measurements of the burden of dengue were
obtained through field studies that included passive facility-based fever
surveillance, cost-of-illness surveys, serological surveys and healthcare
utilisation surveys. All three sites conducted case detection using standardised
procedures with uniform laboratory assays to diagnose dengue. Healthcare
utilisation surveys were conducted to adjust population denominators in incidence
calculations for differing healthcare seeking patterns. The fever surveillance
data will allow calculation of age-specific incidence rates and comparison of
symptomatic presentation between patients with dengue and non-dengue using
multivariable logistic regression. Serological surveys assessed changes in immune
status of cohorts of approximately 3000 randomly selected residents at each site
at 6-month intervals. The age-stratified serosurvey data will allow calculation
of seroprevalence and force of infection of dengue. Cost-of-illness evaluations
were conducted among patients with acute dengue by Rapid Diagnostic Test. ETHICS
AND DISSEMINATION: By standardising methods to evaluate dengue burden across
several sites in Africa, these studies will generate evidence for dengue burden
in Africa and data will be disseminated as publication in peer-review journals in
2018.
PMID- 29358423
TI - Cost-effectiveness of an internet-based perioperative care programme to enhance
postoperative recovery in gynaecological patients: economic evaluation alongside
a stepped-wedge cluster-randomised trial.
AB - OBJECTIVES: To evaluate the cost-effectiveness and cost-utility of an internet
based perioperative care programme compared with usual care for gynaecological
patients. DESIGN: Economic evaluation from a societal perspective alongside a
stepped-wedge cluster-randomised controlled trial with 12 months of follow-up.
SETTING: Secondary care, nine hospitals in the Netherlands, 2011-2014.
PARTICIPANTS: 433 employed women aged 18-65 years scheduled for a hysterectomy
and/or laparoscopic adnexal surgery. INTERVENTION: The intervention comprised an
internet-based care programme aimed at improving convalescence and preventing
delayed return to work (RTW) following gynaecological surgery and was
sequentially rolled out. Depending on the implementation phase of their hospital,
patients were allocated to usual care (n=206) or to the intervention (n=227).
MAIN OUTCOME MEASURES: The primary outcome was duration until full sustainable
RTW. Secondary outcomes were quality-adjusted life years (QALYs), health-related
quality of life and recovery. RESULTS: At 12 months, there were no statistically
significant differences in total societal costs (?-647; 95% CI ?-2116 to ?753)
and duration until RTW (-4.1; 95% CI -10.8 to 2.6) between groups. The
incremental cost-effectiveness ratio (ICER) for RTW was 56; each day earlier RTW
in the intervention group was associated with cost savings of ?56 compared with
usual care. The probability of the intervention being cost-effective was 0.79 at
a willingness-to-pay (WTP) of ?0 per day earlier RTW, which increased to 0.97 at
a WTP of ?76 per day earlier RTW. The difference in QALYs gained over 12 months
between the groups was clinically irrelevant resulting in a low probability of
cost-effectiveness for QALYs. CONCLUSIONS: Considering that on average the costs
of a day of sickness absence are ?230, the care programme is considered cost
effective in comparison with usual care for duration until sustainable RTW after
gynaecological surgery for benign disease. Future research should indicate
whether widespread implementation of this care programme has the potential to
reduce societal costs associated with gynaecological surgery. TRIAL REGISTRATION
NUMBER: NTR2933; Results.
PMID- 29358424
TI - Study protocol for One Health data collections, analyses and intervention of the
Sino-Swedish integrated multisectoral partnership for antibiotic resistance
containment (IMPACT).
AB - INTRODUCTION: To effectively minimise the emergence and dissemination of
antibiotic resistant bacteria, a holistic One Health approach is called for. The
Sino-Swedish Integrated Multisectoral Partnership for Antibiotic Resistance
Containment is a cross-sectoral and integrated project on antibiotic resistance,
conducted in Shandong Province in China. This paper outlines the overall study
protocol for the project. To our knowledge, this is the first research programme
aiming to take a true holistic approach across multiple sectors simultaneously in
China, and the first to incorporate both antibiotic use and infection prevention
and control in addition to antibiotic resistance patterns. The project aims to
address gaps in current knowledge and seeks to improve the situation through a
system-wide intervention. By using a One Health approach we can address important
research questions that individual discipline investigations are unable to. The
results obtained should thus more closely reflect the world in which human
health, animal health and the environment are inextricably and intimately
interlinked. METHODS AND ANALYSIS: Both quantitative and qualitative studies are
included for households from 12 villages, their surrounding environment and a
tertiary care hospital in a nearby town. The studies include analyses of
antibiotic consumption for humans and pigs; qualitative and quantitative data on
perceptions, knowledge and attitudes; faecal carriage of extended spectrum beta
lactamase and carbapenemase-producing Enterobacteriaceae from pigs and humans,
and occurrence in household drinking water, surface water, waste water and
clinical bacterial isolates from the hospital. Carriage of methicillin-resistant
Staphylococcus aureus in humans, household pigs and clinical bacterial isolates
is also investigated. Furthermore, potential inter-relationships between these
sources are analysed. A multifaceted One Health intervention is designed and
implemented in 6 of the 12 villages. Repeated and continuous data collections
take place over 2 years, where the repeated data collection is performed after 1
year of intervention. Comparisons are made between intervention and control
villages, before and after the intervention. ETHICS: Ethics approval was obtained
from the first Affiliated Hospital, College of Medicine, Zhejiang University,
China, reference number 2015#185 and 2015#283.
PMID- 29358425
TI - Qualitative findings on building a partnered approach to implementation of a
group-based diabetes intervention in VA primary care.
AB - OBJECTIVE: Conduct a formative evaluation to inform the implementation of
'Empowering Patients in Chronic Care' (EPIC), an evidence-based interdisciplinary
group medical appointment intervention to improve collaborative goal-setting in
patients with treated but uncontrolled diabetes. DESIGN: The formative evaluation
involved qualitative, in-depth interviews with clinicians, structured according
to the Promoting Action on Research in Health Services framework. Interviews
elicited (1) participants' knowledge regarding interdisciplinary group self
management and goal-setting programmes and how well clinicians embrace these
interventions (evidence), (2) physical and social climate at each target facility
and how the intervention can best be embedded into routine primary care (context)
and (3) site-specific needs to be addressed by our implementation team and
clinicians' preparedness and intentions to participate in the intervention
(facilitation). SETTING: Clinicians were part of a primary care setting at one of
five participating medical facilities within one Veterans Health Administration
Veterans Affairs regional network. PARTICIPANTS: We interviewed a snowball sample
of 35 interdisciplinary clinicians engaged in diabetes management, practising
leadership and administrators at target sites. RESULTS: Most participants had
previous experience with diabetes group self-management programmes and viewed
group appointments as an effective approach to enhancing care. Discussions about
existing group appointments provided a context for evaluating potential barriers
and facilitators to implementing EPIC into target sites. Interviews revealed
clinicians' expectations about the roles they would play in the intervention,
their assessments of the roles and strategies to facilitate their performance in
those roles. CONCLUSIONS: Successful implementation of evidence-based practices
into routine care requires a partnered approach with engaged local staff. The
intervention should address local goals and research objectives to encourage
bidirectional engagement. Robust partnerships are nurtured further by sustained,
open communication and must consider the context, target population and local
experience to address barriers and facilitators to implementation.
PMID- 29358426
TI - Small cortical grey matter lesions show no persistent infarction in transient
ischaemic attack? A prospective cohort study.
AB - OBJECTIVES: To find determining factors for persistent infarction signs in
patients with transient ischaemic attack (TIA), herein initial diffusion lesion
size, visibility on apparent diffusion coefficient (ADC) or fluid-attenuated
inversion recovery (FLAIR) and location. DESIGN: Prospective cohort study of
patients with clinical TIA receiving 3T-MRI within 72 hours of symptom onset and
at 8-week follow-up. SETTING: Clinical workflow in a single tertiary stroke
centre between February 2012 and June 2014. PARTICIPANTS: 199 candidate patients
were recruited, 64 patients were excluded due to non-TIA discharge diagnosis or
no 8-week MRI. 122 patients completed the study. PRIMARY OUTCOME MEASURES: The
primary outcome was visible persistent infarction defined as 8-week FLAIR
hyperintensity or atrophy corresponding to the initial diffusion-weighted imaging
(DWI) lesion. RESULTS: 50 patients showed 84 initial DWI lesions. 29 (35%) DWI
lesions did not result in infarction signs on 8-week FLAIR. 26 (90%, P<0.0001)
reversing lesions were located in the cortical grey matter (cGM). cGM location
(vs any other location) strongly predicted no 8-week infarction sign development
(OR 0.02, 95% CI 0.001 to 0.17) or partial lesion area decrease (>30% of initial
DWI-area, OR 14.10, 95% CI 3.61 to 54.72), adjusted for FLAIR-visibility, DWI
area, ADC-confirmation and time to scan (TTS) from symptom onset to baseline MRI.
Acute FLAIR-visibility was a strong associated factor for persistent infarction
signs (OR 33.06, 95% CI 2.94 to 1432.34). For cGM lesions area size was sole
associated factor for persistent infarction signs with a 0.31 cm2 (area under the
curve (AUC), 0.97) threshold. In eight (16%) DWI-positive patients, all lesions
reversed fully. CONCLUSIONS: 16% of DWI-positive patients and one-third of acute
DWI lesions caused no persistent infarction signs, especially small cGM lesions
were not followed by development of persistent infarction signs. Late MRI after
TIA is likely to be less useful in the clinical setting, and it is dubious if the
absence of old vascular lesions can be taken as evidence of no prior ischaemic
attacks. TRIAL REGISTRATION NUMBER: NCT01531946; Results.
PMID- 29358427
TI - The Suspected CANcer (SCAN) pathway: protocol for evaluating a new standard of
care for patients with non-specific symptoms of cancer.
AB - INTRODUCTION: Cancer survival in England lags behind most European countries, due
partly to lower rates of early stage diagnosis. We report the protocol for the
evaluation of a multidisciplinary diagnostic centre-based pathway for the
investigation of 'low-risk but not no-risk' cancer symptoms called the Suspected
CANcer (SCAN) pathway. SCAN is a new standard of care being implemented in
Oxfordshire; one of a number of pathways implemented during the second wave of
the Accelerate, Coordinate, Evaluate (ACE) programme, an initiative which aims to
improve England's cancer survival rates through establishing effective routes to
early diagnosis. METHODS AND ANALYSIS: To evaluate SCAN, we are collating a
prospective database of patients referred onto the pathway by their general
practitioner (GP). Patients aged over 40 years, with non-specific symptoms such
as weight loss or fatigue, who do not meet urgent cancer referral criteria or for
whom symptom causation remains unclear after investigation via other existing
pathways, can be referred to SCAN. SCAN provides rapid CT scanning, laboratory
testing and clinic review within 2 weeks. We will follow all patients in the
primary and secondary care record for at least 2 years. The data will be used to
understand the diagnostic yield of the SCAN pathway in the short term (28 days)
and the long term (2 years). Routinely collected primary and secondary care data
from patients not referred to SCAN but with similar symptoms will also be used to
evaluate SCAN. We will map the routes to diagnosis for patients referred to SCAN
to assess cost-effectiveness. Acceptability will be evaluated using patient and
GP surveys. ETHICS AND DISSEMINATION: The Oxford Joint Research Office Study
Classification Group has judged this to be a service evaluation and so outside of
research governance. The results of this project will be disseminated by peer
reviewed publication and presentation at conferences.
PMID- 29358428
TI - Time trends in peripheral artery disease incidence, prevalence and secondary
preventive therapy: a cohort study in The Health Improvement Network in the UK.
AB - OBJECTIVES: To assess time trends in symptomatic peripheral artery disease (PAD)
incidence and prevalence, and secondary preventive therapy. DESIGN: Cohort study
using The Health Improvement Network. SETTING: UK primary care. PARTICIPANTS:
Individuals aged 50-89 years identified annually between 2000 and 2014.
Participants with symptomatic PAD were identified using Read codes. OUTCOME
MEASURES: Incidence and prevalence of symptomatic PAD from 2000 to 2014, overall
and by sex and age. Proportion of patients prescribed secondary preventive
therapy with acetylsalicylic acid (ASA), clopidogrel, an ACE inhibitor, an
angiotensin receptor blocker (ARB) and/or a statin. RESULTS: The incidence of
symptomatic PAD per 10 000 person-years decreased over time, from 38.6 (men:
51.0; women: 28.7) in 2000 to 17.3 (men: 23.1; women: 12.4) in 2014. The
prevalence of symptomatic PAD decreased from 3.4% (men: 4.5%; women: 2.5%) in
2000 to 2.4% (men: 3.1%; women: 1.7%) in 2014. Incidence and prevalence decreases
were observed in all age groups. The proportions of patients prescribed ASA
monotherapy, clopidogrel monotherapy and dual antiplatelet therapy in the 2
months after PAD diagnosis were 42.7%, 2.9% and 2.5%, respectively, during 2000
2003, and 44.7%, 11.0% and 5.2%, respectively, during 2012-2014. For ACE
inhibitor/ARB therapy and statins, proportions in the 2 months after diagnosis
were 30.2% and 31.2%, respectively, during 2000-2003, and 45.1% and 65.9%,
respectively, during 2012-2014. CONCLUSION: The incidence and prevalence of
symptomatic PAD diagnosed in UK primary care are decreasing. A large proportion
of the population with PAD in clinical practice does not receive guideline
recommended secondary prevention therapy.
PMID- 29358429
TI - The journey through care: study protocol for a longitudinal qualitative interview
study to investigate the healthcare experiences and preferences of children and
young people with life-limiting and life-threatening conditions and their
families in the West Midlands, UK.
AB - INTRODUCTION: The number of children and young people living with life-limiting
and life-threatening conditions is rising. Providing high-quality, responsive
healthcare for them and for their families presents a significant challenge.
Their conditions are often complex and highly unpredictable. Palliative care is
advocated for people with life-limiting and life-threatening conditions, but
these services for children are highly variable in terms of availability and
scope. Little is known about the lived experiences and preferences of children
and their families in terms of the palliative care that they do, or do not,
receive. This study aims to produce an in-depth insight into the experiences and
preferences of such children and families in order to develop recommendations for
the future provision of services. The study will be carried out in the West
Midlands, UK. METHODS AND ANALYSIS: A qualitative study comprising longitudinal
interviews over a 12-month period with children (aged 5-18 years) living with
life-limiting or life-threatening conditions and their family members. Data
analysis will start with thematic analysis, followed by narrative and cross-case
analysis to examine changing experiences and preferences over time, at the family
level and within the wider healthcare system. Patient and public involvement
(PPI) has informed the design and conduct of the study. Findings will be used to
develop recommendations for an integrated model of palliative care for children
in partnership with the patient and public involvement (PPI) group. ETHICS AND
DISSEMINATION: Ethical approval was granted in September 2016 by the National
Health Service Health Research Authority (IRAS ID: 196816, REC reference:
16/WM/0272). Findings will be of immediate relevance to healthcare providers,
policy-makers, commissioners and voluntary sector organisations in the UK and
internationally. Reports will be prepared for these audiences, as well as for
children and their families, alongside academic outputs.
PMID- 29358430
TI - A cross-sectional analysis of the relationship between diabetes and health access
barriers in an urban First Nations population in Canada.
AB - OBJECTIVE: This study explores the relationship between health access barriers
and diabetes in an urban First Nations population in Canada. DESIGN: Data from a
self-identified urban First Nations population were collected using respondent
driven sampling (RDS). As no clear approach for regression modelling of RDS data
is available, two logistic regression modelling approaches, including survey
based logistic and generalised linear mixed models, were used to explore the
relationship between diabetes and health barriers of interest, including access
to healthcare, food, housing and socioeconomic factors. SETTING: Hamilton,
Ontario, Canada. PARTICIPANTS: This cross-sectional study used data collected
from the Our Health Counts study, in partnership with the De dwa da dehs nye>s
Aboriginal Health Centre, which recruited 554 First Nations adults living in
Hamilton using RDS. RESULTS: After adjusting for covariates, multivariable
regression techniques showed a statistically significant relationship between a
self-reported diagnosis of diabetes and a lack of culturally appropriate care
among urban First Nations peoples (OR: 12.70, 95% CI 2.52 to 57.91). There was
also a trend towards a relationship between diabetes and not having a doctor
available in the area, feeling that healthcare provided was inadequate and a lack
of available healthcare services in the area. CONCLUSIONS: Urban First Nations
peoples who felt the health service they received was not culturally appropriate
were more likely to have diabetes, compared with those who did not feel the
service they received was culturally inappropriate. Establishing more healthcare
services that integrate First Nations cultures and traditions could improve
access to care and the course of treatment for urban First Nations peoples living
with diabetes.
PMID- 29358431
TI - Seremban Cohort Study (SECOST): a prospective study of determinants and pregnancy
outcomes of maternal glycaemia in Malaysia.
AB - INTRODUCTION: Both gestational diabetes mellitus (GDM) and hyperglycaemia less
severe than GDM are associated with risk of adverse pregnancy outcomes. We
describe the study design of a prospective cohort of pregnant women recruited in
early pregnancy with follow-ups of mothers and infants up to 2 years after birth.
The primary aim of the study was to identify the determinants and outcomes of
maternal glycaemia. METHODS AND ANALYSIS: Seremban Cohort Study (SECOST) is an
ongoing prospective cohort study in which eligible pregnant women in first
trimester (<10 weeks of gestation) are recruited from Maternal and Child Health
clinics in Seremban District, Negeri Sembilan with seven follow-ups during
pregnancy through 2 years postnatally. Infants are followed up every 6 months
after birth until 2 years old. A standard 75 g oral glucose tolerance test is
performed between 24 and 32 of weeks of gestation and as close to 28 weeks of
gestation. Pregnancy and birth information are obtained from medical records.
Sociodemographic, anthropometric, biochemical, dietary, physical activity,
smoking, depression, child feeding and other data of mothers and infants are
obtained at follow-ups. ETHICS AND DISSEMINATION: This study is approved by the
Medical Research Ethics Committee (MREC), Universiti Putra Malaysia (UPM/FPSK/100
9/2-MJKEtika) and MREC, Ministry of Health Malaysia (KKM/NIHSEC/08/0804/P12-
613). Permission to conduct this study is also obtained from the Head of Seremban
District Health Office. All participants are required to provide written informed
consent prior to data collection. The research findings will be disseminated at
journals and conference presentations.
PMID- 29358432
TI - Longitudinal impact of interprofessional education on attitudes, skills and
career trajectories: a protocol for a quasi-experimental study in New Zealand.
AB - INTRODUCTION: Interprofessional practice is recognised as an important element of
safe and effective healthcare. However, few studies exist that evaluate how
preregistration education contributes to interprofessional competencies, and how
these competencies develop throughout the early years of a health professional's
career. This quasiexperimental study will gather longitudinal data during
students' last year of preregistration training and their first 3 years of
professional practice to evaluate the ongoing development of interprofessional
competencies and the influence that preregistration education including an
explicit interprofessional education (IPE) programme may have on these. METHODS
AND ANALYSIS: Participants are students and graduates from the disciplines of
dentistry, dietetics, medicine, nursing, occupational therapy, oral health,
pharmacy and physiotherapy recruited before their final year of study. A subset
of these students attended a 5-week IPE immersion programme during their final
year of training. All data will be collected via five written or electronic
surveys completed at 12-month intervals. Each survey will contain the Attitudes
Towards Health Care Teams Scale and the Team Skills Scale, as well as
quantitative and free-text items to explore vocational satisfaction, career
trajectories and influences on these. Students who attend the IPE programme will
complete additional free-text items to explore the effects of this programme on
their careers. Quantitative analysis will compare scores at each time point,
adjusted for baseline scores, for graduates who did and did not participate in
the IPE programme. Associations between satisfaction data and discipline,
professional setting, location and IPE participation will also be examined.
Template analysis will explore free-text themes related to influences on career
choices including participation in preregistration IPE. ETHICS AND DISSEMINATION:
This study has received approval from the University of Otago Ethics Committee
(D13/019). Results will be disseminated through peer-reviewed publications,
conferences and stakeholder reports. Findings will inform future IPE developments
and health workforce planning.
PMID- 29358434
TI - Incidence and mortality of fractures by frailty level over 80 years of age:
cohort study using UK electronic health records.
AB - OBJECTIVE: This study aimed to estimate the association of frailty with incidence
and mortality of fractures at different sites in people aged over 80 years.
DESIGN: Cohort study. SETTING: UK family practices from 2001 to 2014.
PARTICIPANTS: 265 195 registered participants aged 80 years and older.
MEASUREMENTS: Frailty status classified into 'fit', 'mild', 'moderate' and
'severe' frailty. Fractures, classified into non-fragility and fragility,
including fractures of femur, pelvis, shoulder and upper arm, and forearm/wrist.
Incidence of fracture, and mortality within 90 days and 1 year, were estimated.
RESULTS: There were 28 643 fractures including: non-fragility fractures, 9101;
femur, 12 501; pelvis, 2172; shoulder and upper arm, 4965; and forearm/wrist,
6315. The incidence of each fracture type was higher in women and increased with
frailty category (femur, severe frailty compared with 'fit', incidence rate ratio
(IRR) 2.4, 95% CI 2.3 to 2.6). Fractures of the femur (95-99 years compared with
80-84 years, IRR 2.7, 95% CI 2.6 to 2.9) and pelvis (IRR 2.9, 95% CI 2.5 to 3.3)
were strongly associated with age but non-fragility and forearm fractures were
not. Mortality within 90 days was greatest for femur fracture (adjusted HR,
compared with forearm fracture 4.3, 95% CI 3.7 to 5.1). Mortality was higher in
men and increased with age (HR 5.3, 95% CI 4.3 to 6.5 in those over 100 years
compared with 80-84 years) but was less strongly associated with frailty
category. Similar associations with fractures were seen at 1-year mortality.
CONCLUSIONS: The incidence of fractures at all sites was higher in women and
strongly associated with advancing frailty status, while the risk of mortality
after a fracture was greater in men and was associated with age rather than
frailty category.
PMID- 29358433
TI - Randomised controlled trial to determine the efficacy and safety of prescribed
water intake to prevent kidney failure due to autosomal dominant polycystic
kidney disease (PREVENT-ADPKD).
AB - INTRODUCTION: Maintaining fluid intake sufficient to reduce arginine vasopressin
(AVP) secretion has been hypothesised to slow kidney cyst growth in autosomal
dominant polycystic kidney disease (ADPKD). However, evidence to support this as
a clinical practice recommendation is of poor quality. The aim of the present
study is to determine the long-term efficacy and safety of prescribed water
intake to prevent the progression of height-adjusted total kidney volume (ht-TKV)
in patients with chronic kidney disease (stages 1-3) due to ADPKD. METHODS AND
ANALYSIS: A multicentre, prospective, parallel-group, open-label, randomised
controlled trial will be conducted. Patients with ADPKD (n=180; age <=65 years,
estimated glomerular filtration rate (eGFR) >=30 mL/min/1.73 m2) will be
randomised (1:1) to either the control (standard treatment+usual fluid intake) or
intervention (standard treatment+prescribed fluid intake) group. Participants in
the intervention arm will be prescribed an individualised daily fluid intake to
reduce urine osmolality to <=270 mOsmol/kg, and supported with structured clinic
and telephonic dietetic review, self-monitoring of urine-specific gravity, short
message service text reminders and internet-based tools. All participants will
have 6-monthly follow-up visits, and ht-TKV will be measured by MRI at 0, 18 and
36 months. The primary end point is the annual rate of change in ht-TKV as
determined by serial renal MRI in control vs intervention groups, from baseline
to 3 years. The secondary end points are differences between the two groups in
systemic AVP activity, renal disease (eGFR, blood pressure, renal pain), patient
adherence, acceptability and safety. ETHICS AND DISSEMINATION: The trial was
approved by the Human Research Ethics Committee, Western Sydney Local Health
District. The results will inform clinicians, patients and policy-makers
regarding the long-term safety, efficacy and feasibility of prescribed fluid
intake as an approach to reduce kidney cyst growth in patients with ADPKD. TRIAL
REGISTRATION NUMBER: ANZCTR12614001216606.
PMID- 29358435
TI - Impact of maternal common mental disorders on child educational outcomes at 7 and
9 years: a population-based cohort study in Ethiopia.
AB - OBJECTIVES: To examine the association between exposure to maternal common mental
disorders (CMD) in preschool and early school age children and subsequent child
educational outcomes. DESIGN: A population-based cohort study. SETTING: The study
was undertaken in the Butajira health and demographic surveillance site (HDSS), a
predominantly rural area of south central Ethiopia. PARTICIPANTS: Inclusion
criteria are women aged between 15 and 49 years, able to speak Amharic, in the
third trimester of pregnancy and resident of the HDSS. 1065 women were recruited
between July 2005 and February 2006 and followed up. When the average age of
children was 6.5 years old, the cohort was expanded to include an additional 1345
mothers and children who had been born in the 12 months preceding and following
the recruitment of the original cohort, identified from the HDSS records. Data
from a total of 2090 mother-child dyads were included in the current analysis.
MEASURES: Maternal CMD was measured when the children were 6-7 (6/7) and 7-8
(7/8) years old using the Self-reporting Questionnaire, validated for the
setting. Educational outcomes (dropout) of the children at aged 7/8 years (end of
2013/2014 academic year) were obtained from maternal report. At age 8/9 years
(end of 2014/2015 academic year), educational outcomes (academic achievement,
absenteeism and dropout) of the children were obtained from school records.
RESULTS: After adjusting for potential confounders, exposure to maternal CMD at
7/8 years was associated significantly with school dropout (OR 1.07; 95% CI 1.00
to 1.13, P=0.043) and absenteeism (incidence rate ratio 1.01; 95% CI 1.00 to 1.02
P=0.026) at the end of 2014/2015 academic year. There was no association between
maternal CMD and child academic achievement. CONCLUSION: Future studies are
needed to evaluate whether interventions to improve maternal mental health can
reduce child school absenteeism and dropout.
PMID- 29358436
TI - Associations between physical behaviour patterns and levels of depressive
symptoms, anxiety and well-being in middle-aged adults: a cross-sectional study
using isotemporal substitution models.
AB - OBJECTIVE: To examine the compositional effects of physical behaviour on mental
health. DESIGN: Cross-sectional study. SETTING: A population-representative
random sample (Mitchelstown cohort) was recruited from a large primary care
centre in Mitchelstown, County Cork, Ireland. PARTICIPANTS: In total 3807
potential participants were selected from the practice list. Following exclusion
of duplicates, deaths and ineligibles, 3043 were invited to participate and of
these, 2047 (49.2% men) completed the questionnaire and physical examination
components of the baseline assessment during the study period (April 2010 and May
2011). Accelerometers were introduced into the study in January 2011. Of the 745
participants seen between January and May of 2011, 475 (44.6% men) subjects
(response rate 64%) agreed to participate and of these 397 (46.1% men) had valid
accelerometer data. PRIMARY AND SECONDARY OUTCOME MEASURES: Participants wore the
wrist GENEActiv accelerometer for 7 consecutive days. Data were summarised into
60 s epochs and activity categorised as sedentary behaviour, light or moderate-to
vigorous physical activity (MVPA). Levels of depressive and anxiety symptoms were
assessed using the Centre for Epidemiologic Studies Depression scale and the
Hospital Anxiety and Depression Scale. Well-being was assessed using the WHO-5
well-being scale. RESULTS: In adjusted isotemporal models, a 30 min increase in
light activity per day was associated with a significant decrease in levels of
anxiety symptoms (B=-0.34; 95% CI -0.64 to -0.04) and a significant increase in
levels of well-being (B=0.58; 95% CI 0.05 to 1.11). No statistically significant
associations were observed between any physical behaviour and depressive symptoms
or when sedentary behaviour was substituted with MVPA (P>0.05). CONCLUSION:
Although based on a cross-sectional study, the findings suggest that substituting
light activity for sedentary behaviour may have positive associations with
symptoms of anxiety and reported well-being among middle-aged adults.
PMID- 29358437
TI - Developing a dementia-specific preference--based quality of life measure (AD-5D)
in Australia: a valuation study protocol.
AB - INTRODUCTION: Generic instruments for assessing health-related quality of life
may lack the sensitivity to detect changes in health specific to certain
conditions, such as dementia. The Quality of Life in Alzheimer's Disease (QOL-AD)
is a widely used and well-validated condition-specific instrument for assessing
health-related quality of life for people living with dementia, but it does not
enable the calculation of quality-adjusted life years, the basis of cost utility
analysis. This study will generate a preference-based scoring algorithm for a
health state classification system -the Alzheimer's Disease Five Dimensions (AD
5D) derived from the QOL-AD. METHODS AND ANALYSIS: Discrete choice experiments
with duration (DCETTO) and best-worst scaling health state valuation tasks will
be administered to a representative sample of 2000 members of the Australian
general population via an online survey and to 250 dementia dyads (250 people
with dementia and their carers) via face-to-face interview. A multinomial
(conditional) logistic framework will be used to analyse responses and produce
the utility algorithm for the AD-5D. ETHICS AND DISSEMINATION: The algorithms
developed will enable prospective and retrospective economic evaluation of any
treatment or intervention targeting people with dementia where the QOL-AD has
been administered and will be available online. Results will be disseminated
through journals that publish health economics articles and through professional
conferences. This study has ethical approval.
PMID- 29358439
TI - Inner-city green space and its association with body mass index and prevalent
type 2 diabetes: a cross-sectional study in an urban German city.
AB - OBJECTIVE: The accessibility of green space is an important aspect of the urban
residential environment and has been found to be beneficial for health and well
being. This study investigates the association between different indicators of
green space and the outcomes body mass index (BMI) and prevalent type 2 diabetes
in an urban population. DESIGN: Population-based cross-sectional study. SETTING:
Dortmund, a city located in the industrial Ruhr area in Western Germany.
PARTICIPANTS: 1312 participants aged 25-74 years from the Dortmund Health Study.
METHODS: The participants' addresses were geocoded and shapefiles of statistical
districts, road network and land use, as well as data on neighbourhood
characteristics were obtained at baseline. Three indicators of green space were
constructed using geographical information systems: proportion of green space,
recreation location quotient (RLQ) weighted by population and distance to the
next park or forest. Multilevel linear and logistic regression analyses on the
association of green space with BMI and type 2 diabetes were performed, adjusted
by individual-level characteristics and neighbourhood unemployment rate. RESULTS:
The multilevel regression analyses showed no association between green space and
BMI. In contrast, the three indicators of green space were significantly
associated with type 2 diabetes. Residents of neighbourhoods with a low RLQ had a
2.44 (95% CI 1.01 to 5.93) times higher odds to have type 2 diabetes compared
with residents of high RLQ neighbourhoods. Likewise, residing more than 0.8 km
away from the nearest park or forest increased the odds of type 2 diabetes (OR
1.71, 95% CI 1.05 to 2.77). CONCLUSIONS: This study indicates that green space
and its spatial accessibility might play a role in the development of type 2
diabetes. Further research is needed to clarify this association.
PMID- 29358438
TI - Study protocol for a prospective cohort study examining the predictive potential
of dynamic symptom networks for the onset and progression of psychosis: the
Mapping Individual Routes of Risk and Resilience (Mirorr) study.
AB - INTRODUCTION: Our current ability to predict the course and outcome of early
psychotic symptoms is limited, hampering timely treatment. To improve our
understanding of the development of psychosis, a different approach to
psychopathology may be productive. We propose to reconceptualise psychopathology
from a network perspective, according to which symptoms act as a dynamic,
interconnected system, impacting on each other over time and across diagnostic
boundaries to form symptom networks. Adopting this network approach, the Mapping
Individual Routes of Risk and Resilience study aims to determine whether
characteristics of symptom networks can predict illness course and outcome of
early psychotic symptoms. METHODS AND ANALYSIS: The sample consists of n=100
participants aged 18-35 years, divided into four subgroups (n=4*25) with
increasing levels of severity of psychopathology, representing successive stages
of clinical progression. Individuals representing the initial stage have a
relatively low expression of psychotic experiences (general population), whereas
individuals representing the end stage are help seeking and display a
psychometric expression of psychosis, putting them at ultra-high risk for
transition to psychotic disorder. At baseline and 1-year follow-up, participants
report their symptoms, affective states and experiences for three consecutive
months in short, daily questionnaires on their smartphone, which will be used to
map individual networks. Network parameters, including the strength and
directionality of symptom connections and centrality indices, will be estimated
and associated to individual differences in and within-individual progression
through stages of clinical severity and functioning over the next 3 years. ETHICS
AND DISSEMINATION: The study has been approved by the local medical ethical
committee (ABR no. NL52974.042.15). The results of the study will be published in
(inter)national peer-reviewed journals, presented at research, clinical and
general public conferences. The results will assist in improving and fine-tuning
dynamic models of psychopathology, stimulating both clinical and scientific
progress. TRIAL REGISTRATION NUMBER: NTR6205 ; Pre-results.
PMID- 29358440
TI - Impacts of Bicycle Infrastructure in Mid-Sized Cities (IBIMS): protocol for a
natural experiment study in three Canadian cities.
AB - INTRODUCTION: Bicycling is promoted as a transportation and population health
strategy globally. Yet bicycling has low uptake in North America (1%-2% of trips)
compared with European bicycling cities (15%-40% of trips) and shows marked sex
and age trends. Safety concerns due to collisions with motor vehicles are primary
barriers.To attract the broader population to bicycling, many cities are making
investments in bicycle infrastructure. These interventions hold promise for
improving population health given the potential for increased physical activity
and improved safety, but such outcomes have been largely unstudied. In 2016, the
City of Victoria, Canada, committed to build a connected network of
infrastructure that separates bicycles from motor vehicles, designed to attract
people of 'all ages and abilities' to bicycling.This natural experiment study
examines the impacts of the City of Victoria's investment in a bicycle network on
active travel and safety outcomes. The specific objectives are to (1) estimate
changes in active travel, perceived safety and bicycle safety incidents; (2)
analyse spatial inequities in access to bicycle infrastructure and safety
incidents; and (3) assess health-related economic benefits. METHODS AND ANALYSIS:
The study is in three Canadian cities (intervention: Victoria; comparison:
Kelowna, Halifax). We will administer population-based surveys in 2016, 2018 and
2021 (1000 people/city). The primary outcome is the proportion of people
reporting bicycling. Secondary outcomes are perceived safety and bicycle safety
incidents. Spatial analyses will compare the distribution of bicycle
infrastructure and bicycle safety incidents across neighbourhoods and across
time. We will also calculate the economic benefits of bicycling using WHO's
Health Economic Assessment Tool. ETHICS AND DISSEMINATION: This study received
approval from the Simon Fraser University Office of Research Ethics (study no.
2016s0401). Findings will be disseminated via a website, presentations to
stakeholders, at academic conferences and through peer-reviewed journal articles.
PMID- 29358442
TI - Understanding the use of email consultation in primary care using a retrospective
observational study with data of Dutch electronic health records.
AB - OBJECTIVES: It is unclear why the use of email consultation is not more
widespread in Dutch general practice, particularly because, since 2006, its costs
can be reimbursed. To encourage further implementation, it is needed to
understand the current use of email consultations. This study aims to understand
the use of email consultation by different patient groups, compared with other
general practice (GP) consultations. SETTING: For this retrospective
observational study, we used Dutch routine electronic health record data obtained
from NIVEL Primary Care Database for the years 2010 and 2014. PARTICIPANTS: 200
general practices were included in 2010 (734 122 registered patients) and 434 in
2014 (1 630 386 registered patients). PRIMARY OUTCOME MEASURES: The number and
percentage of email consultations and patient characteristics (age, gender,
neighbourhood socioeconomic status and diagnoses) of email consultation users
were investigated and compared with those who had a telephone or face-to-face
consultation. General practice characteristics were also taken into account.
RESULTS: 32.0% of the Dutch general practices had at least one email consultation
in 2010, rising to 52.8% in 2014. In 2014, only 0.7% of the GP consultations were
by email (the others comprised home visits, telephone and face-to-face
consultations). Its use highly varied among general practices. Most email
consultations were done for psychological (14.7%); endocrine, metabolic and
nutritional (10.9%); and circulatory (10.7%) problems. These diagnosis categories
appeared less frequently in telephone and face-to-face consultations. Patients
who had an email consultation were older than patients who had a telephone or
face-to-face consultation. In contrast, patients with diabetes who had an email
consultation were younger. CONCLUSION: Even though email consultation was done in
half the general practices in the Netherlands in 2014, the actual use of it is
extremely low. Patients who had an email consultation differ from those who had a
telephone or face-to-face consultation. In addition, the use of email
consultation by patients is dependent on its provision by GPs.
PMID- 29358443
TI - Determinants of non-adherence to treatment for tuberculosis in high-income and
middle-income settings: a systematic review protocol.
AB - INTRODUCTION: Treatment for tuberculosis (TB) is highly effective if taken
according to prescribed schedules. However, many people have difficulty adhering
to treatment which can lead to poorer clinical outcomes, the development of drug
resistance, increased duration of infectivity and consequent onward transmission
of infection. A range of approaches are available to support adherence but in
order to target these effectively a better understanding of the predictors of
poor adherence is needed. This review aims to highlight the personal,
sociocultural and structural factors that may lead to poor adherence in high
income and middle-income settings. METHODS AND ANALYSIS: Seven electronic
databases, Medline, EMBASE, CINAHL, PsycInfo, The Cochrane Library, Scopus and
Web of Science, will be searched for relevant articles using a prespecified
search strategy. Observational studies will be targeted to explore factors that
influence adherence to treatment in individuals diagnosed with TB. Screening
title and abstract followed by full-text screening and critical appraisal will be
conducted by two researchers. Data will be extracted using the Population,
Exposure, Comparator, Outcomes, Study characteristics framework. For cross-study
assessment of strength of evidence for particular risk factors affecting
adherence we will use the Grading of Recommendations, Assessment, Development and
Evaluation tool modified for prognostic studies. A narrative synthesis of the
studies will be compiled. A meta-analysis will be considered if there are
sufficient numbers of studies that are homogenous in study design, population and
outcomes. DISSEMINATION: A draft conceptual framework will be identified that (A)
identifies key barriers to adherence at each contextual level (eg, personal,
sociocultural, health systems) and (B) maps the relationships, pathways and
mechanisms of effect between these factors and adherence outcomes for people with
TB. The draft conceptual framework will guide targeting of adherence
interventions and further research. PROSPERO REGISTRATION NUMBER: CRD42017061049.
PMID- 29358441
TI - Monitoring intensive care unit performance-impact of a novel individualised
performance scorecard in critical care medicine: a mixed-methods study protocol.
AB - INTRODUCTION: Patients admitted to a critical care medicine (CCM) environment,
including an intensive care unit (ICU), are susceptible to harm and significant
resource utilisation. Therefore, a strategy to optimise provider performance is
required. Performance scorecards are used by institutions for the purposes of
driving quality improvement. There is no widely accepted or standardised
scorecard that has been used for overall CCM performance. We aim to improve
quality of care, patient safety and patient/family experience in CCM practice
through the utilisation of a standardised, repeatable and multidimensional
performance scorecard, designed to provide a continuous review of ICU physician
and nurse practice, as well as departmental metrics. METHODS AND ANALYSIS: This
will be a mixed-methods, controlled before and after study to assess the impact
of a CCM-specific quality scorecard. Scorecard metrics were developed through
expert consensus and existing literature. The study will include 19 attending CCM
physicians and approximately 300 CCM nurses. Patient data for scorecard
compilation are collected daily from bedside flow sheets. Preintervention
baseline data will be collected for 6 months for each participant. After this,
each participant will receive their scorecard measures. Following a 3-month
washout period, postintervention data will be collected for 6 months. The primary
outcome will be change in performance metrics following the provision of
scorecard feedback to subjects. A cost analysis will also be performed, with the
purpose of comparing total ICU costs prior to implementation of the scorecard
with total ICU costs following implementation of the scorecard. The qualitative
portion will include interviews with participants following the intervention
phase. Interviews will be analysed in order to identify recurrent themes and
subthemes, for the purposes of driving scorecard improvement. ETHICS AND
DISSEMINATION: This protocol has been approved by the local research ethics
board. Publication of results is anticipated in 2019. If this intervention is
found to improve patient- and unit-directed outcomes, with evidence of cost
effectiveness, it would support the utilisation of such a scorecard as a quality
standard in CCM.
PMID- 29358444
TI - Home-based exercise therapy in patients awaiting liver transplantation: protocol
for an observational feasibility trial.
AB - INTRODUCTION: Liver disease is the third most common cause of premature mortality
in the UK. Liver failure accelerates frailty, resulting in skeletal muscle
atrophy, functional decline and an associated risk of liver transplant waiting
list mortality. However, there is limited research investigating the impact of
exercise on patient outcomes pre and post liver transplantation. The waitlist
period for patients listed for liver transplantation provides a unique
opportunity to provide and assess interventions such as prehabilitation. METHODS
AND ANALYSIS: This study is a phase I observational study evaluating the
feasibility of conducting a randomised control trial (RCT) investigating the use
of a home-based exercise programme (HBEP) in the management of patients awaiting
liver transplantation. Twenty eligible patients will be randomly selected from
the Queen Elizabeth University Hospital Birmingham liver transplant waiting list.
Participants will be provided with an individually tailored 12-week HBEP,
including step targets and resistance exercises. Activity trackers and patient
diaries will be provided to support data collection. For the initial 6 weeks,
telephone support will be given to discuss compliance with the study
intervention, achievement of weekly targets, and to address any queries or
concerns regarding the intervention. During weeks 6-12, participants will
continue the intervention without telephone support to evaluate longer term
adherence to the study intervention. On completing the intervention, all
participants will be invited to engage in a focus group to discuss their
experiences and the feasibility of an RCT. ETHICS AND DISSEMINATION: The protocol
is approved by the National Research Ethics Service Committee North West -
Greater Manchester East and Health Research Authority (REC reference:
17/NW/0120). Recruitment into the study started in April 2017 and ended in July
2017. Follow-up of participants is ongoing and due to finish by the end of 2017.
The findings of this study will be disseminated through peer-reviewed
publications and international presentations. In addition, the protocol will be
placed on the British Liver Trust website for public access. TRIAL REGISTRATION
NUMBER: NCT02949505; Pre-results.
PMID- 29358445
TI - Online versus paper-based screening for depression and anxiety in adults with
cystic fibrosis in Ireland: a cross-sectional exploratory study.
AB - OBJECTIVE: To compare online and paper-based screening for depression and anxiety
in adults with cystic fibrosis (CF). DESIGN AND SETTING: Cross-sectional study in
CF clinics in Ireland and through the Cystic Fibrosis Ireland online community.
PARTICIPANTS: 160 adult patients aged 18 or above were recruited. Of these, 147
were included in the analysis; 83 online and 64 paper-based. The remaining 13
were excluded because of incomplete data. MEASURES: Depression and anxiety were
measured using the Hospital Anxiety and Depression Scale (HADS). Data on
pulmonary function (forced expiratory volume in 1 s %) and body mass index were
self-reported based on clinical assessments. Sociodemographic data were
collected. RESULTS: Compared with the paper-based participants, the online
participants were more likely to be female (61.7% vs 48.4%), older (mean 32.2 vs
28.2 years) and were more likely to be married (32.5% vs 15.6%), living with
their spouse or partner (42.5% vs 22.6%) and working either full time (33.7% vs
15.9%) or part time (30.1%vs 17.5%). The prevalence rates of elevated anxiety and
depression were not significantly different (P=0.71 and P=0.56). HADS anxiety and
depression scores were not statistically different between online (P=0.83) and
paper-based (P=0.92) participants based on Mann-Whitney U test. A significant
negative correlation was found between depression and pulmonary function (r=
0.39, P=0.01) and anxiety and pulmonary function (r=-0.36, P=0.02). Based on
Cronbach's alpha, there were no statistically significant differences between the
online and paper-based participants on the internal consistency of the HADS
anxiety (P=0.073) and depression (P=0.378) scales. CONCLUSIONS: Our findings
suggest that online and paper-based screening for depression and anxiety in adult
patients with CF yield comparable findings on prevalence rates and scores,
associations with health and internal consistency of subscales. This study
highlights that online screening offers an alternative method to paper-based
screening. Further research with a larger sample and assessment of measurement
equivalence between online and paper based screening is needed to confirm our
results.
PMID- 29358446
TI - Assessing the impact of the National Department of Health's National Adherence
Guidelines for Chronic Diseases in South Africa using routinely collected data: a
cluster-randomised evaluation.
AB - INTRODUCTION: In 2016, South Africa's National Department of Health (NDOH)
launched the National Adherence Guidelines for Chronic Diseases for phased
implementation throughout South Africa. Early implementation of a 'minimum
package' of eight interventions in the Adherence Guidelines for patients with HIV
is being undertaken at 12 primary health clinics and community health centres in
four provinces. NDOH and its partners are evaluating the impact of five of the
interventions in four provinces in South Africa. METHODS AND ANALYSIS: The
minimum package is being delivered at the 12 health facilities under NDOH
guidance and through local health authorities. The five evaluation interventions
are: (1) fast track initiation counselling for patients eligible for
antiretroviral therapy (ART); (2) adherence clubs for stable ART patients; (3)
decentralised medication delivery for stable ART patients; (4) enhanced adherence
counselling for unstable ART patients; and (5) early tracing of patients who miss
an appointment by >=5 days. For evaluation, NDOH matched the 12 intervention
clinics with 12 comparison clinics and randomly allocated one member of each pair
to intervention or comparison (standard of care) status within pairs, allowing
evaluation of the interventions using a matched cluster-randomised design. The
evaluation uses data routinely collected by the clinics, with no study
interaction with subjects to prevent influencing the primary outcomes. Enrolment
began on 20 June 2016 and was completed on 16 December 2016. A total of 3456
patients were enrolled and will now be followed for 14 months to estimate effects
on short-term and final outcomes. Primary outcomes include viral suppression,
retention and medication pickups, evaluated at two time points during follow-up.
ETHICS AND DISSEMINATION: The study received approval from the University of
Witwatersrand Human Research Ethics Committee and Boston University Institutional
Review Board. Results will be presented to key stakeholders and at international
conferences and published in peer-reviewed journals. TRIAL REGISTRATION NUMBER:
NCT02536768; Pre-results.
PMID- 29358447
TI - Engaging older people in an internet platform for cardiovascular risk self
management: a qualitative study among Dutch HATICE participants.
AB - OBJECTIVES: To study older peoples' experiences with an interactive internet
platform for cardiovascular self-management, to assess which factors influence
initial and sustained engagement. To assess their views on future use within
primary care. DESIGN: Qualitative semistructured interview study, with thematic
analysis. SETTING: Primary care in the Netherlands. PARTICIPANTS: People >=65
years with an increased risk of cardiovascular disease who used the 'Healthy
Ageing Through Internet Counselling in the Elderly' internet platform with remote
support of a coach. Participants were selected using a purposive sampling method
based on gender, age, level of education, cardiovascular history, diabetes,
duration of participation and login frequency. RESULTS: We performed 17
interviews with 20 participants, including three couples. In the initial phase,
platform engagement was influenced by perceived computer literacy of the
participants, user-friendliness, acceptability and appropriateness of the
intervention and the initial interaction with the coach. Sustained platform use
was mainly facilitated by a relationship of trust with the coach. Other
facilitating factors were regular automatic and personal reminders, clear
expectations of the platform, incorporation into daily routine, social support
and a loyal and persistent attitude. Perceived lack of change in content of the
platform could work both stimulating and discouraging. Participants supported the
idea of embedding the platform into the primary care setting. CONCLUSIONS: Human
support is crucial to initial and sustained engagement of older people in using
an interactive internet platform for cardiovascular self-management. Regular
reminders further facilitate sustained use, and increased tailoring to personal
preference is recommended. Embedding the platform in primary healthcare may
enhance future adoption. TRIAL REGISTRATION NUMBER: ISRCTN48151589; Pre-results.
PMID- 29358448
TI - Investigating the application of motion accelerometers as a sleep monitoring
technique and the clinical burden of the intensive care environment on sleep
quality: study protocol for a prospective observational study in Australia.
AB - INTRODUCTION: Sleep is a state of quiescence that facilitates the significant
restorative processes that enhance individuals' physiological and psychological
well-being. Patients admitted to the intensive care unit (ICU) experience
substantial sleep disturbance. Despite the biological importance of sleep, sleep
monitoring does not form part of standard clinical care for critically ill
patients. There exists an unmet need to assess the feasibility and accuracy of a
range of sleep assessment techniques that have the potential to allow widespread
implementation of sleep monitoring in the ICU. KEY MEASURES: The coprimary
outcome measures of this study are to: determine the accuracy and feasibility of
motion accelerometer monitoring (ie, actigraphy) and subjective assessments of
sleep (nursing-based observations and patient self-reports) to the gold standard
of sleep monitoring (ie, polysomnography) in evaluating sleep continuity and
disturbance. The secondary outcome measures of the study will include: (1) the
association between sleep disturbance and environmental factors (eg, noise, light
and clinical interactions) and (2) to describe the sleep architecture of
intensive care patients. METHODS AND ANALYSIS: A prospective, single centre
observational design with a within subjects' assessment of sleep monitoring
techniques. The sample will comprise 80 adults (aged 18 years or more) inclusive
of ventilated and non-ventilated patients, admitted to a tertiary ICU with a
Richmond Agitation-Sedation Scale score between +2 (agitated) and -3 (moderate
sedation) and an anticipated length of stay >24 hours. Patients' sleep quality,
total sleep time and sleep fragmentations will be continuously monitored for 24
hours using polysomnography and actigraphy. Behavioural assessments (nursing
observations) and patients' self-reports of sleep quality will be assessed during
the 24-hour period using the Richards-Campbell Sleep Questionnaire, subjective
sleepiness evaluated via the Karolinska Sleepiness Scale, along with a
prehospital discharge survey regarding patients' perception of sleep quality and
disturbing factors using the Little Sleep Questionnaire will be undertaken.
Associations between sleep disturbance, noise and light levels, and the frequency
of clinical interactions will also be investigated. Sound and luminance levels
will be recorded at 1 s epochs via Extech SDL600 and SDL400 monitoring devices.
Clinical interactions will be logged via the electronic patient record system
Metavision which documents patient monitoring and clinical care. ETHICS AND
DISSEMINATION: The relevant institutions have approved the study protocol and
consent procedures. The findings of the study will contribute to the
understanding of sleep disturbance, and the ability to implement sleep monitoring
methods within ICUs. Understanding the contribution of a clinical environment on
sleep disturbance may provide insight into the need to address clinical
environmental issues that may positively influence patient outcomes, and could
dispel notions that the environment is a primary factor in sleep disturbance. The
research findings will be disseminated via presentations at national and
international conferences, proceedings and published articles in peer-reviewed
journals. TRIAL REGISTRATION NUMBER: ACTRN12615000945527; Pre-results.
PMID- 29358449
TI - Roles of mothers and fathers in supporting child physical activity: a cross
sectional mixed-methods study.
AB - OBJECTIVES: Examine the extent that parent gender is associated with supporting
children's physical activity. DESIGN: Cross-sectional mixed-methods study.
SETTING: 47 primary schools located in Bristol (UK). PARTICIPANTS: 944 children
aged 8-9 years and one of their parents provided quantitative data; 51 parents
(20 fathers) were interviewed. METHODS: Children wore an accelerometer, and mean
minutes of moderate-to-vigorous physical activity (MVPA) per day, counts per
minute (CPM) and achievement of national MVPA guidelines were derived. Parents
reported who leads in supporting child activity during the week and weekend.
Linear and logistic regression examined the association between gender of parent
who supports child activity and child physical activity. For the semistructured
telephone interviews, inductive and deductive content analyses were used to
explore the role of gender in how parents support child activity. RESULTS:
Parents appeared to have a stronger role in supporting boys to be more active,
than girls, and the strongest associations were when they reported that both
parents had equal roles in supporting their child. For example, compared with the
reference of female/mother support, equal contribution from both parents during
the week was associated with boys doing 5.9 (95% CI 1.2 to 10.6) more minutes of
MVPA per day and more CPM when both parents support on weekday and weekends (55.1
(14.3 to 95.9) and 52.8 (1.8 to 103.7), respectively). Associations in girls were
weaker and sometimes in the opposite direction, but there was no strong
statistical evidence for gender interactions. Themes emerged from the qualitative
data, specifically; parents proactively supporting physical activity equally,
mothers supporting during the week, families getting together at weekends,
families doing activities separately due to preferences and parents using
activities to bond one-to-one with children. CONCLUSIONS: Mothers primarily
support child activity during the week. Children, possibly more so boys, are more
active if both parents share the supporting role.
PMID- 29358452
TI - Correction: Do psychological harms result from being labelled with an unexpected
diagnosis of abdominal aortic aneurysm or prostate cancer through screening? A
systematic review.
PMID- 29358450
TI - Screening for chronic kidney disease in a community-based diabetes cohort in
rural Guatemala: a cross-sectional study.
AB - OBJECTIVE: Screening is a key strategy to address the rising burden of chronic
kidney disease (CKD) in low-income and middle-income countries. However, there
are few reports regarding the implementation of screening programmes in resource
limited settings. The objectives of this study are to (1) to share programmatic
experiences implementing CKD screening in a rural, resource-limited setting and
(2) to assess the burden of renal disease in a community-based diabetes programme
in rural Guatemala. DESIGN: Cross-sectional assessment of glomerular filtration
rate (GFR) and urine albumin. SETTING: Central Highlands of Guatemala.
PARTICIPANTS: We enrolled 144 adults with type 2 diabetes in a community-based
CKD screening activity carried out by the sponsoring institution. OUTCOME
MEASURES: Prevalence of renal disease and risk of CKD progression using Kidney
Disease: Improving Global Outcomes definitions and classifications. RESULTS: We
found that 57% of the sample met GFR and/or albuminuria criteria suggestive of
CKD. Over half of the sample had moderate or greater increased risk for CKD
progression, including nearly 20% who were classified as high or very high risk.
Hypertension was common in the sample (42%), and glycaemic control was suboptimal
(mean haemoglobin A1c 9.4%+/-2.5% at programme enrolment and 8.6%+/-2.3% at time
of CKD screening). CONCLUSIONS: The high burden of renal disease in our patient
sample suggests an imperative to better understand the burden and risk factors of
CKD in Guatemala. The implementation details we share reveal the tension between
evidence-based CKD screening versus screening that can feasibly be delivered in
resource-limited global settings.
PMID- 29358453
TI - Correction: Selecting pH cut-offs for the safe verification of nasogastric
feeding tube placement: a decision analytical modelling approach.
PMID- 29358451
TI - Comparative efficacy and acceptability of interventions for major depression in
older persons: protocol for Bayesian network meta-analysis.
AB - INTRODUCTION: Major depression is a leading cause of disability and has been
associated with adverse effects in older persons. While many pharmacological and
non-pharmacological interventions have been shown to be effective to address
major depression in older persons, there has not been a meta-analysis that
consolidates all the available interventions and compare the relative benefits of
these available interventions. In this study, we aim to conduct a systematic
review and network meta-analysis to compare the efficacy and acceptability of all
the known pharmacological and non-pharmacological interventions for major
depression in older persons. METHODS AND ANALYSIS: We will search Medline,
Embase, PsycINFO, Cumulative Index to Nursing and Allied Health, Cochrane Central
Register of Controlled Trials and references of other review articles for
articles related to the keywords of 'randomised trial', 'major depression',
'older persons' and 'treatments'. Two reviewers will independently select the
eligible articles. For each included article, the two reviewers will
independently extract the data and assess the risk of bias using the Cochrane
revised tool for risk of bias. Bayesian network meta-analyses will be conducted
to pool the depression scores (based on standardised mean difference) and the all
cause discontinuation across all included studies. The ranking probabilities for
all interventions will be estimated and the hierarchy of each intervention will
be summarised as surface under the cumulative ranking curve (SUCRA). Meta
regression and sub-group analyses will also be performed to evaluate the effect
of study-level covariates. The quality of the evidence will be assessed using the
Grading of Recommendations Assessment, Development and Evaluation approach.
ETHICS AND DISSEMINATION: The results will be disseminated through conference
presentations and peer-reviewed publications. They will provide the consolidated
evidence to inform clinicians on the best choice of intervention to address major
depression in older persons. PROSPERO REGISTRATION NUMBER: CRD42017075756.
PMID- 29358454
TI - Correction: Design and rationale of the Cardiovascular Health and Text Messaging
(CHAT) Study and the CHAT-Diabetes Mellitus (CHAT-DM) Study: two randomised
controlled trials of text messaging to improve secondary prevention for coronary
heart disease and diabetes.
PMID- 29358455
TI - Correction: Low-dose glucocorticoids plus rituximab versus high-dose
glucocorticoids plus rituximab for remission induction in ANCA-associated
vasculitis (LoVAS): protocol for a multicentre, open-label, randomised controlled
trial.
PMID- 29358456
TI - Does Chronic Obstructive Pulmonary Disease Cause Cardiovascular Disease?
PMID- 29358457
TI - Primary Aldosteronism and Cardiovascular Events: It Is Time to Take Guideline
Recommendations Seriously.
PMID- 29358459
TI - Determinants of Cardiometabolic Risk Factors in the First Decade of Life: A
Longitudinal Study Starting at Birth.
AB - The present prospective study assessed the association of birth weight (BW) and
growth pattern on cardiometabolic risk factors in a cohort followed from birth to
10 years of age. One hundred and forty-five subjects (73 girls) who fulfilled the
inclusion criteria and had all their data recorded at birth and at 5 years were
enrolled. Of these, 100 (52 girls) also recorded data at 10 years. Anthropometric
measurements, office and 24-hour blood pressure, and metabolic parameters were
obtained. At 5 years, both BW and current weight were determinants of blood
pressure and metabolic parameters; however, as the subjects got older, the impact
of body size increased. Higher BW and maternal obesity increased the risk of
becoming obese at 5 years while this was reduced if breastfeeding. Maternal
obesity was the only factor associated with becoming obese at 10 years. Twenty
two children at 10 years had insulin values >=15 U/L, some of whom were
persistent from 5 years while in others it increased afterward. Subjects with
insulin values >=15 U/L showed significant higher values of office systolic blood
pressure, triglycerides, and uric acid and lower values of high-density
lipoprotein than did those with normal insulin values. Highest weight gain from 5
to 10 years and lowest BW were the main determinants of high insulin levels. In
conclusion, although BW was a proxy of the events during fetal life and projected
its influence later, the influence of gaining weight was a key determinant in the
risk to develop obesity and metabolic abnormalities.
PMID- 29358458
TI - Surrogate Markers of Cardiovascular Risk and Chronic Obstructive Pulmonary
Disease: A Large Case-Controlled Study.
AB - Cardiovascular disease is a common comorbidity and cause of mortality in chronic
obstructive pulmonary disease. A better understanding of mechanisms of
cardiovascular risk in chronic obstructive pulmonary disease patients is needed
to improve clinical outcomes. We hypothesized that such patients have increased
arterial stiffness, wave reflections, and subclinical atherosclerosis compared
with controls and that these findings would be independent of smoking status and
other confounding factors. A total of 458 patients with a diagnosis of chronic
obstructive pulmonary disease and 1657 controls (43% were current or ex-smokers)
with no airflow limitation were matched for age, sex, and body mass index. All
individuals underwent assessments of carotid-femoral (aortic) pulse wave
velocity, augmentation index, and carotid intima-media thickness. The mean age of
the cohort was 67+/-8 years and 58% were men. Patients with chronic obstructive
pulmonary disease had increased aortic pulse wave velocity (9.95+/-2.54 versus
9.27+/-2.41 m/s; P<0.001), augmentation index (28+/-10% versus 25+/-10%;
P<0.001), and carotid intima-media thickness (0.83+/-0.19 versus 0.74+/-0.14 mm;
P<0.001) compared with controls. Chronic obstructive pulmonary disease was
associated with increased levels of each vascular biomarker independently of
physiological confounders, smoking, and other cardiovascular risk factors. In
this large case-controlled study, chronic obstructive pulmonary disease was
associated with increased arterial stiffness, wave reflections, and subclinical
atherosclerosis, independently of traditional cardiovascular risk factors. These
findings suggest that the cardiovascular burden observed in this condition may be
mediated through these mechanisms and supports the concept that chronic
obstructive pulmonary disease is an independent risk factor for cardiovascular
disease.
PMID- 29358462
TI - In This Issue of Diabetes Care.
PMID- 29358460
TI - Prevalence of Cardiovascular Disease and Its Risk Factors in Primary
Aldosteronism: A Multicenter Study in Japan.
AB - There have been several clinical studies examining the factors associated with
cardiovascular disease (CVD) in patients with primary aldosteronism (PA);
however, their results have left it unclear whether CVD is affected by the plasma
aldosterone concentration or hypokalemia. We assessed the PA database established
by the multicenter JPAS (Japan Primary Aldosteronism Study) and compared the
prevalence of CVD among patients with PA with that among age-, sex-, and blood
pressure-matched essential hypertension patients and participants with
hypertension in a general population cohort. We also performed binary logistic
regression analysis to determine which parameters significantly increased the
odds ratio for CVD. Of the 2582 patients with PA studied, the prevalence of CVD,
including stroke (cerebral infarction, cerebral hemorrhage, or subarachnoid
hemorrhage), ischemic heart disease (myocardial infarction or angina pectoris),
and heart failure, was 9.4% (stroke, 7.4%; ischemic heart disease, 2.1%; and
heart failure, 0.6%). The prevalence of CVD, especially stroke, was higher among
the patients with PA than those with essential hypertension/hypertension.
Hypokalemia (K+ <=3.5 mEq/L) and the unilateral subtype significantly increased
adjusted odds ratios for CVD. Although aldosterone levels were not linearly
related to the adjusted odds ratio for CVD, patients with plasma aldosterone
concentrations >=125 pg/mL had significantly higher adjusted odds ratios for CVD
than those with plasma aldosterone concentrations <125 pg/mL. Thus, patients with
PA seem to be at a higher risk of developing CVD than patients with essential
hypertension. Moreover, patients with PA presenting with hypokalemia, the
unilateral subtype, or plasma aldosterone concentration >=125 pg/mL are at a
greater risk of CVD and have a greater need for PA-specific treatments than
others.
PMID- 29358461
TI - STIM2 (Stromal Interaction Molecule 2)-Mediated Increase in Resting Cytosolic
Free Ca2+ Concentration Stimulates PASMC Proliferation in Pulmonary Arterial
Hypertension.
AB - An increase in cytosolic free Ca2+ concentration ([Ca2+]cyt) in pulmonary artery
smooth muscle cells (PASMCs) triggers pulmonary vasoconstriction and stimulates
PASMC proliferation leading to vascular wall thickening. Here, we report that
STIM2 (stromal interaction molecule 2), a Ca2+ sensor in the sarcoplasmic
reticulum membrane, is required for raising the resting [Ca2+]cyt in PASMCs from
patients with pulmonary arterial hypertension (PAH) and activating signaling
cascades that stimulate PASMC proliferation and inhibit PASMC apoptosis.
Downregulation of STIM2 in PAH-PASMCs reduces the resting [Ca2+]cyt, whereas
overexpression of STIM2 in normal PASMCs increases the resting [Ca2+]cyt The
increased resting [Ca2+]cyt in PAH-PASMCs is associated with enhanced
phosphorylation (p) of CREB (cAMP response element-binding protein), STAT3
(signal transducer and activator of transcription 3), and AKT, increased NFAT
(nuclear factor of activated T-cell) nuclear translocation, and elevated level of
Ki67 (a marker of cell proliferation). Furthermore, the STIM2-associated increase
in the resting [Ca2+]cyt also upregulates the antiapoptotic protein Bcl-2 in PAH
PASMCs. Downregulation of STIM2 in PAH-PASMCs with siRNA (1) decreases the level
of pCREB, pSTAT3, and pAKT and inhibits NFAT nuclear translocation, thereby
attenuating proliferation, and (2) decreases Bcl-2, which leads to an increase of
apoptosis. In summary, these data indicate that upregulated STIM2 in PAH-PASMCs,
by raising the resting [Ca2+]cyt, contributes to enhancing PASMC proliferation by
activating the CREB, STAT3, AKT, and NFAT signaling pathways and stimulating
PASMC proliferation. The STIM2-associated increase in the resting [Ca2+]cyt is
also involved in upregulating Bcl-2 that makes PAH-PASMCs resistant to apoptosis,
and thus plays an important role in sustained pulmonary vasoconstriction and
excessive pulmonary vascular remodeling in patients with PAH.
PMID- 29358463
TI - The National Diabetes Education Program at 20 Years: Lessons Learned and Plans
for the Future.
AB - The National Diabetes Education Program (NDEP) was established to translate
findings from diabetes research studies into clinical and public health practice.
Over 20 years, NDEP has built a program with partnership engagement that includes
science-based resources for multiple population and stakeholder audiences.
Throughout its history, NDEP has developed strategies and messages based on
communication research and relied on established behavior change models from
health education, communication, and social marketing. The program's success in
continuing to engage diverse partners after 20 years has led to time-proven and
high-quality resources that have been sustained. Today, NDEP maintains a national
repository of diabetes education tools and resources that are high quality,
science- and audience-based, culturally and linguistically appropriate, and
available free of charge to a wide variety of audiences. This review looks back
and describes NDEP's evolution in transforming and communicating diabetes
management and type 2 diabetes prevention strategies through partnerships,
campaigns, educational resources, and tools and identifies future opportunities
and plans.
PMID- 29358464
TI - Mortality Reduction in EMPA-REG OUTCOME Trial: Beyond the Antidiabetes Effect.
AB - Two recent large-scale cardiovascular outcome trials, a now common tool in
assessing the safety of pharmacological treatments for type 2 diabetes, reported
significant reductions in all-cause mortality. In EMPA-REG OUTCOME [BI 10773
(Empagliflozin) Cardiovascular Outcome Event Trial in Type 2 Diabetes Mellitus
Patients], patients who received the SGLT2 inhibitor empagliflozin had a notable
reduction of 9.2 deaths per 1,000 per year, while LEADER (Liraglutide Effect and
Action in Diabetes: Evaluation of Cardiovascular Outcome Results-A Long Term
Evaluation) found that the patients receiving the GLP-1 receptor agonist
liraglutide had a reduction of 3.7 deaths per 1,000 per year. The hypotheses to
explain the sizable mortality reduction in EMPA-REG OUTCOME have mainly focused
on the potential cardiovascular mechanisms of empagliflozin, but none considered
its expected antidiabetes effects. I estimated the portion of the reduction in
mortality observed in EMPA-REG OUTCOME expected to be a result of its
antidiabetes effects, as measured by glycemic control and the need for additional
antidiabetes medication, and contrasted it with LEADER. With use of the mean
0.45% reduction in HbA1c with empagliflozin compared with placebo in EMPA-REG
OUTCOME, the rate reduction of 9.2 deaths per 1,000 per year would be expected to
be at most 4.5 deaths per 1,000 per year, leaving 4.7 deaths per 1,000 per year
otherwise explained. On the other hand, LEADER's rate reduction of 3.7 deaths per
1,000 per year with liraglutide would be expected to be 3.5 by virtue of its
effect on HbA1c, leaving 0.2 deaths per 1,000 per year explained otherwise.
Similar results were found using the need for additional antidiabetes treatment
during follow-up to measure the antidiabetes impact. In conclusion, the expected
antidiabetes effects of empagliflozin and liraglutide on the reduction in
mortality are important. However, empagliflozin appears to have significant
additional effects on survival, possibly due to specific cardiovascular
mechanisms, which merit further investigation.
PMID- 29358465
TI - Teasing Diabetes Apart, One Locus at a Time.
PMID- 29358466
TI - Discovery, Knowledge, and Action-Diabetes in Pregnancy Across the Translational
Spectrum: The 2016 Norbert Freinkel Award Lecture.
AB - The Norbert Freinkel Award is given in memory of Norbert Freinkel, a dedicated
and insightful investigator and gifted writer, to honor a researcher who has made
outstanding contributions, including scientific publications and presentations,
to the understanding and treatment of diabetes in pregnancy. H. David McIntyre,
MD, FRACP, Director of Obstetric Medicine at Mater Health Services and Head of
the Mater Clinical Unit at The University of Queensland in Brisbane, Australia,
received the prestigious award at the American Diabetes Association's 76th
Scientific Sessions, 10-14 June 2016, in New Orleans, LA. He presented the
Norbert Freinkel Award Lecture, "Discovery, Knowledge, and Action-Diabetes in
Pregnancy Across the Translational Spectrum," on Saturday, 11 June 2016.
PMID- 29358468
TI - The Role of Diabetes Care and Its Contributions to the Field of Diabetes: A
Profile in Progress.
PMID- 29358467
TI - Diabetes in Youth-Looking Backwards to Inform the Future: Kelly West Award
Lecture 2017.
AB - The Kelly West Award for Outstanding Achievement in Epidemiology is presented in
honor of the memory of Kelly M. West, widely regarded as the "father of diabetes
epidemiology." Harry Keen described West as characterized by "generosity of
spirit, deeply human and humorous, deliberate of address, modest, conciliatory
and untiringly persevering. Few people have done so much to change the landscape
of diabetes" (1). The award and lecture recognize a leading epidemiologist in the
field of diabetes. Dana Dabelea, MD, PhD, received this award at the American
Diabetes Association's 77th Scientific Sessions, 9-13 June 2017, in San Diego,
CA. She presented the Kelly West Award Lecture, "Diabetes in Youth-Looking
Backwards to Inform the Future," on Sunday, 11 June 2017.
PMID- 29358469
TI - Nonalcoholic Fatty Liver Disease and Risk of Incident Type 2 Diabetes: A Meta
analysis.
AB - OBJECTIVE: Several studies have explored the impact of nonalcoholic fatty liver
disease (NAFLD) on risk of incident type 2 diabetes. However, the extent to which
NAFLD may confer risk of incident diabetes remains uncertain. We performed a meta
analysis of relevant studies to quantify the magnitude of the association between
NAFLD and risk of incident diabetes. RESEARCH DESIGN AND METHODS: We collected
data using PubMed, Scopus, and Web of Science from January 2000 to July 2017. We
included only large (n >=500) observational studies with a follow-up duration of
at least 1 year in which NAFLD was diagnosed on imaging methods. Eligible studies
were selected according to predefined keywords and clinical outcomes. Data from
selected studies were extracted, and meta-analysis was performed using random
effects modeling. RESULTS: A total of 19 observational studies with 296,439
individuals (30.1% with NAFLD) and nearly 16,000 cases of incident diabetes over
a median of 5 years were included in the final analysis. Patients with NAFLD had
a greater risk of incident diabetes than those without NAFLD (random-effects
hazard ratio [HR] 2.22, 95% CI 1.84-2.60; I2 = 79.2%). Patients with more
"severe" NAFLD were also more likely to develop incident diabetes; this risk
increased across the ultrasonographic scores of steatosis (n = 3 studies), but it
appeared to be even greater among NAFLD patients with advanced high NAFLD
fibrosis score (n = 1 study; random-effects HR 4.74, 95% CI 3.54-5.94).
Sensitivity analyses did not alter these findings. Funnel plot and Egger test did
not reveal significant publication bias. Study limitations included high
heterogeneity, varying degrees of confounder adjustment across individual
studies, and lack of studies using liver biopsy. CONCLUSIONS: NAFLD is
significantly associated with a twofold increased risk of incident diabetes.
However, the observational design of the eligible studies does not allow for
proving causality.
PMID- 29358471
TI - Comment on Edelman and Polonsky. Type 2 Diabetes in the Real World: The Elusive
Nature of Glycemic Control. Diabetes Care 2017;40:1425-1432.
PMID- 29358472
TI - Response to Comment on Edelman and Polonsky. Type 2 Diabetes in the Real World:
The Elusive Nature of Glycemic Control. Diabetes Care 2017;40:1425-1432.
PMID- 29358473
TI - Comment on Lent et al. All-Cause and Specific-Cause Mortality Risk After Roux-en
Y Gastric Bypass in Patients With and Without Diabetes. Diabetes Care
2017;40:1379-1385.
PMID- 29358474
TI - Response to comment on Lent et al. All-Cause and Specific-Cause Mortality Risk
After Roux-en-Y Gastric Bypass in Patients With and Without Diabetes. Diabetes
Care 2017;40:1379-1385.
PMID- 29358475
TI - Treat suspected flu with antivirals, hospital doctors are told.
PMID- 29358476
TI - Tumours composed of fat are no longer a simple diagnosis: an overview of fatty
tumours with a spindle cell component.
AB - This is a review of the morphological spectrum of fatty tumours containing a
component of spindle cells, highlighting the immunohistochemical and cytogenetic
workup that is now mandatory for accurate diagnosis, with the goal of providing a
practical approach for practising surgical pathologists. There have been
significant advances in recent years in classifying and understanding the
pathogenesis of fatty tumours with spindle cells, based on the correlation of
histological, immunohistochemical and cytogenetic/molecular findings. In spite of
this, morphological diagnosis and accurate classification of fatty tumours with
spindle cells can be challenging to diagnostic pathologists. A group of three
lesions: spindle cell lipoma, mammary-type myofibroblastoma and cellular
angiofibroma share morphological features and are united by retinoblastoma
protein (pRb) loss. Closely allied to these lesions, especially spindle cell
lipoma is the newly designated atypical spindle cell lipomatous tumour, which
shares morphological, immunohistochemical and cytogenetic features with the trio
of tumours lacking nuclear pRb. All of these lesions lack MDM2 and CDK4
amplification as well and separation is based on clinical features, principally
location. Atypical lipomatous tumour or well-differentiated liposarcoma shows
retention of pRb but overexpression and amplification of MDM2. Fatty tumours with
spindle cells need to be extensively sampled, with careful attention paid to
cellular atypia and location, and they need to have immunohistochemical workup
with pRb, MDM2, desmin, CD34 and p16. In addition, cytogenetic analysis for MDM2
and CDK4 amplification has become crucial for the proper identification of these
lesions.
PMID- 29358477
TI - Aspergillus tamarii: an uncommon burn wound infection.
PMID- 29358480
TI - From Strangers in a Foreign Land to Active, Engaged Citizens.
PMID- 29358479
TI - Advocacy for Improving Nutrition in the First 1000 Days to Support Childhood
Development and Adult Health.
AB - Maternal prenatal nutrition and the child's nutrition in the first 2 years of
life (1000 days) are crucial factors in a child's neurodevelopment and lifelong
mental health. Child and adult health risks, including obesity, hypertension, and
diabetes, may be programmed by nutritional status during this period. Calories
are essential for growth of both fetus and child but are not sufficient for
normal brain development. Although all nutrients are necessary for brain growth,
key nutrients that support neurodevelopment include protein; zinc; iron; choline;
folate; iodine; vitamins A, D, B6, and B12; and long-chain polyunsaturated fatty
acids. Failure to provide key nutrients during this critical period of brain
development may result in lifelong deficits in brain function despite subsequent
nutrient repletion. Understanding the complex interplay of micro- and
macronutrients and neurodevelopment is key to moving beyond simply recommending a
"good diet" to optimizing nutrient delivery for the developing child. Leaders in
pediatric health and policy makers must be aware of this research given its
implications for public policy at the federal and state level. Pediatricians
should refer to existing services for nutrition support for pregnant and
breastfeeding women, infants, and toddlers. Finally, all providers caring for
children can advocate for healthy diets for mothers, infants, and young children
in the first 1000 days. Prioritizing public policies that ensure the provision of
adequate nutrients and healthy eating during this crucial time would ensure that
all children have an early foundation for optimal neurodevelopment, a key factor
in long-term health.
PMID- 29358478
TI - Identification of rare diseases by screening a population selected on the basis
of routine pathology results-the PATHFINDER project: lysosomal acid
lipase/cholesteryl ester storage disease substudy.
AB - AIMS: Lysosomal acid lipase deficiency (LALD) is an autosomal recessive disorder
of cholesterol ester storage associated with hepatic disease, cirrhosis and
accelerated atherosclerosis. Its prevalence in the general population, patients
with dyslipidaemia and raised transaminases is unclear. This study attempted to
identify the prevalence of LALD from patients with abnormal results in laboratory
databases. METHODS: Electronic laboratory databases were interrogated to identify
from clinical biochemistry records patients with a phenotype of low high-density
lipoprotein-cholesterol (<=0.85 mmol/L; 33 mg/dL) and with elevated alanine or
aspartate transaminases (>=60 IU/L) on one occasion or more over a 3-year time
interval. Patients were recalled, and a dried blood spot sample was collected for
lysosomal acid lipase determination by a fluorimetric enzyme assay.
Histopathology databases of liver biopsies were interrogated for patients with
features of 'microvesicular cirrhosis' or 'cryptogenic cirrhosis' in the report.
Histological blocks were sampled, and samples were analysed by next-generation
sequencing for the presence of mutations in the LAL gene. RESULTS: Samples were
obtained from 1825 patients with dyslipidaemia and elevated transaminases. No
cases of LALD were identified. Liver biopsies were obtained from six patients.
DNA extraction was successful from four patients. Two patients were homozygous
for the LAL c.46A>C;p.Thr16Pro unclassified variant in exon 2. CONCLUSIONS:
Pathology databases hold routine information that can be used to identify
patients with specific patterns of results or those who had biopsies to allow
targeted testing for possible causes of disease. Biochemical screening suggests
that the gene frequency of LAL deficiency in adults is less than 1 in 100.
PMID- 29358481
TI - Pediatricians and Public Health: Optimizing the Health and Well-Being of the
Nation's Children.
AB - Ensuring optimal health for children requires a population-based approach and
collaboration between pediatrics and public health. The prevention of major
threats to children's health (such as behavioral health issues) and the control
and management of chronic diseases, obesity, injury, communicable diseases, and
other problems cannot be managed solely in the pediatric office. The integration
of clinical practice with public health actions is necessary for multiple levels
of disease prevention that involve the child, family, and community. Although
pediatricians and public health professionals interact frequently to the benefit
of children and their families, increased integration of the 2 disciplines is
critical to improving child health at the individual and population levels.
Effective collaboration is necessary to ensure that population health activities
include children and that the child health priorities of the American Academy of
Pediatrics (AAP), such as poverty and child health, early brain and child
development, obesity, and mental health, can engage federal, state, and local
public health initiatives. In this policy statement, we build on the 2013 AAP
Policy Statement on community pediatrics by identifying specific opportunities
for collaboration between pediatricians and public health professionals that are
likely to improve the health of children in communities. In the statement, we
provide recommendations for pediatricians, public health professionals, and the
AAP and its chapters.
PMID- 29358483
TI - UK women launch legal action against Bayer over Essure sterilisation device.
PMID- 29358484
TI - Sharing of patient data didn't breach rules, says public health agency.
PMID- 29358482
TI - Unstable Housing and Caregiver and Child Health in Renter Families.
AB - OBJECTIVES: To evaluate how 3 forms of housing instability relate to caregiver
and child health among low-income renter households. METHODS: Caregivers of
children 0 to 48 months of age were interviewed in 5 urban medical centers from
May 2009 to December 2015. Caregivers reported on the following: caregiver
health, maternal depressive symptoms, child's health, lifetime hospitalizations,
developmental risk, and 3 housing circumstances, which were categorized as being
behind on rent in the past 12 months, multiple moves (>= 2 in past 12 months),
and child's lifetime history of homelessness. Associations with caregiver and
child health outcomes were examined through multivariable logistic regression.
RESULTS: Of 22 324 families, 34% had at least 1 of the following adverse housing
circumstances: 27% had been behind on rent, 8% had made multiple moves, and 12%
had a history of being homeless. Overlap between these was limited; 86%
experienced only 1 adverse housing circumstance. Each circumstance was
individually associated with increased adjusted odds of adverse health and
material hardship compared with stable housing. Households behind on rent had
increased adjusted odds of fair and/or poor caregiver health (adjusted odds ratio
[aOR]: 1.91; 95% confidence interval [CI]: 1.77-2.05), maternal depressive
symptoms (aOR: 2.71; 95% CI: 2.51-2.93), child lifetime hospitalizations (aOR:
1.19; 95% CI: 1.10-1.27), fair and/or poor child health (aOR: 1.41; 95% CI: 1.28
1.56), and household material hardships. Families with multiple moves and history
of homelessness had similar adverse caregiver, child, health, and hardship
outcomes. CONCLUSIONS: Three forms of housing instability were associated with
adverse caregiver and child health among low-income renter households. The
American Academy of Pediatrics recommends social screening within health care;
providers could consider assessing for behind on rent, multiple moves, and
homelessness in high-risk practices.
PMID- 29358485
TI - In This Issue of Diabetes.
PMID- 29358486
TI - Cellular Energy Sensing and Metabolism-Implications for Treating Diabetes: The
2017 Outstanding Scientific Achievement Award Lecture.
AB - The Outstanding Scientific Achievement Award recognizes distinguished scientific
achievement in the field of diabetes, taking into consideration independence of
thought and originality. Gregory R. Steinberg, PhD, professor of medicine, Canada
Research Chair, J. Bruce Duncan Endowed Chair in Metabolic Diseases, and
codirector of the Metabolism and Childhood Obesity Research Program at McMaster
University, Hamilton, Ontario, Canada, received the prestigious award at the
American Diabetes Association's 77th Scientific Sessions, 9-13 June 2017, in San
Diego, CA. He presented the Outstanding Scientific Achievement Award Lecture,
"Cellular Energy Sensing and Metabolism-Implications for Treating Diabetes," on
Monday, 12 June 2017.The survival of all cells is dependent on the constant
challenge to match energetic demands with nutrient availability, a task that is
mediated through a highly conserved network of metabolic fuel sensors that
orchestrate both cellular and whole-organism energy balance. A mismatch between
cellular energy demand and nutrient availability is a key factor contributing to
the development of type 2 diabetes; thus, understanding the fundamental
mechanisms by which cells sense nutrient availability and demand may lead to the
development of new treatments. Glucose-lowering therapies, such as caloric
restriction, exercise, and metformin, all induce an energetic challenge that
results in the activation of the cellular energy sensor AMP-activated protein
kinase (AMPK). Activation of AMPK in turn suppresses lipid synthesis and
inflammation while increasing glucose uptake, fatty acid oxidation, and
mitochondrial function. In contrast, high levels of nutrient availability
suppress AMPK activity while also increasing the production of peripheral
serotonin, a gut-derived endocrine factor that suppresses beta-adrenergic-induced
activation of brown adipose tissue. Identifying new ways to manipulate these two
ancient fuel gauges by activating AMPK and inhibiting peripheral serotonin may
lead to the development of new therapies for treating type 2 diabetes.
PMID- 29358487
TI - "NO" to Autophagy: Fat Does the Trick for Diabetes.
PMID- 29358489
TI - Test patients with rheumatoid arthritis for anti-CCP antibodies, says NICE.
PMID- 29358490
TI - Understanding cardiac troponin part 2: early rule out of acute coronary syndrome.
AB - Chest pain of suspected cardiac origin is a very common emergency department
presentation. Over the past decade, there has been an exponential growth in
strategies that promote blood sampling at earlier and earlier time points after
presentation to facilitate the rule out of acute coronary syndrome.In part 2 of
this series, we examine key concepts from the recent literature with the aim of
improving clinicians' understanding of the rule-out strategies available to them
and provide a structured overview of strategies that facilitate discharge with
blood testing over <3 hours.
PMID- 29358492
TI - NHS England faces legal challenges over proposed changes to services.
PMID- 29358491
TI - Ketamine procedural sedation in the emergency department of an urban tertiary
hospital in Dar es Salaam, Tanzania.
AB - STUDY OBJECTIVE: We describe ketamine procedural sedations and associated adverse
events in low-acuity and high-acuity patients in a resource-limited ED. METHODS:
This was a prospective observational study of ketamine procedural sedations at
the Emergency Medical Department at the Muhimbili National Hospital in Dar es
Salaam, Tanzania. We observed consecutive procedural sedations and recorded
patient demographics, medications, vital signs, pulse oximetry, capnography and a
priori defined adverse events (using standard definitions in emergency medicine
sedation guidelines). All treatment decisions were at the discretion of the
treating providers who were blinded to study measurements to simulate usual care.
Data collection was unblinded if predefined safety parameters were met. For all
significant adverse and unblinding events, ketamine causality was determined via
review protocol. Additionally, providers and patients were assessed for sedation
satisfaction. RESULTS: We observed 54 children (median 3 years, range 11 days-15
years) and 45 adults (median 33 years, range 18-79 years). The most common
indications for ketamine were burn management in children (55.6%) and orthopaedic
procedures in adults (68.9%). Minor adverse events included nausea/vomiting
(12%), recovery excitation (11%) and one case of transient hypertension. There
were nine (9%) patients who had decreased saturation readings (SpO2 <=92%). There
were three deaths, all in severely injured patients. After review protocol, none
of the desaturations or patient deaths were thought to be caused by ketamine. No
patient experienced ketamine-related laryngospasm, apnoea or permanent
complications. Overall, ketamine was well tolerated and resulted in high patient
and provider satisfaction. CONCLUSION: In this series of ketamine sedations in an
urban, resource-limited ED, there were no serious adverse events attributable to
ketamine.
PMID- 29358493
TI - Effects of Preceding Ethanol Intake on Glucose Response to Low-Dose Glucagon in
Individuals With Type 1 Diabetes: A Randomized, Placebo-Controlled, Crossover
Study.
AB - OBJECTIVE: This study investigated whether preceding ethanol intake impairs
glucose response to low-dose glucagon in individuals with type 1 diabetes.
RESEARCH DESIGN AND METHODS: This was a randomized, crossover, placebo-controlled
study in 12 insulin pump-treated individuals (median [interquartile range] age,
37 [31-51] years; HbA1c, 57 [51-59] mmol/mol or 7.3% [6.8-7.5]; and BMI, 23.9 [22
25] kg/m2). During two overnight study visits, a 6 p.m. dinner (1 g
carbohydrates/kg) was served with diet drink (placebo) or diet drink and ethanol
(0.8 g/kg). After 8-9 h, ethanol was estimated to be metabolized, and a
subcutaneous (s.c.) insulin bolus was given to induce mild hypoglycemia. When
plasma glucose (PG) was <=3.9 mmol/L, 100 ug glucagon was given s.c., followed by
another s.c. 100 ug glucagon 2 h later. Primary end point was incremental peak PG
induced by the first glucagon bolus. RESULTS: Ethanol was undetectable before
insulin administration at both visits. The insulin doses (mean +/- SEM: 2.5 +/-
0.4 vs. 2.7 +/- 0.4 IU) to induce hypoglycemia (3.7 +/- 0.1 vs. 3.9 +/- 0.1
mmol/L) did not differ and caused similar insulin levels (28.3 +/- 4.6 vs. 26.1
+/- 4.0 mU/L) before glucagon administration on ethanol and placebo visits (all,
P > 0.05). The first glucagon bolus tended to cause lower incremental peak PG
(2.0 +/- 0.5 vs. 2.9 +/- 0.3 mmol/L, P = 0.06), lower incremental area under the
curve (87 +/- 40 vs. 191 +/- 37 mmol/L * min, P = 0.08), and lower 2-h PG level
(3.6 +/- 1.0 vs. 4.8 +/- 0.4 mmol/L, P = 0.05) after ethanol compared with
placebo. The second glucagon bolus had similar responses between visits, but PG
remained 1.8 +/- 0.7 mmol/L lower after ethanol compared with placebo.
CONCLUSIONS: The ability of low-dose glucagon to treat mild hypoglycemia
persisted with preceding ethanol intake, although it tended to be attenuated.
PMID- 29358494
TI - Low-grade Inflammatory Marker Profile May Help to Differentiate Patients With
LADA, Classic Adult-Onset Type 1 Diabetes, and Type 2 Diabetes.
AB - OBJECTIVE: To test whether differences in serum concentrations of adiposity
related low-grade inflammatory mediators could help to differentiate patients
with latent autoimmune diabetes in adults (LADA), classic adult-onset type 1
diabetes, and type 2 diabetes. RESEARCH DESIGN AND METHODS: This cross-sectional
study involved 75 patients with LADA, 67 with classic adult-onset type 1
diabetes, and 390 with type 2 diabetes. Serum concentrations of adiponectin,
soluble tumor necrosis factor-alpha receptor 2 (sTNFRII), interleukin-6, hs-CRP,
and total leukocyte number were measured. To evaluate the differences of these
markers among diabetes types, we performed logistic regression models and
evaluated area under the receiver-operating characteristic curve (AUCROC) values.
RESULTS: The profile of innate immunity-related inflammatory markers correlated
with metabolic syndrome components. LADA versus classic adult-onset type 1
diabetes was independently related to sTNFRII (odds ratio [OR] 1.9 [95% CI 1.01
3.97]; P = 0.047) and hs-CRP levels (OR 0.78 [95% CI 0.62-0.96]; P = 0.019), and
a higher number of total leukocytes lowered the risk of LADA compared with type 2
diabetes (OR 0.98 [95% CI 0.97-0.99]; P = 0.036). The logistic regression model
including explanatory biomarkers explained 35% of the variation for LADA versus
classic adult-onset type 1 diabetes (AUCROC 0.83 [95% CI 0.74-0.92]; P < 0.001)
and 15% of the variation for LADA versus type 2 diabetes (AUCROC 0.73 [95% CI
0.70-0.80]; P < 0.001). CONCLUSIONS: Inflammatory, adiposity, and immune-related
markers could help to differentiate a LADA diagnosis from that of classic adult
onset type 1 diabetes, and also LADA from that of type 2 diabetes, along with
islet autoantibody positivity.
PMID- 29358495
TI - History of CRISPR-Cas from Encounter with a Mysterious Repeated Sequence to
Genome Editing Technology.
AB - Clustered regularly interspaced short palindromic repeat (CRISPR)-Cas systems are
well-known acquired immunity systems that are widespread in archaea and bacteria.
The RNA-guided nucleases from CRISPR-Cas systems are currently regarded as the
most reliable tools for genome editing and engineering. The first hint of their
existence came in 1987, when an unusual repetitive DNA sequence, which
subsequently was defined as a CRISPR, was discovered in the Escherichia coli
genome during an analysis of genes involved in phosphate metabolism. Similar
sequence patterns were then reported in a range of other bacteria as well as in
halophilic archaea, suggesting an important role for such evolutionarily
conserved clusters of repeated sequences. A critical step toward functional
characterization of the CRISPR-Cas systems was the recognition of a link between
CRISPRs and the associated Cas proteins, which were initially hypothesized to be
involved in DNA repair in hyperthermophilic archaea. Comparative genomics,
structural biology, and advanced biochemistry could then work hand in hand, not
only culminating in the explosion of genome editing tools based on CRISPR-Cas9
and other class II CRISPR-Cas systems but also providing insights into the origin
and evolution of this system from mobile genetic elements denoted casposons. To
celebrate the 30th anniversary of the discovery of CRISPR, this minireview
briefly discusses the fascinating history of CRISPR-Cas systems, from the
original observation of an enigmatic sequence in E. coli to genome editing in
humans.
PMID- 29358496
TI - Partially Reciprocal Replacement of FlrA and FlrC in Regulation of Shewanella
oneidensis Flagellar Biosynthesis.
AB - In some bacteria with a polar flagellum, an established regulatory hierarchy
controlling stepwise assembly of the organelle consists of four regulators: FlrA,
sigma54, FlrBC, and sigma28 Because all of these regulators mediate the
expression of multiple targets, they are essential to the assembly of a
functional flagellum and therefore to motility. However, this is not the case for
the gammaproteobacterium Shewanella oneidensis: cells lacking FlrB, FlrC, or both
remain flagellated and motile. In this study, we unravel the underlying
mechanism, showing that FlrA and FlrC are partially substitutable for each other
in regulating flagellar assembly. While both regulators are bacterial enhancer
binding proteins (bEBPs) for sigma54, FlrA differs from FlrC in its independence
of sigma54 for its own transcription and its inability to activate the flagellin
gene flaA These differences largely account for the distinct phenotypes resulting
from the loss or overproduction of FlrA and FlrC.IMPORTANCE The assembly of a
polar flagellum in bacteria has been characterized as relying on four regulators,
FlrA, sigma54, FlrBC, and sigma28, in a hierarchical manner. They all are
essential to the process and therefore to motility, except in S. oneidensis, in
which FlrB, FlrC, or both together are not essential. Here we show that FlrA and
FlrC, as bEBPs, are partially reciprocal in functionality in this species. As a
consequence, the presence of one allows flagellar assembly and motility in the
other's absence. Despite this, there are significant differences in the
physiological roles played by these two regulators: FlrA is the master regulator
of flagellar assembly, whereas FlrC fine-tunes motility. These intriguing
observations open up a new avenue to further exploration of the regulation of
flagellar assembly.
PMID- 29358497
TI - OxyR-Dependent Transcription Response of Sinorhizobium meliloti to Oxidative
Stress.
AB - Reactive oxygen species such as peroxides play an important role in plant
development, cell wall maturation, and defense responses. During nodulation with
the host plant Medicago sativa, Sinorhizobium meliloti cells are exposed to H2O2
in infection threads and developing nodules (R. Santos, D. Herouart, S. Sigaud,
D. Touati, and A. Puppo, Mol Plant Microbe Interact 14:86-89, 2001,
https://doi.org/10.1094/MPMI.2001.14.1.86). S. meliloti cells likely also
experience oxidative stress, from both internal and external sources, during life
in the soil. Here, we present microarray transcription data for S. meliloti wild
type cells compared to a mutant deficient in the key oxidative regulatory protein
OxyR, each in response to H2O2 treatment. Several alternative sigma factor genes
are upregulated in the response to H2O2; the stress sigma gene rpoE2 shows OxyR
dependent induction by H2O2, while rpoH1 expression is induced by H2O2
irrespective of the oxyR genotype. The activity of the RpoE2 sigma factor in turn
causes increased expression of two more sigma factor genes, rpoE5 and rpoH2
Strains with deletions of rpoH1 showed improved survival in H2O2 as well as
increased levels of oxyR and total catalase expression. These results imply that
DeltarpoH1 strains are primed to deal with oxidative stress. This work presents a
global view of S. meliloti gene expression changes, and of regulation of those
changes, in response to H2O2IMPORTANCE Like all aerobic organisms, the symbiotic
nitrogen-fixing bacterium Sinorhizobium meliloti experiences oxidative stress
throughout its complex life cycle. This report describes the global
transcriptional changes that S. meliloti makes in response to H2O2 and the roles
of the OxyR transcriptional regulator and the RpoH1 sigma factor in regulating
those changes. By understanding the complex regulatory response of S. meliloti to
oxidative stress, we may further understand the role that reactive oxygen species
play as both stressors and potential signals during symbiosis.
PMID- 29358498
TI - Signal Peptidase Is Necessary and Sufficient for Site 1 Cleavage of RsiV in
Bacillus subtilis in Response to Lysozyme.
AB - Extracytoplasmic function (ECF) sigma factors are a diverse family of alternative
sigma factors that allow bacteria to sense and respond to changes in the
environment. sigmaV is an ECF sigma factor found primarily in low-GC Gram
positive bacteria and is required for lysozyme resistance in several
opportunistic pathogens. In the absence of lysozyme, sigmaV is inhibited by the
anti-sigma factor RsiV. In response to lysozyme, RsiV is degraded via the process
of regulated intramembrane proteolysis (RIP). RIP is initiated by cleavage of
RsiV at site 1, which allows the intramembrane protease RasP to cleave RsiV
within the transmembrane domain at site 2 and leads to activation of sigmaV
Previous work suggested that RsiV is cleaved by signal peptidase at site 1. Here
we demonstrate in vitro that signal peptidase is sufficient for cleavage of RsiV
only in the presence of lysozyme and provide evidence that multiple Bacillus
subtilis signal peptidases can cleave RsiV in vitro This cleavage is dependent
upon the concentration of lysozyme, consistent with previous work that showed
that binding to RsiV was required for sigmaV activation. We also show that signal
peptidase activity is required for site 1 cleavage of RsiV in vivo Thus, we
demonstrate that signal peptidase is the site 1 protease for RsiV.IMPORTANCE
Extracytoplasmic function (ECF) sigma factors are a diverse family of alternative
sigma factors that respond to extracellular signals. The ECF sigma factor sigmaV
is present in many low-GC Gram-positive bacteria and induces resistance to
lysozyme, a component of the innate immune system. The anti-sigma factor RsiV
inhibits sigmaV activity in the absence of lysozyme. Lysozyme binds RsiV, which
initiates a proteolytic cascade leading to destruction of RsiV and activation of
sigmaV This proteolytic cascade is initiated by signal peptidase, a component of
the general secretory system. We show that signal peptidase is necessary and
sufficient for cleavage of RsiV at site 1 in the presence of lysozyme. This
report describes a role for signal peptidase in controlling gene expression.
PMID- 29358499
TI - Peptide Transporter CstA Imports Pyruvate in Escherichia coli K-12.
AB - Pyruvate is an important intermediate of central carbon metabolism and connects a
variety of metabolic pathways in Escherichia coli Although the intracellular
pyruvate concentration is dynamically altered and tightly balanced during cell
growth, the pyruvate transport system remains unclear. Here, we identified a
pyruvate transporter in E. coli using high-throughput transposon sequencing. The
transposon mutant library (a total of 5 * 105 mutants) was serially grown with a
toxic pyruvate analog (3-fluoropyruvate [3FP]) to enrich for transposon mutants
lacking pyruvate transport function. A total of 52 candidates were selected on
the basis of a stringent enrichment level of transposon insertion frequency in
response to 3FP treatment. Subsequently, their pyruvate transporter function was
examined by conventional functional assays, such as those measuring growth
inhibition by the toxic pyruvate analog and pyruvate uptake activity. The
pyruvate transporter system comprises CstA and YbdD, which are known as a peptide
transporter and a conserved protein, respectively, whose functions are associated
with carbon starvation conditions. In addition to the presence of more than one
endogenous pyruvate importer, it has been suggested that the E. coli genome
encodes constitutive and inducible pyruvate transporters. Our results
demonstrated that CstA and YbdD comprise the constitutive pyruvate transporter
system in E. coli, which is consistent with the tentative genomic locus
previously suggested and the functional relationship with the extracellular
pyruvate sensing system. The identification of this pyruvate transporter system
provides valuable genetic information for understanding the complex process of
pyruvate metabolism in E. coliIMPORTANCE Pyruvate is an important metabolite as a
central node in bacterial metabolism, and its intracellular levels are tightly
regulated to maintain its functional roles in highly interconnected metabolic
pathways. However, an understanding of the mechanism of how bacterial cells
excrete and transport pyruvate remains elusive. Using high-throughput transposon
sequencing followed by pyruvate uptake activity testing of the selected candidate
genes, we found that a pyruvate transporter system comprising CstA and YbdD,
currently annotated as a peptide transporter and a conserved protein,
respectively, constitutively transports pyruvate. The identification of the
physiological role of the pyruvate transporter system provides valuable genetic
information for understanding the complex pyruvate metabolism in Escherichia
coli.
PMID- 29358500
TI - Pembrolizumab Plus Pegylated Interferon alfa-2b or Ipilimumab for Advanced
Melanoma or Renal Cell Carcinoma: Dose-Finding Results from the Phase Ib KEYNOTE
029 Study.
AB - Purpose: Pembrolizumab monotherapy, ipilimumab monotherapy, and pegylated
interferon alfa-2b (PEG-IFN) monotherapy are active against melanoma and renal
cell carcinoma (RCC). We explored the safety and preliminary antitumor activity
of pembrolizumab combined with either ipilimumab or PEG-IFN in patients with
advanced melanoma or RCC.Experimental Design: The phase Ib KEYNOTE-029 study
(ClinicalTrials.gov, NCT02089685) included independent pembrolizumab plus reduced
dose ipilimumab and pembrolizumab plus PEG-IFN cohorts. Pembrolizumab 2 mg/kg
every 3 weeks (Q3W) plus 4 doses of ipilimumab 1 mg/kg Q3W was tolerable if <=6
of 18 patients experienced a dose-limiting toxicity (DLT). The target DLT rate
for pembrolizumab 2 mg/kg Q3W plus PEG-IFN was 30%, with a maximum of 14 patients
per dose level. Response was assessed per RECIST v1.1 by central review.Results:
The ipilimumab cohort enrolled 22 patients, including 19 evaluable for DLTs. Six
patients experienced >=1 DLT. Grade 3 to 4 treatment-related adverse events
occurred in 13 (59%) patients. Responses occurred in 5 of 12 (42%) patients with
melanoma and 3 of 10 (30%) patients with RCC. In the PEG-IFN cohort, DLTs
occurred in 2 of 14 (14%) patients treated at dose level 1 (PEG-IFN 1
MUg/kg/week) and 2 of 3 (67%) patients treated at dose level 2 (PEG-IFN 2
MUg/kg/week). Grade 3 to 4 treatment-related adverse events occurred in 10 of 17
(59%) patients. Responses occurred in 1 of 5 (20%) patients with melanoma and 2
of 12 (17%) patients with RCC.Conclusions: Pembrolizumab 2 mg/kg Q3W plus
ipilimumab 1 mg/kg Q3W was tolerable and provided promising antitumor activity in
patients with advanced melanoma or RCC. The maximum tolerated dose of
pembrolizumab plus PEG-IFN had limited antitumor activity in this population.
Clin Cancer Res; 24(8); 1805-15. (c)2018 AACR.
PMID- 29358501
TI - Glycogen Phosphorylase: A Novel Biomarker in Doxorubicin-Induced Cardiac Injury.
AB - Extracellular vesicles containing glycogen phosphorylase, brain/heart (PYGB) have
been demonstrated as a sensitive biomarker for normal cardiac injuries for
patients after chemotherapy. Oxidative stress was suggested to be the mechanism
behind the chemotherapy-induced tissue damage and augmented with mitochondrial
antioxidant could be an effective means of early intervention. Clin Cancer Res;
24(7); 1516-7. (c)2018 AACRSee related article by Yarana et al., p. 1644.
PMID- 29358502
TI - Safety, Activity, and Biomarkers of SHR-1210, an Anti-PD-1 Antibody, for Patients
with Advanced Esophageal Carcinoma.
AB - Purpose: The current management of advanced esophageal squamous cell carcinoma
(ESCC) remains unsatisfactory. We investigated the safety, efficacy, and
biomarkers of SHR-1210, an anti-PD-1 antibody, in patients with recurrent or
metastatic ESCC.Experimental Design: This study was part of a phase I trial in
China. Patients with advanced ESCC who were refractory or intolerant to previous
chemotherapy were enrolled. Eligible patients received intravenous SHR-1210 at a
dose of 60 mg, with escalation to 200 and 400 mg (4-week interval after first
dose followed by a 2-week schedule) until disease progression or intolerable
toxicity. The associations between candidate biomarkers (PD-L1 and somatic
mutation load) and the efficacy of SHR-1210 were also explored.Results: Between
May 11, 2016, and December 9, 2016, a total of 30 patients from one site in China
were enrolled. Ten patients (33.3%) had an independently assessed objective
response. Median progression-free survival was 3.6 months (95% CI, 0-7.2). Three
(10.0%) treatment-related grade 3 adverse events were reported: two (6.7%)
pneumonitis and one (3.3%) increased cardiac troponin I. No grade 4 or grade 5
treatment-related adverse events were reported. The exome sequencing and analysis
showed that the mutational burden and the potential mutation-associated
neoantigen count were associated with better responses. An objective response was
more common in patients with PD-L1-positive tumors as defined by >=5% staining (7
of 15 patients) than in those with PD-L1-negative tumors (1 of 9
patients).Conclusions: In this population of ESCC patients, SHR-1210 had a
manageable safety profile and promising antitumor activity. Clin Cancer Res;
24(6); 1296-304. (c)2018 AACR.
PMID- 29358503
TI - Beyond Concurrent Chemoradiation: The Emerging Role of PD-1/PD-L1 Inhibitors in
Stage III Lung Cancer.
AB - Concurrent chemoradiation (cCRT) with platinum-based chemotherapy is standard-of
care therapy for patients with stage III unresectable non-small cell lung cancer
(NSCLC). Although cCRT is potentially curative, 5-year overall survival has
hovered around 20%, despite extensive efforts to improve outcomes with increasing
doses of conformal radiation and intensification of systemic therapy with either
induction or consolidation chemotherapy. PD-1/PD-L1 immune checkpoint inhibitors
have demonstrated unprecedented efficacy in patients with stage IV NSCLC. In
addition, preclinical and early clinical evidence suggests that chemotherapy and
radiation may work synergistically with anti-PD-1/PD-L1 therapy to promote
antitumor immunity, which has led to the initiation of clinical trials testing
these drugs in patients with stage III NSCLC. A preliminary report of a
randomized phase III trial, the PACIFIC trial, demonstrated an impressive
increase in median progression-free survival with consolidative durvalumab, a PD
L1 inhibitor, compared with observation after cCRT. Here, we discuss the clinical
and translational implications of integrating PD-1/PD-L1 inhibitors in the
management of patients with unresectable stage III NSCLC. Clin Cancer Res; 24(6);
1271-6. (c)2018 AACR.
PMID- 29358504
TI - Further Investigation of the Role of ACYP2 and WFS1 Pharmacogenomic Variants in
the Development of Cisplatin-Induced Ototoxicity in Testicular Cancer Patients.
AB - Purpose: Adverse drug reactions such as ototoxicity, which occurs in
approximately one-fifth of adult patients who receive cisplatin treatment, can
incur large socioeconomic burdens on patients with testicular cancer who develop
this cancer during early adulthood. Recent genome-wide association studies have
identified genetic variants in ACYP2 and WFS1 that are associated with cisplatin
induced ototoxicity. We sought to explore the role of these genetic
susceptibility factors to cisplatin-induced ototoxicity in patients with
testicular cancer.Experimental Design: Extensive clinical and demographic data
were collected for 229 patients with testicular cancer treated with cisplatin.
Patients were genotyped for two variants, ACYP2 rs1872328 and WFS1 rs62283056,
that have previously been associated with hearing loss in cisplatin-treated
patients. Analyses were performed to investigate the association of these
variants with ototoxicity in this cohort of adult patients with testicular
cancer.Results: Pharmacogenomic analyses revealed that ACYP2 rs1872328 was
significantly associated with cisplatin-induced ototoxicity [P = 2.83 * 10-3, OR
(95% CI):14.7 (2.6-84.2)]. WFS1 rs62283056 was not significantly associated with
ototoxicity caused by cisplatin (P = 0.39); however, this variant was associated
with hearing loss attributable to any cause [P = 5.67 * 10-3, OR (95% CI): 3.2
(1.4-7.7)].Conclusions: This study has provided the first evidence for the role
of ACYP2 rs1872328 in cisplatin-induced ototoxicity in patients with testicular
cancer. These results support the use of this information to guide the
development of strategies to prevent cisplatin-induced ototoxicity across
cancers. Further, this study has highlighted the importance of phenotypic
differences in replication studies and has provided further evidence for the role
of WFS1 rs62283056 in susceptibility to hearing loss, which may be worsened by
cisplatin treatment. Clin Cancer Res; 24(8); 1866-71. (c)2018 AACR.
PMID- 29358505
TI - BMA backs GPs who wish to take legal action against Capita.
PMID- 29358506
TI - Blockade of histone deacetylase 6 protects against cisplatin-induced acute kidney
injury.
AB - Histone deacetylase 6 (HDAC6) has been shown to be involved in various
pathological conditions, including cancer, neurodegenerative disorders and
inflammatory diseases. Nonetheless, its specific role in drug-induced
nephrotoxicity is poorly understood. Cisplatin (dichlorodiamino platinum) belongs
to an inorganic platinum - fundamental chemotherapeutic drug utilized in the
therapy of various solid malignant tumors. However, the use of cisplatin is
extremely limited by obvious side effects, for instance bone marrow suppression
and nephrotoxicity. In the present study, we utilized a murine model of cisplatin
induced acute kidney injury (AKI) and a highly selective inhibitor of HDAC6,
tubastatin A (TA), to assess the role of HDAC6 in nephrotoxicity and its
associated mechanisms. Cisplatin-induced AKI was accompanied by increased
expression and activation of HDAC6; blocking HDAC6 with TA lessened renal
dysfunction, attenuated renal pathological changes, reduced expression of
neutrophil gelatinase-associated lipocalin and kidney injury molecule 1, and
decreased tubular cell apoptosis. In cultured human epithelial cells, TA or HDAC6
siRNA treatment also inhibited cisplatin-induced apoptosis. Mechanistic studies
demonstrated that cisplatin treatment induced phosphorylation of AKT and loss of
E-cadherin in the nephrotoxic kidney, and administration of TA enhanced AKT
phosphorylation and preserved E-cadherin expression. HDAC6 inhibition also
potentiated autophagy as evidenced by increased expression of autophagy-related
gene (Atg) 7 (Atg7), Beclin-1, and decreased renal oxidative stress as
demonstrated by up-regulation of superoxide dismutase (SOD) activity and down
regulation of malondialdehyde levels. Moreover, TA was effective in inhibiting
nuclear factor-kappa B (NF-kappaB) phosphorylation and suppressing the expression
of tumor necrosis factor-alpha (TNF-alpha) and interleukin-6 (IL-6).
Collectively, these data provide strong evidence that HDAC6 inhibition is
protective against cisplatin-induced AKI and suggest that HDAC6 may be a
potential therapeutic target for AKI treatment.
PMID- 29358507
TI - Gene delivery of medium chain acyl-coenzyme A dehydrogenase induces physiological
cardiac hypertrophy and protects against pathological remodelling.
AB - We previously showed that medium chain acyl-coenzyme A dehydrogenase (MCAD, key
regulator of fatty acid oxidation) is positively modulated in the heart by the
cardioprotective kinase, phosphoinositide 3-kinase (PI3K(p110alpha)).
Disturbances in cardiac metabolism are a feature of heart failure (HF) patients
and targeting metabolic defects is considered a potential therapeutic approach.
The specific role of MCAD in the adult heart is unknown. To examine the role of
MCAD in the heart and to assess the therapeutic potential of increasing MCAD in
the failing heart, we developed a gene therapy tool using recombinant adeno
associated viral vectors (rAAV) encoding MCAD. We hypothesised that increasing
MCAD expression may recapitulate the cardioprotective properties of
PI3K(p110alpha). rAAV6:MCAD or rAAV6:control was delivered to healthy adult mice
and to mice with pre-existing pathological hypertrophy and cardiac dysfunction
due to transverse aortic constriction (TAC). In healthy mice, rAAV6:MCAD induced
physiological hypertrophy (increase in heart size, normal systolic function and
increased capillary density). In response to TAC (~15 weeks), heart weight/tibia
length increased by ~60% in control mice and ~45% in rAAV6:MCAD mice compared
with sham. This was associated with an increase in cardiomyocyte cross-sectional
area in both TAC groups which was similar. However, hypertrophy in TAC rAAV6:MCAD
mice was associated with less fibrosis, a trend for increased capillary density
and a more favourable molecular profile compared with TAC rAAV6:control mice. In
summary, MCAD induced physiological cardiac hypertrophy in healthy adult mice and
attenuated features of pathological remodelling in a cardiac disease model.
PMID- 29358508
TI - The Expanding World of N-MYC-Driven Tumors.
AB - Enhanced and deregulated expression of N-MYC, a member of the MYC family of
transcription factors, drives the development of multiple tumors, including
tumors of the nervous and hematologic systems and neuroendocrine tumors in other
organs. This review summarizes the cell-of-origin, biological features,
associated signaling pathways, and current treatment strategies for N-MYC-driven
tumors. We also highlight biological differences within specific tumor types that
are driven by the different MYC proteins.Significance: N-MYC is a driver of
multiple tumor types that are derived through a mechanism that involves direct
differentiation within the same lineage (e.g., in the case of neuroblastoma,
medulloblastoma, and acute myeloid leukemia) and is often associated with a poor
prognosis. Emerging data suggest that N-MYC also drives other tumor types through
a mechanism that promotes a lineage switch and that this switch may be exploited
for therapeutic purposes. Cancer Discov; 8(2); 150-63. (c)2018 AACR.
PMID- 29358510
TI - Ophthalmic artery MRI in an arteritis-related central retinal artery occlusion.
PMID- 29358511
TI - Clinical Reasoning: A 60-year-old man with arm weakness and numbness.
PMID- 29358509
TI - Neurotoxic chemicals in adipose tissue: A role in puzzling findings on obesity
and dementia.
AB - Midlife obesity is associated with increased risk of dementia, whereas late-life
obesity is commonly associated with a lower risk of dementia. Although
methodologic issues are often discussed in this apparent risk reversal, chronic
exposure to low-dose organochlorine pesticides (OCPs), an emerging risk factor
for dementia in general populations, may contribute to a direct explanation for
these differences. OCPs are strong lipophilic chemicals with very long half-lives
(several years), primarily stored in adipose tissue and very slowly released and
metabolized over years. As serum concentrations of neurotoxic OCPs strongly
correlate with brain OCPs (r = 0.95), any condition enhancing the release of OCPs
from the adipose tissue into circulation would increase the risk of dementia.
Increased release of OCPs from adipose tissue typically occurs in (1)
dysfunctional adipocytes accompanied by uncontrolled lipolysis and (2) weight
loss. Weight gain may help sequester circulating OCPs in adipose tissue. As
obesity is the most common reason that adipocytes become dysfunctional, midlife
obesity can increase dementia risk through the chronic release of OCPs into
circulation. However, late-life obesity potentially decreases dementia risk
because weight loss after midlife will increase the release of OCPs while weight
gain may actually decrease the release. These countervailing forces may underlie
paradoxical associations with dementia of obesity in midlife vs late life which
is influenced by weight change after midlife. This hypothesis should be tested in
future experimental and human studies on obesity and dementia.
PMID- 29358512
TI - Editors' note: Blood pressure reduction and noncontrast CT markers of
intracerebral hemorrhage expansion.
PMID- 29358513
TI - Reader response: Blood pressure reduction and noncontrast CT markers of
intracerebral hemorrhage expansion.
PMID- 29358514
TI - Author response: Blood pressure reduction and noncontrast CT markers of
intracerebral hemorrhage expansion.
PMID- 29358515
TI - Editors' note: Effects of increasing IV tPA-treated stroke mimic rates at CT
based centers on clinical outcomes.
PMID- 29358516
TI - Reader response: Effects of increasing IV tPA-treated stroke mimic rates at CT
based centers on clinical outcomes.
PMID- 29358517
TI - Author response: Effects of increasing IV tPA-treated stroke mimic rates at CT
based centers on clinical outcomes.
PMID- 29358518
TI - Assessing structure and function of myelin in cervical spondylotic myelopathy:
Evidence of demyelination.
PMID- 29358519
TI - Pearls & Oy-sters: Positional vertigo and vertical nystagmus in medulloblastoma:
A picture is worth a thousand words.
PMID- 29358520
TI - Teaching NeuroImages: Visual loss as a rare complication of mechanical
thrombectomy.
PMID- 29358521
TI - Teaching NeuroImages: A diffuse infiltrating retinoblastoma.
PMID- 29358523
TI - Correction to: Enlightenment and Challenges Offered by DAWN Trial (DWI or CTP
Assessment With Clinical Mismatch in the Triage of Wake Up and Late Presenting
Strokes Undergoing Neurointervention With Trevo).
PMID- 29358524
TI - Vaginal microbiota composition and association with prevalent Chlamydia
trachomatis infection: a cross-sectional study of young women attending a STI
clinic in France.
AB - OBJECTIVES: New molecular techniques have allowed describing groups of bacterial
communities in the vagina (community state types (CST)) that could play an
important role in Chlamydia trachomatis (CT) infection. Our aim was to describe
the distribution of CST in a population of young women in France. METHODS: A
cross-sectional study was carried out in June 2015 among anonymous young women
attending a STI clinic in Bordeaux, France. Participants provided a vaginal
sample for CT screening and sociodemographic data. CT was diagnosed using the
Aptima-combo 2 transcription-mediated-amplification assay. Vaginal microbiota
composition was characterised using 16S rRNA gene amplicon sequencing. RESULTS:
Microbiota composition and CT status were available for 132 women. CST dominated
by Lactobacillus crispatus (CST-I), L. iners (CST-III) and a diversity of
anaerobes (CST-IV) represented 37.1%, 38.6% and 22.0% of the sample,
respectively. Twenty-one out of 132 women were CT positive. Proportions of CT
positive women were higher for samples belonging to CST-III (21.6%) and CST-IV
(17.2%) than to CST-I (8.2%). CONCLUSIONS: Five CST were found in 132 young women
from a STI clinic in France. These CSTs were not significantly associated with CT
but higher proportions of CT-positive women were found in CST-III and CST-IV,
consistent with a previous study in the Netherlands. Though our study lacked
statistical power and was cross-sectional, it is a necessary first step to
understand the structure of the vaginal microbiota in French women with or
without infection before performing in-depth longitudinal studies.
PMID- 29358525
TI - Risk factors for oropharyngeal gonorrhoea in men who have sex with men: an age
matched case-control study.
AB - OBJECTIVES: Oropharyngeal gonorrhoea is common among men who have sex with men
(MSM). We aimed to clarify which oral sex practices were independent risk factors
for oropharyngeal gonorrhoea: tongue kissing, receptive oro-penile sex (fellatio)
or insertive oro-anal sex (rimming), and whether daily use of mouthwash and
recent antibiotic use was protective. METHODS: In 2015, we conducted an age
matched case-control study of MSM who attended the Melbourne Sexual Health
Centre. Cases had tested positive for oropharyngeal gonorrhoea by nucleic acid
amplification testing, and controls had tested negative. Questionnaire items
included tongue kissing, oral sex practices, condom use, recent antibiotic use,
mouthwash use and alcohol consumption. RESULTS: We identified 177 cases, age
matched to 354 controls. In univariable analyses, cases were 1.90 times (95% CI
1.13 to 3.20) more likely than controls to have had casual sexual partners (CSP)
in the preceding 3 months, were 2.17 times (95% CI 1.31 to 3.59) more likely to
have kissed CSP and were 2.04 times (95% CI 1.26 to 3.30) more likely to have had
receptive oro-penile sex with CSP. Oropharyngeal gonorrhoea was not associated
with insertive oro-anal sex or mouthwash use. The number of CSP for tongue
kissing and receptive oral sex and total CSP were highly correlated, and in
multivariable analysis neither kissing nor receptive oro-penile sex was
significantly associated with having oropharyngeal gonorrhoea, after adjusting
for total number of CSP. CONCLUSIONS: The finding that oropharyngeal gonorrhoea
was associated with a higher number of sexual partners but not specific sexual
practices highlights the need for further research in the area of gonorrhoea
transmission to define the probability of transmission from specific sex acts.
PMID- 29358526
TI - Modelling individual vulnerability to sexually transmitted infections to optimise
intervention strategies: analysis of surveillance data from Kalamazoo County,
Michigan, USA.
AB - OBJECTIVE: We modelled individual vulnerability to STI using personal history of
infection and neighbourhood characteristics. METHODS: Retrospective chlamydia and
gonorrhoea data of reported confirmed cases from Kalamazoo County, Michigan for
2012 through 2014 were analysed. Unique IDs were generated from the surveillance
data in collaboration with local health officials to track the individual STI
histories. We then examine the concept that individuals with similar STI
histories form a 'peer' group. These peer group include: (1) individuals with a
single chlamydia; (2) individuals with single gonorrhoea; (3) individuals with
repeated cases of one type of STI and (4) individuals that were diagnosed with
both infections during the study period. Using Kernel density estimation, we
generated densities for each peer group and assigned the intensity of the
infection to the location of the individual. Finally, the individual
vulnerability was characterised through ordinary least square regression (OLS)
using demographics and socioeconomic variables. RESULTS: In an OLS regression
adjusted for frequency of infection, individual vulnerability to STI was only
consistently significant for race and neighbourhood-level socioeconomic status
(SES) in all the models under consideration. In addition, we identified six areas
in three townships in Kalamazoo County that could be considered for unique
interventions based on overlap patterns among peer groups. CONCLUSIONS: The
results provide evidence that individual vulnerability to STI has some dependency
on individual contextual (race) and exogenous factors at the neighbourhood level
such as SES, regardless of that individual's personal history of infection. We
suggest place-based intervention strategies be adopted for planning STI
interventions instead of current universal screening of at-risk populations.
PMID- 29358527
TI - Three-dimensional Printing in Reconstructive Oral and Maxillofacial Surgery.
AB - Three-dimensional (3D) printing involves the process of constructing a 3D solid
object from a digital file. Charles Hull was the first to introduce 3D printer in
1983 by using the technique of stereolithography.1 Since the 1990s 3D printing
has gained attention in the field of medicine where more precision is required
and has largely replaced the traditional technique in prosthetic works.2,3.
PMID- 29358528
TI - Effect of the Curing Temperature of Dental Composites evaluated with a
Fluorescent Dye.
AB - AIM: With the development of the light-emitting diode (LED) to photo-activate
composite resin, greater intensities could be reached without greater elevation
of temperature in the mass of the composite resin and in the dental structure
arisen from the irradiance in comparison to halogen equipments. This new scenario
created a necessity to investigate the influence of temperature over the
composite polymerization. MATERIALS AND METHODS: Several curing temperatures
(Tcure- 0, 25, 50, 75, and 100 degrees C) were used to polymerize a composite
resin (Filtek Z250, 3M ESPE) for 40 and 60 s, using the halogen equipment Gnatus
Optilight Digital (halogen) and two LEDs that use a new technology to assembly
the diodes: LEC 1000 and bright LEC (MM Optics) (LED 1 and LED 2 respectively).
The influence of curing temperature, added by the other variables studied, was
evaluated using a methodology developed and improved at IFSC/USP, in which the
penetration of a fluorescent dye in the body of the photopolymerized composite
resin was quantified using fluorescence spectroscopy. RESULTS: According to the
final data submitted to an analysis of variance, the presence of two groups of
results could be verified: Between 0 and 25 degrees C, both had a great
percentage of the dye penetration compared with other Tcure with a variation in
penetration from 69.26 +/- 8.19% to 90.99 +/- 3.38%. In this analysis, the
effects of time and temperature were highly notable (p < 0.05) and the lesser
value of dye penetration took place at 60 s of photoactivation This penetration
was, in average, smaller with the Tcure of 25 degrees C. The results showed that
there was an interaction between the equipment and time and between time and
temperature; the other group is regarding the Tcure was from 50, 75, and 100
degrees C, despite the p = 0.05, the effect of temperature was notable. The
penetration of the dye ranged from 8.87 +/- 3.55 to 39.47 +/- 8.9%. The effects
of equipment and time were highly notable. The penetration with the time of 60 s
was in average smaller. Except with the equipment LED 1, the percentages of the
dye penetration were greater with the Tcure of 100 degrees C. The smallest
average was the Tcure of 50 degrees C and 60 s of photoactivation. CONCLUSION:
Based on the available data regarding the influence of curing temperature on the
polymerization process of composite resins, was possible to concluded that small
increments of heat increased the degree of conversion. We can assume that the
energy supply through the generation of heat by the photopolymerizing devices can
function as a heating medium for the reagent system by reducing its viscosity and
increasing the mobility and agitation of its components. CLINICAL SIGNIFICANCE:
The dentist must be aware of the effects that exist between the activation
devices on the light output and their heat transmission to the composite and the
tooth itself. This heat transmission might create a polymer with better
characteristics.
PMID- 29358529
TI - Diametral Tensile Strength, Flexural Strength, and Surface Microhardness of
Bioactive Bulk Fill Restorative.
AB - AIM: The aim was to perform comparative analysis of bioactive, contemporary bulk
fill resin-based composites (RBCs) and conventional glass-ionomer materials for
flexural strength (FS), diametral tensile strength (DTS), and Vickers hardness
number (VHN) in the presence of thermocycling. MATERIALS AND METHODS: Five
restorative materials [Tetric N-Ceram Bulk Fill; smart dentin replacement (SDR)
Flowable Material; Bioactive restorative material (ACTIVA Bulk Fill); Ketac
Universal Aplicap; and GC Fuji II] were evaluated for DTS, FS, and VHN. Half the
samples in each material group were ther-mocycled. The DTS was performed under
compressive load at a cross-head speed of 1.0 mm/min. The FS was assessed by
three-point bending test at a cross-head speed of 0.5 mm/min. The VHN was
determined using a Vickers diamond indenter at 50 gf load for 15 seconds.
Differences in FS, DTS, and VHN were analyzed using analysis of variance (ANOVA)
and Tukey post hoc tests at a = 0.05 level of significance. RESULTS: N-Ceram,
ACTIVA, and SDR demonstrated the highest and comparable (p > 0.05) FS. The SDR
had the highest DTS value (141.28 +/- 0.94), followed by N-Ceram (136.61 +/-
1.56) and ACTIVA (129.05 +/- 1.78). Ketac had the highest VHN value before and
after thermocycling. CONCLUSION: ACTIVA showed mechanical properties (FS and DTS)
comparable with bulk-fill resin composite materials. ACTIVA showed potential for
durability, as VHN was comparable post-thermocycling. CLINICAL SIGNIFICANCE:
Bioactive materials showed acceptable DTS and FS values. However, hardness was
compromised compared with included materials. ACTIVA Bulk Fill shows potential
for dentin replacement but it needs to be covered with a surface-resistant
restorative material. Further studies to improve surface characteristics of
ACTIVA Bulk Fill are recommended.
PMID- 29358530
TI - Study Model-based Evaluation of Built-in Tip, Torque, and In-out Characteristics
of a Third-generation Preadjusted Edgewise Appliance.
AB - AIM: The aim of the study was to evaluate the clinical effectiveness of MBTTM
preadjusted edgewise appliance (PEA) in terms of achieving the optimal expression
of its built-in characteristics of tip, torque, and in-out. MATERIALS AND
METHODS: Pretreatment and posttreatment study models of 20 subjects who received
full fixed appliance treatment involving four first premolar extractions using
the MBTTM appliance were measured for tip, torque, and in-out using the method
described by Andrews. Treatment changes were analyzed statistically, and the
posttreatment measurements were compared with the MBTTM specifications as well as
Andrews' values for the above-mentioned parameters. RESULTS: Except for the
maxillary canines and second premolars, the built-in tip of MBTTM appliance was
nearly fully expressed, though there was some lack of correlation with Andrews'
values. Despite the fact that the full amount of torque built into the MBTTM
appliance was not expressed, torque measurements for all teeth except the
maxillary second premolars and the first molars showed either no statistically
significant difference or were significantly higher than Andrews' values for
these teeth. In-out readings were lower than both MBTTM and Andrews' values, but
the relative order of crown prominences was similar. Conclusion and clinical
significance: The MBTTM appliance is thus effective in ensuring a successful
treatment result, though individual adjustments may be necessary for optimal
tooth positioning at the end of the treatment, as with any preadjusted appliance.
PMID- 29358531
TI - Children's Menu Diversity: Influence on Fluoride Absorption and Excretion.
AB - AIM: The aim of this study is to determine the influence of children's menu
diversity on the absorption and excretion of fluoride. MATERIALS AND METHODS: The
experimental, longitudinal, quantitative study was carried out in a city without
fluoridation in water supply. A total of 16 adult volunteers (>63.9 kg)
participated in the study who, after a 12-hour fast, ingested two types of
children's meals, whose quantity and diversity were determined after weighing the
meals best consumed by children at a kindergarten in Campinas, Sao Paulo: Simple
child meal (SCM; n = 8) and hearty child meal (HCM; n = 8). The fluoride gel
residual after professional application (12,300 ppm, 30.75 mg F, pH = 4.65) was
simulated 15 minutes after feeding. Saliva samples (in time intervals of 0, 15,
30, and 45 minutes and 1, 2, 3, 4, 6, and 12 hours after ingestion of the
fluorine solution) and urine of the volunteers were analyzed at 24 hours.
Fluoride concentrations were determined using a selective ion electrode. Data
were analyzed by analysis of variance for repeated measurements (PROC
MIXED)/Tukey-Kramer. RESULTS: The concentrations of fluoride in saliva at 0 and
15 minutes and after 6 hours were the same between groups (p > 0.05). From 30
minutes to 4 hours after ingestion, the SCM group showed a higher concentration
of fluoride in the saliva, which has a higher absorption (p < 0.05). The fluoride
concentration in the urine did not differ between groups at both collection times
(p > 0.05), and for both, the fluoride concentration in the urine increased in
the final measurement (p < 0.05). CONCLUSION: The children's menu diversity
influenced the absorption of fluoride so that the topical application of fluoride
should be performed in infants fed preferably after the fuller diet and following
the established guidelines to ensure the safety of the procedure. CLINICAL
SIGNIFICANCE: Knowledge of the influence of the children's menu diversity on
fluoride metabolism after professional application is important so that the
actions of fluoride therapy may be planned in a safer manner and be based on the
reality of the universe of children.
PMID- 29358532
TI - Effect of Dental Prophylaxis Techniques on the Surface Roughness of Resin
Composites.
AB - AIM: The aim of this study is to evaluate the effect of dental prophylaxis
techniques on surface roughness of composite resins. MATERIALS AND METHODS: A
total of 36 nanohybrid resin composite test specimens were fabricated and divided
into three groups (n = 12). They were kept in distilled water at 37 degrees C for
24 hours and submitted to the finishing and polishing technique. For the
prophylactic techniques, in group G1, a mixture of pumice stone and distilled
water was used with the aid of a rubber cup; in group G2, Herjos-F prophy paste
was used with a rubber cup; and in group G3, a bicarbonate jet spray was used.
Afterward, all the samples were repolished using the Soflex pop-on disks. A
roughness meter was used to measure surface roughness at three points in time:
Before the prophylactic techniques (1st evaluation), afterward (2nd evaluation),
and following repeat polishing (3rd evaluation). RESULTS: It was found that
roughness values changed significantly between the 1st and 2nd evaluations (p <
0.05) and between the 2nd and 3rd evaluations (p < 0.05), showing that the change
in roughness depended on the type of prophylactic treatment. Roughness was
significantly higher after the bicarbonate jet (p < 0.05). CONCLUSION:
Prophylaxis using the sodium bicarbonate jet significantly altered the roughness
of nanoparticle-reinforced resin. CLINICAL SIGNIFICANCE: Dental prophylaxis is
the most common practice employed to remove bacterial plaque and other coatings.
However, one side effect of the cleaning may be a rougher surface subject to
degradation and staining. The correct use of prophylactic devices and avoiding
prolonged use on resin restorations reduce surface roughness.
PMID- 29358534
TI - Effect of Topical Honey on Mandibular Bone Defect Healing in Rats.
AB - AIM: In medicine, honey is known for its various biological or pharmacological
effects, from wound dressing to anticancero-genic and from anti-inflammatory to
antibacterial activities. The aim of the current study was to evaluate the effect
of honey on healing of mandibular bone defects in a rat model. MATERIALS AND
METHODS: This animal study was performed on 24 wild-type Wistar rats. Following
shaving, disinfection, and extraoral incision, a 2 * 2 mm defect was created at
mandibular angle. In the experimental group, the defect was filled with sterile
honey, while it was left unfilled in the control group. The rats were sacrificed
after 2 and 4 weeks and defects were assessed histologically. The results were
compared using Mann-Whitney U-test (alpha = 0.05). RESULTS: After 2 weeks, five
samples of the experimental group were in mineralization phase, while all samples
of the control group were in the vascularization phase (p = 0.015). After 4
weeks, the defects were filled in four samples of the experimental group, while
all samples of the control group were in the mineralization stage (p = 0.002).
Histomorphometric assessment revealed that the mean new bone formation in the
experimental group was significantly more than the control group, both after 2
and 4 weeks (p = 0.041). CONCLUSION: The results showed that honey could
accentuate bone healing of mandibular small defects in rats. CLINICAL
SIGNIFICANCE: Honey might have potential in repair of human alveolar bone
defects.
PMID- 29358533
TI - Prevalence of Periodontitis and Soft Tissue Lesions among Human Immunodeficiency
Virus-positive Patients on Antiretroviral Therapy in Raichur Taluk, Karnataka,
India.
AB - INTRODUCTION: In countries where human immunodeficiency virus/acquired
immunodeficiency syndrome (HIV/AIDS) is widespread and highly active
antiretroviral therapy (HAART) medications are too expensive, or patients are
failing HAART, oral disease management and risk remain an important issue. AIM:
The aim of the study was to evaluate the prevalence of oral mucosal lesions and
periodontitis among the HIV-positive adult patients and assess the association of
these lesions with age, sex, duration of HIV, time on ART, dietary habits, and
oral hygiene habits. MATERIALS AND METHODS: Sample size was 170. Demographic data
of the patients along with community periodontal index (CPI) and loss of
attachment (LA) were recorded. Oral soft tissue lesions, such as ulcerations,
sores, erosions, and fissures were also recorded. The study was carried out in
Raichur Taluk, Karnataka, India. Convenience sampling design was followed.
Statistical Package for the Social Sciences (SPSS) version 20.0 (Chicago, USA)
was used for data analysis. Chi-square test was carried out; p < 0.05 was
considered to be statistically significant. RESULTS: There was no association
between the oral mucosal conditions and the age and the adverse habits, such as
tobacco and alcohol, CD4 count, and the time duration of HIV and ART among these
patients. A higher percentage of people with 4 to 5 mm of pockets was seen with
those who cleaned their teeth with a finger, which was statistically significant.
CLINICAL SIGNIFICANCE: The present study highlights the poor condition of the
oral health of these patients and their unmet dental needs.
PMID- 29358536
TI - Pulp Stones, Prevalence and Distribution in an Iranian Population.
AB - AIM: This study determined the prevalence and distribution of pulp stones in the
permanent dentition of an adult population using their periapical radiographs.
MATERIALS AND METHODS: The study followed a cross-sectional design. A total of
800 periapical radiographs collected from 412 patients attending dental clinics
in Kerman, Islamic Republic of Iran, were examined using magnification. RESULTS:
Pulp stones were present in 9.6% of all permanent teeth examined, being most
common in maxillary first and second molars, followed by mandibular first and
second molars. They were present in 31.5% of all adult patients, with a
significantly increased prevalence in females compared with males (40.5 vs 23.9%,
chi-squared test p < 0.001). There was also an increased prevalence with age.
CONCLUSION: Based on the results of this study, clinicians should expect to
encounter pulp stones most commonly in the pulp chambers of maxillary first and
second molars, particularly in older female patients. CLINICAL SIGNIFICANCE: Pulp
stones depending on their size and location can pose challenges to endodontic
treatment. They obstruct access to the canal orifices and thus complicate
endodontic treatment. Knowing where and when pulp stones are likely to occur
improves the quality of root canal treatments.
PMID- 29358535
TI - Evaluation of Hybrid Layer and Bonding Interface after Water Storage with and
without the Usage of 2% Chlorhexidine: A Scanning Electron Microscope Study.
AB - AIM: Restorative dentists employ different bonding systems between the resin and
the dentin and other dentinal tissues to achieve the goal of micromechanical
retention. Studies have shown that the bond between composite and dentin degrades
over time because of the action of matrix metalloproteinases (MMPs) on collagen
fibrils left unprotected by acid etching. The MMPs may be partially responsible
for hybrid layer degradation. Since chlorhexidine (CHX) inhibits MMPs, we
hypothesized that CHX would decelerate the loss of resin-dentin bonds. Hence,
this in vitro study is intended to evaluate the effects of 2% CHX on hybrid layer
and bonding interface. MATERIALS AND METHODS: Totally, 40 freshly extracted
molars were randomly divided into four experimental groups. In all 40 specimens,
class II cavities were prepared to a depth of 1 mm below the dentinoenamel
junction with no axial wall, but the elimination of the proximal enamel ridge.
The teeth were then randomly divided into four experimental groups, i.e., All
Bond 2 without 2% CHX (group I), All Bond 2 with 2% CHX (group II), One Coat 7.0
without 2% CHX (group III), and One Coat 7.0 with 2% CHX (group IV). All the
specimens were derooted and sectioned mesiodistally into two halves and placed
under water at 37 degrees C for 3 months and observed under scanning electron
microscope for the hybrid layer and resin tag formation. RESULTS: Groups I and II
showed statistically significant difference when the presence/absence of resin
tags was compared. When groups III and IV were compared for the presence/absence
of hybrid layer and resin tags, the results were statistically significant.
CONCLUSION: Between all the four experimental groups, irrespective of the bonding
systems used, we concluded that groups with 2% CHX usage showed promising results
with presence/ absence of hybrid layer and resin tags formation. CLINICAL
SIGNIFICANCE: Studies suggest that the bond between composite and dentin degrades
over time because of the action of MMPs on collagen fibrils left unprotected by
acid etching. Measures should be taken to prevent this from happening and thus
allow bond between composite and dentin last longer.
PMID- 29358537
TI - Radiographic Evaluation of Post-core Restorations fabricated by Dental Students
at Jazan University.
AB - AIM: Post and core procedures are important clinical methods in routine dental
practice. The present research aimed to radiographically assess the quality of
post seating performed by undergraduate students at the College of Dentistry,
Jazan University. MATERIALS AND METHODS: A total of 343 periapical X-rays of
cemented posts (170 from males and 173 from females) were assessed. The
assessment covered tooth type, arch, gender, type of post, length of post in
relation to the crown and root lengths, the presence of any abnormality in post
space, status of the remaining gutta-percha (GP), and the presence or absence of
spaces between the end of post and GP. Data were analyzed using the Statistical
Package for the Social Sciences (SPSS) program (version 21), and associations
between variables were determined using chi-squared or Fisher's exact tests.
RESULTS: Maxillary teeth were the most frequently restored with posts, and the
majority (41%) comprised incisors. Similarly, glass fiber post (69%) and taper
shaped posts (86%) were the most used posts. The majority of posts (81.6%)
featured a width one-thirds that of the root. Lengths of posts were twice (58%)
or equal to (51%) crown and root length respectively. More than 55% of cases
presented 3 to 5 mm of GP at their apical portion, and 29% reached more than 5
mm. Approximately 5 to 8% of assessed cases revealed widening of periodontal
ligaments, presence of periapical pathologies, and deviation of posts from the
canal wall. Most cases (75%) showed the absence of space between GP and the post
end. CONCLUSION: Qualities of assessed post and core restorations conducted by
the 6th year dental students were clinically acceptable. CLINICAL SIGNIFICANCE:
Periodic evaluation to determine the quality of delivered treatments is a vital
cue for health service authorities. This evaluation will improve quality of
services and treatments provided by dental students to their patients under the
supervision of the faculty. Results obtained will also provide authorities with a
clear feedback about academic infrastructure.
PMID- 29358538
TI - Assessment of Ions released from Three Types of Orthodontic Brackets immersed in
Different Mouthwashes: An in vitro Study.
AB - AIM: Herbs are used widely in medicine. The purpose of the present study was to
assess the ion release from gold-plated orthodontic bracket compared with other
stainless steel brackets, and based on the findings of the study, the
orthodontists can choose the most biocompatible brackets and mouthwashes useful
in the clinical practice. MATERIALS AND METHODS: A total of 150 orthodontic
brackets from OrthotechnologyTM Company, USA (50 stainless steel one-piece
brackets, 50 stainless steel two-piece brackets, and 50 gold brackets) were
immersed in four mouthwashes in addition to distilled water. Ten of each type of
brackets in every media were immersed under 37 degrees C for 45 days. Ions
released in these mouthwashes were measured, and comparisons among different
bracket types and among various mouthwashes were done by one-way analysis of
variance (ANOVA) and then with Games-Howell tests. RESULTS: Increased amounts of
ions released in herbal mouth-washes were recorded in gold and two-piece brackets
in comparison with one-piece stainless steel brackets. CONCLUSION: Herbal
mouthwashes must be used with caution as they showed an increased amount of ions
released in comparison with chlorhexidine. One-piece stainless steel bracket
system is the most compatible bracket type, as they released the least amount of
ions. CLINICAL SIGNIFICANCE: One-piece stainless steel brackets are better than
two-piece brackets in terms of ions released.
PMID- 29358540
TI - Patients' Knowledge and Perceived Barriers toward Replacement of Missing Teeth
among Respondents of Hail City, Kingdom of Saudi Arabia.
AB - AIM: Teeth maintain the functionality and esthetic of oral cavity, they are also
important for psychological and social well-being. This study aims to assess
awareness of patients toward replacement of missing teeth. People with unrestored
or missing teeth tend to have poor self-esteem and oral health-related quality of
life; hence, their knowledge toward restoring and replacing teeth is an important
aspect to be measured. MATERIALS AND METHODS: It was an observational study
having a cross-sectional design. Face- and content-validated questionnaire was
used as study tool. Nonprobability, convenient sampling technique was employed
that yielded information from 183 respondents residing in various areas of Hail
city. Data were collected after written informed consent. The study was approved
by the University of Hail ethical committee. RESULTS: Two hundred questionnaires
were distributed, out of which 183 were returned. Regarding knowledge of
restoring missing teeth, 145 (79.2%) respondents know that function and esthetic
can be maintained by replacing missing teeth. Information about the availability
of various types of prosthesis was mostly obtained by them through their dentist
(70; 48.3%), while books/magazines/Internet was the second source (38; 26.2%) and
37 (25.5%) heard it from someone who has already undergone the replacement of
teeth. CONCLUSION: The present study indicated that a good number of respondents
have the knowledge of teeth replacement, and dentists were the most common source
of information to the patients in terms of education about different treatment
options. CLINICAL SIGNIFICANCE: Evaluate and plan treatment options based on
patients' expectations and perceptions.
PMID- 29358539
TI - Effectiveness of Antimicrobial Therapy after Extraction of Impacted Mandibular
Third Molar: A Randomized Clinical Trial.
AB - AIM: The present study was conducted with the aim of evaluating the effectiveness
of antimicrobial therapy following extraction of an impacted mandibular third
molar. MATERIALS AND METHODS: This randomized controlled trial was conducted on a
total of 60 patients who were randomly assigned into three groups: Group I
individuals were given 625 mg of combined amoxicillin and clavulanic acid tablet;
625 mg of combined amoxicillin and clavulanic acid tablet + 400 mg metronidazole
tablet was given to group II individuals; whereas group III individuals were
assigned no treatment. All the individuals underwent surgical extraction of
impacted mandibular third molars under strict aseptic techniques, with minimal
trauma to the surrounding tissues. Mouth opening in millimeters was recorded
postoperatively using Vernier calipers on the 1st, 3rd, 5th, and 7th days. A 4
point visual analog scale (VAS) was used for assessing postoperative pain.
Patient satisfaction was further assessed in a subjective manner using a graded
scale from "very satisfied" to "very unsatisfied". RESULTS: The present study
included individuals between the ages of 20 and 35 years. Group II individuals
showed slightly better satisfaction than the other group individuals. There was
no statistically significant difference between the mean age of groups. It was
observed that on day 3, the number of individuals with severe pain was slightly
reduced in the group I compared with group III individuals. On day 5,
participants with no pain were significantly more in group II followed by group
I. Furthermore, there was a statistically significant difference between the
study groups with respect to mouth opening on days 3 and 5. CONCLUSION: It was
concluded from this trial that the administration of postoperative antimicrobials
showed no significant differences in the degree of postoperative complications
that occur following the surgical extraction of impacted mandibular third molars.
CLINICAL SIGNIFICANCE: Antimicrobial drugs are routinely used to reduce the
chances of surgical site infection, either preopera-tively or postoperatively.
Therefore, the clinicians should have sound knowledge about choosing the better
antimicrobial drug after the extraction of impacted third molars.
PMID- 29358541
TI - Long-term Retrospective Study based on Implant Success Rate in Patients with Risk
Factor: 15-year Follow-up.
AB - AIM: The purpose of this retrospective study is to assess implant success rates
with various risk factors. MATERIALS AND METHODS: Two hundred patients with a
total of 650 implants were selected. Risk factors, such as smoking,
antidepressants, bruxism, diabetes, and bone augmentation procedures were
considered, and patients were followed up for a period of 8 to 15 years. RESULTS:
Of 650 implants placed, the success rate was 88%, i.e., a total of 572 implants
were successful. A total of 78 implants were considered failure; and out of 78,
twenty implants were surgically removed. CONCLUSION: Based on this study's
results, it is concluded that risk factors, such as smoking, bruxism, diabetes,
and bone augmentation play an important role in success rate of dental implants.
CLINICAL SIGNIFICANCE: Several factors, such as bruxism, diabetes, and supporting
bone can play an important role in dental implant success.
PMID- 29358542
TI - Clinicomicrobiological Evaluation of 2% Chitosan Mouthwashes on Dental Plaque.
AB - AIM: This study was conducted to evaluate microbiological and clinical effects of
a chitosan chlorhexidine (CH) mouthrinse on plaque control. MATERIALS AND
METHODS: Subjects were divided into three groups. Group I included 15 subjects
who used 0.2% chlorhexidine digluconate (CHX), group II included 15 subjects who
used 2% chitosan (CH) solution, and group III involves 15 subjects who used 0.2%
chlorhexidine/2% CH combination. Plaque index (PI), gingival index (GI), and
probing depth (PD) were recorded at the baseline, on day 0, and after 4 days.
Supragingival plaque samples were subjected for microbiological evaluation.
Statistical analysis was done using statistical software IBM Statistical Package
for the Social Sciences (SPSS), version 21. RESULTS: Plaque index was lowest in
group I at day 0, while it was highest in group III. At day 4, PI was highest in
group II, while lowest in group III. Gingival index was lowest in group I and
highest in group II at day 0, and lowest in group I and highest in group III at
day 4. There was no statistical difference in Streptococcus mutans (S. mutans)
count between groups at any time interval. CONCLUSION: Both chitosan and CH were
found to be effective in controlling plaque. However, a combination of both
provides even better results. CLINICAL SIGNIFICANCE: The present study showed
that chitosan can be used as an antiplaque agent.
PMID- 29358543
TI - Role of Text Message Reminder on Oral Hygiene Maintenance of Orthodontic
Patients.
AB - INTRODUCTION: Fixed orthodontic treatment is frequently associated with increased
plaque accumulation leading to gingivitis and white spot lesions (WSLs). AIM:
This study evaluated the role of text message reminder on oral hygiene of
orthodontic patients. MATERIALS AND METHODS: A total of 60 patients under fixed
orthodontic treatment were randomly divided into two equal groups as control
group and study (text message) group. Text message group received reminders about
oral hygiene, while the control group did not receive any messages. Oral hygiene
of both the groups was evaluated at baseline, 2, and 3 months using plaque
indices (PIs) along with WSL status. Data were statistically analyzed using
Statistical Package for the Social Sciences (SPSS) statistical software, version
19, with chi-square test and t-test. RESULTS: At the baseline, plaque score was
higher in the study group over control group (p > 0.038), whereas it was
decreased after 3 months in the test group (p > 0.001). For WSL, there was no
significant difference at baseline, but it was significantly lower in study group
(p > 0.003). CONCLUSION: Oral hygiene status improved with text message reminder.
PMID- 29358544
TI - Impact of Removable Partial Denture on Quality-of-life of Sudanese Adults in
Khartoum State.
AB - INTRODUCTION: Tooth loss can affect a patient's quality-of-life (QoL), impacting
on aspects, such as nutritional intake, psychological status, self-image, and
daily engaging in social interaction. The purpose of this study was to assess the
oral health-related QoL (OHRQoL) among adult Sudanese patients wearing removable
partial dentures (RPDs) by utilizing oral health impact profile-14 (OHIP-14) to
assess patient satisfaction with their dentures. MATERIALS AND METHODS: The study
population included 370 adult patients wearing RPDs. Of these, 99 were males and
271 females with the age range of 35 to above 60 years. Self-reported
questionnaires were distributed randomly to be completed by the participants from
each health sector after having read a consent letter. Two measures interpreting
the OHIP-14 scales (sum and prevalence) were utilized for data collection. The
relationship of patients' demographic characteristics, general and oral health
status, and denture characteristics with their OHRQoL was investigated. The data
were processed and analyzed by means of Statistical Package for the Social
Sciences (SPSS). RESULTS: The means of OHIP-14 sum and OHIP-14 prevalence of
partial denture wearers were 10.9 + 7.8 and 18.9% respectively. The most
problematic aspects of OHIP-14 were functional limitation and psychological
disability. On the contrary, the participants had little problem as a handicap
and social disability aspects. The self-reported oral health, regular follow-up,
denture satisfaction, duration of denture wearing use of the denture while
eating, and frequency of denture cleaning positively contribute to oral health
related quality. CONCLUSION: Loss of teeth and use of RPD significantly affect
patients' oral health status and QoL. Patients who are satisfied with their
dentures have a better QoL than their unsatisfied counterparts.
PMID- 29358545
TI - Comparative Evaluation of Remineralizing Effect of Novamin and Tricalcium
Phosphate on Artificial Caries: An in vitro Study.
AB - AIM: The aim of the present study was to compare the remineralizing efficacy of
novamin and tricalcium phosphate (TCP). MATERIALS AND METHODS: Nail varnish was
coated to a total of 30 sound human premolars except for 5 mm * 5 mm window.
Baseline microhardness was measured for all test samples. Artificial carious
lesions were created for all teeth by subjecting them to demineralization
process. Then microhardness of demineralized lesion was measured. Later
artificial caries teeth were equally divided into two groups to treat with
remineralization solution for 10 days; group I: novamin and group II: TCP. After
10 days of pH cycling, microhardness was measured. The data were statistically
analyzed using Statistical Package for the Social Sciences (SPSS) statistical
software from Chicago SPSS Inc., version 21 and using analysis of variance
(ANOVA) post hoc multiple comparisons test for intergroup and significant
difference at p < 0.05. RESULTS: In the present study, group I indicated a higher
value for remineralization compared with group II (p < 0.05). CONCLUSION: The
present study showed that both novamin and TCP were effective in remineralizing
the carious lesions. CLINICAL SIGNIFICANCE: This study evaluates the
remineralizing potential of novamin and TCP on initial carious lesions.
PMID- 29358546
TI - Disinfectant Efficacy of 0.525% Sodium Hypochlorite and Epimax on Alginate
Impression Material.
AB - AIM: Species of Streptococcus, Escherichia coli, Staphylococcus, Actinomyces,
Pseudomonas, Klebsiella, and Candida are commonly seen in the oral cavity.
Impression materials are commonly contaminated with microorganisms. The present
study was conducted to assess the disinfection efficacy of Epimax and 0.525%
sodium hypochlorite on alginate impression over a period of 10 minutes. MATERIALS
AND METHODS: This study was conducted in the Department of Prosthodontics in the
year 2015. An alginate impression material was prepared. For each bacteria
species, 15 samples were used. Out of 15 samples, 3 were used by 0.525% sodium
hypochlorite for disinfection for 5 minutes and 3 others for 10 minutes.
Similarly, 3 samples were used by Epimax for 5 minutes and other 3 for 10
minutes. Three samples were used as controls. Each sample was polluted with
Candida albicans, Pseudomonas aeruginosa, and Staphylococcus aureus strains.
RESULTS: There was no statistical difference in P. aeruginosa and C. albicans
after 5 minutes, whereas S. aureus showed significant difference (p < 0.05).
Epimax was found to be more effective in removing S. aureus as compared with
other disinfectants. Both Epimax and 0.525% sodium hypochlorite did not show
significant difference against P. aeruginosa and C. albicans, whereas significant
difference was found between both agents against S. aureus (p < 0.05). It was
seen that Epimax eliminated S. aureus after 5 minutes and P. aeruginosa after 10
minutes and 99.8% C. albicans after 10 minutes. About 0.525% sodium hypochlorite
eliminated 99.1% of C. albicans after 10 minutes, whereas 98.5 and 99% of S.
aureus and P. aeruginosa were eliminated after 10 minutes respectively.
CONCLUSION: Both Epimax and 0.525% sodium hypochlorite can disinfect the alginate
impression material against C. albicans, P. aeruginosa, and S. aureus strains.
However, Epimax was found to be more effective against S. aureus as compared with
0.525% sodium hypochlorite. CLINICAL SIGNIFICANCE: Efficacy of disinfection of
sodium hypo-chlorite and Epimax on alginate impression.
PMID- 29358547
TI - Pre-endodontic Post and Core Technique for Endodontic and Prosthodontic
Treatment.
AB - INTRODUCTION: Displacement of provisional fixed prostheses may result in
undesirable and embarrassing outcomes in dental treatments, especially in
endodontic treatment. Development of certain counter measures has been necessary
to avoid such discomforts. AIM: The aim of this report was to propose a pre
endodontic post and core technique to achieve smooth progress of the treatment.
MATERIALS AND METHODS: The patient was a 59-year-old male diagnosed with an
infraocclusion caused by wear of his teeth. He received full mouth provisional
fixed restorations for a complete oral rehabilitation. Displacement and fracture
of the restorations frequently occurred during the observation period for the
function of the restorations. Therefore, the pre-endodontic post and core
technique was applied to the abutment teeth before their endodontic treatments
were started. The technique consisted of three steps as follows: Step 1: Caries
removal and dowel preparation were performed for the abutment teeth having apical
periodontitis. Composite cores were indirectly fabricated, which had access holes
for endodontic treatment. Step 2: The cores were bonded to the teeth. In
endodontic treatment, rubber dam appliances were easily placed owing to the core,
and proper tooth isolation was accomplished. Step 3: Fiberposts were bonded to
the dowel holes through the access holes after the root canal filling. During
endodontic treatment, displacement and/or fracture of the provisional
restorations did not occur. CONCLUSION: The pre-endodontic post and core
technique was effective in obtaining improved retention of provisional
restoration, appropriate isolation for endodontic treatment, and sufficient
retention of the post and core. CLINICAL SIGNIFICANCE: The pre-endodontic post
and core technique is useful for avoiding the discomforts in dental treatments,
namely, a smooth transition from endodontic to prosthodontic treatment can be
achieved.
PMID- 29358548
TI - Amar Klar: A giant among scientists (1947-2017).
PMID- 29358549
TI - What history tells us XLIII Bacteriophage: The contexts in which it was
discovered.
PMID- 29358550
TI - Amalaki Rasayana improved memory and neuronal metabolic activity in AbPP-PS1
mouse model of Alzheimer's disease.
AB - Alzheimer's disease (AD) is the most common neurodegenerative disorder
characterized by progressive loss of memory and cognitive function. The cerebral
metabolic rate of glucose oxidation has been shown to be reduced in AD. The
present study evaluated efficacy of dietary Amalaki Rasayana (AR), an Ayurvedic
formulation used in Indian traditional system, in AbPP-PS1 mouse model of AD in
ameliorating memory and neurometabolism, and compared with donepezil, a standard
FDA approved drug for AD. The memory of mice was measured using Morris Water Maze
analysis. The cerebral metabolism was followed by 13C labelling of brain amino
acids in tissue extracts ex vivo using 1H-[13C]-NMR spectroscopy together with a
short time infusion of [1,6-13C2]glucose to mice. The intervention with Amalaki
Rasayana showed improved learning and memory in AbPP-PS1 mice. The 13C labelings
of GluC4, GABAC2 and GlnC4 were reduced in AbPP-PS1 mice when compared with wild
type controls. Intervention of AR increased the 13C labelling of amino acids
suggesting a significant enhancement in glutamatergic and GABAergic metabolic
activity in AbPP-PS1 mice similar to that observed with donepezil treatment.
These data suggest that AR has potential to improve memory and cognitive function
in AD.
PMID- 29358551
TI - Differential reduction of reactive oxygen species by human tissuespecific
mesenchymal stem cells from different donors under oxidative stress.
AB - Clinical trials using human Mesenchymal Stem Cells (MSCs) have shown promising
results in the treatment of various diseases. Different tissue sources, such as
bone marrow, adipose tissue, dental pulp and umbilical cord, are being routinely
used in regenerative medicine. MSCs are known to reduce increased oxidative
stress levels in pathophysiological conditions. Differences in the ability of
MSCs from different donors and tissues to ameliorate oxidative damage have not
been reported yet. In this study, for the first time, we investigated the
differences in the reactive oxygen species (ROS) reduction abilities of tissue
specific MSCs to mitigate cellular damage in oxidative stress. Hepatic Stellate
cells (LX-2) and cardiomyocytes were treated with Antimycin A (AMA) to induce
oxidative stress and tissue specific MSCs were co-cultured to study the reduction
in ROS levels. We found that both donor's age and source of tissue affected the
ability of MSCs to reduce increased ROS levels in damaged cells. In addition, the
abilities of same MSCs differed in LX-2 and cardiomyocytes in terms of magnitude
of reduction of ROS, suggesting that the type of recipient cells should be kept
in consideration when using MSCs in regenerative medicine for treatment purposes.
PMID- 29358552
TI - Protein complex finding and ranking: An application to Alzheimer's disease.
AB - Protein complexes are known to play a major role in controlling cellular activity
in a living being. Identifying complexes from raw protein-protein interactions
(PPIs) is an important area of research. Earlier work has been limited mostly to
yeast and a few other model organisms. Such protein complex identification
methods, when applied to large human PPIs often give poor performance. We
introduce a novel method called ComFiR to detect such protein complexes and
further rank diseased complexes based on a query disease. We have shown that it
has better performance in identifying protein complexes from human PPI data. This
method is evaluated in terms of positive predictive value, sensitivity and
accuracy. We have introduced a ranking approach and showed its application on
Alzheimer's disease.
PMID- 29358553
TI - miR-200a-3p promotes b-Amyloid-induced neuronal apoptosis through down-regulation
of SIRT1 in Alzheimer's disease.
AB - The aberrantly expressed microRNAs (miRNAs) including miR-200a-3p have been
reported in the brains of Alzheimer's disease (AD) patients in recent researches.
Nevertheless, the role of miR-200a-3p in AD has not been characterized. The
purpose of this study was to examine whether miR-200a-3p regulated beta-Ameyloid
(A beta)-induced neuronal apoptosis by targeting SIRT1, a known anti-apoptotic
protein. An increased level of miR-200a-3p and a decreased level of SIRT1 in the
hippocampus of APPswe/PS delta E9 mice (a model for AD) were observed. To
construct an in vitro cell model of AD, PC12 cells were cultured in presence of A
beta 25-35. The results of flow cytometry analysis showed that the apoptosis rate
and cleaved-caspase-3 expression in PC12 cells exposed to A beta 25-35 were
remarkably increased, but the apoptosis rate and cleaved-caspase-3 activity were
decreased when cells were transfected with anti-miR-200a-3p. On the other hand,
MTT assay showed that the cell survival rate was increased in the A beta 25-35 +
anti-miR-200a-3p group compared with the A beta 25-35 + anti-miR-NC group. Dual
luciferase reporter gene assay validated the predicted miR-200a-3p binding sites
in the 3'- UTR of SIRT1 mRNA. In addition, downregulation of SIRT1 promoted A
beta25-35-induced neuronal apoptosis and cleavedcaspase- 3 level in PC12 cells,
whereas anti-miR-200a-3p reversed these effects. Knockdown of SIRT1 decreased the
inhibitory effect of A beta 25-35 on cell viability, while anti-miR-200a-3p
attenuated this effect. Overall, the results suggest that suppression of miR-200a
3p attenuates A beta 25-35-induced apoptosis in PC12 cells by targeting SIRT1.
Thus, miR-200a-3p may be a potential therapeutic target for treatment of AD.
PMID- 29358555
TI - Targeting cleavage and polyadenylation specific factor 1 via shRNA inhibits cell
proliferation in human ovarian cancer.
AB - Cleavage and polyadenylation specificity factor 1 (CPSF1), a member of CPSF
complex, has been reported to play a key role in pre-mRNA 3'-end formation, but
its possible role in ovarian cancer remains unclear. In the present study, we
found the mRNA level of CPSF1 was overexpressed in ovarian cancer tissues using
Oncomine Cancer Microarray database. Then the loss-of-function assays, including
CCK-8, colony formation and flow cytometry assays, were performed to determine
the effects of CPSF1 on cell viability, proliferation, cell cycle and apoptosis
of human ovarian cancer cell lines (SKOV-3 and OVCAR-3). The results indicated
that depletion of CPSF1 suppressed cell viability, impaired colony formation
ability, induced cell cycle arrest at G0/G1 phase and promoted cell apoptosis in
ovarian cancer cells. Furthermore, knockdown of CPSF1 upregulated the expression
of cleaved caspase-3 and PARP and downregulated CDK4/cyclin D1 expression. These
data suggested that CPSF1 could promote ovarian cancer cell growth and
proliferation in vitro and its depletion might serve as a potential therapeutic
target for human ovarian cancer.
PMID- 29358554
TI - Knockdown of Cripto-1 inhibits the proliferation, migration, invasion, and
angiogenesis in prostate carcinoma cells.
AB - Cripto-1 (CR-1) is a member of the epidermal growth factor-Cripto-1/FRL1/Cryptic
gene family that plays a key role in the various malignant cancers. However, the
role of CR-1 in prostate carcinoma (PCa) remains limited. The expression of CR-1
was down-regulated by small interfering RNA (siRNA). Western blot measured the
expression levels of CR-1 and some related proteins. We performed Cell Counting
Kit-8, 5-ethynyl-2-deoxyuridine (EdU) incorporation assay and flow cytometry to
detect the cellular proliferation and cycle. The transwell assay was used to
observe cellular migration and invasion. The ability of angiogenesis was
evaluated by tube formation assay. Our results showed that CR-1 knockdown
markedly inhibited cell proliferation and induced cycle arrest in G1 phase, as
p21 and p27 were up-regulated, whereas cyclin D1 and cyclin E1 were diminished.
Moreover, silencing of CR-1 dramatically inhibited cell migration and invasion,
repressed matrix metalloproteinases, and disturbed epithelial-mesenchymal
transition. CR-1 siRNA suppressed the secreted level of vascular endothelial
growth factor, and reduced protein level of Vascular endothelial growth factor
receptor 2. We further found that decreased CR-1 expression inhibited
FAK/Src/PI3K and Wnt/b-catenin signalling in PCa cells. These results suggested
CR-1 might be served as an effective therapeutic target in PCa.
PMID- 29358556
TI - Cholesterol-lowering drug, in combination with chromium chloride, induces early
apoptotic signals in intracellular L. donovani amastigotes, leading to death.
AB - Leishmania establishes a successful parasitism by evading both oxidative and non
oxidative killing pathways, and its drug resistance against the currently
available therapeutics demands for a safe and cheap drug. Since the parasite
synthesizes ergosterol instead of cholesterol, using the same biochemical pathway
and enzymes, an inhibitor of HMG-CoA-Reductase, Lovastatin, has been tried for
its anti-Leishmanial effect. Lovastatin, being an inhibitor of HMG-CoA-Reductase,
inhibits infection by cholesterol depletion, while chromium chloride complexes,
at their higher concentrations, are reported to exhibit cytotoxicity. In
intracellular amastigotes, cytotoxicity has been checked by assessing various
manifestation of cell death, viz. DNA fragmentation, AnnexinV-FITC binding and JC
1 fluorescence ratio. Release of hydrogen peroxide (HPO) and nitric oxide (NO)
has been assessed in live cell. Lovastatin and CrCl3.6H2O in combination has
appeared to be ineffective on promastigotes but has induced cytotoxic effect on
the intracellular amastigotes through up-regulation of cellular signalling
mechanisms. CrCl 3.6H2O stimulates generation of NO, leading to reduction of the
number of intracellular amastigote, while Lovastatin shows HPO-mediated killing
of the same, keeping the host cell unaffected. This novel therapeutic approach,
involving two known safe compounds in suboptimal doses, may resolve human
visceral Leishmaniasis.
PMID- 29358557
TI - Cinnamomum osmophloeum Kanehira ethanol extracts prevents human liver-derived
HepG2 cell death from oxidation stress by induction of ghrelin gene expression.
AB - Diabetes patients associated with liver disease carry a significant risk of
morbidity and mortality. Cinnamon has been reported to reduce fructose-induced
oxidative stress in the rat liver. However, the mechanism by which cinnamon
protects the liver in a high-saccharide environment remains to be investigated.
HepG2 cells were cultured with 30 mM D-ribose to mimic the high-oxidative-stress
environment, typical of a liver in a diabetic patient. Three different chemical
types of C. osmophloeum ethanol extracts (CEEs) were added in HepG2 culture media
and the administration of all three CEEs protected HepG2 cells from D-ribose
damage and increased cell survival by approximately 20 percent. Exclusively, the
transcript variant 1 of the ghrelin gene, but not variant 3, was 2-3 times
induced by the addition of these CEEs. Moreover, the mRNAs of ghrelin processing
enzyme, furin, and mboat4 were detected in HepG2 cells. The ghrelin hormones in
the culture media were increased 4-9 times by the addition of CEEs. The
protective effects of ghrelin on HepG2 cells in D-ribose environment were further
confirmed by recombinant ghrelin transfection. We conclude that the CEEs induce
ghrelin gene expression and protect HepG2 cells from D-ribose-induced oxidative
damage through ghrelin signalling.
PMID- 29358558
TI - High temperatures influence sexual development differentially in male and female
tadpoles of the Indian skipper frog, Euphlyctis cyanophlyctis.
AB - Although sex determination in amphibians is believed to be a genetic process,
environmental factors such as temperature are known to influence the sex
differentiation and development. Extremely low and high temperatures influence
gonadal development and sex ratio in amphibians but the mechanism of action is
not known. In the present study, effect of different temperatures on gonadal
development, sex ratio and metamorphosis was studied in the Indian skipper frog,
Euphlyctis cyanophlyctis. The embryos of Gosner stage 7 were exposed to 20, 22,
24, 26, 28, 30 and 32 degrees C up to tadpole stage 42. The embryos (stage 7)
were also exposed to 20 and 32 degrees C up to tadpole stage 25 (non-feeding
stages). Tadpoles of stage 25 were reared at 20 and 32 degrees C up to stage 42
(feeding stages). The results show that exposure to higher temperatures (28, 30
and 32 degrees C) during stages 7-42 produced male-biased sex ratio. Rearing of
tadpoles at 32 degrees C during stages 25-42 produced malebiased sex ratio, while
exposure during stages 7-25 did not affect sex ratio. Embryos and tadpoles
exposed to lower temperatures (20 and 22 degrees C) died during the early stages.
High temperatures stimulated testis development, and disturbed ovary development.
Exposure to high temperatures resulted in the early metamorphosis of tadpoles
with reduced body size. These results demonstrated that high temperatures
influence gonadal development differently in male and female tadpoles, leading to
male-biased sex ratio. These results suggest that high temperature probably acts
through stress hormones and favours the small-sized sex.
PMID- 29358559
TI - Can embryonic skipper frogs (Euphlyctis cyanophlyctis) learn to recognise
kairomones in the absence of a nervous system?
AB - In this study, we used larval Euphlyctis cyanophlyctis to determine the predator
recognition mechanism. We conducted a series of experiments to determine if
larval E. cyanophlyctis have the innate ability to recognise predatory odour
(kairomones) as a threat or if they learn to do so during ontogeny. In the case
of learning, we wanted to determine the developmental window during which
learning is accomplished. Further, we tested the antipredator response of
predator- naive as well as predator-experienced tadpoles to chemical cues of
different origins in order to assess if they exhibit differential responses. Our
results clearly indicate that predator-naive tadpoles of E. cyanophlyctis do not
reduce their activity against predatory cues of dragonfly nymphs, suggesting that
they lack the innate ability to recognise kairomones. However, they could learn
to do so when trained to perceive kairomones simultaneously along with alarm
cues. Surprisingly, larval E. cyanophlyctis could learn to recognise kairomones
through association during embryonic stages even before the development of a
nervous system. Although larval E. cyanophlyctis lack the innate ability to
recognise kairomones, they were able to recognise conspecific alarm cues on the
first encounter, indicating that they have the innate ability to recognise alarm
cues as a potential threat.
PMID- 29358560
TI - Modulation of chaperone-like and membranolytic activities of major horse seminal
plasma protein HSP-1/2 by L-carnitine.
AB - The major protein of horse seminal plasma, HSP-1/2, exhibits membranolytic and
chaperone-like activities and plays a crucial role in regulating sperm
capacitation. L-Carnitine is a small polar molecule present in high
concentrations in mammalian seminal plasma. The present results demonstrate that
L-carnitine binds to HSP-1/2 and increases its thermal stability, enhances
cooperativity of its chemical unfolding and decreases both chaperone-like and
membranolytic activities of this protein. The HSP-1/2-L-carnitine complex
exhibits anti-oxidative behaviour by inhibiting the production of hydroxyl
radicals, suggesting that it can protect other constituents of seminal plasma
from damage by hydroxyl radicals. As HSP-1/2 and L-carnitine share the same
spatiotemporal location in the horse reproductive tract, this interaction is
physiologically significant and may prevent premature interaction of HSP-1/2 with
sperm, which in turn regulates the sperm capacitation.
PMID- 29358561
TI - Promoter polymorphism MMP-1 (-1607 2G/1G) and MMP-3 (-1612 5A/6A) in development
of HAND and modulation of pathogenesis of HAND.
AB - The pathogenesis of HIV-associated neurocognitive disorder (HAND) is modulated by
host genetic susceptibility factors such as Matrix metalloproteinases (MMPs).
Promoter polymorphism of MMP-1 and MMP-3 may modify the expression of the gene.
Hence, we evaluated the association of MMP-1-16072G/1G and MMP-3-1612 5A/6A
polymorphisms with development of HAND and the modulation of pathogenesis of
HAND. We enrolled a total of 180 individuals, 50 HIVinfected individuals with
HAND, 130 without HAND, and 150 healthy controls. Polymorphism of MMP-1 and MMP-3
were genotyped by PCR-RFLP. MMP-1-1607 2G1G, -16071G/2G-1G/1G genotypes and -1607
1G allele were associated with the development of HAND (OR = 1.64, P = 0.05; OR =
1.45, P = 0.04; OR = 1.69, P = 0.05). MMP-1- 16071G1G, MMP-3-16125A5A genotypes
increased the risk for the development of HAND (OR = 1.78, P = 0.25; OR = 2.39, P
= 0.13). MMP-3-1612 5A5A, -1612 6A/5A-5A/5A genotypes and -1612 5A allele were
associated with the reduced risk of HAND (OR = 0.40, P = 0.05; OR = 0.53, P =
0.04; OR = 0.40, P = 0.01). Haplotype 5A1G increased the risk of development of
HAND (OR = 1.93, P = 0.05). As observed in advanced HIV disease stage, MMP-1-1607
1G1G genotype enhance the risk for advancement of HIV disease (OR = 1.69, P =
0.89). MMP-3-1612 6A5A genotype showed higher risk for development of HAND in
alcohol users (0R = 1.65, P = 0.44). MMP-1 genotype may have an influence on
development of HAND whereas MMP3-1612 5A5A genotype may reduce risk for
pathogenesis of HAND.
PMID- 29358562
TI - Hypoxia stimulates invasion and migration of human cervical cancer cell lines
HeLa/SiHa through the Rab11 trafficking of integrin alphavbeta3/FAK/PI3K pathway
mediated Rac1 activation.
AB - Hypoxia plays a key role in tumour cell survival, invasion, and metastasis. An
increasing number of studies have attempted to characterize the tumour response
to hypoxia and to identify predictive markers of disease. Here we show that
hypoxia increases tumour cell invasion and migration by the modulation of Rab11,
an important molecule for vesicular trafficking. In our study, we found that
Rab11, together with the activation of Rac1, could stimulate invasion and
migration of cervical cancer cell lines HeLa/SiHa in hypoxia. Activation of Rac1
activity by hypoxia seems to be central to carcinoma invasion. We also found that
these effects could be related to the integrin alphavbeta3. In addition, we
studied the molecular pathway for this process. Our results showed that in
cervical cancer cell lines HeLa/SiHa, Rac1 activation in hypoxia could stimulate
invasion and migration, and this process was mediated by integrin alphavbeta3
mediated FAK and PI3K phosphorylation. Furthermore, hypoxia induced a dramatic
increase in alphavbeta3 integrin surface expression, and this increase is
dependent on Rab11. In conclusion, our study might provide a new mechanism for
the effect of hypoxia on stimulating cervical carcinoma invasion.
PMID- 29358563
TI - Rice MYC2 (OsMYC2) modulates light-dependent seedling phenotype, disease defence
but not ABA signalling.
AB - Arabidopsis MYC2 (AtMYC2) is a bHLH class transcription factor that mediates
light-dependent seedling development, disease defence, JA and ABA signalling.
AtMYC2 gene modulates hypocotyl elongation and expression of chlorophyll A/B
binding protein 1 (CAB1) and rubisco small subunit protein1 (RBCS1) under blue
light. The atmyc2 mutants are resistant against virulent bacterial pathogens.
MYC2 orthologues from several crop plants have been characterized. The rice gene
Os10g42430 has been referred earlier as OsMYC2 and has been shown to promote
expression of JA-inducible genes. However, the role of OsMYC2 in seedling
development under ABA, dark or light of specific wavelengths was not known. It
was also not known whether OsMYC2 complements AtMYC2 function in Arabidopsis. We
show here that expression of OsMYC2 in the atmyc2 mutant of Arabidopsis
complements the blue-light-mediated defects in hypocotyl elongation and
expression of CAB1 and RBCS1. We generated multiple transgenic rice lines for
over-expression and RNAi-mediated suppression of OsMYC2. In agreement with AtMYC2
function, OsMYC2 over-expression and RNAi lines showed enhanced and suppressed
seedling growth compared to WT plants respectively under blue light, and showed
little effect under white light or dark. In agreement with the negative
regulatory role of AtMYC2 in disease defence, the RNAi lines showed enhanced
resistance against bacterial pathogen Xanthomonas oryzae pv oryzae. However, in
contrast to AtMYC2 function, OsMYC2 influences seedling development under red
light and show no effect in ABA-mediated seed germination. Thus, the results
suggest evolutionarily conserved as well as the distinct role of OsMYC2 in
comparison with AtMYC2.
PMID- 29358565
TI - Microglia activation mediated by toll-like receptor-4 impairs brain white matter
tracts in rats.
AB - Microglia activation and white matter injury coexist after repeated episodes of
mild brain trauma and ischemic stroke. Axon degeneration and demyelination can
activate microglia; however, it is unclear whether early microglia activation can
impair the function of white matter tracts and lead to injury. Rat corpus
callosum (CC) slices were treated with lipopolysaccharide (LPS) or LPS +
Rhodobacter sphaeroides (RS)-LPS that is a toll-like receptor 4 (TLR-4)
antagonist. Functional changes reflected by the change of axon compound action
potentials (CAPs) and the accumulation of beta-amyloid precursor protein (beta
APP) in CC nerve fibers. Microglia activation was monitored by ionized calcium
binding adaptor-1 immunofluorescent stain, based on well-established
morphological criteria and paralleled proportional area measurement. Input-output
(I/O) curves of CAPs in response to increased stimuli were significantly
downshifted in a dose-dependent manner in LPS (0.2, 0.5 and 1.0 ug/mL)-treated
slices, implying that axons neurophysiological function was undermined. LPS
caused significant beta-APP accumulation in CC tissues, reflecting the
deterioration of fast axon transport. LPS-induced I/O curve downshift and beta
APP accumulation were significantly reversed by the pre-treatment or co
incubation with RS-LPS. RS-LPS alone did not change the I/O curve. The degree of
malfunction was correlated with microglia activation, as was shown by the
measurements of proportional areas. Function of CC nerve fibers was evidently
impaired by microglia activation and reversed by a TLP-4 antagonist, suggesting
that the TLP-4 pathway lead to microglia activation.
PMID- 29358566
TI - Generation and functional characterization of a conditional Pumilio2 null allele.
AB - The highly conserved RNA binding protein PUF (Pumilio/FBF) family is present
throughout eukaryotes from yeast to mammals, with critical roles in development,
fertility and the nervous system. However, the function of the mammalian PUF
family members remains underexplored. Our previous study reported that a gene
trap mutation of Pum2 results in a smaller testis but does not impact fertility
and viability. Although the gene-trap mutation disrupted the key functional
domain of PUM protein-PUM-HD (Pumilio homology domain), but still produced a
chimeric Pum2-beta-geo protein containing part of PUM2, raising a question if
such a chimeric protein may provide any residual function or contribute to the
reproductive phenotype. Here, we report the generation of a conditional PUM2
allele, when knocked out, producing no residual PUM2 and hence a complete loss-of
function allele. We also uncovered small but significant reduction of male
fertility and viability in the mutants, suggesting requirement of PUM2 for male
fertility and viability.
PMID- 29358564
TI - Polymorphisms in the hepatitis C virus core and its association with development
of hepatocellular carcinoma.
AB - Little is known about the mechanisms underlying hepatocellular carcinoma (HCC).
Some studies have focused on the role of HCV viral proteins in hepatocyte
transformation. In this work we have compiled and analysed current articles
regarding the impact of polymorphisms in the HCV core gene and protein on the
development of HCC. An exhaustive search for fulltext articles until November
2016 in PubMed database was performed using the MeSH keywords: 'hepatitis C',
'polymorphisms', 'core', 'hepatocellular cancer' and 'hepatocarcinogenesis'.
Nineteen full-text articles published between 2000 and 2016 were considered.
Different articles associate not only the HCC development with polymorphisms at
residues 70 and 91 in the core protein, but more with mortality and treatment
response. Also, different polymorphisms were found in core and other viral
proteins related to HCC development. Eleven articles reported that HCC
development is significantly associated with Gln/His70, four associated it with
Leu91 and two more associated it with both markers together. Additional studies
are necessary, including those in different types of populations worldwide, to
validate the possibility of the usability and influence in chronically HCV
infected patients as well as to observe their interaction with other risk factors
or prognosis and genetic markers of the host.
PMID- 29358567
TI - Multi-Frame Super-Resolution of Gaofen-4 Remote Sensing Images.
AB - Gaofen-4 is China's first geosynchronous orbit high-definition optical imaging
satellite with extremely high temporal resolution. The features of staring
imaging and high temporal resolution enable the super-resolution of multiple
images of the same scene. In this paper, we propose a super-resolution (SR)
technique to reconstruct a higher-resolution image from multiple low-resolution
(LR) satellite images. The method first performs image registration in both the
spatial and range domains. Then the point spread function (PSF) of LR images is
parameterized by a Gaussian function and estimated by a blind deconvolution
algorithm based on the maximum a posteriori (MAP). Finally, the high-resolution
(HR) image is reconstructed by a MAP-based SR algorithm. The MAP cost function
includes a data fidelity term and a regularized term. The data fidelity term is
in the L2 norm, and the regularized term employs the Huber-Markov prior which can
reduce the noise and artifacts while preserving the image edges. Experiments with
real Gaofen-4 images show that the reconstructed images are sharper and contain
more details than Google Earth ones.
PMID- 29358568
TI - Association between Dietary Patterns of Meat and Fish Consumption with Bone
Mineral Density or Fracture Risk: A Systematic Literature.
AB - This systematic review aimed to investigate the association of fish and sea fish
dietary patterns (FishDiet) and meat or processed meat dietary patterns
(MeatDiet) with bone mineral density (BMD) and/or risk of fractures (RF). This
review includes 37 studies with a total of 432,924 subjects. The results suggest
that MeatDiet and FishDiet did not affect BMD or RF in 48.2% of the subjects with
MeatDiet and in 86.5% of the subjects with FishDiet. Positive effects on bone
were found in 3% of subjects with MeatDiet and in 12% with FishDiet. Negative
effects on bone were observed in 2.7% of FishDiet and in 47.9% of MeatDiet. Major
negative effects of MeatDiet were found in subjects located in the Netherlands,
Greece, Germany, Italy, Norway, UK and Spain who do not sustain a Mediterranean
diet (92.7%); in Korea (27.1%); in Brazil and Mexico (96.4%); and in Australia
(62.5%). This study suggests that protein intake from fish or meat is not harmful
to bone. Negative effects on bone linked to FishDiet are almost null. Negative
effects on bone were associated to MeatDiet in the setting of a Western Diet but
not in Mediterranean or Asian Diets.
PMID- 29358569
TI - Using Impedance Measurements to Characterize Surface Modified with Gold
Nanoparticles.
AB - With the increased practice of preventative healthcare to help reduce costs
worldwide, sensor technology improvement is vital to patient care. Point-of-care
(POC) diagnostics can reduce time and lower labor in testing, and can effectively
avoid transporting costs because of portable designs. Label-free detection allows
for greater versatility in the detection of biological molecules. Here, we
describe the use of an impedance-based POC biosensor that can detect changes in
the surface modification of a micro-fabricated chip using impedance spectroscopy.
Gold nanoparticles (GNPs) have been employed to evaluate the sensing ability of
our new chip using impedance measurements. Furthermore, we used impedance
measurements to monitor surface functionalization progress on the sensor's
interdigitated electrodes (IDEs). Electrodes made from aluminum and gold were
employed and the results were analyzed to compare the impact of electrode
material. GNPs coated with mercaptoundecanoic acid were also used as a model of
biomolecules to greatly enhance chemical affinity to the silicon substrate. The
portable sensor can be used as an alternative technology to ELISA (enzyme-linked
immunosorbent assays) and polymerase chain reaction (PCR)-based techniques. This
system has advantages over PCR and ELISA both in the amount of time required for
testing and the ease of use of our sensor. With other techniques, larger,
expensive equipment must be utilized in a lab environment, and procedures have to
be carried out by trained professionals. The simplicity of our sensor system can
lead to an automated and portable sensing system.
PMID- 29358570
TI - Long Non-Coding RNA Cancer Susceptibility Candidate 2a (CASC2a) Is a Marker of
Early Recurrence After Radical Cystectomy in Patients with Urothelial Carcinoma
of the Bladder.
AB - BACKGROUND The aim of this study was to investigate the expression of long non
coding RNAs (lncRNA) cancer susceptibility candidate 2a (CASC2a) in patients with
urothelial carcinoma of the bladder (UCB) and its predictive value in the
recurrence of UCB after radical cystectomy (RC). MATERIAL AND METHODS Tumor and
paired adjacent normal tissues were obtained from 112 patients with UCB who
underwent RC in our hospital from March 2010 to March 2012. The expression of
CASC2a was evaluated by quantitative reverse transcriptase polymerase chain
reaction (qRT-PCR) and fluorescence in situ hybridization (FISH). RESULTS CASC2a
was down-regulated in UCB tissues, and was highly negatively correlated with the
pT, pN, tumor size, and lymphovascular invasion (LVI). The sensitivities of
CASC2a for diagnosing UCB and its recurrence after RC were 89.30% and 81.55%,
respectively, and the specificities were 71.43% and 58.21%, respectively.
Patients with a high expression of CASC2a had a higher 5-year recurrence-free
survival rate than those with low expression of CASC2a. Kaplan-Meier survival
analysis demonstrated that the pT, pN, tumor grade, tumor size, concomitant
carcinoma in situ (CIS), LVI, soft tissue surgical margin (STSM), and CASC2a
expression were related to the recurrence in patients undergoing RC for UCB. Cox
proportional hazard model analysis showed that CASC2 expression, pT4, lymph node
metastasis, and CIS were independent risk factors. CONCLUSIONS CASC2a was down
regulated in patients with UCB, and was associated with the risk of recurrence
among patients undergoing RC, indicating that lncRNAs could act as predictive
biomarkers and potential therapeutic targets in bladder cancer, including CASC2a.
PMID- 29358571
TI - A Bone Conduction Implantable Device as a Functional Treatment Option in
Unilateral Microtia with Bilateral Stapes Ankylosis: A Report of Two Cases.
AB - BACKGROUND Implantable devices have been proposed as an alternative to hearing
aids and auditory canal reconstruction in patients with microtia (congenital
aural atresia), which includes a malformation of the external and middle ear.
This report is of two rare cases of microtia associated with congenital stapes
ankylosis treated with an implantable device and describes the treatment
outcomes. CASE REPORT Two siblings from Ecuador, a 29-year-old woman, and her 35
year-old brother, were born with unilateral type II microtia with bilateral
external auditory canal atresia and conductive hearing loss. Pre-operatively,
high-resolution computed tomography (HRCT) imaging was performed using FastView
software to allow placement of a bone conduction-floating mass transducer (BC
FMT) to couple a Bonebridge bone conduction implant (BCI) system in both
patients. Pure-tone audiometry (PTA) testing and speech audiology were performed.
The Abbreviated Profile of Hearing Aid Benefit (APHAB) and the Speech, Spatial
and Qualities (SSQ) of hearing scale questionnaires and scoring systems were
used. Following activation of the implantable device, both patients achieved
improved bilateral conductive hearing with sound-field (field-free) thresholds
>25 dB, and speech recognition scores >90%. In both cases, hearing improvement
remained at three years following surgery. CONCLUSIONS To our knowledge, these
are the first reported cases of microtia with congenital stapes ankylosis
successfully treated with a bone conduction implantable device. Patients with
microtia and stapes ankylosis who are reluctant to undergo surgery may benefit
from unilateral or bilateral, short-term or long-term use of a Bonebridge bone
conduction implantable device.
PMID- 29358574
TI - Publisher Correction: The low affinity neurotrophin receptor CD271 regulates
phenotype switching in melanoma.
AB - The originally published version of this Article was updated shortly after
publication to add the words 'The' and 'affinity' to the title, following their
inadvertent removal during the production process. This has now been corrected in
both the PDF and HTML versions of the Article.
PMID- 29358572
TI - Pharmacokinetic Drug-Drug Interactions Between Immunosuppressant and Anti
Infective Agents: Antimetabolites and Corticosteroids.
AB - Infections account for 15-20% of deaths in transplant recipients, requiring rapid
and appropriate therapeutic interventions. Many anti-infective agents interact
with immunosuppressive regimens used in transplantation, placing patients at
increased risk for adverse drug reactions and prolonged hospitalizations. There
is established data regarding the level of evidence and magnitude of interactions
between calcineurin inhibitors and mammalian target of rapamycin inhibitors with
anti-infective agents. Less is known about the interactions with anti
proliferative agents and corticosteroids, with gaps in knowledge on the
appropriate management of these interactions. The objective of this review was to
highlight the pharmacokinetic drug-drug interactions between antimetabolites and
corticosteroids with commonly used anti-infective agents.
PMID- 29358573
TI - Unwrapping the genomic characteristics of urothelial bladder cancer and successes
with immune checkpoint blockade therapy.
AB - Urothelial bladder cancer (UBC) is one of the most common lethal cancer worldwide
and the 5-year survival rate has not improved significantly with current
treatment protocols during the last decade. Intravesical immunotherapy with
Bacillus Calmette-Guerin is currently the standard care for non-muscle invasive
UBC. Recently, a subset of patients with locally advanced or metastatic UBC have
responded to checkpoint blockade immunotherapy against the programmed cell death
1 protein (PD-1) or its ligand (PD-L1) or the cytotoxic T-lymphocyte antigen 4
that releases the inhibition of T cells, the remarkable clinical efficacy on UBC
has brought total five checkpoint inhibitors approved by the FDA in the last 2
years, and this is revolutionizing treatment of advanced UBC. We discuss the
rationale for immunotherapy in bladder cancer, progress with blocking the PD-1/PD
L1 pathway for UBC treatment, and ongoing clinical trials. We highlight the
complexity of the interactions between cancer cells and the immune system, the
genomic basis for response to checkpoint blockade immunotherapy, and potential
biomarkers for predicting immunotherapeutic response.
PMID- 29358575
TI - Pathological hydrogen peroxide triggers the fibrillization of wild-type SOD1 via
sulfenic acid modification of Cys-111.
AB - Amyotrophic lateral sclerosis (ALS) involves the abnormal posttranslational
modifications and fibrillization of copper, zinc superoxide dismutase (SOD1) and
TDP-43. However, how SOD1-catalyzed reaction product hydrogen peroxide affects
amyloid formation of SOD1 and TDP-43 remains elusory. 90% of ALS cases are
sporadic and the remaining cases are familial ALS. In this paper, we demonstrate
that H2O2 at pathological concentrations triggers the fibrillization of wild-type
SOD1 both in vitro and in SH-SY5Y cells. Using an anti-dimedone antibody that
detects sulfenic acid modification of proteins, we found that Cys-111 in wild
type SOD1 is oxidized to C-SOH by pathological concentration of H2O2, followed by
the formation of sulfenic acid modified SOD1 oligomers. Furthermore, we show that
such SOD1 oligomers propagate in a prion-like manner, and not only drive wild
type SOD1 to form fibrils in the cytoplasm but also induce cytoplasm
mislocalization and the subsequent fibrillization of wild-type TDP-43, thereby
inducing apoptosis of living cells. Thus, we propose that H2O2 at pathological
concentrations triggers the fibrillization of wild-type SOD1 and subsequently
induces SOD1 toxicity and TDP-43 toxicity in neuronal cells via sulfenic acid
modification of Cys-111 in SOD1. Our Western blot and ELISA data demonstrate that
sulfenic acid modified wild-type SOD1 level in cerebrospinal fluid of 15 sporadic
ALS patients is significantly increased compared with 6 age-matched control
patients. These findings can explain how H2O2 at pathologic concentrations
regulates the misfolding and toxicity of SOD1 and TDP-43 associated with ALS, and
suggest that sulfenic acid modification of wild-type SOD1 should play pivotal
roles in the pathogenesis of sporadic ALS.
PMID- 29358576
TI - Impairment of Fas-ligand-caveolin-1 interaction inhibits Fas-ligand translocation
to rafts and Fas-ligand-induced cell death.
AB - Fas-ligand/CD178 belongs to the TNF family proteins and can induce apoptosis
through death receptor Fas/CD95. The important requirement for Fas-ligand
dependent cell death induction is its localization to rafts, cholesterol- and
sphingolipid-enriched micro-domains of membrane, involved in regulation of
different signaling complexes. Here, we demonstrate that Fas-ligand physically
associates with caveolin-1, the main protein component of rafts. Experiments with
cells overexpressing Fas-ligand revealed a FasL N-terminal pre-prolin-rich
region, which is essential for the association with caveolin-1. We found that the
N-terminal domain of Fas-ligand bears two caveolin-binding sites. The first
caveolin-binding site binds the N-terminal domain of caveolin-1, whereas the
second one appears to interact with the C-terminal domain of caveolin-1. The
deletion of both caveolin-binding sites in Fas-ligand impairs its distribution
between cellular membranes, and attenuates a Fas-ligand-induced cytotoxicity.
These results demonstrate that the interaction of Fas-ligand and caveolin-1
represents a molecular basis for Fas-ligand translocation to rafts, and the
subsequent induction of Fas-ligand-dependent cell death. A possibility of a
similar association between other TNF family members and caveolin-1 is discussed.
PMID- 29358577
TI - TACC3 transcriptionally upregulates E2F1 to promote cell growth and confer
sensitivity to cisplatin in bladder cancer.
AB - Accumulating evidence has shown that transforming acidic coiled-coil 3 (TACC3) is
deregulated in a broad spectrum of cancers. In the present study, we reported
that TACC3 was markedly elevated in bladder cancer, especially in muscle-invasive
bladder cancers (MIBCs). The upregulation of TACC3 was positively associated with
tumor invasiveness, grade, T stage, and progression in patients with bladder
cancer. Furthermore, a Kaplan-Meier survival analysis showed that patients with
bladder cancer whose tumors had high TACC3 expression experienced a dismal
prognosis compared with patients whose tumors had low TACC3 expression.
Functional studies have found that TACC3 is a prerequisite for the development of
malignant characteristics of bladder cancer cells, including cell proliferation
and invasion. Moreover, TACC3 promoted G1/S transition, which was mediated via
activation of the transcription of E2F1, eventually enhancing cell proliferation.
Notably, the overexpression of TACC3 or E2F1 indicates a high sensitivity to
cisplatin. Taken together, these findings define a tumor-supportive role for
TACC3, which may also serve as a prognostic and therapeutic indicator in bladder
cancers.
PMID- 29358578
TI - Culex pipiens crossing type diversity is governed by an amplified and polymorphic
operon of Wolbachia.
AB - Culex pipiens mosquitoes are infected with Wolbachia (wPip) that cause an
important diversity of cytoplasmic incompatibilities (CIs). Functional transgenic
studies have implicated the cidA-cidB operon from wPip and its homolog in wMel in
CI between infected Drosophila males and uninfected females. However, the genetic
basis of the CI diversity induced by different Wolbachia strains was unknown. We
show here that the remarkable diversity of CI in the C. pipiens complex is due to
the presence, in all tested wPip genomes, of several copies of the cidA-cidB
operon, which undergoes diversification through recombination events. In 183
isofemale lines of C. pipiens collected worldwide, specific variations of the
cidA-cidB gene repertoires are found to match crossing types. The diversification
of cidA-cidB is consistent with the hypothesis of a toxin-antitoxin system in
which the gene cidB co-diversifies with the gene cidA, particularly in putative
domains of reciprocal interactions.
PMID- 29358579
TI - Structural basis of thalidomide enantiomer binding to cereblon.
AB - Thalidomide possesses two optical isomers which have been reported to exhibit
different pharmacological and toxicological activities. However, the precise
mechanism by which the two isomers exert their different activities remains
poorly understood. Here, we present structural and biochemical studies of (S)-
and (R)-enantiomers bound to the primary target of thalidomide, cereblon (CRBN).
Our biochemical studies employed deuterium-substituted thalidomides to suppress
optical isomer conversion, and established that the (S)-enantiomer exhibited ~10
fold stronger binding to CRBN and inhibition of self-ubiquitylation compared to
the (R)-enantiomer. The crystal structures of the thalidomide-binding domain of
CRBN bound to each enantiomer show that both enantiomers bind the tri-Trp pocket,
although the bound form of the (S)-enantiomer exhibited a more relaxed
glutarimide ring conformation. The (S)-enantiomer induced greater teratogenic
effects on fins of zebrafish compared to the (R)-enantiomer. This study has
established a mechanism by which thalidomide exerts its effects in a
stereospecific manner at the atomic level.
PMID- 29358581
TI - Simple and cost-effective method of highly conductive and elastic carbon
nanotube/polydimethylsiloxane composite for wearable electronics.
AB - The development of various flexible and stretchable materials has attracted
interest for promising applications in biomedical engineering and electronics
industries. This interest in wearable electronics, stretchable circuits, and
flexible displays has created a demand for stable, easily manufactured, and cheap
materials. However, the construction of flexible and elastic electronics, on
which commercial electronic components can be mounted through simple and cost
effective processing, remains challenging. We have developed a nanocomposite of
carbon nanotubes (CNTs) and polydimethylsiloxane (PDMS) elastomer. To achieve
uniform distributions of CNTs within the polymer, an optimized dispersion process
was developed using isopropyl alcohol (IPA) and methyl-terminated PDMS in
combination with ultrasonication. After vaporizing the IPA, various shapes and
sizes can be easily created with the nanocomposite, depending on the mold. The
material provides high flexibility, elasticity, and electrical conductivity
without requiring a sandwich structure. It is also biocompatible and mechanically
stable, as demonstrated by cytotoxicity assays and cyclic strain tests (over
10,000 times). We demonstrate the potential for the healthcare field through
strain sensor, flexible electric circuits, and biopotential measurements such as
EEG, ECG, and EMG. This simple and cost-effective fabrication method for CNT/PDMS
composites provides a promising process and material for various applications of
wearable electronics.
PMID- 29358580
TI - PI3K induces B-cell development and regulates B cell identity.
AB - Phosphoinositide-3 kinase (PI3K) signaling is important for the survival of
numerous cell types and class IA of PI3K is specifically required for the
development of B cells but not for T cell development. Here, we show that class
IA PI3K-mediated signals induce the expression of the transcription factor Pax5,
which plays a central role in B cell commitment and differentiation by activating
the expression of central B cell-specific signaling proteins such as SLP-65 and
CD19. Defective class IA PI3K function leads to reduction in Pax5 expression and
prevents B cell development beyond the stage expressing the precursor B cell
receptor (pre-BCR). Investigating the mechanism of PI3K-induced Pax5 expression
revealed that it involves a network of transcription factors including FoxO1 and
Irf4 that directly binds to the Pax5 gene. Together, our results suggest that
PI3K signaling links survival and differentiation of developing B cells with B
cell identity and that decreased PI3K activity in pre-B cells results in reduced
Pax5 expression and lineage plasticity.
PMID- 29358582
TI - Evolutionary analyses of myosin genes in trypanosomatids show a history of
expansion, secondary losses and neofunctionalization.
AB - Myosins are motor proteins that comprise a large and diversified family important
for a broad range of functions. Two myosin classes, I and XIII, were previously
assigned in Trypanosomatids, based mainly on the studies of Trypanosoma cruzi, T.
brucei and Leishmania major, and important human pathogenic species; seven orphan
myosins were identified in T. cruzi. Our results show that the great variety of
T. cruzi myosins is also present in some closely related species and in Bodo
saltans, a member of an early divergent branch of Kinetoplastida. Therefore,
these myosins should no longer be considered "orphans". We proposed the
classification of a kinetoplastid-specific myosin group into a new class, XXXVI.
Moreover, our phylogenetic data suggest that a great repertoire of myosin genes
was present in the last common ancestor of trypanosomatids and B. saltans, mainly
resulting from several gene duplications. These genes have since been
predominantly maintained in synteny in some species, and secondary losses explain
the current distribution. We also found two interesting genes that were clearly
derived from myosin genes, demonstrating that possible redundant or useless
genes, instead of simply being lost, can serve as raw material for the evolution
of new genes and functions.
PMID- 29358583
TI - A stand-alone fiber-coupled single-photon source.
AB - In this work, we present a stand-alone and fiber-coupled quantum-light source.
The plug-and-play device is based on an optically driven quantum dot delivering
single photons via an optical fiber. The quantum dot is deterministically
integrated in a monolithic microlens which is precisely coupled to the core of an
optical fiber via active optical alignment and epoxide adhesive bonding. The
rigidly coupled fiber-emitter assembly is integrated in a compact Stirling
cryocooler with a base temperature of 35 K. We benchmark our practical quantum
device via photon auto-correlation measurements revealing g(2)(0) = 0.07 +/- 0.05
under continuous-wave excitation and we demonstrate triggered non-classical light
at a repetition rate of 80 MHz. The long-term stability of our quantum light
source is evaluated by endurance tests showing that the fiber-coupled quantum dot
emission is stable within 4% over several successive cool-down/warm-up cycles.
Additionally, we demonstrate non-classical photon emission for a user
intervention-free 100-hour test run and stable single-photon count rates up to
11.7 kHz with a standard deviation of 4%.
PMID- 29358584
TI - Nitrogen cycling during secondary succession in Atlantic Forest of Bahia, Brazil.
AB - Carbon accumulation in tropical secondary forests may be limited in part by
nitrogen (N) availability, but changes in N during tropical forest succession
have rarely been quantified. We explored N cycle dynamics across a chronosequence
of secondary tropical forests in the Mata Atlantica of Bahia, Brazil in order to
understand how quickly the N cycle recuperates. We hypothesized that N fixation
would decline over the course of succession as N availability and N gaseous
losses increased. We measured N fixation, KCl-extractable N, net mineralization
and nitrification, resin-strip sorbed N, gaseous N emissions and the soil
delta15N in stands that were 20, 35, 50, and > 50 years old. Contrary to our
initial hypothesis, we found no significant differences between stand ages in any
measured variable. Our findings suggest that secondary forests in this region of
the Atlantic forest reached pre-disturbance N cycling dynamics after just 20
years of succession. This result contrasts with previous study in the Amazon,
where the N cycle recovered slowly after abandonment from pasture reaching pre
disturbance N cycling levels after ~50 years of succession. Our results suggest
the pace of the N cycle, and perhaps tropical secondary forest, recovery, may
vary regionally.
PMID- 29358585
TI - Emergence of a substrate-temperature-dependent dielectric process in a
prototypical vapor deposited hole-transport glass.
AB - Since the discovery of ultrastability, vapor deposition has emerged as a relevant
tool to further understand the nature of glasses. By this route, the density and
average orientation of glasses can be tuned by selecting the proper deposition
conditions. Dielectric spectroscopy, on the other hand, is a basic technique to
study the properties of glasses at a molecular level, probing the dynamics of
dipoles or charge carriers. Here, and for the first time, we explore the
dielectric behavior of vapor deposited N,N-Diphenyl-N,N'bis(methylphenyl)-1,1'
biphenyl-4,4'-diamines (TPD), a prototypical hole-transport material, prepared at
different deposition temperatures. We report the emergence of a new relaxation
process which is not present in the ordinary glass. We associate this process to
the Maxwell-Wagner polarization observed in heterogeneous systems, and induced by
the enhanced mobility of charge carriers in the more ordered vapor deposited
glasses. Furthermore, the associated activation energy establishes a clear
distinction between two families of glasses, depending on the selected substrate
temperature range. This finding positions dielectric spectroscopy as a unique
tool to investigate the structural and electronic properties of charge transport
materials and remarks the importance of controlling the deposition conditions,
historically forgotten in the preparation of optoelectronic devices.
PMID- 29358586
TI - Hydroclimatic conditions trigger record harmful algal bloom in western Patagonia
(summer 2016).
AB - A harmful algal bloom (HAB) of the raphidophyta alga Pseudochattonella cf.
verruculosa during the 2016 austral summer (February-March) killed nearly 12% of
the Chilean salmon production, causing the worst mass mortality of fish and
shellfish ever recorded in the coastal waters of western Patagonia. The HAB
coincided with a strong El Nino event and the positive phase of the Southern
Annular Mode that altered the atmospheric circulation in southern South America
and the adjacent Pacific Ocean. This led to very dry conditions and higher than
normal solar radiation reaching the surface. Using time series of atmospheric,
hydrologic and oceanographic data we show here that an increase in surface water
temperature and reduced freshwater input resulted in a weakening of the vertical
stratification in the fjords and sounds of this region. This allowed the
advection of more saline and nutrient-rich waters, ultimately resulting in an
active harmful algal bloom in coastal southern Chile.
PMID- 29358587
TI - Gender-specific associations of skeletal muscle mass and arterial stiffness among
peritoneal dialysis patients.
AB - Decreased skeletal muscle has been identified as a relevant factor for arterial
stiffness but has not been thoroughly investigated in peritoneal dialysis (PD)
patients. The aim of this study was to investigate the relationship between
skeletal muscle and arterial stiffness in PD patients. A cross-sectional study of
658 prevalent PD patients with a mean brachial-ankle pulse wave velocity (baPWV)
of 1714 (+/-501) cm/s and mean skeletal muscle mass of 26.6 (+/-5.4) kg was
performed. Skeletal muscle mass level was significantly higher in males than in
females. When examining skeletal muscle mass as a continuous variable, skeletal
muscle mass was significantly associated with baPWV in fully adjusted linear
regression models in total patients [standardized coefficients (beta), -0.181;
95% confidence interval (95% CI), -0.276 to -0.056; P = 0.003] or female patients
(beta, -0.119; 95% CI, -0.350 to -0.015; P = 0.03) but not in male patients
(beta, -0.117; 95% CI, -0.300 to 0.011; P = 0.07). Furthermore, in females, a
significant association between the middle or highest tertile of skeletal muscle
mass and baPWV was found in fully adjusted models (beta, -0.123; 95% CI, -0.204
to -0.008; P = 0.03; beta, -0.140; 95% CI, -0.228 to -0.016; P = 0.02,
respectively). In conclusion, decreased skeletal muscle mass was independently
associated with increased baPWV in PD patients, and this association was
significant in females but not in males.
PMID- 29358588
TI - Enhanced Ductility of PEEK thin film with self-assembled fibre-like crystals.
AB - Poly Ether Ether Ketone (PEEK) is a high temperature polymer material known for
its excellent chemical resistance, high strength and toughness. As a semi
crystalline polymer, PEEK can become very brittle during long crystallisation
times and temperatures helped as well by its high content of rigid benzene rings
within its chemical structure. This paper presents a simple quench
crystallization method for preparation of PEEK thin films with the formation of a
novel fibre-like crystal structure on the surface of the films. These quenched
crystallised films show higher elongation at break when compared with
conventional melt crystallised thin films incorporating spherulitic crystals,
while the tensile strength of both types of films (quenched crystallised and
conventional melt) remained the same. The fracture analysis carried out using
microscopy revealed an interesting microstructure which evolves as a function of
annealing time. Based on these results, a crystal growth mechanism describing the
development of the fibre-like crystals on the surface of the quenched
crystallised films is proposed.
PMID- 29358589
TI - GGA2 interacts with EGFR cytoplasmic domain to stabilize the receptor expression
and promote cell growth.
AB - Epidermal growth factor receptor (EGFR) signaling and its downregulation upon
ligand binding have been extensively documented. However, the mechanisms by which
cells maintain steady-state EGFR expression remain poorly understood. Here, we
report a novel role of Golgi-localized, gamma-adaptin ear-containing, ADP
ribosylation factor-binding protein 2 (GGA2) in the control of EGFR turnover.
Whereas GGA1- or GGA3-depletion increased EGFR expression, GGA2-depletion by RNAi
greatly reduced steady-state expression of EGFR, reflecting enhanced lysosomal
degradation of EGFR. Subsequent pull-down assays showed interactions of VHS-GAT
domains from three GGAs with the cytoplasmic juxtamembrane region (jxt) of EGFR,
which was dependent on N108 in the VHS domain. Proximity ligation assay also
revealed the steady-state interaction between GGA2 and EGFR in situ. Moreover,
reduced expression of EGFR in GGA2-depleted cells was reversed by additional
depletion of GGA1 or GGA3, suggesting that GGA1 and GGA3 promote EGFR
degradation. In addition, GGA2-depleted cells had reduced EGF signaling and cell
proliferation in cell culture and xenograft experiments. Finally, GGA2 was
upregulated in 30.8% of human hepatocellular carcinomas and 23.3% of colorectal
cancers. Together, these results indicate that GGA2 supports cell growth by
interacting with EGFR for sustaining the receptor expression.
PMID- 29358590
TI - New Insight into Mixing Fluoride and Chloride in Bioactive Silicate Glasses.
AB - Adding fluoride into bioactive glasses leads to fluorapatite formation and a
decrease in glass transition temperature. Recently, chloride has been introduced
into glasses as an alternative to fluoride. The presence of the large chloride
ion lowers glass crystallisation tendency and increases glass molar volume, which
effectively facilitates glass degradation and bone-bonding apatite-like layer
formation. However, there is no information regarding the effect of mixing
fluoride and chloride on the glass structure and properties. This study aims to
synthesize mixed fluoride and chloride containing bioactive glasses; investigate
the structural role of fluoride and chloride and their effects on glass
properties. The chloride content measurements reveal that 77-90% of chloride was
retained in these Q2 type glasses. Glass transition temperature reduced markedly
with an increase in CaX2 (X = F + Cl) content, while the glass molar volume
increased. 29Si MAS-NMR results show that the incorporation of mixed fluoride and
chloride did not cause significant change in the polymerization of the silicate
network and no detectable concentration of Si-F/Cl bands were present. This
agrees with 19F NMR spectra showing that F existed as F-Ca(n) species.
PMID- 29358591
TI - Grazing and climate effects on soil organic carbon concentration and particle
size association in northern grasslands.
AB - Grasslands cover more than 40% of the terrestrial surface of Earth and provide a
range of ecological goods and services, including serving as one of the largest
reservoirs for terrestrial carbon. An understanding of how livestock grazing,
influences grassland soil organic carbon (SOC), including its concentration,
vertical distribution and association among soil-particle sizes is unclear. We
quantified SOC concentrations in the upper 30 cm of mineral soil, together with
SOC particle-size association, within 108 pairs of long-term grazed and non
grazed grassland study sites spanning six distinct climate subregions across a
5.7 M ha area of Alberta, Canada. Moderate grazing enhanced SOC concentration by
12% in the upper 15 cm of soil. Moreover, SOC concentrations in mineral layers
were associated with regional climate, such that SOC increased from dry to mesic
subregions. Our results also indicate that C concentrations in each of 2000-250,
250-53, < 53 MUm soil particle-size fractions were consistent with total SOC
concentrations, increasing from semi-arid to more mesic subregions. We conclude
that long-term livestock grazing may enhance SOC concentrations in shallow
mineral soil and affirm that climate rather than grazing is the key modulator of
soil C storage across northern grasslands.
PMID- 29358592
TI - Aversive gustatory learning and perception in honey bees.
AB - Taste perception allows discriminating edible from non-edible items and is
crucial for survival. In the honey bee, the gustatory sense has remained largely
unexplored, as tastants have been traditionally used as reinforcements rather
than as stimuli to be learned and discriminated. Here we provide the first
characterization of antennal gustatory perception in this insect using a novel
conditioning protocol in which tastants are dissociated from their traditional
food-reinforcement role to be learned as predictors of punishment. We found that
bees have a limited gustatory repertoire via their antennae: they discriminate
between broad gustatory modalities but not within modalities, and are unable to
differentiate bitter substances from water. Coupling gustatory conditioning with
blockade of aminergic pathways in the bee brain revealed that these pathways are
not restricted to encode reinforcements but may also encode conditioned stimuli.
Our results reveal unknown aspects of honey bee gustation, and bring new elements
for comparative analyses of gustatory perception in animals.
PMID- 29358593
TI - Defining the Akt1 interactome and its role in regulating the cell cycle.
AB - Cell growth and proliferation are two diverse processes yet always linked. Akt1,
a serine/threonine kinase, is a multi-functional protein implicated in regulation
of cell growth, survival and proliferation. Though it has a role in G1/S
progression, the manner by which Akt1 controls cell cycle and blends cell growth
with proliferation is not well explored. In this study, we characterize the Akt1
interactome as the cell cycle progresses from G0 to G1/S and G2 phase. For this,
Akt1-overexpressing HEK293 cells were subjected to AP-MS. To distinguish between
individual cell cycle stages, cells were cultured in the light, medium and heavy
labelled SILAC media. We obtained 213 interacting partners of Akt1 from these
studies. GO classification revealed that a significant number of proteins fall
into functional classes related to cell growth or cell cycle processes. Of these,
32 proteins showed varying association with Akt1 in different cell cycle stages.
Further analyses uncovered a subset of proteins showing counteracting effects so
as to tune stage-specific progression through the cycle. Thus, our study provides
some novel perspectives on Akt1-mediated regulation of the cell cycle and offers
the framework for a detailed resolution of the downstream cellular mechanisms
that are mediated by this kinase.
PMID- 29358594
TI - Intra-retinal Arterial Cannulation using a Microneedle for Central Retinal Artery
Occlusion.
AB - Central retinal artery occlusion (CRAO) is a severe disease, often causing
blindness. We evaluated the efficacy and safety of a surgical procedure for the
treatment of acute CRAO in which retinal arterial cannulation with tissue
plasminogen activator (tPA) is performed. The surgical procedure consisted of
vitrectomy followed by cannulation of the central retinal artery and injection of
tPA (200 MUg) using a 47-gauge microneedle. Thirteen CRAO patients were treated
within 48 hours of the onset of symptoms. The central retinal artery of all 13
eyes was successfully cannulated. The mean interval between the onset of symptoms
and surgery was 38.7 hours. The results for all 13 eyes treated showed a
statistically significant improvement in mean visual acuity between before and
one month after treatment (-1.60 vs. -0.82 logarithmic values for minimum angle
resolution (LogMAR), p = 0.0021). Fluorescein angiography showed complete
reperfusion and incomplete reperfusion in 10 eyes and 3 eyes, respectively.
Recently developed surgical instruments have made retinal-arterial cannulation
feasible. Intra-retinal-arterial cannulation has potential as a method of
improving visual function and microcirculation in eyes affected by CRAO.
PMID- 29358596
TI - Tunable band-gap structure and gap solitons in the generalized Gross-Pitaevskii
equation with a periodic potential.
AB - The tunable band-gap structure is fundamentally important in the dynamics of both
linear and nonlinear modes trapped in a lattice because Bloch modes can only
exist in the bands of the periodic system and nonlinear modes associating with
them are usually confined to the gaps. We reveal that when a momentum operator is
introduced into the Gross-Pitaevskii equation (GPE), the bandgap spectra of the
periodic system can be shifted upward parabolically by the growth of the constant
momentum coefficient. During this process, the band edges become asymmetric, in
sharp contrast to the standard GPE with an external periodic potential. Extended
complex Bloch modes with asymmetric profiles can be derived by applying a phase
transformation to the symmetric profiles. We find that the inherent parity-time
symmetry of the complex system is never broken with increasing momentum
coefficient. Under repulsive interactions, solitons with different numbers of
peaks bifurcating from the band edges are found in finite gaps. We also address
the existence of embedded solitons in the generalized two-dimensional GPE. Linear
stability analysis corroborated by direct evolution simulations demonstrates that
multi-peaked solitons are almost completely stable in their entire existence
domains.
PMID- 29358595
TI - An Injectable Oxygen Release System to Augment Cell Survival and Promote Cardiac
Repair Following Myocardial Infarction.
AB - Oxygen deficiency after myocardial infarction (MI) leads to massive cardiac cell
death. Protection of cardiac cells and promotion of cardiac repair are key
therapeutic goals. These goals may be achieved by re-introducing oxygen into the
infarcted area. Yet current systemic oxygen delivery approaches cannot
efficiently diffuse oxygen into the infarcted area that has extremely low blood
flow. In this work, we developed a new oxygen delivery system that can be
delivered specifically to the infarcted tissue, and continuously release oxygen
to protect the cardiac cells. The system was based on a thermosensitive,
injectable and fast gelation hydrogel, and oxygen releasing microspheres. The
fast gelation hydrogel was used to increase microsphere retention in the heart
tissue. The system was able to continuously release oxygen for 4 weeks. The
released oxygen significantly increased survival of cardiac cells under the
hypoxic condition (1% O2) mimicking that of the infarcted hearts. It also reduced
myofibroblast formation under hypoxic condition (1% O2). After implanting into
infarcted hearts for 4 weeks, the released oxygen significantly augmented cell
survival, decreased macrophage density, reduced collagen deposition and
myofibroblast density, and stimulated tissue angiogenesis, leading to a
significant increase in cardiac function.
PMID- 29358597
TI - Mitochondrial genome diversity and population structure of two western honey bee
subspecies in the Republic of South Africa.
AB - Apis mellifera capensis Eschscholtz and A.m. scutellata Lepeletier are subspecies
of western honey bees that are indigenous to the Republic of South Africa (RSA).
Both subspecies have invasive potential and are organisms of concern for areas
outside their native range, though they are important bees to beekeepers,
agriculture, and the environment where they are native. The aim of the present
study was to examine genetic differentiation among these subspecies and estimate
their phylogenetic relationships using complete mitochondrial genomes sequences.
We used 25 individuals that were either assigned to one of the subspecies or
designated hybrids using morphometric analyses. Phylogenetic analyses of
mitogenome sequences by maximum likelihood (ML) and Bayesian inference identified
a monophyletic RSA clade, subdivided into two clades. A haplotype network was
consistent with the phylogenetic trees. However, members of both subspecies
occurred in both clades, indicating that A.m. capensis and A.m. scutellata are
neither reciprocally monophyletic nor do they exhibit paraphyly with one
subspecies nested within the other subspecies. Furthermore, no mitogenomic
features were diagnostic to either subspecies. All bees analyzed from the RSA
expressed a substantial level of haplotype diversity (most samples had unique
haplotypes) but limited nucleotide diversity. The number of variable codons
across protein-coding genes (PCGs) differed among loci, with CO3 exhibiting the
most variation and ATP6 the least.
PMID- 29358598
TI - Spin orbit torques induced magnetization reversal through asymmetric domain wall
propagation in Ta/CoFeB/MgO structures.
AB - The magnetization reversal induced by spin orbit torques in the presence of
Dzyaloshinskii-Moriya interaction (DMI) in perpendicularly magnetized
Ta/CoFeB/MgO structures were investigated by using a combination of Anomalous
Hall effect measurement and Kerr effect microscopy techniques. By analyzing the
in-plane field dependent spin torque efficiency measurements, an effective field
value for the DMI of ~300 Oe was obtained, which plays a key role to stabilize
Neel walls in the film stack. Kerr imaging reveals that the current-induced
reversal under small and medium in-plane field was mediated by domain nucleation
at the edge of the Hall bar, followed by asymmetric domain wall (DW) propagation.
However, as the in-plane field strength increases, an isotropic DW expansion was
observed before reaching complete reversal. Micromagnetic simulations of the DW
structure in the CoFeB layer suggest that the DW configuration under the combined
effect of the DMI and the external field is responsible for the various DW
propagation behaviors.
PMID- 29358599
TI - Specific Upregulation of a Cotton Phytoene Synthase Gene Produces Golden
Cottonseeds with Enhanced Provitamin A.
AB - Provitamin A (PVA) bio-fortification of crops offers a sustainable strategy to
prevent the prevalence of vitamin A deficiency (VAD), one of the world's major
public health problems. The present work aimed to enhance PVA accumulation in
cottonseed, the main by-product in the production of cotton fibers and the third
largest source of edible plant oil in the world. On the basis of comprehensive
identification of carotenoid synthase genes and their expression levels in
various cotton tissues, we selected phytoene synthase as the target for
manipulating carotenoid biosynthesis in the developing cottonseeds. After
functional verification in transgenic tobacco, a cotton phytoene synthase gene
(GhPSY2D) driven by a seed-specific promoter was transformed into cotton. The
transgenic cottonseeds showed golden appearance and contained over 6-fold higher
carotenoid contents in the extracted oil than the non-transgenic control. Thin
layer chromatograph analysis indicated that the main PVA carotenoid beta-carotene
was predominant in the transgenic cottonseeds, but undetectable in the wild-type
control. By simultaneously providing economically valuable fibers and edible
oils, the transgenic cottons bio-fortified with beta-carotene in seeds may be a
new powerful tool against VAD in low-income regions.
PMID- 29358600
TI - Cost-utility of allogeneic hematopoietic stem cell transplantation in Norway.
PMID- 29358601
TI - Syngeneic hematopoietic stem cell transplantation from HTLV-1 seropositive twin
for adult T-cell leukemia-lymphoma.
PMID- 29358602
TI - Influence of gestational weight gain on low birth weight in short-statured South
Indian pregnant women.
AB - BACKGROUND/OBJECTIVES: India contributes to one-third of the global burden of low
birth weight (LBW) neonates, which is associated with increased risk of mortality
and adverse consequences on long-term health. Factors leading to LBW are
multidimensional and maternal short stature is an important component with an
inter-generational effect. On the contrary gestational weight gain (GWG) shows an
independent positive influence on birth weight. The aim of the present study
therefore was to determine the influence of GWG on birth weight in short pregnant
women. SUBJECTS/METHODS: A prospective observational cohort of 1254 pregnant
women was studied. Total, second and third trimester GWG per week were computed.
Women were divided into two groups, "short" and "not-short", using a cut off of
152 cm that corresponded to the 25th percentile for height in the cohort.
Association of tertiles of GWG with LBW was examined using log binomial
regression analysis. RESULTS: "Short" women in highest tertile of total GWG had a
significantly reduced adjusted relative risk (ARR 0.37, 95% confidence interval
0.16-0.83, P = 0.016) for LBW, compared to the lowest tertile. However, there was
no significant increase in risk for cesarean section (CS) with increasing
tertiles of total GWG. CONCLUSIONS: In women with height <152 cm a significant
reduced risk for LBW was observed with the greatest total GWG, without a
significant increase in the risk for CS. This suggests that improving GWG in
short women may be beneficial for the birth weight of the offspring.
PMID- 29358603
TI - Status and determinants of intra-household food allocation in rural Nepal.
AB - BACKGROUND/OBJECTIVES: Understanding of the patterns and predictors of intra
household food allocation could enable nutrition programmes to better target
nutritionally vulnerable individuals. This study aims to characterise the status
and determinants of intra-household food and nutrient allocation in Nepal.
SUBJECTS/METHODS: Pregnant women, their mothers-in-law and male household heads
from Dhanusha and Mahottari districts in Nepal responded to 24-h dietary recalls,
thrice repeated on non-consecutive days (n = 150 households; 1278 individual
recalls). Intra-household inequity was measured using ratios between household
members in food intakes (food shares); food-energy intake proportions ('food
shares-to-energy shares', FS:ES); calorie-requirement proportions ('relative
dietary energy adequacy ratios', RDEARs) and mean probability of adequacy for 11
micronutrients (MPA ratios). Hypothesised determinants were collected during the
recalls, and their associations with the outcomes were tested using multivariable
mixed-effects linear regression models. RESULTS: Women's diets (pregnant women
and mothers-in-law) consisted of larger FS:ES of starchy foods, pulses, fruits
and vegetables than male household heads, whereas men had larger FS:ES of animal
source foods. Pregnant women had the lowest MPA (37%) followed by their mothers
in-law (52%), and male household heads (57%). RDEARs between pregnant women and
household heads were 31% higher (log-RDEAR coeff=0.27 (95% CI 0.12, 0.42), P <
0.001) when pregnant women earned more or the same as their spouse, and log-MPA
ratios between pregnant women and mothers-in-law were positively associated with
household-level calorie intakes (coeff=0.43 (0.23, 0.63), P < 0.001, per 1000
kcal). CONCLUSIONS: Pregnant women receive inequitably lower shares of food and
nutrients, but this could be improved by increasing pregnant women's cash
earnings and household food security.
PMID- 29358604
TI - Southern Ocean warming and Wilkes Land ice sheet retreat during the mid-Miocene.
AB - Observations and model experiments highlight the importance of ocean heat in
forcing ice sheet retreat during the present and geological past, but past ocean
temperature data are virtually missing in ice sheet proximal locations. Here we
document paleoceanographic conditions and the (in)stability of the Wilkes Land
subglacial basin (East Antarctica) during the mid-Miocene (~17-13.4 million years
ago) by studying sediment cores from offshore Adelie Coast. Inland retreat of the
ice sheet, temperate vegetation, and warm oligotrophic waters characterise the
mid-Miocene Climatic Optimum (MCO; 17-14.8 Ma). After the MCO, expansion of a
marine-based ice sheet occurs, but remains sensitive to melting upon episodic
warm water incursions. Our results suggest that the mid-Miocene latitudinal
temperature gradient across the Southern Ocean never resembled that of the
present day. We demonstrate that a strong coupling of oceanic climate and
Antarctic continental conditions existed and that the East Antarctic subglacial
basins were highly sensitive to ocean warming.
PMID- 29358605
TI - Molecular fossils from organically preserved Ediacara biota reveal cyanobacterial
origin for Beltanelliformis.
AB - The Ediacara biota (~575-541 million years ago) mark the emergence of large,
complex organisms in the palaeontological record, preluding the radiation of
modern animal phyla. However, their phylogenetic relationships, even at the
domain level, remain controversial. We report the discovery of molecular fossils
from organically preserved specimens of Beltanelliformis, demonstrating that they
represent large spherical colonies of cyanobacteria. The conservation of
molecular remains in organically preserved Ediacaran organisms opens a new path
for unravelling the natures of the Ediacara biota.
PMID- 29358606
TI - Hook innovation boosts foraging efficiency in tool-using crows.
AB - The New Caledonian crow is the only non-human animal known to craft hooked tools
in the wild, but the ecological benefit of these relatively complex tools remains
unknown. Here, we show that crows acquire food several times faster when using
hooked rather than non-hooked tools, regardless of tool material, prey type and
extraction context. This implies that small changes to tool shape can strongly
affect energy-intake rates, highlighting a powerful driver for technological
advancement.
PMID- 29358607
TI - Community proteogenomics reveals the systemic impact of phosphorus availability
on microbial functions in tropical soil.
AB - Phosphorus is a scarce nutrient in many tropical ecosystems, yet how soil
microbial communities cope with growth-limiting phosphorus deficiency at the gene
and protein levels remains unknown. Here, we report a metagenomic and
metaproteomic comparison of microbial communities in phosphorus-deficient and
phosphorus-rich soils in a 17-year fertilization experiment in a tropical forest.
The large-scale proteogenomics analyses provided extensive coverage of many
microbial functions and taxa in the complex soil communities. A greater than
fourfold increase in the gene abundance of 3-phytase was the strongest response
of soil communities to phosphorus deficiency. Phytase catalyses the release of
phosphate from phytate, the most recalcitrant phosphorus-containing compound in
soil organic matter. Genes and proteins for the degradation of phosphorus
containing nucleic acids and phospholipids, as well as the decomposition of
labile carbon and nitrogen, were also enhanced in the phosphorus-deficient soils.
In contrast, microbial communities in the phosphorus-rich soils showed increased
gene abundances for the degradation of recalcitrant aromatic compounds,
transformation of nitrogenous compounds and assimilation of sulfur. Overall,
these results demonstrate the adaptive allocation of genes and proteins in soil
microbial communities in response to shifting nutrient constraints.
PMID- 29358608
TI - Potentially dangerous consequences for biodiversity of solar geoengineering
implementation and termination.
AB - Solar geoengineering is receiving increased policy attention as a potential tool
to offset climate warming. While climate responses to geoengineering have been
studied in detail, the potential biodiversity consequences are largely unknown.
To avoid extinction, species must either adapt or move to track shifting
climates. Here, we assess the effects of the rapid implementation, continuation
and sudden termination of geoengineering on climate velocities-the speeds and
directions that species would need to move to track changes in climate. Compared
to a moderate climate change scenario (RCP4.5), rapid geoengineering
implementation reduces temperature velocities towards zero in terrestrial
biodiversity hotspots. In contrast, sudden termination increases both ocean and
land temperature velocities to unprecedented speeds (global medians >10 km yr-1)
that are more than double the temperature velocities for recent and future
climate change in global biodiversity hotspots. Furthermore, as climate
velocities more than double in speed, rapid climate fragmentation occurs in
biomes such as temperate grasslands and forests where temperature and
precipitation velocity vectors diverge spatially by >90 degrees . Rapid
geoengineering termination would significantly increase the threats to
biodiversity from climate change.
PMID- 29358609
TI - Biodiversity risks of climate control.
PMID- 29358610
TI - A southern African origin and cryptic structure in the highly mobile plains
zebra.
AB - The plains zebra (Equus quagga) is an ecologically important species of the
African savannah. It is also one of the most numerous and widely distributed
ungulates, and six subspecies have been described based on morphological
variation. However, the within-species evolutionary processes have been difficult
to resolve due to its high mobility and a lack of consensus regarding the
population structure. We obtained genome-wide DNA polymorphism data from more
than 167,000 loci for 59 plains zebras from across the species range,
encompassing all recognized extant subspecies, as well as three mountain zebras
(Equus zebra) and three Grevy's zebras (Equus grevyi). Surprisingly, the
population genetic structure does not mirror the morphology-based subspecies
delineation, underlining the dangers of basing management units exclusively on
morphological variation. We use demographic modelling to provide insights into
the past phylogeography of the species. The results identify a southern African
location as the most likely source region from which all extant populations
expanded around 370,000 years ago. We show evidence for inclusion of the extinct
and phenotypically divergent quagga (Equus quagga quagga) in the plains zebra
variation and reveal that it was less divergent from the other subspecies than
the northernmost (Ugandan) extant population.
PMID- 29358611
TI - Exome-wide analysis of mutational burden in patients with typical and atypical
Rolandic epilepsy.
AB - Rolandic epilepsy (RE) is the most common focal epilepsy in childhood. To date no
hypothesis-free exome-wide mutational screen has been conducted for RE and
atypical RE (ARE). Here we report on whole-exome sequencing of 194 unrelated
patients with RE/ARE and 567 ethnically matched population controls. We
identified an exome-wide significantly enriched burden for deleterious and loss
of-function variants only for the established RE/ARE gene GRIN2A. The statistical
significance of the enrichment disappeared after removing ARE patients. For
several disease-related gene-sets, an odds ratio >1 was detected for loss-of
function variants.
PMID- 29358612
TI - Whole-sequence analysis indicates that the Y chromosome C2*-Star Cluster traces
back to ordinary Mongols, rather than Genghis Khan.
AB - The Y-chromosome haplogroup C3*-Star Cluster (revised to C2*-ST in this study)
was proposed to be the Y-profile of Genghis Khan. Here, we re-examined the origin
of C2*-ST and its associations with Genghis Khan and Mongol populations. We
analyzed 34 Y-chromosome sequences of haplogroup C2*-ST and its most closely
related lineage. We redefined this paternal lineage as C2b1a3a1-F3796 and
generated a highly revised phylogenetic tree of the haplogroup, including 36 sub
lineages and 265 non-private Y-chromosome variants. We performed a comprehensive
analysis and age estimation of this lineage in eastern Eurasia, including 18,210
individuals from 292 populations. We discovered that the origin of populations
with high frequencies of C2*-ST can be traced to either an ancient Niru'un Mongol
clan or ordinary Mongol tribes. Importantly, the age of the most recent common
ancestor of C2*-ST (2576 years, 95% CI = 1975-3178) and its sub-lineages, and
their expansion patterns, are consistent with the diffusion of all Mongolic
speaking populations, rather than Genghis Khan himself or his close male
relatives. We concluded that haplogroup C2*-ST is one of the founder paternal
lineages of all Mongolic-speaking populations, and direct evidence of an
association between C2*-ST and Genghis Khan has yet to be discovered.
PMID- 29358613
TI - Key apoptotic genes APAF1 and CASP9 implicated in recurrent folate-resistant
neural tube defects.
AB - Neural tube defects (NTDs) remain one of the most serious birth defects, and
although genes in several pathways have been implicated as risk factors for
neural tube defects via knockout mouse models, very few molecular causes in
humans have been identified. Whole exome sequencing identified deleterious
variants in key apoptotic genes in two families with recurrent neural tube
defects. Functional studies in fibroblasts indicate that these variants are loss
of-function, as apoptosis is significantly reduced. This is the first report of
variants in apoptotic genes contributing to neural tube defect risk in humans.
PMID- 29358614
TI - Congenital diaphragmatic hernia as a part of Nance-Horan syndrome?
AB - Nance-Horan syndrome is a rare X-linked developmental disorder characterized by
bilateral congenital cataract, dental anomalies, facial dysmorphism, and
intellectual disability. Here, we identify a patient with Nance-Horan syndrome
caused by a new nonsense NHS variant. In addition, the patient presented
congenital diaphragmatic hernia. NHS gene expression in murine fetal diaphragm
was demonstrated, suggesting a possible involvement of NHS in diaphragm
development. Congenital diaphragmatic hernia could result from NHS loss of
function in pleuroperitoneal fold or in somites-derived muscle progenitor cells
leading to an impairment of their cells migration.
PMID- 29358615
TI - Neuromyopathy with congenital cataracts and glaucoma: a distinct syndrome caused
by POLG variants.
AB - We identified three non-related patients manifesting a childhood-onset
progressive neuromyopathy with congenital cataracts, delayed walking, distal
weakness and wasting, glaucoma and swallowing difficulties. Electrophysiology and
nerve biopsies showed a mixed axonal and demyelinating neuropathy, while muscle
biopsy disclosed both neurogenic and myopathic changes with ragged red fibers,
and muscle MRI showed consistent features across patients, with a peculiar
concentric disto-proximal gradient of fatty replacement. We used targeted next
generation sequencing and candidate gene approach to study these families.
Compound biallelic heterozygous variants, p.[(Pro648Arg)]; [(His932Tyr)] and
p.[(Thr251Ile),(Pro587Leu)]; [(Arg943Cys)], were found in the three patients
causing this homogeneous phenotype. Our report on a subset of unrelated patients,
that showed a distinct autosomal recessive childhood-onset neuromyopathy with
congenital cataracts and glaucoma, expands the clinical spectrum of POLG-related
disorders. It also confirms the association between cataracts and neuropathy with
variants in POLG. Early onset cataract is otherwise rare in POLG-related
disorders and so far reported only in a few patients with the clinical pattern of
distal myopathy or neuromyopathy.
PMID- 29358616
TI - Identification of 22q13 genes most likely to contribute to Phelan McDermid
syndrome.
AB - Chromosome 22q13.3 deletion (Phelan McDermid) syndrome (PMS) is a rare genetic
neurodevelopmental disorder resulting from deletions or other genetic variants on
distal 22q. Pathological variants of the SHANK3 gene have been identified, but
terminal chromosomal deletions including SHANK3 are most common. Terminal
deletions disrupt up to 108 protein-coding genes. The impact of these losses is
highly variable and includes both significantly impairing neurodevelopmental and
somatic manifestations. The current review combines two metrics, prevalence of
gene loss and predicted loss pathogenicity, to identify likely contributors to
phenotypic expression. These genes are grouped according to function as follows:
molecular signaling at glutamate synapses, phenotypes involving neuropsychiatric
disorders, involvement in multicellular organization, cerebellar development and
functioning, and mitochondrial. The likely most impactful genes are reviewed to
provide information for future clinical and translational investigations.
PMID- 29358617
TI - Ex Vivo Tracer Efficacy in Optical Imaging of Staphylococcus Aureus Nuclease
Activity.
AB - The key to effective treatment of bacterial infections is a swift and reliable
diagnosis. Current clinical standards of bacterial diagnosis are slow and
laborious. There are several anatomical imaging modalities that can detect
inflammation, but none can distinguish between bacterial and sterile
inflammation. Novel tracers such as smart activatable fluorescent probes
represent a promising development that allow fast and specific testing without
the use of ionizing radiation. Previously, a smart activatable probe was
developed that is a substrate for the micrococcal nuclease as produced by
Staphylococcus aureus. In the present study, the function of this probe was
validated. Practical applicability in terms of sensitivity was assessed by
incubation of the probe with 26 clinical S. aureus isolates, and probe
specificity was verified by incubation with 30 clinical isolates and laboratory
strains of various bacterial pathogens. The results show that the nuclease
specific probe was activated by all tested S. aureus isolates and laboratory
strains with a threshold of ~106-107 cells/mL. The probe was also activated by
certain opportunistic staphylococci. We therefore propose that the studied
nuclease probe represents a significant step forward to address the need for a
rapid, practical, and precise method to detect infections caused by S. aureus.
PMID- 29358618
TI - EZH2 mutations in chronic myelomonocytic leukemia cluster with ASXL1 mutations
and their co-occurrence is prognostically detrimental.
PMID- 29358619
TI - Novel therapeutic strategy for cervical cancer harboring FGFR3-TACC3 fusions.
AB - We previously found that therapeutic targetable fusions are detected across
various cancers. To identify therapeutic targetable fusion in uterine cervical
cancer, for which no effective gene targeted therapy has yet been clinically
applied, we analyzed RNA sequencing data from 306 cervical cancer samples. We
detected 445 high confidence fusion transcripts and identified four samples that
harbored FGFR3-TACC3 fusion as an attractive therapeutic target. The frequency of
FGFR3-TACC3-fusion-positive cervical cancer is also 1.9% (2/103) in an
independent cohort. Continuous expression of the FGFR3-TACC3 fusion transcript
and protein induced anchorage-independent growth in the cervical epithelial cell
line established from the ectocervix (Ect1/E6E7) but not in that from endocervix
(End1/E6E7). Injection of FGFR3-TACC3 fusion-transfected-Ect1/E6E7 cells
subcutaneously into NOG mice generated squamous cell carcinoma xenograft tumors,
suggesting the association between FGFR3-TACC3 fusion and squamous cell
carcinogenesis. Transfection of a FGFR3-TACC3 fusion transcript into four
cervical cancer cell lines (SiHa, ME180, HeLa, and Ca Ski) induced activation of
the MAPK pathway and enhancement of cell proliferation. Transcriptome analysis of
the FGFR3-TACC3 fusion-transfected cell lines revealed that an IL8-triggered
inflammatory response was increased, via activation of FGFR3-MAPK signaling.
Continuous expression of FGFR3-TACC3 fusion led to activation of the PI3K-AKT
pathway only in the two cell lines that harbored PIK3CA mutations. Sensitivity to
the FGFR inhibitor, BGJ398, was found to depend on PIK3CA mutation status. Dual
inhibition of both FGFR and AKT showed an obvious synergistic effect in cell
lines that harbor mutant PIK3CA. Additionally, TACC3 inhibitor, KHS101,
suppressed FGFR3-TACC3 fusion protein expression and showed antitumor effect
against FGFR3-TACC3 fusion-transfected cell lines. FGFR3-TACC3 fusion-positive
cancer has frequent genetic alterations of the PI3K/AKT pathway and selection of
appropriate treatment based on PI3K/AKT pathway status should be required.
PMID- 29358621
TI - Hollow nanostructures of metal oxides as next generation electrode materials for
supercapacitors.
AB - Hollow nanostructures of copper oxides help to stabilize appreciably higher
electrochemical characteristics than their solid counter parts of various
morphologies. The specific capacitance values, calculated using cyclic
voltammetry (CV) and charge-discharge (CD) studies, are found to be much higher
than the values reported in literature for copper oxide particles showing
intriguing morphologies or even composites with trendy systems like CNTs, rGO,
graphene, etc. The proposed cost-effective synthesis route makes these materials
industrially viable for application in alternative energy storage devices. The
improved electrochemical response can be attributed to effective access to the
higher number of redox sites that become available on the surface, as well as in
the cavity of the hollow particles. The ion transport channels also facilitate
efficient de-intercalation, which results in the enhancement of cyclability and
Coulombic efficiency. The charge storage mechanism in copper oxide structures is
also proposed in the paper.
PMID- 29358620
TI - The high molecular weight dipeptidyl peptidase IV Pol d 3 is a major allergen of
Polistes dominula venom.
AB - Hymenoptera venom allergy can cause severe anaphylaxis in untreated patients.
Polistes dominula is an important elicitor of venom allergy in Southern Europe as
well as in the United States. Due to its increased spreading to more moderate
climate zones, Polistes venom allergy is likely to gain importance also in these
areas. So far, only few allergens of Polistes dominula venom were identified as
basis for component-resolved diagnostics. Therefore, this study aimed to broaden
the available panel of important Polistes venom allergens. The 100 kDa allergen
Pol d 3 was identified by mass spectrometry and found to be a dipeptidyl
peptidase IV. Recombinantly produced Pol d 3 exhibited sIgE-reactivity with
approximately 66% of Polistes venom-sensitized patients. Moreover, its clinical
relevance was supported by the potent activation of basophils from allergic
patients. Cross-reactivity with the dipeptidyl peptidases IV from honeybee and
yellow jacket venom suggests the presence of exclusive as well as conserved IgE
epitopes. The obtained data suggest a pivotal role of Pol d 3 as sensitizing
component of Polistes venom, thus supporting its status as a major allergen of
clinical relevance. Therefore, Pol d 3 might become a key element for proper
diagnosis of Polistes venom allergy.
PMID- 29358622
TI - Effects of soil water and heat relationship under various snow cover during
freezing-thawing periods in Songnen Plain, China.
AB - In this study, the spatial variations of soil water and heat under bare land
(BL), natural snow (NS), compacted snow (CS) and thick snow (TS) treatments were
analyzed. The relationship curve between soil temperature and water content
conforms to the exponential filtering model, by means of the functional form of
the model, it was defined as soil water and heat relation function model. On this
basis, soil water and heat function models of 10, 20, 40, 60, 100, and 140 cm
were established. Finally, a spatial variation law of the relationship effect was
described based on analysising of the differences between the predicted and
measured results. During freezing period, the effects of external factors on soil
were hindered by snow cover. As the snow increased, the accuracy of the function
model gradually improved. During melting period, infiltration by snowmelt
affected the relationship between the soil temperature and moisture. With the
increasing of snow, the accuracy of the function models gradually decreased. The
relationship effects of soil water and heat increased with increasing depth
within the frozen zone. In contrast, below the frozen layer, the relationship of
soil water and heat was weaker, and the function models were less accurate.
PMID- 29358623
TI - Targeted reduction of the EGFR protein, but not inhibition of its kinase
activity, induces mitophagy and death of cancer cells through activation of
mTORC2 and Akt.
AB - The oncogenic epidermal growth factor receptor (EGFR) is commonly overexpressed
in solid cancers. The tyrosine kinase activity of EGFR has been a major
therapeutic target for cancer; however, the efficacy of EGFR tyrosine kinase
inhibitors to treat cancers has been challenged by innate and acquired resistance
at the clinic. Accumulating evidence suggests that EGFR possesses kinase
independent pro-survival functions, and that cancer cells are more vulnerable to
reduction of EGFR protein than to inhibition of its kinase activity. The
molecular mechanism underlying loss-of-EGFR-induced cell death remains largely
unknown. In this study, we show that, unlike inhibiting EGFR kinase activity that
is known to induce pro-survival non-selective autophagy, downregulating EGFR
protein, either by siRNA, or by a synthetic EGFR-downregulating peptide
(Herdegradin), kills prostate and ovarian cancer cells via selective mitophagy by
activating the mTORC2/Akt axis. Furthermore, Herdegradin induced mitophagy and
inhibited the growth of orthotopic ovarian cancers in mice. This study identifies
anti-mitophagy as a kinase-independent function of EGFR, reveals a novel function
of mTORC2/Akt axis in promoting mitophagy in cancer cells, and offers a novel
approach for pharmacological downregulation of EGFR protein as a potential
treatment for EGFR-positive cancers.
PMID- 29358624
TI - Long-acting injectable atovaquone nanomedicines for malaria prophylaxis.
AB - Chemoprophylaxis is currently the best available prevention from malaria, but its
efficacy is compromised by non-adherence to medication. Here we develop a long
acting injectable formulation of atovaquone solid drug nanoparticles that confers
long-lived prophylaxis against Plasmodium berghei ANKA malaria in C57BL/6 mice.
Protection is obtained at plasma concentrations above 200 ng ml-1 and is causal,
attributable to drug activity against liver stage parasites. Parasites that
appear after subtherapeutic doses remain atovaquone-sensitive. Pharmacokinetic
pharmacodynamic analysis indicates protection can translate to humans at
clinically achievable and safe drug concentrations, potentially offering
protection for at least 1 month after a single administration. These findings
support the use of long-acting injectable formulations as a new approach for
malaria prophylaxis in travellers and for malaria control in the field.
PMID- 29358625
TI - Understanding the effect of wet etching on damage resistance of surface
scratches.
AB - Fused silica optics often exhibit surface scratches after polishing that
radically reduce their damage resistance at the wavelength of 351 nm in the
nanosecond regime. Consequently, chemical treatments after polishing are often
used to increase the damage threshold and ensure a safe operation of these optics
in large fusion-scale laser facilities. Here, we investigate the reasons for such
an improvement. We study the effect of an HF-based wet etching on scratch
morphology and propose a simple analytic model to reflect scratch widening during
etching. We also use a finite element model to evaluate the effect of the
morphological modification induced by etching on the electric field distribution
in the vicinity of the scratch. We evidence that this improvement of the scratch
damage resistance is due to a reduction of the electric field enhancement. This
conclusion is supported by secondary electron microscopy (SEM) imaging of damage
sites initiated on scratches after chemical treatment.
PMID- 29358626
TI - Anti-Hermitian photodetector facilitating efficient subwavelength photon sorting.
AB - The ability to split an incident light beam into separate wavelength bands is
central to a diverse set of optical applications, including imaging, biosensing,
communication, photocatalysis, and photovoltaics. Entirely new opportunities are
currently emerging with the recently demonstrated possibility to spectrally split
light at a subwavelength scale with optical antennas. Unfortunately, such small
structures offer limited spectral control and are hard to exploit in
optoelectronic devices. Here, we overcome both challenges and demonstrate how
within a single-layer metafilm one can laterally sort photons of different
wavelengths below the free-space diffraction limit and extract a useful
photocurrent. This chipscale demonstration of anti-Hermitian coupling between
resonant photodetector elements also facilitates near-unity photon-sorting
efficiencies, near-unity absorption, and a narrow spectral response (~ 30 nm) for
the different wavelength channels. This work opens up entirely new design
paradigms for image sensors and energy harvesting systems in which the active
elements both sort and detect photons.
PMID- 29358627
TI - Predictability of Intraocular Lens Power Calculation for Cataract with
Keratoconus: A Multicenter Study.
AB - This study was aimed to assess the predictability of intraocular lens (IOL) power
calculation after cataract surgery for keratoconus. We retrospectively reviewed
the clinical charts of 102 eyes of 71 consecutive keratoconic patients who
developed cataract. We determined manifest spherical equivalent, prediction
errors, and absolute errors, 1 month postoperatively. The achieved refraction was
significantly more hyperopic than the targeted refraction, when keratometric
readings were used (p = 0.001). At 1 month, 36% and 63% of the eyes were within
+/-0.5 and +/-1.0 D, respectively, of the targeted correction. We found a
significant correlation between the prediction error and the mean keratometry
(Pearson correlation coefficient r =-0.545, p < 0.001). No vision-threatening
complications occurred in any case. The achieved refraction was significantly
more myopic than the targeted refraction, when total corneal refractive power was
used (p = 0.013). Phacoemulsification with IOL implantation appeared to be safe
and effective, and the accuracy was also good in mild keratoconus, but not in
severe keratoconus. It should be noted that that a large amount of hyperopic
shift occurred especially in advanced keratoconic patients, when keratometric
readings were used for IOL power calculation, and that a slight, but significant,
myopic shift occurred, when total corneal refractive power was used.
PMID- 29358628
TI - Characterization of Carbon-Contaminated B4C-Coated Optics after Chemically
Selective Cleaning with Low-Pressure RF Plasma.
AB - Boron carbide (B4C) is one of the few materials that is expected to be most
resilient with respect to the extremely high brilliance of the photon beam
generated by free electron lasers (FELs) and is thus of considerable interest for
optical applications in this field. However, as in the case of many other optics
operated at light source facilities, B4C-coated optics are subject to ubiquitous
carbon contaminations. Carbon contaminations represent a serious issue for the
operation of FEL beamlines due to severe reduction of photon flux, beam
coherence, creation of destructive interference, and scattering losses. A variety
of B4C cleaning technologies were developed at different laboratories with
varying success. We present a study regarding the low-pressure RF plasma cleaning
of carbon contaminated B4C test samples via inductively coupled O2/Ar, H2/Ar, and
pure O2 RF plasma produced following previous studies using the same ibss GV10x
downstream plasma source. Results regarding the chemistry, morphology as well as
other aspects of the B4C optical coating before and after the plasma cleaning are
reported. We conclude that among the above plasma processes only plasma based on
pure O2 feedstock gas exhibits the required chemical selectivity for maintaining
the integrity of the B4C optical coatings.
PMID- 29358631
TI - High geothermal heat flux in close proximity to the Northeast Greenland Ice
Stream.
AB - The Greenland ice sheet (GIS) is losing mass at an increasing rate due to surface
melt and flow acceleration in outlet glaciers. Currently, there is a large
disagreement between observed and simulated ice flow, which may arise from
inaccurate parameterization of basal motion, subglacial hydrology or geothermal
heat sources. Recently it was suggested that there may be a hidden heat source
beneath GIS caused by a higher than expected geothermal heat flux (GHF) from the
Earth's interior. Here we present the first direct measurements of GHF from
beneath a deep fjord basin in Northeast Greenland. Temperature and salinity time
series (2005-2015) in the deep stagnant basin water are used to quantify a GHF of
93 +/- 21 mW m-2 which confirm previous indirect estimated values below GIS. A
compilation of heat flux recordings from Greenland show the existence of
geothermal heat sources beneath GIS and could explain high glacial ice speed
areas such as the Northeast Greenland ice stream.
PMID- 29358630
TI - Core promoter information content correlates with optimal growth temperature.
AB - The subtle mechanisms by which protein-DNA interactions remain functional across
a wide range of temperatures are largely unknown. In this work, we manually
curated available information relating fully sequenced archaeal genomes with
organism growth temperatures. We built a motif that represents the core promoter
of each species and calculated its information content. We then studied the
relation between optimal growth temperature (OGT) and information content (IC) in
the promoter region.We found a positive correlation between G + C content and OGT
in tRNA regions and not in overall genome. Furthermore, we found that there is a
positive correlation between information content and optimal growth temperatures
in Archaea. This can't be explained by an increased C+G composition nor by other
obvious mechanisms. These findings suggest that increased information content
could produce a positive fitness in organisms living at high temperatures. We
suggest that molecular information theory may need to be adapted for
hyperthermophiles.
PMID- 29358632
TI - CCR5/CCL5 axis interaction promotes migratory and invasiveness of pancreatic
cancer cells.
AB - Pancreatic cancer (PC) is one of the deadliest cancers and remains a major
challenge due to its invasive and metastatic nature. Increased levels of CCR5 and
CCL5 have established indicators for disease status in various cancers, including
PC. However, their role in invasion and metastasis of PC is not known. Here we
conducted immunohistochemistry of PC tissues and found elevated epithelial
staining for CCR5 and CCL5 in metastatic PC tissues compared to non-neoplastic.
In vitro experiments, such as flow cytometry, immunofluorescence and western
blotting with human PC cell lines (AsPc-1, BxPc-3 and MIA PaCa-2), showed higher
expression levels of CCR5. The CCL5 activation of PC cells expressing CCR5
increased their invasive potential, while treatment with CCR5 inhibitor maraviroc
inhibited the CCL5 activation. CCL5 induced proliferation of PC cells was
mediated through F-actin polymerization, while there was marked reduction when
the cells were treated with maraviroc. The direct interaction of CCR5 with CCL5
was verified using a calcium mobilization assay. Taken together, our results
demonstrate that CCR5 and CCL5 are potential markers for metastatic PC cancer,
and their interaction leads to the increased PC cell invasion. Thus, blocking
CCR5/CCL5 axis might prove beneficial to prevent metastasis and provide a more
therapeutic strategy to control PC progression.
PMID- 29358633
TI - Sperm-borne phospholipase C zeta-1 ensures monospermic fertilization in mice.
AB - Sperm entry in mammalian oocytes triggers intracellular Ca2+ oscillations that
initiate resumption of the meiotic cell cycle and subsequent activations. Here,
we show that phospholipase C zeta 1 (PLCzeta1) is the long-sought sperm-borne
oocyte activation factor (SOAF). Plcz1 gene knockout (KO) mouse spermatozoa fail
to induce Ca2+ changes in intracytoplasmic sperm injection (ICSI). In contrast to
ICSI, Plcz1 KO spermatozoa induced atypical patterns of Ca2+ changes in normal
fertilizations, and most of the fertilized oocytes ceased development at the 1-2
cell stage because of oocyte activation failure or polyspermy. We further
discovered that both zona pellucida block to polyspermy (ZPBP) and plasma
membrane block to polyspermy (PMBP) were delayed in oocytes fertilized with Plcz1
KO spermatozoa. With the observation that polyspermy is rare in astacin-like
metalloendopeptidase (Astl) KO female oocytes that lack ZPBP, we conclude that
PMPB plays more critical role than ZPBP in vivo. Finally, we obtained healthy
pups from male mice carrying human infertile PLCZ1 mutation by single sperm ICSI
supplemented with Plcz1 mRNA injection. These results suggest that mammalian
spermatozoa have a primitive oocyte activation mechanism and that PLCzeta1 is a
SOAF that ensures oocyte activation steps for monospermic fertilization in
mammals.
PMID- 29358634
TI - Reversible 2D Supramolecular Organic Frameworks encompassing Viologen Cation
Radicals and CB[8].
AB - Reversible 2D supramolecular organic frameworks encompassing branched viologen
architectures and cucurbit[8]uril (CB[8]) were constructed and investigated. UV
vis investigation clearly indicates the formation and intermolecular dimerization
of monocation radicals and their encapsulation into the hydrophobic CB[8] cavity
which is further complemented by EPR (electron paramagnetic resonance)
spectroscopy. Particle size measurements by dynamic light scattering method
showed particle sizes in the range of several um indicating larger aggregates.
Zeta potential measurements suggested the instability of these particles and
their tendency to form aggregates. TEM (transmission electron microscope)
analysis further revealed the formation of supramolecular polymer (monocation
radical with cucurbit[8]uril) whose diameter were in the range of several um as
indicated by DLS measurements; however the oxidized form, i.e., the viologen
dication with cucurbit[8]uril showed dotted spots in the range of sub nanometer
level. The internal periodicities of the supramolecular polymers were analyzed by
SAXs (small angle X-ray scattering) measurements. Additionally, we have
demonstrated that these supramolecular organic frameworks can be depolymerized by
oxidation in air and again can be polymerized (intermolecular radical
dimerization) by reduction under inert atmosphere demonstrating that these
systems will be of broad interest.
PMID- 29358635
TI - Lambda-enhanced grey molasses on the D2 transition of Rubidium-87 atoms.
AB - Laser cooling based on dark states, i.e. states decoupled from light, has proven
to be effective to increase the phase-space density of cold trapped atoms. Dark
states cooling requires open atomic transitions, in contrast to the ordinary
laser cooling used for example in magneto-optical traps (MOTs), which operate on
closed atomic transitions. For alkali atoms, dark-states cooling is therefore
commonly operated on the D1 transition nS1/2 -> nP1/2. We show that, for 87Rb,
thanks to the large hyperfine structure separations the use of this transition is
not strictly necessary and that "quasi-dark state" cooling is efficient also on
the D2 line, 5S1/2 -> 5P3/2. We report temperatures as low as (4.0 +/- 0.3) MUK
and an increase of almost an order of magnitude in the phase space density with
respect to ordinary laser sub-Doppler cooling.
PMID- 29358638
TI - Giant photoeffect in proton transport through graphene membranes.
AB - Graphene has recently been shown to be permeable to thermal protons 1 , the
nuclei of hydrogen atoms, which sparked interest in its use as a proton
conducting membrane in relevant technologies1-4. However, the influence of light
on proton permeation remains unknown. Here we report that proton transport
through Pt-nanoparticle-decorated graphene can be enhanced strongly by
illuminating it with visible light. Using electrical measurements and mass
spectrometry, we find a photoresponsivity of ~104 A W-1, which translates into a
gain of ~104 protons per photon with response times in the microsecond range.
These characteristics are competitive with those of state-of-the-art
photodetectors that are based on electron transport using silicon and novel two
dimensional materials5-7. The photo-proton effect could be important for
graphene's envisaged use in fuel cells and hydrogen isotope separation. Our
observations may also be of interest for other applications such as light-induced
water splitting, photocatalysis and novel photodetectors.
PMID- 29358636
TI - Association between H-type Hypertension and Asymptomatic Extracranial Artery
Stenosis.
AB - Asymptomatic extracranial artery stenosis (ECAS) is a well-known risk factor for
stroke and H-type hypertension, which is defined as hypertension with
hyperhomocysteinemia, is associated with cardio-cerebrovascular diseases.
However, the impact of H-type hypertension on ECAS is mostly unknown. We designed
this study to investigate the association between H-type hypertension and
prevalence of ECAS. We included 2330 participants in this study and classified
them into four groups: the control group without hypertension or
hyperhomocysteinemia, isolated hypertension group, isolated hyperhomocysteinemia
group and H-type hypertension group. We measured the baseline plasma total
homocysteine levels and assessed ECAS by carotid duplex sonography twice at
baseline and during follow up. We used a Cox regression model to analyse the
association between H-type hypertension and ECAS. At baseline, 608 subjects
suffered from H-type hypertension. Within two years of follow-up, asymptomatic
ECAS occurred in 250 (10.73%) participants. After adjusting for relevant risk
factors, we found H-type hypertension to be an independent risk factor for
asymptomatic ECAS (relative risk (RR) 3.16, 95% confidence interval (95% CI) 2.00
5.00). Our findings provide direct evidence for the importance of H-type
hypertension in the occurrence of ECAS and as a potential therapeutic target for
carotid atherosclerosis.
PMID- 29358629
TI - Telomerecat: A ploidy-agnostic method for estimating telomere length from whole
genome sequencing data.
AB - Telomere length is a risk factor in disease and the dynamics of telomere length
are crucial to our understanding of cell replication and vitality. The
proliferation of whole genome sequencing represents an unprecedented opportunity
to glean new insights into telomere biology on a previously unimaginable scale.
To this end, a number of approaches for estimating telomere length from whole
genome sequencing data have been proposed. Here we present Telomerecat, a novel
approach to the estimation of telomere length. Previous methods have been
dependent on the number of telomeres present in a cell being known, which may be
problematic when analysing aneuploid cancer data and non-human samples.
Telomerecat is designed to be agnostic to the number of telomeres present, making
it suited for the purpose of estimating telomere length in cancer studies.
Telomerecat also accounts for interstitial telomeric reads and presents a novel
approach to dealing with sequencing errors. We show that Telomerecat performs
well at telomere length estimation when compared to leading experimental and
computational methods. Furthermore, we show that it detects expected patterns in
longitudinal data, repeated measurements, and cross-species comparisons. We also
apply the method to a cancer cell data, uncovering an interesting relationship
with the underlying telomerase genotype.
PMID- 29358639
TI - Manipulation of domain-wall solitons in bi- and trilayer graphene.
AB - Topological dislocations and stacking faults greatly affect the performance of
functional crystalline materials1-3. Layer-stacking domain walls (DWs) in
graphene alter its electronic properties and give rise to fascinating new physics
such as quantum valley Hall edge states4-10. Extensive efforts have been
dedicated to the engineering of dislocations to obtain materials with advanced
properties. However, the manipulation of individual dislocations to precisely
control the local structure and local properties of bulk material remains an
outstanding challenge. Here we report the manipulation of individual layer
stacking DWs in bi- and trilayer graphene by means of a local mechanical force
exerted by an atomic force microscope tip. We demonstrate experimentally the
capability to move, erase and split individual DWs as well as annihilate or
create closed-loop DWs. We further show that the DW motion is highly anisotropic,
offering a simple approach to create solitons with designed atomic structures.
Most artificially created DW structures are found to be stable at room
temperature.
PMID- 29358637
TI - Precision of a new ocular biometer in children and comparison with IOLMaster.
AB - To assess the repeatability and reproducibility of AL-Scan in agreement with
those by the IOLMaster in healthy children, two skilled operators measured ocular
parameters in 58 children. The parameters included keratometry (K) values,
anterior chamber depth (ACD), axial length (AL), central corneal thickness (CCT),
pupil diameter (PD), and corneal diameter (CD). The cohort comprised of 32 boys
and 26 girls. The AL-Scan measurements showed high repeatability, as the test
retest repeatability (TRT) values of AL, CCT, ACD, Kf, Ks, Km, CD, and PD were
0.09 mm, 5.1 MUm, 0.04 mm, 0.28 D, 0.24 D, 0.21 D, 0.39 mm, and 0.22 mm,
respectively. The within-subject coefficient of variation (CoV) was low (<0.35%)
and the intraclass correlation coefficients (ICC) of all parameters were >0.85.
The interobserver reproducibility was excellent with low values of TRT and ICC >
0.95. The CoV of AL, CCT, ACD, and K was <0.22%. The 95% limits of agreement
between the AL-Scan and the IOLMaster were narrow for all parameters except for
CD. The repeatability and reproducibility of the new biometer, Al-Scan, was
excellent for all parameters and can be routinely used in children to measure the
biometric values.
PMID- 29358642
TI - SiGe epitaxial memory for neuromorphic computing with reproducible high
performance based on engineered dislocations.
AB - Although several types of architecture combining memory cells and transistors
have been used to demonstrate artificial synaptic arrays, they usually present
limited scalability and high power consumption. Transistor-free analog switching
devices may overcome these limitations, yet the typical switching process they
rely on-formation of filaments in an amorphous medium-is not easily controlled
and hence hampers the spatial and temporal reproducibility of the performance.
Here, we demonstrate analog resistive switching devices that possess desired
characteristics for neuromorphic computing networks with minimal performance
variations using a single-crystalline SiGe layer epitaxially grown on Si as a
switching medium. Such epitaxial random access memories utilize threading
dislocations in SiGe to confine metal filaments in a defined, one-dimensional
channel. This confinement results in drastically enhanced switching uniformity
and long retention/high endurance with a high analog on/off ratio. Simulations
using the MNIST handwritten recognition data set prove that epitaxial random
access memories can operate with an online learning accuracy of 95.1%.
PMID- 29358643
TI - From window to solar cell and back.
PMID- 29358641
TI - An integrated multi-layer 3D-fabrication of PDA/RGD coated graphene loaded PCL
nanoscaffold for peripheral nerve restoration.
AB - As a conductive nanomaterial, graphene has huge potentials in nerve function
restoration by promoting electrical signal transduction and metabolic activities
with unique topological properties. Polydopamine (PDA) and arginylglycylaspartic
acid (RGD) can improve cell adhesion in tissue engineering. Here we report an
integrated 3D printing and layer-by-layer casting (LBLC) method in multi-layered
porous scaffold fabrication. The scaffold is composed of single-layered graphene
(SG) or multi-layered graphene (MG) and polycaprolactone (PCL). The electrically
conductive 3D graphene scaffold can significantly improve neural expression both
in vitro and in vivo. It promotes successful axonal regrowth and remyelination
after peripheral nerve injury. These findings implicate that graphene-based
nanotechnology have great potentials in peripheral nerve restoration in
preclinical and clinical application.
PMID- 29358644
TI - Tightening grip.
PMID- 29358640
TI - New functions of Semaphorin 3E and its receptor PlexinD1 during developing and
adult hippocampal formation.
AB - The development and maturation of cortical circuits relies on the coordinated
actions of long and short range axonal guidance cues. In this regard, the class 3
semaphorins and their receptors have been seen to be involved in the development
and maturation of the hippocampal connections. However, although the role of most
of their family members have been described, very few data about the
participation of Semaphorin 3E (Sema3E) and its receptor PlexinD1 during the
development and maturation of the entorhino-hippocampal (EH) connection are
available. In the present study, we focused on determining their roles both
during development and in adulthood. We determined a relevant role for
Sema3E/PlexinD1 in the layer-specific development of the EH connection. Indeed,
mice lacking Sema3E/PlexinD1 signalling showed aberrant layering of entorhinal
axons in the hippocampus during embryonic and perinatal stages. In addition,
absence of Sema3E/PlexinD1 signalling results in further changes in postnatal and
adult hippocampal formation, such as numerous misrouted ectopic mossy fibers.
More relevantly, we describe how subgranular cells express PlexinD1 and how the
absence of Sema3E induces a dysregulation of the proliferation of dentate gyrus
progenitors leading to the presence of ectopic cells in the molecular layer.
Lastly, Sema3E mutant mice displayed increased network excitability both in the
dentate gyrus and the hippocampus proper.
PMID- 29358645
TI - Thermochromic halide perovskite solar cells.
AB - Smart photovoltaic windows represent a promising green technology featuring
tunable transparency and electrical power generation under external stimuli to
control the light transmission and manage the solar energy. Here, we demonstrate
a thermochromic solar cell for smart photovoltaic window applications utilizing
the structural phase transitions in inorganic halide perovskite caesium lead
iodide/bromide. The solar cells undergo thermally-driven, moisture-mediated
reversible transitions between a transparent non-perovskite phase (81.7% visible
transparency) with low power output and a deeply coloured perovskite phase (35.4%
visible transparency) with high power output. The inorganic perovskites exhibit
tunable colours and transparencies, a peak device efficiency above 7%, and a
phase transition temperature as low as 105 degrees C. We demonstrate excellent
device stability over repeated phase transition cycles without colour fade or
performance degradation. The photovoltaic windows showing both photoactivity and
thermochromic features represent key stepping-stones for integration with
buildings, automobiles, information displays, and potentially many other
technologies.
PMID- 29358646
TI - Role of helical edge modes in the chiral quantum anomalous Hall state.
AB - Although indications are that a single chiral quantum anomalous Hall(QAH) edge
mode might have been experimentally detected. There have been very many recent
experiments which conjecture that a chiral QAH edge mode always materializes
along with a pair of quasi-helical quantum spin Hall (QSH) edge modes. In this
work we deal with a substantial 'What If?' question- in case the QSH edge modes,
from which these QAH edge modes evolve, are not topologically-protected then the
QAH edge modes wont be topologically-protected too and thus unfit for use in any
applications. Further, as a corollary one can also ask if the topological
protection of QSH edge modes does not carry over during the evolution process to
QAH edge modes then again our 'What if?' scenario becomes apparent. The 'how' of
the resolution of this 'What if?' conundrum is the main objective of our work. We
show in similar set-ups affected by disorder and inelastic scattering, transport
via trivial QAH edge mode leads to quantization of Hall resistance and not that
via topological QAH edge modes. This perhaps begs a substantial reinterpretation
of those experiments which purported to find signatures of chiral(topological)
QAH edge modes albeit in conjunction with quasi helical QSH edge modes.
PMID- 29358647
TI - Unanticipated functional diversity among the TatA-type components of the Tat
protein translocase.
AB - Twin-arginine translocation (Tat) systems transport folded proteins that harbor a
conserved arginine pair in their signal peptides. They assemble from hexahelical
TatC-type and single-spanning TatA-type proteins. Many Tat systems comprise two
functionally diverse, TatA-type proteins, denominated TatA and TatB. Some
bacteria in addition express TatE, which thus far has been characterized as a
functional surrogate of TatA. For the Tat system of Escherichia coli we
demonstrate here that different from TatA but rather like TatB, TatE contacts a
Tat signal peptide independently of the proton-motive force and restricts the
premature processing of a Tat signal peptide. Furthermore, TatE embarks at the
transmembrane helix five of TatC where it becomes so closely spaced to TatB that
both proteins can be covalently linked by a zero-space cross-linker. Our results
suggest that in addition to TatB and TatC, TatE is a further component of the Tat
substrate receptor complex. Consistent with TatE being an autonomous TatAB-type
protein, a bioinformatics analysis revealed a relatively broad distribution of
the tatE gene in bacterial phyla and highlighted unique protein sequence features
of TatE orthologs.
PMID- 29358648
TI - Four-week individual caging of male ICR mice alters body composition without
change in body mass.
AB - Understanding the physiological implications of caging conditions for mice is
crucial in improving the replicability and reliability of animal research.
Individual caging of mice is known to alter mouse psychology, such as triggering
depression-like symptoms in mice, suggesting that caging conditions could have
negative effects on mice. Therefore, we hypothesized that individual caging could
affect the physical composition of outbred mice. To investigate this, dual X-ray
absorptiometry (DXA) was used to compare the mass, bone mineral content (BMC),
bone mineral density (BMD), lean tissue percentage and fat tissue percentage
between group and individual caged mice. We also conducted open field test to
compare mouse activities in different caging conditions. Our results showed
significantly reduced BMD and lean tissue percentage and significantly increased
fat tissue percentage in individually-caged male mice. Furthermore, there were no
differences in body mass and activity between the grouped and individual mice,
suggesting that these physical alterations were not induced by group-related
activity. In this study, we conclude that individual caging could alter the body
composition of mice without affecting external morphology.
PMID- 29358650
TI - Pioneer factor Pax7 deploys a stable enhancer repertoire for specification of
cell fate.
AB - Pioneer transcription factors establish new cell-fate competence by triggering
chromatin remodeling. However, many features of pioneer action, such as their
kinetics and stability, remain poorly defined. Here, we show that Pax7, by
opening a unique repertoire of enhancers, is necessary and sufficient for
specification of one pituitary lineage. Pax7 binds its targeted enhancers
rapidly, but chromatin remodeling and gene activation are slower. Enhancers
opened by Pax7 show a loss of DNA methylation and acquire stable epigenetic
memory, as evidenced by binding of nonpioneer factors after Pax7 withdrawal. This
work shows that transient Pax7 expression is sufficient for stable specification
of cell identity.
PMID- 29358649
TI - Genome-wide analysis of multi- and extensively drug-resistant Mycobacterium
tuberculosis.
AB - To characterize the genetic determinants of resistance to antituberculosis drugs,
we performed a genome-wide association study (GWAS) of 6,465 Mycobacterium
tuberculosis clinical isolates from more than 30 countries. A GWAS approach
within a mixed-regression framework was followed by a phylogenetics-based test
for independent mutations. In addition to mutations in established and recently
described resistance-associated genes, novel mutations were discovered for
resistance to cycloserine, ethionamide and para-aminosalicylic acid. The capacity
to detect mutations associated with resistance to ethionamide, pyrazinamide,
capreomycin, cycloserine and para-aminosalicylic acid was enhanced by inclusion
of insertions and deletions. Odds ratios for mutations within candidate genes
were found to reflect levels of resistance. New epistatic relationships between
candidate drug-resistance-associated genes were identified. Findings also suggest
the involvement of efflux pumps (drrA and Rv2688c) in the emergence of
resistance. This study will inform the design of new diagnostic tests and
expedite the investigation of resistance and compensatory epistatic mechanisms.
PMID- 29358651
TI - Genomes of 13 domesticated and wild rice relatives highlight genetic
conservation, turnover and innovation across the genus Oryza.
AB - The genus Oryza is a model system for the study of molecular evolution over time
scales ranging from a few thousand to 15 million years. Using 13 reference
genomes spanning the Oryza species tree, we show that despite few large-scale
chromosomal rearrangements rapid species diversification is mirrored by lineage
specific emergence and turnover of many novel elements, including transposons,
and potential new coding and noncoding genes. Our study resolves controversial
areas of the Oryza phylogeny, showing a complex history of introgression among
different chromosomes in the young 'AA' subclade containing the two domesticated
species. This study highlights the prevalence of functionally coupled disease
resistance genes and identifies many new haplotypes of potential use for future
crop protection. Finally, this study marks a milestone in modern rice research
with the release of a complete long-read assembly of IR 8 'Miracle Rice', which
relieved famine and drove the Green Revolution in Asia 50 years ago.
PMID- 29358653
TI - Bph6 encodes an exocyst-localized protein and confers broad resistance to
planthoppers in rice.
AB - The brown planthopper (BPH) and white-backed planthopper (WBPH) are the most
destructive insect pests of rice, and they pose serious threats to rice
production throughout Asia. Thus, there are urgent needs to identify resistance
conferring genes and to breed planthopper-resistant rice varieties. Here we
report the map-based cloning and functional analysis of Bph6, a gene that confers
resistance to planthoppers in rice. Bph6 encodes a previously uncharacterized
protein that localizes to exocysts and interacts with the exocyst subunit
OsEXO70E1. Bph6 expression increases exocytosis and participates in cell wall
maintenance and reinforcement. A coordinated cytokinin, salicylic acid and
jasmonic acid signaling pathway is activated in Bph6-carrying plants, which
display broad resistance to all tested BPH biotypes and to WBPH without
sacrificing yield, as these plants were found to maintain a high level of
performance in a field that was heavily infested with BPH. Our results suggest
that a superior resistance gene that evolved long ago in a region where
planthoppers are found year round could be very valuable for controlling
agricultural insect pests.
PMID- 29358654
TI - Genetic determinants and epigenetic effects of pioneer-factor occupancy.
AB - Transcription factors (TFs) direct developmental transitions by binding to target
DNA sequences, influencing gene expression and establishing complex gene
regultory networks. To systematically determine the molecular components that
enable or constrain TF activity, we investigated the genomic occupancy of FOXA2,
GATA4 and OCT4 in several cell types. Despite their classification as pioneer
factors, all three TFs exhibit cell-type-specific binding, even when
supraphysiologically and ectopically expressed. However, FOXA2 and GATA4 can be
distinguished by low enrichment at loci that are highly occupied by these factors
in alternative cell types. We find that expression of additional cofactors
increases enrichment at a subset of these sites. Finally, FOXA2 occupancy and
changes to DNA accessibility can occur in G1-arrested cells, but subsequent loss
of DNA methylation requires DNA replication.
PMID- 29358652
TI - The sea lamprey germline genome provides insights into programmed genome
rearrangement and vertebrate evolution.
AB - The sea lamprey (Petromyzon marinus) serves as a comparative model for
reconstructing vertebrate evolution. To enable more informed analyses, we
developed a new assembly of the lamprey germline genome that integrates several
complementary data sets. Analysis of this highly contiguous (chromosome-scale)
assembly shows that both chromosomal and whole-genome duplications have played
significant roles in the evolution of ancestral vertebrate and lamprey genomes,
including chromosomes that carry the six lamprey HOX clusters. The assembly also
contains several hundred genes that are reproducibly eliminated from somatic
cells during early development in lamprey. Comparative analyses show that
gnathostome (mouse) homologs of these genes are frequently marked by polycomb
repressive complexes (PRCs) in embryonic stem cells, suggesting overlaps in the
regulatory logic of somatic DNA elimination and bivalent states that are
regulated by early embryonic PRCs. This new assembly will enhance diverse studies
that are informed by lampreys' unique biology and evolutionary/comparative
perspective.
PMID- 29358655
TI - PLZF inhibits proliferation and metastasis of gallbladder cancer by regulating
IFIT2.
AB - Gallbladder cancer (GBC) is a malignant cancer with very poor prognosis. Although
promyelocytic leukemia zinc-finger protein (PLZF) was reported to be deregulated
in numerous cancers and also relevant to clinical prognosis, its role in GBC
progression has been little known. In this study, we found PLZF expression was
decreased in GBC, correlating to advanced TNM stage, distant metastasis, and
shorter overall survival. Moreover, ectopic PLZF expression in GBC cells (NOZ and
GBC-SD) significantly reduced the cell proliferation, migration, and invasion.
Consistently, overexpression of PLZF in xenograft mice model could suppress tumor
growth and liver metastasis. Mechanical investigations verified PLZF could
regulate the expression of cell cycle arrest-associated gene p21 and epithelial
mesenchymal transition (EMT)-related genes (E-cadherin and N-cadherin) in GBC
cell lines. Importantly, PLZF remarkably increased the mRNA transcription of
interferon-induced protein with tetratricopeptide repeat 2 (IFIT2) by increasing
STAT1 protein level, a known factor involved in tumor progression. Furthermore,
ablation of IFIT2 in PLZF overexpression cells abrogated the tumor-suppressive
function of PLZF, at least partially, leading to impaired tumor growth and EMT
program. These studies indicated PLZF inhibited the proliferation and metastasis
via regulation of IFIT2. In conclusion, our study demonstrated PLZF could be a
promising tumor biomarker for GBC, and also be a potential therapeutic target.
PMID- 29358656
TI - Functional genomics study of acute heat stress response in the small yellow
follicles of layer-type chickens.
AB - This study investigated global gene and protein expression in the small yellow
follicle (SYF; 6-8 mm in diameter) tissues of chickens in response to acute heat
stress. Twelve 30-week-old layer-type hens were divided into four groups: control
hens were maintained at 25 degrees C while treatment hens were subjected to
acute heat stress at 36 degrees C for 4 h without recovery, with 2-h recovery,
and with 6-h recovery. SYFs were collected at each time point for mRNA and
protein analyses. A total of 176 genes and 93 distinct proteins with differential
expressions were identified, mainly associated with the molecular functions of
catalytic activity and binding. The upregulated expression of heat shock proteins
and peroxiredoxin family after acute heat stress is suggestive of responsive
machineries to protect cells from apoptosis and oxidative insults. In conclusion,
both the transcripts and proteins associated with apoptosis, stress response, and
antioxidative defense were upregulated in the SYFs of layer-type hens to
alleviate the detrimental effects by acute heat stress. However, the genomic
regulations of specific cell type in response to acute heat stress of SYFs
require further investigation.
PMID- 29358657
TI - Interaction between hippocampal-prefrontal plasticity and thalamic-prefrontal
activity.
AB - The prefrontal cortex integrates a variety of cognition-related inputs, either
unidirectional, e.g., from the hippocampal formation, or bidirectional, e.g.,
with the limbic thalamus. While the former is usually implicated in synaptic
plasticity, the latter is better known for regulating ongoing activity.
Interactions between these processes via prefrontal neurons are possibly
important for linking mnemonic and executive functions. Our work further
elucidates such dynamics using in vivo electrophysiology in rats. First, we
report that electrical pulses into CA1/subiculum trigger late-onset (>400 ms)
firing responses in the medial prefrontal cortex, which are increased after
induction of long-term potentiation. Then, we show these responses to be
attenuated by optogenetic control of the paraventricular/mediodorsal thalamic
area. This suggests that recruitment and plasticity of the hippocampal-prefrontal
pathway is partially related to the thalamic-prefrontal loop. When dysfunctional,
this interaction may contribute to cognitive deficits, psychotic symptoms, and
seizure generalization, which should motivate future studies combining
behavioural paradigms and long-range circuit assessment.
PMID- 29358658
TI - Establishment of an easy and straight forward heparinase protocol to analyse
circulating and myocardial tissue micro-RNA during coronary artery-bypass-graft
surgery.
AB - Coronary artery-bypass-graft (CABG) surgery is associated with myocardial damage
and increased blood concentrations of circulating microRNAs (miRNA). However,
whether and to what extent these miRNAs relate to cardiac tissue miRNA expression
have not yet been explored. Since plasma miRNA quantification in samples from
cardiopulmonary bypass (CPB) patients is severely hampered by heparin, we
established and validated successfully a protocol to reliably measure miRNA in 49
heparinized patients undergoing CABG so as to investigate the relationship
between circulating and right atrial miRNAs. Plasma and right atrial expression
of miR-1, miR-133a, miR-423-5p, and miR-499 were measured before and after CPB,
as well as miRNAs in plasma 24 h thereafter. All plasma miRNAs increased
significantly with surgery while cardiac tissue expression of only miR-133a (1.4
fold; p = 0.003) and miR-423-5p (1.3 fold; p = 0.025) increased as well. Right
atrial and plasma miR-133a expression correlated positively before CPB (r =
0.288, p = 0.045) but miR-499 expression inversely (r = -0.484, p = 0.0004).
There was a strong association between plasma miR-133a and miR-499 concentrations
and postoperative troponin I concentrations, the marker for myocardial damage.
Increased myocardial miR-133a and miR-423-5p expression together with unchanged
miR-1 and miR-499 expression might suggest active release of these miRNAs rather
than their origin from damaged cells.
PMID- 29358659
TI - Orientation of non-spherical protonated water clusters revealed by infrared
absorption dichroism.
AB - Infrared continuum bands that extend over a broad frequency range are a key
spectral signature of protonated water clusters. They are observed for many
membrane proteins that contain internal water molecules, but their microscopic
mechanism has remained unclear. Here we compute infrared spectra for protonated
and unprotonated water chains, discs, and droplets from ab initio molecular
dynamics simulations. The continuum bands of the protonated clusters exhibit
significant anisotropy for chains and discs, with increased absorption along the
direction of maximal cluster extension. We show that the continuum band arises
from the nuclei motion near the excess charge, with a long-ranged amplification
due to the electronic polarizability. Our experimental, polarization-resolved
light-dark difference spectrum of the light-driven proton pump bacteriorhodopsin
exhibits a pronounced dichroic continuum band. Our results suggest that the
protonated water cluster responsible for the continuum band of bacteriorhodopsin
is oriented perpendicularly to the membrane normal.
PMID- 29358660
TI - Tuning bandgap and surface wettability of NiFe2O4 driven by phase transition.
AB - Stress variation induced bandgap tuning and surface wettability switching of
spinel nickel ferrite (NiFe2O4, NFO) films were demonstrated and directly driven
by phase transition via a post-annealing process. Firstly, the as-deposited NFO
films showed hydrophilic surface with water contact angle (CA) value of 80 +/- 1
degrees . After post-annealing with designed temperatures ranged from 400 to 700
degrees C in air ambience for 1 hour, we observed that the crystal structure was
clearly improved from amorphous-like/ nanocrystalline to polycrystalline with
increasing post-annealing temperature and this phenomenon is attributed to the
improved crystallinity combined with relaxation of internal stress. Moreover,
super-hydrophilic surface (CA = 14 +/- 1 degrees ) was occurred due to the
remarkable grain structure transition. The surface wettability could be adjusted
from hydrophilicity to super-hydrophilicity by controlling grain morphology of
NFO films. Simultaneously, the saturation magnetization (Ms) values of NFO films
at room temperature increased up to 273 emu/cm3 accompanied with transitions of
the phase and grain structure. We also observed an exceptionally tunable bandgap
of NFO in the range between 1.78 and 2.72 eV under phase transition driving.
Meanwhile, our work demonstrates that direct grain morphology combined with the
stress tuning can strongly modulate the optical, surface and magnetic
characteristics in multifunctional NFO films.
PMID- 29358661
TI - Anthelmintic niclosamide suppresses transcription of BCR-ABL fusion oncogene via
disabling Sp1 and induces apoptosis in imatinib-resistant CML cells harboring
T315I mutant.
AB - Tyrosine kinase BCR-ABL fusion protein is the driver in patients with chronic
myeloid leukemia (CML). The gate-keeper mutation T315I is the most challenging
mutant due to its resistance to most tyrosine kinase inhibitors (TKIs). The third
generation TKI ponatinib is the only effective TKI to treat CML patients
harboring T315I-BCR-ABL mutation, but with high rate of major arterial thrombotic
events. Alternative strategies to specifically target T315I-BCR-ABL are needed
for the treatment of CML patients harboring such a mutation. Given that Sp1 is a
fundamental transcriptional factor to positively regulate WT-BCR-ABL fusion
oncogene, the purpose of this investigation was aimed at evaluating the anti
tumor activity and the underlying mechanism in terms of Sp1 regulational effect
on the transcription of T315I-BCR-ABL fusion oncogene. Like in WT-BCR-ABL, we
identified enrichment of Sp1 on the promoter of T315I-BCR-ABL fusion gene.
Treatment of WT- and T315I-BCR-ABL-expressing CML cells by niclosamide diminished
such an enrichment of Sp1, and decreased WT- and T315I-BCR-ABL transcription and
its downstream signaling molecules such as STAT5 and Akt. Further, niclosamide
significantly inhibited the proliferation and induced apoptosis through intrinsic
pathway. The in vivo efficacy validation of p-niclosamide, a water soluble
derivative of niclosamide, showed that p-niclosamide significantly inhibited the
tumor burden of nude mice subcutaneously bearing T315I-BCR-ABL-expressing CML
cells, and prolonged the survival of allografted leukemic mice harboring BaF3
T315I-BCR-ABL. We conclude that niclosamide is active against T315I-BCR-ABL
expressing cells, and may be a promising agent for CML patients regardless of
T315I mutation status.
PMID- 29358662
TI - Network of proteins, enzymes and genes linked to biomass degradation shared by
Trichoderma species.
AB - Understanding relationships between genes responsible for enzymatic hydrolysis of
cellulose and synergistic reactions is fundamental for improving biomass
biodegradation technologies. To reveal synergistic reactions, the transcriptome,
exoproteome, and enzymatic activities of extracts from Trichoderma harzianum,
Trichoderma reesei and Trichoderma atroviride under biodegradation conditions
were examined. This work revealed co-regulatory networks across carbohydrate
active enzyme (CAZy) genes and secreted proteins in extracts. A set of 80
proteins and respective genes that might correspond to a common system for
biodegradation from the studied species were evaluated to elucidate new co
regulated genes. Differences such as one unique base pair between fungal genomes
might influence enzyme-substrate binding sites and alter fungal gene expression
responses, explaining the enzymatic activities specific to each species observed
in the corresponding extracts. These differences are also responsible for the
different architectures observed in the co-expression networks.
PMID- 29358663
TI - Single crystal elasticity of natural topaz at high-temperatures.
AB - Topaz is an aluminosilicate mineral phase stable in the hydrothermally altered
pegmatitic rocks and also in subducted sedimentary lithologies. In nature, topaz
often exhibits solid solution between fluorine and hydrous end members. We
investigated elasticity of naturally occurring single crystal topaz
(Al2SiO4F1.42(OH)0.58) using Resonant Ultrasound Spectroscopy. We also explored
the temperature dependence of the full elastic constant tensor. We find that
among the various minerals stable in the Al2O3-SiO2-H2O ternary system, topaz
exhibits moderate elastic anisotropy. As a function of temperature, the sound
velocity of topaz decreases with [Formula: see text] and [Formula: see text]
being -3.10 and -2.30 * 10-4 km/s/K. The elasticity and sound velocity of topaz
also vary as a function of OH and F content. The effect of composition ([Formula:
see text]) on the velocity is equally important as that of the effect of
temperature. We also note that the Debye temperature ([Formula: see text]) of
topaz at room temperature condition is 910 K and decreases at higher temperature.
The Debye temperature shows positive correlation with density of the mineral
phases in the Al2O3-SiO2-H2O ternary system.
PMID- 29358664
TI - Delayed onset of autoreactive antibody production and M2-skewed macrophages
contribute to improved survival of TACI deficient MRL-Fas/Lpr mouse.
AB - Anti-B cell activating factor belonging to TNF-family (BAFF) antibody therapy is
indicated for the treatment of patients with active systemic lupus erythematosus
(SLE). We hypothesized that the BAFF receptor, transmembrane activator and
calcium-modulator and cyclophilin interactor (TACI) may be responsible for the
generation of antibody secreting plasma cells in SLE. To test this hypothesis, we
generated TACI deficient MRL-Fas/Lpr (LPR-TACI-/-) mouse. TACI deficiency
resulted in improved survival of MRL-Fas/Lpr mice and delayed production of anti
dsDNA and anti-SAM/RNP antibodies. There was also a delay in the onset of
proteinuria and the accumulation of IgG and inflammatory macrophages (Mphis) in
the glomeruli of young LPR-TACI-/- mice compared to wild-type mice. Underscoring
the role of TACI in influencing Mphi phenotype, the transfer of Mphis from 12
week-old LPR-TACI-/- mice to age-matched sick wild-type animals led to a decrease
in proteinuria and improvement in kidney pathology. The fact that, in LPR-TACI-/-
mouse a more pronounced delay was in IgM and IgG3 autoreactive antibody isotypes
and the kinetics of follicular helper T (Tfh) cell-development was comparable
between the littermates suggest a role for TACI in T cell-independent
autoantibody production in MRL-Fas/Lpr mouse prior to the onset of T cell
dependent antibody production.
PMID- 29358665
TI - Anaerobic methanotrophic communities thrive in deep submarine permafrost.
AB - Thawing submarine permafrost is a source of methane to the subsurface biosphere.
Methane oxidation in submarine permafrost sediments has been proposed, but the
responsible microorganisms remain uncharacterized. We analyzed archaeal
communities and identified distinct anaerobic methanotrophic assemblages of
marine and terrestrial origin (ANME-2a/b, ANME-2d) both in frozen and completely
thawed submarine permafrost sediments. Besides archaea potentially involved in
anaerobic oxidation of methane (AOM) we found a large diversity of archaea mainly
belonging to Bathyarchaeota, Thaumarchaeota, and Euryarchaeota. Methane
concentrations and delta13C-methane signatures distinguish horizons of potential
AOM coupled either to sulfate reduction in a sulfate-methane transition zone
(SMTZ) or to the reduction of other electron acceptors, such as iron, manganese
or nitrate. Analysis of functional marker genes (mcrA) and fluorescence in situ
hybridization (FISH) corroborate potential activity of AOM communities in
submarine permafrost sediments at low temperatures. Modeled potential AOM
consumes 72-100% of submarine permafrost methane and up to 1.2 Tg of carbon per
year for the total expected area of submarine permafrost. This is comparable with
AOM habitats such as cold seeps. We thus propose that AOM is active where
submarine permafrost thaws, which should be included in global methane budgets.
PMID- 29358666
TI - Inhibitory circuit gating of auditory critical-period plasticity.
AB - Cortical sensory maps are remodeled during early life to adapt to the surrounding
environment. Both sensory and contextual signals are important for induction of
this plasticity, but how these signals converge to sculpt developing
thalamocortical circuits remains largely unknown. Here we show that layer 1 (L1)
of primary auditory cortex (A1) is a key hub where neuromodulatory and
topographically organized thalamic inputs meet to tune the cortical layers below.
Inhibitory interneurons in L1 send narrowly descending projections to
differentially modulate thalamic drive to pyramidal and parvalbumin-expressing
(PV) cells in L4, creating brief windows of intracolumnar activation. Silencing
of L1 (but not VIP-expressing) cells abolishes map plasticity during the
tonotopic critical period. Developmental transitions in nicotinic acetylcholine
receptor (nAChR) sensitivity in these cells caused by Lynx1 protein can be
overridden to extend critical-period closure. Notably, thalamocortical maps in L1
are themselves stable, and serve as a scaffold for cortical plasticity throughout
life.
PMID- 29358667
TI - Rbm24, a target of p53, is necessary for proper expression of p53 and heart
development.
AB - Activation of p53-dependent apoptosis is critical for tumor suppression but
aberrant activation of p53 also leads to developmental defects and heart failure.
Here, we found that Rbm24 RNA-binding protein, a target of p53, regulates p53
mRNA translation. Mechanistically, we found that through binding to p53 mRNA and
interaction with translation initiation factor eIF4E, Rbm24 prevents eIF4E from
binding to p53 mRNA and inhibits the assembly of translation initiation complex.
Importantly, we showed that mice deficient in Rbm24 die in utero due to the
endocardial cushion defect in the heart at least in part due to aberrant
activation of p53-dependent apoptosis. We also showed that the heart
developmental defect in Rbm24-null mice can be partially rescued by p53
deficiency through decreased apoptosis in the heart. Together, we postulate that
the p53-Rbm24 loop is critical for the heart development and may be explored for
mitigating congenital heart diseases and heart failure.
PMID- 29358668
TI - eIF2alpha phosphorylation is pathognomonic for immunogenic cell death.
AB - The phosphorylation of eIF2alpha is essential for the endoplasmic reticulum (ER)
stress response, the formation of stress granules, as well as macroautophagy.
Several successful anticancer chemotherapeutics have the property to induce
immunogenic cell death (ICD), thereby causing anticancer immune responses. ICD is
accompanied by the translocation of calreticulin (CALR) from the ER lumen to the
plasma membrane, which facilitates the transfer of tumor-associated antigens to
dendritic cells. Here we systematically investigated the capacity of anticancer
chemotherapeutics to induce signs of ER stress. ICD inducers including
anthracyclines and agents that provoke tetraploidization were highly efficient in
enhancing the phosphorylation of eIF2alpha, yet failed to stimulate other signs
of ER stress including the transcriptional activation of activating transcription
factor 4 (ATF4), the alternative splicing of X-box binding protein 1 (XBP1s) mRNA
and the proteolytic cleavage of activating transcription factor 6 (ATF6) both in
vitro and in cancers established in mice. Systematic analyses of clinically used
anticancer chemotherapeutics revealed that only eIF2alpha phosphorylation, but
none of the other signs of ER stress, correlated with CALR exposure. eIF2alpha
phosphorylation induced by mitoxantrone, a prototype ICD-inducing anthracyline,
was mediated by eIF2alpha kinase-3 (EIF2AK3). Machine-learning approaches were
used to determine the physicochemical properties of drugs that induce ICD,
revealing that the sole ER stress response relevant to the algorithm is eIF2alpha
phosphorylation with its downstream consequences CALR exposure, stress granule
formation and autophagy induction. Importantly, this approach could reduce the
complexity of compound libraries to identify ICD inducers based on their
physicochemical and structural characteristics. In summary, it appears that
eIF2alpha phosphorylation constitutes a pathognomonic characteristic of ICD.
PMID- 29358669
TI - BRAP-2 promotes DNA damage induced germline apoptosis in C. elegans through the
regulation of SKN-1 and AKT-1.
AB - As part of the DNA damage response (DDR) network, the tumour suppressor Breast
cancer susceptibility gene 1 (BRCA1) is activated to facilitate DNA repair,
transcription and cell cycle control. BRC-1, the Caenorhabditis elegans ortholog
of BRCA1, has conserved function in DNA double strand break repair, wherein a
loss of brc-1 results in high levels of germline apoptosis. BRAP2/IMP was
initially identified as a BRCA1 associated binding protein and previously we have
shown that the C. elegans brap-2 deletion mutant experiences BRC-1 dependent
larval arrest when exposed to low concentrations of paraquat. Since BRC-1
function in the germline is conserved, we wanted to determine the role of BRAP-2
in DNA damage induced germline apoptosis in C. elegans. We examined levels of
germ cell death following DNA damage and found that brap-2(ok1492) mutants
display reduced levels of germline apoptosis when compared to the wild type, and
the loss of brap-2 significantly reduced germ cell death in brc-1 mutant animals.
We also found increased mRNA levels of skn-1 following DNA damage in brap-2
mutants and that skn-1 RNAi knockdown in brap-2;brc-1 double mutants and a loss
of pmk-1 mutation in brap-2 mutants increased apoptosis to wild type levels,
indicating that brap-2 promotion of cell survival requires PMK-1 and SKN-1. Since
mammalian BRAP2 has been shown to bind the AKT phosphatase PHLPP1/2, it suggests
that BRAP2 could be involved in the Insulin/Insulin-like growth factor Signaling
(IIS) pathway. We found that this interaction is conserved between the C. elegans
homologs and that a loss of akt-1 in brap-2 mutants increased germline apoptosis.
Thus in response to DNA damage, our findings suggest that BRAP-2 is required to
attenuate the pro-cell survival signals of AKT-1 and PMK-1/SKN-1 to promote DNA
damage induced germline apoptosis.
PMID- 29358670
TI - TLR3 Mediates Repair and Regeneration of Damaged Neonatal Heart through
Glycolysis Dependent YAP1 Regulated miR-152 Expression.
AB - The present study investigated whether TLR3 is required for neonatal heart repair
and regeneration following myocardial infarction (MI). TLR3 deficient neonatal
mice exhibited impaired cardiac functional recovery and a larger infarct size,
while wild type neonatal mice showed cardiac functional recovery and small
infarct size after MI. The data suggest that TLR3 is essential for the
regeneration and repair of damaged neonatal myocardium. In vitro treatment of
neonatal cardiomyocytes with a TLR3 ligand, Poly (I:C), significantly enhances
glycolytic metabolism, YAP1 activation and proliferation of cardiomyocytes which
were prevented by a glycolysis inhibitor, 2-deoxyglucose (2-DG). Administration
of 2-DG to neonatal mice abolished cardiac functional recovery and YAP activation
after MI, suggesting that TLR3-mediated regeneration and repair of the damaged
neonatal myocardium is through glycolytic-dependent YAP1 activation. Inhibition
of YAP1 activation abolished Poly (I:C) induced proliferation of neonatal
cardiomyocytes. Interestingly, activation of YAP1 increases the expression of miR
152 which represses the expression of cell cycle inhibitory proteins, P27kip1 and
DNMT1, leading to cardiomyocyte proliferation. We conclude that TLR3 is required
for neonatal heart regeneration and repair after MI. The mechanisms involve
glycolytic-dependent YAP1 activation, resulting in miR-152 expression which
targets DNMT1/p27kip1.
PMID- 29358672
TI - Large room-temperature tunneling anisotropic magnetoresistance and
electroresistance in single ferromagnet/Nb:SrTiO3 Schottky devices.
AB - There is a large effort in research and development to realize electronic devices
capable of storing information in new ways - for instance devices which
simultaneously exhibit electro and magnetoresistance. However it remains a
challenge to create devices in which both effects coexist. In this work we show
that the well-known electroresistance in noble metal-Nb:SrTiO3 Schottky junctions
can be augmented by a magnetoresistance effect in the same junction. This is
realized by replacing the noble metal electrode with ferromagnetic Co. This
magnetoresistance manifests as a room temperature tunneling anisotropic
magnetoresistance (TAMR). The maximum room temperature TAMR (1.6%) is
significantly larger and robuster with bias than observed earlier, not using
Nb:SrTiO3. In a different set of devices, a thin amorphous AlOx interlayer
inserted between Co and Nb:SrTiO3, reduces the TAMR by more than 2 orders of
magnitude. This points to the importance of intimate contact between the Co and
Nb:SrTiO3 for the TAMR effect. This is explained by electric field enhanced spin
orbit coupling of the interfacial Co layer in contact with Nb:SrTiO3. We propose
that the large TAMR likely has its origin in the 3d orbital derived conduction
band and large relative permittivity of Nb:SrTiO3 and discuss ways to further
enhance the TAMR.
PMID- 29358671
TI - TSC1 regulates osteoclast podosome organization and bone resorption through
mTORC1 and Rac1/Cdc42.
AB - Reorganization of the podosome into the sealing zone is crucial for osteoclasts
(OCLs) to resorb bone, but the underlying mechanisms are unclear. Here, we show
that tuberous sclerosis complex 1 (TSC1) functions centrally in OCLs to promote
podosome organization and bone resorption through mechanistic target of rapamycin
complex 1 (mTORC1) and the small GTPases Rac1/Cdc42. During osteoclastogenesis,
enhanced expression of TSC1 downregulates mTORC1 activity. TSC1 deletion in OCLs
reduced podosome belt formation in vitro and sealing zone formation in vivo,
leading to bone resorption deficiency and osteopetrosis. Mechanistically, TSC1
promoted podosome superstructure assembly by releasing mTORC1-dependent negative
feedback inhibition of Rac1/Cdc42. Rapamycin and active Rac1/Cdc42 restore
podosome organization and bone resorption and alleviate osteopetrotic phenotypes
in mutant mice. Our findings reveal an essential role of TSC1 signaling in the
regulation of bone resorption. Targeting TSC1 represents a novel strategy to
inhibit bone resorption and prevent bone loss-related diseases.
PMID- 29358674
TI - Electrical stimulation-a mapping system for hand dysfunction in tetraplegia.
AB - STUDY DESIGN: Retrospective data analysis OBJECTIVES: To define the distribution
of the motor points and excitability of the key wrist and finger actuators in
order to detect upper (UMN) and lower motor neuron (LMN) lesions potentially
influencing the development of a tenodesis grasp. SETTING: A rehabilitation
centre for spinal cord injuries, Nottwil, Switzerland. METHODS: Forearm muscles
of 32 patients with tetraplegia (AIS A-D) were tested bilaterally with electrical
stimulation (ES) to differentiate whether UMN or LMN was present. For testing, a
standardised mapping was developed. All patients underwent the same positioning
schedule. RESULTS: Sixteen hands developed a tenodesis grasps, 24 hands showed
neither shortening nor tightening of the finger flexors. Two patients developed
unilateral tenodesis grasp and showed no tightening of the finger flexors on the
contralateral hand. Seven patients developed tenodesis grasps symmetrically and
bilaterally, whereas one maintained an essentially open hand without tightening
of the finger flexors. All hands that developed a tenodesis grasp showed a LMN
lesion of the M. extensor digitorum communis (EDC). The frequency of the
tenodesis grasp differed significantly between the groups with and without intact
reflex arc (p < 0.0001). CONCLUSION: Surface ES may serve as a diagnostic tool to
detect an UMN or LMN lesion of the key actuator muscles affecting the tenodesis
grasp. These findings provide information that is essential for the choice of
treatment to optimise function of the tetraplegic hand.
PMID- 29358673
TI - Lysophosphatidylcholine acyltransferase 2-mediated lipid droplet production
supports colorectal cancer chemoresistance.
AB - Lipid droplet (LD) accumulation is a now well-recognised hallmark of cancer.
However, the significance of LD accumulation in colorectal cancer (CRC) biology
is incompletely understood under chemotherapeutic conditions. Since drug
resistance is a major obstacle to treatment success, we sought to determine the
contribution of LD accumulation to chemotherapy resistance in CRC. Here we show
that LD content of CRC cells positively correlates with the expression of
lysophosphatidylcholine acyltransferase 2 (LPCAT2), an LD-localised enzyme
supporting phosphatidylcholine synthesis. We also demonstrate that LD
accumulation drives cell-death resistance to 5-fluorouracil and oxaliplatin
treatments both in vitro and in vivo. Mechanistically, LD accumulation impairs
caspase cascade activation and ER stress responses. Notably, droplet accumulation
is associated with a reduction in immunogenic cell death and CD8+ T cell
infiltration in mouse tumour grafts and metastatic tumours of CRC patients.
Collectively our findings highlight LPCAT2-mediated LD accumulation as a
druggable mechanism to restore CRC cell sensitivity.
PMID- 29358675
TI - Early lexical processing of Chinese words indexed by Visual Mismatch Negativity
effects.
AB - Although Mismatch Negativity (MMN) effects indicating early, automatic lexical
processing have been reported in the auditory language modality, so far these
have not been reliably obtained in MMN studies of visual word recognition. The
present study explores this discrepancy by investigating whether visual MMN
(vMMN) effects can be obtained in written Chinese single-character word
recognition. While participants were engaged in a non-linguistic distraction
task, we measured Event-Related Potentials (ERPs) time-locked to perifoveally
presented real and pseudo- characters matched in overall visual-orthographic
attributes. VMMN was defined as significant difference between the ERPs to
characters presented as deviants or as standards in a context of non-characters.
For the native Chinese readers, af ter sub-lexical structural detection from 120
160 ms, only real characters elicited vMMN at the interval of 170-210 ms,
suggesting that lexical information in Chinese words is processed early and
automatically. In a later window of 340-380 ms, both real and pseudo- characters
yielded vMMNs. In a control group of non-Chinese participants, no evidence of
vMMN was found for either real or pseudo-characters. Taken together, these
results suggest that long-term memory representations for real characters may
enable their early processing even in unattended conditions.
PMID- 29358678
TI - Microbiology needs champions on-screen.
PMID- 29358679
TI - Even malaria parasites watch their host's diet.
PMID- 29358680
TI - Let them eat fruit.
PMID- 29358676
TI - Homeolog expression analysis in an allotriploid non-model crop via integration of
transcriptomics and proteomics.
AB - The fate of doubled genes, from allopolyploid or autopolyploid origin, is
controlled at multiple levels, resulting in the modern day cultivars. We studied
the root growth of 3 different triploid banana cultivars under control and
osmotic stress conditions. The root growth of the allopolyploid ABB cultivar was
42% higher under control and 61% higher under osmotic stress. By integrating
transcriptomics and proteomics, we studied the gene expression of all 3
cultivars, resulting in 2,749 identified root proteins. 383 gene loci displayed
genotype specific differential expression whereof 252 showed at least one Single
Amino Acid Polymorphism (SAAP). In the ABB cultivar, allele expressions
supposedly follow a 1/3 and 2/3 pattern for respectively the A and the B allele.
Using transcriptome read alignment to assess the homeoallelic contribution we
found that 63% of the allele specific genes deviated from this expectation. 32
gene loci even did not express the A allele. The identified ABB allele- specific
proteins correlate well with the observed growth phenotype as they are enriched
in energy related functions such as ATP metabolic processes, nicotinamide
nucleotide metabolic processes, and glycolysis.
PMID- 29358677
TI - Collective responses in electrical activities of neurons under field coupling.
AB - Synapse coupling can benefit signal exchange between neurons and information
encoding for neurons, and the collective behaviors such as synchronization and
pattern selection in neuronal network are often discussed under chemical or
electric synapse coupling. Electromagnetic induction is considered at molecular
level when ion currents flow across the membrane and the ion concentration is
fluctuated. Magnetic flux describes the effect of time-varying electromagnetic
field, and memristor bridges the membrane potential and magnetic flux according
to the dimensionalization requirement. Indeed, field coupling can contribute to
the signal exchange between neurons by triggering superposition of electric field
when synapse coupling is not available. A chain network is designed to
investigate the modulation of field coupling on the collective behaviors in
neuronal network connected by electric synapse between adjacent neurons. In the
chain network, the contribution of field coupling from each neuron is described
by introducing appropriate weight dependent on the position distance between two
neurons. Statistical factor of synchronization is calculated by changing the
external stimulus and weight of field coupling. It is found that the
synchronization degree is dependent on the coupling intensity and weight, the
synchronization, pattern selection of network connected with gap junction can be
modulated by field coupling.
PMID- 29358681
TI - Decoding glycan recognition by bacterial toxins.
PMID- 29358682
TI - A multimodal antiretroviral protein.
PMID- 29358683
TI - Sieving through gut models of colonization resistance.
AB - The development of innovative high-throughput genomics and metabolomics
technologies has considerably expanded our understanding of the commensal
microorganisms residing within the human body, collectively termed the
microbiota. In recent years, the microbiota has been reported to have important
roles in multiple aspects of human health, pathology and host-pathogen
interactions. One function of commensals that has attracted particular interest
is their role in protection against pathogens and pathobionts, a concept known as
colonization resistance. However, pathogens are also able to sense and exploit
the microbiota during infection. Therefore, obtaining a holistic understanding of
colonization resistance mechanisms is essential for the development of microbiome
based and microbiome-targeting therapies for humans and animals. Achieving this
is dependent on utilizing physiologically relevant animal models. In this
Perspective, we discuss the colonization resistance functions of the gut
microbiota and sieve through the advantages and limitations of murine models
commonly used to study such mechanisms within the context of enteric bacterial
infection.
PMID- 29358685
TI - Progress in mitochondrial replacement therapies.
AB - Mitochondrial DNA is maternally inherited, and pathogenic mutations cause a range
of life-limiting conditions. Recent studies indicate that transmission of
pathogenic mutations may be prevented by reproductive technologies designed to
replace the mitochondria in eggs from affected women.
PMID- 29358684
TI - Building and decoding ubiquitin chains for mitophagy.
AB - Mitochondria produce energy in the form of ATP via oxidative phosphorylation. As
defects in oxidative phosphorylation can generate harmful reactive oxygen
species, it is important that damaged mitochondria are efficiently removed via a
selective form of autophagy known as mitophagy. Owing to a combination of cell
biological, structural and proteomic approaches, we are beginning to understand
the mechanisms by which ubiquitin-dependent signals mark damaged mitochondria for
mitophagy. This Review discusses the biochemical steps and regulatory mechanisms
that promote the conjugation of ubiquitin to damaged mitochondria via the PTEN
induced putative kinase 1 (PINK1) and the E3 ubiquitin-protein ligase parkin and
how ubiquitin chains promote autophagosomal capture. Recently discovered roles
for parkin and PINK1 in the suppression of mitochondrial antigen presentation
provide alternative models for how this pathway promotes the survival of neurons.
A deeper understanding of these processes has major implications for
neurodegenerative diseases, including Parkinson disease, where defects in
mitophagy and other forms of selective autophagy are prominent.
PMID- 29358686
TI - Stress responses: Reversible sequestration over irreversible aggregation.
PMID- 29358687
TI - Mitochondria: The needless PINK1.
PMID- 29358688
TI - LRIG2 is a growth suppressor of Hec-1A and Ishikawa endometrial adenocarcinoma
cells by regulating PI3K/AKT- and EGFR-mediated apoptosis and cell-cycle.
AB - Although endometrial cancer is the most common type of gynecological malignancy
in developed countries, its molecular etiology is not well understood. Leucine
rich repeat and immunoglobulin-like domain 2 (LRIG2) is an evolutionarily
conserved gene, but its functions in the endometrium are unknown. In this study,
we found that LRIG2 is highly downregulated in endometrial adenocarcinoma
patients and that it functions as a tumor suppressor. LRIG2 induced the
mitochondrion-mediated apoptotic pathways by regulating stoichiometric balance
among BCL-2 family proteins, whereby pro-survival members, MCL-1 and BCL-xL, were
downregulated and pro-apoptotic BAK and BAX were upregulated. LRIG2 also
inhibited proliferation of the Hec-1A and Ishikawa endometrial adenocarcinoma
cells by upregulating p21. LRIG2 induced BAX- and BAK-dependent cell death that
was efficiently prevented by MCL-1 overexpression. Furthermore, we found that
LRIG2 unexpectedly phosphor-activates phosphoinositide 3-kinase (PI3K)/AKT and
epidermal growth factor receptor (EGFR), which are conventionally accepted as
survival signaling cues in diverse types of cancer. We observed that PI3K/AKT and
EGFR serve as key kinases that have roles as growth suppressors of Hec-1A
endometrial cancer cells by mediating the LRIG2-induced modulation of the BCL-2
family of proteins and p21. In vivo delivery of antisense DNAs against LRIG2
promoted the Hec-1A endometrial tumor growth in a xenograft mouse model, and
immunoblotting of these tumor extracts showed consistent modulation of AKT, EGFR,
the BCL-2 family members, and p21. Thus, our results demonstrated that LRIG2 is a
growth suppressor of endometrial adenocarcinoma cells.
PMID- 29358689
TI - Engineering the vibrational coherence of vision into a synthetic molecular
device.
AB - The light-induced double-bond isomerization of the visual pigment rhodopsin
operates a molecular-level optomechanical energy transduction, which triggers a
crucial protein structure change. In fact, rhodopsin isomerization occurs
according to a unique, ultrafast mechanism that preserves mode-specific
vibrational coherence all the way from the reactant excited state to the primary
photoproduct ground state. The engineering of such an energy-funnelling function
in synthetic compounds would pave the way towards biomimetic molecular machines
capable of achieving optimum light-to-mechanical energy conversion. Here we use
resonance and off-resonance vibrational coherence spectroscopy to demonstrate
that a rhodopsin-like isomerization operates in a biomimetic molecular switch in
solution. Furthermore, by using quantum chemical simulations, we show why the
observed coherent nuclear motion critically depends on minor chemical
modifications capable to induce specific geometric and electronic effects. This
finding provides a strategy for engineering vibrationally coherent motions in
other synthetic systems.
PMID- 29358690
TI - Radiographic Knee Osteoarthritis and Knee Pain: Cross-sectional study from Five
Different Racial/Ethnic Populations.
AB - The weak correlation between pain and structural changes in knee osteoarthritis
is widely reported. In a previous within-person, knee-matched case-control study
among Caucasians, the severity of radiographic osteoarthritis (ROA) was strongly
associated with both the presence of frequent knee pain and pain severity. We
studied the association between ROA and knee pain in five racial/ethnic
populations by using the same method. Subjects were selected from China; Japan;
Korea and the United States. Among subjects with knees discordant for either
frequent knee pain or pain severity, we examined the relationship between ROA and
the presence of frequent knee pain using conditional logistic regression, and
between ROA and pain severity using a stratified proportional odds model with an
amalgamating conditional likelihood. In total, 252 urban Chinese, 221 rural
Chinese, 297 Japanese, 122 Korean, 1,735 Caucasian, and 394 African-American
patients were included. There was a strong dose-response relationship between the
severity of ROA and the prevalence of frequent knee pain in all five
racial/ethnic populations. Even mild ROA was significantly associated with
frequent knee pain. In addition, ROA was also strongly associated with the
severity of knee pain. These results show that structural pathology is associated
with knee pain in different ethnic populations.
PMID- 29358692
TI - Author Correction: Limits of Risk Predictability in a Cascading Alternating
Renewal Process Model.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has not been fixed in the paper.
PMID- 29358693
TI - Proteomic evidences for microcystin-RR-induced toxicological alterations in mice
liver.
AB - This study deals with the isolation and purification of an important variant of
microcystins namely microcystin-RR (MCYST-RR) from Microcystis aeruginosa and
reports its effects on mice liver protein profile and cellular functions. Protein
profiling by 2-dimensional gel electrophoresis revealed changes in the number and
accumulation of protein spots in liver of mice treated with different
concentrations of MCYST-RR. Untreated (control) mice liver showed 368 protein
spots while the number was 355, 348 and 332 in liver of mice treated with 200,
300 and 400 ug kg body wt-1 of MCYST-RR respectively. Altogether 102, 97, and 92
spots were differentially up-accumulated and 93, 91, and 87 spots were down-
accumulated respectively with the treatment of 200, 300, 400 ug kg body wt-1.
Eighteen differentially accumulated proteins present in all the four conditions
were identified by MALDI-TOF MS. Of these eighteen proteins, 12 appeared to be
involved in apoptosis/toxicological manifestations. Pathway analysis by Reactome
and PANTHER database also mapped the identified proteins to programmed cell
death/apoptosis clade. That MCYST-RR induces apoptosis in liver tissues was also
confirmed by DNA fragmentation assay. Results of this study elucidate the
proteomic basis for the hepatotoxicity of MCYST-RR which is otherwise poorly
understood till date.
PMID- 29358695
TI - "It just forces hardship": impacts of government financial penalties on non
vaccinating parents.
AB - Despite strong evidence confirming vaccination is safe and effective, some
parents choose not to vaccinate their children. In 2016, the Australian
Government introduced legislation strengthening links between vaccination
compliance and some government payments. We interviewed thirty-one non
vaccinating parents about the impacts of this policy. Data analysis produced
three key themes: 'questioning policy integrity', 'minimising impact' and
'holding my ground'. Affected parents offset reduced income by removing children
from early childhood learning, reducing work commitments, moving residence to
reduce living costs and accessing informal childcare arrangements. Parents
reported a greater commitment to their decision not to vaccinate and an increased
desire to maintain control over health choices for their children including an
unprecedented willingness to become involved in protest action. Our study
identifies why financial penalties have not been an effective policy measure for
this sample of non-vaccinating parents, an understanding which may assist in the
development of future legislation.
PMID- 29358691
TI - Re-analysis of public genetic data reveals a rare X-chromosomal variant
associated with type 2 diabetes.
AB - The reanalysis of existing GWAS data represents a powerful and cost-effective
opportunity to gain insights into the genetics of complex diseases. By
reanalyzing publicly available type 2 diabetes (T2D) genome-wide association
studies (GWAS) data for 70,127 subjects, we identify seven novel associated
regions, five driven by common variants (LYPLAL1, NEUROG3, CAMKK2, ABO, and GIP
genes), one by a low-frequency (EHMT2), and one driven by a rare variant in
chromosome Xq23, rs146662057, associated with a twofold increased risk for T2D in
males. rs146662057 is located within an active enhancer associated with the
expression of Angiotensin II Receptor type 2 gene (AGTR2), a modulator of insulin
sensitivity, and exhibits allelic specific activity in muscle cells. Beyond
providing insights into the genetics and pathophysiology of T2D, these results
also underscore the value of reanalyzing publicly available data using novel
genetic resources and analytical approaches.
PMID- 29358694
TI - Maternal whole blood cell miRNA-340 is elevated in gestational diabetes and
inversely regulated by glucose and insulin.
AB - The number of pregnancies complicated by gestational diabetes (GDM) is increasing
worldwide. To identify novel characteristics of GDM, we studied miRNA profiles of
maternal and fetal whole blood cells (WBCs) from GDM and normal glucose tolerant
(NGT) pregnant women matched for body mass index and maternal age. After
adjustment for maternal weight gain and pregnancy week, we identified 29 mature
micro-RNAs (miRNAs) up-regulated in GDM, one of which, i.e., miRNA-340, was
validated by qPCR. mRNA and protein expression of PAIP1, a miRNA-340 target gene,
was found down-regulated in GDM women, accordingly. In lymphocytes derived from
the mothers' blood and treated in vitro, insulin increased and glucose reduced
miRNA-340 expression. In fetal cord blood samples, no associations of miRNA-340
with maternal GDM were observed. Our results provide evidence for insulin-induced
epigenetic, i.e., miRNA-dependent, programming of maternal WBCs in GDM.
PMID- 29358696
TI - Land-surface initialisation improves seasonal climate prediction skill for maize
yield forecast.
AB - Seasonal crop yield forecasting represents an important source of information to
maintain market stability, minimise socio-economic impacts of crop losses and
guarantee humanitarian food assistance, while it fosters the use of climate
information favouring adaptation strategies. As climate variability and extremes
have significant influence on agricultural production, the early prediction of
severe weather events and unfavourable conditions can contribute to the
mitigation of adverse effects. Seasonal climate forecasts provide additional
value for agricultural applications in several regions of the world. However,
they currently play a very limited role in supporting agricultural decisions in
Europe, mainly due to the poor skill of relevant surface variables. Here we show
how a combined stress index (CSI), considering both drought and heat stress in
summer, can predict maize yield in Europe and how land-surface initialised
seasonal climate forecasts can be used to predict it. The CSI explains on average
nearly 53% of the inter-annual maize yield variability under observed climate
conditions and shows how concurrent heat stress and drought events have
influenced recent yield anomalies. Seasonal climate forecast initialised with
realistic land-surface achieves better (and marginally useful) skill in
predicting the CSI than with climatological land-surface initialisation in south
eastern Europe, part of central Europe, France and Italy.
PMID- 29358697
TI - Hyperbaric oxygen reduces inflammation, oxygenates injured muscle, and
regenerates skeletal muscle via macrophage and satellite cell activation.
AB - Hyperbaric oxygen treatment (HBO) promotes rapid recovery from soft tissue
injuries. However, the healing mechanism is unclear. Here we assessed the effects
of HBO on contused calf muscles in a rat skeletal muscle injury model. An
experimental HBO chamber was developed and rats were treated with 100% oxygen,
2.5 atmospheres absolute for 2 h/day after injury. HBO reduced early lower limb
volume and muscle wet weight in contused muscles, and promoted muscle isometric
strength 7 days after injury. HBO suppressed the elevation of circulating
macrophages in the acute phase and then accelerated macrophage invasion into the
contused muscle. This environment also increased the number of proliferating and
differentiating satellite cells and the amount of regenerated muscle fibers. In
the early phase after injury, HBO stimulated the IL-6/STAT3 pathway in contused
muscles. Our results demonstrate that HBO has a dual role in decreasing
inflammation and accelerating myogenesis in muscle contusion injuries.
PMID- 29358698
TI - Production of Wilson Disease Model Rabbits with Homology-Directed Precision Point
Mutations in the ATP7B Gene Using the CRISPR/Cas9 System.
AB - CRISPR/Cas9 has recently been developed as an efficient genome engineering tool.
The rabbit is a suitable animal model for studies of metabolic diseases. In this
study, we generated ATP7B site-directed point mutation rabbits to simulate a
major mutation type in Asians (p. Arg778Leu) with Wilson disease (WD) by using
the CRISPR/Cas9 system combined with single-strand DNA oligonucleotides (ssODNs).
The efficiency of the precision point mutation was 52.94% when zygotes were
injected 14 hours after HCG treatment and was significantly higher than that of
zygotes injected 19 hours after HCG treatment (14.29%). The rabbits carrying the
allele with mutant ATP7B died at approximately three months of age. Additionally,
the copper content in the livers of rabbits at the onset of WD increased nine
fold, a level similar to the five-fold increase observed in humans with WD. Thus,
the efficiency of precision point mutations increases when RNAs are injected into
zygotes at earlier stages, and the ATP7B mutant rabbits are a potential model for
human WD disease with applications in pathological analysis, clinical treatment
and gene therapy research.
PMID- 29358699
TI - GSK3beta modulates NF-kappaB activation and RelB degradation through site
specific phosphorylation of BCL10.
AB - Glycogen synthase kinase 3beta (GSK3beta) is a ubiquitously expressed
serine/threonine kinase involved in the regulation of various cellular functions,
such as energy homoeostasis, cell growth and developmental processes. More
recently, GSK3beta has been identified as a part of a protein complex involved in
the regulation of the CARMA1-BCL10-MALT1 complex (CBM complex) formation, which
is a key signalling event upon antigen receptor engagement of B and T cells,
required for the activation of the NF-kappaB and JNK pathways. However,
conflicting reports have been published regarding the role of GSK3beta for the
activation of the NF-kappaB signalling pathways. Therefore, we aimed to determine
the impact of GSK3beta on the NF-kappaB signalling induced upon T cell
activation. Blocking GSK3beta by either pharmacologic inhibitors (SB216763 and
SB415286) or by RNAi caused a reduced proteolysis of the MALT1 targets CYLD1,
BCL10 and RelB as well as diminished IkappaBalpha degradation, NF-kappaB DNA
binding and NF-kappaB activity. This negative effect on NF-kappaB appears to be
due to a diminished CBM complex formation caused by a reduced BCL10
phosphorylation. Taken together, we provide here evidence for a novel regulatory
mechanism by which GSK3beta affects NF-kappaB signalling in activated T cells.
PMID- 29358700
TI - BIM and NOXA are mitochondrial effectors of TAF6delta-driven apoptosis.
AB - TAF6delta is a pro-apoptotic splice variant of the RNA polymerase II general
transcription factor, TAF6, that can dictate life vs. death decisions in animal
cells. TAF6delta stands out from classical pro-apoptotic proteins because it is
encoded by a gene that is essential at the cellular level, and because it
functions as a component of the basal transcription machinery. TAF6delta has been
shown to modulate the transcriptome landscape, but it is not known if changes in
gene expression trigger apoptosis nor which TAF6delta-regulated genes contribute
to cell death. Here we used microarrays to interrogate the genome-wide impact of
TAF6delta on transcriptome dynamics at temporal resolution. The results revealed
changes in pro-apoptotic BH3-only mitochondrial genes that correlate tightly with
the onset of cell death. These results prompted us to test and validate a role
for the mitochondrial pathway by showing that TAF6delta expression causes
cytochrome c release into the cytoplasm. To further dissect the mechanism by
which TAF6delta drives apoptosis, we pinpointed BIM and NOXA as candidate
effectors. siRNA experiments showed that both BIM and NOXA contribute to
TAF6delta-dependent cell death. Our results identify mitochondrial effectors of
TAF6delta-driven apoptosis, thereby providing the first of mechanistic framework
underlying the atypical TAF6delta apoptotic pathway's capacity to intersect with
the classically defined apoptotic machinery to trigger cell death.
PMID- 29358701
TI - Insights into cerebral haemodynamics and oxygenation utilising in vivo mural cell
imaging and mathematical modelling.
AB - The neurovascular mechanisms underpinning the local regulation of cerebral blood
flow (CBF) and oxygen transport remain elusive. In this study we have combined
novel in vivo imaging of cortical microvascular and mural cell architecture with
mathematical modelling of blood flow and oxygen transport, to provide new
insights into CBF regulation that would be inaccessible in a conventional
experimental context. Our study indicates that vasoconstriction of smooth muscle
actin-covered vessels, rather than pericyte-covered capillaries, induces stable
reductions in downstream intravascular capillary and tissue oxygenation. We also
propose that seemingly paradoxical observations in the literature around reduced
blood velocity in response to arteriolar constrictions might be caused by a
propagation of constrictions to upstream penetrating arterioles. We provide
support for pericytes acting as signalling conduits for upstream smooth muscle
activation, and erythrocyte deformation as a complementary regulatory mechanism.
Finally, we caution against the use of blood velocity as a proxy measurement for
flow. Our combined imaging-modelling platform complements conventional
experimentation allowing cerebrovascular physiology to be probed in unprecedented
detail.
PMID- 29358702
TI - Distinct domain switching in Nd0.05Ce0.95CoIn5 at low and high fields.
AB - Nd0.05Ce0.95CoIn5 features a magnetic field-driven quantum phase transition that
separates two antiferromagnetic phases with an identical magnetic structure
inside the superconducting condensate. Using neutron diffraction we demonstrate
that the population of the two magnetic domains in the two phases is affected
differently by the rotation of the magnetic field in the tetragonal basal plane.
In the low-field SDW-phase the domain population is only weakly affected while in
the high-field Q-phase they undergo a sharp switch for fields around the a-axis.
Our results provide evidence that the anisotropic spin susceptibility in both
phases arises ultimately from spin-orbit interactions but are qualitatively
different in the two phases. This provides evidence that the electronic structure
is changed at the quantum phase transition, which yields a modified coupling
between magnetism and superconductivity in the Q-phase.
PMID- 29358703
TI - RIP3 targets pyruvate dehydrogenase complex to increase aerobic respiration in
TNF-induced necroptosis.
AB - Receptor-interacting protein kinase 3 (RIP3)-regulated production of reactive
oxygen species (ROS) positively feeds back on tumour necrosis factor (TNF)
induced necroptosis, a type of programmed necrosis. Glutamine catabolism is known
to contribute to RIP3-mediated ROS induction, but the major contributor is
unknown. Here, we show that RIP3 activates the pyruvate dehydrogenase complex
(PDC, also known as PDH), the rate-limiting enzyme linking glycolysis to aerobic
respiration, by directly phosphorylating the PDC E3 subunit (PDC-E3) on T135.
Upon activation, PDC enhances aerobic respiration and subsequent mitochondrial
ROS production. Unexpectedly, mixed-lineage kinase domain-like (MLKL) is also
required for the induction of aerobic respiration, and we further show that it is
required for RIP3 translocation to meet mitochondria-localized PDC. Our data
uncover a regulation mechanism of PDC activity, show that PDC activation by RIP3
is most likely the major mechanism activated by TNF to increase aerobic
respiration and its by-product ROS, and suggest that RIP3-dependent induction of
aerobic respiration contributes to pathologies related to oxidative stress.
PMID- 29358705
TI - Affinity purification of cell-specific mitochondria from whole animals resolves
patterns of genetic mosaicism.
AB - Although mitochondria are ubiquitous organelles, they exhibit tissue-specific
morphology, dynamics and function. Here, we describe a robust approach to isolate
mitochondria from specific cells of diverse tissue systems in Caenorhabditis
elegans. Cell-specific mitochondrial affinity purification (CS-MAP) yields intact
and functional mitochondria with exceptional purity and sensitivity (>96%
enrichment, >96% purity, and single-cell and single-animal resolution), enabling
comparative analyses of protein and nucleic acid composition between organelles
isolated from distinct cellular lineages. In animals harbouring a mixture of
mutant and wild-type mitochondrial genomes, we use CS-MAP to reveal subtle mosaic
patterns of cell-type-specific heteroplasmy across large populations of animals
(>10,000 individuals). We demonstrate that the germline is more prone to
propagating deleterious mitochondrial genomes than somatic lineages, which we
propose is caused by enhanced mtDNA replication in this tissue.
PMID- 29358704
TI - MSK1 regulates luminal cell differentiation and metastatic dormancy in ER+ breast
cancer.
AB - For many patients with breast cancer, symptomatic bone metastases appear after
years of latency. How micrometastatic lesions remain dormant and undetectable
before initiating colonization is unclear. Here, we describe a mechanism involved
in bone metastatic latency of oestrogen receptor-positive (ER+) breast cancer.
Using an in vivo genome-wide short hairpin RNA screening, we identified the
kinase MSK1 as an important regulator of metastatic dormancy in breast cancer. In
patients with ER+ breast cancer, low MSK1 expression associates with early
metastasis. We show that MSK1 downregulation impairs the differentiation of
breast cancer cells, increasing their bone homing and growth capacities. MSK1
controls the expression of genes required for luminal cell differentiation,
including the GATA3 and FOXA1 transcription factors, by modulating their promoter
chromatin status. Our results indicate that MSK1 prevents metastatic progression
of ER+ breast cancer, suggesting that stratifying patients with breast cancer as
high or low risk for early relapse based on MSK1 expression could improve
prognosis.
PMID- 29358706
TI - The MTM1-UBQLN2-HSP complex mediates degradation of misfolded intermediate
filaments in skeletal muscle.
AB - The ubiquitin proteasome system and autophagy are major protein turnover
mechanisms in muscle cells, which ensure stemness and muscle fibre maintenance.
Muscle cells contain a high proportion of cytoskeletal proteins, which are prone
to misfolding and aggregation; pathological processes that are observed in
several neuromuscular diseases called proteinopathies. Despite advances in
deciphering the mechanisms underlying misfolding and aggregation, little is known
about how muscle cells manage cytoskeletal degradation. Here, we describe a
process by which muscle cells degrade the misfolded intermediate filament
proteins desmin and vimentin by the proteasome. This relies on the MTM1-UBQLN2
complex to recognize and guide these misfolded proteins to the proteasome and
occurs prior to aggregate formation. Thus, our data highlight a safeguarding
function of the MTM1-UBQLN2 complex that ensures cytoskeletal integrity to avoid
proteotoxic aggregate formation.
PMID- 29358708
TI - Selective autophagy of the adaptor TRIF regulates innate inflammatory signaling.
AB - Defective autophagy is linked to diseases such as rheumatoid arthritis, lupus and
inflammatory bowel disease (IBD). However, the mechanisms by which autophagy
limits inflammation remain poorly understood. Here we found that loss of the
autophagy-related gene Atg16l1 promoted accumulation of the adaptor TRIF and
downstream signaling in macrophages. Multiplex proteomic profiling identified
SQSTM1 and Tax1BP1 as selective autophagy-related receptors that mediated the
turnover of TRIF. Knockdown of Tax1bp1 increased production of the cytokines IFN
beta and IL-1beta. Mice lacking Atg16l1 in myeloid cells succumbed to
lipopolysaccharide-mediated sepsis but enhanced their clearance of intestinal
Salmonella typhimurium in an interferon receptor-dependent manner. Human
macrophages with the Crohn's disease-associated Atg16l1 variant T300A exhibited
more production of IFN-beta and IL-1beta. An elevated interferon-response gene
signature was observed in patients with IBD who were resistant to treatment with
an antibody to the cytokine TNF. These findings identify selective autophagy as a
key regulator of signaling via the innate immune system.
PMID- 29358707
TI - The RNA-binding protein PTBP1 is necessary for B cell selection in germinal
centers.
AB - Antibody affinity maturation occurs in germinal centers (GCs), where B cells
cycle between the light zone (LZ) and the dark zone. In the LZ, GC B cells
bearing immunoglobulins with the highest affinity for antigen receive positive
selection signals from helper T cells, which promotes their rapid proliferation.
Here we found that the RNA-binding protein PTBP1 was needed for the progression
of GC B cells through late S phase of the cell cycle and for affinity maturation.
PTBP1 was required for proper expression of the c-MYC-dependent gene program
induced in GC B cells receiving T cell help and directly regulated the
alternative splicing and abundance of transcripts that are increased during
positive selection to promote proliferation.
PMID- 29358709
TI - Tumor-derived exosomes antagonize innate antiviral immunity.
AB - Malignancies can compromise innate immunity, but the mechanisms of this are
largely unknown. Here we found that, via tumor-derived exosomes (TEXs), cancers
were able to transfer activated epidermal growth factor receptor (EGFR) to host
macrophages and thereby suppress innate antiviral immunity. Screening of the
human kinome identified the kinase MEKK2 in macrophages as an effector of TEX
delivered EGFR that negatively regulated the antiviral immune response. In the
context of experimental tumor implantation, MEKK2-deficient mice were more
resistant to viral infection than were wild-type mice. Injection of TEXs into
mice reduced innate immunity, increased viral load and increased morbidity in an
EGFR- and MEKK2-dependent manner. MEKK2 phosphorylated IRF3, a transcription
factor crucial for the production of type I interferons; this triggered poly
ubiquitination of IRF3 and blocked its dimerization, translocation to the nucleus
and transcriptional activity after viral infection. These findings identify a
mechanism by which cancer cells can dampen host innate immunity and potentially
cause patients with cancer to become immunocompromised.
PMID- 29358711
TI - Volcanic dust veils from sixth century tree-ring isotopes linked to reduced
irradiance, primary production and human health.
AB - The large volcanic eruptions of AD 536 and 540 led to climate cooling and
contributed to hardships of Late Antiquity societies throughout Eurasia, and
triggered a major environmental event in the historical Roman Empire. Our set of
stable carbon isotope records from subfossil tree rings demonstrates a strong
negative excursion in AD 536 and 541-544. Modern data from these sites show that
carbon isotope variations are driven by solar radiation. A model based on sixth
century isotopes reconstruct an irradiance anomaly for AD 536 and 541-544 of
nearly three standard deviations below the mean value based on modern data. This
anomaly can be explained by a volcanic dust veil reducing solar radiation and
thus primary production threatening food security over a multitude of years. We
offer a hypothesis that persistently low irradiance contributed to remarkably
simultaneous outbreaks of famine and Justinianic plague in the eastern Roman
Empire with adverse effects on crop production and photosynthesis of the vitamin
D in human skin and thus, collectively, human health. Our results provide a
hitherto unstudied proxy for exploring the mechanisms of 'volcanic summers' to
demonstrate the post-eruption deficiencies in sunlight and to explain the human
consequences during such calamity years.
PMID- 29358712
TI - Absolute spectroscopy near 7.8 MUm with a comb-locked extended-cavity quantum
cascade-laser.
AB - We report for the first time the frequency locking of an extended-cavity quantum
cascade-laser (EC-QCL) to a near-infrared frequency comb. The locked laser source
is exploited to carry out molecular spectroscopy around 7.8 MUm with a line
centre frequency combined uncertainty of ~63 kHz. The strength of the approach,
in view of an accurate retrieval of line centre frequencies over a spectral range
as large as 100 cm-1, is demonstrated on the P(40), P(18) and R(31) lines of the
fundamental rovibrational band of N2O covering the centre and edges of the P and
R branches. The spectrometer has the potential to be straightforwardly extended
to other spectral ranges, till 12 MUm, which is the current wavelength limit for
commercial cw EC-QCLs.
PMID- 29358710
TI - Single-cell genomics of multiple uncultured stramenopiles reveals underestimated
functional diversity across oceans.
AB - Single-celled eukaryotes (protists) are critical players in global biogeochemical
cycling of nutrients and energy in the oceans. While their roles as primary
producers and grazers are well appreciated, other aspects of their life histories
remain obscure due to challenges in culturing and sequencing their natural
diversity. Here, we exploit single-cell genomics and metagenomics data from the
circumglobal Tara Oceans expedition to analyze the genome content and apparent
oceanic distribution of seven prevalent lineages of uncultured heterotrophic
stramenopiles. Based on the available data, each sequenced genome or genotype
appears to have a specific oceanic distribution, principally correlated with
water temperature and depth. The genome content provides hypotheses for
specialization in terms of cell motility, food spectra, and trophic stages,
including the potential impact on their lifestyles of horizontal gene transfer
from prokaryotes. Our results support the idea that prominent heterotrophic
marine protists perform diverse functions in ocean ecology.
PMID- 29358713
TI - Ambient PM2.5 exposure and expected premature mortality to 2100 in India under
climate change scenarios.
AB - Premature mortality from current ambient fine particulate (PM2.5) exposure in
India is large, but the trend under climate change is unclear. Here we estimate
ambient PM2.5 exposure up to 2100 by applying the relative changes in PM2.5 from
baseline period (2001-2005) derived from Coupled Model Inter-comparison Project 5
(CMIP5) models to the satellite-derived baseline PM2.5. We then project the
mortality burden using socioeconomic and demographic projections in the Shared
Socioeconomic Pathway (SSP) scenarios. Ambient PM2.5 exposure is expected to peak
in 2030 under the RCP4.5 and in 2040 under the RCP8.5 scenario. Premature
mortality burden is expected to be 2.4-4 and 28.5-38.8% higher under RCP8.5
scenario relative to the RCP4.5 scenario in 2031-2040 and 2091-2100,
respectively. Improved health conditions due to economic growth are expected to
compensate for the impact of changes in population and age distribution, leading
to a reduction in per capita health burden from PM2.5 for all scenarios except
the combination of RCP8.5 exposure and SSP3.
PMID- 29358714
TI - Identification of disulfiram as a secretase-modulating compound with beneficial
effects on Alzheimer's disease hallmarks.
AB - ADAM10 is a metalloproteinase acting on the amyloid precursor protein (APP) as an
alpha-secretase in neurons. Its enzymatic activity results in secretion of a
neuroprotective APP cleavage product (sAPP-alpha) and prevents formation of the
amyloidogenic A-beta peptides, major hallmarks of Alzheimer's disease (AD).
Elevated ADAM10 levels appeared to contribute to attenuation of A-beta-plaque
formation and learning and memory deficits in AD mouse models. Therefore, it has
been assumed that ADAM10 might represent a valuable target in AD therapy. Here we
screened a FDA-approved drug library and identified disulfiram as a novel ADAM10
gene expression enhancer. Disulfiram increased ADAM10 production as well as sAPP
alpha in SH-SY5Y human neuronal cells and additionally prevented A-beta
aggregation in an in vitro assay in a dose-dependent fashion. In addition, acute
disulfiram treatment of Alzheimer model mice induced ADAM10 expression in
peripheral blood cells, reduced plaque-burden in the dentate gyrus and
ameliorated behavioral deficits. Alcohol-dependent patients are subjected to
disulfiram-treatment to discourage alcohol-consumption. In such patients,
enhancement of ADAM10 by disulfiram-treatment was demonstrated in peripheral
blood cells. Our data suggest that disulfiram could be repurposed as an ADAM10
enhancer and AD therapeutic. However, efficacy and safety has to be analyzed in
Alzheimer patients in the future.
PMID- 29358715
TI - Optimized Spintronic Terahertz Emitters Based on Epitaxial Grown Fe/Pt Layer
Structures.
AB - We report on generation of pulsed broadband terahertz radiation utilizing the
inverse spin hall effect in Fe/Pt bilayers on MgO and sapphire substrates. The
emitter was optimized with respect to layer thickness, growth parameters,
substrates and geometrical arrangement. The experimentally determined optimum
layer thicknesses were in qualitative agreement with simulations of the spin
current induced in the ferromagnetic layer. Our model takes into account
generation of spin polarization, spin diffusion and accumulation in Fe and Pt and
electrical as well as optical properties of the bilayer samples. Using the device
in a counterintuitive orientation a Si lens was attached to increase the
collection efficiency of the emitter. The optimized emitter provided a bandwidth
of up to 8 THz which was mainly limited by the low-temperature-grown GaAs (LT
GaAS) photoconductive antenna used as detector and the pulse length of the pump
laser. The THz pulse length was as short as 220 fs for a sub 100 fs pulse length
of the 800 nm pump laser. Average pump powers as low as 25 mW (at a repetition
rate of 75 MHz) have been used for terahertz generation. This and the general
performance make the spintronic terahertz emitter compatible with established
emitters based on optical rectification in nonlinear crystals.
PMID- 29358716
TI - Impact of a motivational resistance-training programme on adherence and body
composition in the elderly.
AB - Lack of physical activity is one of the major causes for obesity and functional
disability in the elderly. Including regular exercise in the elderly's lifestyle
is not an easy task. The main objective was to analyse the effect of a
motivational resistance-training programme on satisfying the individual's
psychological needs, level of self-determination and body composition. A quasi
experimental study was performed with 47 volunteers (29 females, 18 males) of 67
75 years of age, divided into two groups: experimental (n = 27) and control (n =
20). A 12-week intervention programme was performed, with a total of 36 sessions.
The results of the inter-group analysis indicated significant differences in the
post-test measurement between the experimental group and the control group (in
favour of the experimental group) regarding basic psychological needs. The
experimental group, in comparison to the control group, significantly decreased
their percentage of fat mass and increased muscle mass. Body weight and BMI
values increased in the control group, while significantly decreasing in the
experimental group. In conclusion, the motivational resistance-training programme
in the elderly gave rise to positive significant changes at the physical,
psychological and social levels, according to the definition of health by the
World Health Organization.
PMID- 29358717
TI - Fluid network dynamics in the prefrontal cortex during multiple strategy
switching.
AB - Coordinated shifts of neuronal activity in the prefrontal cortex are associated
with strategy adaptations in behavioural tasks, when animals switch from
following one rule to another. However, network dynamics related to multiple-rule
changes are scarcely known. We show how firing rates of individual neurons in the
prelimbic and cingulate cortex correlate with the performance of rats trained to
change their navigation multiple times according to allocentric and egocentric
strategies. The concerted population activity exhibits a stable firing during the
performance of one rule but shifted to another neuronal firing state when a new
rule is learnt. Interestingly, when the same rule is presented a second time
within the same session, neuronal firing does not revert back to the original
neuronal firing state, but a new activity-state is formed. Our data indicate that
neuronal firing of prefrontal cortical neurons represents changes in strategy and
task-performance rather than specific strategies or rules.
PMID- 29358718
TI - The prevalence and treatment pattern of clinically diagnosed pelvic organ
prolapse: a Korean National Health Insurance Database-based cross-sectional study
2009-2015.
AB - The study aim was to evaluate the prevalence of pelvic organ prolapse using claim
data of South Korea and to evaluate treatment patterns. The Korea National Health
Insurance Corporation pay medical costs for most diseases. This study used Health
Insurance Review & Assessment Service-National Inpatient Sample (HIRA-NIS) 2009
2015. Pelvic organ prolapse was defined by diagnostic code (N81.x). Of the
approximately 4.5 million women included in HIRA-NIS 2009-2015, 10,305 women were
selected as having pelvic organ prolapse, and the mean age of the pelvic organ
prolapse group was 63.9 +/- 0.2 years. The prevalence of pelvic organ prolapse
was 180 +/- 4 per 100,000 population in women older than 50 years old. In
logistic regression analysis, constipation increased the prevalence of all pelvic
organ prolapse (odds ratio, 4.04; 95% confidence interval, 3.52-4.63; P < 0.01).
The number of women requiring pessary only and surgery only were 26 +/- 2 per
100,000 population and 89 +/- 1 per 100,000 population, respectively, for women
over 50 years of age. The prevalence of pelvic organ prolapse was quite lower
than in previous studies. Surgery peaked at approximately 70 years of age.
Pessary increased dramatically among women after the age of 65.
PMID- 29358719
TI - Investigation of Candida parapsilosis virulence regulatory factors during host
pathogen interaction.
AB - Invasive candidiasis is among the most life-threatening infections in patients in
intensive care units. Although Candida albicans is the leading cause of
candidaemia, the incidence of Candida parapsilosis infections is also rising,
particularly among the neonates. Due to differences in their biology, these
species employ different antifungal resistance and virulence mechanisms and also
induce dissimilar immune responses. Previously, it has been suggested that core
virulence effecting transcription regulators could be attractive ligands for
future antifungal drugs. Although the virulence regulatory mechanisms of C.
albicans are well studied, less is known about similar mechanisms in C.
parapsilosis. In order to search for potential targets for future antifungal
drugs against this species, we analyzed the fungal transcriptome during host
pathogen interaction using an in vitro infection model. Selected genes with high
expression levels were further examined through their respective null mutant
strains, under conditions that mimic the host environment or influence
pathogenicity. As a result, we identified several mutants with relevant
pathogenicity affecting phenotypes. During the study we highlight three
potentially tractable signaling regulators that influence C. parapsilosis
pathogenicity in distinct mechanisms. During infection, CPAR2_100540 is
responsible for nutrient acquisition, CPAR2_200390 for cell wall assembly and
morphology switching and CPAR2_303700 for fungal viability.
PMID- 29358720
TI - Strong Fe3+-O(H)-Pt Interfacial Interaction Induced Excellent Stability of
Pt/NiFe-LDH/rGO Electrocatalysts.
AB - Agglomeration-triggered deactivation of supported platinum electrocatalysts
markedly hinders their application in methanol oxidation reaction (MOR). In this
study, graphene-supported nickel-iron layered double hydroxide (NiFe-LDH/rGO), in
which Fe3+ was introduced to replace Ni2+ partially in the Ni(OH)2 lattice to
provide stronger metal-support bonding sites, was utilized to immobilize Pt
nanoparticles (NPs). Given the optimized metal-support interfacial contact (Fe3+
O(H)-Pt) between Pt NPs and NiFe-LDH/rGO nanosheets for Pt/NiFe-LDH/rGO
electrocatalysts, the Pt/NiFe-LDH/rGO electrocatalysts displayed dramatically
enhanced durability than that of Pt/Ni(OH)2/rGO counterpart as well as commercial
Pt/C, and 86.5% of its initial catalytic activity can be maintained even after
1200 cycles of cyclic voltammetry (CV) tests during MOR. First-principle
calculations toward the resultant M-O(H)-Pt (M = Fe3+, Ni2+) interfacial
structure further corroborates that the NiFe-LDH nanosheets can provide stronger
bonding sites (via the Fe3+-O(H)-Pt bonds) to immobilize Pt NPs than those of
Ni(OH)2 nanosheets (via the Ni2+-O(H)-Pt bonds).
PMID- 29358721
TI - Dihydropyrimidine dehydrogenase predicts survival and response to interferon
alpha in hepatocellular carcinoma.
AB - Metastasis and recurrence contribute to poor prognosis of hepatocellular
carcinoma (HCC). Recently, we reported that interferon-alpha (IFN-alpha) can
suppress metastasis of HCC; however, the underlying mechanism has not been fully
described. In this study, we demonstrated that expression of dihydropyrimidine
dehydrogenase (DPYD), a pyrimidine catabolic enzyme, was dose-dependently
downregulated by IFN-alpha in HCC tissues from nude mice. Notably, DPYD
expression was found to be significantly increased in HCC cell lines with higher
metastatic potentials compared with their controls. Moreover, upregulation of
DPYD in HCC cells could promote in vitro migration, invasion, and in vivo lung
metastasis, and inducing changes characteristic of epithelial-mesenchymal
transition (EMT). In contrast, knockdown of DPYD inhibited these processes.
Mechanistically, DPYD functioned as a positive regulator of EMT in HCC by
targeting the p38/NF-kappaB/Snail1 pathway. Clinically, tissue microarray
analysis showed that high DPYD expression was positively associated with
aggressive tumor characteristics, including larger tumor size, tumor recurrence,
and advanced tumor node metastasis (TNM) stage, and independently correlated with
poorer overall survival times after curative resection. HCC patients with low
DPYD expression have better response to IFN-alpha therapy. Taken together, our
findings elucidate that IFN-alpha could downregulate DPYD expression to inhibit
EMT and HCC metastasis, and suggest that DPYD might be a potential prognostic
biomarker and a therapeutic target for HCC.
PMID- 29358723
TI - The cross correlation properties of composite systems.
AB - A new method is presented for characterizing cross correlations in composite
systems described by a couple of time-dependent random variables. This method is
based on (i) rescaling the time derivatives of the variables to make their
variances unity and then (ii) recombining these rescaled variables into their sum
and difference. This manipulation enables one to express the joint probability
distribution function in a peculiar way. It is also found that the entropy of
composite systems is not equal to the sum of entropy of each subsystem because of
the cross correlations.
PMID- 29358722
TI - Butyrylcholinesterase and Acetylcholinesterase polymorphisms in Multiple
Sclerosis patients: implication in peripheral inflammation.
AB - Multiple Sclerosis (MS) is an autoimmune disease, having not fully understood
aetiology, and both genetic and environmental factors contribute to the
pathogenesis of the disease. The cholinergic system has been indicated as a
mediator of neuro-immune interactions, as well as an internal regulator of immune
responses. The aim of the present research was to assess the associations between
BChE and AChE genetic variations and serum cholinergic and inflammatory profiles
in 102 Relapsing Remitting-MS patients and 117 healthy controls. An increased
frequency of the BChE K-allele in MS patients as compared to controls was found.
In addition, data showed that patients had higher BChE enzymatic activity, which
is increased by the presence of the polymorphic allele and reduced amounts of
circulating ACh. AChE polymorphism was significantly associated to reduced
activity in both patients and controls. We propose that serum BChE and AChE
activity may be used as a secondary markers to assess the role of non-neuronal
cholinergic system in regulating peripheral inflammation via ACh regulation. This
pilot study shed light on the role of the non-neuronal cholinergic system in
immune cells to better understand MS pathogenesis. The cross-talk between the
periphery and the CNS could have a new undescribed crucial role for MS, regarded
as a systemic disease.
PMID- 29358724
TI - Development of matrix metalloproteinase-targeted probes for lung inflammation
detection with positron emission tomography.
AB - As matrix metalloproteinases (MMPs), especially MMP-9 and MMP-12 are involved in
the pathological processes associated with chronic obstructive pulmonary disease
(COPD), we developed a novel radiofluorinated probe, 18F-IPFP, for MMPs-targeted
positron emission tomography (PET). 18F-IPFP was designed by iodination of MMP
inhibitor to enhance the affinity, and labelled with a compact prosthetic agent,
4-nitrophenyl 2-18F-fluoropropionate (18F-NFP). As a result, IPFP demonstrated
the highest affinity toward MMP-12 (IC50 = 1.5 nM) among existing PET probes. A
COPD model was employed by exposing mice to cigarette smoke and the expression
levels of MMP-9 and MMP-12 were significantly increased in the lungs.
Radioactivity accumulation in the lungs 90 min after administration of 18F-IPFP
was 4* higher in COPD mice than normal mice, and 10* higher than in the heart,
muscle, and blood. Ex vivo PET confirmed the radioactivity distribution in the
tissues and autoradiography analysis demonstrated that accumulation differences
in the lungs of COPD mice were 2* higher than those of normal mice. These results
suggest that 18F-IPFP is a promising probe for pulmonary imaging and expected to
be applied to various MMP-related diseases for early diagnosis, tracking of
therapeutic effects, and new drug development in both preclinical and clinical
applications.
PMID- 29358725
TI - Fine-scale landscape genomics helps explain the slow spatial spread of Wolbachia
through the Aedes aegypti population in Cairns, Australia.
AB - The endosymbiotic bacterium Wolbachia suppresses the capacity for arbovirus
transmission in the mosquito Aedes aegypti, and can spread spatially through wild
mosquito populations following local introductions. Recent introductions in
Cairns, Australia have demonstrated slower than expected spatial spread.
Potential reasons for this include: (i) barriers to Ae. aegypti dispersal; (ii)
higher incidence of long-range dispersal; and (iii) intergenerational loss of
Wolbachia. We investigated these three potential factors using genome-wide single
nucleotide polymorphisms (SNPs) and an assay for the Wolbachia infection wMel in
161 Ae. aegypti collected from Cairns in 2015. We detected a small but
significant barrier effect of Cairns highways on Ae. aegypti dispersal using
distance-based redundancy analysis and patch-based simulation analysis. We
detected a pair of putative full-siblings in ovitraps 1312 m apart, indicating
long-distance female movement likely mediated by human transport. We also found a
pair of full-siblings of different infection status, indicating intergenerational
loss of Wolbachia in the field. These three factors are all expected to
contribute to the slow spread of Wolbachia through Ae. aegypti populations,
though from our results it is unclear whether Wolbachia loss and long-distance
movement are sufficiently common to reduce the speed of spatial spread
appreciably. Our findings inform the strategic deployment of Wolbachia-infected
mosquitoes during releases, and show how parameter estimates from laboratory
studies may differ from those estimated using field data. Our landscape genomics
approach can be extended to other host/symbiont systems that are being considered
for biocontrol.
PMID- 29358726
TI - Coalescent framework for prokaryotes undergoing interspecific homologous
recombination.
AB - Coalescent process for prokaryote species is theoretically considered.
Prokaryotes undergo homologous recombination with individuals of the same species
(intraspecific recombination) and with individuals of other species
(interspecific recombination). This work particularly focuses on interspecific
recombination because intraspecific recombination has been well incorporated in
coalescent framework. We present a simulation framework for generating SNP
(single-nucleotide polymorphism) patterns that allows external DNA integration
into host genome from other species. Using this simulation tool, msPro, we
observed that the joint processes of intra- and interspecific recombination
generate complex SNP patterns. The direct effect of interspecific recombination
includes increased polymorphism. Because interspecific recombination is very rare
in nature, it generates regions with exceptionally high polymorphism. Following
interspecific recombination, intraspecific recombination cuts the integrated
external DNA into small fragments, generating a complex SNP pattern that appears
as if external DNA was integrated multiple times. The insight gained from our
work using the msPro simulator will be useful for understanding and evaluating
the relative contributions of intra- and interspecific recombination events in
generating complex SNP patters in prokaryotes.
PMID- 29358727
TI - Inference of multiple-wave admixtures by length distribution of ancestral tracks.
AB - The ancestral tracks in admixed genomes are valuable for population history
inference. While a few methods have been developed to infer admixture history
based on ancestral tracks, these methods suffer the same flaw: only population
admixture history under some specific models can be inferred. In addition, the
inference of history might be biased or even unreliable if the specific model
deviates from the real situation. To address this problem, we firstly proposed a
general discrete admixture model to describe the admixture history with multiple
ancestral populations and multiple-wave admixtures. We next deduced the length
distribution of ancestral tracks under the general discrete admixture model. We
further developed a new method, MultiWaver, to explore multiple-wave admixture
histories. Our method could automatically determine an optimal admixture model
based on the length distribution of ancestral tracks, and estimate the
corresponding parameters under this optimal model. Specifically, we used a
likelihood ratio test (LRT) to determine the number of admixture waves, and
implemented an expectation-maximization (EM) algorithm to estimate parameters. We
used simulation studies to validate the reliability and effectiveness of our
method. Finally, good performance was observed when our method was applied to
real data sets of African Americans and Mexicans, and new insights were gained
into the admixture history of Uyghurs and Hazaras.
PMID- 29358728
TI - Raman spectroscopy of graphene under ultrafast laser excitation.
AB - The equilibrium optical phonons of graphene are well characterized in terms of
anharmonicity and electron-phonon interactions; however, their non-equilibrium
properties in the presence of hot charge carriers are still not fully explored.
Here we study the Raman spectrum of graphene under ultrafast laser excitation
with 3 ps pulses, which trade off between impulsive stimulation and spectral
resolution. We localize energy into hot carriers, generating non-equilibrium
temperatures in the ~1700-3100 K range, far exceeding that of the phonon bath,
while simultaneously detecting the Raman response. The linewidths of both G and
2D peaks show an increase as function of the electronic temperature. We explain
this as a result of the Dirac cones' broadening and electron-phonon scattering in
the highly excited transient regime, important for the emerging field of graphene
based photonics and optoelectronics.
PMID- 29358730
TI - Author Correction: In situ assembly of Ag nanoparticles (AgNPs) on porous
silkworm cocoon-based wound film: enhanced antimicrobial and wound healing
activity.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29358729
TI - Pretreatment of wheat straw leads to structural changes and improved enzymatic
hydrolysis.
AB - Wheat straw (WS) is a potential biomass for production of monomeric sugars.
However, the enzymatic hydrolysis ratio of cellulose in WS is relatively low due
to the presence of lignin and hemicellulose. To enhance the enzymatic conversion
of WS, we tested the impact of three different pretreatments, e.g. sulfuric acid
(H2SO4), sodium hydroxide (NaOH), and hot water pretreatments to the enzymatic
digestions. Among the three pretreatments, the highest cellulose conversion rate
was obtained with the 4% NaOH pretreatment at 121 degrees C (87.2%). In
addition, NaOH pretreatment was mainly effective in removing lignin, whereas the
H2SO4 pretreatment efficiently removed hemicellulose. To investigate results of
pretreated process for enhancement of enzyme-hydolysis to the WS, we used
scanning electron microscopy, X-ray diffraction, and Fourier transform infrared
spectroscopy to analyze structural changes of raw and treated materials. The
structural analysis indicated that after H2SO4 and NaOH pretreatments, most of
the amorphous cellulose and partial crystalline cellulose were hydrolyzed during
enzymatic hydrolysis. The findings of the present study indicate that WS could be
ideal materials for production of monomeric sugars with proper pretreatments and
effective enzymatic base hydrolysis.
PMID- 29358731
TI - Aminode: Identification of Evolutionary Constraints in the Human Proteome.
AB - Evolutionarily constrained regions (ECRs) are a hallmark for sites of critical
importance for a protein's structure or function. ECRs can be inferred by
comparing the amino acid sequences from multiple protein homologs in the context
of the evolutionary relationships that link the analyzed proteins. The
compilation and analysis of the datasets required to infer ECRs, however, are
time consuming and require skills in coding and bioinformatics, which can limit
the use of ECR analysis in the biomedical community. Here, we developed Aminode,
a user-friendly webtool for the routine and rapid inference of ECRs. Aminode is
pre-loaded with the results of the analysis of the whole human proteome compared
with proteomes from 62 additional vertebrate species. Profiles of the relative
rates of amino acid substitution and ECR maps of human proteins are available for
immediate search and download on the Aminode website. Aminode can also be used
for custom analyses of protein families of interest. Interestingly, mapping of
known missense variants shows great enrichment of pathogenic variants and
depletion of non-pathogenic variants in Aminode-generated ECRs, suggesting that
ECR analysis may help evaluate the potential pathogenicity of variants of unknown
significance. Aminode is freely available at http://www.aminode.org .
PMID- 29358733
TI - Glutamine metabolism, the Achilles heel for medulloblastoma tumor.
PMID- 29358732
TI - Echocardiographic validation of pulmonary hypertension due to heart failure with
reduced ejection fraction in mice.
AB - Pulmonary hypertension (PH) associated with left heart diseases is the most
prevalent cause of PH. The scarcity of studies exploring the pathophysiology and
therapies of group II PH resides in the lack of validated small animal models
with non-invasive determination of the presence and severity of PH. Heart failure
(HF) was induced in mice by coronary artery ligation. Mice developed PH as
evidenced by an elevated right ventricular (RV) systolic pressure and RV
hypertrophy. Detailed non-invasive echocardiographic analysis on the left and
right ventricles showed impaired left ventricular (LV) systolic and diastolic
function. In addition, RV hypertrophy was confirmed by echo and accompanied by
impaired function as well as increased pulmonary resistance. Correlation analysis
validated the use of the LV wall-motion score index (WMSI) at a threshold value
of >=2.0 as a powerful and reliable indicator for the presence of PH and RV
dysfunction. Echocardiography is an accurate non-invasive technique to diagnose
PH in a HF mouse model. Moreover, an echocardiographic parameter of infarct size
and LV function, the LV WMSI, reliably correlates with the presence of PH, RV
hypertrophy and RV dysfunction and could be used to improve efficiency and design
of pre-clinical studies.
PMID- 29358734
TI - Quantitative proteomics identifies redox switches for global translation
modulation by mitochondrially produced reactive oxygen species.
AB - The generation of reactive oxygen species (ROS) is inevitably linked to life.
However, the precise role of ROS in signalling and specific targets is largely
unknown. We perform a global proteomic analysis to delineate the yeast redoxome
to a depth of more than 4,300 unique cysteine residues in over 2,200 proteins.
Mapping of redox-active thiols in proteins exposed to exogenous or endogenous
mitochondria-derived oxidative stress reveals ROS-sensitive sites in several
components of the translation apparatus. Mitochondria are the major source of
cellular ROS. We demonstrate that increased levels of intracellular ROS caused by
dysfunctional mitochondria serve as a signal to attenuate global protein
synthesis. Hence, we propose a universal mechanism that controls protein
synthesis by inducing reversible changes in the translation machinery upon
modulating the redox status of proteins involved in translation. This crosstalk
between mitochondria and protein synthesis may have an important contribution to
pathologies caused by dysfunctional mitochondria.
PMID- 29358735
TI - Microbial community assembly in wild populations of the fruit fly Drosophila
melanogaster.
AB - Animals are routinely colonized by microorganisms. Despite many studies
documenting the microbial taxa associated with animals, the pattern and
ecological determinants of among-animal variation in microbial communities are
poorly understood. This study quantified the bacterial communities associated
with natural populations of Drosophila melanogaster. Across five collections,
each fly bore 16-78 OTUs, predominantly of the Acetobacteraceae,
Lactobacillaceae, and Enterobacteriaceae. Positive relationships, mostly among
related OTUs, dominated both the significant co-occurrences and co-association
networks among bacteria, and OTUs with important network positions were generally
of intermediate abundance and prevalence. The prevalence of most OTUs was well
predicted by a neutral model suggesting that ecological drift and passive
dispersal contribute significantly to microbiome composition. However, some
Acetobacteraceae and Lactobacillaceae were present in more flies than predicted,
indicative of superior among-fly dispersal. These taxa may be well-adapted to the
Drosophila habitat from the perspective of dispersal as the principal benefit of
the association to the microbial partners. Taken together, these patterns
indicate that both stochastic processes and deterministic processes relating to
the differential capacity for persistence in the host habitat and transmission
between hosts contribute to bacterial community assembly in Drosophila
melanogaster.
PMID- 29358736
TI - Calling from distance: attraction of soil bacteria by plant root volatiles.
AB - Plants release a wide set of secondary metabolites including volatile organic
compounds (VOCs). Many of those compounds are considered to function as defense
against herbivory, pests, and pathogens. However, little knowledge exists about
the role of belowground plant VOCs for attracting beneficial soil microorganisms.
We developed an olfactometer system to test the attraction of soil bacteria by
VOCs emitted by Carex arenaria roots. Moreover, we tested whether infection of C.
arenaria with the fungal pathogen Fusarium culmorum modifies the VOCs profile and
bacterial attraction. The results revealed that migration of distant bacteria in
soil towards roots can be stimulated by plant VOCs. Upon fungal infection, the
blend of root VOCs changed and specific bacteria with antifungal properties were
attracted. Tests with various pure VOCs indicated that those compounds can
diffuse over long distance but with different diffusion abilities. Overall, this
work highlights the importance of plant VOCs in belowground long-distance plant
microbe interactions.
PMID- 29358737
TI - Inverse-Designed Broadband All-Dielectric Electromagnetic Metadevices.
AB - This paper presents a platform combining an inverse electromagnetic design
computational method with additive manufacturing to design and fabricate all
dielectric metadevices. As opposed to conventional flat metasurface-based devices
that are composed of resonant building blocks resulting in narrow band operation,
the proposed design approach creates non-resonant, broadband (Deltalambda/lambda
up to >50%) metadevices based on low-index dielectric materials. High-efficiency
(transmission >60%), thin (<=2lambda) metadevices capable of polarization
splitting, beam bending, and focusing are proposed. Experimental demonstrations
are performed at millimeter-wave frequencies using 3D-printed devices. The
proposed platform can be readily applied to the design and fabrication of
electromagnetic and photonic metadevices spanning microwave to optical
frequencies.
PMID- 29358739
TI - Mice use robust and common strategies to discriminate natural scenes.
AB - Mice use vision to navigate and avoid predators in natural environments. However,
their visual systems are compact compared to other mammals, and it is unclear how
well mice can discriminate ethologically relevant scenes. Here, we examined
natural scene discrimination in mice using an automated touch-screen system. We
estimated the discrimination difficulty using the computational metric structural
similarity (SSIM), and constructed psychometric curves. However, the performance
of each mouse was better predicted by the mean performance of other mice than
SSIM. This high inter-mouse agreement indicates that mice use common and robust
strategies to discriminate natural scenes. We tested several other image metrics
to find an alternative to SSIM for predicting discrimination performance. We
found that a simple, primary visual cortex (V1)-inspired model predicted mouse
performance with fidelity approaching the inter-mouse agreement. The model
involved convolving the images with Gabor filters, and its performance varied
with the orientation of the Gabor filter. This orientation dependence was driven
by the stimuli, rather than an innate biological feature. Together, these results
indicate that mice are adept at discriminating natural scenes, and their
performance is well predicted by simple models of V1 processing.
PMID- 29358738
TI - Kinin-B1 Receptor Stimulation Promotes Invasion and is Involved in Cell-Cell
Interaction of Co-Cultured Glioblastoma and Mesenchymal Stem Cells.
AB - Glioblastoma multiforme (GBM) represents the most lethal brain tumour, and these
tumours have very limited treatment options. Mesenchymal stem cells (MSC) are
considered as candidates for advanced cell therapies, due to their tropism
towards GBM, possibly affecting their malignancy, thus also representing a
potential therapeutic vector. Therefore, we aimed to compare the effects of bone
marrow-derived versus adipose-tissue-derived MSC (BM-/AT-MSC) on heterogeneous
populations of tumour cells. This cells' interplay was addressed by the in-vitro
two-dimensional (monolayer) and three-dimensional (spheroid) co-culture models,
using U87 and U373 GBM cell lines, expressing genotypically different mesenchymal
transcriptome profiles. U87 cell low mesenchymal profile expressed high levels of
kinin receptor 1 (B1R) and their invasion was greatly enhanced by the B1R agonist
des-Arg9-bradykinin upon BM-MSC co-culturing in 3D co-cultures. This correlated
to significantly higher cell-cell interactions in U87/BM-MSC mixed spheroids.
This was not observed with the U373 cells and not in AT-MSC co-cultures.
Altogether, these data support the on-going exploration of B1R as target for
adjuvant approach in GBM therapy. Secondly, the results emphasize the need for
further careful exploration of the selectivity regarding the origin of MSC as
potential candidates for cell therapies, particular in cancer, where they may
adversely affect heterogeneous tumour cell populations.
PMID- 29358740
TI - Quasi-continuous transition from a Fermi liquid to a spin liquid in kappa
(ET)2Cu2(CN)3.
AB - The Mott metal-insulator transition-a manifestation of Coulomb interactions among
electrons-is known as a discontinuous transition. Recent theoretical studies,
however, suggest that the transition is continuous if the Mott insulator carries
a spin liquid with a spinon Fermi surface. Here, we demonstrate the case of a
quasi-continuous Mott transition from a Fermi liquid to a spin liquid in an
organic triangular-lattice system kappa-(ET)2Cu2(CN)3. Transport experiments
performed under fine pressure tuning have found that as the Mott transition is
approached, the Fermi liquid coherence temperature continuously falls to the
scale of kelvins, with a divergent quasi-particle decay rate on the metal side,
and the charge gap continuously closes on the insulator side. A Clausius
Clapeyron analysis provides thermodynamic evidence for the extremely weak first
order nature of the transition. These results provide additional support for the
existence of a spinon Fermi surface, which becomes an electron Fermi surface when
charges are delocalized.
PMID- 29358741
TI - The structure of serum resistance-associated protein and its implications for
human African trypanosomiasis.
AB - Only two trypanosome subspecies are able to cause human African trypanosomiasis.
To establish an infection in human blood, they must overcome the innate immune
system by resisting the toxic effects of trypanolytic factor 1 and trypanolytic
factor 2 (refs. 1,2). These lipoprotein complexes contain an active, pore-forming
component, apolipoprotein L1 (ApoL1), that causes trypanosome cell death 3 . One
of the two human-infective subspecies, Trypanosoma brucei rhodesiense, differs
from non-infective trypanosomes solely by the presence of the serum resistance
associated protein, which binds directly to ApoL1 and blocks its pore-forming
capacity3-5. Since this interaction is the single critical event that renders T.
b. rhodesiense human- infective, detailed structural information that allows
identification of binding determinants is crucial to understand immune escape by
the parasite. Here, we present the structure of serum resistance-associated
protein and reveal the adaptations that occurred as it diverged from other
trypanosome surface molecules to neutralize ApoL1. We also present our mapping of
residues important for ApoL1 binding, giving molecular insight into this
interaction at the heart of human sleeping sickness.
PMID- 29358743
TI - Nature of the low magnetization decay on stacks of second generation
superconducting tapes under crossed and rotating magnetic field experiments.
AB - The extremely low decay factor on the trapped magnetic field by stacks of second
generation high-temperature superconducting tapes reported in Appl. Phys. Lett.
104, 232602 (2014), is in apparent contradiction with the classical results for
the demagnetization of superconducting bulks and thin films, where the samples
undergo a severe and progressive decay under crossed magnetic field conditions.
Nevertheless, in this paper, we demonstrate how the theoretical approaches and
experimental measurements on superconducting bulks, thin films, and stacks of
superconducting tapes can be reconciled, not only under the crossed field
configuration but also under rotating magnetic field conditions, by showing that
the stacks of commercial tapes behave as a system of electrically unconnected
layers preventing the deformation of profiles of current along its external
contour. This study extends up to the consideration of using novel
superconducting/ferromagnetic metastructures, where soft ferromagnetic films are
interlayered, reporting a further reduction on the magnetization decay of about
50% in the crossed field configuration. Remarkably, after applying the same
number of cycles either of rotating or crossed magnetic field to these
metastructures, the difference between the magnetization decay is found to be
negligible, what demonstrates their highly superior performance when compared to
conventional stacks of superconducting tapes.
PMID- 29358742
TI - Increased diversity of peptidic natural products revealed by modification
tolerant database search of mass spectra.
AB - Peptidic natural products (PNPs) include many antibiotics and other bioactive
compounds. While the recent launch of the Global Natural Products Social (GNPS)
molecular networking infrastructure is transforming PNP discovery into a high
throughput technology, PNP identification algorithms are needed to realize the
potential of the GNPS project. GNPS relies on the assumption that each connected
component of a molecular network (representing related metabolites) illuminates
the 'dark matter of metabolomics' as long as it contains a known metabolite
present in a database. We reveal a surprising diversity of PNPs produced by
related bacteria and show that, contrary to the 'comparative metabolomics'
assumption, two related bacteria are unlikely to produce identical PNPs (even
though they are likely to produce similar PNPs). Since this observation
undermines the utility of GNPS, we developed a PNP identification tool, VarQuest,
that illuminates the connected components in a molecular network even if they do
not contain known PNPs and only contain their variants. VarQuest reveals an order
of magnitude more PNP variants than all previous PNP discovery efforts and
demonstrates that GNPS already contains spectra from 41% of the currently known
PNP families. The enormous diversity of PNPs suggests that biosynthetic gene
clusters in various microorganisms constantly evolve to generate a unique
spectrum of PNP variants that differ from PNPs in other species.
PMID- 29358744
TI - NMR studies on lignocellulose deconstructions in the digestive system of the
lower termite Coptotermes formosanus Shiraki.
AB - Termites represent one of the most efficient lignocellulose decomposers on earth.
The mechanism by which termites overcome the recalcitrant lignin barrier to gain
access to embedded polysaccharides for assimilation and energy remains largely
unknown. In the present study, softwood, hardwood, and grass lignocellulose diets
were fed to Coptotermes formosanus workers, and structural differences between
the original lignocellulose diets and the resulting feces were examined by
solution-state multidimensional nuclear magnetic resonance (NMR) techniques as
well as by complementary wet-chemical methods. Overall, our data support the view
that lignin polymers are partially decomposed during their passage through the
termite gut digestive system, although polysaccharide decomposition clearly
dominates the overall lignocellulose deconstruction process and the majority of
lignin polymers remain intact in the digestive residues. High-resolution NMR
structural data suggested preferential removal of syringyl aromatic units in
hardwood lignins, but non-acylated guaiacyl units as well as tricin end-units in
grass lignins. In addition, our data suggest that termites and/or their gut
symbionts may favor degradation of C-C-bonded beta-5 and resinol-type beta-beta
lignin inter-monomeric units over degradation of ether-bonded beta-O-4 units,
which is in contrast to what has been observed in typical lignin biodegradation
undertaken by wood-decaying fungi.
PMID- 29358745
TI - Gene annotation bias impedes biomedical research.
AB - We found tremendous inequality across gene and protein annotation resources. We
observed that this bias leads biomedical researchers to focus on richly annotated
genes instead of those with the strongest molecular data. We advocate that
researchers reduce these biases by pursuing data-driven hypotheses.
PMID- 29358746
TI - Deactivation of Cellulase at the Air-Liquid Interface Is the Main Cause of
Incomplete Cellulose Conversion at Low Enzyme Loadings.
AB - Amphiphilic additives such as bovine serum albumin (BSA) and Tween have been used
to improve cellulose hydrolysis by cellulases. However, there has been a lack of
clarity to explain their mechanism of action in enzymatic hydrolysis of pure or
low-lignin cellulosic substrates. In this work, a commercial Trichoderma reesei
enzyme preparation and the amphiphilic additives BSA and Tween 20 were applied
for hydrolysis of pure Avicel cellulose. The results showed that these additives
only had large effects on cellulose conversion at low enzyme to substrate ratios
when the reaction flasks were shaken. Furthermore, changes in the air-liquid
interfacial area profoundly affected cellulose conversion, but surfactants
reduced or prevented cellulase deactivation at the air-liquid interface. Not
shaking the flasks or adding low amounts of surfactant resulted in near
theoretical cellulose conversion at low enzyme loadings given enough reaction
time. At low enzyme loadings, hydrolysis of cellulose in lignocellulosic biomass
with low lignin content suffered from enhanced enzyme deactivation at the air
liquid interface.
PMID- 29358747
TI - Serum miR-375-3p increase in mice exposed to a high dose of ionizing radiation.
AB - Exposure to high-doses of ionizing radiation (IR) leads to development of a
strong acute radiation syndrome (ARS) in mammals. ARS manifests after a latency
period and it is important to develop fast prognostic biomarkers for its early
detection and assessment. Analysis of chromosomal aberrations in peripheral blood
lymphocytes is the gold standard of biological dosimetry, but it fails after high
doses of IR. Therefore, it is important to establish novel biomarkers of exposure
that are fast and reliable also in the high dose range. Here, we investigated the
applicability of miRNA levels in mouse serum. We found significantly increased
levels of miR-375-3p following whole body exposure to 7 Gy of X-rays. In
addition, we analyzed their levels in various organs of control mice and found
them to be especially abundant in the pancreas and the intestine. Following a
dose of 7 Gy, extensive cell death occurred in these tissues and this correlated
negatively with the levels of miR-375-3p in the organs. We conclude that high
expressing tissues of miR-375-3p may secrete this miRNA in serum following
exposure to 7 Gy. Therefore, elevated miR-375-3p in serum may be a predictor of
tissue damage induced by exposure to a high radiation dose.
PMID- 29358750
TI - Partnership for callusing.
PMID- 29358748
TI - Stress-dependent miR-980 regulation of Rbfox1/A2bp1 promotes ribonucleoprotein
granule formation and cell survival.
AB - Upon stress, profound post-transcriptional adjustments of gene expression occur
in spatially restricted, subcellular, membraneless compartments, or
ribonucleoprotein (RNP) granules, which are formed by liquid phase separation of
RNA-binding proteins with low complexity sequence domains (LCDs). Here, we show
that Rbfox1 is an LCD-containing protein that aggregates into liquid droplets and
amyloid-like fibers and promiscuously joins different nuclear and cytoplasmic RNP
granules. Using Drosophila oogenesis as an in vivo system for stress response, we
demonstrate a mechanism by which Rbfox1 promotes cell survival. The stress
dependent miRNA miR-980 acts to buffer Rbfox1 levels, since it targets only those
Rbfox1 transcripts that contain extended 3'UTRs. Reduced miR-980 expression
during stress leads to increased Rbfox1 levels, widespread formation of various
RNP granules, and increased cell viability. We show that human RBFOX proteins
also contain multiple LCDs and form membraneless compartments, suggesting that
the RNP granule-linked control of cellular adaptive responses may contribute to a
wide range of RBFOX-associated pathologies in humans.
PMID- 29358751
TI - Control of auxin-induced callus formation by bZIP59-LBD complex in Arabidopsis
regeneration.
AB - Induction of pluripotent cells termed callus by auxin represents a typical cell
fate change required for plant in vitro regeneration; however, the molecular
control of auxin-induced callus formation is largely elusive. We previously
identified four Arabidopsis auxin-inducible Lateral Organ Boundaries Domain (LBD)
transcription factors that govern callus formation. Here, we report that
Arabidopsis basic region/leucine zipper motif 59 (AtbZIP59) transcription factor
forms complexes with LBDs to direct auxin-induced callus formation. We show that
auxin stabilizes AtbZIP59 and enhances its interaction with LBD, and that
disruption of AtbZIP59 dampens auxin-induced callus formation whereas
overexpression of AtbZIP59 triggers autonomous callus formation. AtbZIP59-LBD16
directly targets a FAD-binding Berberine (FAD-BD) gene and promotes its
transcription, which contributes to callus formation. These findings define the
AtbZIP59-LBD complex as a critical regulator of auxin-induced cell fate change
during callus formation, which provides a new insight into the molecular
regulation of plant regeneration and possible developmental programs.
PMID- 29358749
TI - Impaired Functional Criticality of Human Brain during Alzheimer's Disease
Progression.
AB - The progression of Alzheimer's Disease (AD) has been proposed to comprise three
stages, subjective cognitive decline (SCD), mild cognitive impairment (MCI), and
AD. Was brain dynamics across the three stages smooth? Was there a critical
transition? How could we characterize and study functional criticality of human
brain? Based on dynamical characteristics of critical transition from nonlinear
dynamics, we proposed a vertex-wise Index of Functional Criticality (vIFC) of
fMRI time series in this study. Using 42 SCD, 67 amnestic MCI (aMCI), 34 AD
patients as well as their age-, sex-, years of education-matched 54 NC, our new
method vIFC successfully detected significant patient-normal differences for SCD
and aMCI, as well as significant negative correlates of vIFC in the right middle
temporal gyrus with total scores of Montreal Cognitive Assessment (MoCA) in SCD.
In comparison, standard deviation of fMRI time series only detected significant
differences between AD patients and normal controls. As an index of functional
criticality of human brain derived from nonlinear dynamics, vIFC could serve as a
sensitive neuroimaging marker for future studies; considering much more vIFC
impairments in aMCI compared to SCD and AD, our study indicated aMCI as a
critical stage across AD progression.
PMID- 29358752
TI - Citrus boom led to mafia.
PMID- 29358753
TI - Pharmacogenetic stimulation of neuronal activity increases myelination in an axon
specific manner.
AB - Mounting evidence suggests that neuronal activity influences myelination,
potentially allowing for experience-driven modulation of neural circuitry. The
degree to which neuronal activity is capable of regulating myelination at the
individual axon level is unclear. Here we demonstrate that stimulation of
somatosensory axons in the mouse brain increases proliferation and
differentiation of oligodendrocyte progenitor cells (OPCs) within the underlying
white matter. Stimulated axons display an increased probability of being
myelinated compared to neighboring non-stimulated axons, in addition to being
ensheathed with thicker myelin. Conversely, attenuating neuronal firing reduces
axonal myelination in a selective activity-dependent manner. Our findings reveal
that the process of selecting axons for myelination is strongly influenced by the
relative activity of individual axons within a population. These observed
cellular changes are consistent with the emerging concept that adaptive
myelination is a key mechanism for the fine-tuning of neuronal circuitry in the
mammalian CNS.
PMID- 29358755
TI - Maternal transmission disequilibrium of rs2248359 in type 2 diabetes mellitus
families and its association with vitamin D level in offspring.
AB - Association between T2DM and vitamin D deficiency has been reported in many
epidemiologic studies. 24-hydroxylase encoded by CYP24A1 is the enzyme that
degrades the active vitamin D metabolite. Variation in CYP24A1 may be associated
with T2DM. This study investigates the association between rs2248359 in CYP24A1
and T2DM by a family-based association test (FBAT) and in a case-control study.
The FBAT results revealed that there was transmission disequilibrium for allele T
in both additive model (Z = 2.041, P = 0.041227) and dominant model (Z = 2.722, P
= 0.006496). Results of the case-control study suggested that rs2248359 may be a
risk factor for female T2DM (P = 0.036) but not for male T2DM (P = 0.816).
Furthermore, excessive transmission of allele T in T2DM offspring was observed
compared with the non-T2DM offspring (OR 1.392; 95%CI 1.024-1.894; P = 0.035). In
addition, combination of maternal CT and paternal CC genotypes had significant
synergistic effect on obtaining CT genotype for offspring with T2DM (OR 6.245;
95%CI 1.868-20.883; P = 0.004). Besides, lower level of 25(OH)D in T2DM offspring
with genotype CT was observed as compared with the non-T2DM offspring (P =
0.013). These data suggest that maternal transmission disequilibrium of allele T
may be a risk factor for T2DM and vitamin D deficiency in T2DM offspring.
PMID- 29358756
TI - Design of novel materials for additive manufacturing - Isotropic microstructure
and high defect tolerance.
AB - Electron Beam Melting (EBM) is a powder-bed additive manufacturing technology
enabling the production of complex metallic parts with generally good mechanical
properties. However, the performance of powder-bed based additively manufactured
materials is governed by multiple factors that are difficult to control. Alloys
that solidify in cubic crystal structures are usually affected by strong
anisotropy due to the formation of columnar grains of preferred orientation.
Moreover, processing induced defects and porosity detrimentally influence static
and cyclic mechanical properties. The current study presents results on
processing of a metastable austenitic CrMnNi steel by EBM. Due to multiple phase
transformations induced by intrinsic heat-treatment in the layer-wise EBM process
the material develops a fine-grained microstructure almost without a preferred
crystallographic grain orientation. The deformation-induced phase transformation
yields high damage tolerance and, thus, excellent mechanical properties less
sensitive to process-induced inhomogeneities. Various scan strategies were
applied to evaluate the width of an appropriate process window in terms of
microstructure evolution, porosity and change of chemical composition.
PMID- 29358754
TI - The nasal microbiome mirrors and potentially shapes olfactory function.
AB - Olfactory function is a key sense for human well-being and health, with olfactory
dysfunction having been linked to serious diseases. As the microbiome is involved
in normal olfactory epithelium development, we explored the relationship between
olfactory function (odor threshold, discrimination, identification) and nasal
microbiome in 67 healthy volunteers. Twenty-eight subjects were found to have
normal olfactory function, 29 had a particularly good sense of smell ("good
normosmics") and 10 were hyposmic. Microbial community composition differed
significantly between the three olfactory groups. In particular, butyric acid
producing microorganisms were found to be associated with impaired olfactory
function. We describe the first insights of the potential interplay between the
olfactory epithelium microbial community and olfactory function, and suggest that
the microbiome composition is able to mirror and potentially shape olfactory
function by producing strong odor compounds.
PMID- 29358757
TI - Validation and Comparison of a Model of the Effect of Sea-Level Rise on Coastal
Wetlands.
AB - Models are used to project coastal wetland distribution under future sea-level
rise scenarios to assist decision-making. Model validation and comparison was
used to investigate error and uncertainty in the Sea Level Affecting Marshes
Model, a readily available model with minimal validation, particularly for
wetlands beyond North America. Accurate parameterisation is required to improve
the performance of the model, and indeed any spatial model. Consideration of
tidal attenuation further enhances model performance, particularly for coastal
wetlands located within estuaries along wave-dominated coastlines. The model does
not simulate vegetation changes that are known to occur, particularly when
sedimentation exceeds rates of sea-level rise resulting in shoreline
progradation. Model performance was reasonable over decadal timescales,
decreasing as the time-scale of retrospection increased due to compounding of
errors. Comparison with other deterministic models showed reasonable agreement by
2100. However, given the uncertainty of the future and the unpredictable nature
of coastal wetlands, it is difficult to ascertain which model could be realistic
enough to meet its intended purpose. Model validation and comparison are useful
for assessing model efficacy and parameterisation, and should be applied before
application of any spatially explicit model of coastal wetland response to sea
level rise.
PMID- 29358758
TI - Structural basis of AAUAAA polyadenylation signal recognition by the human CPSF
complex.
AB - Mammalian mRNA biogenesis requires specific recognition of a hexanucleotide
AAUAAA motif in the polyadenylation signals (PAS) of precursor mRNA (pre-mRNA)
transcripts by the cleavage and polyadenylation specificity factor (CPSF)
complex. Here we present a 3.1-A-resolution cryo-EM structure of a core CPSF
module bound to the PAS hexamer motif. The structure reveals the molecular
interactions responsible for base-specific recognition, providing a rationale for
mechanistic differences between mammalian and yeast 3' polyadenylation.
PMID- 29358760
TI - Lithospheric folding by flexural slip in subduction zones as source for reverse
fault intraslab earthquakes.
AB - Subduction requires the permanent generation of a bend fold in the subducting
slab which mechanics is not well understood. Lithospheric bending of subducting
slabs was traditionally considered to be accommodated by orthogonal flexure,
generating extensional outer rise earthquakes responsible of the external arc
elongation during folding. Here we explore the possibility of lithospheric
flexure being accommodated through simple shear deformation parallel to the slab
(folding by flexural slip) and evaluate this process as source of earthquakes.
The seismicity predicted by flexural slip dominated slab bending explains a
significant amount of intermediate earthquakes observed in subduction zones with
different degrees of coupling. This mechanism predicts the generation of
intraslab thrust earthquakes with fault planes subparallel to the slab top. Being
the orientations of the fault planes the same for the interface thrust
earthquakes and the flexural-slip intraslab earthquakes, the amount of seismic
moment liberated by the interface could be significantly lower than considered
before. This proposed seismic source should be taken into account in models and
hazard studies of subduction zones. Determining the seismic generating processes
in subduction zones and their characteristics is a fundamental issue for the
correct assessment of the associated seismic and tsunami risk.
PMID- 29358759
TI - TRF1 participates in chromosome end protection by averting TRF2-dependent
telomeric R loops.
AB - The shelterin protein TRF2 assembles protective T loops at chromosome ends by
stimulating intramolecular invasion of the telomeric G-rich single-stranded DNA
(ssDNA) overhang into the duplex telomeric array. The other shelterin factor,
TRF1, is thought to mainly facilitate telomeric dsDNA replication without
directly participating in end protection. Here we show that in vitro human TRF2
stimulates invasion of G-rich TERRA-like RNA into telomeric dsDNA, leading to
formation of telomeric RNA-DNA hybrids (telR loops). The N-terminal basic domain
of TRF2 binds to TERRA-like RNA and enables TRF2 to promote efficient RNA
invasion. TRF1, through its N-terminal acidic domain, counteracts TRF2-mediated
RNA invasion but not ssDNA invasion. In vivo, when TRF1 is depleted or replaced
with a variant lacking the acidic domain, TRF2 induces formation of telR loops,
which in turn cause telomere loss. Hence, uncontrolled TRF2 threatens telomere
integrity, and TRF1 directly supports end protection by suppressing harmful telR
loops.
PMID- 29358761
TI - A gigantic marine ostracod (Crustacea: Myodocopa) trapped in mid-Cretaceous
Burmese amber.
AB - The mid-Cretaceous Burmese amber (~99 Ma, Myanmar), widely known for exquisite
preservation of theropods, also yields microfossils, which can provide important
contextual information on paleoenvironment and amber formation. We report the
first Cretaceous ostracod in amber-the gigantic (12.9 mm) right valve of an
exclusively marine group (Myodocopa: Myodocopida) preserved in Burmese amber.
Ostracods are usually small (0.5-2 mm), with well-calcified carapaces that
provide an excellent fossil record extending to at least the Ordovician (~485
million years ago), but they are rarely encountered in amber. The new specimen
effectively doubles the age of the ostracod amber record, offering the first
representative of the Myodocopa, a weakly calcified group with a poor fossil
record. Its carapace morphology is atypical and likely plesiomorphic. The
preserved valve appears to be either a moulted exuvium or a dead and
disarticulated specimen, and subsequent resin flows contain forest floor
inclusions with terrestrial arthropods, i.e., fragmentary remains of spiders, and
insect frass. These features resolve an enigmatic taphonomic pathway, and support
a marginal marine setting for resin production.
PMID- 29358763
TI - Science at the museum.
PMID- 29358762
TI - Physical basis of specificity and delayed binding of a subtype selective sodium
channel inhibitor.
AB - Nerve and muscle signalling is controlled by voltage-gated sodium (Nav) channels
which are the targets of local anesthetics, anti-epileptics and anti-arrythmics.
Current medications do not selectively target specific types of Nav found in the
body, but compounds that do so have the potential to be breakthrough treatments
for chronic pain, epilepsy and other neuronal disorders. We use long computer
simulations totaling more than 26 MUs to show how a promising lead compound can
target one Nav implicated in pain perception and specific channels found in
bacteria, and accurately predict the affinity of the compound to different
channel types. Most importantly, we provide two explanations for the slow
kinetics of this class of compound that limits their therapeutic utility.
Firstly, the negative charge on the compound is essential for high affinity
binding but is also responsible for energetic barriers that slow binding.
Secondly, the compound has to undergo a conformational reorientation during the
binding process. This knowledge aids the design of compounds affecting specific
eukaryotic and bacterial channels and suggests routes for future drug
development.
PMID- 29358764
TI - Behind the art.
PMID- 29358766
TI - Addendum: Plasmonic nanosensors with inverse sensitivity by means of enzyme
guided crystal growth.
AB - This corrects the article DOI: 10.1038/nmat3337.
PMID- 29358767
TI - Corrigendum: Beating the thermodynamic limit with photo-activation of n-doping in
organic semiconductors.
AB - This corrects the article DOI: 10.1038/nmat5027.
PMID- 29358765
TI - Organic solar cells based on non-fullerene acceptors.
AB - Organic solar cells (OSCs) have been dominated by donor:acceptor blends based on
fullerene acceptors for over two decades. This situation has changed recently,
with non-fullerene (NF) OSCs developing very quickly. The power conversion
efficiencies of NF OSCs have now reached a value of over 13%, which is higher
than the best fullerene-based OSCs. NF acceptors show great tunability in
absorption spectra and electron energy levels, providing a wide range of new
opportunities. The coexistence of low voltage losses and high current generation
indicates that new regimes of device physics and photophysics are reached in
these systems. This Review highlights these opportunities made possible by NF
acceptors, and also discuss the challenges facing the development of NF OSCs for
practical applications.
PMID- 29358768
TI - Materials science challenges in paintings.
AB - Through the paintings of the old masters, we showcase how materials science today
provides us with a vision of the processes involved in the creation of a work of
art: the choice of materials, the painter's skill in handling these materials,
and the perception of the finished work.
PMID- 29358769
TI - Antimicrobials: Broad-spectrum antivirals.
PMID- 29358770
TI - DNA nanostructures: Through, rather than across.
PMID- 29358771
TI - Vaccine adjuvants: Softness makes it better.
PMID- 29358773
TI - Material witness: All that glitters.
PMID- 29358772
TI - Corrigendum: Plasmonic nanosensors with inverse sensitivity by means of enzyme
guided crystal growth.
AB - This corrects the article DOI: 10.1038/nmat3337.
PMID- 29358774
TI - Retraction: Graded bandgap perovskite solar cells.
AB - This corrects the article DOI: 10.1038/nmat4795.
PMID- 29358775
TI - Targeting c-MET in gastrointestinal tumours: rationale, opportunities and
challenges.
AB - This corrects the article DOI: 10.1038/nrclinonc.2017.40.
PMID- 29358776
TI - Prostate cancer: CTCs enable early prediction of response.
PMID- 29358777
TI - Haematological cancer: Improved sensitivity in MRD detection.
PMID- 29358779
TI - Colorectal cancer: Genomic landscape of mCRC revealed.
PMID- 29358781
TI - Kidney cancer: PBRM1 loss promotes tumour response to immunotherapy.
PMID- 29358780
TI - Gastrointestinal cancer: Novel promising first-line combination.
PMID- 29358782
TI - [Incidence of type 1 diabetes in Navarre (2009-2016): higher in the southern
regions of the autonomous community].
AB - BACKGROUND: Geographical and seasonal variations of type 1 diabetes (T1D) are
useful for establishing the key ethio-pathogenic factors of the disease. The
present work seeks to analyze the incidence rates of T1D in Navarre for the 2009
2016 period, its geographical distribution and seasonal variations in birth and
diagnosis in affected persons. METHODS: Prospective study with one primary and
three secondary sources. The completeness of the registry, determined using the
capture-recapture method, was 96.08%. The confidence intervals of zone and onset
season incidence rates were determined assuming an underlying Poisson
distribution. Adjusted effect of onset age, sex, onset season and geographical
area over changes in incidence rates were analyzed using a Poisson regression
model. Comparison among areas was carried out after the corresponding adjustments
of incidence by the indirect standardization method. RESULTS: Four hundred and
twenty-eight new cases were detected (incidence= 8.36/100,000 inhabitants per
year, CI95%: 7.58-9.19). The disease is predominant in males (63% of patients).
The incidence in children under 15 years was higher than in adults (21.54, CI95%:
18.43-25.02 vs. 5.94, CI95%: 5.23-6.71; p<0.001). Incidence was highest in the
four southern regions of Navarre, most of the cases being in winter and spring.
No differences were found regarding birth season over incidence. CONCLUSION:
Navarre maintains a high T1D incidence in childhood that decreases progressively
with age. Sex, age group, geographical zone and onset season are independently
associated with the incidence rates observed in the study.
PMID- 29358778
TI - Exogenous reproductive hormone use in breast cancer survivors and previvors.
AB - Considerable controversy exists regarding the safety of elective exogenous
hormonal exposure among breast cancer survivors and women at high risk of
developing the disease (referred to herein as 'previvors'). We performed a
qualitative analysis focused on four areas of potential exogenous exposure to
hormones among previvors and survivors: hormonal contraception; systemic hormone
replacement therapy (HRT); localized HRT; and hormonal manipulation for fertility
preservation or enhancement. Herein, we discuss the available data and present
clinical recommendations regarding the safety of hormonal exposure for both
previvors and survivors. We found these data to be hampered by small cohort
sizes, heterogeneous patient populations, and limited study designs, highlighting
a great need to conduct further research with the aim of enabling better-informed
patient management.
PMID- 29358783
TI - Prostate cancer incidence and mortality in Navarre (Spain).
AB - BACKGROUND: Prostate cancer is one of the most commonly diagnosed malignancies
among males worldwide. In this study, overall and age-specific incidence and
mortality trends are analyzed in order to present the past and current
epidemiological situation of the disease in Navarre (Spain). METHODS: Population
based incidence data from the 1975-2010 period, provided by the Cancer Registry
of Navarre and prostate cancer specific mortality data for 1975-2013, provided by
the Spanish Statistical Office, were used in the analysis. Age-standardized
incidence and mortality rates, change-points and annual percentage changes (APC)
were estimated by joinpoint regression analysis. One-dimensional P-spline models
were used to estimate projections up to 2016. RESULTS: Considerable increases of
cancer incidence rates in men aged 45-74 years were observed, with APC of +4.5%
(p<0.001), +9.5% (p<0.001) and +2.4% (p<0.05) in the 1975-1990, 1990-2000 and
2000-2010 periods, respectively. In the older than 74 age-group, an increase of
incidence rates in the 1975-1999 period was registered (APC +3.3%, p<0.001),
followed by a significant decrease up to 2010 (APC -4.0%, p<0.01). Mortality
rates rose until 1995 (APC +2.2%, p<0.001) whereas a decline occurred afterwards
up to 2013 (APC -3.4%, p<0.001). CONCLUSION: Even though overall prostate cancer
incidence rates seem to have stabilized in 2002-2010 in Navarra, trends were
different by age groups: increased in men 45-74 years old and decreased in the
75+ year age-group. A decline in mortality rates was observed in both age groups
since about 1995. Changes in the use of prostate specific antigen test for
screening in oncoming years could affect future prostate cancer trends.
PMID- 29358784
TI - [Uncomplicated urinary tract infections. Antimicrobial susceptibility study in
Navarre].
AB - BACKGROUND: Urinary tract infection (UTI) is a high prevalence infection at the
community level. In order to improve the adequacy of the empirical therapy, we
evaluated the etiology and the resistance pattern of the main uropathogens
responsible for community acquired UTI in Navarre. METHODS: Retrospective study
(2014-2016) in which we included microorganisms recovered with significant counts
from samples of patients with community-acquired UTI. The global etiology and
etiology according to age and sex was analyzed. Antimicrobial resistance was
studied with urotopathogens isolated in 2016. RESULTS: Escherichia coli was the
most frequently isolated microorganism both in the population (60.8%) and in each
of the groups analyzed according to age and sex. The sensitivity of E. coli was:
nitrofurantoin 97.4%, fosfomycin 96.5% amoxicillin-clavulanic acid 83.8%,
trimethoprim-sulfamethoxazole 68.3%, quinolones 63.4% and amoxicillin 41.9%.
Pooled sensitivity shows that the sensitivity to fosfomycin was 83.4% in men <15
years, 89.4% in women <15 years and 81.9% in women between 15-65 years; and to
nitrofurantoin was 86.7% in women <15 years and 82.2% in women between 15-65
years. CONCLUSIONS: E. coli continues to be the most frequent microorganism in
community-acquired UTI with a rate of sensitivity to fosfomycin and
nitrofurantoin above 95%. The empirical treatment of UTI in our environment
should not include amoxicillin, amoxicillin-clavulanic acid, trimethoprim
sulfamethoxazole or quinolones. Fosfomycin may be empirically used in the
treatment of uncomplicated cystitis in men younger than 15 years and in women
under 65 years, and nitrofurantoin may be used empirically in women under 65
years.
PMID- 29358785
TI - [Opinions of Andalusian primary health care professionals].
AB - BACKGROUND: The human papillomavirus (HPV) is the most common sexually
transmitted disease, but its vaccination coverage is among the lowest in
Andalusia. The aim of this study is to determine what primary health care
professionals think and find out about the prevention of HPV infection among
adolescents and the administration of the vaccine Method. Qualitative study using
six focus groups with professionals from Primary Health Care in Seville, Granada
and Jaen. Fourty-five professionals participated. An analysis of the semantic
content was made, and answers were categorized in thematic units. RESULTS:
Professionals recognize the difficulties in reaching the adolescent population
concerning prevention of sexual risk behaviors, due to lack of time and little
concern amongst adolescents about the preventive measures. Although the
professionals recognize the symptoms of HPV infection, some did not know about
the disease in men as well as the association with other cancers other than
cervical cancer. They hesitate over whether to recommend the vaccine or not,
leaving the decision to vaccinate their daughters in the hands of the parents.
CONCLUSION: It would be advisable to establish strategies that improve the
information that the professionals have about HPV as well as the benefits of the
vaccine, so that they can transmit the benefits clearly and assertively to the
parents. This would avoid parental uncertainty, improve vaccination rates, and
decrease complications of infection (cancer).
PMID- 29358786
TI - [Past and present of monitoring the functional immune response developed against
Epstein-Barr and Adenovirus in hematopoietic stem cell transplantation].
AB - Epstein-Barr (EBV) and Adenovirus (AdV) viral infections represent a significant
cause of morbi-mortality in allogeneic hematopoietic stem cell transplantation
recipients due to the use of immunomyelosuppressive treatments and the prolonged
period of immunodeficiency that they generate. To date, the post-transplant
protective role of EBV and AdV specific CD8+ T lymphocytes (CTLs) has been
demonstrated. However, other factors are increasingly important in regulating the
reconstitution and activity of CTLs specific to these viruses such as different
cell subpopulations (CD4 + T lymphocytes, regulatory T lymphocytes, dendritic
cells, Natural Killer cells, etc.), molecular mechanisms of immunoregulation and
the drugs administered to the patient as prophylaxis for a possible graft-versus
host disease. The aim of this review is to analyze the importance of monitoring
the functional EBV and AdV-specific cellular response in the management of post
transplant recipients.
PMID- 29358787
TI - Risk factors for failure of continuous positive airway pressure treatment in
patients with ostructive sleep apnoea.
AB - BACKGROUND AND AIMS: Obstructive sleep apnoea is a potentially serious sleep
disorder associated with the risk of cardiovascular disease. It is treated with
continuous airway pressure (CPAP) but this is not always successful. Unsuccessful
cases should be treated by bilevel positive airway pressure (BiPAP). The aim of
this study was to determine whether common respiratory parameters and/or body
mass index (BMI) can be used to predict the probability CPAP failure and hence
start such patients on BiPAP from the outset. METHODS: A sample of patients
treated by CPAP for OSAS was evaluated a retrospective cohort study. The data
measured in sleep monitoring of the successfully treated group and of the group
where CPAP had failed were compared. Subsequently, the predictive abilities of
BMI, Apnoea Index (AI), Apnoea-Hypopnea Index (AHI), percentage of sleep time in
less than 90% oxygen saturation (T90), average oxygen saturation over the
duration of sleep (SaO2) and average desaturation per hour of sleep (ODI) were
assessed with respect to CPAP failure, both individually and in combination.
RESULTS: A sample of 479 patients was included in the study. All of the recorded
variables except AI were significantly associated with failure of CPAP and their
ability to predict the failure ranged from poor to moderate. Since there was
significant correlation among all the variables measured a two-variable
prediction model combining T90 and BMI produced no significant improvement in the
quality of CPAP failure prediction. CONCLUSIONS: BMI was a significant predictor
of CPAP failure although it was slightly less predictive than T90. The set of
monitored variables included in our study does not allow for CPAP failure to be
predicted with clinically relevant reliability.
PMID- 29358788
TI - Irritable bowel syndrome - from etiopathogenesis to therapy.
AB - Irritable bowel syndrome (IBS) is a chronic and relapsing functional
gastrointestinal disorder that affects 9-23% of the population across the world.
Patients with IBS are often referred to gastroenterology, undergo various
investigations, take various medicines, take time off work and have a poor
quality of life. The pathophysiology of IBS is not yet completely understood and
seems to be multifactorial. Many pathogenetic factors, in various combinations,
and not all necessarily present in each patient, can play an important role.
Discomfort or abdominal pain relieived by defacation, asociated with a change in
stool form, is a typical clinical manifestation of IBS. Many factors, such as
emotional stress and eating, may exacerbate the symptoms. A timely diagnosis of
IBS is important so that treatment which will provide adequate symptomatic relief
(diarrhoea, constipation, pain and boaring) can be introduced. The diagnosis of
IBS is not confirmed by a specific test or structural abnormality. It is made
using criteria based on clinical symptoms such as Rome criteria, unless the
symptoms are thought to be atypical. Today the Rome Criteria IV is the current
gold-standard for the diagnoses of IBS. Treatment of patients with IBS requires a
multidisciplinary approach. Some patients respond well to non-pharmacological
treatment, while others also require pharmacological treatment. This review will
provide a summary of pathophysiology, diagnostic criteria and therapies for IBS.
PMID- 29358789
TI - Crohn's disease - genetic factors and progress of the disease.
AB - BACKGROUND AND OBJECTIVES: Crohn's disease is a multifactorial inflammatory
disease affecting mainly the gastrointestinal tract. The genetic factors that are
involved in the disease include mainly three mutations of the gene NOD2/CARD15
(R702W, G908R, 3020insC). The aim of this study was to determine the relationship
between the presence of these variants and disease phenotype. MATERIAL AND
METHODS: 70 patients with Crohn's disease were examined for the presence of the
above-mentioned mutations. The researchers used the medical records to
retrospectively obtain clinical data and together with the information obtained
prospectively according to the protocol they analysed the connection between gene
mutations and disease phenotype. RESULTS: At least one mutation was found in 22
patients with Crohn's disease (32%), four patients were found to have two
different mutations (composed heterozygotes - 6%) and six patients (9%) were
homozygotes for the 3020insC gene. No significant differences were found between
the groups with wild-type form and the mutated form of the NOD2 / CARD15 gene
with respect to age at the time of diagnosis, form of the disease or localization
according to the Montreal classification. CONCLUSION: Mutations of the NOD2 /
CARD15 gene did not significantly affect the frequency of reoperations,
homozygotes with 3020insC gene mutations, however, represented a high risk group.
The phenotype was not related significantly to the presence of the examined
mutations.
PMID- 29358790
TI - Evaluation of microtextural properties of sourdough wheat bread obtained from
optimized formulation using scanning electron microscopy and image analysis
during shelf life.
AB - The aim of this study was to evaluate the microtextural properties of optimized
wheat bread formulation consisting sourdough (A) prepared with two different
fermentation methods [spontaneous fermentation (F1) versus starter of lactic acid
bacteria added fermentation (F2)], instant active dry yeast (B) and wheat bran
(C) during shelf life. The optimized levels for F1 were 11.45 g 100 g-1 for
sourdough, 1.10 g 100 g-1 for dry yeast and 1.58 g 100 g-1 for wheat bran and for
F2 6.99 g 100 g-1 for sourdough, 1.02 g 100 g-1 for dry yeast and 38.84 g 100 g-1
for wheat bran. The addition of sourdough significantly decreased the diameters
of starch granules of sourdough breads, and affected shape and surface apparance
of starch granules. The retrogradation phenomena during storage was explained
with the change of interaction between starch granules and protein matrix. The F1
fermentation method was found to be more effective in terms of bread textural
properties examined.
PMID- 29358791
TI - How well do plant based alternatives fare nutritionally compared to cow's milk?
AB - Due to the issues like lactose intolerance and milk allergy arising from the
consumption of cow's milk, there has been an increased demand in the plant based
alternative milks around the world. Food industry has addressed these demands by
introducing various milk beverages which are promoted as alternatives coming from
plant sources which include almond milk and soy milk. Though they are popularly
advertised as healthy and wholesome, little research has been done in
understanding the nutritional implications of consuming these milk beverages in
short term and long term. Further, consumers associate these alternatives to be a
direct substitute of cow's milk which might not be true in all cases. This review
tries to address the issue by outlining the differences between cow's milk and
commercially available alternative milks in terms of their nutrient content.
Though various plant based alternate milks have been studied, only the four most
consumed milk beverages are presented in this review which are consumed widely
around the world. A complete nutritional outline and the corresponding health
benefits of consuming these plant based milk beverages have been discussed in
detail which could help the consumers make an informed decision.
PMID- 29358792
TI - Novel soybean-based high protein bar rich in isoflavones improves insulin
sensitivity in diabetic Wistar rats.
AB - This study assessed the effect of whey protein substitution with isolated soy
protein in protein bar (PB) formulations at 25% (PB2), 50% (PB3), or 75% (PB1)
weight/weight on the proximate and mineral composition, sensory, and antidiabetic
properties. Sensory evaluation was conducted within diabetic (DB) and non
diabetic (NDB) consumers by preference ranking and acceptance test. The
formulations were analysed in terms of moisture, ash, protein, lipid,
carbohydrates, fibers and mineral content. The consumers did not distinguish the
formulations by preference ranking test. However, the acceptability test showed a
rating of 9 most frequent for PB1 (36.30%), followed by PB2 and PB3 (both
34.09%), among DB consumers. The PB1 and PB3 showed higher content of total,
soluble and insoluble fibers and, PB 2 presented higher carbohydrate content.
Potassium, sodium and calcium showed the highest mineral content in the
formulations. PB3 was assessed for glycaemic and lipidemic control in diabetics
and non-diabetics female Wistar rats, for this 20% of PB was added in the ration
consumed ad libitum, besides, the rats received 100 mg/kg b. w. by gavage daily.
The treatment did not reduce significantly fasting glucose, lipid profile, or
peripheral glucose disposal in DB or NDB rats. However, it significantly improved
insulin tolerance test values in diabetic rats. The results suggest that the
formulations showed good acceptance and potentially ameliorate insulin resistance
both in control group and in animal model of type II diabetes.
PMID- 29358793
TI - Relationship between the firmness of Golden Delicious apples and the
physicochemical characteristics of the fruits and their pectin during development
and ripening.
AB - Firmness at harvest determines the postharvest storability and quality of apples.
The climate change has altered the physiological processes of fruits and the
reliability of ripening indicators typically used to determine the harvest time,
compromising fruit firmness. In this study, 'Golden Delicious' apples were
harvested at several developmental/ripening stages (107, 122, 137, 152 and 167
days after full bloom, DAFB) and evaluated for physicochemical attributes, which
were correlated with fruit firmness. The 167 DAFB fruit corresponded to fruit at
the commercial harvest. Fruit harvested at 107 and 122 DAFB did not develop the
characteristics of ripe fruit while fruit harvested after 137 DAFB ripened
normally. Fruit at commercial harvest showed low firmness. The changes of fruit
weight, diameter, height, tristimulus color (L* values) as well as the content of
total soluble solids and moisture in fruit correlated well with fruit firmness (r
values from -0.76 to -0.97). The changes of pectin content, degree of
esterification, molecular weight and content of glucose and galactose in pectin
showed a positive relationship with firmness (r = 0.62-0.94). The content of
protein, galacturonic acid and mineral elements in pectin correlated negatively
with firmness (r -0.66 to -0.99). The results demonstrated that commercial
harvest was delayed 30 days, compromising fruit firmness. Some underestimated
ripening indicators may help in determining the harvest time of apples.
PMID- 29358794
TI - Study of the proximate and mineral composition of different Nigerian yam chips,
flakes and flours.
AB - Yam (Dioscorea spp) is an essential tuber crop for hundreds of millions of people
in many African, Asian and South American countries. Considering in particular
Southwest Nigeria, chips, flakes and flours are amongst the most common shelf
stable traditionally-processed yam products. This paper reports a systematic
study on the proximate (moisture, protein, carbohydrate, fibre, fat, ash and
gross energy) and mineral composition of these three food commodities sold in
Nigerian markets. Results showed no significant differences in the moisture,
crude protein and fibre content of all samples (10.0-12.3, 2.7-4.3 and 1.3-2.0
wt%, respectively). Gross energy was also comparable for all yam derived food
items (between 3300 and 3507 kcal/kg), contradicting the common belief that yam
flakes have lower nutritional value than chips and flours. Considering the
mineral composition, Ca, Mg, P and K were the predominant macronutrients.
Micronutrients such as Zn, Co, Mn and Cu were also detected. Significant
differences existed between products, and their various sources (markets).
Principal component analysis showed a direct correlation between ash content of
the samples and the assessed macronutrients, irrespective of the market, or the
seller of the commodities. This study confirmed that yam derived food stuffs have
an adequate nutritional composition, irrespective of their form and/or origin.
PMID- 29358795
TI - Jabuticaba (Myrciaria jaboticaba) juice obtained by steam-extraction: phenolic
compound profile, antioxidant capacity, microbiological stability, and sensory
acceptability.
AB - Jabuticaba (Myrciaria jaboticaba) is a dark-colored fruit native to Brazil that
has a desirable flavor and high anthocyanin content. In the present study,
jabuticaba juice was produced by steam-extraction and the phenolic compound
profile, antioxidant capacity, instrumental color, and microbiological quality
were evaluated during 90 days of storage at 25 degrees C. Cyanidin-3-O-glucoside
represented 45% of the total phenolic content of the juice, which reduced with
extent of 80% during storage. Total phenolic content of the juice increased by
59% during 90 days of storage, which entailed, average 4.4-fold increase in the
content of gallic and ellagic acids. FRAP assay was most sensitive for measuring
gallic and ellagic acids, while the TEAC assay was the most sensitive for
measuring anthocyanins. Although [Formula: see text] and [Formula: see text]
values of jabuticaba juice decreased and [Formula: see text] increased during
storage. Jabuticaba juice remained microbiologically stable during storage, and
did not support the growth of inoculated Escherichia coli and Salmonella
enteritidis, suggesting antimicrobial activity.
PMID- 29358796
TI - Antimicrobial protection of minced pork meat with the use of Swamp Cranberry
(Vaccinium oxycoccos L.) fruit and pomace extracts.
AB - The objective of the study was to determine the antimicrobial activity of Swamp
Cranberry (Vaccinium oxycoccos) fruit and pomace extracts (FSCE and PSCE) and
their efficiency in minced pork meat. Ethanol (96 and 40%) and water were used
for raw material extraction. Organic acids, flavonols, terpenes and stilbenes
composition of the extracts was determined using HPLC. Minimal inhibitory
concentration and minimum bactericidal/fungicidal concentration were determined
for bacteria and fungi strains using the broth macrodilution method. The growth
inhibition of Staphylococcus aureus, Listeria monocytogenes, Salmonella
Enteritidis, and Escherichia coli in inoculated fresh minced pork meat containing
2.5% we-PSCE or we-FSCE (prepared by using 40% ethanol) were evaluated within 6
days of refrigeration storage. Swamp Cranberry pomace extracts contained
stilbenes and more organics acids and flavonols than fruit extracts. Extracts
inhibited Gram-positive bacteria strains stronger than Gram-negative, regardless
of used raw material. The extracts did not show antifungal activity. Water
ethanol extracts (we-FSCE and we-PSCE) had stronger antibacterial properties than
ethanolic extracts (e-FSCE and e-PSCE) and aqueous extracts (w-FSCE and w-PSCE).
A 2.5% addition of we-PSCE or we-FSCE to minced pork meat resulted in a reduction
of the number of pathogenic cells by 4 log cycles after 4 days of refrigeration
storage. Baked burgers containing 2.5% of these extracts obtained high ratings
for color, taste, odor, juiciness, and overall acceptability that did not differ
statistically from control samples. Extracts from Swamp Cranberry constitute
interesting candidates for natural preservatives of minced pork meat.
PMID- 29358797
TI - Assessment of chemical and sensory quality of sugarcane alcoholic fermented
beverage.
AB - This study aimed to verify the technological feasibility, chemical quality and
sensory acceptance of alcoholic fermented beverage obtained from sugarcane juice.
A completely randomized design was applied. Sugar and alcohol content, phenolic
(HPLC-MS) and volatile (GS-MS) compounds, pH, density, dry matter and acidity of
the fermented beverage of sugarcane were quantified, as well as the acceptance of
the product was carried out. The complete fermentation of sugarcane lasted 7
days, and it was obtained an alcohol content of 8.0% v/v. Titrable acidity of the
beverage was of 67.31 meq L-1, pH 4.03, soluble solids of 5 degrees Brix,
reducing sugar of 0.07 g glucose 100 g-1, density of 0.991 g cm-3, reduced dry
matter of 14.15 g L-1, sulfates lower than 0.7 g K2SO4 L-1. Various phenolic
compounds, among which, gallic acid (10.97%), catechin (1.73%), chlorogenic acid
(3.52%), caffeic acid (1.49%), vanillic acid (0.28%), p-coumaric acid (0.24%),
ferulic acid (6.63%), m-coumaric acid (0.36%), and o-coumaric acid (0.04%).
Amongst aromatic compounds, were found mainly esters with fruity aromas (ethyl
ester hexanoic acid and ethyl ester octanoic acid). The sugarcane juice can be
commercialized as an alternative wine, as it presented adequate features to an
alcoholic fermented beverage and was sensory accepted by consumers.
PMID- 29358798
TI - A simple and fast method for discrimination of phage and antibiotic contaminants
in raw milk by using Raman spectroscopy.
AB - Phage and antibiotic in raw milk poses significant risks for starter culture
activity in fermented products. Therefore, rapid detection of phage and
antibiotic contaminations in raw milk is a crucial process in dairy science. For
this purpose, a preliminary novel method for detection of phage and antibiotic
was developed by using Raman spectroscopy. Streptococcus thermophilus phages and
ampicillin which are quite important elements in dairy industry were used as
model. The phage and antibiotic samples were added to raw milk separately, and
Raman measurements were carried out. The obtained spectra were processed with a
chemometric method. In this study, it has been demonstrated that the presence of
phage has a titer sufficient to stop the fermentation (107 pfu/ml), and
antibiotic in a concentration which inhibits the growth of starter cultures (0.5
ug/ml) in raw milk could be discriminated through Raman spectroscopy with a short
analysis time (30 min).
PMID- 29358799
TI - Developing a vacuum cooking equipment prototype to produce strawberry jam and
optimization of vacuum cooking conditions.
AB - Purpose of this study was to develop prototype cooking equipment that can work at
reduced pressure and to evaluate its performance for production of strawberry
jam. The effect of vacuum cooking conditions on color soluble solid content,
reducing sugars total sugars HMF and sensory properties were investigated. Also,
the optimum vacuum cooking conditions for strawberry jam were optimized for
Composite Rotatable Design. The optimum cooking temperature and time were
determined targeting maximum soluble solid content and sensory attributes
(consistency) and minimum Hue value and HMF content. The optimum vacuum cooking
conditions determined were 74.4 degrees C temperature and 19.8 time. The soluble
solid content strawberry jam made by vacuum process were similar to those
prepared by traditional method. HMF contents of jams produced with vacuum cooking
method were well within limit of standards.
PMID- 29358800
TI - Effects of beta-glucan and resistant starch on wheat dough and prebiotic bread
properties.
AB - White wheat flour is a poor source of dietary fiber. Therefore a demand exists
for enrichment of bread with non-digestible prebiotic ingredients that exert
health-promoting effects. In this study, the effects of beta-glucan (BG) and
resistant starch (RS) on the dough properties and bread-making characteristics
were investigated. The water absorption of doughs increased with increasing BG
and RS levels. Also, development time and farinograph quality number of BG
enriched doughs remained similar to that of the control while the doughs
stability decreased, and all of these values decreased when the RS was added. BG
was more effective in increasing the dough softening than RS. The resistance to
deformation, energy, maximum resistance and ratio number values; increased with
the addition of RS or BG, but their extensibility was decreased in comparison to
the control. Formulation containing BG/RS combination showed the best farinograph
(development time, stability) and extensograph (resistance and extensibility)
parameters. The application of BG and RS had similar effect on specific volume,
and moisture content while it caused a decrease in firmness after 5 days of
storage.
PMID- 29358801
TI - Assessment of chemically characterised Gaultheria fragrantissima Wall. essential
oil and its major component as safe plant based preservative for millets against
fungal, aflatoxin contamination and lipid peroxidation during storage.
AB - The present study aims survey of fungi causing deterioration of millets during
storage, detection of aflatoxigenic fungal strains ans assessment of chemically
characterized Gaultheria fragrantissiuma Wall essential oil (EO) and its major
component methyl salicylate (MS) as plant based preservative. Essential oil (EO)
and its major component methyl salicylate (MS) as plant based preservative was
evaluated. In this study a total of 13 fungal species along with toxigenic strain
of Aspergillus flavus were isolated from the millets. Chemical characterization
of G. fragrantissima EO through GC-MS analysis revealed Methyl salicylate
(98.04%) as major component. The EO significantly inhibited growth and aflatoxin
B1 production by toxigenic strain of A. flavus LHP (B)-7 at 1.0 and 0.7 ul ml-1
respectively. In addition, EO exhibited remarkable antioxidant activity (IC50 7.5
ul ml-1). EO and MS showed non phytotoxic nature on germination of millets. The
LD50 of the EO was 3833.33 ul kg-1 for mice showing favourable safety profile. In
view of side effects of synthetic preservatives, the study recommends G.
fragrantissima EO as a safe plant based preservative to enhance shelf-life of
food commodities during storage.
PMID- 29358802
TI - Effect of plant extracts on lipid and protein oxidation of mackerel (Scomber
scombrus) mince during frozen storage.
AB - The effects of different plant extracts [green tea extract (GTE), grape seed
extract (GSE), and pomegranate rind extract (PRE)] at a level of 100 ppm
equivalent phenolics and butylated hydroxytoluene (BHT) on the changes in quality
of fish (Scomber scombrus) mince during 6 months frozen storage at -18 +/- 1
degrees C were investigated. During storage, significant oxidative reactions in
both the lipids and proteins were observed with the increase in thiobarbituric
acid reactive substances (TBARS) and carbonyls and decrease in sulphydryl groups
and protein solubility. BHT and PRE effectively inhibited lipid oxidation as
lower peroxide and TBARS values were observed. Moreover, antioxidants added to
minced fish significantly reduced protein oxidation compared to control without
any antioxidant. The minced fish containing PRE had lower carbonyl and higher
sulphydryl contents, but no significant differences for carbonyl and sulphydry
contents were observed among antioxidant sources. Protein solubility decreased
with increase in storage period. The loss of protein solubility was higher in
control samples than in antioxidant treated ones. Among antioxidant sources, PRE
was an excellent antioxidant toward both lipid and protein oxidations. Therefore,
it could be a potential source of natural antioxidants in minced fish during
frozen storage.
PMID- 29358803
TI - Production of egg white protein hydrolysates with improved antioxidant capacity
in a continuous enzymatic membrane reactor: optimization of operating parameters
by statistical design.
AB - This study focuses on the influence of operating conditions on Alcalase-catalyzed
egg white protein hydrolysis performed in a continuously stirred tank reactor
coupled with ultrafiltration module (10 kDa). The permeate flow rate did not
significantly affect the degree of hydrolysis (DH), but a significant increase in
process productivity was apparent above flow rate of 1.9 cm3 min-1. By contrast,
an increase in enzyme/substrate (E/S) ratio provided an increase in DH, but a
negative correlation was observed between E/S ratio and productivity. The
relationship between operating conditions and antioxidant properties of the
hydrolysates, measured by three methods, was studied using Box-Behnken
experimental design and response surface methodology. The statistical analysis
showed that each variable (impeller speed, E/S ratio, and permeate flow rate) had
a significant effect on the antioxidant capacity of all tested systems.
Nevertheless, obtained response functions revealed that antioxidative activity
measured by DPPH, ABTS and FRAP methods were affected differently by the same
operating conditions. High impeller speeds and low permeate flow rates favor ABTS
while high impeller speeds and high permeate flow rates had a positive effect on
the DPPH scavenging activity. On the other hand, the best results obtained with
FRAP method were achieved under moderate operating conditions. The integration of
the reaction and ultrafiltration membrane separation in a continuous manner
appears to be a right approach to improve and intensify the enzymatic process,
enabling the production of peptides with desired antioxidant activity.
PMID- 29358804
TI - Impact of pre-drying and frying time on physical properties and sensorial
acceptability of fried potato chips.
AB - In this work the effects of pre-drying and frying time on colour, oil, texture
and sensorial acceptability (overall liking) of potato chips were evaluated.
Potato chips were pre-dried for 0, 10, 20 and 30 min at 60 degrees C and fried
in soybean oil at 190 degrees C for 60, 70 and 80 s. The colour parameters (L*,
a* and b*) increased or decreased depending on the pre-drying and frying time.
Hardness increased as the pre-drying and frying time increased. On the other
hand, the water initially removed by pre-drying decrease the gradient of mass
transfer (water-oil). The oil content reduced to (about 21%) in pre-dried samples
when compared to control sample. Finally, sensorial evaluation showed that
samples without pre-drying and/or fried for very short or very long times had low
acceptance levels. The pre-drying and frying times influenced the colour,
texture, water and oil content, and resulted into fried potato chips with better
acceptance scores.
PMID- 29358805
TI - Effect of carbon dioxide (CO2) and oxygen (O2) levels on quality of 'Palmer'
mangoes under controlled atmosphere storage.
AB - With the objective to evaluate the modifications in the fruit quality, 'Palmer'
mangoes were stored at 12.8 degrees C for 30 days in controlled atmosphere
storage that contained a low level of oxygen (5 kPa) which was associated with
increasing levels of carbon dioxide CO2 (0, 1, 5, 10, 15 and 20 kPa CO2).
Controlled atmosphere storage did not effect mango respiration. However, transfer
mangoes, that were previously stored at high levels of CO2 (5 kPa O2 + 15 kPa CO2
and 5 kPa O2 + 20 kPa CO2) to ambient temperature presented higher respiratory
rates. No significant effects of increasing CO2 levels on color (L*,
chromaticity, and hue angle), firmness, physical-chemical parameter and
carbohydrate metabolism (total and reducing sugars, soluble pectin) were
observed. After transfer to ambient temperature the mangoes ripened normally
without any signs of CO2 injury. Therefore, the increment levels of CO2 neither
improved the quality of the 'Palmer' mangoes nor presented a synergistic effect
with low-oxygen when compared to 5 kPa O2-control.
PMID- 29358806
TI - Effect of enzymatic pretreatment on the physical quality of plantain (Musa ssp.,
group AAB) employing airflow reversal drying.
AB - This work aimed to evaluate the effect of enzymatic pretreatment on the color and
texture of plantain (Musa ssp., group AAB) dried by airflow reversal drying.
Plantain slices 1.0 cm thick were used. Pretreatment with two commercial enzymes,
Pectinex Ultra SPL (Aspergillus aculeatus) and Pectinex 3XL (Aspergillus niger),
was performed. Drying kinetics were determined with and without pretreatment at
temperatures of 50, 65 and 80 degrees C using a fixed bed convective dryer. An
air speed of 6 m/s, a bed height of 5 cm and either unidirectional flow or
airflow reversal (every 15 min) were used for drying. Color and texture were
analyzed, and consumer acceptance of the results of the best treatments was
determined. Pretreatment with the enzyme A. niger and airflow reversal gave the
best drying kinetics and showed the greatest reduction in drying time (59.0%) at
80 degrees C. The best hardness results were found at 80 degrees C with A.
niger enzymatic pretreatment with both types of air flow. Brightness and hue
angle showed that samples pretreated with enzymes and dried at 65 degrees C had
a lighter yellow color compared to non-pretreated samples. Plantain samples
enzymatically pretreated and dried at 65 and 80 degrees C were the most accepted
by consumers. This kind of enzymatic pretreatment on plantain could allow the
conservation of some physical properties and reduction of drying times relative
to the current methodology.
PMID- 29358807
TI - Characterization of baru nut (Dipteryx alata Vog) flour and its application in
reduced-fat cupcakes.
AB - Baru is a native specie from the Brazilian "cerrado" with interesting nutritional
and sensory characteristics. The aim of our study was to characterize baru nut
flour (BF) and to explore the possibility of producing reduced-fat baru cupcakes.
Four different cupcake formulations were produced wheat flour (WF) containing 30%
BF with reductions of 50 (F1), 75 (F2) and 100% (F3) margarine, compared to a
control with 100% WF and 100% margarine (FC). BF showed 2.76% moisture, 19.2%
proteins, 40.8% lipids, 3.05% ash and 18.51% dietary fiber. The substitution of
wheat flour with 30% BF increased the mixing tolerance index and resistance to
extension in the rheological analyses; however, these changes did not greatly
influence cupcake quality. Firmness was the parameter most affected during shelf
life, with statistically significant differences between the formulations. The
cupcakes prepared with the blend of 70% WF + 30% BF and with fat reductions (F2
and F3) can be considered "light", with the reduction of more than 30% margarine
and a significant reduction of trans fatty acids. In the sensory analysis,
formulation F2 obtained good acceptance scores.
PMID- 29358808
TI - Study on the mobility of water and its correlation with the spoilage process of
salmon (Salmo solar) stored at 0 and 4 degrees C by low-field nuclear magnetic
resonance (LF NMR 1H).
AB - Low-field nuclear magnetic resonance (LF NMR) was used to investigate the water
mobility of salmon during cold storage and the correlation between texture,
freshness, sensory quality and transversal relaxation times (T2) of salmon were
studied. With the increasing of cold storage time, trapped water (T22), sensory,
water holding capacity and cooking loss were descended while free water (T23),
TVB-N and TBA were increased steadily, that reflected the quality of salmon
quality visually. There was a significant correlation between sensory, hardness,
TBA, cooking loss, K value and LF NMR parameters. The study showed that LF NMR
was sensitive to different storage conditions which may be applied to monitor the
quality of fish muscle, when the spoilage mechanism was affected by water
properties and muscle structure.
PMID- 29358809
TI - Effects of osmotic dehydration treatment on volatile compound (Myristicin)
content and antioxidants property of nutmeg (Myristica fragrans) pericarp.
AB - The effects of osmotic dehydration (OD) treatment on volatile compound
(myristicin) content and the antioxidant capacity of nutmeg (Myristica fragrans)
were studied. Fresh nutmeg pericarps were treated with varying sugar
concentrations (60, 70, 80%) with different soaking periods at ambient
temperature. The OD-treated nutmeg extracts were analyzed for myristicin content
via Gas Chromatography Flame Ionization Detector. The phenolic content and
antioxidant capacity were analyzed using Follin-Ciocalteu and a free radical
scavenging activity assay. The myristicin content was highest (1.69 mg/100 mg) at
80% sugar concentration after 3 h of soaking. Total phenolic content and free
radical scavenging activity were highest at 3 h of 80% sugar solution treatment
with values of 76.90% and 1.75 mg GAE/g, respectively. OD treatment at varying
sugar concentration levels and durations affects the production of myristicin and
antioxidant composition. Treatment of nutmeg with OD at 80% sugar concentration
for 3 h is preferable, resulting in an acceptable level of myristicin and high
antioxidants.
PMID- 29358810
TI - The effect of drying temperatures on antioxidant activity, phenolic compounds,
fatty acid composition and tocopherol contents in citrus seed and oils.
AB - In this study, the effect of drying temperature on antioxidant activity, phenolic
compounds, fatty acid composition and tocopherol content of citrus seeds and oils
were studied. Kinnow mandarin seed, dried at 60 degrees C, exhibited the highest
antioxidant activity. Orlendo orange seed had the maximum total phenolic content
and alpha-tocopherol content, with a value of 63.349 mg/100 g and 28.085 mg/g
(control samples), respectively. The antioxidant activity of Orlendo orange seed
(63.349%) was higher than seeds of Eureka lemon (55.819%) and Kinnow mandarin
(28.015%), while the highest total phenolic content was found in seeds of Kinnow
mandarin, followed by Orlendo orange and Eureka lemon (113.132). 1.2
Dihydroxybenzene (13.171), kaempferol (10.780), (+)-catechin (9.341) and
isorhamnetin (7.592) in mg/100 g were the major phenolic compounds found in
Kinnow mandarin. Among the unsaturated fatty acids, linoleic acid was the most
abundant acid in all oils, which varied from 44.4% (dried at 80 degrees C) to
46.1% (dried at 70 degrees C), from 39.0% (dried at 60 degrees C) to 40.0%
(dried at 70 degrees C). The total phenolic content, antioxidant activity and
phenolic compounds of citrus seeds and tocopherol content of seed oils were
significantly affected by drying process and varied depending on the drying
temperature.
PMID- 29358812
TI - Characterizing genotypic variation in biochemical composition, anti-nutritional
and mineral bioavailability of some Nigerian yam (Dioscorea spp.) land races.
AB - The aim of this study was to characterize 43 genotypes from five yam species
[Dioscorea rotundata (Poir), Dioscorea alata (Linn), Dioscorea bulbifera (Linn),
Dioscorea cayenensis (Lam) and Dioscorea dumetorum (Kunith) Pax] which are major
land races in Nigeria in terms of their chemical composition, nutritional, anti
nutritional and mineral bioavailability. Findings showed that there was genotypic
variation in terms of chemical composition, mineral profile and bioavailability
of the minerals among the germplasm. D. bulbifera had the highest cell wall
carbohydrates, (cellulose: 3.2%, hemicelluloses, 2.1%, lignin, 1.1%, acid
detergent fibre (ADF) 3.2%, neutral detergent fibre (NDF) 6.4%), D. rotundata had
the highest oxalate (606 mg/kg). In conclusion, intra and inter-species
variations exist among the yam germplasm in terms of their chemical composition,
anti-nutritional and mineral bioavailability. Phytate content of the yam
genotypes did not affect the bioavailability of Zn but Ca was affected
significantly. The Ox:Ca ratio in most of the yam varieties were below one, thus
bioavailability of Ca in yam by oxalate is variety dependent.
PMID- 29358811
TI - A rapid and sensitive evaluation of nitrite content in Saudi Arabian processed
meat and poultry using a novel ultra performance liquid chromatography-mass
spectrometry method.
AB - Quantitative assessment of nitrite (NO2-) anion was performed using a newly
developed high throughput ultra performance liquid chromatography-mass
spectrometric (UPLC-MS) method. The nitrite determination with the proposed
method using micellar mobile phase was unknown. Selected ion reaction mode using
negative electrospray ionization was adopted for the identification and
quantitative analysis of nitrite. The chromatographic separation was performed
using BEH C-18 column and a micellar mobile phase consisted of sodium dodecyl
sulphate and acetonitrile in ratio 30:70 was used. The elution of nitrite anion
was accomplished in less than 1 min. Under the optimal analysis conditions, the
linearity of the developed method was checked in the concentration range of 0.5
20 mg kg-1 NO2- with an excellent correlation coefficient of 0.996. The
precisions of the method with relative standard deviation <2% was observed when
standard at concentration of 1 mg kg-1 was used. The limit of detection and limit
of quantitation of the developed mass spectrometric method was found to be 0.114
and 0.346 mg kg-1, respectively. The developed UPLC/MS method was applied to
quantify this anion in processed meats and poultries from various super market of
Saudi Arabia (Riyadh region). The recoveries of the nitrite in the various
samples were found in the range of 100.03-103.5%.
PMID- 29358814
TI - Effect of malt process steps on bioactive properties and fatty acid composition
of barley, green malt and malt grains.
AB - In this study, the effect of barley malt process on antioxidant activity,
carotenoid content, oil yield, phenolic compounds and fatty acid composition of
barley, green malt and malt was investigated. The highest antioxidant activity
(79.80%) and total phenolic content (122.43 mg/100 g) was observed in green malt.
Carotenoid content of green malt (1.71 ug/g) was higher than those of barley and
malt. Green malt had the maximum (+)-catechin (69.06 mg/100 g), 1,2
dihydroxybenzene (37.21 mg/100 g), quercetin (30.78 mg/100 g) and isorhamnetin
(22.44 mg/100 g) content. Oil contents of samples ranged from 1.73 to 2.13% and
showed increase with malting process. While barley lipids contained 18.53%
palmitic, 19.94% oleic and 51.74% linoleic acids, malt oil contained 17.33%
palmitic, 15.62% oleic and 56.56% linoleic acids. Linoleic acid content increased
during malting process while oleic and palmitic acid content decreased.
PMID- 29358813
TI - Study on the spoilage potential of Pseudomonas fluorescens on salmon stored at
different temperatures.
AB - The bacterial kinetics and quality indexes [sensory quality, total volatile basic
nitrogen (TVB-N), thiobarbituric acid value, biogenic amine, and amino acids]
were analyzed on salmon inoculated with Pseudomonas fluorescens during storage
under different temperatures (30, 10, and 4 degrees C). The bacterial kinetics
revealed that P. fluorescens showed a steady growth at low temperatures (10 and 4
degrees C). The TVB-N yield factors of the sample stored at 4 degrees C
indicated that each bacterial cell of P. fluorescens displayed greater spoilage
activity at low temperatures. A remarkable correlation was found between the
production of biogenic amines and bacterial counts. The results also highlighted
that P. fluorescens cultured at 4 degrees C had higher demand for amino acids.
PMID- 29358815
TI - Modelling postmortem evolution of pH in beef M. biceps femoris under two
different cooling regimes.
AB - A model based on enzyme kinetics was developed to predict differences in
postmortem pH change in beef muscles as affected by cooling rate. For the
calibration and validation of the model, pH and temperature measurements were
conducted at different positions in M. biceps femoris following conventional
carcass cooling or faster cooling of the muscle after hot boning. The glycogen
conversion, and, hence, the pH fall, was observed to significantly vary with
position and cooling regime but only during the initial hours of cooling.
Comparison of the cooling regimes indicated that fast cooling following hot
boning avoids heat shortening induced by the combined effect of high temperature
and low pH.
PMID- 29358816
TI - Stabilities of tocopherols and phenolic compounds in virgin olive oil during
thermal oxidation.
AB - The effects of thermal oxidation at 60 degrees C on tocopherols (alpha, beta,
gamma) and phenolic compounds (hydroxytyrosol and tyrosol) of olive oil were
studied. Tocopherols were determined by HPLC and phenolic compounds by HPLC and
GC-MS. Peroxide value of olive oil increased with treatment time until it reached
to 56.6 meq/kg. alpha-Tocopherol, beta-tocopherol and gamma-tocopherol contents
of olive oil decreased with treatment time. alpha-Tocopherol in olive oil was
decomposed after 63 days of treatment. beta-Tocopherol in olive oil was depleted
after 33 days of treatment. The reduction in gamma-tocopherol of olive oil was
75% after 63 days of treatment. The degradation of hydroxytyrosol in olive oil
was 91% after 63 days of treatment. Tyrosol was more stable than hydroxytyrosol
in olive oil. Inverse correlations of peroxide value with hydroxytyrosol, alpha
Tocopherol, beta-tocopherol and gamma-tocopherol were observed.
PMID- 29358817
TI - Some probiotic characteristics of a fermented milk product based on microbiota of
"Tibetan kefir grains" cultivated in Ukrainian household.
AB - The article describes some probiotic properties of fermented product made of
natural association of Tibetan kefir grains cultivated in Ukrainian household
(UTKG); also, the effect of UTKG microbiota on the growth of pathogenic
microbiota and sensitivity to antibiotics was studied. It was found that the test
cultures of oppurtunistic pathogens (Staphylococcus aureus, Bacillus
mesentericus, and Mycobacterium luteum) were sensitive; bacteriostatic zone of
the test-culture ranged from 21 to 25 mm, and highly sensitive (Proteus vulgaris
and Aspergillus niger) bacteriostatic zone exceeded 25 mm to probiotic bacteria
of fermented product. UTKG microbiota is also moderately sensitive to multiple
antibiotics that allows defining the obtained fermented milk product as
functional with therapeutic properties. During the study of the influence of
different NaCl and bile concentrations on acid-activity of UTKG it was found that
active acid formation occurred at the concentrations up to 4% NaCl in cultivation
medium (boiled milk) and at 20% bile and 0.45% phenol. It proves microbial
association to be capable of withstanding adverse gastrointestinal conditions and
continue developing.
PMID- 29358819
TI - Volatile flavour compounds, sensory characteristics and antioxidant activities of
mungbean meal protein hydrolysed by bromelain.
AB - Enzymatic mungbean meal protein hydrolysate (eb-MPH) is a novel natural
flavour/antioxidant source. A 15% bromelain (w/w) concentration with a hydrolysis
time of 12 h was the optimum conditions to produce eb-MPH, which showed the
greatest antioxidant activities and sensory characteristics. eb-MPH was composed
of oligopeptides that had low molecular weight (< 10 kDa) as well as surface
hydrophobicity and high content of hydrophobic amino acids. eb-MPH contributed to
DPPH (80) and ABTS (95%) scavenging activities and to savoury/sweet flavour
volatile compounds (3-methyl-butanal, furfural and benzaldehyde), bouillon odour,
sweet odour, chicken odour, meaty odour, moderate bitter taste and umami. In
addition, principal component analysis (PCA) showed that 72.87% of the total
variance confirmed the correlation between DH, S0, DPPH, ABTS, sensory
characteristics and volatile flavour compounds. These results suggested that eb
MPH can be used as a natural food flavouring agent and antioxidant.
PMID- 29358818
TI - Inactivation model and risk-analysis design for apple juice processing by high
pressure CO2.
AB - Sigmoidal microbial survival curves are observed in high-pressure carbon dioxide
(HPCD) pasteurization treatments. The objectives of this study were to use the
Gompertz primary model to describe the inactivation in apple juice of the
pathogen Escherichia coli CGMCC1.90 and to apply probabilistic engineering to
select HPCD treatments meeting at least 5 log10 reductions (SV >= 5) at 95%
confidence. This required secondary models for the temperature (T, degrees C)
and pressure (P, MPa) dependence of the Gompertz model parameters. The
expressions [Formula: see text] and [Formula: see text] selected using goodness
of-fit measures and assessments based on Akaike and Bayesian information criteria
were consistent with proposed mechanistic models for HPCD bactericidal effects.
Monte Carlo simulations accounting for the variability and uncertainty of the
parameter b and c estimates were used to predict SV values for a given time,
temperature and CO2 pressure combination and desired confidence boundary. A
similar approach used to estimate process times meeting SV >= 5 at 95% confidence
for a given temperature and CO2 pressure combination, showed that HPCD processes
met this requirement only for relatively long processing times, i.e., 35-124 min
in the experimental range of 32-42 degrees C and 10-30 MPa. Therefore, further
HPCD research is required to reduce processing time.
PMID- 29358820
TI - Characterization of starch-based bioplastics from jackfruit seed plasticized with
glycerol.
AB - Biodegradable films based on starches from different botanical sources exhibited
physicochemical and functional properties which were related with the starch
characteristics. However, had inadequate mechanical properties and were hard and
brittle. In this research, jackfruit seed starch plasticized with glycerol were
developed and characterized. The starch and glycerol concentrations ranged from 2
to 6% w/w and 20 to 60 g/100 g starch, respectively. Bioplastics were obtained by
the casting method and characterized in terms of color, mechanical properties,
solubility, water vapor permeability (WVP), morphology and free energy of the
hydrophobic interaction. Electronic micrographics showed the presence of some
intact starch granules. The bioplastics were hydrophilic and those of 6% starch
and 40% glycerol were the most hydrophilic ([Formula: see text] = 41.35 mJ m-1).
The solubility of the films presented a direct relationship with the starch
concentration ranging from 16.42 to 23.26%. Increased opacity and color
difference were observed with increasing starch concentration. The WVP ranged
from 1.374 * 10-3 to 3.07 * 10-4 g m/day m2 which was positively related with the
concentration of starch and glycerol. Tensile strength, percent elongation and
Young's Modulus indicated that the jackfruit starch and glycerol provided a film
with good mechanical properties. The results replaced that jackfruit starch can
be used to develop films, with low opacity, moderate WVP and relatively high
mechanical stability, by using glycerol in the gelatinized starch dispersions.
PMID- 29358821
TI - Production and characterization of nanostructured lipid carriers and solid lipid
nanoparticles containing lycopene for food fortification.
AB - In this study, lycopene, was loaded on nanostructured lipid carrier and solid
lipid nanoparticles using combination of high shear homogenization and
ultrasonication method. Effect of applied lipids types, nanocarrier's type and
lycopene loading on physicochemical properties of developed nanocarriers were
studied. Particle sizes of developed nanocarriers were between 74.93 and 183.40
nm. Encapsulation efficiency of nanostructured lipid carrier was significantly
higher than solid lipid nanoparticles. Morphological study of developed
nanocarriers using scanning electron microscopy showed spherical nanoparticles
with smooth surface. Lycopene was entrapped in nanocarriers without any chemical
interaction with coating material according to Fourier transform infrared
spectroscopy spectrum and differential scanning calorimetry thermogram. Glycerol
monostearate containing nanoparticles showed phase separation after 30 days in 6
and 25 degrees C, whereas this event was not observed in nanosuspensions that
produced by glycerol distearate. Lycopene release in gastrointestinal condition
was studied by the dialysis bag method. To evaluate nanocarrier's potential for
food fortification, developed lycopene-loaded nanocarriers were added to orange
drink. Results of sensory analysis indicated that nanoencapsulation could obviate
the poor solubility and tomato after taste of lycopene. Fortified sample with
lycopene nanocarriers didn't show significant difference with blank orange drink
sample except in orange odor.
PMID- 29358822
TI - Seasonal variations of Saanen goat milk composition and the impact of climatic
conditions.
AB - The aim of this research was to investigate the effect of climatic conditions and
their impact on seasonal variations of physico-chemical characteristics of Saanen
goat milk produced over a period of 4 years. Lactation period (early, mid and
late) and year were considered as factors that influence physico-chemical
composition of milk. Pearson's coefficient of correlation was calculated between
the physico-chemical characteristics of milk (fat, proteins, lactose, non-fat dry
matter, density, freezing point, pH, titrable acidity) and climatic condition
parameters (air temperature, temperature humidity index-THI, solar radiation
duration, relative humidity). Results showed that all physico-chemical
characteristics of Saanen goat milk varied significantly throughout the lactation
period and years. The decrease of fat, protein, non-fat dry matter and lactose
content in goat milk during the mid-lactation period was more pronounced than was
previously reported in the literature. The highest values for these
characteristics were recorded in the late lactation period. Observed variations
were explained by negative correlation between THI and the physico-chemical
characteristics of Saanen goat milk. This indicated that Saanen goats were very
prone to heat stress, which implied the decrease of physico-chemical
characteristics during hot summers.
PMID- 29358823
TI - Caco-2 cell transport of purple sweet potato anthocyanins-phospholipids complex.
AB - In this study, the role of phospholipids in transepithelial transport and the
impact on the antioxidant activity of purple sweet potato anthocyanins (PSPAs)
was evaluated. PSPAs were purified by column chromatography, and then PSPAs
phospholipids complex (PSPAs-PC) was prepared. In antioxidant assay in vitro,
PSPAs-PC exhibited potential antioxidant activity; meanwhile, it exhibited
relatively higher stability in mimic gastrointestinal digestion conditions. The
inhibitory effect of PSPAs-PC on the oxidation of soybean oil was significantly
higher after 15 days storage. The presence of phospholipids increased the
transepithelial transport of PSPAs; its apparent permeability coefficient (Papp)
was higher, while its efflux ratio was lower than PSPAs. Based on the above
results, it clearly displays the potential of phospholipids in the promotion of
intestinal transport of PSPAs, and further studies are needed to explore the in
depth mechanism of the bioavailability promotion effect of phospholipids.
PMID- 29358824
TI - Purification and characterization of acid phosphatase from Macrotyloma uiflorum
seeds.
AB - Acid phosphatases play a crucial role in food processing industries to reduce
phosphate content of food. Here in acid phosphatase from the seeds of Macrotyloma
uniflorum has been purified to homogeneity using UNOsphere-S cation exchange
chromatography followed by gel filtration with 81.85 fold purification. Molecular
weight of purified enzyme was 55,000 (+/- 1040) Daltons under physiological
conditions. It was a heterodimer of subunits having molecular weights 27,093 and
28,241 Daltons as determined by MALDI-TOF analysis. The optimum pH and
temperature for the purified enzyme was 5.0 and 50 degrees C respectively. The
enzyme was stable in the pH range 3.5-5.5 and showed temperature stability up to
60 degrees C. Substrate specificity of enzyme was checked with different
substrates namely, p-nitrophenyl phosphate (p-NPP), ATP, ADP, glucose 6
phosphate, glucose-1-phosphate, fructose 6-phosphate, phenyl phosphate, alpha
naphthyl-phosphate, pyridoxyl phosphate and beta-glycerophosphate. Enzyme showed
high substrate specificity towards p-NPP, phenyl phosphate, ATP and alpha
naphthyl phosphate. Km and Vmax of enzyme were found to be 0.934 mM and 1.333
mM/min respectively with respect to p-NPP as a substrate. Chemical modification
studies showed that tryptophan was present at the active site of the enzyme.
PMID- 29358825
TI - Effects of fatty acids composition and microstructure properties of fats and oils
on textural properties of dough and cookie quality.
AB - This study was carried out to investigate the effect of fatty acid composition
and microstructure properties of fats and oils on the textural properties of
cookie dough and quality attributes of cookies. Fatty acid composition and
microstructure properties of six fats and oils (butter, hydrogenated fat, palm
oil, coconut oil, groundnut oil, and sunflower oil) were analyzed. Sunflower oil
was found to be the most unsaturated oil with 88.39% unsaturated fatty acid
content. Coconut oil and palm oil differed from other fats and oils by having an
appreciable amount of lauric acid (59.36%) and palmitic acid (42.14%),
respectively. Microstructure size of all fats and oils ranged from 1 to 20 MUm
being the largest for coconut oil and the smallest for palm oil. In palm oil,
small rod-shaped and randomly arranged microstructures were observed, whereas
sunflower oil and groundnut oil possessed large, scattered ovule shaped
microstructures. It was reported that sunflower oil produced the softest dough,
the largest cookie spread and the hardest cookie texture, whereas hydrogenated
fat produced the stiffest dough, the lowest spread and most tender cookies.
Statistical analysis depicted that palmitic acid and oleic acid demonstrated a
positive correlation with dough hardness. Linoleic acid exhibited positive link
with cookie spread ratio (r = 0.836**) and breaking strength (r = 0.792**).
Microstructure size showed a significant positive relationship with dough density
(r = 0.792**), cookie density (r = 0.386*), spread ratio (r = 0.312*), and
breaking strength (r = 0.303*).
PMID- 29358826
TI - Co-production of functional exopolysaccharides and lactic acid by Lactobacillus
kefiranofaciens originated from fermented milk, kefir.
AB - Kefiran is a functional exopolysaccharide produced by Lactobacillus
kefiranofaciens originated from kefir, traditional fermented milk in the
Caucasian Mountains, Russia. Kefiran is attractive as thickeners, stabilizers,
emulsifiers, gelling agents and also has antimicrobial and antitumor activity.
However, the production costs of kefiran are still high mainly due to high cost
of carbon and nitrogen sources. This study aimed to produce kefiran and its co
product, lactic acid, from low-cost industrial byproducts. Among the sources
tested, whey lactose (at 2% sugar concentration) and spent yeast cells
hydrolysate (at 6 g-nitrogen/L) gave the highest kefiran of 480 +/- 21 mg/L along
with lactic acid of 20.1 +/- 0.2 g/L. The combination of these two sources and
initial pH were optimized through Response Surface Methodology. With the
optimized medium, L. kefiranofaciens produced more kefiran and lactic acid up to
635 +/- 7 mg/L and 32.9 +/- 0.7 g/L, respectively. When the pH was controlled to
alleviate the inhibition from acidic pH, L. kefiranofaciens could consume all
sugars and produced kefiran and lactic acid up to 1693 +/- 29 mg/L and 87.49 +/-
0.23 g/L, respectively. Moreover, the fed-batch fermentation with intermittent
adding of whey lactose improved kefiran and lactic acid productions up to 2514 +/
93 mg/L and 135 +/- 1.75 g/L, respectively. These results indicate the promising
approach to economically produce kefiran and lactic acid from low-cost nutrient
sources.
PMID- 29358827
TI - Phytochemical composition, antioxidant and anti-bacterial activity of Syzygium
calophyllifolium Walp. fruit.
AB - Syzygium calophyllifolium fruits are among the important wild edibles used by the
tribes of Western Ghats. However, this underutilized fruit remained unnoticed for
its medicinal properties. Hence, the present study was undertaken to evaluate the
antioxidant activity by DPPH., ABTS.+, FRAP assays and antibacterial efficacy by
well diffusion method. GC-MS and HPLC profiles of crude extract and column
chromatographic fractions were also determined. The methanolic extract of fruit
(MFE) showed high total phenolics, tannins and flavonoids. The faction H (FH)
displayed significant antioxidant property in DPPH. (IC50 2.1 ug/ml), ABTS.+
(19483.29 MUM Trolox equivalents/g extract) and FRAP (65.5 mM Fe(II)/mg extract)
assays over MFE. Moreover, FH also exhibited good antibacterial activity against
Escherichia coli (32.0 mm), Salmonella typhi (27.0 mm), Staphylococcus aureus
(27.3 mm) at 100 mg/ml concentration. GC-MS revealed 12 major compounds in MFE,
HPLC analysis of MFE and FH depicted the presence of rutin and ellagic acid. This
study suggested that FH could have high concentration of bioactive compounds like
rutin and ellagic acid or its analogues compared to MFE which may be responsible
for its strong antioxidant and antibacterial activity.
PMID- 29358828
TI - Individual shrink wrapping extends the storage life and maintains the quality of
pomegranates (cvs. 'Mridula' and 'Bhagwa') at ambient and low temperature.
AB - The present investigation was carried out to study the response of two commercial
pomegranate cultivars to individual shrink wrapping in extending the storage life
and quality maintenance. Pomegranate fruits ('Mridula' and 'Bhagwa') were
individually shrink wrapped using three semi-permeable films (Cryovac(r) BDF
2001, D-955 and normal LDPE) and stored at ambient (25-32 degrees C and 49-67%
RH) and low temperature (8 degrees C and 75-80% RH). Shrink wrapping greatly
reduced weight loss in both cultivars irrespective of the film used and storage
temperature. Weight loss in shrink wrapped (D-955 film) 'Mridula' and 'Bhagwa'
after 1 month storage at ambient temperature was respectively 1.40 and 1.05%,
when compared to 22.92 and 22.53% in non-wrapped fruits. After 3 months at 8
degrees C, shrink wrapped 'Mridula' and 'Bhagwa' fruits lost only 0.43 and 0.68%
weight respectively, compared to 17.23 and 21.67% in non-wrapped ones. Shrink
wrapping significantly reduced the respiration rate at ambient temperature and
the response varied with variety and film used. Shrink wrapped fruits of both
cultivars retained the original peel colour (Hunter h? and C* values) to a
maximum extent during 3 months storage at 8 degrees C and shelf-life period at
ambient temperature. Irrespective of variety and film, shrink wrapping maintained
the peel thickness and peel moisture content, significantly much higher than non
wrapped fruits at both temperatures. Compared to 'Mridula' cultivar, 'Bhagwa'
responded well to shrink wrapping during prolonged storage at both temperatures
with better maintenance of quality in terms of appearance, colour, juice content,
TSS, acidity, sugars and sensory attributes. At ambient temperature, shrink
wrapping with D-955 or LDPE film extended the storage life of 'Mridula' and
'Bhagwa' for 3 weeks and 1 month respectively, whereas at 8 degrees C both could
be stored for 3 months with 3 days of shelf life.
PMID- 29358830
TI - The effect of heat treatment on phenolic compounds and fatty acid composition of
Brazilian nut and hazelnut.
AB - Brazilian peanut oil content increased with oven heating (65.08%) and decreased
with microwave heating process (61.00%). While the phenolic content of untreated
Brazilian nut was the highest of 68.97 mg GAE/100 g. Hazelnut (Sivri) contained
the highest antioxidant activity (86.52%, untreated). Results reflected
significantly differences between the antioxidant effect and total phenol
contents of Brazilian nut and hazelnut (Sivri) kernels heated in the oven and
microwave. Microwave heating caused a decrease in antioxidant activity of
hazelnut. Gallic acid, 3,4-dihydroxybenzoic acid and (+)- and catechin were the
main phenolic compounds of raw Brazilian nut with the value of 5.33, 4.33 and
4.88 mg/100 g, respectively, while the dominant phenolics of raw hazelnut (Sivri)
kernels were gallic acid (4.81 mg/100 g), 3,4-dihydroxybenzoic acid (4.61 mg/100
g), (+)-catechin (6.96 mg/100 g) and 1,2-dihydroxybenzene (4.14 mg/100 g). Both
conventional and microwave heating caused minor reduction in phenolic compounds.
The main fatty acids of Brazilian nut oil were linoleic (44.39-48.18%), oleic
(27.74-31.74%), palmitic (13.09-13.70%) and stearic (8.20-8.91%) acids, while the
dominant fatty acids of hazelnut (Sivri) oil were oleic acid (80.84%),
respectively. The heating process caused noticeable change in fatty acid
compositions of both nut oils.
PMID- 29358829
TI - The bioaccessibility of iodine in the biofortified vegetables throughout cooking
and simulated digestion.
AB - Biofortification of crops with exogenous iodine is a novel strategy to control
iodine deficiency disorders (IDD). The bioaccessibility of iodine (BI) in the
biofortified vegetables in the course of soaking, cooking and digestion, were
examined. Under hydroponics, the concentration of iodine in leafstalks of the
celery and pakchoi increased with increasing exogenous iodine concentration, 54.8
63.9% of the iodine absorbed by pakchoi was stored in the soluble cellular
substance. Being soaked in water within 8 h, the iodine loss rate of the
biofortified celery was 3.5-10.4% only. More than 80% of the iodine in the
biofortified celery was retained after cooking under high temperature. The
highest BI of the biofortified vegetables after digestion in simulated gastric
and intestinal juice amounted to 74.08 and 68.28%, respectively. Factors
influencing BI included pH, digestion duration, and liquid-to-solid ratio. The
high BI of the biofortified vegetables provided a sound reference for the
promotion of iodine biofortification as a tool to eliminate the IDD.
PMID- 29358831
TI - Functional properties of Chinese yam (Dioscorea opposita Thunb. cv. Baiyu)
soluble protein.
AB - In this study, yam soluble protein (YSP) was extracted from Chinese yam
(Dioscorea opposita Thunb. cv. Baiyu) and the functional properties were
investigated under the influence of pH and ionic strength. As results, YSP was
highly soluble and had better emulsifying activity over a wide range of pH. The
solubility of YSP decreased in 0.5 and 1.0 M NaCl solution. An increment in NaCl
concentration reduced the emulsion activity index and emulsion stability index of
YSP. The oil absorption capacity of YSP was 3.2 ml/g protein. With the increase
of pH, the foam capacity (FC) and stability (FS) decreased and then increased. FS
of YSP increased as the salt concentration increased from 0 to 0.5 M, and then
decreased. The minimal gelation concentration of YSP was 8% (w/v) and 10% YSP gel
(w/v) had maximum gel strength in 0.1 M NaCl. These results suggested that YSP
produced by acid precipitation may be used as a protein source with remarkable
functional properties.
PMID- 29358832
TI - Effects of enzymes to improve sensory quality of frozen dough bread and analysis
on its mechanism.
AB - Baking quality of frozen dough is negatively affected by dough weakening and by a
reduction in both yeast viability and activity during freezing and frozen
storage. The objective of this study was to investigate effects of different
enzymes, such as alpha-amylase, xylanase, celluase, glucose oxidase, and lipase
on the texture and sensory quality of bread after frozen storage, as well as on
dough properties, in terms of fermentation characteristics, freezable water
contents and microstructure. Except for alpha-amylase, other enzymes improved the
bread sensory quality and got higher overall acceptability, especially xylanase.
Dough fermentative behavior showed that the maximum heights of frozen dough were
increased by 33.2, 19.7 and 7.4%, respectively with xylanase, cellulase and
lipase. Cellulase lowered gas holding ability of dough. Thermodynamic properties
indicated that addition of enzyme decreased the freezable water contents in
frozen dough. Scanning electronic microscopy revealed that freezing and frozen
storage disrupted dough gluten network causing separation of starch granules from
the gluten matrix. Inclusion of cellulase, xylanase and lipase made the frozen
dough having a more continuous gluten network and smoother surface, and glucose
oxidase increased the stability of the gluten work.
PMID- 29358833
TI - The modulatory effect of polyphenols from green tea, oolong tea and black tea on
human intestinal microbiota in vitro.
AB - In the present study, polyphenols from green tea (GTP), oolong tea (OTP) and
black tea (BTP) were prepared by extraction with hot water and polyamide column
chromatography. In antioxidant assay in vitro, each tea polyphenols exhibited
potential activity; the intestinal absorption of GTP, OTP and BTP was
investigated individually by Caco-2 transwell system, and each sample was poorly
transported, illustrating a low transport rate for tea polyphenols through cell
monolayers. The effects of GTP, OTP and BTP on human intestinal microbiota were
also evaluated, and each sample induced the proliferation of certain beneficial
bacteria and inhibited Bacteroides-Prevotella and Clostridium histolyticum.
Moreover, the short-chain fatty acids (SCFA) produced in cultures with tea
polyphenols were relatively higher. Together, these results suggested GTP, OTP
and BTP may modulate the intestinal flora and generate SCFA, and contribute to
the improvements of human health.
PMID- 29358834
TI - Quality assessment of low-sugar jams enriched with plant raw materials exhibiting
health-promoting properties.
AB - Low-sugar gooseberry jams enriched by the addition of black chokeberry,
elderberry, Japanese quince, flax seeds and wheat germs were examined for the
content of total polyphenols, total flavonoids, and total anthocyanins as well as
their antioxidant activity (DPPH, ABTS, and FRAP) and individual phenolic
compounds. The jams were evaluated immediately after production and after 6 and
12 months of storage. Samples were stored at chilled temperature (10 degrees C)
and room temperature (20 degrees C). A significant increase in the level of the
analyzed components and antioxidant activity were determined in jams with the
addition of chokeberry, elderberry and Japanese quince, while in the case of
other plant ingredients the differences were not always significant. Immediately
after production, the highest levels of total polyphenols (330 mg/100 g), total
flavonoids (160 mg/100 g) and total anthocyanins (35 mg/100 g) were recorded in
the gooseberry jam with a 15% addition of chokeberry fruit. In the examined jams,
p-cumaric acid, ferulic acid, caffeic acid, (+)-catechin and rutin were
identified and (+)-catechin were determined in the greatest quantities (1.874
5.660 mg/100 g). The storage conditions of jams determined the level of the
examined constituents. Storage temperature generally had significant effect on
the level of compounds with antioxidant properties, lower in the products which
were chill-stored compared to those stored at room temperature. Anthocyanins were
found to be the most sensitive components during storage.
PMID- 29358835
TI - Effect of the essential oils from Melaleuca alternifolia, Melaleuca quinquenervia
and Backhousia citriodora on the synthesis of ochratoxin A by Aspergillus niger
and Aspergillus carbonarius isolated from tropical wine grapes.
AB - The influence of essential oils (EOs) extracted from the leaves of Melaleuca
alternifolia, Melaleuca quinquenervia and Backhousia citriodora on ochratoxin A
(OTA) synthesis by fungi was studied. The extraction of EOs was performed by
hydrodistillation (Clevenger apparatus) over a 2-h period and subsequently
analyzed by GC-MS and GC-FID. The toxigenic activity of the essential oils
(31.25; 15.62 and 7.81 ug mL-1) was evaluated by inhibiting the production of OTA
by Aspergillus niger and Aspergillus carbonarius in Czapek agar medium culture.
The quantification of the toxin was performed by HPLC. The production of OTA was
dependent on the fungal species, incubation temperature (15 and 25 degrees C)
and the presence of the essential oils. In tests carried out at 15 degrees C,
the oils caused a reduction in OTA synthesis that ranged from 57.60 to 76.93% and
from 54.78 to 98.68% for the fungal species A. carbonarius and A. niger,
respectively. At 25 degrees C, reductions ranged from the 38.66 to 75.93% and
from 17.94 to 71.79% for the respective fungi. The study concluded that natural
products could be potential biocontrol agents against OTA contamination in food.
PMID- 29358836
TI - Sensory attributes and volatile composition of a dry white wine under different
packing configurations.
AB - The aim of this work was to study the effect of different configurations of
packaging on the volatile composition and sensory properties of a white wine.
Certain oenological parameters were also evaluated. Bag-in-box (BIB) and glass
bottles sealed with two different cork stoppers, natural and Neutrocork
(technical), were used in the experiments. Analysis were carried out before
packaging and after 3, 6 and 12 months of storage. Results showed that wines
packaged in BIB presented higher levels of brown color than wines in bottles
sealed with corks. In all packaging configurations, the content of free SO2
decreased with storage time; however, BIB wines showed a lower content of free
SO2 than bottle wines during 12 months. Moreover, wines under BIB presented a
significant lower amount of 2-phenylethanol, 2-phenylethyl acetate, isoamyl
acetate, ethyl butanoate, ethyl hexanoate, ethyl octanoate, linalool and beta
damascenone than bottled wines.
PMID- 29358837
TI - Incorporation of Lactobacillus plantarum and zeolites in poultry feed can reduce
aflatoxin B1 levels.
AB - The aim of this work was to evaluate the incorporation of a freeze-dried
probiotic strain (Lactobacillus plantarum CIDCA 83114) into zeolites. The
bacteria-zeolite mixture was added to poultry feed together with thyme, and the
obtained product was stored for 60 days at 25 degrees C and 60-70% relative
humidity. The ability of the obtained product to remove aflatoxin B1 (AFB1) was
studied. The highest bacterial viability was observed when 50% w/w bacteria were
added to zeolites. The bacterial:zeolite mixtures were then incorporated into
poultry feed containing or not thyme. Initial counts of L. plantarum were in the
range of 1-2 * 108 CFU/g for all samples. In all cases, bacterial viability
decreased one logarithmic order after 20 days of storage, and three logarithmic
orders after 60 days. No significant viability loss was observed after exposure
of the poultry feed to gastro-intestinal conditions. Freeze-dried L. plantarum
and zeolite were able to remove AFB1, with an average reduction of 20 and 80%,
respectively. Moreover, the freeze-dried bacteria-zeolite mixture was capable to
remove up to 90% AFB1. This work contributes to enhance the nutritional quality
of poultry feed, with a strong impact in production.
PMID- 29358838
TI - Identification of volatile compound markers during the ripening and senescence of
lulo (Solanum quitoense Lam.).
AB - Lulo (Solanum quitoense Lam.) is an exotic fruit cultivated in Colombia. During
ripening and senescence, this climactic fruit undergoes biochemical processes
that produce the volatiles responsible for its aroma. This study aimed to
evaluate the changes in the volatile content during the ripening and senescence
of lulo. Analysis of the volatile composition of lulo harvested in each of its
five ripening stages and during its senescence time when stored at 18 +/- 2
degrees C was performed using HS-SPME with GC-MS. Throughout ripening, the most
notable change was the transformation of alcohols such as (Z)-3-hexen-1-ol and 1
penten-3-ol to afford esters such as (Z)-3-hexenyl acetate and ketones such as 1
penten-3-one. Some acids reacted with alcohols to produce acetate and hexanoate
esters, concentrations which increased more than sixfold between stage one and
five. Moreover, all the major compounds were C6 straight chain compounds related
to the lipoxygenase pathway. During senescence, majority of compounds were methyl
esters, which increased in concentration consistently until day eight.
Remarkably, the content of methyl butanoate increased from 0.9% of the total
amount of volatiles on day two up to 76.4% on day eight. Some of these volatiles
are probably contributors to the "off flavor" during senescence.
PMID- 29358839
TI - Capillary electrophoresis to approach sorbate usage in processed meat products in
Brazil.
AB - Sorbate is a highly used preservative in a wide range of processed foods,
including meat products. In this work sorbate usage in commercial processed meat
products from the Brazilian market was evaluated. A capillary electrophoresis
method for sorbate analysis using ethanol:water extraction solution (1:2, v/v)
and sodium tetraborate (20 mmol L-1) electrolyte solution was validated. Low
limits of detection (0.4 mg L-1) and quantification (1.0 mg L-1), good precision
(RSD = 3.6%) and suitable accuracy (70.2%, RSD = 1.8%) were attained. Linearity
was observed from 1.0 to 15.8 mg L-1, with r >= 0.999. The proposed method was
applied to Brazilian pork and hot dog sausages, salami, ham and mortadella. A
peak of sorbate between 4 and 6 min was found in pork and hot dog sausages,
poultry and pork mortadella, but not in ham and salami. The sorbate levels ranged
from 54.0 to 976.4 mg kg-1. Sorbate concentration exceeded the 200 mg kg-1 limit
of the Brazilian legislation in all sorts of products in the majority of the
brands despite there being no information regarding sorbate on the label. These
results indicated the widespread use of sorbate, turning this into food for
thought.
PMID- 29358840
TI - Using a patterned microtexture to reduce polyethylene wear in metal-on
polyethylene prosthetic bearing couples.
AB - The longevity of metal-on-polyethylene prosthetic hip joint bearings, in which a
CoCrMo femoral head articulates with a polyethylene liner, is often limited by
polyethylene wear and osteolysis caused by polyethylene wear particles. Current
approaches to reduce polyethylene wear include improving the mechanical
properties of the polyethylene liner, and/or manufacturing ultra-smooth
articulating surfaces. In contrast, this experimental work shows that adding a
patterned microtexture of concave "dimples" to a polished CoCrMo surface
significantly reduces polyethylene wear by promoting the formation of an
elastohydrodynamic lubricant film, which reduces contact between the CoCrMo and
polyethylene bearing surfaces. Using a gravimetric method to measure polyethylene
pin wear during pin-on-disc experiments, it was demonstrated that microtextured
CoCrMo caused reduced polyethylene wear compared to polished CoCrMo surfaces.
Wear was quantified for different polyethylene materials currently used in
commercial prosthetic hip joint bearings, and for several microtexture
geometries. It was also documented by correlating polyethylene wear with surface
topography measurements that the patterned microtexture reduced contact between
the articulating bearing surfaces.
PMID- 29358842
TI - Elevated Level of Troponin but Not N-Terminal Probrain Natriuretic Peptide Is
Associated with Increased Risk of Sudden Cardiac Death in Hypertrophic
Cardiomyopathy Calculated According to the ESC Guidelines 2014.
AB - The aim of this study was to assess the relationship between biomarkers (high
sensitive troponin I [hs-TnI], N-Terminal probrain natriuretic peptide [NT
proBNP]) and calculated 5-year percentage risk score of sudden cardiac death
(SCD) in hypertrophic cardiomyopathy (HCM). Methods. In 46 HCM patients (mean age
39 +/- 7 years, 24 males and 22 females), echocardiographic examination,
including the stimulating maneuvers to provoke maximized LVOT gradient, had been
performed and next ECG Holter was immediately started. After 24 hours, the ECG
Holter was finished and the hs-TnI and NT-proBNP have been measured. Patients
were divided according to 1/value of both biomarkers (hs-TnI-positive and hs-TnI
negative subgroups) and 2/(NT-proBNP lower and higher subgroup divided by
median). Results. In comparison between 19 patients (hs-TnI positive) versus 27
patients (hs-TnI negative), the calculated 5-year percentage risk of SCD in HCM
was significantly greater (6.38 +/- 4.17% versus 3.81 +/- 3.23%, P < 0.05). In
comparison between higher NT-proBNP versus lower NT-proBNP subgroups, the
calculated 5-year percentage risk of SCD in HCM was not significantly greater
(5.18 +/- 3.63% versus 4.14 +/- 4.18%, P > 0.05). Conclusions. Patients with HCM
and positive hs-TnI test have a higher risk of SCD estimated according to SCD
calculator recommended by the ESC Guidelines 2014 than patients with negative hs
TnI test.
PMID- 29358841
TI - Usefulness of Age-Stratified N-Terminal Prohormone of Brain Natriuretic Peptide
for Diagnosing Kawasaki Disease.
AB - N-terminal prohormone of brain natriuretic peptide (NT-proBNP) was recently
reported as a biomarker for diagnosing Kawasaki disease (KD). The basal NT-proBNP
level, however, gradually decreases with age. We investigated the usefulness of
an age-stratified cutoff value of NT-proBNP for diagnosing KD. All the patients
enrolled in this study visited Chonnam National University Hospital between
December 2007 and March 2016. The KD groups consisted of 214 patients with
complete KD and 129 patients with incomplete KD. The control group included 62
children with simple febrile illness but without heart disease. Laboratory data
including NT-proBNP level were evaluated. Each group was divided into subgroups
according to patient age (<6 months, 6-12 months, 12-24 months, and >24 months),
and different cutoff values of NT-proBNP were calculated. The cutoff values of NT
proBNP used to diagnose total KD and incomplete KD were 762 and 762 pg/mL (<6
months), 310 and 310 pg/mL (6-12 months), 326 and 326 pg/mL (12-24 months), and
208 and 137 pg/mL (>24 months), respectively. In conclusion, age-stratified NT
proBNP is a useful biomarker for the differential diagnosis of KD in patients
with a simple febrile illness.
PMID- 29358843
TI - Statistical Power in Two-Level Hierarchical Linear Models with Arbitrary Number
of Factor Levels.
AB - As the US health care system undergoes unprecedented changes, the need for
adequately powered studies to understand the multiple levels of main and
interaction factors that influence patient and other care outcomes in
hierarchical settings has taken center stage. We consider two-level models where
n lower-level units are nested within each of J higher-level clusters (e.g.
patients within practices and practices within networks) and where two factors
may have arbitrary a and b factor levels, respectively. Both factors may
represent a * b treatment combinations, or one of them may be a pretreatment
covariate. Consideration of both factors at the same higher or lower hierarchical
level, or one factor per hierarchical level yields a cluster (C), multisite (M)
or split-plot randomized design (S). We express statistical power to detect main,
interaction, or any treatment effects as a function of sample sizes (n, J), a and
b factor levels, intraclass correlation rho and effect sizes delta given each
design d ? {C, M, S}. The power function given a, b, rho, delta and d determines
adequate sample sizes to achieve a minimum power requirement. Next, we compare
the impact of the designs on power to facilitate selection of optimal design and
sample sizes in a way that minimizes the total cost given budget and logistic
constraints. Our approach enables accurate and conservative power computation
with a priori knowledge of only three effect size differences regardless of how
large a * b is, simplifying previously available computation methods for health
services and other researches.
PMID- 29358844
TI - Effects of Acyclovir and IVIG on Behavioral Outcomes after HSV1 CNS Infection.
AB - Herpes simplex virus 1 (HSV) encephalitis (HSE) has serious neurological
complications, involving behavioral and cognitive impairments that cause
significant morbidity and a reduced quality of life. We showed that HSE results
from dysregulated central nervous system (CNS) inflammatory responses. We
hypothesized that CNS inflammation is casually involved in behavioral
abnormalities after HSE and that treatment with ACV and pooled human
immunoglobulin (IVIG), an immunomodulatory drug, would improve outcomes compared
to mice treated with phosphate buffered saline (PBS) or ACV alone. Anxiety levels
were high in HSV-infected PBS and ACV-treated mice compared to mice treated with
ACV + IVIG, consistent with reports implicating inflammation in anxiety induced
by lipopolysaccharide (LPS) or stress. Female, but not male, PBS-treated mice
were cognitively impaired, and unexpectedly, ACV was protective, while the
inclusion of IVIG surprisingly antagonized ACV's beneficial effects. Distinct
serum proteomic profiles were observed for male and female mice, and the
antagonistic effects of ACV and IVIG on behavior were paralleled by similar
changes in the serum proteome of ACV- and ACV + IVIG-treated mice. We conclude
that inflammation and other factors mediate HSV-induced behavioral impairments
and that the effects of ACV and IVIG on behavior involve novel mechanisms.
PMID- 29358845
TI - Effect of Voluntary Wheel Running on Striatal Dopamine Level and Neurocognitive
Behaviors after Molar Loss in Rats.
AB - The aim of the present study is to evaluate the effect of voluntary wheel running
on striatal dopamine level and behavior of cognition and emotion in molar loss
rats. Twenty-four Sprague-Dawley rats were enrolled in this study and randomly
divided into following 4 groups: control group (C group), molar loss group (ML
group), 1-week physical exercise before molar loss group (1W-ML group), and 4
week physical exercise before molar loss group (4W-ML group). The rats both in 4W
ML and 1W-ML groups were placed in the voluntary running wheel in order to
exercise for 4 weeks and 1 week, respectively. Then, the rats in 4W-ML, 1W-M, and
ML groups received bilateral molar loss operation. After 10 days, striatal
dopamine level was detected by in vivo microdialysis coupled with high
performance liquid chromatography (HPLC) and electrochemical detection. All the
rats received behavior test after microdialysis detection. The behavior tests
including passive avoidance test were used to assess cognition and elevated plus
maze test for emotion. The results indicated that voluntary wheel running
promoted striatal dopamine level in rats of molar loss. Behavioral data indicated
that voluntary wheel running promoted cognition and emotion recovery after molar
loss. Therefore, we concluded physical exercise significantly improved the
neurocognitive behaviors and increased the striatal dopamine level after molar
loss in rats.
PMID- 29358846
TI - Natural biotic resources in LCA: Towards an impact assessment model for
sustainable supply chain management.
AB - Natural resources, biotic and abiotic, are fundamental from both the ecological
and socio-economic point of view, being at the basis of life-support. However,
since the demand for finite resources continues to increase, the sustainability
of current production and consumption patterns is questioned both in developed
and developing countries. A transition towards an economy based on biotic
renewable resources (bio-economy) is considered necessary in order to support a
steady provision of resources, representing an alternative to an economy based on
fossil and abiotic resources. However, to ensure a sustainable use of biotic
resources, there is the need of properly accounting for their use along supply
chains as well as defining a robust and comprehensive impact assessment model.
Since so far naturally occurring biotic resources have gained little attention in
impact assessment methods, such as life cycle assessment, the aim of this study
is to enable the inclusion of biotic resources in the assessment of products and
supply chains. This paper puts forward a framework for biotic resources
assessment, including: i) the definition of system boundaries between ecosphere
and technosphere, namely between naturally occurring and man-made biotic
resources; ii) a list of naturally occurring biotic resources which have a
commercial value, as basis for building life cycle inventories (NOBR, e.g. wild
animals, plants etc); iii) an impact pathway to identify potential impacts on
both resource provision and ecosystem quality; iv) a renewability-based indicator
(NOBRri) for the impact assessment of naturally occurring biotic resources,
including a list of associated characterization factors. The study, building on a
solid review of literature and of available statistical data, highlights and
discusses the critical aspects and paradoxes related to biotic resource inclusion
in LCA: from the system boundaries definition up to the resource
characterization.
PMID- 29358847
TI - Systematic analysis of secondary life cycle inventories when modelling
agricultural production: A case study for arable crops.
AB - Analysis of agricultural production with life cycle based methodologies is data
demanding. To build comprehensive life cycle inventories, secondary datasets are
commonly used when primary data are not available. However, different inventory
data and modelling approaches are used to populate secondary datasets, leading to
different results. The present study analyses the features of twelve secondary
datasets to support datasets selection and proper interpretation of results. We
assess twelve datasets for arable crop production in France, as modelled in three
databases often used in the LCA field (Agri-footprint, ecoinvent and AGRIBALYSE).
First, we compared system boundaries and general assumptions. Second, we focused
on foreground systems comparing, inventory data, data sources and modelling
approaches. Third, we performed a contribution analysis of impact assessment
results to identify modelling choices that contribute most to differences in the
results. Nine relevant elements were identified and assessed: definition of
system boundaries and modelling of agricultural practices, characteristics of
inventory data, agricultural operations, fertiliser application and fate, plant
protection products application and fate, heavy metals inputs to the agricultural
system and fate, irrigation assumptions, land use and transformation. The
datasets differ greatly with respect to these elements. Hence, recommendations
are drawn from the datasets comparison, supporting the selection of the datasets
coherently with the goal and scope of a study and interpretation of results.
PMID- 29358848
TI - Antilipotoxicity Activity of Osmanthus fragrans and Chrysanthemum morifolium
Flower Extracts in Hepatocytes and Renal Glomerular Mesangial Cells.
AB - The excess influx of free fatty acids (FFAs) into nonadipose tissues, such as
those of liver and kidney, induces lipotoxicity leading to hepatic steatosis and
renal dysfunction. The aim of this study was to investigate the protective
effects of methanolic flower extracts of Osmanthus fragrans (OF) and
Chrysanthemum morifolium (CM) against FFA-induced lipotoxicity in hepatocytes
(human HepG2 cells) and renal glomerular mesangial cells (mouse SV40-Mes13
cells). The results showed that OF and CM significantly suppressed FFA-induced
intracellular triacylglycerol accumulation via partially inhibiting the gene
expression of sterol regulatory element-binding protein-1c (SREBP-1c) and
glycerol-3-phosphate acyltransferase (GPAT) in HepG2 cells. Both extracts
inhibited reactive oxygen species (ROS) generation by FFA-stimulated HepG2 cells.
OF and CM also suppressed the mRNA expression of interleukin- (IL-) 1beta, IL-6,
IL-8, tumor necrosis factor- (TNF-) alpha, and transforming growth factor- (TGF-)
beta by HepG2 cells treated with conditioned medium derived from
lipopolysaccharide-treated THP-1 monocytes. Furthermore, OF and CM effectively
inhibited oleate-induced cellular lipid accumulation, TGF-beta secretion, and
overexpression of fibronectin in mesangial cells. In conclusion, OF and CM
possess hepatoprotective activity by inhibiting hepatic fat load and inflammation
and renal protection by preventing FFA-induced mesangial extracellular matrix
formation.
PMID- 29358849
TI - Mitofusin 2 Promotes Apoptosis of CD4+ T Cells by Inhibiting Autophagy in Sepsis.
AB - Apoptosis of CD4+ T cells is a primary pathophysiological mechanism of immune
dysfunction in the pathogenesis of sepsis. Mitofusin 2 (Mfn2), an integral
mitochondrial outer membrane protein, has been confirmed to be associated with
cellular metabolism, proliferation, and apoptosis. The function of Mfn2 in CD4+ T
cell apoptosis in sepsis is poorly understood. Here, we discovered increased in
vivo Mfn2 expression, autophagy deficiency, and elevated cell apoptosis in murine
splenic CD4+ T cells after cecal ligation and puncture (CLP). We also observed
almost identical results in splenic CD4+ T cells upon lipopolysaccharide (LPS)
stimulation in vitro. Furthermore, overexpression of Mfn2 resulted in impaired
autophagy and increased apoptosis in Jurkat cells. Pharmacological inhibition of
autophagy with 3-methyladenine enhanced Mfn2 overexpression-induced cell
apoptosis. In addition, overexpression of Mfn2 downregulated phorbol myristate
acetate (PMA)/ionomycin-, rapamycin- and starvation-induced autophagy in Jurkat T
cells. Taken together, these data indicate a critical role of Mfn2 in CD4+ T cell
apoptosis in sepsis and the underlying mechanism of autophagy deficiency.
PMID- 29358850
TI - Changes in Etiologies of Hospitalized Patients with Liver Cirrhosis in Beijing
302 Hospital from 2002 to 2013.
AB - Background: Over the last 20 years, the prevalence of hepatitis B virus (HBV)
infection in China has decreased gradually due to the application of a national
HBV vaccination program. In contrast, the prevalence of alcoholic liver disease
(ALD), nonalcoholic fatty liver disease, autoimmune liver disease, and drug
induced liver injury has markedly increased. Methods: We conducted a
retrospective review of 82,562 hospitalized patients diagnosed with liver
cirrhosis in Beijing 302 Hospital from 2002 to 2013. Results: The top four
etiologies of cirrhosis were HBV, HCV, ALD, and autoimmune liver disease. The
percentage of HBV cirrhosis decreased from 81.53% in 2002 to 66.0% in 2013,
whereas the frequency of alcoholic cirrhosis increased from 3.34% in 2002 to
8.40% in 2013. Females (84.34%) accounted for the majority of cirrhotic patients
with autoimmune liver diseases. Males accounted for 80.16% of HBV cirrhosis
patients and 98.02% of alcoholic cirrhosis patients. Conclusion: In Beijing 302
Hospital, the top four etiologies of cirrhosis were HBV, HCV, ALD, and autoimmune
liver disease. Over the last 12 years, the prevalence of HBV cirrhosis has
decreased gradually, whereas that of alcoholic cirrhosis has increased
significantly.
PMID- 29358851
TI - Anti-Interleukin-22-Neutralizing Antibody Attenuates Angiotensin II-Induced
Cardiac Hypertrophy in Mice.
AB - Background: Interleukin- (IL-) 22 is considered a proinflammatory cytokine.
Recent evidence has demonstrated that it plays a role in cardiovascular diseases.
In the recent study, we investigate whether IL-22 is involved in cardiac
hypertrophy. Methods: Angiotensin II was used to build hypertrophy model and the
IL-22 and IL-22 receptor 1 (IL-22R1) levels in heart tissue were measured. In
addition, angiotensin II-treated mice received an injection of anti-IL-22
neutralizing antibody (nAb) to investigate the effects of IL-22 nAb on myocardial
hypertrophy, cardiac function, and cardiac fibrosis; the activation of the
signaling pathway and the prohypertrophic inflammatory cytokine mRNA levels was
detected. Furthermore, the effect of IL-22 nAb on angiotensin II-induced
hypertrophy in vitro was also determined. Results: IL-22 and IL-22R1 levels were
significantly increased after angiotensin II infusion. Anti-IL-22 nAb
significantly alleviated the severity of hypertrophy, prevented systolic and
diastolic abnormalities, reduced cardiac fibrosis, STAT3 and ERK phosphorylation,
and downregulated the mRNA expression of IL-17, IL-6, IL-1beta, IFN-gamma, and
TNF-alpha. In addition, IL-22 nAb attenuated angiotensin II-induced hypertrophy
in H9C2 cells. Conclusion: Our data demonstrated that neutralization of IL-22
alleviated angiotensin II-induced cardiac hypertrophy. The downregulation of IL
22 may be a novel therapeutic strategy to prevent cardiac hypertrophy.
PMID- 29358852
TI - Luteolin Treatment Protects against Renal Ischemia-Reperfusion Injury in Rats.
AB - Renal ischemia-reperfusion (I/R) injury is a common but severe scientific
problem. Luteolin has great anti-inflammatory and antioxidant effects. In this
study, we studied the effect of luteolin on renal I/R injury in rats.
Intragastric administration of luteolin or saline was performed in Sprague-Dawley
rats before (40 mg/kg for three days) and after (one day) renal I/R modeling.
Kidney and blood samples were harvested to detect the severity of renal injury 24
hours after operation. The results showed that luteolin-treated rats exhibited
milder histomorphological changes with lower scores of renal histological
lesions; lower blood urea nitrogen and creatinine levels; lower renal
malondialdehyde (MDA), 8-oxo-deoxyguanosine (8-OHdG), and myeloperoxidase (MPO)
levels; and higher superoxide dismutase (SOD) and catalase (CAT) activities in
the kidney. Luteolin attenuated the increased levels of serum and renal tumor
necrosis factor (TNF)-alpha, interleukin (IL)-1beta, and IL-6, renal high
mobility group box-1 (HMGB1), and nuclear factor kappa beta (NF-kappaB)
expression levels in I/R rats. Furthermore, luteolin treatment significantly
reduced renal cell apoptosis and endoplasmic reticulum (ER) stress caused by
renal I/R injury. In conclusion, luteolin improved renal function in I/R rats by
reducing oxidative stress, neutrophil infiltration, inflammation, renal cell
apoptosis, and expression of HMGB1 and NF-kappaB, and ER stress.
PMID- 29358853
TI - Serum levels of angiotensin converting enzyme as a biomarker of liver fibrosis.
AB - The renin angiotensin system (RAS) is classically conceived as a circulating
hormonal system involved in blood pressure control and hydroelectrolyte balance.
The discovery that RAS components are locally expressed in a wide range of organs
and tissues, including the liver, pointed to a role for this system in the
pathogenesis of several conditions including hepatic fibrosis and cirrhosis. It
has been widely reported that the classical RAS axis composed by the angiotensin
converting enzyme (ACE)-angiotensin (Ang) II-Ang type 1 (AT1) receptor mediates
pro-inflammatory, pro-thrombotic, and pro-fibrotic processes. On the other hand,
the alternative axis comprising ACE2-Ang-(1-7)-Mas receptor seems to play a
protective role by frequently opposing Ang II action. Chronic hepatitis B (CHB)
is one of the leading causes of liver fibrosis, accounting for the death of
nearly one million people worldwide. Liver fibrosis is a key factor to determine
therapeutic interventions for patients with CHB. However, the establishment of
non-invasive and accurate methods to detect reversible stages of liver fibrosis
is still a challenge. In an elegant study published in the 36th issue of the
World Journal of Gastroenterology, Noguchi et al showed the predictive value of
serum ACE levels in detecting not only advanced stages of liver fibrosis but also
initial and intermediate fibrotic stages. The serum levels of ACE might represent
an accurate, non-invasive, widely available, and easy method to evaluate fibrosis
related to CHB. Moreover, therapies involving the inhibition of the classical RAS
axis components might be promising in the control of CHB-related liver fibrosis.
PMID- 29358854
TI - Mechanisms of autophagy activation in endothelial cell and their targeting during
normothermic machine liver perfusion.
AB - Ischaemia-reperfusion injury (IRI) is the leading cause of injury seen in the
liver following transplantation. IRI also causes injury following liver surgery
and haemodynamic shock. The first cells within the liver to be injured by IRI are
the liver sinusoidal endothelial cells (LSEC). Recent evidence suggests that LSEC
co-ordinate and regulates the livers response to a variety of injuries. It is
becoming increasingly apparent that the cyto-protective cellular process of
autophagy is a key regulator of IRI. In particular LSEC autophagy may be an
essential gatekeeper to the development of IRI. The recent availability of liver
perfusion devices has allowed for the therapeutic targeting of autophagy to
reduce IRI. In particular normothermic machine liver perfusion (NMP-L) allow the
delivery of pharmacological agents to donor livers whilst maintaining
physiological temperature and hepatic flow rates. In this review we summarise the
current understanding of endothelial autophagy and how this may be manipulated
during NMP-L to reduce liver IRI.
PMID- 29358855
TI - Human small intestine is capable of restoring barrier function after short
ischemic periods.
AB - AIM: To assess intestinal barrier function during human intestinal ischemia and
reperfusion (IR). METHODS: In a human experimental model, 6 cm of jejunum was
selectively exposed to 30 min of ischemia (I) followed by 30 and 120 min of
reperfusion (R). A sham procedure was also performed. Blood and tissue was
sampled at all-time points. Functional barrier function was assessed using dual
sugar absorption tests with lactulose (L) and rhamnose (R). Plasma concentrations
of citrulline, an amino acid described as marker for enterocyte function were
measured as marker of metabolic enterocytes restoration. Damage to the epithelial
lining was assessed by immunohistochemistry for tight junctions (TJs), by plasma
marker for enterocytes damage (I-FABP) and analyzed by electron microscopy (EM)
using lanthanum nitrate as an electrondense marker. RESULTS: Plasma L/R ratio's
were significantly increased after 30 min of ischemia (30I) followed by 30 min of
reperfusion (30R) compared to control (0.75 +/- 0.10 vs 0.20 +/- 0.09, P < 0.05).
At 120 min of reperfusion (120R), ratio's normalized (0.17 +/- 0.06) and were not
significantly different from control. Plasma levels of I-FABP correlated with
plasma L/R ratios measured at the same time points (correlation: 0.467, P <
0.01). TJs staining shows distortion of staining at 30I. An intact lining of TJs
was again observed at 30I120R. Electron microscopy analysis revealed disrupted
TJs after 30I with paracellular leakage of lanthanum nitrate, which restored
after 30I120R. Furthermore, citrulline concentrations closely paralleled the
histological perturbations during intestinal IR. CONCLUSION: This study directly
correlates histological data with intestinal permeability tests, revealing that
the human gut has the ability of to withstand short episodes of ischemia, with
morphological and functional recovery of the intestinal barrier within 120 min of
reperfusion.
PMID- 29358857
TI - Exploring pathogenesis of primary biliary cholangitis by proteomics: A pilot
study.
AB - AIM: To explore the pathogenesis of primary biliary cholangitis (PBC) by
identifying candidate autoantibodies in serum samples by proteomics and
bioinformatics. METHODS: Nine antimitochondrial antibody (AMA)-positive PBC
patients and nine age- and sex-matched AMA-negative PBC patients were recruited.
Antigen enrichment technology was applied to capture autoantigens of human
intrahepatic biliary epithelial cells (HiBECs) that are recognized by
autoantibodies from the sera of PBC patients. Candidate autoantigens were
identified by label-free mass spectrometry. Bioinformatics analysis with MaxQuant
software (version 1.5.2.8), DAVID platform, and Cytoscape v.3.0 allowed
illustration of pathways potentially involved in the pathogenesis of PBC.
RESULTS: In total, 1081 candidate autoantigen proteins were identified from the
PBC patient pool. Among them, 371 were determined to be significantly
differentially expressed between AMA-positive and -negative PBC patients (P <
0.05). Fisher's exact test was performed for enrichment analysis of Gene Ontology
protein annotations (biological processes, cellular components, and molecular
functions) and the Kyoto Encyclopedia of Genes and Genomes pathways.
Significantly different protein categories were revealed between AMA-positive and
-negative PBC patients. As expected, autoantigens related to mitochondria were
highly enriched in AMA-positive PBC patients. However, lower levels of AMA were
also detected in AMA-negative PBC patients. In addition, autoantigens of AMA
negative PBC patients were mainly involved in B-cell activation, recognition of
phagocytosis, and complement activation. CONCLUSION: AMA-negative PBC individuals
may not exist, but rather, those patients exhibit pathogenesis pathways different
from those of AMA-positive PBC. Comprehensive research is needed to confirm these
observations.
PMID- 29358858
TI - Influence of TBX21 T-1993C variant on autoimmune hepatitis development by Yin
Yang 1 binding.
AB - AIM: To investigated the mechanism of the association between the TBX21 T-1993C
promoter polymorphism and autoimmune hepatitis type 1 (AIH-1) development.
METHODS: In vivo, In vivo, and reporter analyses were performed to determine the
function of transcription factors binding to the T-1993C element of the TBX21
promoter in human CD4+ T and B cell lines. Flow cytometry and quantitative real
time PCR were used to analyze T-box transcription factor (T-bet) and interferon
gamma (IFN-gamma) expressions in CD4+ T cells, B cells and monocytes from the
peripheral blood of AIH-1 patients including 5-1993TC and 15-1993TT genotype
carriers, and healthy controls including 10-1993TC and 25-1993TT genotype
carriers. Furthermore, a range of biochemical indices was measured simultaneously
in the blood of AIH-1 patients. RESULTS: TBX21-1993C allele created a strong Yin
Yang 1 (YY1)-binding site and decreased transcriptional activity of TBX21
promoter in human CD4+ T and B cells. Higher levels of T-bet and IFN-gamma were
detected in the circulating CD4+ T cells and B cells of AIH-1 patients carrying
the TBX21-1993 TT genotype compared with the patients carrying the -1993 TC
genotype and controls with the -1993 TC genotype. T-bet expression levels of
circulating T cells and B cells were positively correlated with AIH-1 disease
activity. Knockdown of YY1 with siRNA caused increased expression of T-bet and
IFN-gamma in peripheral blood mononuclear cells in AIH-1 patients. CONCLUSION:
The repression of TBX21 expression by high-affinity binding of YY1 to the -1993C
allele may contribute to a decreased development of AIH-1 via suppression of type
1 immunity.
PMID- 29358856
TI - Stable gastric pentadecapeptide BPC 157 in the treatment of colitis and ischemia
and reperfusion in rats: New insights.
AB - AIM: To provide new insights in treatment of colitis and ischemia and reperfusion
in rats using stable gastric pentadecapeptide BPC 157. METHODS: Medication [BPC
157, L-NAME, L-arginine (alone/combined), saline] was bath at the blood deprived
colon segment. During reperfusion, medication was BPC 157 or saline. We recorded
(USB microscope camera) vessel presentation through next 15 min of ischemic
colitis (IC-rats) or reperfusion (removed ligations) (IC + RL-rats); oxidative
stress as MDA (increased (IC- and IC + RL-rats)) and NO levels (decreased (IC
rats); increased (IC + RL-rats)) in colon tissue. IC + OB-rats [IC-rats had
additional colon obstruction (OB)] for 3 d (IC + OB-rats), then received BPC 157
bath. RESULTS: Commonly, in colon segment (25 mm, 2 ligations on left colic
artery and vein, 3 arcade vessels within ligated segment), in IC-, IC + RL-, IC +
OB-rats, BPC 157 (10 MUg/kg) bath (1 mL/rat) increased vessel presentation,
inside/outside arcade interconnections quickly reappeared, mucosal folds were
preserved and the pale areas were small and markedly reduced. BPC 157
counteracted worsening effects induced by L-NAME (5 mg) and L-arginine (100 mg).
MDA- and NO-levels were normal in BPC 157 treated IC-rats and IC + RL-rats. In
addition, on day 10, BPC 157-treated IC + OB-rats presented almost completely
spared mucosa with very small pale areas and no gross mucosal defects; the
treated colon segment was of normal diameter, and only small adhesions were
present. CONCLUSION: BPC 157 is a fundamental treatment that quickly restores
blood supply to the ischemically injured area and rapidly activates collaterals.
This effect involves the NO system.
PMID- 29358859
TI - Astragaloside IV inhibits pathological functions of gastric cancer-associated
fibroblasts.
AB - AIM: To investigate the inhibitory effect of astragaloside IV on the pathological
functions of cancer-associated fibroblasts, and to explore the underlying
mechanism. METHODS: Paired gastric normal fibroblast (GNF) and gastric cancer
associated fibroblast (GCAF) cultures were established from resected tissues.
GCAFs were treated with vehicle control or different concentrations of
astragaloside IV. Conditioned media were prepared from GNFs, GCAFs, control
treated GCAFs, and astragaloside IV-treated GCAFs, and used to culture BGC-823
human gastric cancer cells. Proliferation, migration and invasion capacities of
BGC-823 cells were determined by MTT, wound healing, and Transwell invasion
assays, respectively. The action mechanism of astragaloside IV was investigated
by detecting the expression of microRNAs and the expression and secretion of the
oncogenic factor, macrophage colony-stimulating factor (M-CSF), and the tumor
suppressive factor, tissue inhibitor of metalloproteinase 2 (TIMP2), in different
groups of GCAFs. The expression of the oncogenic pluripotency factors SOX2 and
NANOG in BGC-823 cells cultured with different conditioned media was also
examined. RESULTS: GCAFs displayed higher capacities to induce BGC-823 cell
proliferation, migration, and invasion than GNFs (P < 0.01). Astragaloside IV
treatment strongly inhibited the proliferation-, migration- and invasion
promoting capacities of GCAFs (P < 0.05 for 10 MUmol/L, P < 0.01 for 20 MUmol/L
and 40 MUmol/L). Compared with GNFs, GCAFs expressed a lower level of microRNA
214 (P < 0.01) and a higher level of microRNA-301a (P < 0.01). Astragaloside IV
treatment significantly up-regulated microRNA-214 expression (P < 0.01) and down
regulated microRNA-301a expression (P < 0.01) in GCAFs. Reestablishing the
microRNA expression balance subsequently suppressed M-CSF production (P < 0.01)
and secretion (P < 0.05), and elevated TIMP2 production (P < 0.01) and secretion
(P < 0.05). Consequently, the ability of GCAFs to increase SOX2 and NANOG
expression in BGC-823 cells was abolished by astragaloside IV. CONCLUSION:
Astragaloside IV can inhibit the pathological functions of GCAFs by correcting
their dysregulation of microRNA expression, and it is promisingly a potent
therapeutic agent regulating tumor microenvironment.
PMID- 29358860
TI - Prevalence and outcomes of pancreatic cystic neoplasms in liver transplant
recipients.
AB - AIM: To determine the prevalence, characteristics and clinical course of
pancreatic cystic neoplasms (PCNs) in liver transplantation (LT) recipients.
METHODS: We retrospectively studied consecutive patients who underwent LT between
January 1998 to April 2016. Clinical and laboratory data were obtained from
patient medical records. Imaging findings on computed tomography and magnetic
resonance cholangiopancreatography were reviewed by two radiologists. RESULTS:
During the study period, 872 patients underwent cadaveric LT. Pancreatic cysts
were identified in 53/872 (6.1%) and 31/53 (58.5%) were PCNs [28 intraductal
papillary mucinous neoplasm (IPMN), 2 mucinous cystic neoplasm (MCN), 1 serous
cystadenoma]. Patients with PCNs exhibited less male predominance (55% vs 73%, P
= 0.03) compared to patients without pancreatic cysts. Thirteen patients (42%)
were diagnosed with PCN pre-LT while 18 patients (58%) developed PCN post-LT. The
median size of PCNs was 13mm [interquartile range (IQR) 10-20 mm]. All IPMNs were
side-branch type. Most PCNs were found in the head and body of pancreas (37%
each), followed by the tail (25%). Five patients underwent further evaluation
with endoscopic ultrasound. Progress imaging was performed on 81% of patients.
PCNs remained stable in size and number in all but 2 patients. During a median
follow up of 39 mo (IQR 26-58 mo), the 2 (6%) patients with MCN underwent
pancreatectomy. No PCN patient developed pancreatic adenocarcinoma, while 5 died
from illnesses unrelated to the PCN. Among patients without PCN, 1/841 (0.1%)
developed pancreatic adenocarcinoma. CONCLUSION: The prevalence of PCNs in LT
recipients was similar to the general population (3.6%, 31/872). Side-branch
IPMNs do not appear to have accelerated malignant potential in post-LT patients,
indicating the current surveillance guidelines are applicable to this group.
PMID- 29358861
TI - Analysis of 12 variants in the development of gastric and colorectal cancers.
AB - AIM: To evaluate the relation between 12 polymorphisms and the development of
gastric cancer (GC) and colorectal cancer (CRC). METHODS: In this study, we
included 125 individuals with GC diagnosis, 66 individuals with CRC diagnosis and
475 cancer-free individuals. All participants resided in the North region of
Brazil and authorized the use of their samples. The 12 polymorphisms (in CASP8,
CYP2E1, CYP19A1, IL1A, IL4, MDM2, NFKB1, PAR1, TP53, TYMS, UGT1A1 and XRCC1
genes) were genotyped in a single PCR for each individual, followed by fragment
analysis. To avoid misinterpretation due to population substructure, we applied a
previously developed set of 61 ancestry-informative markers that can also be
genotyped by multiplex PCR. The statistical analyses were performed in Structure
v.2.3.4, R environment and SPSS v.20. RESULTS: After statistical analyses with
the control of confounding factors, such as genetic ancestry, three markers
(rs79071878 in IL4, rs3730485 in MDM2 and rs28362491 in NFKB1) were positively
associated with the development of GC. One of these markers (rs28362491) and the
marker in the UGT1A1 gene (rs8175347) were positively associated with the
development of CRC. Therefore, we investigated whether the joint presence of the
deleterious alleles of each marker could affect the development of cancer and we
obtained positive results in all analyses. Carriers of the combination of alleles
RP1 + DEL (rs79071878 and rs28361491, respectively) are at 10-times greater risk
of developing GC than carriers of other combinations. Similarly, carriers of the
combination of DEL + RARE (rs283628 and rs8175347) are at about 12-times greater
risk of developing CRC than carriers of other combinations. CONCLUSION: These
findings are important for the comprehension of gastric and CRC development,
particularly in highly admixed populations, such as the Brazilian population.
PMID- 29358862
TI - Childhood-onset inflammatory bowel diseases associated with mutation of Wiskott
Aldrich syndrome protein gene.
AB - AIM: To screen primary immunodeficiency, Wiskott-Aldrich syndrome (WAS), and
chronic granulomatous disease (CGD) among children with inflammatory bowel
disease (IBD). METHODS: This was a single-center retrospective study. Eighteen
children with IBD were investigated. We analyzed their expression of Wiskott
Aldrich syndrome protein (WASP) in lymphocytes and superoxide generation in
phagocytes using flow cytometry. When the expression of WASP or superoxide
generation was low or absent, we performed genetic analysis to determine the
cause of this. RESULTS: Eighteen patients were classified as having ulcerative
colitis (n = 10), Crohn's disease (n = 5), or IBD-unclassified (n = 3). In total,
three patients revealed low expression of WASP associated with a WAS gene c.1378
C>T p.Pro460Ser mutation, which has previously been reported as a pathogenic
mutation in WAS and X-linked thrombocytopenia. However, with respect to the major
symptoms of WAS, none of these three patients showed either thrombocytopenia or
increased susceptibility to infection, but one patient showed generalized eczema.
No CGD patients were discovered in this study. CONCLUSION: Despite the lack of
typical clinical manifestations of WAS, low expression of WASP could be
associated with the pathogenesis of a subtype of IBD patients.
PMID- 29358863
TI - Comparison of totally laparoscopic total gastrectomy using an endoscopic linear
stapler with laparoscopic-assisted total gastrectomy using a circular stapler in
patients with gastric cancer: A single-center experience.
AB - AIM: To evaluate the safety and efficacy of totally laparoscopic total
gastrectomy (TLTG) with esophagojejunostomy using a linear stapler compared with
laparoscopic-assisted total gastrectomy (LATG) using a circular stapler in
gastric cancer patients. METHODS: We retrospectively reviewed 687 patients who
underwent laparoscopic total gastrectomy for gastric cancer at a single
institution from August 2008 to August 2014. The patients were divided into two
groups according to the type of operation: 421 patients underwent TLTG and 266
underwent LATG. Clinicopathologic characteristics and surgical outcomes in the
two groups were compared and analyzed. RESULTS: The TLTG group had higher mean
ages at the time of operation (57.78 +/- 11.20 years and 55.69 +/- 11.96 years, P
= 0.020) and more histories of abdominal surgery (20.2% and 12.4%, P = 0.008)
compared with the LATG group. Surgical outcomes such as intraoperative and
postoperative transfusions, combined operations, pain scores and administration
of analgesics, and complications were similar between the two groups. However,
compared with the LATG group, the TLTG group required a shorter operation time
(149 min vs 170 min, P < 0.001), had lower postoperative hematocrit change (3.49%
vs 4.04%, P = 0.002), less intraoperative events (3.1% vs 10.2%, P < 0.001), less
intraoperative anastomosis events (2.4% vs 7.1%, P = 0.003), faster postoperative
recovery such as median time to first flatus (3.30 d vs 3.60 d, P < 0.001),
faster median commencement of soft diet (4.30 d vs 4.60 d, P < 0.001) and shorter
length of postoperative hospital stay (6.75 d vs 7.02 d, P = 0.005). CONCLUSION:
The intracorporeal method for reconstruction of esophagojejunostomy using a
linear stapler may be considered a feasible procedure comparing with
extracorporeal anastomosis using circular stapler because TLTG is simpler and
more straightforward than LATG. Therefore, TLTG can be recommended as an
appropriate procedure for gastric cancer.
PMID- 29358864
TI - Prognostic significance of pretreatment serum carcinoembryonic antigen levels in
gastric cancer with pathological lymph node-negative: A large sample single
center retrospective study.
AB - AIM: To assess whether elevated serum carcinoembryonic antigen (CEA) is in the
inferior prognosis for pathological lymph node-negative (pN0) gastric cancer (GC)
patients who underwent D2 gastrectomy. METHODS: About 469 pN0 GC patients, who
received D2 radical gastrectomy were retrospectively analyzed. The X-tile plots
cut-off point for CEA were 30.02 ng/mL using minimum P-value from log-rank chi2
statistics, and pN0 GC patients were assigned to two groups: those more than
30.02 ng/mL (n = 48; CEA-high group) and those less than 30.02 ng/mL (n = 421;
CEA-low group). Clinicopathologic characteristics were compared using Pearson's
chi2 or Fisher's exact tests, and survival curves were so manufactured using the
Kaplan-Meier method. Univariate and multivariate analysis were carried out using
the logistic regression method. RESULTS: The percentage of vessel carcinoma
embolus (31.35% vs 17.1%) and advanced GC (T2-4b) (81.25% vs 65.32%) were higher
in CEA-high group than CEA-low group. The CEA-positive patients had a
significantly poorer prognosis than the CEA-nagetive patients in terms of overall
survival (57.74% vs 90.69%, P < 0.05), and no different was found between
subgroup of T category, differentiation, nerve invasion, and vessel carcinoma
embolus (all P > 0.05). Multivariate survival analysis showed that CEA (OR =
4.924), and T category (OR = 2.214) were significant prognostic factors for stage
pN0 GC (all P < 0.05). Besides, only T category (OR = 1.962) was an independent
hazard factor in the CEA-high group (P < 0.05). CONCLUSION: Those pretreatment
serum CEA levels over 30.02 ng/mL on behalf of worse characteristics and
unfavourable tumor behavior, and a poor prognosis for a nearly doubled risk of
mortality in GC patients.
PMID- 29358865
TI - Fecal microbiota transplantation induces remission of infantile allergic colitis
through gut microbiota re-establishment.
AB - AIM: To investigate the impact of fecal microbiota transplantation (FMT)
treatment on allergic colitis (AC) and gut microbiota (GM). METHODS: We selected
a total of 19 AC infants, who suffered from severe diarrhea/hematochezia, did not
relieve completely after routine therapy or cannot adhere to the therapy, and
were free from organ congenital malformations and other contraindications for
FMT. Qualified donor-derived stools were collected and injected to the AC infants
via a rectal tube. Clinical outcomes and follow-up observations were noted.
Stools were collected from ten AC infants before and after FMT, and GM
composition was assessed for infants and donors using 16S rDNA sequencing
analysis. RESULTS: After FMT treatment, AC symptoms in 17 infants were relieved
within 2 d, and no relapse was observed in the next 15 mo. Clinical improvement
was also detected in the other two AC infants who were lost to follow-up. During
follow-up, one AC infant suffered from mild eczema and recovered shortly after
hormone therapy. Based on the 16S rDNA analysis in ten AC infants, most of them
(n = 6) had greater GM diversity after FMT. As a result, Proteobacteria decreased
(n = 6) and Firmicutes increased (n = 10) in post-FMT AC infants. Moreover,
Firmicutes accounted for the greatest proportion of GM in the patients. At the
genus level, Bacteroides (n = 6), Escherichia (n = 8), and Lactobacillus (n = 4)
were enriched in some AC infants after FMT treatment, but the relative abundances
of Clostridium (n = 5), Veillonella (n = 7), Streptococcus (n = 6), and
Klebsiella (n = 8) decreased dramatically. CONCLUSION: FMT is a safe and
effective method for treating pediatric patients with AC and restoring GM
balance.
PMID- 29358866
TI - Prognostic value of lymph node metastasis in patients with T1-stage colorectal
cancer from multiple centers in China.
AB - AIM: To explore the features and prognostic value of lymph node metastasis in
patients with T1-stage colorectal cancer (CRC). METHODS: In all, 321 cases of T1
stage CRC were selected from 10132 patients with CRC who received surgical
therapy in six large-scale hospitals in China and were retrospectively analyzed.
Univariate and multivariate analyses were performed to analyze the risk factors
for lymphatic metastasis. A survival analysis was then performed to analyze the
prognostic value of lymph node metastasis. RESULTS: The occurrence rate of T1
stage was 3.17% (321/10132); of these patients, the lymph node metastasis rate
was 8.41% (27/321), and the non-lymph node metastasis rate was 91.59% (294/321).
Univariate analysis showed that preoperative serum CEA, preoperative serum CA199,
preoperative serum CA724, vascular invasion, and degree of differentiation were
associated with lymph node metastasis in T1-stage CRC (P < 0.05 for all).
Multivariate analysis indicated that preoperative serum CA724, vascular invasion,
and degree of differentiation were closely related to lymph node metastasis (P <
0.05 for all). Log-rank survival analysis showed that age, preoperative serum
CEA, preoperative serum CA199, vascular invasion, degree of differentiation, and
lymph node metastasis (chi2 = 24.180, P < 0.001) were predictors of 5-year
overall survival (OS) (P < 0.05 for all). COX regression analysis demonstrated
that preoperative serum CA199 and lymph node metastasis (HR = 5.117; P < 0.05;
95%CI: 0.058-0.815) were independent prognostic indicators of 5-year OS in
patients with T1-stage CRC (P < 0.05 for both). CONCLUSION: The morbidity of T1
stage CRC was 3.17% for all CRC cases. Preoperative serum CA724, vascular
invasion, and degree of differentiation are independent risk factors for lymph
node metastasis. Lymph node metastasis is an independent prognostic factor for OS
in patients with T1-stage CRC.
PMID- 29358867
TI - Association between acute pancreatitis and small intestinal bacterial overgrowth
assessed by hydrogen breath test.
AB - AIM: To elucidate the effects of small intestinal bacterial overgrowth (SIBO) on
the severity and complications of acute pancreatitis (AP). METHODS: In total, 208
patients with AP as defined by the revised Atlanta classification were admitted
to Xuanwu Hospital of Capital Medical University from 2013 to 2016. All patients
were admitted within 72 h of AP onset. The hydrogen breath test was performed 7 d
after AP onset to detect hydrogen production and evaluate the development of
SIBO. The incidence of SIBO was analyzed in patients with AP of three different
severity grades. The association between SIBO and complications of AP was also
assessed. RESULTS: Of the 27 patients with severe AP (SAP), seven (25.92%)
developed SIBO. Of the 86 patients with moderately severe AP (MSAP), 22 (25.58%)
developed SIBO. Of the 95 patients with mild AP (MAP), eight (8.42%) developed
SIBO. There were significant differences in the rates of SIBO among patients with
AP of different severities. Additionally, more severe AP was associated with
higher rates of SIBO positivity (P < 0.05). SIBO in patients with AP mainly
occurred within 72 h of the onset of AP. The incidence of organ failure was
significantly higher in patients with SIBO than in those without (P < 0.05).
CONCLUSION: SIBO occurs more frequently in patients with MSAP or SAP than in
those with MAP, usually <= 72 h after AP onset. Additionally, SIBO is associated
with organ failure.
PMID- 29358868
TI - Endoscopic papillary large balloon dilatation with sphincterotomy is safe and
effective for biliary stone removal independent of timing and size of
sphincterotomy.
AB - AIM: To describe the efficacy and safety of endoscopic papillary large balloon
dilatation (EPLBD) in the management of bile duct stones in a Western population.
METHODS: Data was collected from the endoscopic retrograde
cholangiopancreatography (ERCP) and Radiology electronic database along with a
review of case notes over a period of six years from 1st August 2009 to 31st July
2015 and incorporated into Microsoft excel. Statistical analyses were performed
using MedCalc for Windows, version 12.5 (MedCalc Software, Ostend, Belgium).
Simple statistical applications were applied in order to determine whether
significant differences exist in comparison groups. We initially used simple
proportions to describe the study populations. Furthermore, we used chi-square
test to compare proportions and categorical variables. Non-parametric Mann
Whitney U-test was applied in order to compare continuous variables. All
comparisons were deemed to be statistically significant if P values were less
than 0.05. RESULTS: EPLBD was performed in 229 patients (46 females) with mean
age of 68 +/- 14.3 years. 115/229 (50%) patients had failed duct clearance at
previous ERCP referred from elsewhere with standard techniques. Duct clearance at
the Index* ERCP (1st ERCP at our centre) was 72.5%. Final duct clearance rate was
98%. EPLBD after fresh sphincterotomy was performed in 81 (35.4%). Median balloon
size was 13.5 mm (10 - 18). In addition to EPLBD, per-oral cholangioscopy (POC)
and electrohydraulic lithotripsy (EHL) was performed in 35 (15%) patients at
index* ERCP. 63 (27.5%) required repeat ERCP for stone clearance. 28 (44.5%)
required POC and EHL and 11 (17.4%) had repeat EPLBD for complete duct clearance.
Larger stone size (12.4 mm vs 17.4 mm, P < 0.000001), multiple stones (2, range
(1-13) vs 3, range (1-12), P < 0.006) and dilated common bile duct (CBD) (12.4 mm
vs 18.3 mm, P < 0.001) were significant predictors of failed duct clearance at
index ERCP. 47 patients (20%) had ampullary or peri-ampullary diverticula.
Procedure related adverse events included 2 cases of bleeding and pancreatitis
(0.87%) each. CONCLUSION: EPLBD is a safe and effective technique for CBDS
removal. There is no difference in outcomes whether it is performed at the time
of sphincterotomy or at a later procedure or whether there is a full or limited
sphincterotomy.
PMID- 29358869
TI - Person-centered endoscopy safety checklist: Development, implementation, and
evaluation.
AB - AIM: To describe the development and implementation of a person-centered
endoscopy safety checklist and to evaluate the effects of a "checklist
intervention". METHODS: The checklist, based on previously published safety
checklists, was developed and locally adapted, taking patient safety aspects into
consideration and using a person-centered approach. This novel checklist was
introduced to the staff of an endoscopy unit at a Stockholm University Hospital
during half-day seminars and team training sessions. Structured observations of
the endoscopy team's performance were conducted before and after the introduction
of the checklist. In addition, questionnaires focusing on patient participation,
collaboration climate, and patient safety issues were collected from patients and
staff. RESULTS: A person-centered safety checklist was developed and introduced
by a multi-professional group in the endoscopy unit. A statistically significant
increase in accurate patient identity verification by the physicians was noted
(from 0% at baseline to 87% after 10 mo, P < 0.001), and remained high among
nurses (93% at baseline vs 96% after 10 mo, P = nonsignificant). Observations
indicated that the professional staff made frequent attempts to use the
checklist, but compliance was suboptimal: All items in the observed nurse-led
"summaries" were included in 56% of these interactions, and physicians
participated by directly facing the patient in 50% of the interactions. On the
questionnaires administered to the staff, items regarding collaboration and the
importance of patient participation were rated more highly after the introduction
of the checklist, but this did not result in statistical significance (P = 0.07/P
= 0.08). The patients rated almost all items as very high both before and after
the introduction of the checklist; hence, no statistical difference was noted.
CONCLUSION: The intervention led to increased patient identity verification by
physicians - a patient safety improvement. Clear evidence of enhanced person
centeredness or team work was not found.
PMID- 29358870
TI - Multicenter, randomized study to optimize bowel preparation for colon capsule
endoscopy.
AB - AIM: To assess the cleansing efficacy and safety of a new Colon capsule endoscopy
(CCE) bowel preparation regimen. METHODS: This was a multicenter, prospective,
randomized, controlled study comparing two CCE regimens. Subjects were
asymptomatic and average risk for colorectal cancer. The second generation CCE
system (PillCam(r) COLON 2; Medtronic, Yoqneam, Israel) was utilized. Preparation
regimens differed in the 1st and 2nd boosts with the Study regimen using oral
sulfate solution (89 mL) with diatrizoate meglumine and diatrizoate sodium
solution ("diatrizoate solution") (boost 1 = 60 mL, boost 2 = 30 mL) and the
Control regimen oral sulfate solution (89 mL) alone. The primary outcome was
overall and segmental colon cleansing. Secondary outcomes included safety, polyp
detection, colonic transit, CCE completion and capsule excretion <= 12 h.
RESULTS: Both regimens had similar cleansing efficacy for the whole colon
(Adequate: Study = 75.9%, Control = 77.3%; P = 0.88) and individual segments. In
the Study group, CCE completion was superior (Study = 90.9%, Control = 76.9%; P =
0.048) and colonic transit was more often < 40 min (Study = 21.8%, Control = 4%;
P = 0.0073). More Study regimen subjects experienced adverse events (Study =
19.4%, Control = 3.4%; P = 0.0061), and this difference did not appear related to
diatrizoate solution. Adverse events were primarily gastrointestinal in nature
and no serious adverse events related either to the bowel preparation regimen or
the capsule were observed. There was a trend toward higher polyp detection with
the Study regimen, but this did not achieve statistical significance for any size
category. Mean transit time through the entire gastrointestinal tract, from
ingestion to excretion, was shorter with the Study regimen while mean colonic
transit times were similar for both study groups. CONCLUSION: A CCE bowel
preparation regimen using oral sulfate solution and diatrizoate solution as a
boost agent is effective, safe, and achieved superior CCE completion.
PMID- 29358872
TI - Psychiatric morbidity after surgery for inflammatory bowel disease: A systematic
review.
AB - AIM: To examine the evidence about psychiatric morbidity after inflammatory bowel
disease (IBD)-related surgery. METHODS: PRISMA guidelines were followed and a
protocol was published at PROSPERO (CRD42016037600). Inclusion criteria were
studies describing patients with inflammatory bowel disease undergoing surgery
and their risk of developing psychiatric disorder. RESULTS: Twelve studies
(including 4340 patients) were eligible. All studies were non-randomized and most
had high risk of bias. Patients operated for inflammatory bowel disease had an
increased risk of developing depression, compared with surgical patients with
diverticulitis or inguinal hernia, but not cancer. In addition, patients with
Crohn's disease had higher risk of depression after surgery compared with non
surgical patients. Patients with ulcerative colitis had higher risk of anxiety
after surgery compared with surgical colorectal cancer patients. Charlson
comorbidity score more than three and female gender were independent predictors
for depression and anxiety following surgery. CONCLUSION: The review cannot give
any clear answer to the risks of psychiatric morbidity after surgery for IBD
studies with the lowest risk of bias indicated an increased risk of depression
among surgical patients with Crohn's disease and increased risk of anxiety among
patients with ulcerative colitis.
PMID- 29358871
TI - Fusobacterium's link to colorectal neoplasia sequenced: A systematic review and
future insights.
AB - AIM: To critically evaluate previous scientific evidence on Fusobacterium's role
in colorectal neoplasia development. METHODS: Two independent investigators
systematically reviewed all original scientific articles published between
January, 2000, and July, 2017, using PubMed, EMBASE, and MEDLINE. A total of 355
articles were screened at the abstract level. Of these, only original scientific
human, animal, and in vitro studies investigating Fusobacterium and its
relationship with colorectal cancer (CRC) were included in the analysis.
Abstracts, review articles, studies investigating other colonic diseases, and
studies written in other languages than English were excluded from our analysis.
Ninety articles were included after removing duplicates, resolving disagreements
between the two reviewers, and applying the above criteria. RESULTS: Studies have
consistently identified positive associations between Fusobacterium, especially
Fusobacterium nucleatum (F. nucleatum), and CRC. Stronger associations were seen
in CRCs proximal to the splenic flexure and CpG island methylator phenotype
(CIMP)-high CRCs. There was evidence of temporality and a biological gradient,
with increased F. nucleatum DNA detection and quantity along the traditional
adenoma-carcinoma sequence and in CIMP-high CRC precursors. Diet may have a
differential impact on colonic F. nucleatum enrichment; evidence suggests that
high fiber diet may reduce the risk of a subset of CRCs that are F. nucleatum DNA
positive. Data also suggest shorter CRC and disease-specific survival with
increased amount of F. nucleatum DNA in CRC tissue. The pathophysiology of
enrichment of F. nucleatum and other Fusobacterium species in colonic tissue is
unclear; however, the virulence factors and changes to the local colonic
environment with disruption of the protective mucus layer may contribute. The
presence of a host lectin (Gal-GalNAc) in the colonic epithelium may also mediate
F. nucleatum attachment to CRC and precursors through interaction with an F.
nucleatum protein, fibroblast activation protein 2 (FAP2). The clinical
significance of detection or enrichment of Fusobacterium in colorectal neoplasia
is ambiguous, but data suggest a procarcinogenic effect of F. nucleatum, likely
due to activation of oncogenic and inflammatory pathways and modulation of the
tumor immune environment. This is hypothesized to be mediated by certain F.
nucleatum strains carrying invasive properties and virulence factors such as FadA
and FAP. CONCLUSION: Evidence suggests a potential active role of Fusobacterium,
specifically F. nucleatum, in CRC. Future prospective and experimental human
studies would fill an important gap in this literature.
PMID- 29358873
TI - Stricturing Crohn's disease-like colitis in a patient treated with belatacept.
AB - Cytotoxic T-lymphocyte-associated antigen 4 (CTLA-4) modifying agents have been
involved in the development of intestinal inflammation, especially therapeutic
monoclonal antibodies directed against CTLA-4. Here we report the appearance of a
severe stricturing Crohn's disease-like colitis in a patient with a kidney
allograft who was treated with belatacept, a recombinant CTLA-4-Ig fusion
protein.
PMID- 29358874
TI - Emphysematous pancreatitis associated with penetrating duodenal ulcer.
AB - In the "proton pump inhibitors era", a penetrating peptic ulcer (PPU) represents
an exceptional cause of abdominal pain, and was more frequently observed in the
past where there was not an effective antacid treatment. Ulcer-induced
pancreatitis is very rare, too, and manifests with persistent, intense pain
radiating to the back. A mild to severe pancreatitis with peripancreatic fluid
collection can be observed at imaging. However, only a few cases of association
between PPU and emphysematous pancreatitis (EP) have been published in the
literature. EP is a rare but potentially fatal form of acute necrotizing
pancreatitis in which gas grows in and outside the pancreas, and typically
involves the whole parenchyma in diabetic individuals. Here we report an
extremely rare case of a duodenal ulcer penetrating the pancreas and complicated
with EP. Unlike the classic form of EP, which involves the whole parenchyma and
has a poor prognosis, we found that the emphysematous involvement of the pancreas
by PPU has a benign course if a conservative therapy is promptly established. Gas
is confined to the site of penetration, usually the pancreatic head, and ulcers
most often involve the duodenum.
PMID- 29358875
TI - Infiltrative xanthogranulomatous cholecystitis mimicking aggressive gallbladder
carcinoma: A diagnostic and therapeutic dilemma.
AB - Xanthogranulomatous cholecystitis (XGC) is an uncommon variant of chronic
cholecystitis. The perioperative findings in aggressive cases may be
indistinguishable from those of gallbladder or biliary tract carcinomas. Three
patients presented mass lesions that infiltrated the hepatic hilum, provoked
biliary dilatation and jaundice, and were indicative of malignancy. Surgical
excision was performed following oncological principles and included extirpation
of the gallbladder, extrahepatic bile duct, and hilar lymph nodes, as well as
partial hepatectomy. Postoperative morbidity was minimal. Surgical pathology
demonstrated XGC and absence of malignancy in all three cases. All three patients
are alive and well after years of follow-up. XGC may have such an aggressive
presentation that carcinoma may only be ruled out on surgical pathology. In such
cases, the best option may be radical resection following oncological principles
performed by expert surgeons, in order that postoperative complications may be
minimized if not avoided altogether.
PMID- 29358876
TI - Estrogen, estrogen receptors, and hepatocellular carcinoma: Are we there yet?
AB - A protective role of the sex steroid hormone estrogen in hepatocellular carcinoma
(HCC) was suggested a few decades ago according to clinical data showing higher
HCC morbidity and mortality among males. Several recent studies further confirmed
the anti-cancer effects of estrogen in the liver. However, it remains to be
identified how to exploit estrogen signalling within clinical settings for HCC
treatment. There are several unresolved issues related to the estrogen pathway in
liver cells. The main problems include the absence of a clear understanding of
which estrogen receptor (ER) isoform is predominantly expressed in normal and
malignant liver cells, the ER isoform expression difference between males and
females, and which ER isoform should be targeted when designing HCC therapy. Some
of those questions were recently addressed by Iyer and co-authors. The current
editorial review critically analyses the study by Iyer et al (WJG, 2017) that
investigated the expression of ER subtypes in liver samples collected from
patients with a healthy liver, hepatitis C virus cirrhosis, and HCC. ER presence
was evaluated in association with gender, intracellular localization,
inflammation marker NF-kappaB, and proliferation-related effector cyclin D1. The
study limitations and advantages are discussed in light of recent advances in the
HCC and estrogen signalling areas.
PMID- 29358877
TI - Relationship between intestinal microbiota and ulcerative colitis: Mechanisms and
clinical application of probiotics and fecal microbiota transplantation.
AB - Ulcerative colitis (UC) is an inflammatory disease that mainly affects the colon
and rectum. It is believed that genetic factors, host immune system disorders,
intestinal microbiota dysbiosis, and environmental factors contribute to the
pathogenesis of UC. However, studies on the role of intestinal microbiota in the
pathogenesis of UC have been inconclusive. Studies have shown that probiotics
improve intestinal mucosa barrier function and immune system function and promote
secretion of anti-inflammatory factors, thereby inhibiting the growth of harmful
bacteria in the intestine. Fecal microbiota transplantation (FMT) can reduce
bowel permeability and thus the severity of disease by increasing the production
of short-chain fatty acids, especially butyrate, which help maintain the
integrity of the epithelial barrier. FMT can also restore immune dysbiosis by
inhibiting Th1 differentiation, activity of T cells, leukocyte adhesion, and
production of inflammatory factors. Probiotics and FMT are being increasingly
used to treat UC, but their use is controversial because of uncertain efficacy.
Here, we briefly review the role of intestinal microbiota in the pathogenesis and
treatment of UC.
PMID- 29358878
TI - Updated review on immune factors in pathogenesis of Crohn's disease.
AB - Although the incidence of Crohn's disease (CD) in China is not as high as that in
European and American countries, there has been a clear increasing trend in
recent years. Little is known about its pathogenesis, cause of deferment, and the
range of complications associated with the disease. Local and international
scholars have presented many hypotheses about CD pathogenesis based on
experimental and clinical studies, including genetic susceptibility, immune
function defects, intestinal microflora disorders, delayed hypersensitivity, and
food antigen stimulation. However, the specific mechanism leading to this immune
imbalance, which causes persistent intestinal mucosal damage, and the source of
the inflammatory cascade reaction are still unclear. So far, the results of
research studies differ locally and internationally. This paper presents the most
current research on immune factors in the pathogenesis of CD.
PMID- 29358879
TI - Construction of an oesophageal cancer-specific ceRNA network based on miRNA,
lncRNA, and mRNA expression data.
AB - AIM: To explore the expression profiles of microRNAs (miRNAs), long non-coding
RNAs (lncRNAs), and mRNAs in oesophageal squamous cell carcinoma (ESCC) in order
to construct an oesophageal cancer-specific competing endogenous RNA (ceRNA)
network. METHODS: In this work, the expression data of miRNAs, lncRNAs, and mRNAs
in ESCC were obtained. An oesophageal cancer-specific ceRNA network was then
constructed and investigated. RESULTS: CeRNAs have the ability to reduce the
targeting activity of miRNAs, leading to the de-repression of specific mRNAs with
common miRNA response elements. CeRNA interactions have a critical effect in gene
regulation and cancer development. CONCLUSION: This study suggests a novel
perspective on potential oesophageal cancer mechanisms as well as novel pathways
for modulating ceRNA networks for treating cancers.
PMID- 29358881
TI - Increased intestinal mucosal leptin levels in patients with diarrhea-predominant
irritable bowel syndrome.
AB - AIM: To measure the leptin levels in patients with diarrhea-predominant irritable
bowel syndrome (IBS-D) and analyze the relationship of leptin with clinical
features, visceral sensitivity, mast cells, and nerve fibers. METHODS: Forty-two
patients with IBS-D fulfilling the Rome III criteria and 20 age- and sex-matched
healthy controls underwent clinical and psychological evaluations using validated
questionnaires (including IBS Symptom Severity Scale, IBS-specific Quality of
Life, Hamilton Anxiety Scale, and Hamilton Depression Scale), along with
colonoscopy, colonic mucosal biopsy, and visceral sensitivity testing. Serum
leptin levels were assayed using enzyme-linked immunosorbent assay. Mucosal
leptin expression and localization were evaluated using immunohistochemistry and
immunofluorescence. Mucosal leptin mRNA levels were quantified using quantitative
real-time reverse transcription polymerase chain reaction. Mast cell counts and
activation rates were investigated by toluidine blue staining. Correlation
analyses between these parameters were performed. RESULTS: There were no
statistically significant differences in age, gender, or body mass index between
the IBS-D group and the control group. The median IBS Symptom Severity Scale
score in the IBS-D group was 225.0 (range, 100-475). IBS-D patients had
significantly increased anxiety [IBS-D: median, 6.5; interquartile range (IQR),
3.3; control: median, 2.0; IQR, 2.0; P < 0.001] and depression (IBS-D: median,
7.0; IQR, 3.0; control: median, 3.0; IQR, 2.0; P < 0.001) scores. IBS-D patients
had significantly lower first sensation threshold (IBS-D: median, 50.6; IQR,
25.9; control: median, 80.5; IQR, 18.6; P < 0.001), defecation sensation
threshold (IBS-D: median, 91.5; IQR, 29.3; control: median, 155.0; IQR, 21.1; P <
0.001) and maximum tolerable threshold (IBS-D: median, 163.2; IQR, 71.2; control:
median, 226.2; IQR, 39.3; P < 0.001). Mucosal leptin expression, as reflected by
integrated optical density (IBS-D: median, 4424.71; IQR, 4533.63; control:
median, 933.65; IQR, 888.10; P < 0.001), leptin mRNA expression (IBS-D: median,
1.1226; IQR, 1.6351; control: median, 0.8947; IQR, 0.4595; P = 0.009), and mast
cell activation rate (IBS-D: median, 71.2%; IQR, 12.9%; control group: median,
59.4%; IQR, 18.88%; P < 0.001) were significantly increased in IBS-D patients.
The colocalization of leptin and leptin receptors was observed on mast cells and
PGP9.5-positive nerve fibers in the intestinal mucosa. Also, leptin expression
was positively correlated with anxiety, depression, and the mast cell activation
rate, but negatively correlated with the defecation sensation threshold and the
maximum tolerance threshold during visceral sensitivity testing (adjusted P <
0.0038). CONCLUSION: Increased levels of mucosal leptin may interact with mast
cells and the nervous system to contribute to the pathogenesis of IBS-D.
PMID- 29358880
TI - Emodin and baicalein inhibit sodium taurocholate-induced vacuole formation in
pancreatic acinar cells.
AB - AIM: To investigate the effects of combined use of emodin and baicalein (CEB) at
the cellular and organism levels in severe acute pancreatitis (SAP) and explore
the underlying mechanism. METHODS: SAP was induced by retrograde infusion of 5%
sodium taurocholate into the pancreatic duct in 48 male SD rats. Pancreatic
histopathology score, serum amylase activity, and levels of tumour necrosis
factor alpha (TNF-alpha), interleukin 6 (IL-6), and IL-10 were determined to
assess the effects of CEB at 12 h after the surgery. The rat pancreatic acinar
cells were isolated from healthy male SD rats using collagenase. The cell
viability, cell ultrastructure, intracellular free Ca2+ concentration, and
inositol (1,4,5)-trisphosphate receptor (IP3R) expression were investigated to
assess the mechanism of CEB. RESULTS: Pancreatic histopathology score (2.07 +/-
1.20 vs 6.84 +/- 1.13, P < 0.05) and serum amylase activity (2866.2 +/- 617.7 vs
5241.3 +/- 1410.0, P < 0.05) were significantly decreased in the CEB (three
doses) treatment group compared with the SAP group (2.07 +/- 1.20 vs 6.84 +/-
1.13, P < 0.05). CEB dose-dependently reduced the levels of the pro-inflammatory
cytokines IL-6 (466.82 +/- 48.55 vs 603.50 +/- 75.53, P < 0.05) and TNF-alpha
(108.04 +/- 16.10 vs 215.56 +/- 74.67, P < 0.05) and increased the level of the
anti-inflammatory cytokine IL-10 (200.96 +/- 50.76 vs 54.18 +/- 6.07, P < 0.05)
compared with those in the SAP group. CEB increased cell viability, inhibited
cytosolic Ca2+ concentration, and significantly ameliorated intracellular
vacuoles and IP3 mRNA expression compared with those in the SAP group (P < 0.05).
There was a trend towards decreased IP3R protein in the CEB treatment group;
however, it did not reach statistical significance (P > 0.05). CONCLUSION: These
results at the cellular and organism levels reflect a preliminary mechanism of
CEB in SAP and indicate that CEB is a suitable approach for SAP treatment.
PMID- 29358882
TI - Correlation between smoking habit and surgical outcomes on viral-associated
hepatocellular carcinomas.
AB - AIM: To investigate the association between smoking habits and surgical outcomes
in hepatitis B virus (HBV)-related hepatocellular carcinoma (HCC) (B-HCC) and
hepatitis C virus (HCV)-related HCC (C-HCC) and clarify the clinicopathological
features associated with smoking status in B-HCC and C-HCC patients. METHODS: We
retrospectively examined the cases of the 341 consecutive patients with viral
associated HCC (C-HCC, n = 273; B-HCC, n = 68) who underwent curative surgery for
their primary lesion. We categorized smoking status at the time of surgery into
never, ex- and current smoker. We analyzed the B-HCC and C-HCC groups'
clinicopathological features and surgical outcomes, i.e., disease-free survival
(DFS), overall survival (OS), and disease-specific survival (DSS). Univariate and
multivariate analyses were performed using a Cox proportional hazards regression
model. We also performed subset analyses in both patient groups comparing the
current smokers to the other patients. RESULTS: The multivariate analysis in the
C-HCC group revealed that current-smoker status was significantly correlated with
both OS (P = 0.0039) and DSS (P = 0.0416). In the B-HCC patients, no significant
correlation was observed between current-smoker status and DFS, OS, or DSS in the
univariate or multivariate analyses. The subset analyses comparing the current
smokers to the other patients in both the C-HCC and B-HCC groups revealed that
the current smokers developed HCC at significantly younger ages than the other
patients irrespective of viral infection status. CONCLUSION: A smoking habit is
significantly correlated with the overall and disease-specific survivals of
patients with C-HCC. In contrast, the B-HCC patients showed a weak association
between smoking status and surgical outcomes.
PMID- 29358883
TI - Safety and efficacy of metallic stent for unresectable distal malignant biliary
obstruction in elderly patients.
AB - AIM: To study the safety of insertion of metallic stents in elderly patients with
unresectable distal malignant biliary obstruction. METHODS: Of 272 patients with
unresectable distal malignant biliary obstruction, 184 patients under the age of
80 were classified into Group A, and 88 subjects aged 80 years or more were
classified into Group B. The safety of metallic stent insertion, metal stent
patency period, and the obstruction rate were examined in each group. RESULTS: In
Group B, patients had a significantly worse performance status, high blood
pressure, heart disease, cerebrovascular disease, and dementia; besides the rate
of patients orally administered antiplatelet drugs or anticoagulants tended to be
higher (P < 0.05). Metallic stents were successfully inserted in all patients.
The median patency period was 265.000 +/- 26.779 (1-965) d; 252.000 +/- 35.998 (1
618) d in Group A and 269.000 +/- 47.885 (1-965) d in Group B, with no
significant difference between the two groups. Metallic stent obstruction
occurred in 82 of the 272 (30.15%) patients; in 53/184 (28.80%) patients in Group
A and in 29/88 (32.95%) of those in Group B, showing no significant difference
between the two groups. Procedural accidents due to metal stent insertion
occurred in 24/272 (8.8%) patients; in 17/184 (9.2%) of patients in Group A and
in 7/88 (8.0%) of those in Group B, with no significant difference between the
two groups, either. CONCLUSION: These results suggested that metallic stents can
be safely inserted to treat unresectable distal malignant biliary obstruction
even in elderly patients aged 80 years or more.
PMID- 29358884
TI - Short- and long-term outcomes following laparoscopic vs open surgery for
pathological T4 colorectal cancer: 10 years of experience in a single center.
AB - AIM: To evaluate the short-term and long-term outcomes following laparoscopic vs
open surgery for pathological T4 (pT4) colorectal cancer. METHODS: We
retrospectively analyzed the short- and long-term outcomes of proven pT4
colorectal cancer patients who underwent complete resection by laparoscopic or
open surgery from 2006 to 2015 at Guangdong General Hospital. RESULTS: A total of
211 pT4 colorectal cancer patients were included in this analysis, including 101
cases in the laparoscopy (LAP) group and 110 cases in the open surgery (OPEN)
group [including 15 (12.9%) cases of conversion to open surgery]. Clinical
information (age, gender, body mass index, comorbidities, American Society of
Anesthesiologists score, etc.) did not differ between the two groups. In terms of
blood loss, postoperative complications and rate of recovery, the LAP group
performed significantly more favorably (P < 0.05). With regard to pT4a/b and
combined organ resection, there were significantly more cases in the OPEN group
(P < 0.05). The 3- and 5-year overall survival rates were 74.9% and 60.5%,
respectively, for the LAP group and 62.4% and 46.5%, respectively, for the OPEN
group (P = 0.060). The 3- and 5-year disease-free survival rates were 68.0% and
57.3%, respectively, for the LAP group and 55.8% and 39.8%, respectively, for the
OPEN group (P = 0.053). Multivariate analysis showed that IIIB/IIIC stage, lymph
node status, and CA19-9 were significant predictors of overall survival. PT4a/b,
IIIC stage, histological subtypes, CA19-9, and adjuvant chemotherapy were
independent factors affecting disease-free survival. CONCLUSION: Laparoscopy is
safely used in the treatment of pT4 colorectal cancer while offering advantages
of minimal invasiveness and faster recovery. Laparoscopy is able to achieve good
oncologic outcomes similar to those of open surgery. We recommend that
laparoscopy be carried out in experienced centers. It is still required to screen
the appropriate cases for laparoscopic surgery, optimize the preoperative
diagnosis process, and reduce the conversion rate. Multi-center, prospective, and
large-sample studies are required to assess these issues.
PMID- 29358885
TI - Differential analysis of lymph node metastasis in histological mixed-type early
gastric carcinoma in the mucosa and submucosa.
AB - AIM: To investigate the relationship between histological mixed-type of early
gastric cancer (EGC) in the mucosa and submucosa and lymph node metastasis (LNM).
METHODS: This study included 298 patients who underwent gastrectomy for EGC
between 2005 and 2012. Enrolled lesions were divided into groups of pure
differentiated (pure D), pure undifferentiated (pure U), and mixed-type according
to the proportion of the differentiated and undifferentiated components observed
under a microscope. We reviewed the clinicopathological features, including age,
sex, location, size, gross type, lymphovascular invasion, ulceration, and LNM,
among the three groups. Furthermore, we evaluated the predictors of LNM in the
mucosa-confined EGC. RESULTS: Of the 298 patients, 165 (55.4%) had mucosa
confined EGC and 133 (44.6%) had submucosa-invasive EGC. Only 13 (7.9%) cases of
mucosa-confined EGC and 30 (22.6%) cases of submucosa-invasive EGC were observed
to have LNM. The submucosal invasion (OR = 4.58, 95%CI: 1.23-16.97, P = 0.023),
pure U type (OR = 4.97, 95%CI: 1.21-20.39, P = 0.026), and mixed-type (OR = 5.84,
95%CI: 1.05-32.61, P = 0.044) were independent risk factors for LNM in EGC. The
rate of LNM in mucosa-confined EGC was higher in the mixed-type group (P = 0.012)
and pure U group (P = 0.010) than in the pure D group, but no significant
difference was found between the mixed-type group and pure U group (P = 0.739).
Similarly, the rate of LNM in the submucosa-invasive EGC was higher in the mixed
type (P = 0.012) and pure U group (P = 0.009) than in the pure D group but was
not significantly different between the mixed-type and pure U group (P = 0.375).
Multivariate logistic analysis showed that only female sex (OR = 5.83, 95%CI:
1.64-20.70, P = 0.028) and presence of lymphovascular invasion (OR = 13.18,
95%CI: 1.39-125.30, P = 0.020) were independent risk factors for LNM in mucosa
confined EGC, while histological type was not an independent risk factor for LNM
in mucosa-confined EGC (P = 0.106). CONCLUSION: For mucosal EGC, histological
mixed-type is not an independent risk factor for LNM and could be managed in the
same way as the undifferentiated type.
PMID- 29358887
TI - Surgical specimen extraction via a prophylactic ileostomy procedure: A minimally
invasive technique for laparoscopic rectal cancer surgery.
AB - AIM: To retrospectively evaluate the safety and feasibility of surgical specimen
extraction via a prophylactic ileostomy procedure in patient with rectal cancer.
METHODS: We systematically reviewed 331 consecutive patients who underwent
laparoscopic anterior resection for rectal cancer and prophylactic ileostomy in
our institution from June 2010 to October 2016, including 155 patients who
underwent specimen extraction via a prophylactic ileostomy procedure
(experimental group), and 176 patients who underwent specimen extraction via a
small lower abdominal incision (control group). Clinical data were collected from
both groups and statistically analyzed. RESULTS: The two groups were matched in
clinical characteristics and pathological outcomes. However, mean operative time
was significantly shorter in the experimental group compared to the control group
(161.3 +/- 21.5 min vs 168.8 +/- 20.5 min; P = 0.001). Mean estimated blood loss
was significantly less in the experimental group (77.4 +/- 30.7 mL vs 85.9 +/-
35.5 mL; P = 0.020). The pain reported by patients during the first two days
after surgery was significantly less in the experimental group than in the
control group. No wound infections occurred in the experimental group, but 4.0%
of the controls developed wound infections (P = 0.016). The estimated 5-year
disease-free survival and overall survival rate were similar between the two
groups. CONCLUSION: Surgical specimen extraction via a prophylactic ileostomy
procedure represents a secure and feasible approach to laparoscopic rectal cancer
surgery, and embodies the principle of minimally invasive surgery.
PMID- 29358886
TI - HLA-DQ: Celiac disease vs inflammatory bowel disease.
AB - AIM: To determine the genetic predisposition to celiac disease (CeD) in
inflammatory bowel disease (IBD) patients by quantifying the frequency of CeD
related human leucocyte antigen (HLA) (HLA-CeD: HLA-DQ2 and -DQ8) in IBD patients
globally, by type of IBD and gender, and by calculating the protective/risk
contribution of these haplotypes in the development of the IBD disease. METHODS:
We conducted a prospective study with IBD patients from our Unit. Clinical
information was gathered and blood was tested for HLA-CeD. The control group was
made up of unrelated Valencian organ donors. RESULTS: 1034 subjects were
analyzed: 457 IBD [207 ulcerative coliti (UC) and 250 Crohn's disease (CD)]
patients and 577 healthy controls. 39% of the controls and 34% of the patients
had HLA-CeD (P = 0.0852). HLA-DQ2 was less frequent in UC patients (P = 0.0287),
and HLA-DQ8 in CD (P = 0.0217). In women with UC, the frequency of DQ2.5cis
(DQB1*02:01-DQA1*05:01) was reduced >= 50% [P = 0.0344; preventive fraction (PF)
= 13%]. PFs (7%-14%) were obtained with all HLA-CeD haplotypes. HLA DQB1*02:02
DQA1*02:01 (HLA-DQ2.2) was more frequent in CD patients with respect to controls
(P = 0.001) and UC patients (etiological fraction = 15%). CONCLUSION: HLA-CeD is
not more frequent in IBD patients, with an even lower frequency of HLA-DQ2 and
DQ8 in UC and CD respectively. HLA-DQ2.5 confers protection from the development
of UC, especially in women, and HLA-DQ8 does so for the appearance of CD. HLA
DQ2.2 is present in 34% of the CD patients and may constitute a genetic risk
factor for CD development.
PMID- 29358888
TI - Characterization of biofilms in biliary stents and potential factors involved in
occlusion.
AB - AIM: To quantify the components in biofilms and analyze the predisposing factors
involved in occlusion of biliary stents. METHODS: In a prospective study
conducted from April 2011 to March 2014 at a tertiary care hospital, all
consecutive patients who required endoscopic biliary stent exchange/removal were
included. Etiology of the biliary disease was diagnosed by imaging, cytology and
on follow-up. Clinical details of patients with biliary stent retrieval were
noted. All extracted stents were collected in sterile containers and immediately
processed for quantification of biofilm proteins and polysaccharides. Molecular
identification of commonly known and unknown bacteria was performed by polymerase
chain reaction and density gradient gel electrophoresis methods. RESULTS: Eighty
one patients (41 males) with age range of 20-86 years were studied. The
underlying causes for stent insertion were bile duct stones (n = 46; 56.8%)
benign stricture (n = 29; 35.8%) and malignancy (n = 6; 7.4%) with cholangitis in
50 (61.7%) patients. The retrieved stent sizes were 7 Fr (n = 62; 76.5%) and 10
Fr (n = 19; 23.5%) with 65 days median insertion duration. Polybacterial
consortia were detected in 90.1% of the stents. The most common bacteria
identified by polymerase chain reaction alone and/or sequencing were Pseudomonas
(n = 38), Citrobacter (n = 23), Klebsiella (n = 22), Staphylococcus (n = 20),
Serratia (n = 16), Escherichia coli (n = 14), Streptococcus (n = 13),
Enterococcus (n = 13), Aeromonas (n = 12), Proteus (n = 10) and Enterobacter (n =
9). Protein concentration according to gender (0.547 +/- 0.242 mg/mL vs 0.458 +/-
0.259 mg/mL; P = 0.115) as well as age > 60 years and < 60 years (0.468 +/- 0.295
mg/mL vs 0.386 +/- 0.238 mg/mL; P = 0.205) was non-significant. However,
polysaccharide concentration was significant both according to gender (0.052 +/-
0.021 mg/mL vs 0.049 +/- 0.016 mg/mL; P < 0.0001) and age (0.051 +/- 0.026 mg/mL
vs 0.038 +/- 0.016 mg/mL; P < 0.011). Protein concentration in the biofilm was
significantly higher (0.555 +/- 0.225 mg/mL vs 0.419 +/- 0.276 mg/mL; P = 0.018)
in patients with cholangitis, lower (0.356 +/- 0.252 mg/mL vs 0.541 +/- 0.238
mg/mL; P = 0.005) in the 10 Fr group than the 7 Fr group, and significantly
higher (0.609 +/- 0.240 mg/mL vs 0.476 +/- 0.251 mg/mL; P = 0.060) in stents of
>= 6 mo of indwelling time. However presence/absence of cholangitis, size of
stent, indication of stent insertion and indwelling time did not affect the
quantity of polysaccharide concentration. CONCLUSION: Plastic stents retrieved
from patients with biliary tract disease showed polymicrobial organisms with
higher protein content among patients with cholangitis and those with smaller
diameter stents. Longer indwelling duration had more biofilm formation.
PMID- 29358889
TI - Systematic review of colorectal cancer screening guidelines for average-risk
adults: Summarizing the current global recommendations.
AB - AIM: To summarize and compare worldwide colorectal cancer (CRC) screening
recommendations in order to identify similarities and disparities. METHODS: A
systematic literature search was performed using MEDLINE, EMBASE, Scopus, CENTRAL
and ISI Web of knowledge identifying all average-risk CRC screening guideline
publications within the last ten years and/or position statements published in
the last 2 years. In addition, a hand-search of the webpages of National
Gastroenterology Society websites, the National Guideline Clearinghouse, the BMJ
Clinical Evidence website, Google and Google Scholar was performed. RESULTS:
Fifteen guidelines were identified. Six guidelines were published in North
America, four in Europe, four in Asia and one from the World Gastroenterology
Organization. The majority of guidelines recommend screening average-risk
individuals between ages 50 and 75 using colonoscopy (every 10 years), or
flexible sigmoidoscopy (FS, every 5 years) or fecal occult blood test (FOBT,
mainly the Fecal Immunochemical Test, annually or biennially). Disparities
throughout the different guidelines are found relating to the use of colonoscopy,
rank order between test, screening intervals and optimal age ranges for
screening. CONCLUSION: Average risk individuals between 50 and 75 years should
undergo CRC screening. Recommendations for optimal surveillance intervals,
preferred tests/test cascade as well as the optimal timing when to start and stop
screening differ regionally and should be considered for clinical decision
making. Furthermore, local resource availability and patient preferences are
important to increase CRC screening uptake, as any screening is better than none.
PMID- 29358891
TI - Long-term survival after gastrectomy and metastasectomy for gastric cancer with
synchronous bone metastasis.
AB - Bone metastasis is a rare event in patients with gastric cancer, but pathologic
fracture, paralysis, pain and hematological disorders associated with the bone
metastasis may influence the quality of life. We report herein the case of a 53
year-old man who presented with primary remnant gastric cancer with bone
metastasis. The patient requested further investigations after detection of a
metastatic lesion in the 2nd lumbar vertebra during evaluation for back pain that
had persisted for 3 mo. No other metastatic lesions were detected. He underwent
total gastrectomy and palliative metastasectomy to aid in reduction of symptoms,
and he received combination chemotherapy with tegafur (S-1) and cisplatin. The
patient survived for about 60 mo after surgery. Currently, there is no treatment
guideline for gastric cancer with bone metastasis, and we believe that
gastrectomy plus metastasectomy may be an effective therapeutic option for
improving quality of life and survival in patients with resectable primary
gastric cancer and bone metastasis.
PMID- 29358890
TI - Probiotic monotherapy and Helicobacter pylori eradication: A systematic review
with pooled-data analysis.
AB - AIM: To define probiotic monotherapy effect on Helicobacter pylori (H. pylori)
status by performing a systematic review. METHODS: Methods of analysis and
inclusion criteria were based on PRISMA recommendations. Relevant publications
were identified by searching PubMed, MEDLINE, Science Direct, and EMBASE. The end
point was to estimate eradication rate and urea breath test delta value before
and after probiotic monotherapy across all studies and, overall, with a pooled
data analysis. Adverse events of probiotic therapy were evaluated. The data were
expressed as proportions/percentages, and 95%CIs were calculated. For continuous
variables, we evaluated the weighted mean difference. Odd ratios (ORs) were
calculated according to the Peto method for the comparison of eradication rates
between probiotics and placebo. RESULTS: Eleven studies were selected. Probiotics
eradicated H. pylori in 50 out of 403 cases. The mean weighted eradication rate
was 14% (95%CI: 2%-25%, P = 0.02). Lactobacilli eradicated the bacterium in 30
out of 235 patients, with a mean weighted rate of 16% (95%CI: 1%-31%).
Saccharomyces boulardii achieved eradication in 6 out of 63 patients, with a
pooled eradication rate of 12% (95%CI: 0%-29%). Multistrain combinations were
effective in 14 out of 105 patients, with a pooled eradication rate of 14%
(95%CI: 0%-43%). In the comparison of probiotics vs placebo, we found an OR of
7.91 in favor of probiotics (95%CI: 2.97-21.05, P < 0.001). Probiotics induced a
mean reduction in delta values higher than placebo (8.61% with a 95%CI: 5.88
11.34, vs 0.19% for placebo, P < 0.001). Finally, no significant difference in
adverse events was found between probiotics and placebo (OR = 1, 95%CI: 0.06
18.08). CONCLUSION: Probiotics alone show a minimal effect on H. pylori
clearance, thus suggesting a likely direct role.
PMID- 29358892
TI - Emergent single-balloon enteroscopy for overt bleeding of small intestinal
vascular malformation.
AB - A 28-year-old man presented with anemia symptoms and intermittent tarry stool
passage for three days. No stigmata of hemorrhage were identified using
esophagogastroduodenoscopy, ileocolonoscopy, and contrast-enhanced computed
tomography. He then developed massive tarry stool passage with profound
hypovolemic shock and hypoxic respiratory failure. Emergent angiography revealed
active bleeder, probably from the jejunal branches of the superior mesenteric
artery, but embolization was not performed due to possible subsequent extensive
bowel ischemia. His airway was secured via endotracheal intubation with
ventilator support, and emergent antegrade single-balloon enteroscopy was
performed at 8 h after clinical overt bleeding occurrence; the procedure revealed
a 2-cm pulsating subepithelial tumor with a protruding blood plug at the distal
jejunum. Laparoscopic segmental resection of the jejunum with end-to-end
anastomosis was performed after emergent endoscopic tattooing localization.
Pathological examination revealed a vascular malformation in the submucosa with
an organizing thrombus. He was uneventfully discharged 5 d later. This case
report highlights the benefit of early deep enteroscopy for the treatment of
small intestinal bleeding.
PMID- 29358894
TI - A new species of Longicoelotes (Araneae, Agelenidae) from China, with the first
description of the male of L. kulianganus (Chamberlin, 1924).
AB - A new Longicoeletes species is described from Jiangxi Province, China: L. geeisp.
n. (??). In addition, the male of L. kulianganus (Chamberlin, 1924) is described
for the first time. DNA barcodes of the two species are documented for future use
and as proof of molecular differences between these species.
PMID- 29358893
TI - Kinect V2 Performance Assessment in Daily-Life Gestures: Cohort Study on Healthy
Subjects for a Reference Database for Automated Instrumental Evaluations on
Neurological Patients.
AB - Background: The increase of sanitary costs related to poststroke rehabilitation
requires new sustainable and cost-effective strategies for promoting autonomous
and dehospitalized motor training. In the Riprendo@Home and Future Home for
Future Communities research projects, the promising approach of introducing low
cost technologies that promote home rehabilitation is exploited. In order to
provide reliable evaluation of patients, a reference database of healthy people's
performances is required and should consider variability related to healthy
people performances. Methods: 78 healthy subjects performed several repetitions
of daily-life gestures, the reaching movement (RM) and hand-to-mouth (HtMM)
movement with both the dominant and nondominant upper limbs. Movements were
recorded with a Kinect V2. A synthetic biomechanical protocol based on
kinematical, dynamical, and motor control parameters was used to assess motor
performance of the healthy people. The investigation was conducted by clustering
participants depending on their limb dominancy (right/left), gender
(male/female), and age (young/middle/senior) as sources of variability. Results:
Results showed that limb dominancy has minor relevance in affecting RM and HtMM;
gender has relevance in affecting the HtMM; age has major effect in affecting RM
and HtMM. Conclusions: An investigation of healthy subjects' upper limb
performances during daily-life gestures was performed with the Kinect V2 sensor.
Findings will be the basis for a database of normative data for neurological
patients' motor evaluation.
PMID- 29358895
TI - Description of a new species of Alburnus Rafinesque, 1820 (Actinopterygii,
Cyprinidae, Leuciscinae) from the Kolpa River in the Sava River system (upper
Danube drainage), with remarks on the geographical distribution of shemayas in
the Danube.
AB - Alburnus sava, new species, is described from the Kolpa River. The Kolpa is a
tributary of the Sava, a major tributary of the Danube River, in the Black Sea
basin. Alburnus sava is distinguished from its congeners in the Danube drainage,
A. mento and A. sarmaticus, by having 23-27, usually 24-26, gill rakers; the
ventral keel usually completely covered by scales (scaleless part maximum 15% of
the keel length); 15-16, mode 15, branched pectoral-fin rays; the length of the
gill raker at the junction of the arch limbs 65-70% of the length of the opposite
outer gill filament; and a relatively long lower jaw (37-40% HL or 112-130%
interorbital width). Alburnus sava is a large-sized potamadromous shemaya known
to occur in the entire Sava drainage. The taxonomic status of A. mento and A.
sarmaticus is confirmed. Alburnus danubicus is discussed and as there are no new
arguments, it is kept as a valid species. New details on the distribution of
shemayas in the Danube drainage are presented.
PMID- 29358896
TI - Corrigenda: Jesovnik A, Schultz TR (2017) Revision of the fungus-farming ant
genus Sericomyrmex Mayr (Hymenoptera, Formicidae, Myrmicinae). ZooKeys 670: 1
109. https://doi.org/10.3897/zookeys.670.11839.
AB - [This corrects the article DOI: 10.3897/zookeys.670.11839.].
PMID- 29358897
TI - Revision of the ant genus Melophorus (Hymenoptera, Formicidae).
AB - The fauna of the purely Australian formicine ant genus Melophorus (Hymenoptera:
Formicidae) is revised. This project involved integrated morphological and
molecular taxonomy using one mitochondrial gene (COI) and four nuclear genes (AA,
H3, LR and Wg). Seven major clades were identified and are here designated as the
M. aeneovirens, M. anderseni, M. biroi, M. fulvihirtus, M. ludius, M. majeri and
M. potteri species-groups. Within these clades, smaller complexes of similar
species were also identified and designated species-complexes. The M. ludius
species-group was identified purely on molecular grounds, as the morphology of
its members is indistinguishable from typical members of the M. biroi species
complex within the M. biroi species-group. Most species-complexes sampled were
also found to be monophyletic. Sequencing generally supported monophyly in taxa
sampled but some species of the M. fieldi complex and M. biroi were not
monophyletic and the implications arising from this are discussed in this
monograph. Based on morphology, ninety-three species are recognized, 73 described
as new. A further new species (here called 'Species K' [TERC Collection]) is
noted in the taxonomic list, but is not described in this work. One species is
removed from Melophorus: M. scipio Forel is here placed provisionally in
Prolasius. Six species and five subspecies pass into synonymy. Of the full
species, M. constans Santschi, M. iridescens (Emery) and M. insularis Wheeler are
synonymized under M. aeneovirens (Lowne), M. pillipes Santschi is synonymized
under M. turneri Forel, M. marius Forel is synonymized under M. biroi Forel, and
M. omniparens Forel is synonymized under M. wheeleri Forel. Of the subspecies, M.
iridescens fraudatrix and M. iridescens froggatti Forel are synonymized under M.
aeneovirens (Lowne), M. turneri aesopus Forel and M. turneri candidus Santschi
are synonymized under M. turneri Forel and M. fieldi propinqua Viehmeyer is
synonymized under M. biroi. Camponotus cowlei Froggatt is reinstated as a junior
synonym of Melophorus bagoti Lubbock. In addition, the subspecies M. fieldi major
Forel, M. ludius sulla Forel and M. turneri perthensis Forel are raised to
species. A key to workers of the genus is supplied. A lectotype is designated for
M. curtus Forel, M. sulla, and M. turneri.
PMID- 29358898
TI - Concordance-Assisted Learning for Estimating Optimal Individualized Treatment
Regimes.
AB - In this article, we propose a new concordance-assisted learning for estimating
optimal individualized treatment regimes. We first introduce a type of
concordance function for prescribing treatment and propose a robust rank
regression method for estimating the concordance function. We then find treatment
regimes, up to a threshold, to maximize the concordance function, named
prescriptive index. Finally, within the class of treatment regimes that maximize
the concordance function, we find the optimal threshold to maximize the value
function. We establish the convergence rate and asymptotic normality of the
proposed estimator for parameters in the prescriptive index. An induced smoothing
method is developed to estimate the asymptotic variance of the proposed
estimator. We also establish the n1/3-consistency of the estimated optimal
threshold and its limiting distribution. In addition, a doubly robust estimator
of parameters in the prescriptive index is developed under a class of monotonic
index models. The practical use and effectiveness of the proposed methodology are
demonstrated by simulation studies and an application to an AIDS data.
PMID- 29358899
TI - Oxidative Post-Translational Modifications of an Amyloidogenic Immunoglobulin
Light Chain Protein.
AB - Immunoglobulin light chain amyloidosis (AL) is a plasma cell disorder
characterized by overproduction and deposition of monoclonal immunoglobulin (Ig)
light chains (LC) or variable region fragments as amyloid fibrils in various
organs and tissues. Much clinical evidence indicates that patients with AL
amyloidosis sustain cardiomyocyte impairment and suffer from oxidative stress. We
seek to understand the underlying biochemical pathways whose disruption or
amplification during sporadic or sustained disease states leads to harmful
physiological consequences and to determine the detailed structures of
intermediates and products that serve as signposts for the biochemical changes
and represent potential biomarkers. In this study, matrix-assisted laser
desorption/ionization mass spectrometry provided extensive evidence for oxidative
post-translational modifications (PTMs) of an amyloidogenic Ig LC protein from a
patient with AL amyloidosis. Some of the tyrosine residues were heavily mono- or
di-chlorinated. In addition, a novel oxidative conversion to a nitrile moiety was
observed for many of the terminal aminomethyl groups on lysine side chains. In
vitro experiments using model peptides, in-solution oxidation, and click
chemistry demonstrated that hypochlorous acid produced by the myeloperoxidase -
hydrogen peroxide - chloride system could be responsible for these and other,
more commonly observed modifications.
PMID- 29358901
TI - Acoustofluidic waveguides for localized control of acoustic wavefront in
microfluidics.
AB - The precise manipulation of acoustic fields in microfluidics is of critical
importance for the realization of many biomedical applications. Despite the
tremendous efforts devoted to the field of acoustofluidics during recent years,
dexterous control, with an arbitrary and complex acoustic wavefront, in a
prescribed, microscale region is still out of reach. Here, we introduce the
concept of acoustofluidic waveguide, a three-dimensional compact configuration
that is capable of locally guiding acoustic waves into a fluidic environment.
Through comprehensive numerical simulations, we revealed the possibility of
forming complex field patterns with defined pressure nodes within a highly
localized, pre-determined region inside the microfluidic chamber. We also
demonstrated the tunability of the acoustic field profile through controlling the
size and shape of the waveguide geometry, as well as the operational frequency of
the acoustic wave. The feasibility of the waveguide concept was experimentally
verified via microparticle trapping and patterning. Our acoustofluidic
waveguiding structures can be readily integrated with other microfluidic
configurations and can be further designed into more complex types of passive
acoustofluidic devices. The waveguide platform provides a promising alternative
to current acoustic manipulation techniques and is useful in many applications
such as single-cell analysis, point-of-care diagnostics, and studies of cell-cell
interactions.
PMID- 29358900
TI - Iron Oxide Nanoradiomaterials: Combining Nanoscale Properties with Radioisotopes
for Enhanced Molecular Imaging.
AB - The combination of the size-dependent properties of nanomaterials with
radioisotopes is emerging as a novel tool for molecular imaging. There are
numerous examples already showing how the controlled synthesis of nanoparticles
and the incorporation of a radioisotope in the nanostructure offer new features
beyond the simple addition of different components. Among the different
nanomaterials, iron oxide-based nanoparticles are the most used in imaging
because of their versatility. In this review, we will study the different
radioisotopes for biomedical imaging, how to incorporate them within the
nanoparticles, and what applications they can be used for. Our focus is directed
towards what is new in this field, what the nanoparticles can offer to the field
of nuclear imaging, and the radioisotopes hybridized with nanomaterials for use
in molecular imaging.
PMID- 29358902
TI - Pivotal Role of Adenosine Neurotransmission in Restless Legs Syndrome.
AB - The symptomatology of Restless Legs Syndrome (RLS) includes periodic leg
movements during sleep (PLMS), dysesthesias, and hyperarousal. Alterations in the
dopaminergic system, a presynaptic hyperdopaminergic state, seem to be involved
in PLMS, while alterations in glutamatergic neurotransmission, a presynaptic
hyperglutamatergic state, seem to be involved in hyperarousal and also PLMS.
Brain iron deficiency (BID) is well-recognized as a main initial
pathophysiological mechanism of RLS. BID in rodents have provided a pathogenetic
model of RLS that recapitulates the biochemical alterations of the dopaminergic
system of RLS, although without PLMS-like motor abnormalities. On the other hand,
BID in rodents reproduces the circadian sleep architecture of RLS, indicating the
model could provide clues for the hyperglutamatergic state in RLS. We recently
showed that BID in rodents is associated with changes in adenosinergic
transmission, with downregulation of adenosine A1 receptors (A1R) as the most
sensitive biochemical finding. It was hypothesized that A1R downregulation leads
to hypersensitive striatal glutamatergic terminals and facilitation of striatal
dopamine release. Hypersensitivity of striatal glutamatergic terminals was
demonstrated by an optogenetic-microdialysis approach in the rodent with BID,
indicating that it could represent a main pathogenetic factor that leads to PLMS
in RLS. In fact, the dopaminergic agonists pramipexole and ropinirole and the
alpha2delta ligand gabapentin, used in the initial symptomatic treatment of RLS,
completely counteracted optogenetically-induced glutamate release from both
normal and BID-induced hypersensitive corticostriatal glutamatergic terminals. It
is a main tenet of this essay that, in RLS, a single alteration in the
adenosinergic system, downregulation of A1R, disrupts the adenosine-dopamine
glutamate balance uniquely controlled by adenosine and dopamine receptor
heteromers in the striatum and also the A1R-mediated inhibitory control of
glutamatergic neurotransmission in the cortex and other non-striatal brain areas,
which altogether determine both PLMS and hyperarousal. Since A1R agonists would
be associated with severe cardiovascular effects, it was hypothesized that
inhibitors of nucleoside equilibrative transporters, such as dipyridamole, by
increasing the tonic A1R activation mediated by endogenous adenosine, could
represent a new alternative therapeutic strategy for RLS. In fact, preliminary
clinical data indicate that dipyridamole can significantly improve the
symptomatology of RLS.
PMID- 29358903
TI - Decoding of Ankle Flexion and Extension from Cortical Current Sources Estimated
from Non-invasive Brain Activity Recording Methods.
AB - The classification of ankle movements from non-invasive brain recordings can be
applied to a brain-computer interface (BCI) to control exoskeletons, prosthesis,
and functional electrical stimulators for the benefit of patients with walking
impairments. In this research, ankle flexion and extension tasks at two force
levels in both legs, were classified from cortical current sources estimated by a
hierarchical variational Bayesian method, using electroencephalography (EEG) and
functional magnetic resonance imaging (fMRI) recordings. The hierarchical prior
for the current source estimation from EEG was obtained from activated brain
areas and their intensities from an fMRI group (second-level) analysis. The fMRI
group analysis was performed on regions of interest defined over the primary
motor cortex, the supplementary motor area, and the somatosensory area, which are
well-known to contribute to movement control. A sparse logistic regression method
was applied for a nine-class classification (eight active tasks and a resting
control task) obtaining a mean accuracy of 65.64% for time series of current
sources, estimated from the EEG and the fMRI signals using a variational Bayesian
method, and a mean accuracy of 22.19% for the classification of the pre-processed
of EEG sensor signals, with a chance level of 11.11%. The higher classification
accuracy of current sources, when compared to EEG classification accuracy, was
attributed to the high number of sources and the different signal patterns
obtained in the same vertex for different motor tasks. Since the inverse filter
estimation for current sources can be done offline with the present method, the
present method is applicable to real-time BCIs. Finally, due to the highly
enhanced spatial distribution of current sources over the brain cortex, this
method has the potential to identify activation patterns to design BCIs for the
control of an affected limb in patients with stroke, or BCIs from motor imagery
in patients with spinal cord injury.
PMID- 29358904
TI - Inhibition of Inwardly Rectifying Potassium (Kir) 4.1 Channels Facilitates Brain
Derived Neurotrophic Factor (BDNF) Expression in Astrocytes.
AB - Inwardly rectifying potassium (Kir) 4.1 channels in astrocytes regulate neuronal
excitability by mediating spatial potassium buffering. Although dysfunction of
astrocytic Kir4.1 channels is implicated in the development of epileptic
seizures, the functional mechanisms of Kir4.1 channels in modulating
epileptogenesis remain unknown. We herein evaluated the effects of Kir4.1
inhibition (blockade and knockdown) on expression of brain-derived neurotrophic
factor (BDNF), a key modulator of epileptogenesis, in the primary cultures of
mouse astrocytes. For blockade of Kir4.1 channels, we tested several
antidepressant agents which reportedly bound to and blocked Kir4.1 channels in a
subunit-specific manner. Treatment of astrocytes with fluoxetine enhanced BDNF
mRNA expression in a concentration-dependent manner and increased the BDNF
protein level. Other antidepressants (e.g., sertraline and imipramine) also
increased the expression of BDNF mRNA with relative potencies similar to those
for inhibition of Kir4.1 channels. In addition, suppression of Kir4.1 expression
by the transfection of small interfering RNA (siRNA) targeting Kir4.1
significantly increased the mRNA and protein levels of BDNF. The BDNF induction
by Kir4.1 siRNA transfection was suppressed by the MEK1/2 inhibitor U0126, but
not by the p38 MAPK inhibitor SB202190 or the JNK inhibitor SP600125. The present
results demonstrated that inhibition of Kir4.1 channels facilitates BDNF
expression in astrocytes primarily by activating the Ras/Raf/MEK/ERK pathway,
which may be linked to the development of epilepsy and other neuropsychiatric
disorders.
PMID- 29358905
TI - A Method to Culture GABAergic Interneurons Derived from the Medial Ganglionic
Eminence.
AB - Understanding the mechanisms guiding interneuron development is a central aspect
of the current research on cortical/hippocampal interneurons, which is highly
relevant to brain function and pathology. In this methodological study we have
addressed the setup of protocols for the reproducible culture of dissociated
cells from murine medial ganglionic eminences (MGEs), to provide a culture system
for the analysis of interneurons in vitro. This study includes the detailed
protocols for the preparation of the dissociated cells, and for their culture on
optimal substrates for cell migration or differentiation. These cultures enriched
in interneurons may allow the investigation of the migratory behavior of
interneuron precursors and their differentiation in vitro, up to the formation of
morphologically identifiable GABAergic synapses. Live imaging of MGE-derived
cells plated on proper substrates shows that they are useful to study the
migratory behavior of the precursors, as well as the behavior of growth cones
during the development of neurites. Most MGE-derived precursors develop into
polarized GABAergic interneurons as determined by axonal, dendritic, and
GABAergic markers. We present also a comparison of cells from WT and mutant mice
as a proof of principle for the use of these cultures for the analysis of the
migration and differentiation of GABAergic cells with different genetic
backgrounds. The culture enriched in interneurons described here represents a
useful experimental system to examine in a relatively easy and fast way the
morpho-functional properties of these cells under physiological or pathological
conditions, providing a powerful tool to complement the studies in vivo.
PMID- 29358906
TI - Commentary: Retinal Waves Modulate an Intraretinal Circuit of Intrinsically
Photosensitive Retinal Ganglion Cells.
PMID- 29358909
TI - Commentary: The Predictive Processing Paradigm Has Roots in Kant.
PMID- 29358908
TI - Delineation of Subregions in the Early Postnatal Human Cerebellum for Design
Based Stereologic Studies.
AB - Recent design-based stereologic studies have shown that the early postnatal (<1
year of age) human cerebellum is characterized by very high plasticity and may
thus be very sensitive to external and internal influences during the first year
of life. A potential weakness of these studies is that they were not separately
performed on functionally relevant subregions of the cerebellum, as was the case
in a few design-based stereologic studies on the adult human cerebellum. The aim
of the present study was to assess whether it is possible to identify
unequivocally the primary, superior posterior, horizontal, ansoparamedian, and
posterolateral fissures in the early postnatal human cerebellum, based on which
functionally relevant subregions could be delineated. This was tested in 20 human
post mortem cerebellar halves from subjects aged between 1 day and 11 months by
means of a combined macroscopic and microscopic approach. We found that the
superior posterior, horizontal, and posterolateral fissures can be reliably
identified on all of the specimens. However, reliable and reproducible
identification of the primary and ansoparamedian fissures was not possible.
Accordingly, it appears feasible to perform subregion-specific investigations in
the early postnatal human cerebellum when the identification of subregions is
restricted to crus I (bordered by the superior posterior and horizontal fissures)
and the flocculus (bordered by the posterolateral fissure). As such, it is
recommended to define the entire cerebellar cortex as the region of interest in
design-based stereologic studies on the early postnatal human cerebellum to
guarantee reproducibility of results.
PMID- 29358910
TI - An Additional Prior Retrieval Alters the Effects of a Retrieval-Extinction
Procedure on Recent and Remote Fear Memory.
AB - Several studies have shown that the isolated retrieval of a consolidated fear
memory can induce a labile phase, during which extinction training can prevent
the reinstatement, a form of relapse in which fear response to a fear-provoking
context returns when a mild shock is presented. However, fear memory retrieval
may also have another opposing result: the enhancement of fear memory. This
implies that the fear memory trace can be modified by a brief retrieval. Unclear
is whether the fear-impairing effect of retrieval-extinction (RE) is altered by a
prior brief retrieval. The present study investigated the responses of recent and
remote fear memories to the RE procedure after the presentation of an additional
prior retrieval (priRet). We found that a single RE procedure effectively blocked
the reinstatement of 2-day recent contextual fear memory. The memory-impairing
effect of the RE procedure on recent fear was not observed when priRet was
presented 6 or 24 h before the RE procedure. In contrast to the 2-day recent
memory, the RE procedure failed to block the reinstatement of 36-day remote fear
memory but successfully disrupted the return of remote fear memory after priRet.
This memory-disruptive effect on remote memory did not occur when priRet was
performed in a novel context. Nimodipine administration revealed that the
blockade of priRet-induced processes recovered the effects of the RE procedure on
both recent and remote fear memories. Our findings suggest that the
susceptibility of recent and remote fear memories to RE procedures can be altered
by an additional retrieval.
PMID- 29358907
TI - Trigeminal, Visceral and Vestibular Inputs May Improve Cognitive Functions by
Acting through the Locus Coeruleus and the Ascending Reticular Activating System:
A New Hypothesis.
AB - It is known that sensory signals sustain the background discharge of the
ascending reticular activating system (ARAS) which includes the noradrenergic
locus coeruleus (LC) neurons and controls the level of attention and alertness.
Moreover, LC neurons influence brain metabolic activity, gene expression and
brain inflammatory processes. As a consequence of the sensory control of ARAS/LC,
stimulation of a sensory channel may potential influence neuronal activity and
trophic state all over the brain, supporting cognitive functions and exerting a
neuroprotective action. On the other hand, an imbalance of the same input on the
two sides may lead to an asymmetric hemispheric excitability, leading to an
impairment in cognitive functions. Among the inputs that may drive LC neurons and
ARAS, those arising from the trigeminal region, from visceral organs and,
possibly, from the vestibular system seem to be particularly relevant in
regulating their activity. The trigeminal, visceral and vestibular control of
ARAS/LC activity may explain why these input signals: (1) affect sensorimotor and
cognitive functions which are not directly related to their specific
informational content; and (2) are effective in relieving the symptoms of some
brain pathologies, thus prompting peripheral activation of these input systems as
a complementary approach for the treatment of cognitive impairments and
neurodegenerative disorders.
PMID- 29358911
TI - Altered Parietal Activation during Non-symbolic Number Comparison in Children
with Prenatal Alcohol Exposure.
AB - Number processing is a cognitive domain particularly sensitive to prenatal
alcohol exposure, which relies on intact parietal functioning. Alcohol-related
alterations in brain activation have been found in the parietal lobe during
symbolic number processing. However, the effects of prenatal alcohol exposure on
the neural correlates of non-symbolic number comparison and the numerical
distance effect have not been investigated. Using functional magnetic resonance
imaging (fMRI), we examined differences in brain activation associated with
prenatal alcohol exposure in five parietal regions involved in number processing
during a non-symbolic number comparison task with varying degrees of difficulty.
fMRI results are presented for 27 Cape Colored children (6 fetal alcohol syndome
(FAS)/partial FAS, 5 heavily exposed (HE) non-sydromal, 16 controls; mean age +/-
SD = 11.7 +/- 1.1 years). Fetal alcohol exposure was assessed by interviewing
mothers using a timeline follow-back approach. Separate subject analyses were
performed in each of five regions of interest, bilateral horizontal intraparietal
sulci (IPS), bilateral posterior superior parietal lobules (PSPL), and left
angular gyrus (left AG), using the general linear model with predictors for
number comparison and difficulty level. Mean percent signal change for each
predictor was extracted for each subject for each region to examine group
differences and associations with continuous measures of alcohol exposure.
Although groups did not differ in performance, controls activated the right PSPL
more during non-symbolic number comparison than exposed children, but this was
not significant after controlling for maternal smoking, and the right IPS more
than children with fetal alcohol syndrome (FAS) or partial FAS. More heavily
exposed children recruited the left AG to a greater extent as task difficulty
increased, possibly to compensate, in part, for impairments in function in the
PSPL and IPS. Notably, in non-syndromal heavily exposed children activation was
impaired in the right PSPL, but spared in the right IPS. These results extend
previous findings of poor right IPS recruitment during symbolic number processing
in FAS/PFAS, indicating that mental representation of relative quantity is
affected by prenatal alcohol exposure for both symbolic and non-symbolic
representations of quantity.
PMID- 29358912
TI - Signal Processing in Functional Near-Infrared Spectroscopy (fNIRS):
Methodological Differences Lead to Different Statistical Results.
AB - Even though research in the field of functional near-infrared spectroscopy
(fNIRS) has been performed for more than 20 years, consensus on signal processing
methods is still lacking. A significant knowledge gap exists between established
researchers and those entering the field. One major issue regularly observed in
publications from researchers new to the field is the failure to consider
possible signal contamination by hemodynamic changes unrelated to neurovascular
coupling (i.e., scalp blood flow and systemic blood flow). This might be due to
the fact that these researchers use the signal processing methods provided by the
manufacturers of their measurement device without an advanced understanding of
the performed steps. The aim of the present study was to investigate how
different signal processing approaches (including and excluding approaches that
partially correct for the possible signal contamination) affect the results of a
typical functional neuroimaging study performed with fNIRS. In particular, we
evaluated one standard signal processing method provided by a commercial company
and compared it to three customized approaches. We thereby investigated the
influence of the chosen method on the statistical outcome of a clinical data set
(task-evoked motor cortex activity). No short-channels were used in the present
study and therefore two types of multi-channel corrections based on multiple long
channels were applied. The choice of the signal processing method had a
considerable influence on the outcome of the study. While methods that ignored
the contamination of the fNIRS signals by task-evoked physiological noise yielded
several significant hemodynamic responses over the whole head, the statistical
significance of these findings disappeared when accounting for part of the
contamination using a multi-channel regression. We conclude that adopting signal
processing methods that correct for physiological confounding effects might yield
more realistic results in cases where multi-distance measurements are not
possible. Furthermore, we recommend using manufacturers' standard signal
processing methods only in case the user has an advanced understanding of every
signal processing step performed.
PMID- 29358914
TI - Bayesian Estimation of Phase Dynamics Based on Partially Sampled Spikes Generated
by Realistic Model Neurons.
AB - A dynamic system showing stable rhythmic activity can be represented by the
dynamics of phase oscillators. This would provide a useful mathematical framework
through which one can understand the system's dynamic properties. A recent study
proposed a Bayesian approach capable of extracting the underlying phase dynamics
directly from time-series data of a system showing rhythmic activity. Here we
extended this method to spike data that otherwise provide only limited phase
information. To determine how this method performs with spike data, we applied it
to simulated spike data generated by a realistic neuronal network model. We then
compared the estimated dynamics obtained based on the spike data with the
dynamics theoretically derived from the model. The method successfully extracted
the modeled phase dynamics, particularly the interaction function, when the
amount of available data was sufficiently large. Furthermore, the method was able
to infer synaptic connections based on the estimated interaction function. Thus,
the method was found to be applicable to spike data and practical for
understanding the dynamic properties of rhythmic neural systems.
PMID- 29358913
TI - Extinction of Conditioned Fear in Adolescents and Adults: A Human fMRI Study.
AB - Little is known about the neural correlates of fear learning in adolescents, a
population at increased risk for anxiety disorders. Healthy adolescents (mean age
16.26) and adults (mean age 29.85) completed a fear learning paradigm across two
stages during functional magnetic resonance imaging (fMRI). Stage 1 involved
conditioning and extinction, and stage 2 involved extinction recall, re
conditioning, followed by re-extinction. During extinction recall, we observed a
higher skin conductance response to the CS+ relative to CS- in adolescents
compared to adults, which was accompanied by a reduction in dorsolateral
prefrontal cortex (dlPFC) activity. Relative to adults, adolescents also had
significantly reduced activation in the ventromedial PFC, dlPFC, posterior
cingulate cortex (PCC), and temporoparietal junction (TPJ) during extinction
recall compared to late extinction. Age differences in PCC activation between
late extinction and late conditioning were also observed. These results show for
the first time that healthy adolescent humans show different behavioral
responses, and dampened PFC activity during short-term extinction recall compared
to healthy adults. We also identify the PCC and TPJ as novel regions that may be
associated with impaired extinction in adolescents. Also, while adults showed
significant correlations between differential SCR and BOLD activity in some brain
regions during late extinction and recall, adolescents did not show any
significant correlations. This study highlights adolescent-specific neural
correlates of extinction, which may explain the peak in prevalence of anxiety
disorders during adolescence.
PMID- 29358915
TI - Application of a Simplified Method for Estimating Perfusion Derived from
Diffusion-Weighted MR Imaging in Glioma Grading.
AB - Purpose: To evaluate the feasibility of a simplified method based on diffusion
weighted imaging (DWI) acquired with three b-values to measure tissue perfusion
linked to microcirculation, to validate it against from perfusion-related
parameters derived from intravoxel incoherent motion (IVIM) and dynamic contrast
enhanced (DCE) magnetic resonance (MR) imaging, and to investigate its utility to
differentiate low- from high-grade gliomas. Materials and Methods: The
prospective study was approved by the local institutional review board and
written informed consent was obtained from all patients. From May 2016 and May
2017, 50 patients confirmed with glioma were assessed with multi-b-value DWI and
DCE MR imaging at 3.0 T. Besides conventional apparent diffusion coefficient
(ADC0,1000) map, perfusion-related parametric maps for IVIM-derived perfusion
fraction (f) and pseudodiffusion coefficient (D*), DCE MR imaging-derived
pharmacokinetic metrics, including Ktrans, ve and vp, as well as a metric named
simplified perfusion fraction (SPF), were generated. Correlation between
perfusion-related parameters was analyzed by using the Spearman rank correlation.
All imaging parameters were compared between the low-grade (n = 19) and high
grade (n = 31) groups by using the Mann-Whitney U test. The diagnostic
performance for tumor grading was evaluated with receiver operating
characteristic (ROC) analysis. Results: SPF showed strong correlation with IVIM
derived f and D* (rho = 0.732 and 0.716, respectively; both P < 0.001). Compared
with f, SPF was more correlated with DCE MR imaging-derived Ktrans (rho = 0.607;
P < 0.001) and vp (rho = 0.397; P = 0.004). Among all parameters, SPF achieved
the highest accuracy for differentiating low- from high-grade gliomas, with an
area under the ROC curve value of 0.942, which was significantly higher than that
of ADC0,1000 (P = 0.004). By using SPF as a discriminative index, the diagnostic
sensitivity and specificity were 87.1% and 94.7%, respectively, at the optimal
cut-off value of 19.26%. Conclusion: The simplified method to measure tissue
perfusion based on DWI by using three b-values may be helpful to differentiate
low- from high-grade gliomas. SPF may serve as a valuable alternative to measure
tumor perfusion in gliomas in a noninvasive, convenient and efficient way.
PMID- 29358916
TI - Reducing the Levels of Akt Activation by PDK1 Knock-in Mutation Protects Neuronal
Cultures against Synthetic Amyloid-Beta Peptides.
AB - The Akt kinase has been widely assumed for years as a key downstream effector of
the PI3K signaling pathway in promoting neuronal survival. This notion was
however challenged by the finding that neuronal survival responses were still
preserved in mice with reduced Akt activity. Moreover, here we show that the Akt
signaling is elevated in the aged brain of two different mice models of Alzheimer
Disease. We manipulate the rate of Akt stimulation by employing knock-in mice
expressing a mutant form of PDK1 (phosphoinositide-dependent protein kinase 1)
with reduced, but not abolished, ability to activate Akt. We found increased
membrane localization and activity of the TACE/ADAM17 alpha-secretase in the
brain of the PDK1 mutant mice with concomitant TNFR1 processing, which provided
neurons with resistance against TNFalpha-induced neurotoxicity. Opposite to the
Alzheimer Disease transgenic mice, the PDK1 knock-in mice exhibited an age
dependent attenuation of the unfolding protein response, which protected the
mutant neurons against endoplasmic reticulum stressors. Moreover, these two
mechanisms cooperatively provide the mutant neurons with resistance against
amyloid-beta oligomers, and might singularly also contribute to protect these
mice against amyloid-beta pathology.
PMID- 29358917
TI - Association of Peripheral Interleukin-6 with Global Cognitive Decline in Non
demented Adults: A Meta-Analysis of Prospective Studies.
AB - Background: Elevated biomarkers of systemic inflammation have been reported in
individuals with cognitive decline, however, most of the literature concerns
cross-sectional analyses that have produced mixed results. This study
investigates the etiology of this association by performing meta-analyses on
prospective studies investigating the relationship between baseline interleukin-6
(IL-6), an established marker of peripheral inflammation, with cognitive decline
risk in non-demented adults at follow-up. Methods: We reviewed studies reporting
peripheral IL-6 with future cognitive decline, up to February 2017 by searching
the PubMed, Science Direct, Scopus and Google Scholar databases. Studies which
contained odds ratios (ORs) for the association between circulating baseline IL-6
and longitudinal cognitive performance in non-demented community dwelling older
adults were pooled in random-effects models. Results: The literature search
retrieved 5,642 potential articles, of which 7 articles containing 8 independent
aging cohorts were eligible for review. Collectively, these studies included
15,828 participants at baseline. Those with high circulating IL-6 were 1.42 times
more likely to experience global cognitive decline at follow-up, over a 2-7-year
period, compared to those with low IL-6 (OR 1.42, 95% CI 1.18-1.70; p < 0.001).
Subgroup and sensitivity analyses suggests that this association is independent
of the study sample size, duration of follow-up and cognitive assessments used.
Conclusions: These results add further evidence for the association between high
peripheral inflammation, as measured by blood IL-6, and global cognitive decline.
Measuring circulating IL-6 may be a useful indication for future cognitive
health.
PMID- 29358918
TI - Longitudinal Analysis of Fecal Microbiome and Pathologic Processes in a Rotenone
Induced Mice Model of Parkinson's Disease.
AB - Recent studies reported an association between gut microbiota composition and
Parkinson's disease (PD). However, we know little about the relationship between
microbiome dysbiosis and the pathogenesis of PD. The objective of this study was
to describe the evolution of fecal microbiota using an oral rotenone model of PD
from a longitudinal study over a period of 4 weeks. Gastrointestinal function was
assessed by measuring fecal pellet output, motor functions was assessed by open
field and pole tests every week. alpha-synuclein pathology, inflammation and
tyrosine hydroxylase (TH) neuron loss from the middle brain were also analyzed.
Fecal samples were collected every week followed by 16S rRNA sequencing and
bioinformatics analysis. We reported that chronically oral administered rotenone
caused gastrointestinal dysfunction and microbiome dysbiosis prior to motor
dysfunction and central nervous system (CNS) pathology. 16S rRNA sequencing of
fecal microbiome showed rotenone-treated mice exhibited fecal microbiota
dysbiosis characterized by an overall decrease in bacterial diversity and a
significant change of microbiota composition, notably members of the phyla
Firmicutes and Bacteroidetes, with an increase in Firmicutes/Bacteroidetes ratio
after 3 weeks of rotenone treatment. Moreover, rotenone-induced gastrointestinal
and motor dysfunctions were observed to be robustly correlated with changes in
the composition of fecal microbiota. Our results demonstrated that gut microbiome
perturbation might contribute to rotenone toxicity in the initiation of PD and
brought a new insight in the pathogenesis of PD. Novel therapeutic options aimed
at modifying the gut microbiota composition might postpone the onset and
following cascade of neurodegeneration.
PMID- 29358919
TI - The Calcium-Induced Regulation in the Molecular and Transcriptional Circuitry of
Human Inflammatory Response and Autoimmunity.
AB - Rheumatoid arthritis synovial fibroblasts (RASFs) are fundamental effector cells
in RA driving the joint inflammation and deformities. Celastrol is a natural
compound that exhibits a potent anti-arthritic effect promoting endoplasmic
reticulum (ER) stress mediated by intracellular calcium (Ca2+) mobilization. Ca2+
is a second messenger regulating a variety of cellular processes. We hypothesized
that the compound, celastrol, affecting cytosolic Ca2+ mobilization could serve
as a novel strategy to combat RA. To address this issue, celastrol was used as a
molecular tool to assay the inflammatory gene expression profile regulated by
Ca2+. We confirmed that celastrol treatment mobilized cytosolic Ca2+ in patient
derived RASFs. It was found that 23 genes out of 370 were manipulated by Ca2+
mobilization using an inflammatory and autoimmunity PCR array following
independent quantitative PCR validation. Most of the identified genes were
downregulated and categorized into five groups corresponding to their cellular
responses participating in RA pathogenesis. Accordingly, a signaling network map
demonstrating the possible molecular circuitry connecting the functions of the
products of these genes was generated based on literature review. In addition, a
bioinformatics analysis revealed that celastrol-induced Ca2+ mobilization gene
expression profile showed a novel mode of action compared with three FDA-approved
rheumatic drugs (methotrexate, rituximab and tocilizumab). To the best of our
knowledge, this is a pioneer work charting the Ca2+ signaling network on the
regulation of RA-associated inflammatory gene expression.
PMID- 29358920
TI - beta-Sheet Breaker Peptide-HPYD for the Treatment of Alzheimer's Disease: Primary
Studies on Behavioral Test and Transcriptional Profiling.
AB - Background: Alzheimer's disease (AD), is a progressive neurodegenerative disease
that is characterized by cognitive loss. Most researchers believe that
aggregation and accumulation of beta-amyloid peptides (Abeta) in brain cells are
the central pathological hallmark of this disease. Methods: Based on the amyloid
hypothesis, a 10 amino acids beta-sheet breaker peptide HPYD (His-Lys-Gln-Leu-Pro
Phe-Tyr-Glu-Glu-Asp) was designed according to the structure and sequence of the
previous designed peptide H102. Accelerated stability test, thioflavine T (ThT)
fluorescence spectral analysis and transmission electron microscopy (TEM) imaging
were performed to detect the stability and inhibitory effects on the aggregation
of Abeta1-42 by H102 and HPYD. FITC-labeled HPYD was first tested to determine
whether it could be transferred along the olfactory pathway to the brain after
nasal administration to mice. Subsequently, the Morris Water Maze (MWM) test for
behavioral analysis was used to investigate the learning and memory ability of
APP/PS1 transgenic mice by HPYD. Immunohistochemistry and western blot analysis
was performed to determine the role of HPYD on Abeta and APP protein levels. In
addition, microarray analysis was used to evaluate the effect of HPYD on gene
expression in AD mouse models. Results: Our in vitro results demonstrated that
HPYD had enhanced stability and inhibitory effects on Abeta1-42 aggregation
compared to H102. HPYD could be delivered into the brain through nasal
administration and improved the learning and memory ability in APP/PS1 transgenic
mouse models by reducing Abeta and APP protein levels. In addition, microarray
analyses suggested that several genes related to the inflammatory pathway, AD and
gluco-lipid metabolism were dysregulated and could be restored to almost normal
levels after HPYD administration to mice. Conclusions: Our results demonstrated
that HPYD could be a potential therapeutic drug candidate for the treatment of
AD.
PMID- 29358921
TI - 2-Iminobiotin Superimposed on Hypothermia Protects Human Neuronal Cells from
Hypoxia-Induced Cell Damage: An in Vitro Study.
AB - Perinatal asphyxia represents one of the major causes of neonatal morbidity and
mortality. Hypothermia is currently the only established treatment for hypoxic
ischemic encephalopathy (HIE), but additional pharmacological strategies are
being explored to further reduce the damage after perinatal asphyxia. The aim of
this study was to evaluate whether 2-iminobiotin (2-IB) superimposed on
hypothermia has the potential to attenuate hypoxia-induced injury of neuronal
cells. In vitro hypoxia was induced for 7 h in neuronal IMR-32 cell cultures.
Afterwards, all cultures were subjected to 25 h of hypothermia (33.5 degrees C),
and incubated with vehicle or 2-IB (10, 30, 50, 100, and 300 ng/ml). Cell
morphology was evaluated by brightfield microscopy. Cell damage was analyzed by
LDH assays. Production of reactive oxygen species (ROS) was measured using
fluorometric assays. Western blotting for PARP, Caspase-3, and the phosphorylated
forms of akt and erk1/2 was conducted. To evaluate early apoptotic events and
signaling, cell protein was isolated 4 h post-hypoxia and human apoptosis
proteome profiler arrays were performed. Twenty-five hour after the hypoxic
insult, clear morphological signs of cell damage were visible and significant LDH
release as well as ROS production were observed even under hypothermic
conditions. Post-hypoxic application of 2-IB (10 and 30 ng/ml) reduced the
hypoxia-induced LDH release but not ROS production. Phosphorylation of erk1/2 was
significantly increased after hypoxia, while phosphorylation of akt, protein
expression of Caspase-3 and cleavage of PARP were only slightly increased.
Addition of 2-IB did not affect any of the investigated proteins. Apoptosis
proteome profiler arrays performed with cellular protein obtained 4 h after
hypoxia revealed that post-hypoxic application of 2-IB resulted in a >= 25% down
regulation of 10/35 apoptosis-related proteins: Bad, Bax, Bcl-2, cleaved Caspase
3, TRAILR1, TRAILR2, PON2, p21, p27, and phospho Rad17. In summary, addition of 2
IB during hypothermia is able to attenuate hypoxia-induced neuronal cell damage
in vitro. Combination treatment of hypothermia with 2-IB could be a promising
strategy to reduce hypoxia-induced neuronal cell damage and should be considered
in further animal and clinical studies.
PMID- 29358922
TI - Commentary: Human Pathophysiological Adaptations to the Space Environment.
PMID- 29358924
TI - Temporal Courses in EEG Theta and Alpha Activity in the Dynamic Health Qigong
Techniques Wu Qin Xi and Liu Zi Jue.
AB - Health Qigong is a common technique of Traditional Chinese Medicine applied to
strengthen mental and physical health. Several studies report increases in EEG
theta and alpha activity after meditative Qigong techniques indicating a relaxed
state of mind. To date, little is known on the effects of dynamic Health Qigong
techniques that comprise bodily movements on brain activity. In the current
study, we compared effects of two dynamic Health Qigong techniques on EEG brain
activity. Subjects performed the techniques Wu Qin Xi (five animals play) and Liu
Zi Jue (six healing sounds) in a within-subjects design. Eyes-open and eyes
closed resting EEG was recorded before and immediately after each 15-min practice
block. Additionally, the Profile of Mood States (POMS) questionnaire was
administered at pretest, and after each 15-min practice block. Results show a
decrease in alpha activity after 15 min, followed by an increase after 30 min in
the Health Qigong technique Liu Zi Jue. Theta activity was decreased after 15
min, followed by an increase after 30 min in the technique Wu Qin Xi. Results of
the POMS indicated an increased vigor-activity level with decreased fatigue and
tension-anxiety levels in both techniques after 30 min of practice. Our results
demonstrate different temporal dynamics in EEG theta and alpha activity for the
Health Qigong techniques Wu Qin Xi and Liu Zi Jue. We hypothesize that the found
brain activation patterns result from different attentional focusing styles and
breathing techniques performed during the investigated Health Qigong techniques.
PMID- 29358923
TI - Commensal Homeostasis of Gut Microbiota-Host for the Impact of Obesity.
AB - Gut microbiota and their metabolites have been linked to a series of chronic
diseases such as obesity and other metabolic dysfunctions. Obesity is an
increasingly serious international health issue that may lead to a risk of
insulin resistance and other metabolic diseases. The relationship between gut
microbiota and the host is both interdependent and relatively independent. In
this review, the causality of gut microbiota and its role in the pathogenesis and
intervention of obesity is comprehensively presented to include human genotype,
enterotypes, interactions of gut microbiota with the host, microbial metabolites,
and energy homeostasis all of which may be influenced by dietary nutrition. Diet
can enhance, inhibit, or even change the composition and functions of the gut
microbiota. The metabolites they produce depend upon the dietary substrates
provided, some of which have indispensable functions for the host. Therefore,
diet is a key factor that maintains or not a healthy commensal relationship. In
addition, the specific genotype of the host may impact the phylogenetic
compositions of gut microbiota through the production of host metabolites. The
commensal homeostasis of gut microbiota is favored by a balance of microbial
composition, metabolites, and energy. Ultimately the desired commensal
relationship is one of mutual support. This article analyzes the clues that
result in patterns of commensal homeostasis. A deeper understanding of these
interactions is beneficial for developing effective prevention, diagnosis, and
personalized therapeutic strategies to combat obesity and other metabolic
diseases. The idea we discuss is meant to improve human health by shaping or
modulating the beneficial gut microbiota.
PMID- 29358925
TI - To Message or Browse? Exploring the Impact of Phone Use Patterns on Male
Adolescents' Consumption of Palatable Snacks.
AB - Surveys of mobile phone usage suggest that adolescents habitually use their
phones while eating. In this study, we explored whether the manner in which one
uses a mobile phone - to engage in a social or non-social activity - can affect
appetite regulation. Participants were fifty male adolescents randomly assigned
to engage in one of the following phone-based activities: (1) sending and
receiving messages (social activity), or (2) reading a neutral article (non
social activity). When given the opportunity to snack, participants in the
messaging group consumed more snacks that those who read the article. Our
findings correspond to a large literature emphasizing social influences on food
intake, and suggest that phone use patterns may predispose an individual to
overeating.
PMID- 29358926
TI - Sad Facial Expressions Increase Choice Blindness.
AB - Previous studies have discovered a fascinating phenomenon known as choice
blindness-individuals fail to detect mismatches between the face they choose and
the face replaced by the experimenter. Although previous studies have reported a
couple of factors that can modulate the magnitude of choice blindness, the
potential effect of facial expression on choice blindness has not yet been
explored. Using faces with sad and neutral expressions (Experiment 1) and faces
with happy and neutral expressions (Experiment 2) in the classic choice blindness
paradigm, the present study investigated the effects of facial expressions on
choice blindness. The results showed that the detection rate was significantly
lower on sad faces than neutral faces, whereas no significant difference was
observed between happy faces and neutral faces. The exploratory analysis of
verbal reports found that participants who reported less facial features for sad
(as compared to neutral) expressions also tended to show a lower detection rate
of sad (as compared to neutral) faces. These findings indicated that sad facial
expressions increased choice blindness, which might have resulted from inhibition
of further processing of the detailed facial features by the less attractive sad
expressions (as compared to neutral expressions).
PMID- 29358927
TI - Improved Digit Span in Children after a 6-Week Intervention of Playing a Musical
Instrument: An Exploratory Randomized Controlled Trial.
AB - Previous studies have reported that music training not only improves children's
musical skills, but also enhances their cognitive functions. However, there is a
disagreement about what domain(s) might be affected. Moreover, effects of short
term (= 40 or MN >= 20), was higher in vaccinees <=37 years of age (YoA)
than all other age groups. Furthermore, a reduction was observed in the H1N1 MN
titer in people >=63 YoA, demonstrating a decreased neutralizing functionality of
vaccine-induced antibodies at older age. We tested the effects of underlying
autoimmune diseases, asthma and allergic diseases and did not observe significant
associations with vaccine responses. Intake of immune modulating medication did
not show any association. Taken together, our results show that previous
encounter of influenza vaccination or infection, reflected in high HAI and MN pre
vaccination titer has the strongest negative effect on vaccine responses measured
as FC and the strongest positive effect on post-vaccination titer. Increasing age
had also an effect but not gender, underlying disease or medication.
PMID- 29358934
TI - Regulatory T Cell Metabolism in the Hepatic Microenvironment.
AB - Thymic-derived naturally occurring regulatory T cells (tTreg) are crucial for
maintaining peripheral immune homeostasis. They play a crucial role in preventing
autoimmunity and maintaining organ transplant without requiring
immunosuppression. Cellular metabolism has recently emerged as an important
regulator of adaptive immune cell balance between Treg and effector T cells.
While the metabolic requirements of conventional T cells are increasingly
understood, the role of Treg cellular metabolism is less clear. The continuous
exposure of metabolites and nutrients to the human liver via the portal blood
flow influences the lineage fitness, function, proliferation, migration, and
survival of Treg cells. As cellular metabolism has an impact on its function, it
is crucial to understand the metabolic pathways wiring in regulatory T cells.
Currently, there are ongoing early phase clinical trials with polyclonal and
antigen-specific good manufacturing practice (GMP) Treg therapy to treat
autoimmune diseases and organ transplantation. Thus, enhancing immunometabolic
pathways of Treg by translational approach with existing or new drugs would
utilize Treg cells to their full potential for effective cellular therapy.
PMID- 29358935
TI - Infection of Human Monocytes with Leishmania infantum Strains Induces a
Downmodulated Response when Compared with Infection with Leishmania braziliensis.
AB - Human infection with different species of Leishmania leads to distinct clinical
manifestations, ranging from relatively mild cutaneous (Leishmania braziliensis)
to severe visceral (Leishmania infantum) forms of leishmaniasis. Here, we asked
whether in vitro infection of human monocytes by Leishmania strains responsible
for distinct clinical manifestations leads to early changes in immunological
characteristics and ability of the host cells to control Leishmania. We evaluated
the expression of toll-like receptors and MHC class II molecules, cytokines, and
Leishmania control by human monocytes following short-term infection with L.
braziliensis (M2904), a reference strain of L. infantum (BH46), and a wild strain
of L. infantum (wild). The induction of TLR2, TLR9, and HLA-DR were all lower in
L. infantum when compared with L. braziliensis-infected cells. Moreover, L.
infantum-infected monocytes (both strains) produced lower TNF-alpha and a lower
TNF-alpha/IL-10 ratio, resulting in a weaker inflammatory profile and a 100-fold
less effective control of Leishmania than cells infected with L. braziliensis.
Our results show that L. infantum strains fail to induce a strong inflammatory
response, less activation, and less control of Leishmania from human monocytes,
when compared with that induced by L. braziliensis infection. This functional
profile may help explain the distinct clinical course observed in patients
infected with the different Leishmania species.
PMID- 29358936
TI - Epstein-Barr Virus and Hemophagocytic Lymphohistiocytosis.
AB - Epstein-Barr virus (EBV) is a ubiquitous virus that infects nearly all people
worldwide without serious sequela. However, for patients who have genetic
diseases which predispose them to the development of hemophagocytic
lymphohistiocytosis (HLH), EBV infection is a life-threatening problem. As a part
of a themed collection of articles on EBV infection and human primary immune
deficiencies, we will review key concepts related to the understanding and
treatment of HLH.
PMID- 29358937
TI - Feeding Immunity: Physiological and Behavioral Responses to Infection and
Resource Limitation.
AB - Resources are a core currency of species interactions and ecology in general
(e.g., think of food webs or competition). Within parasite-infected hosts,
resources are divided among the competing demands of host immunity and growth as
well as parasite reproduction and growth. Effects of resources on immune
responses are increasingly understood at the cellular level (e.g., metabolic
predictors of effector function), but there has been limited consideration of how
these effects scale up to affect individual energetic regimes (e.g., allocation
trade-offs), susceptibility to infection, and feeding behavior (e.g., responses
to local resource quality and quantity). We experimentally rewilded laboratory
mice (strain C57BL/6) in semi-natural enclosures to investigate the effects of
dietary protein and gastrointestinal nematode (Trichuris muris) infection on
individual-level immunity, activity, and behavior. The scale and realism of this
field experiment, as well as the multiple physiological assays developed for
laboratory mice, enabled us to detect costs, trade-offs, and potential
compensatory mechanisms that mice employ to battle infection under different
resource conditions. We found that mice on a low-protein diet spent more time
feeding, which led to higher body fat stores (i.e., concentration of a satiety
hormone, leptin) and altered metabolite profiles, but which did not fully
compensate for the effects of poor nutrition on albumin or immune defenses.
Specifically, immune defenses measured as interleukin 13 (IL13) (a primary
cytokine coordinating defense against T. muris) and as T. muris-specific IgG1
titers were lower in mice on the low-protein diet. However, these reduced
defenses did not result in higher worm counts in mice with poorer diets. The lab
mice, living outside for the first time in thousands of generations, also
consumed at least 26 wild plant species occurring in the enclosures, and DNA
metabarcoding revealed that the consumption of different wild foods may be
associated with differences in leptin concentrations. When individual foraging
behavior was accounted for, worm infection significantly reduced rates of host
weight gain. Housing laboratory mice in outdoor enclosures provided new insights
into the resource costs of immune defense to helminth infection and how hosts
modify their behavior to compensate for those costs.
PMID- 29358939
TI - Seasonal Influenza Split Vaccines Confer Partial Cross-Protection against
Heterologous Influenza Virus in Ferrets When Combined with the CAF01 Adjuvant.
AB - Influenza epidemics occur annually, and estimated 5-10% of the adult population
and 20-30% of children will become ill from influenza infection. Seasonal
vaccines primarily work through the induction of neutralizing antibodies against
the principal surface antigen hemagglutinin (HA). This important role of HA
specific antibodies explains why previous pandemics have emerged when new HAs
have appeared in circulating human viruses. It has long been recognized that
influenza virus-specific CD4(+) T cells are important in protection from
infection through direct effector mechanisms or by providing help to B cells and
CD8(+) T cells. However, the seasonal influenza vaccine is poor at inducing
CD4(+) T-cell responses and needs to be combined with an adjuvant facilitating
this response. In this study, we applied the ferret model to investigate the
cross-protective efficacy of a heterologous trivalent influenza split-virion
(TIV) vaccine adjuvanted with the CAF01 adjuvant, with proven ability to induce
CD4(+) T-cell and antibody responses in mice, ferrets, pigs, primates, and
humans. Our results indicate that CAF01-adjuvanted vaccine induces HA inhibition
(HAI)-independent protection after heterologous challenge, manifested as reduced
viral load and fever. On the other hand, we observe increased inflammation in the
airways and more neutrophil and mononuclear cell infiltration in these ferrets
when compared with optimally protected animals, i.e., ferrets receiving the same
vaccine but a homologous challenge. This suggest that HAI-independent immunity
induced by TIV + CAF01 can reduce viral shedding and systemic disease symptoms,
but does not reduce local inflammation in the nasal cavity.
PMID- 29358938
TI - Acute Pharmacologic Degradation of a Stable Antigen Enhances Its Direct
Presentation on MHC Class I Molecules.
AB - Bifunctional degraders, also referred to as proteolysis-targeting chimeras
(PROTACs), are a recently developed class of small molecules. They were designed
to specifically target endogenous proteins for ubiquitin/proteasome-dependent
degradation and to thereby interfere with pathological mechanisms of diseases,
including cancer. In this study, we hypothesized that this process of acute
pharmacologic protein degradation might increase the direct MHC class I
presentation of degraded targets. By studying this question, we contribute to an
ongoing discussion about the origin of peptides feeding the MHC class I
presentation pathway. Two scenarios have been postulated: peptides can either be
derived from homeostatic turnover of mature proteins and/or from short-lived
defective ribosomal products (DRiPs), but currently, it is still unclear to what
ratio and efficiency both pathways contribute to the overall MHC class I
presentation. We therefore generated the intrinsically stable model antigen GFP
S8L-F12 that was susceptible to acute pharmacologic degradation via the
previously described degradation tag (dTAG) system. Using different murine cell
lines, we show here that the bifunctional molecule dTAG-7 induced rapid
proteasome-dependent degradation of GFP-S8L-F12 and simultaneously increased its
direct presentation on MHC class I molecules. Using the same model in a
doxycycline-inducible setting, we could further show that stable, mature antigen
was the major source of peptides presented, thereby excluding a dominant role of
DRiPs in our system. This study is, to our knowledge, the first to investigate
targeted pharmacologic protein degradation in the context of antigen presentation
and our data point toward future applications by strategically combining
therapies using bifunctional degraders with their stimulating effect on direct
MHC class I presentation.
PMID- 29358940
TI - Directed Differentiation of Human Induced Pluripotent Stem Cells into Dendritic
Cells Displaying Tolerogenic Properties and Resembling the CD141+ Subset.
AB - The advent of induced pluripotent stem cells (iPSCs) has begun to revolutionize
cell therapy by providing a convenient source of rare cell types not normally
available from patients in sufficient numbers for therapeutic purposes. In
particular, the development of protocols for the differentiation of populations
of leukocytes as diverse as naive T cells, macrophages, and natural killer cells
provides opportunities for their scale-up and quality control prior to
administration. One population of leukocytes whose therapeutic potential has yet
to be explored is the subset of conventional dendritic cells (DCs) defined by
their surface expression of CD141. While these cells stimulate cytotoxic T cells
in response to inflammation through the cross-presentation of viral and tumor
associated antigens in an MHC class I-restricted manner, under steady-state
conditions CD141+ DCs resident in interstitial tissues are focused on the
maintenance of homeostasis through the induction of tolerance to local antigens.
Here, we describe protocols for the directed differentiation of human iPSCs into
a mixed population of CD11c+ DCs through the spontaneous formation of embryoid
bodies and exposure to a cocktail of growth factors, the scheduled withdrawal of
which serves to guide the process of differentiation. Furthermore, we describe
the enrichment of DCs expressing CD141 through depletion of CD1c+ cells, thereby
obtaining a population of "untouched" DCs unaffected by cross-linking of surface
CD141. The resulting cells display characteristic phagocytic and endocytic
capacity and acquire an immunostimulatory phenotype following exposure to
inflammatory cytokines and toll-like receptor agonists. Nevertheless, under
steady-state conditions, these cells share some of the tolerogenic properties of
tissue-resident CD141+ DCs, which may be further reinforced by exposure to a
range of pharmacological agents including interleukin-10, rapamycin,
dexamethasone, and 1alpha,25-dihydoxyvitamin D3. Our protocols therefore provide
access to a novel source of DCs analogous to the CD141+ subset under steady-state
conditions in vivo and may, therefore, find utility in the treatment of a range
of disease states requiring the establishment of immunological tolerance.
PMID- 29358942
TI - Ecophysiology and Growth of White Spruce Seedlings from Various Seed Sources
along a Climatic Gradient Support the Need for Assisted Migration.
AB - With climate change, favorable growing conditions for tree species are shifting
northwards and to higher altitudes. Therefore, local populations are becoming
less adapted to their environment. Assisted migration is one of the proposed
adaptive measures to reduce the vulnerability of natural populations and maintain
forest productivity. It consists of moving genetic material to a territory where
future climate conditions correspond to those of its current location. Eight
white spruce (Picea glauca [Moench] Voss) seed sources representing as many seed
orchards were planted in 2013 at three forest sites simulating a south-north
climatic gradient of 1.7 degrees C in Quebec, Canada. The objectives were to (1)
evaluate the morpho-physiological responses of the different seed sources and (2)
determine the role of genetic adaptation and physiological plasticity on the
observed variation in morpho-physiological traits. Various seedling
characteristics were measured, notably height growth from nursery to the fourth
year on plantation. Other traits such as biomass and carbon allocation,
nutritional status, and various photosynthetic traits before bud break, were
evaluated during the fourth growing season. No interaction between sites and seed
sources was observed for any traits, suggesting similar plasticity between seed
sources. There was no change in the rank of seed sources and sites between years
for height growth. Moreover, a significant positive correlation was observed
between the height from the nursery and that after 4 years in the plantation.
Southern seed sources showed the best height growth, while optimum growth was
observed at the central site. Juvenile height growth seems to be a good indicator
of the juvenile carbon sequestration and could serve as a selection criterion for
the best genetics sources for carbon sequestration. Vector analysis showed no
nitrogen deficiency 4 years after planting. Neither seed sources nor planting
sites had a significant effect on photosynthesis before bud break. The observed
results during the establishment phase under different site conditions indicate
that southern seed sources may already benefit from assisted migration to cooler
climatic conditions further north. While northern seed sources are likely to
benefit from anticipated local global warming, they would not match the growth
performance of seedlings from southern sources.
PMID- 29358943
TI - Systematic Analysis of the Binding Surfaces between tRNAs and Their Respective
Aminoacyl tRNA Synthetase Based on Structural and Evolutionary Data.
AB - To determine the mechanism underlying the flow of genetic information, it is
important to understand the relationship between a tRNA and its binding enzyme, a
member of the aminoacyl-tRNA synthetase (aaRS) family. We have developed a novel
method to project the interacting regions of tRNA-aaRS complexes, obtained from
their three-dimensional structures, onto two-dimensional space. The interacting
surface between each tRNA and its aaRS was successfully identified by determining
these interactions with an atomic distance threshold of 3.3 A. We analyzed their
interactions, using 60 mainly bacterial and eukaryotic tRNA-aaRS complexes, and
showed that the tRNA sequence regions that interacted most strongly with each
aaRS are the anticodon loop and the CCA terminal region, followed by the D-stem.
A sequence conservation analysis of the canonical tRNAs was conducted in 83
bacterial, 182 archaeal, and 150 eukaryotic species. Our results show that the
three tRNA regions that interact with the aaRS and two additional loop regions (D
loop and TPsiC-loop) known to be important for formation of the tRNA L-shaped
structure are broadly conserved. We also found sequence conservations near the
tRNA discriminator in the Bacteria and Archaea, and an enormous number of
noncanonical tRNAs in the Eukaryotes. This is the first global view of tRNA
evolution based on its structure and an unprecedented number of sequence data.
PMID- 29358944
TI - A Powerful Gene-Based Test Accommodating Common and Low-Frequency Variants to
Detect Both Main Effects and Gene-Gene Interaction Effects in Case-Control
Studies.
AB - Next-generation sequencing (NGS) has been widely used in genetic association
studies to identify both common and rare variants associated with complex
diseases. Various statistical association tests have been developed to analyze
NGS data; however, most focus on identifying the marginal effects of a set of
genetic variants on the disease. Only a few association tests for NGS data
analysis have considered the interaction effects between genes. We developed
three powerful gene-based gene-gene interaction tests for testing both the main
effects and the interaction effects of common, low-frequency, and common with low
frequency variant pairs between two genes (the IGOF tests) in case-control
studies using NGS data. We performed a comprehensive simulation study to verify
that the proposed tests had appropriate type I error rates and significantly
higher power than did other interaction tests for analyzing NGS data. The tests
were applied to a whole-exome sequencing dataset for autism spectrum disorder
(ASD) and the significant results were evaluated in another independent ASD
cohort. The IGOF tests were implemented in C++ and are available at
http://igof.sourceforge.net.
PMID- 29358941
TI - Methods of Controlling Invasive Fungal Infections Using CD8+ T Cells.
AB - Invasive fungal infections (IFIs) cause high rates of morbidity and mortality in
immunocompromised patients. Pattern-recognition receptors present on the surfaces
of innate immune cells recognize fungal pathogens and activate the first line of
defense against fungal infection. The second line of defense is the adaptive
immune system which involves mainly CD4+ T cells, while CD8+ T cells also play a
role. CD8+ T cell-based vaccines designed to prevent IFIs are currently being
investigated in clinical trials, their use could play an especially important
role in acquired immune deficiency syndrome patients. So far, none of the
vaccines used to treat IFI have been approved by the FDA. Here, we review current
and future antifungal immunotherapy strategies involving CD8+ T cells. We
highlight recent advances in the use of T cells engineered using a Sleeping
Beauty vector to treat IFIs. Recent clinical trials using chimeric antigen
receptor (CAR) T-cell therapy to treat patients with leukemia have shown very
promising results. We hypothesized that CAR T cells could also be used to control
IFI. Therefore, we designed a CAR that targets beta-glucan, a sugar molecule
found in most of the fungal cell walls, using the extracellular domain of Dectin
1, which binds to beta-glucan. Mice treated with D-CAR+ T cells displayed
reductions in hyphal growth of Aspergillus compared to the untreated group.
Patients suffering from IFIs due to primary immunodeficiency, secondary
immunodeficiency (e.g., HIV), or hematopoietic transplant patients may benefit
from bioengineered CAR T cell therapy.
PMID- 29358945
TI - Peroxisome Proliferator-Activated Receptors Associated with Nonalcoholic Fatty
Liver Disease.
AB - Nonalcoholic fatty liver disease (NAFLD) is rapidly becoming a major cause of
chronic liver disease worldwide. Concurrent to an increase in NAFLD prevalence,
there is an increase in the obesity epidemic and the correlated insulin-resistant
state. It is a challenge to diagnose NAFLD because many patients are asymptomatic
until the later stages of disease. The most common symptoms include fatigue,
malaise, and discomfort in the right upper quadrant. The major and most accurate
tool to clinically diagnose NAFLD is a liver biopsy, followed by histological
analysis. However, this procedure is invasive and often carries a high risk of
complications. Currently, there are no officially approved medications for the
treatment of NAFLD. Although lifestyle modifications with proper diet and
exercise have been shown to be beneficial, this has been difficult to achieve and
sustain for many patients. Effective pharmacological treatments are still
lacking; therefore, additional research to identify novel drugs is clearly
warranted. PPARs are promising drug targets for the management of NAFLD and its
related conditions of type 2 diabetes mellitus and cardiovascular disease. In
this review, we provide an overview of recent studies on the association of PPARs
and NAFLD.
PMID- 29358946
TI - Prevalence of Anemia in Pediatric IBD Patients and Impact on Disease Severity:
Results of the Pediatric IBD-Registry CEDATA-GPGE(r).
AB - Aim: To determine the prevalence of anemia and its association with disease
severity in children and adolescents with IBD. Methods: CEDATA-GPGE is a registry
for pediatric patients with IBD in Germany and Austria from 90 specialized
centers. As markers of disease severity, analysis included patient self
assessment on a Likert scale (1-5; 1 = very good) and physicians' general
assessment (0 = no activity to 4 = severe disease) and the disease indices.
Anemia was defined as hemoglobin concentration below the 3rd percentile. Results:
Prevalence of anemia was 65.2% in CD and 60.2% in UC. Anemic CD and UC patients
showed significantly worse self-assessment than patients without anemia (average
+/- standard deviation; CD: 3.0 +/- 0.9 versus 2.5 +/- 0.9, p < 0.0001; UC: 2.9
+/- 0.9 versus 2.3 +/- 0.9, p < 0.0001). Accordingly, physicians' general
assessment (PGA) was significantly worse in anemic than in nonanemic patients in
CD (p < 0.0001) and UC (p < 0.0001). PCDAI in anemic CD, p < 0.0001, and PUCAI in
anemic UC patients, p < 0.0001, were significantly higher than in nonanemic
patients. 40.0% of anemic CD and 47.8% of anemic UC patients received iron during
follow-up. Conclusion: Almost 2/3 of pediatric IBD patients are anemic. Patients'
self-assessment and disease severity as determined by PGA and activity indices
are worse in anemic patients. Contrastingly, only a minority received iron
therapy.
PMID- 29358947
TI - Anthropometric Measures and Frailty Prediction in the Elderly: An Easy-to-Use
Tool.
AB - Purpose: Anthropometry is a useful tool for assessing some risk factors for
frailty. Thus, the aim of this study was to verify the discriminatory performance
of anthropometric measures in identifying frailty in the elderly and to create an
easy-to-use tool. Methods: Cross-sectional study: a subset from the
Multidimensional Study of the Elderly in the Family Health Strategy (EMI-SUS)
evaluating 538 older adults. Individuals were classified using the Fried
Phenotype criteria, and 26 anthropometric measures were obtained. The predictive
ability of anthropometric measures in identifying frailty was identified through
logistic regression and an artificial neural network. The accuracy of the final
models was assessed with an ROC curve. Results: The final model comprised the
following predictors: weight, waist circumference, bicipital skinfold, sagittal
abdominal diameter, and age. The final neural network models presented a higher
ROC curve of 0.78 (CI 95% 0.74-0.82) (P < 0.001) than the logistic regression
model, with an ROC curve of 0.71 (CI 95% 0.66-0.77) (P < 0.001). Conclusion: The
neural network model provides a reliable tool for identifying prefrailty/frailty
in the elderly, with the advantage of being easy to apply in the primary health
care. It may help to provide timely interventions to ameliorate the risk of
adverse events.
PMID- 29358948
TI - The Tissue-Selective Estrogen Complex (Bazedoxifene/Conjugated Estrogens) for the
Treatment of Menopause.
AB - The tissue-selective estrogen complex (TSEC) pairs conjugated estrogens (CE) with
a selective estrogen receptor modulator (SERM), bazedoxifene acetate (BZA). A 2
year treatment with the TSEC improved vasomotor symptoms, quality of life, and
vaginal atrophy in healthy postmenopausal women. In addition, the TSEC prevented
vertebral and hip bone loss without increasing mammographic density, breast
tenderness, the risk of myocardial infarction, stroke, or venous thromboembolism.
Finally, the BZA 20 mg/CE 0.45 mg dose did not increase the risk of endometrial
hyperplasia. Based on these findings, the TSEC can be considered as a first-line
treatment for symptomatic postmenopausal women.
PMID- 29358949
TI - Thyroid Isthmus Length and Iodine Turnover as Predictors of Successful
Radioactive Iodine Therapy in Patients with Graves' Disease.
AB - Radioactive iodine (RAI) therapy is an effective treatment option for Graves'
disease. However, predicting treatment failures after RAI therapy remains
controversial. The objective of this study was to investigate the factors
associated with the success rate of RAI therapy for treatment of Graves'
hyperthyroidism. Thyroid functional outcome, pre-RAI ultrasonographic features,
and clinical parameters were evaluated retrospectively in 98 patients followed up
for at least 12 months after RAI (mean RAI dose was 11.7 +/- 1.8 mCi).
Hypothyroidism was achieved in 59 patients (60.2%), and euthyroidism in 16
patients (16.3%), while 23 patients (23.5%) remained hyperthyroid. Age, sex, body
mass index, pre-RAI thyroid function, or thyroid-stimulating immunoglobulin
levels were not associated with treatment outcome. Length of thyroid isthmus (p =
0.028) and 2- to 24-hour iodine uptake ratios (p = 0.002) were significantly
associated with treatment failure, which was defined as a persistent hyperthyroid
status after RAI therapy. Patients with a longer isthmus had a higher risk of
remaining hyperthyroid, with a threshold for isthmus length of 5.2 mm, with a
sensitivity of 69.6% and specificity of 70.3% for treatment success. Measuring
the length of the thyroid isthmus can be a simple and useful way to predict RAI
treatment outcome.
PMID- 29358950
TI - Comparison of Exenatide and Metformin Monotherapy in Overweight/Obese Patients
with Newly Diagnosed Type 2 Diabetes.
AB - Aims: The present study assessed the therapeutic effect of exenatide and
metformin as the initial therapy on overweight/obese patients with newly
diagnosed type 2 diabetes (T2D). Methods: The prospective, nonrandomized,
interventional study enrolled a total of 230 overweight or obese patients with
newly diagnosed T2D who were administrated exenatide or metformin hydrochloride
for 12 weeks. Results: 224/230 patients, including 106 in the exenatide group and
118 in the metformin group, completed the 12-week treatment. Both exenatide and
metformin significantly decreased the HbA1c levels in overweight/obese patients
with newly diagnosed T2D (all P < 0.05). The reduction in HbA1c and the
proportion of patients with HbA1c < 7.0% (53 mmol/mol) were higher in the
exenatide group than in the metformin group (all P < 0.05). The exenatide
treatment caused a greater decline in the body weight and BMI as compared to the
metformin treatment (all P < 0.01). The exenatide treatment (beta = 0.41, P <
0.01) and baseline HbA1c level (beta = -0.84, P < 0.01) were independent
influencing factors for the decrease in HbA1c level. Conclusions: For an initial
therapy in overweight/obese patients with newly diagnosed T2D, exenatide causes a
better glycemic control than metformin. This trial is registered with
NCT03297879.
PMID- 29358951
TI - Persistence of Toxic Activity of Fermentation Extracts from Bacillus
thuringiensis var. israelensis after More Than Three Decades of Storage.
AB - This study was carried out to determine the persistence of toxicity of
fermentation extracts of Bacillus thuringiensis var. israelensis after more than
three decades of storage. For this purpose, a population of Aedes aegypti was
established. The mortality rate of 20 spore-crystal extracts purified using the
acetone-lactose coprecipitation method was measured and evaluated by bioassays
according to a modified WHO protocol. The extracts with the highest mortality
rate were determined in triplicate by their LD50 and LD98. All extracts showed
toxicity at the highest tested dose (1000 ppm) and some, such as strains 3260 and
3501, still killed larvae at doses as low as 0.01 ppm. These data are surprising
because no study on the activity of B. thuringiensis toxic proteins after such a
long storage time has been reported.
PMID- 29358952
TI - Hepatoma-Derived Growth Factor Secreted from Mesenchymal Stem Cells Reduces
Myocardial Ischemia-Reperfusion Injury.
AB - Objectives: The present study aimed to explore the major factors that account for
the beneficial effects of mesenchymal stem cells (MSCs). Methods: Using isobaric
tags for relative and absolute quantitation method, hepatoma-derived growth
factor (HDGF) was identified as an important factor secreted by MSCs, but not by
cardiac fibroblasts (CFs). The protective effects of conditioned medium (CdM)
from MSCs or CFs were tested by using either H9C2 cells that were exposed by
hypoxia-reoxygenation (H/R) insult or an in vivo mouse model of myocardial
ischemia-reperfusion. Results: Compared to CF-CdM, MSC-CdM conferred protection
against reperfusion injury. CdM obtained from MSCs that were treated with HDGF
targeted shRNA failed to offer any protection in vitro. In addition,
administration of recombinant HDGF alone recapitulated the beneficial effects of
MSC-CdM, which was associated with increased protein kinase C epsilon
(PKCepsilon) phosphorylation, enhanced mitochondria aldehyde dehydrogenase family
2 activity, and decreased 4-hydroxy-2-nonenal accumulation. A significant
decrease in infarct size and ameliorated cardiac dysfunction was achieved by
administration of HDGF in wild-type mice, which was absent in PKCepsilon dominant
negative mice, indicating the essential roles of PKCepsilon in HDGF-mediated
protection. Conclusions: HDGF secreted from MSCs plays a key role in the
protection against reperfusion injury through PKCepsilon activation.
PMID- 29358953
TI - Allogeneic Umbilical Cord-Derived Mesenchymal Stem Cells as a Potential Source
for Cartilage and Bone Regeneration: An In Vitro Study.
AB - Umbilical cord (UC) may represent an attractive cell source for allogeneic
mesenchymal stem cell (MSC) therapy. The aim of this in vitro study is to
investigate the chondrogenic and osteogenic potential of UC-MSCs grown onto
tridimensional scaffolds, to identify a possible clinical relevance for an
allogeneic use in cartilage and bone reconstructive surgery. Chondrogenic
differentiation on scaffolds was confirmed at 4 weeks by the expression of sox-9
and type II collagen; low oxygen tension improved the expression of these
chondrogenic markers. A similar trend was observed in pellet culture in terms of
matrix (proteoglycan) production. Osteogenic differentiation on bone-graft
substitute was also confirmed after 30 days of culture by the expression of
osteocalcin and RunX-2. Cells grown in the hypertrophic medium showed at 5 weeks
safranin o-positive stain and an increased CbFa1 expression, confirming the
ability of these cells to undergo hypertrophy. These results suggest that the UC
MSCs isolated from minced umbilical cords may represent a valuable allogeneic
cell population, which might have a potential for orthopaedic tissue engineering
such as the on-demand cell delivery using chondrogenic, osteogenic, and
endochondral scaffold. This study may have a clinical relevance as a future
hypothetical option for allogeneic single-stage cartilage repair and bone
regeneration.
PMID- 29358954
TI - The Role of Nephronectin on Proliferation and Differentiation in Human Dental
Pulp Stem Cells.
AB - Aim: The purpose of the current study was to investigate the effects of
nephronectin (Npnt) in human dental pulp stem cells (hDPSCs). Methodology: Npnt
was coated to nontissue culture-treated polystyrene (non-PS) plates. The presence
of immobilized protein on the surface was detected by polyclonal rabbit primary
anti-Npnt antibody. Then the cell number was counted and compared with PBS-,
bovine serum albumin- (BSA-), fish scale type I collagen- (FCOL1-), and human
fibronectin- (Fn-) coated wells. Cell proliferation was assessed using CCK-8
assay. Cell morphology was observed under light microscopy and fluorescence
microscopy. Lastly, the mRNA expression profiles of integrins, dentin
sialophosphoprotein (DSPP), bone sialoprotein (BSP), and mineralization capacity
of hDPSCs were investigated by real time RT-PCR and alizarin red staining,
respectively. Results: Npnt mediates hDPSC adhesion and spreading partially via
the Arg-Gly-Asp (RGD) motif. Npnt enhanced the mRNA expression of ITGA1, ITGA4,
ITGA7, and ITGB1 on day five. Npnt downregulated DSPP but significantly
upregulated BSP mRNA expression at day 28. Further, Npnt and FCOL1 accelerated
the matrix mineralization in hDPSCs. Conclusions: The current findings implicate
that Npnt would be favorable to recruit hDPSCs and conducive to mineralization in
hDPSCs. The combination of Npnt with hDPSCs may offer a promising approach for
hard tissue regeneration.
PMID- 29358955
TI - A Molecular and Clinical Review of Stem Cell Therapy in Critical Limb Ischemia.
AB - Peripheral artery disease (PAD) is one of the major vascular complications in
individuals suffering from diabetes and in the elderly that can progress to
critical limb ischemia (CLI), portending significant burden in terms of patient
morbidity and mortality. Over the last two decades, stem cell therapy (SCT) has
risen as an attractive alternative to traditional surgical and/or endovascular
revascularization to treat this disorder. The primary benefit of SCT is to induce
therapeutic neovascularization and promote collateral vessel formation to
increase blood flow in the ischemic limb and soft tissue. Existing evidence
provides a solid rationale for ongoing in-depth studies aimed at advancing
current SCT that may change the way PAD/CLI patients are treated.
PMID- 29358958
TI - Extracellular Vesicles from Adipose-Derived Mesenchymal Stem/Stromal Cells
Accelerate Migration and Activate AKT Pathway in Human Keratinocytes and
Fibroblasts Independently of miR-205 Activity.
AB - Mesenchymal stem/stromal cells (MSCs) are promising tools in cell therapy. They
secrete extracellular vesicles (EVs) that carry different classes of molecules
that can promote skin repair, but the mechanisms are poorly understood. Skin
wound healing is a complex process that requires the activity of several
signaling pathways and cell types, including keratinocytes and fibroblasts. In
this study, we explored whether adipose tissue MSC-derived EVs could accelerate
migration and proliferation of keratinocytes and fibroblasts, activate the AKT
pathway, and promote wound healing in vivo. Furthermore, we evaluated if EV
effects are miR-205 dependent. We found that MSC EVs had an average diameter of
135 nm. Keratinocytes and fibroblasts exposed to EVs exhibited higher levels of
proliferation, migration, and AKT activation. Topical administration of EVs
accelerated skin wound closure. Knockdown of miR-205 decreased AKT
phosphorylation in fibroblasts and keratinocytes, whereas migration was decreased
only in keratinocytes. Moreover, knockdown of miR-205 failed to inhibit AKT
phosphorylation in fibroblasts and keratinocytes exposed to EVs. About the
mechanism of EV effects, we found that incubation with EVs prevented inhibition
of AKT activation by miR-205 knockdown, suggesting that EVs activate AKT
independently of miR-205. In conclusion, we demonstrated that EVs are a promising
tool for wound healing.
PMID- 29358957
TI - The Effects of Different Factors on the Behavior of Neural Stem Cells.
AB - The repair of central nervous system (CNS) injury has been a worldwide problem in
the biomedical field. How to reduce the damage to the CNS and promote the
reconstruction of the damaged nervous system structure and function recovery has
always been the concern of nerve tissue engineering. Multiple differentiation
potentials of neural stem cell (NSC) determine the application value for the
repair of the CNS injury. Thus, how to regulate the behavior of NSCs becomes the
key to treating the CNS injury. So far, a large number of researchers have
devoted themselves to searching for a better way to regulate the behavior of
NSCs. This paper summarizes the effects of different factors on the behavior of
NSCs in the past 10 years, especially on the proliferation and differentiation of
NSCs. The final purpose of this review is to provide a more detailed theoretical
basis for the clinical repair of the CNS injury by nerve tissue engineering.
PMID- 29358956
TI - Emerging Roles of MTG16 in Cell-Fate Control of Hematopoietic Stem Cells and
Cancer.
AB - MTG16 (myeloid translocation gene on chromosome 16) and its related proteins,
MTG8 and MTGR1, define a small family of transcriptional corepressors. These
corepressors share highly conserved domain structures yet have distinct
biological functions and tissue specificity. In vivo studies have shown that, of
the three MTG corepressors, MTG16 is uniquely important for the regulation of
hematopoietic stem/progenitor cell (HSPC) proliferation and differentiation.
Apart from this physiological function, MTG16 is also involved in carcinomas and
leukemias, acting as the genetic target of loss of heterozygosity (LOH)
aberrations in breast cancer and recurrent translocations in leukemia. The
frequent involvement of MTG16 in these disease etiologies implies an important
developmental role for this transcriptional corepressor. Furthermore, mounting
evidence suggests that MTG16 indirectly alters the disease course of several
leukemias via its regulatory interactions with a variety of pathologic fusion
proteins. For example, a recent study has shown that MTG16 can repress not only
wild-type E2A-mediated transcription, but also leukemia fusion protein E2A-Pbx1
mediated transcription, suggesting that MTG16 may serve as a potential
therapeutic target in acute lymphoblastic leukemia expressing the E2A-Pbx1 fusion
protein. Given that leukemia stem cells share similar regulatory pathways with
normal HSPCs, studies to further understand how MTG16 regulates cell
proliferation and differentiation could lead to novel therapeutic approaches for
leukemia treatment.
PMID- 29358959
TI - A Review on the Cosmeceutical and External Applications of Nigella sativa.
AB - It is estimated by the World Health Organization (WHO) that most of the world's
population depends on herbal medicine for their health care. Nigella sativa (N.
sativa), also known as black-caraway and as "Kalonji," is a well-known seed all
over the world. It is one of the most common medicinal plants worldwide and
contains many useful chemical constituents that we can find in its fixed oil,
such as thymoquinone, thymohydroquinone, dithymoquinone, thymol, nigellicine,
carvacrol, nigellimine, nigellicine, nigellidine, and alpha-hederin. Due to these
numerous important ingredients it was found that it affects different areas of
our body and has many pharmacological effects as antibacterial, antiviral, anti
inflammatory, and wound healing effect and also for acne vulgaris, skin cancer,
pigmentation, and many cosmeceutical applications. Based on the folklore usage of
N. sativa seeds and oil, they are used in various systems of food and medicines.
The aim of this article is to provide a detailed survey of the literature of
cosmeceutical and external applications of N. sativa which is expected to
stimulate further studies on this subject.
PMID- 29358960
TI - Electroacupuncture is Beneficial for Primary Dysmenorrhea: The Evidence from Meta
Analysis of Randomized Controlled Trials.
AB - Electroacupuncture (EA) is considered to be a promising alternative therapy to
relieve the menstrual pain for primary dysmenorrhea (PD), but the conclusion is
controversial. Here, we conducted a systematic review and meta-analysis
specifically to evaluate the clinical efficacy from randomized controlled trials
(RCTs) on the use of EA in patients with PD. PubMed, Embase, ISI Web of Science,
CENTRAL, CNKI, and Wanfang were searched to identify RCTs that evaluated the
effectiveness of EA for PD. The outcome measurements included visual analogue
scale (VAS), verbal rating scale (VRS), COX retrospective symptom scale (RSS),
and the curative rate. Nine RCTs with high risk of bias were included for meta
analysis. The combined VAS 30 minutes after the completion of intervention
favoured EA at SP6 when compared with EA at GB39, nonacupoints, and waiting-list
groups. EA was superior to pharmacological treatment when the treatment duration
lasted for three menstrual cycles, evidenced by significantly higher curative
rate. No statistically significant differences between EA at SP6 and control
groups were found regarding the VRS, RSS-COX1, and RSS-COX2. The findings of our
study suggested that EA can provide considerable immediate analgesia effect for
PD. Additional studies with rigorous design and larger sample sizes are needed.
PMID- 29358961
TI - Antipruritic Effect of Acupuncture in Patients with Atopic Dermatitis:
Feasibility Study Protocol for a Randomised, Sham-Controlled Trial.
AB - This study aims to test the feasibility of a randomised clinical trial to
evaluate how acupuncture affects atopic dermatitis (AD) symptoms and quality of
life and to explore potential biomarkers that may be associated with AD. It is a
sham-controlled trial in which 30 eligible patients will be randomly allocated in
a 1 : 1 : 1 ratio to one of three groups: verum acupuncture (VA) group 1 (3 times
weekly for 4 weeks); VA group 2 (twice weekly for 4 weeks); or sham acupuncture
group (SA; twice weekly for 4 weeks). SA will consist of nonpenetrating
acupuncture. Outcome measures will include the Visual Analogue Scale for itch,
SCORing Atopic Dermatitis, and Eczema Area and Severity Index to evaluate AD
symptoms improvement along with the Patient Oriented Eczema Measure and
Dermatology Life Quality Index to assess quality of life. Measures will be
collected at baseline, once weekly during the treatment period, and after a 4
week follow-up period. Blood collection will be at baseline and 4 and 8 weeks
after treatment and compared with healthy controls. Illumina sequencing will be
used to profile microRNA expression in each group to explore candidate microRNA
biomarkers for specific effects of acupuncture in patients with AD. This trial is
registered via US National Institutes of Health Clinical Trials registry
(ClinicalTrials.gov) on 15 July 2016, identifier: NCT02844452.
PMID- 29358962
TI - Inhibitory Activity of Ficus deltoidea var. trengganuensis Aqueous Extract on
Lipopolysaccharide-Induced TNF-alpha Production from Microglia.
AB - Objective: To explore the effect of Ficus deltoidea (FD) aqueous extracts on the
release of tumor necrosis factor-alpha (TNF-alpha), the expression of CD40, and
the morphology of microglial cells in lipopolysaccharide- (LPS-) activated BV2
cells. Methods: The cytotoxicity of FD extract was assessed by MTS solution. BV2
cells were divided into 5 experimental groups, intervened, respectively, by FD (4
mg/mL) and LPS + FD (0, 1, 2, and 4 mg/mL). Besides, a blank control group was
set up without any intervention. TNF-alpha release was assessed by enzyme linked
immunosorbent assay (ELISA). The expression of CD40 was examined by flow
cytometry. Immunocytochemical staining was used to show the morphology of BV2
cells. Results: FD extract of different concentrations (1, 2, and 4 mg/mL) had no
significant toxic effects on the BV2 cells. FD suppressed the activation of
microglia in morphology and reduced TNF-alpha production and expression of CD40
induced by LPS. Conclusion: FD extract has a therapeutic potential against
neuroinflammatory diseases.
PMID- 29358963
TI - Compound Wumei Powder Inhibits the Invasion and Metastasis of Gastric Cancer via
Cox-2/PGE2-PI3K/AKT/GSK3beta/beta-Catenin Signaling Pathway.
AB - To explore the role of CWP in invasion and migration of gastric cancer cells and
its underlying molecular mechanism, we performed the experiment in SGC-7901 cells
both in vitro and in vivo. In the cell experiment, we evaluated cell
proliferation by MTT assay. The results showed that CWP can inhibit the growth of
SGC-7901 cells. The influence on cell migration and invasion was detected by
wound-healing and Transwell invasion assays. The results showed that the
abilities of invasion and migration are restrained in CWP group. Western blot
showed that CWP can decrease the expression of Cox-2 and inhibit the
PI3K/AKT/GSK3beta/beta-catenin signaling pathway. In the animal experiment, we
observed that CWP had an inhibitory effect on the growth of xenograft tumors of
nude mice. IHC assay, ELISA, RT-PCR assay, and Western blot assay were used to
test relevant cytokines of Cox-2/PGE2-PI3K/AKT/GSK3beta/beta-catenin pathway. The
results showed that CWP can suppress relevant cytokines of Cox-2/PGE2
PI3K/AKT/GSK3beta/beta-catenin pathway. In conclusion, we suggest that CWP
inhibits the invasion and metastasis of SGC-7901 cells via Cox-2/PGE2
PI3K/AKT/GSK3beta/beta-catenin signaling pathway.
PMID- 29358965
TI - Retracted: Herbal Supplement Ameliorates Cardiac Hypertrophy in Rats with CCl4
Induced Liver Cirrhosis.
AB - [This retracts the article DOI: 10.1155/2012/139045.].
PMID- 29358964
TI - The Effect of Auricular Acupoint Stimulation in Overweight and Obese Adults: A
Systematic Review and Meta-Analysis of Randomized Controlled Trials.
AB - Objective: To investigate the effect of auricular acupoint stimulation on
overweight and obese adults. Methods: We searched databases including PubMed,
EMBASE, Allied and Complementary Medicine Database, National Knowledge
Infrastructure, and the PerioPath Index to Taiwan Periodical Literature. The
modified Jadad scale was used to assess study quality. We investigated the effect
of auricular acupoint stimulation on anthropometric measurements. Results:
Eighteen randomized controlled trials (RCTs) were included in our systematic
review. Thirteen RCTs were pooled in a meta-analysis that revealed a significant
reduction in body weight (BW) with a mean difference (MD) of -1.21 kg and a 95%
confidence interval (CI) from -1.94 to -0.47 with a heterogeneity of I2 = 88%.
Significant decreases in body mass index (BMI; MD: -0.57 kg/m2; 95% CI -0.82 to
0.33; I2 = 78%), body fat (BF; MD: -0.83%; 95% CI -1.43 to -0.24; I2 = 0%), and
waist circumference (WC; MD: -1.75 cm; 95% CI -2.95 to -0.55; I2 = 87%) were also
revealed. Conclusions: This meta-analysis shows that auricular acupoint
stimulation improves physical anthropometric parameters including BW, BMI, BF,
and WC in overweight and obese adults. These methods are less effective on hip
circumference and waist-to-hip ratio.
PMID- 29358966
TI - Changes in Nitric Oxide Releases of the Contralateral Acupoint during and after
Laser Acupuncture at Bilateral Same-Name Acupoints in Human.
AB - Objective: The purpose of the study was to examine the effects of laser
acupuncture (LA) at right Neiguan (RPC6)/left Neiguan (LPC6) acupoints on the
releases of nitric oxide (NO) in the treated and contralateral/nontreated PC6,
compared to the nonacupoint control area. Methods: 24 mW LA at RPC6, LPC6, and
nonacupoint in 22 healthy subjects for 40 min: sterilized dialysis tube was taped
to the nontreated PC6/nonacupoint during the treatment and immediately taped to
the treated and nontreated PC6/nonacupoint after LA removal. NO-scavenging
compound was injected into the tube for 40 min to absorb the molecular which was
tested by spectrophotometry in a blinded fashion. Results: LA-induced NO releases
over PC6 acupoints for the nontreated and treated sides all significantly
increased after LA removal, but for the nontreated acupoints they did not change
during LA stimulation. LA at RPC6 induced the more release of the NO at
contralateral side than stimulating LPC6, but not on nonacupoints. The results
suggest that LA-induced NO release over contralateral acupoint and NO release
resulting from the lateralized specificity all are different and specific to the
acupoint within different time course. Conclusions: LA-evoked NO release over
acupoints could improve the neurogenic, endothelial activity of the vessel wall
to further facilitate microcirculation.
PMID- 29358967
TI - Corrigendum to "Autophagy Inhibition Enhances Apoptosis Induced by Dioscin in
Huh7 Cells".
AB - [This corrects the article DOI: 10.1155/2012/134512.].
PMID- 29358968
TI - Methanol Extract of Holarrhena antidysenterica Inhibits the Growth of Human Oral
Squamous Cell Carcinoma Cells and Osteoclastogenesis of Bone Marrow Macrophages.
AB - Oral squamous cell carcinoma (OSCC) frequently invades mandibular bone, and
outcomes for treatment with surgical resection are typically poor, ultimately
resulting in death. Holarrhena antidysenterica L. (Apocynaceae), distributed
throughout Sri Lanka and India, has been used as a folk remedy to treat various
diseases. Treatment with methanol extract of H. antidysenterica bark (HABE)
inhibited cell viability and BrdU incorporation and induced apoptotic cell death
in Ca9-22 gingival and HSC-3 tongue SCC cells. Flow cytometric analysis indicated
that HABE treatment preferentially induces apoptotic cell death via increasing
the sub-G1 peak in Ca9-22 cells and cell cycle arrest at the G1 phase in HSC-3
cells. HABE treatment in the presence of zVAD-fmk, a pan-caspase inhibitor,
rescued cell viabilities in both OSCC cell lines. The ratio of Bax to Bcl-2
increased with reductions in the Bcl-2 protein expression, and the activation of
caspase 3 and subsequent cleavage of PARP was detected in HABE-treated Ca9-22 and
HSC-3 cells. Furthermore, HABE treatment at noncytotoxic concentrations inhibited
osteoclast formation in RANKL-stimulated bone marrow macrophages. Taken together,
HABE possesses the inhibitory activity on the growth of OSCC cells and
antiosteoclastogenic activity. Therefore, HABE may be a promising alternative and
complementary agent for preventing and treating OSCC.
PMID- 29358969
TI - A New Chinese Medicine Intestine Formula Greatly Improves the Effect of
Aminosalicylate on Ulcerative Colitis.
AB - Ulcerative colitis (UC) is a chronic lifelong inflammatory disorder of the colon.
Current medical treatment of UC relies predominantly on the use of traditional
drugs, including aminosalicylates, corticosteroids, and immunosuppressants, which
failed to effectively control this disease's progression and produced various
side effects. Here, we report a new Chinese medicine intestine formula (CIF)
which greatly improved the effect of mesalazine, an aminosalicylate, on UC. In
the present study, 60 patients with chronic UC were treated with oral mesalazine
alone or in combination with CIF enema. The combination of mesalazine and CIF
greatly and significantly improved the clinical symptoms and colon mucosal
condition and improved the Mayo Clinic Disease Activity Index and health-related
quality of life, when compared to mesalazine alone. In particular, the addition
of CIF further decreased serum levels of tumor necrosis factor-alpha and
hypersensitivity C-reactive protein but in contrast increased interleukin-4.
Thus, the results demonstrate the beneficial role of CIF in UC treatment, which
may be mediated by the regulation of inflammation.
PMID- 29358970
TI - Electroacupuncture Inhibits the Activation of p38MAPK in the Central Descending
Facilitatory Pathway in Rats with Inflammatory Pain.
AB - The mitogen-activated protein kinases (MAPKs), especially p38MAPK, play a pivotal
role in chronic pain. Electroacupuncture (EA) relieves inflammatory pain
underlying the descending pathway, that is, the periaqueductal gray (PAG), the
rostral ventromedial medulla (RVM), and the spinal cord dorsal horn (SCDH).
However, whether EA antagonizes inflammatory pain through regulation of p38MAPK
in this descending facilitatory pathway is unclear. Complete Freund's adjuvant
(CFA) was injected into the hind paw of rats to establish inflammatory pain
model. EA was administrated for 30 min at Zusanli and Kunlun acupoints at 0.5,
24.5, 48.5, and 72.5 h, respectively. The paw withdrawal threshold (PWT), paw
edema, and Phosphor-p38MAPK-Immunoreactivity (p-p38MAPK-IR) cells were measured
before (0 h) and at 1, 3, 5, 7, 25, and 73 h after CFA or saline injection. EA
increased PWT at 1, 3, 25, and 73 h and inhibited paw edema at 25 and 73 h after
CFA injection. Moreover, the increasing number of p-p38MAPK-IR cells which was
induced by CFA was suppressed by EA stimulation in PAG and RVM at 3 and 5 h and
in SCDH at 5, 7, 25, and 73 h. These results suggest that EA suppresses
inflammation-induced hyperalgesia probably through inhibiting p38MAPK activation
in the descending facilitatory pathway.
PMID- 29358971
TI - Effects of Shizhifang on NLRP3 Inflammasome Activation and Renal Tubular Injury
in Hyperuricemic Rats.
AB - Objective: Uric acid (UA) activates the NLRP3-ASC-caspase-1 axis and triggers
cascade inflammatory that leads to hyperuricemic nephropathy and hyperuricemia
induced renal tubular injury. The original study aims to verify the positive
effects of the traditional Chinese medicinal formula Shizhifang (SZF) on
ameliorating the hyperuricemia, tubular injury, and inflammasome infiltration in
the kidneys of hyperuricemic lab rats. Method: Twenty-eight male Sprague-Dawley
rats were divided into four groups: control group, oxonic acid potassium (OA)
model group, OA + SZF group, and OA + Allopurinol group. We evaluated the
mediating effects of SZF on renal mitochondrial reactive oxygen species (ROS) and
oxidative stress (OS) products, protein expression of NLRP3-ASC-caspase-1 axis,
and downstream inflammatory factors IL-1beta and IL-18 after 7 weeks of animals
feeding. Result: SZF alleviated OA-induced hyperuricemia and inhibited OS in
hyperuricemic rats (P < 0.05). SZF effectively suppressed the expression of gene
and protein of the NLRP3-ASC-caspase-1 axis through accommodating the ROS-TXNIP
pathway (P < 0.05). Conclusion: Our data suggest that SZF alleviates renal
tubular injury and inflammation infiltration by inhibiting NLRP3 inflammasome
activation triggered by mitochondrial ROS in the kidneys of hyperuricemic lab
rats.
PMID- 29358972
TI - Tongluo Zhitong Prescription Alleviates Allodynia, Hyperalgesia, and Dyskinesia
in the Chronic Constriction Injury Model of Rats.
AB - Neuropathic pain is common in clinical practice. Exploration of new drug
therapeutics has always been carried out for more satisfactory effects and fewer
side-effects. In the present study, we aimed to investigate effects of Tongluo
Zhitong Prescription (TZP), a compounded Chinese medicine description, on
neuropathic pain model of rats with chronic constriction injury (CCI). The CCI
model was established by loosely ligating sciatic nerve with catgut suture,
proximal to its trifurcation. The static and dynamic allodynia, heat
hyperalgesia, mechanical allodynia, cold allodynia, and gait were assessed. Our
results showed that TZP alleviated CCI-induced static and dynamic allodynia,
suppressed heat hyperalgesia and cold and mechanical allodynia, and improved gait
function. These results suggest that TZP could alleviate neuropathic pain.
Further experiments are needed to explore its mechanisms.
PMID- 29358973
TI - Corrigendum to "Honokiol Eliminates Human Oral Cancer Stem-Like Cells Accompanied
with Suppression of Wnt/beta-Catenin Signaling and Apoptosis Induction".
AB - [This corrects the article DOI: 10.1155/2013/146136.].
PMID- 29358974
TI - Immunoenhancement of Edible Fungal Polysaccharides (Lentinan, Tremellan, and
Pachymaran) on Cyclophosphamide-Induced Immunosuppression in Mouse Model.
AB - Fungal polysaccharides display a variety of important biological activities,
including anti-inflammatory, antitumor, and immune-stimulating activities. The
aim of present study was to investigate the immunomodulatory effect of fungal
polysaccharides on cyclophosphamide-induced immunosuppression in mice. Mice were
pretreated orally with lentinan, tremellan, pachymaran, or a mixture of the
three, respectively. The results showed that pretreatments with polysaccharides
significantly increased the thymus index in cyclophosphamide-induced
immunosuppression mice. The level of the cytokine IL-10 in sera of
cyclophosphamide-induced mice was decreased after pretreatments of
polysaccharides. Flow cytometry results showed that pretreatments with
polysaccharides enhanced the phagocytosis of peritoneal macrophages in mice. The
increased levels of serum antibody IgG and IgM were observed in the groups
pretreated with polysaccharides. Our work demonstrated that the treatment of
polysaccharides elicited strong immune activity and a protective effect against
cyclophosphamide-induced immunosuppression.
PMID- 29358976
TI - Organic Creativity for Well-Being in the Post-Information Society.
AB - The editorial dwells upon the technology-driven evolution from the Industrial to
the Post-Information Society, indicating that this transition will bring about
drastic transformations in our way of living, starting from the job market and
then pervading all aspects at both individual and social levels. Great
opportunities will come together with unprecedented challenges to living as we
have always known it. In this innovation-filled scenario, it is argued that human
creativity becomes the distinctive ability to provide dignity at first and
survival in the long term. The term organic creativity is introduced to indicate
those conditions, attitudes, and actions that bear the potential to be at the
same time productive in socio-economic terms and conducive to human well-being.
As a consequence, the role of psychologists in an open cooperation with
sociologists, economists, computer scientists, engineers and others, will be as
central as ever in establishing healthy collaboration modes between humans and
machines, and large investments in related multidisciplinary scientific research
are advocated to establish organic creativity as a discipline that should
permeate every educational level, as well as our professional and everyday lives.
PMID- 29358975
TI - Changes in Coping, Autonomous Motivation, and Beliefs about Exercise among Women
in Early Recovery from Alcohol Participating in a Lifestyle Physical Activity
Intervention.
AB - Women are particularly likely to drink alcohol for the purpose of coping with or
alleviating negative affect. Engaging in physical activity has been posited as a
potentially effective alternate coping strategy during early recovery for
managing mood and cravings. Utilizing exercise to cope may be associated with
more adaptive coping strategies in general. Additionally, an intrinsic
(autonomous) motivational style and positive beliefs about exercise are
associated with long-term adherence to physical activity. The current study
evaluates changes in coping strategies, autonomous motivation, and beliefs about
exercise among women engaged in a physical activity intervention during early
recovery (N=20; mean age=39.53). General coping strategies, motivational style,
and beliefs about exercise were examined before and after engaging in the 12-week
intervention which aimed to help women utilize physical activity as a means to
cope with negative affect and alcohol craving. We found that, by the end of the
intervention, participants significantly increased utilization of adaptive coping
strategies such as the use of emotional support from others. Additionally,
participants increased autonomous motivation over time. There were no significant
changes in beliefs about exercise. Changes in beliefs about benefits of exercise,
autonomous motivation, and adaptive coping were related to use of exercise to
cope. However, even though these changes were associated with how women in the
intervention used exercise, they were not associated with exercising more.
Results from this open pilot suggest that women in early recovery from alcohol
who increase physical activity - specifically as a means to cope with negative
affect and craving - also demonstrate other wider coping strategies, more
positive beliefs, and autonomous motivation.
PMID- 29358977
TI - Compassionate Love for a Romantic Partner Across the Adult Life Span.
AB - Compassionate love has received research attention over the last decade, but it
is as yet unclear how it is experienced over a lifetime. The purpose of this
study was to investigate compassionate love for a romantic partner throughout the
adult life span, exploring individual differences in the propensity to experience
compassionate love in regard to age, gender, religion, love status, love styles,
and subjective well-being. The results showed that religion and love status
display significant effects on compassionate love. Believers experienced greater
compassionate love than nonbelievers, and individuals in love presented greater
compassionate love than those who were not in love. Love styles and subjective
well-being were found to be related to compassionate love. These findings
corroborate studies that indicate that individuals who experience higher
compassionate love for a romantic partner are more likely to report Eros, Agape,
and subjective well-being.
PMID- 29358978
TI - The Effects of Maltreatment in Childhood on Working Memory Capacity in Adulthood.
AB - The aim of this study was to research the relation between exposure to
maltreatment in childhood and working memory capacity in adulthood. A survey
among 376 females in the age between 16 and 67 was administered. Exposure to
maltreatment in childhood (sexual, physical and psychological abuse, neglect and
witnessing family violence) was assessed retrospectively using the Child
Maltreatment Questionnaire (Karlovic, Buljan-Flander, & Vranic, 2001), whilst the
Working Memory Questionnaire (Vallat-Azouvi, Pradat-Diehl, & Azouvi, 2012) was
used to assess working memory capacity (recalling verbal information, numerical
information, attention ability and executive functioning). The results suggest a
significantly greater prevalence of physical abuse and witnessing family violence
in comparison to other forms of maltreatment in childhood. Psychological abuse
and witnessing family violence have shown themselves to be statistically
significant predictors for deficits in total working memory capacity, verbal
recall and attention ability. The results suggest that traumatic experiences
during childhood, such as abuse, may trigger particular cognitive changes which
may be reflected in adulthood. It is, therefore, exceedingly important to conduct
further research in order to contribute to the understanding of the correlation
between cognitive difficulties and maltreatment in childhood.
PMID- 29358979
TI - The Effect of Telling Lies on Belief in the Truth.
AB - The current study looks at the effect of telling lies, in contrast to simply
planning lies, on participants' belief in the truth. Participants planned and
told a lie, planned to tell a lie but didn't tell it, told an unplanned lie, or
neither planned nor told a lie (control) about events that did not actually
happen to them. Participants attempted to convince researchers that all of the
stories told were true. Results show that telling a lie plays a more important
role in inflating belief scores than simply preparing the script of a lie.
Cognitive dissonance may lead to motivated forgetting of information that does
not align with the lie. This research suggests that telling lies may lead to
confusion as to the veracity of the lie leading to inflated belief scores.
PMID- 29358980
TI - The Effect of Schooling on Basic Cognition in Selected Nordic Countries.
AB - The present study investigated schooling effects on cognition. Cognitive data
were collected as part of a research project (ProMeal) that investigated school
meals and measured the intake of school lunch in relation to children's health,
cognitive function, and classroom learning in four Nordic countries, among
children between 10-11 years of age. It was found that Finnish pupils attending
4th grade were not, on any measure, outperformed by Norwegian and Icelandic
pupils attending 5th and Swedish pupils attending 4th grade on a task measuring
working memory capacity, processing speed, inhibition, and in a subsample on
response- and attention control. Moreover, boys were found to perform superior to
girls on tasks measuring processing speed. However, girls were found to perform
better on tasks related to attention and self-control. The results are discussed
in relation to the reciprocal association between cognition and schooling and
whether these results reflect quality differences between schools in the four
Nordic countries; most notably in comparison to Finland.
PMID- 29358981
TI - Moral Foundations and Voting Intention in Italy.
AB - Based on the view of morality proposed by the Moral Foundations Theory, this
paper investigates whether voting intention is associated with moral foundation
endorsement in not perfectly bipolar electoral contexts. Three studies carried
out in Italy from 2010 to 2013, showed that controlling for ideological
orientation, moral foundation endorsement is associated with voting intention. In
Study 1 and 3, in fictitious and real national elections, intention to vote for
right-wing political groups rather than for left-wing rivals was associated with
Sanctity, confirming previous results obtained in the U.S. Furthermore, as a
function of the specific competing political groups in each of the examined
contexts other moral foundations predicted voting intention. In Study 1, Care and
Authority predicted voting intention for the major political groups rather than
for an autonomist party that aimed at decreasing central government's fiscal
power in favor of fiscal regional autonomy. In Study 3, Loyalty predicted the
intention to vote for the major parliamentarian parties rather than for a
movement that aimed at capturing disaffection towards traditional politics. In
Study 2, at real regional elections, Loyalty predicted voting intention for the
incumbent right-wing governor rather than for the challengers and Fairness
predicted voting intention for left-wing extra-parliamentarian political groups
rather than for the major left-wing party. Thus multiple moral concerns can be
associated with voting intention. In fragmented and unstable electoral contexts,
at each election the context of the competing political groups may elicit
specific moral concerns that can contribute to affect voting intention beyond
ideological orientation.
PMID- 29358982
TI - Muslim Immigrant Men's and Women's Attitudes Towards Intimate Partner Violence.
AB - This study aims to study the attitudes towards Intimate Partner Violence (IPV) in
a group of Muslim immigrants. To this end, six focus-groups were conducted
involving 42 first-generation Muslim immigrants (21 males and 21 females) from
Pakistan, Egypt and Morocco. Focus groups transcripts were then analyzed using
the software ATLAS.ti. Irrespectively of nationality, couples replicate
relational models learnt in their country of origin, implying a rigid gender
based role division. Women are considered less socially competent if compared to
men and therefore in need of protection. Divorce is possible only in case of
severe danger: women have to stand beside their husbands and maintain family
unity. Even though they are not directly related to IPV, these factors may be key
in determining its onset and perpetration. With regards to ethnic background,
Pakistani interviewees not only seem to acknowledge the possible occurrence of
violence within couple relationships, they also accept it as a mean to regulate
socially dysfunctional behaviors. Both Moroccan males and females denounce the
impact of post migration stressors as potential triggers of IPV. The distance
from one's family of origin in migration is perceived as problematic by both men
and women, however, while males' distance from their kin might make them feel
overwhelmed with family responsibilities and give way to deviant behaviors, women
suffer from the lack of support and protection by their extended family.
Implications for practice are also discussed.
PMID- 29358983
TI - On Finding the Source of Human Energy: The Influence of Famous Quotations on
Willpower.
AB - Positive psychology focuses on aspects that human beings can improve, thereby
enhancing their growth and happiness. One of these aspects is willpower, a
quality that has been demonstrated to have various benefits on people, as widely
shown in the literature. As a result, a growing body of research is attempting to
establish the conditions under which an individual's willpower can be increased.
This work attempts to confirm whether the famous quotations that people often use
to inspire or motivate themselves can have a real effect on willpower. Two
experiments were conducted assigning randomly subjects to a group and priming
them with famous quotations, and afterwards comparing their performance in a
willpower task with a control group. The second experiment added a willpower
depletion task before priming. As a result, primed subjects endured the willpower
task significantly more time than control group, demonstrating that famous
quotations related to willpower help to increase this capacity and to counteract
the effect of willpower depletion.
PMID- 29358984
TI - The Influence of Planning and Response Inhibition on Cognitive Functioning of Non
Psychotic Unipolar Depressed Suicide Attempters.
AB - Depression is one of the main risk factors for suicide. However, little is known
about the intricate relationships among depressive symptomatology in unipolar
depression, suicide risk, and the characteristics of executive dysfunction in
depressed patients. We compared 20 non-psychotic unipolar depressed suicide
attempters to 20 matching depressed non-attempters and to 20 healthy controls to
further investigate the possible differences in neuropsychological performance.
Depressed subjects were controlled for current suicidal ideation, and their
neuropsychological profile was assessed using a range of measures of executive
functioning, attention, verbal memory, processing speed, and psychomotor speed.
Depressed groups were outperformed by healthy controls. Depressed attempters
presented more cognitive impairment than depressed non-attempters on a simple
Go/No-go response inhibition task and performed better than non-attempters on the
Tower of London planning task. Depressed attempters were clearly distinguished by
a deficit in response inhibition (Go/No-go commission errors). The normative
planning performance (Tower of London extra moves) of the suicide attempters was
unexpected, and this unanticipated finding calls for further research. Normative
planning may indicate an increased risk of suicidal behavior.
PMID- 29358985
TI - Conceptual Referents, Personality Traits and Income-Happiness Relationship: An
Empirical Investigation.
AB - One of the ongoing debates in social indicator and subjective well-being research
is concerned with the weak relationship between objective (such as income) and
subjective indicators of well-being (such as life satisfaction). Empirical
studies show that the relationship between subjective and the traditional
objective well-being indicators is weak. This relationship is found to be very
complex and far from clear. The present study tries to shed lights behind the
complexity of the relationship between income and subjective well-being (SWB) by
bringing into the analysis some alternative factors such as heterogeneity in the
human perception and purpose of life (conceptual referent theory) and personality
traits. Conceptual referent theory of happiness proposes that people differ in
their conceptual referent for a happy life and this referent plays a significant
role in their judgment about happiness and life satisfaction. Results of this
cross-sectional survey based on 500 individuals residing in rural and urban areas
indicate that the relationship between income and life satisfaction is not very
strong. Furthermore, the relationship between income and life satisfaction is
contingent on a person's conceptual referent for happiness. This study suggests
that income seems to have a significant influence on life satisfaction for some
people (especially with outer oriented referents) and insignificant influence for
other people (especially holding inner oriented referents). Additionally,
neuroticism personality trait was able to further explain the relationship
between income and life satisfaction. It was observed that the individuals with
higher level of neuroticism tend to get a lower level of satisfaction from income
rise as compared to individuals with lower level of neuroticism.
PMID- 29358986
TI - Cross-Cultural Variation in Political Leadership Styles.
AB - Guided by gaps in the literature with regard to the study of politicians the aim
of the research is to explore cross-cultural differences in political leaders'
style. It compares the MLQ (Avolio & Bass, 2004) scores of elected political
leaders (N = 140) in Bulgaria and the UK. The statistical exploration of the data
relied on multivariate analyses of covariance. The findings of comparisons across
the two groups reveal that compared to British political leaders, Bulgarian
leaders were more likely to frequently use both transactional and
passive/avoidant behaviours. The study tests Bass's (1997) strong assertion about
the universality of transformational leadership. It contributes to the leadership
literature by providing directly measured data relating to the behaviours of
political leaders. Such information on the characteristics of politicians could
allow for more directional hypotheses in subsequent research, exploring the
contextual influences within transformational leadership theory. The outcomes
might also aid applied fields. Knowledge gained of culturally different leaders
could be welcomed by multicultural political and economic unions, wherein
understanding and allowances might aid communication.
PMID- 29358987
TI - The Association Between Physical Activity and Cognitive Function With
Considerations by Social Risk Status.
AB - We evaluated the association between physical activity and cognitive function
among a national sample of the broader U.S. adult population, with consideration
by social risk. Data from the 1999-2002 National Health and Nutrition Examination
Survey (NHANES) were used to identify 2031 older adults, ages 60-85. Social risk
was classified by measuring four NHANES variables, namely poverty level,
education, minority status, and social living status, which were graded on a
scale of 0-4, with higher scores corresponding with higher social risk. The Digit
Symbol Substitution Test (DSST) was used to assess cognitive function. Physical
activity was assessed via a validated self-report questionnaire. After
adjustments, meeting physical activity guidelines (vs not) was associated with
greater cognitive function (beta = 3.0, 95% CI [1.5, 4.4], p < 0.001). In this
same model, social risk status was also independently associated with cognitive
function. Meeting physical activity guidelines (vs. not) was not associated with
higher cognitive function among those with a social risk score of of 3 (beta =
0.01; 95% CI [-6.3, 6.4], p = 0.99) or a social risk score of 4 (beta = -6.8, 95%
CI [-15.7, 2.0], p = 0.12). In this national sample of older adults, meeting
physical activity guidelines, and degree of social risk were independently
associated with cognitive function. However, physical activity was not associated
with cognitive function among older adults with the highest degree of social
risk.
PMID- 29358988
TI - The Sensation Seeking Scale (SSS-V) and Its Use in Latin American Adolescents:
Alcohol Consumption Pattern as an External Criterion for Its Validation.
AB - Sensation Seeking is a trait defined by the seeking of varied, novel, complex,
and intense situations and experiences, and the willingness to take physical,
social, and financial risks for the sake of such experience. The Sensation
Seeking Scale (SSS-V) is the most widely used measure to assess this construct.
In previous studies a variety of psychometric limitations were found when using
the SSS-V with Latin American population. The purpose of this study is to present
additional psychometric properties for its use with Latin American adolescents.
It was applied to a 506 adolescent sample (from 12 to 20 years). The result is a
scale of 22 items that cover four factors. It seems that sensation seeking among
Latin American adolescents can be described in terms of four factors, but with
some slightly content differences from what is usually found in adult samples
from other countries. Future lines of research are proposed.
PMID- 29358989
TI - Daydream Believer: Rumination, Self-Reflection and the Temporal Focus of Mind
Wandering Content.
AB - Current research into mind-wandering is beginning to acknowledge that this
process is one of heterogeneity. Following on from previous findings highlighting
the role of self-focus during mind wandering, the present study aimed to examine
individual differences in rumination and self-reflection and the impact such
styles of self-focus may have on mind-wandering experiences. Thirty-three
participants were required to complete the Sustained Attention Response Task
(SART), aimed at inducing mind-wandering episodes, whilst also probing the
content of thought in terms of temporal focus. Self-report questionnaires were
also administered after the SART to measure dispositional differences in style
and beliefs regarding mind-wandering and assessments of individual differences in
rumination and self-reflection. Those individuals with reflective self-focus
showed a strong positive association with positive and constructive thoughts.
Critically, ruminative self-focus was positively associated with a tendency for
the mind to wander towards anguished fantasies, failures and aggression, but it
was also positively associated with positive and constructive thoughts.
Furthermore, while dispositional differences in self-focus showed no relationship
with the temporal perspective of thoughts when probed during a cognitive task,
performance on the task itself was related to whether participants were thinking
about the past, present or future during that activity. Such findings are
discussed in line with previous research, and provide a further step towards
accounting for the heterogeneous nature of mind-wandering.
PMID- 29358990
TI - A Window Into the Bright Side of Psychology: Interview With Mihaly
Csikszentmihalyi.
AB - Mihaly Csikszentmihalyi is one of the most eminent psychologists of the modern
era. His ideas, such as flow, or the systems model of creativity, have inspired
numerous studies, theoretical analyses as well as pedagogic and psychological
interventions. Alongside Martin Seligman, he founded positive psychology and
continues to work to promote it. In this interview, he shares the stories behind
his scientific interests, sources of scientific ideas and the process of
promoting the concepts he had written about. He also shares his thoughts about
academic work performance.
PMID- 29358991
TI - Management of anemia in inflammatory bowel disease: a challenge in everyday
clinical practice.
AB - Anemia is the most common extraintestinal manifestation and complication of
inflammatory bowel disease (IBD). Its etiology is multifactorial and mostly is a
combination of iron deficiency anemia (IDA) and chronic anemia (ACD). Because of
its high incidence in patients with IBD and its influence on their quality of
life, regular screening is recommended. In case of IDA type of medication and
route of administration should be determined by many factors such as general
condition of the patient, IBD activity or anemia severity. Intravenous iron
supplementation is the preferred route but may be associated with phosphate drop
or even severe hypophosphatemia (HP). The mechanism of HP related to the
intravenous iron infusions is not clearly known yet, but it might be related to
the change of FGF-23 levels. What more not all parenteral forms of iron are equal
and some may have a higher risk of HP than others.
PMID- 29358992
TI - The role of pH in symptomatic relief and effective treatment of gastroesophageal
reflux disease.
AB - Gastroesophageal reflux disease (GERD) is a condition in which gastroduodenal
contents pass into the oesophagus and cause troublesome symptoms and
complications. The aetiopathogenesis of gastroesophageal reflux disease is
complex and multifactorial. Acid reflux plays an important role in the GERD
pathogenesis, both in erosive and non-erosive reflux disease. Reduction of GERD
symptoms and mucosal healing correlates with the number of hours that
intragastric acid is suppressed to a pH > 4.0. Mucosal healing was achieved in
most of patients who received different types of proton pump inhibitors, but only
in 50% of those who received H2 blockers. These findings seem to be best
accounted for by differences in the duration and degree of acid suppression
achieved by different classes of drugs and perhaps between agents within those
classes.
PMID- 29358993
TI - Percutaneous cholecystostomy for delayed laparoscopic cholecystectomy in patients
with acute cholecystitis: analysis of a single-centre experience and literature
review.
AB - Introduction: Percutaneous cholecystostomy (PC) has been used as a relatively
safe and efficient temporising measure in the treatment of acute cholecystitis
(AC) in high-risk patients with serious co-morbidity and in elderly patients.
Aim: To assess the effectiveness, possible advantages, and complication of
delayed laparoscopic cholecystectomy (LC) following PC in patients with AC.
Material and methods: A total of 52 LC for AC were divided into two groups: the
first group consisted of patients who had PC followed by LC (PCLC group, n = 12),
and the second group consisted of patients who had conservative treatment
followed by LC (non-PCLC group, n = 40). Eight of these patients were males and
four were female. The groups were statistically compared regarding their
demographic, comorbidity, hospital stay, conservation, and complication rates. PC
was performed via the transhepatic route under ultrasound guidance using local
anaesthesia. Results: Percutaneous cholecystostomy was technically successful in
12 patients with no attributable mortality or major complications. Upon the
regression of cholecystitis and the decrease in APACHE-II scores, the PC catheter
was unplugged and elective LC was scheduled for after 8 weeks. Ninety-two percent
had complete resolution of symptoms within 48 h of intervention while 8% had
partial resolution. All of the patients in PCLC and non-PCLC groups recovered
well from cholecystectomy. Conclusions: This study suggests that PCLC would not
significantly improve the outcome of LC as assessed by conversion and morbidity
rate and hospital stay compared with non-PCLC. Percutaneous cholecystostomy is a
valid alternative for patients with acute cholecystitis. And our study shows that
the laparoscopic cholecystectomy is a good option in high-risk patients who have
been treated by percutaneous cholecystostomy for acute cholecystitis.
PMID- 29358994
TI - The incidence of HLA-DQ2/DQ8 in Turkish children with celiac disease and a
comparison of the geographical distribution of HLA-DQ.
AB - Introduction: Celiac disease (CD) is an auto-immune enteropathy that occurs in
genetically pre-disposed people as a result of the consumption of gluten
containing foods. Aim: To identify the incidence of HLA-DQ2 and HLA-DQ8 observed
in children with CD. Material and methods: In this study, we focused on children
ranging in age from 2 to 18 years and diagnosed with celiac disease. In our
patients diagnosed with CD, in addition to tissue transglutaminase antibodies
(anti-tTG), we also evaluated HLA-DQ2 B1 and HLA-DQ8 B1 alleles using the method
of polymerase chain reaction (PCR)/sequence-specific oligonucleotide probes
(Luminex(r)). The detection of 0201/0202 for HLA-DQ2 allele and 0302 for HLA-DQ8
allele was accepted as a positive result. Results: The mean age of our patients
with celiac disease was 7.42 +/-3.18 years, and the female/male ratio was 1.5/1.
Seventy-six percent of our patients were HLA-DQ2 and/or HLA-DQ8 positive, 67%
were HLA-DQ2 positive, and 25% were HLA-DQ8 positive. Nevertheless, 24% of them
were HLA-DQ2 and HLA-DQ8 negative. The incidence of HLA-DQ2 in the control group
was 18.8% with a significant difference compared to the HLA-DQ2 incidence in the
patient group (67%) (p < 0.05). Similarly the HLA-DQ8 incidence in the control
group (5.7%) was significantly lower than the incidence in the patient group
(25%) (p < 0.05). Conclusions: The incidence of the patients diagnosed with CD,
who are HLA-DQ2 and HLA-DQ8 negative, varies among different populations.
PMID- 29358995
TI - Differentially regulated ADAMTS1, 8, 9, and 18 in pancreas adenocarcinoma.
AB - Introduction: Despite recent diagnostic and therapeutic improvements, pancreas
cancer remains one of the highly lethal cancers. The extracellular matrix (ECM)
is a physiological barrier that limits the spread of cancer cells into
surrounding tissues and distant organs. Disintegrin and metalloprotease with
thrombospondin motifs (ADAMTS) is a family of 19 proteases, which is involved in
various biological processes such as ECM remodelling and anti-angiogenesis. Aim:
To investigate the expression of ADAMTS1, 8, 9, and 18 proteinases in pancreas
adenocarcinoma and its nodal metastasis. Material and methods: The immunostaining
status of ADAMTS1, 8, 9, and 18 were investigated in formalin-fixed paraffin
embedded samples of 25 patients who underwent pancreaticoduodenectomy for an
adenocarcinoma located at the head of the pancreas. Results: In semi-quantitive
grading pathologically, ADAMTS1, 8, 9, and 18 were found to be highly stained in
all cancerous pancreas samples compared with normal pancreas. In addition, the
immune positivity of ADAMTS1, 9, and 18 was found to be higher in metastatic
lymph nodes than in non-metastatic lymph tissue. Tumour size was correlated with
ADAMTS9 and 18 expressions in cancerous pancreas. Conclusions: According to the
data obtained from the study, we suggest that these four ADAMTSs may have
significant roles in the tumorigenesis and nodal spread of pancreas
adenocarcinoma.
PMID- 29358996
TI - Comparative effectiveness of aggressive intravenous fluid resuscitation with
lactated Ringer's solution and rectal indomethacin therapy in the prevention of
pancreatitis after endoscopic retrograde cholangiopancreatography: a double blind
randomised controlled clinical trial.
AB - Introduction: There is growing evidence indicating the aggressive intravenous
fluid resuscitation (IVFR) can decrease the rate of pancreatitis; however, to the
best of our knowledge it has not been well studied in a post-endoscopic
retrograde cholangiopancreatography (post-ERCP) setting. Aim: To compare the
effects of aggressive IVFR and rectal indomethacin (RI) in preventing
pancreatitis after ERCP. Material and methods: This is a double blind randomised
controlled clinical trial on 186 patients undergoing ERCP in Ahvaz, Iran. The
inclusion criteria were ERCP for standard clinical indications such as
choledocholithiasis, bile duct leak, and biliary obstruction. The IVFR group (n =
62) received a bolus of 20 ml/kg of body weight lactated Ringer's solution (LRS)
immediately after ERCP, followed by 3 ml/kg/h maintenance for 8 h. The RI group
(n = 62) received 50 mg rectal indomethacin immediately before procedure and 12 h
after ERCP. The control group (n = 62) did not receive any treatment. Results:
Post-ERCP pancreatitis in IVFR, rectal indomethacin, and control groups occurred
in 8 (12.9%), 16 (25.8%), and 20 (32.3%) patients (p = 0.036). Pancreatic pain
was reported in 13 (21%), 21 (33.9%), and 27 (43.5%) patients in the IVFR, RI,
and control group (p = 0.046). The serum amylase level increased over 24 h after
intervention in all three groups. The mean serum amylase level 8 h after
intervention in the IVFR patients was lower than the RI and control groups.
Conclusions: Intravenous fluid resuscitation with LRS was more effective in
preventing post-ERCP pancreatitis in comparison to the rectal indomethacin and
control group.
PMID- 29358997
TI - Characteristics and outcomes of gastroduodenal ulcer bleeding: a single-centre
experience in Lithuania.
AB - Introduction: Despite the optimal use of combined endoscopic haemostasis and
pharmacologic control of acid secretion in the stomach, mortality in patients
with peptic ulcer bleeding (PUB) has remained constant. Recent data has shown
that the majority of patients with PUB die of non-bleeding-related causes. Aim:
To provide an overview of our experience of PUB management, with emphasis on the
effect of age, gender, comorbidities, and drug use on the characteristics and
outcomes of gastroduodenal ulcer bleeding. Material and methods: We
retrospectively reviewed the medical records of all patients admitted with the
primary diagnosis of acute, chronic or unspecified gastric and/or duodenal ulcer
with haemorrhage during 2008-2012. Results: Two hundred and nineteen patients
were identified. 46.6% of patients were >= 65 years old (elderly) and 53.4% were
< 65 years old (young). The young patients were more likely to have duodenal
ulcers and liver failure at admission. Previous use of medications was more
regularly observed in gastric ulcer patients than in duodenal ulcer patients.
Rebleeding occurred in 43 (19.6%) patients and death in 5 (2.3%) patients.
Increased risk of mortality in our patients was associated with age >= 65 years
(RR = 2.21; 95% CI: 1.90-2.56; p = 0.021). Conclusions: Management of peptic
ulcer bleeding should aim at reducing the risk of multiorgan failure and
cardiopulmonary death instead of focusing merely on successful haemostasis.
PMID- 29358998
TI - Current smoking improves ulcerative colitis patients' disease behaviour in the
northwest of China.
AB - Introduction: The incidence of ulcerative colitis has increased tremendously over
the past few decades, and caused much more attention in China. Several studies
have revealed that both environmental and genetic factors play crucial roles in
the formation and development of this disease. Among the environmental factors,
the smoking condition is highly significant. Although current smoking has been
associated with a protective effect on both the development and progression of
ulcerative colitis (UC), the clinical characteristics of UC patients with current
smoking in China is still unclear. Aim: To clarify the relationship between
current smoking and the UC in the northwest of China, patients diagnosed with
ulcerative colitis in the General Hospital of Ningxia Medical University from
2003.03 to 2012.09 were assessed. Material and methods: Data were collected about
clinical features, cigarette smoking conditions, disease location, and
therapeutic requirements. A total of 421 patients were included in this study, at
diagnosis, 341 (81%) patients were non-smokers, and the current smokers amounted
to 80 (19%). Results: As for disease location, there were no differences between
the current smokers and non-smokers regarding proctitis and extensive colitis,
but the current smokers had less left-sided colitis than non-smokers (p = 0.049).
Based on the Modified Mayo score, heavier smokers had lower scores than other
smokers and non-smokers. For the therapeutic requirements, current smokers needed
fewer corticosteroids than non-smokers (p = 0.048). Conclusions: We found that
current smoking is closely associated with a protective factor for UC.
PMID- 29358999
TI - The efficacy and duration of treatment with propranolol in children with cyclic
vomiting syndrome in southern Iran.
AB - Introduction: Cyclic vomiting syndrome is a functional disorder characterised by
repeated episodes of nausea and vomiting with symptom-free intervals between the
attacks. Cyclic vomiting syndrome is a migraine equivalent; therefore, anti
migraine medications are effective for cyclic vomiting syndrome prophylaxis, but
duration of treatment is not clear. Aim: To determine the efficacy and duration
of drug therapy in cyclic vomiting syndrome. Material and methods: This study was
conducted on 206 cases of cyclic vomiting syndrome, who were treated with
propranolol as prophylaxis. After they were symptom-free for at least 9 months,
propranolol was tapered and discontinued and then they were followed for at least
6 months after drug withdrawal for evaluation of recurrence. Results: The study
subjects included 127 boys and 79 girls. The mean age of symptom onset was 3.4
years (range: 3 months to 14.5 years) and the mean age at the time of diagnosis
was 5.7 years (range: 8.4 months to 18 years). Among the 206 patients in whom
propranolol was discontinued, only 16 (7.8%) subjects developed recurrence of
symptoms in the 6-month follow-up period. Conclusions: There is no need to
continue prophylaxis of cyclic vomiting syndrome for a long time. It is also
possible to make the duration of drug therapy shorter, which is useful for the
convenience of the patients and prevention of drug side effects.
PMID- 29359000
TI - Position change during colonoscopy improves caecal intubation rate, mucosal
visibility, and adenoma detection in patients with suboptimal caecal preparation.
AB - Introduction: Most colonoscopies are completed in the left lateral (LL) position
but in cases of suboptimal caecal preparation, changing the patient's position to
supine (S) and, if needed, to right lateral (RL) improves caecal intubation rate,
mucosal visibility, and adenoma detection. Aim: To determine if position change
during colonoscopy facilitates optimal visualisation of the caecum. Material and
methods: A total of 359 patients were grouped into three categories based on the
initial caecal intubation position. After caecal intubation, caecal visibility
was scored on a four-point scale depending on the number of imaginary quadrants
of the caecum completely visualized - Arya Caecal Prep Score. A score of 1 or 2
was unsatisfactory, while 3 or 4 was considered satisfactory. In patients with
unsatisfactory score, position was changed from LL to S and then RL and
visibility was scored again. Results: The initial caecal intubation in the LL
position was achieved in 66.8% of patients, S in 28.5%, and RL in 4.8% of
patients. 84.5% (300/355) of patients had an acceptable visualisation score at
the initial caecal intubation position. Of the 55 patients with unsatisfactory
caecum visualisation scores in the initial intubation position, 30 (8.5%) had
satisfactory scores after the first position change (95% CI: 5.77-11.84). Twenty
five (7.04%) subjects required two position changes (95% CI: 4.61-10.22%). An
additional 9.3% (11/118) of adenomas were detected in caecum and ascending colon
following position change. Conclusions: Changing patient position improves caecal
intubation rate, mucosal visibility, and adenoma detection.
PMID- 29359001
TI - The role of endoscopic retrograde cholangiopancreatography in choledochal cysts
and/or abnormal pancreatobiliary junction in children.
AB - Introduction: Biliary cysts with an abnormal pancreatobiliary junction are one of
the most common pancreatobiliary malformations. The main symptom is cholestasis;
endoscopic retrograde cholangiopancreatography (ERCP) plays a key role in
diagnosis. Aim: Retrospective evaluation of ERCP performed to diagnose
abnormalities of the pancreatobiliary junction. Material and methods: We
retrospectively evaluated ERCP performed to diagnose abnormalities of the
pancreatobiliary junction, mainly choledochal cysts, in 112 children between 1990
and 2011. Results: We performed 112 examinations of 50 children with abnormal
pancreatobiliary junction and choledochal cysts (15 males and 35 females, average
age: 5 years, range: 1 month - 15 years). Cysts were associated with a common
channel in 37 (74%) cases, were not associated with a common channel in 9 (18%)
cases, and in 3 (6%) cases the common channel lacked cysts. We performed
endoscopic papilla sphincterotomy on 33 (66%) patients; endoscopic drainage was
performed 62 times, including 17 patients without papilla sphincterotomy. In 15
(30%) cases, we only performed sphincterotomy. Extraction of lithiasis was done
in 2 (4%) cases. Both ERCP and magnetic resonance cholangiopancreatography (MRCP)
were performed on 13 patients. There was concordance of the choledochal cyst and
of the type of cyst in both methods; however, common channels could not be
observed by MRCP. There was 1 serious complication (perforation after
sphincterotomy) and 11 (9%) mild adverse events. Conclusions: When performed at
an expert centre, ECRP is a safe and reliable procedure for children with
choledochal cysts and/or an abnormal pancreatobiliary junction. Endoscopic
retrograde cholangiopancreatography remains a reasonable alternative because MRCP
has a limited ability to diagnose the precise anomalies of the pancreatobiliary
junction and has no therapeutic capabilities.
PMID- 29359002
TI - Case of oesophageal gastrointestinal stromal tumour.
PMID- 29359003
TI - Spontaneous bacterial peritonitis by Clostridium species and antimicrobial
therapy.
PMID- 29359004
TI - Giant paraesophageal hernia-related chronic gastric volvulus case to the emergent
surgery.
PMID- 29359005
TI - Wear Characteristic of Stellite 6 Alloy Hardfacing Layer by Plasma Arc Surfacing
Processes.
AB - The microstructure and wear resistance of Stellite 6 alloy hardfacing layer at
two different temperatures (room temperature and 300 degrees C) were investigated
by plasma arc surfacing processes on Q235 Steel. Tribological test was conducted
to characterize the wear property. The microstructure of Stellite 6 alloy coating
mainly consists of alpha-Co and (Cr, Fe)7C3 phases. The friction coefficient of
Stellite 6 alloys fluctuates slightly under different loads at 300 degrees C. The
oxide layer is formed on the coating surface and serves as a special lubricant
during the wear test. Abrasive wear is the dominant mechanism at room
temperature, and microploughing and plasticity are the key wear mechanisms at 300
degrees C.
PMID- 29359007
TI - Discovery and Biological Evaluation of Natural Phenolic Antioxidants.
PMID- 29359006
TI - The Significance of Hypothiocyanite Production via the Pendrin/DUOX/Peroxidase
Pathway in the Pathogenesis of Asthma.
AB - Inhaled corticosteroids (ICSs) are used as first-line drugs for asthma, and
various novel antiasthma drugs targeting type 2 immune mediators are now under
development. However, molecularly targeted drugs are expensive, creating an
economic burden on patients. We and others previously found pendrin/SLC26A4 as a
downstream molecule of IL-13, a signature type 2 cytokine critical for asthma,
and showed its significance in the pathogenesis of asthma using model mice.
However, the molecular mechanism of how pendrin causes airway inflammation
remained elusive. We have recently demonstrated that hypothiocyanite (OSCN-)
produced by the pendrin/DUOX/peroxidase pathway has the potential to cause airway
inflammation. Pendrin transports thiocyanate (SCN-) into pulmonary lumens at the
apical side. Peroxidases catalyze SCN- and H2O2 generated by DUOX into OSCN-. Low
doses of OSCN- activate NF-kappaB in airway epithelial cells, whereas OSCN- in
high doses causes necrosis of the cells, inducing the release of IL-33 and
accelerating inflammation. OSCN- production is augmented in asthma model mice and
possibly in some asthma patients. Heme peroxidase inhibitors, widely used as
antithyroid agents, diminish asthma-like phenotypes in mice, indicating the
significance of this pathway. These findings suggest the possibility of
repositioning antithyroid agents as antiasthma drugs.
PMID- 29359008
TI - Salusin-beta Is Involved in Diabetes Mellitus-Induced Endothelial Dysfunction via
Degradation of Peroxisome Proliferator-Activated Receptor Gamma.
AB - The pathophysiological mechanisms for vascular lesions in diabetes mellitus (DM)
are complex, among which endothelial dysfunction plays a vital role. Therapeutic
target against endothelial injury may provide critical venues for treatment of
diabetic vascular diseases. We recently identified that salusin-beta contributed
to high glucose-induced endothelial cell apoptosis. However, the roles of salusin
beta in DM-induced endothelial dysfunction remain largely elusive. Male C57BL/6J
mice were used to induce type 2 diabetes mellitus (T2DM) model. Human umbilical
vein endothelial cells (HUVECs) were cultured in high glucose/high fat (HG/HF)
medium. We demonstrated increased expression of salusin-beta in diabetic aortic
tissues and high-glucose/high-fat- (HG/HF-) incubated HUVECs. Disruption of
salusin-beta by shRNA abrogated the reactive oxygen species (ROS) production,
inflammation, and nitrotyrosine content of HUVECs cultured in HG/HF medium. The
HG/HF-mediated decrease in peroxisome proliferator-activated receptor gamma
(PPARgamma) expression was restored by salusin-beta shRNA, and PPARgamma
inhibitor T0070907 abolished the protective actions of salusin-beta shRNA on
endothelial injury in HG/HF-treated HUVECs. Salusin-beta silencing obviously
improved endothelium-dependent vasorelaxation, oxidative stress, inflammatory
response, and nitrative stress in diabetic aorta. Taken together, our results
highlighted the essential role of salusin-beta in pathological endothelial
dysfunction, and salusin-beta may be a promising target in treatment of vascular
complications of DM.
PMID- 29359009
TI - Exercise Combined with Rhodiola sacra Supplementation Improves Exercise Capacity
and Ameliorates Exhaustive Exercise-Induced Muscle Damage through Enhancement of
Mitochondrial Quality Control.
AB - Mounting evidence has firmly established that increased exercise capacity (EC) is
associated with considerable improvements in the survival of patients with
cardiovascular disease (CVD) and that antistress capacity is a prognostic
predictor of adverse cardiovascular events in patients with CVD. Previous studies
have indicated that aerobic exercise (AE) and supplementation with Rhodiola sacra
(RS), a natural plant pharmaceutical, improve EC and enable resistance to stress;
however, the underlying mechanism remains unclear. This study explored the
ability of AE and RS, alone or combined, to improve EC and ameliorate exhaustive
exercise- (EE-) induced stress and elucidate the mechanism involved. We found
that AE and RS significantly increased EC in mice and ameliorated EE-induced
stress damage in skeletal and cardiac muscles (SCM); furthermore, a synergistic
effect was detected for the first time. To our knowledge, the present work is the
first to report that AE and RS activate mitophagy, mitochondrial dynamics, and
biogenesis in SCM, both in the resting state and after EE. These data indicate
that AE and RS synergistically improve EC in mice and protect SCM from EE-induced
stress by enhancing mitochondrial quality control, including the activation of
mitophagy, mitochondrial dynamics, and biogenesis, both at rest and after EE.
PMID- 29359010
TI - Modulatory Effect of Fermented Papaya Extracts on Mammary Gland Hyperplasia
Induced by Estrogen and Progestin in Female Rats.
AB - Fermented papaya extracts (FPEs) are obtained by fermentation of papaya by
Aspergillus oryzae and yeasts. In this study, we investigated the protective
effects of FPEs on mammary gland hyperplasia induced by estrogen and progestogen.
Rats were randomly divided into 6 groups, including a control group, an FPE-alone
group, a model group, and three FPE treatment groups (each receiving 30, 15, or 5
ml/kg FPEs). Severe mammary gland hyperplasia was induced upon estradiol benzoate
and progestin administration. FPEs could improve the pathological features of the
animal model and reduce estrogen levels in the serum. Analysis of oxidant indices
revealed that FPEs could increase superoxide dismutase (SOD) and glutathione
peroxidase (GSH-Px) activities, decrease malondialdehyde (MDA) level in the
mammary glands and serum of the animal models, and decrease the proportion of
cells positive for the oxidative DNA damage marker 8-oxo-dG in the mammary
glands. Additionally, estradiol benzoate and progestin altered the levels of
serum biochemical compounds such as aspartate transaminase (AST), total bilirubin
(TBIL), and alanine transaminase (ALT), as well as hepatic oxidant indices such
as SOD, GSH-Px, MDA, and 8-oxo-2'-deoxyguanosine (8-oxo-dG). These indices
reverted to normal levels upon oral administration of a high dose of FPEs. Taken
together, our results indicate that FPEs can protect the mammary glands and other
visceral organs from oxidative damage.
PMID- 29359011
TI - Solid Lipid Curcumin Particles Induce More DNA Fragmentation and Cell Death in
Cultured Human Glioblastoma Cells than Does Natural Curcumin.
AB - Despite recent advancements in cancer therapies, glioblastoma multiforme (GBM)
remains largely incurable. Curcumin (Cur), a natural polyphenol, has potent
anticancer effects against several malignancies, including metastatic brain
tumors. However, its limited bioavailability reduces its efficiency for treating
GBM. Recently, we have shown that solid lipid Cur particles (SLCPs) have greater
bioavailability and brain tissue penetration. The present study compares the
efficiency of cell death by Cur and/or SLCPs in cultured GBM cells derived from
human (U-87MG) and mouse (GL261) tissues. Several cell viability and cell death
assays and marker proteins (MTT assay, annexin-V staining, TUNEL staining, comet
assay, DNA gel electrophoresis, and Western blot) were investigated following the
treatment of Cur and/or SLCP (25 MUM) for 24-72 h. Relative to Cur, the use of
SLCP increased cell death and DNA fragmentation, produced longer DNA tails, and
induced more fragmented nuclear lobes. In addition, cultured GBM cells had
increased levels of caspase-3, Bax, and p53, with decreases in Bcl2, c-Myc, and
both total Akt, as well as phosphorylated Akt, when SLCP, rather Cur, was used.
Our in vitro work suggests that the use of SLCP may be a promising strategy for
reversing or preventing GBM growth, as compared to using Cur.
PMID- 29359012
TI - Hepatectomy for hepatocellular carcinoma with portal vein tumor thrombus.
AB - Despite surgical removal of tumors with portal vein tumor thrombus (PVTT) in
hepatocellular carcinoma (HCC) patients, early recurrence tends to occur, and
overall survival (OS) periods remain extremely short. The role that hepatectomy
may play in long-term survival for HCC with PVTT has not been established. The
operative mortality of hepatectomy for HCC with PVTT has also not been reviewed.
Hence, we reviewed recent literature to assess these parameters. The OS of
patients who received hepatectomy in conjunction with multidisciplinary treatment
tended to be superior to that of patients who did not. Multidisciplinary
treatments included the following: preoperative radiotherapy on PVTT;
preoperative transarterial chemoembolization (TACE); subcutaneous administration
of interferon-alpha (IFN-alpha) and intra-arterial infusion of 5-fluorouracil (5
FU) with infusion chemotherapy in the affected hepatic artery; cisplatin,
doxorubicin and 5-FU locally administered in the portal vein; and subcutaneous
injection of IFN-alpha, adjuvant chemotherapy (5-FU + Adriamycin) administration
via the portal vein with postoperative TACE, percutaneous isolated hepatic
perfusion and hepatic artery infusion and/or portal vein chemotherapy. The
highest reported rate of operative mortality was 9.3%. In conclusion, hepatectomy
for patients affected by HCC with PVTT is safe, has low mortality and might
prolong survival in conjunction with multidisciplinary treatment.
PMID- 29359014
TI - Recent trends in liver transplantation for alcoholic liver disease in the United
States.
AB - AIM: To examine temporal changes in the indications for liver transplantation
(LT) and characteristics of patients transplanted for alcoholic liver disease
(ALD). METHODS: We performed a retrospective cohort analysis of trends in the
indication for LT using the United Network for Organ Sharing (UNOS) database
between 2002 and 2015. Patients were grouped by etiology of the liver disease and
characteristics were compared using chi2 and t-tests. Time series analysis was
used identifying any year with a significant change in the number of transplants
per year for ALD, and before and after eras were modeled using a general linear
model. Subgroup analysis of recipients with ALD was performed by age group,
gender, UNOS region and etiology (alcoholic cirrhosis, alcoholic hepatitis and
hepatitis C - alcoholic cirrhosis dual listing). RESULTS: Of 74216 liver
transplant recipients, ALD (n = 9400, 12.7%) was the third leading indication for
transplant after hepatitis C and hepatocellular carcinoma. Transplants for ALD,
increased from 12.8% (553) in 2002 to 16.5% (1020) in 2015. Time series analysis
indicated a significant increase in the number of transplants per year for ALD in
2013 (P = 0.03). There were a stable number of transplants per year between 2002
and 2012 (linear coefficient 3, 95%CI: -4.6, 11.2) an increase of 177 per year
between 2013 and 2015 (95%CI: 119, 234). This increase was significant for all
age groups except those 71-83 years old, was observed for both genders, and was
incompletely explained by a decrease in transplants for hepatitis C and ALD dual
listing. All UNOS regions except region 9 saw an increase in the mean number of
transplants per year when comparing eras, and this increase was significant in
regions 2, 3, 4, 5, 6, 8, 10 and 11. CONCLUSION: There has been a dramatic
increase in the number of transplants for ALD starting in 2013.
PMID- 29359013
TI - Molecular basis of hepatocellular carcinoma induced by hepatitis C virus
infection.
AB - Present study outlines a comprehensive view of published information about the
underlying mechanisms operational for progression of chronic hepatitis C virus
(HCV) infection to development of hepatocellular carcinoma (HCC). These reports
are based on the results of animal experiments and human based studies. Although,
the exact delineated mechanism is not yet established, there are evidences
available to emphasize the involvement of HCV induced chronic inflammation,
oxidative stress, insulin resistance, endoplasmic reticulum stress, hepato
steatosis and liver fibrosis in the progression of HCV chronic disease to HCC.
Persistent infection with replicating HCV not only initiates several liver
alterations but also creates an environment for development of liver cancer.
Various studies have reported that HCV acts both directly as well as indirectly
in promoting this process. Whereas HCV related proteins, like HCV core, E1, E2,
NS3 and NS5A, modulate signal pathways dysregulating cell cycle and cell
metabolism, the chronic infection produces similar changes in an indirect way.
HCV is an RNA virus and does not integrate with host genome and therefore, HCV
induced hepatocarcinogenesis pursues a totally different mechanism causing
imbalance between suppressors and proto-oncogenes and genomic integrity. However,
the exact mechanism of HCC inducement still needs a full understanding of various
steps involved in this process.
PMID- 29359015
TI - Reverse time-dependent effect of alphafetoprotein and disease control on survival
of patients with Barcelona Clinic Liver Cancer stage C hepatocellular carcinoma.
AB - AIM: To characterize the survival of cirrhotic patients with Barcelona Clinic
Liver Cancer (BCLC) stage C hepatocellular carcinoma (HCC) and to ascertain the
factors predicting the achievement of disease control (DC). METHODS: The
cirrhotic patients with BCLC stage C HCC evaluated by the Hepatocatt
multidisciplinary group were subjected to the investigation. Demographic,
clinical and tumor features, along with the best tumor response and overall
survival were recorded. RESULTS: One hundred and ten BCLC stage C patients were
included in the analysis; the median overall survival was 13.4 mo (95%CI: 10.6
17.0). Only alphafetoprotein (AFP) serum level > 200 ng/mL and DC could
independently predict survival but in a time dependent manner, the former was
significantly associated with increased risk of mortality within the first 6 mo
of follow-up (HR = 5.073, 95%CI: 2.159-11.916, P = 0.0002), whereas the latter
showed a protective effect against death after one year (HR = 0.110, 95%CI: 0.038
0.314, P < 0.0001). Only patients showing microvascular invasion and/or
extrahepatic spread recorded lower chances of achieving DC (OR = 0.263, 95%CI:
0.111-0.622, P = 0.002). CONCLUSION: The BCLC stage C HCC includes a wide
heterogeneous group of cirrhotic patients suitable for potentially curative
treatments. The reverse and time dependent effect of AFP serum level and DC on
patients' survival confers them as useful predictive tools for treatment
management and clinical decisions.
PMID- 29359016
TI - Hospital contacts with alcohol problems prior to liver cirrhosis or pancreatitis
diagnosis.
AB - AIM: To evaluate prior hospital contacts with alcohol problems in patients with
alcoholic liver cirrhosis and pancreatitis. METHODS: This was a register-based
study of all patients diagnosed with alcoholic liver cirrhosis or pancreatitis
during 2008-2012 in Denmark. Hospital contacts with alcohol problems
(intoxication, harmful use, or dependence) in the 10-year period preceding the
diagnosis of alcoholic liver cirrhosis and pancreatitis were identified. RESULTS:
In the 10 years prior to diagnosis, 40% of the 7719 alcoholic liver cirrhosis
patients and 40% of the 1811 alcoholic pancreatitis patients had at least one
prior hospital contact with alcohol problems. Every sixth patient (15%-16%) had
more than five contacts. A similar pattern of prior hospital contacts was
observed for alcoholic liver cirrhosis and pancreatitis. Around 30% were
diagnosed with alcohol dependence and 10% with less severe alcohol diagnoses. For
the majority, admission to somatic wards was the most common type of hospital
care with alcohol problems. Most had their first contact with alcohol problems
more than five years prior to diagnosis. CONCLUSION: There may be opportunities
to reach some of the patients who later develop alcoholic liver cirrhosis or
pancreatitis with preventive interventions in the hospital setting.
PMID- 29359017
TI - Efficacy and safety of sofosbuvir and ledipasvir in Japanese patients aged 75
years or over with hepatitis C genotype 1.
AB - AIM: To evaluate the efficacy and safety of a regimen containing sofosbuvir (SOF)
and ledipasvir (LDV) in Japanese patients aged >= 75 years with hepatitis C
genotype 1. METHODS: This multicenter, retrospective study consisted of 246
Japanese patients with HCV genotype 1 at nine centers in Miyazaki prefecture in
Japan. Demographic, clinical, virological, and adverse effects (AE)-related data
obtained during and after SOF/LDV therapy were collected from medical records.
These patients were divided into two groups, younger (aged < 75 years) and
elderly (aged >= 75 years). Virological data and AEs were analyzed by age group.
RESULTS: The sustained virological response (SVR) rates at 12 wk after treatment
were 99.2%, 99.4%, and 98.7% in the overall population and in patients aged < 75
and >= 75 years, respectively. Common AEs during therapy were headache, pruritus,
constipation, and insomnia. These occurred in fewer than 10% of patients, and
their incidence was not significantly different between the younger and elderly
groups. Two patients discontinued treatment, one due to a skin eruption and the
other due to cerebral bleeding. CONCLUSION: Compared with younger patients,
elderly patients had a similar virological response and tolerance to SOF/LDV
therapy.
PMID- 29359018
TI - Women receive more inpatient resections and ablations for hepatocellular
carcinoma than men.
AB - AIM: To evaluate disparities in the treatment of hepatocellular carcinoma (HCC)
based on gender. METHODS: A retrospective database analysis using the Nationwide
Inpatient Sample (NIS) was performed between 2010 and 2013. Adult patients with a
primary diagnosis of hepatocellular carcinoma determined by International
Classification of Disease 9 (ICD-9) codes were included. Univariate analysis and
multivariate logistic regressions were performed to analyze differences in
treatment, mortality, features of decompensation, and metastatic disease based on
the patient's gender. RESULTS: The analysis included 62582 patients with 45908
men and 16674 women. Women were less likely to present with decompensated liver
disease (OR = 0.84, P < 0.001) and had less risk of inpatient mortality when
compared to men (OR = 0.75, P < 0.001). Women were more likely to receive
inpatient resection (OR = 1.31, P < 0.001) or an ablation (OR = 1.22, P = 0.028)
than men. There was no significant difference between men and women in regard to
liver transplantation and transcatheter arterial chemoembolization (TACE).
CONCLUSION: Gender impacts treatment for hepatocellular carcinoma. Women are more
likely to undergo an ablation or resection then men. Gender disparities in
transplantation have resolved.
PMID- 29359019
TI - Impact of sustained virologic response on chronic kidney disease progression in
hepatitis C.
AB - AIM: To determine how sustained virological response at 12 wk (SVR12) with direct
acting antivirals (DAAs) for the treatment of hepatitis C virus (HCV) infection
affects chronic kidney disease (CKD) progression. METHODS: A retrospective
analysis was performed in patients aged >= 18 years treated for HCV with DAAs at
the VA Greater Los Angeles Healthcare System from 2014-2016. The treatment group
was compared to patients with HCV from 2011-2013 who did not undergo HCV
treatment, prior to the introduction of DAAs; the control group was matched to
the study group in terms of age, gender, and ethnicity. Analysis of variance and
co-variance was performed to compare means between SVR12 subgroups adjusting for
co-variates. RESULTS: Five hundred and twenty-three patients were evaluated. When
comparing the rate of change in estimated glomerular filtration rate (eGFR) one
year after HCV treatment to one-year before treatment, patients who achieved
SVR12 had a decline in GFR of 3.1 mL/min +/- 0.75 mL/min per 1.73 m2 compared to
a decline in eGFR of 11.0 mL/min +/- 2.81 mL/min per 1.73 m2 in patients who did
not achieve SVR12 (P = 0.002). There were no significant clinical differences
between patients who achieved SVR12 compared to those who did not in terms of
cirrhosis, treatment course, treatment experience, CKD stage prior to treatment,
diuretic use or other co-morbidities. The decline in eGFR in those with untreated
HCV over 2 years was 2.8 mL/min +/- 1.0 mL/min per 1.73 m2, which was not
significantly different from the eGFR decline noted in HCV-treated patients who
achieved SVR12 (P = 0.43). CONCLUSION: Patients who achieve SVR12 have a lesser
decline in renal function, but viral eradication in itself may not be associated
improvement in renal disease progression.
PMID- 29359020
TI - De-novo hepatocellular carcinoma after pediatric living donor liver
transplantation.
AB - De-novo malignancies carry an incidence ranging between 3%-26% after transplant
and account for the second highest cause of post-transplant mortality behind
cardiovascular disease. While the majority of de-novo malignancies after
transplant usually consist of skin cancers, there has been an increasing rate of
solid tumor cancers over the last 15 years. Although, recurrence of
hepatocellular carcinoma (HCC) is well understood among patients transplanted for
HCC, there are increasing reports of de-novo HCC in those transplanted for a non
HCC indication. The proposed pathophysiology for these cases has been mainly
connected to the presence of advanced graft fibrosis or cirrhosis and always
associated with the presence of hepatitis B or C virus. We report the first known
case of de-novo HCC in a recipient, 14 years after a pediatric living related
donor liver transplantation for end-stage liver disease due to biliary atresia
without the presence of hepatitis B or C virus before and after transplant. We
present this case report to increase the awareness of this phenomenon and address
on the utility for screening and surveillance of hepatocellular carcinoma among
these individuals. One recommendation is to use similar guidelines for screening,
diagnosis, and treatment for HCC as those used for primary HCC in the pre
transplant patient, focusing on those recipients who have advanced fibrosis in
the allograft, regardless of etiology.
PMID- 29359021
TI - Autoimmune hepatitis in the setting of human immunodeficiency virus infection: A
case series.
AB - Liver injury in the setting of human immunodeficiency virus (HIV) infection is
more commonly attributed to viral hepatitis or highly active antiretroviral
treatment (HAART) toxicity. The severity of liver injury is an important cause of
morbidity and mortality. The emergence of autoimmune diseases, particularly
autoimmune hepatitis (AIH) in the setting of HIV infection, is rare. Previous
reports indicate that elevated liver enzymes are a common denominator amongst
these patients. We present two patients with HIV infection, on HAART, with
virological suppression. Both patients presented with elevated liver enzymes, and
following liver biopsies, were diagnosed with AIH. The clinical course of these
patients underscore the therapeutic value of corticosteroids, and in some cases,
addition of immunosuppression for AIH treatment.
PMID- 29359022
TI - Sequential tumor-directed and lobar radioembolization before major hepatectomy
for hepatocellular carcinoma.
AB - Preoperative radioembolization may improve the resectability of liver tumor by
inducing tumor shrinkage, atrophy of the embolized liver and compensatory
hypertrophy of non-embolized liver. We describe the case of a cirrhotic Child
Pugh A patient with a segment IV hepatocellular carcinoma requiring a left
hepatectomy. Preoperative angiography demonstrated 2 separated left hepatic
arteries, for segment IV and segments II-III. This anatomic variant allowed
sequential radioembolizations, delivering high-dose 90Yttrium (160 Gy) to the
tumor, followed 28 d later by lower dose (120 Gy) to segments II-III. After 3 mo,
significant tumor response and atrophy of the future resected liver were
obtained, allowing uneventful left hepatectomy. This case illustrates that, when
anatomic disposition permits it, sequential radioembolizations, delivering
different 90Yttrium doses to the tumor and the future resected liver, could
represent a new strategy to prepare major hepatectomy in cirrhotic patients,
allowing optimal tumoricidal effect while reducing the toxicity of the global
procedure.
PMID- 29359023
TI - Primary biliary cholangitis metachronously complicated with combined
hepatocellular carcinoma-cholangiocellular carcinoma and hepatocellular
carcinoma.
AB - Primary biliary cholangitis (PBC) is a progressive cholestatic liver disease
characterized by the presence of highly specific antimitochondrial antibodies,
portal inflammation and lymphocyte-dominated destruction of the intrahepatic bile
ducts, which leads to cirrhosis. While its pathogenesis remains unclear, PBC that
shows histological progression to fibrosis carries a high risk of carcinogenesis;
the same is true of viral liver diseases. In patients with PBC, the development
of hepatocellular carcinoma (HCC) is rare; the development of combined
hepatocellular carcinoma and cholangiocellular carcinoma (cHCC-CCC) is
extraordinary. Herein, we report a rare case of PBC metachronously complicated by
cHCC-CCC and HCC, which, to the best of our knowledge, has never been reported.
We present a case report of a 74-year-old Japanese woman who was diagnosed as PBC
in her 40's by using blood tests and was admitted to our department for further
management of an asymptomatic liver mass. She had a tumor of 15 mm in size in
segment 8 of the liver and underwent a partial resection of the liver. Subsequent
pathological findings resulted in the diagnosis of cHCC-CCC, arising from stage 3
PBC. One year after the initial hepatectomy, a second tumor of 10 mm in diameter
was found in segment 5 of the liver; a partial resection of the liver was
performed. Subsequent pathological findings led to HCC diagnosis. The component
of HCC in the initial tumor displayed a trabecular growth pattern while the
second HCC showed a pseudoglandular growth pattern, suggesting that metachronous
tumors that arise from PBC are multicentric.
PMID- 29359024
TI - Eosinophilic cholangitis treatment with budesonide.
AB - Eosinophilic cholangitis is a rare cause of deranged obstructive liver function
tests. It has been described as a great mimicker for malignant biliary strictures
and bile duct obstruction. There are only case reports available on treatment
experience for eosinophilic cholangitis. A large proportion of patients present
with biliary strictures for which they have undergone surgery or endoscopic
treatment and a small proportion was given systemic corticosteroid. We share our
treatment experience using budesonide which has fewer systemic side effects to
prednisolone and avoids invasive management.
PMID- 29359026
TI - Short-term effects of obestatin on hexose uptake and triacylglycerol breakdown in
human subcutaneous adipocytes.
AB - AIM: To study complete dose-dependent effects of obestatin on lipolytic and
glucose transport activities in human adipocyte preparations highly responsive to
insulin. METHODS: Adipocytes were prepared by liberase digestion from
subcutaneous abdominal adipose tissue obtained from overweight subjects
undergoing plastic surgery. The index of lipolytic activity was the glycerol
released in the incubation medium, while glucose transport was assessed by [3H]-2
deoxyglucose uptake assay. RESULTS: When tested from 0.1 nmol/L to 1 MUmol/L,
obestatin did not stimulate glycerol release; it did not inhibit the lipolytic
effect of isoprenaline and did not alter the insulin antilipolytic effect.
Obestatin hardly activated glucose transport at 1 MUmol/L only. Moreover, the
obestatin stimulation effect was clearly lower than the threefold increase
induced by insulin 100 nmol/L. CONCLUSION: Low doses of obestatin cannot directly
influence lipolysis and glucose uptake in human fat cells.
PMID- 29359027
TI - Heart rate is an independent predictor of all-cause mortality in individuals with
type 2 diabetes: The diabetes heart study.
AB - AIM: To assess the association of resting heart rate with all-cause and
cardiovascular disease (CVD) mortality in the Diabetes Heart Study (DHS).
METHODS: Out of a total of 1443 participants recruited into the DHS, 1315
participants with type 2 diabetes who were free of atrial fibrillation and
supraventricular tachycardia during the baseline exam were included in this
analysis. Heart rate was collected from baseline resting electrocardiogram and
mortality (all-cause and CVD) was obtained from state and national death
registry. Kaplan-Meier (K-M) and Cox proportional hazard analyses were used to
assess the association. RESULTS: The mean age, body mass index (BMI) and systolic
blood pressure (SBP) of the cohort were 61.4 +/- 9.2 years, 32.0 +/- 6.6 kg/m2,
and 139.4 +/- 19.4 mmHg respectively. Fifty-six percent were females, 85% were
whites, 15% were blacks, 18% were smokers. The mean +/- SD heart rate was 69.8
(11.9) beats per minute (bpm). After a median follow-up time of 8.5 years
(maximum follow-up time is 14.0 years), 258 participants were deceased. In K-M
analysis, participants with heart rate above the median had a significantly
higher event rate compared with those below the median (log-rank P = 0.0223). A
one standard deviation increase in heart rate was associated with all-cause
mortality in unadjusted (hazard ratio 1.16, 95%CI: 1.03-1.31) and adjusted
(hazard ratio 1.20, 95%CI: 1.05-1.37) models. Similar results were obtained with
CVD mortality as the outcome of interest. CONCLUSION: Heart rate is an
independent predictor of all-cause mortality in this population with type 2
diabetes. In this study, a 1-SD increase in heart rate was associated with a 20%
increase in risk suggesting that additional prognostic information may be gleaned
from this ubiquitously collected vital sign.
PMID- 29359025
TI - Cardiac autonomic neuropathy: Risk factors, diagnosis and treatment.
AB - Cardiac autonomic neuropathy (CAN) is a serious complication of diabetes mellitus
(DM) that is strongly associated with approximately five-fold increased risk of
cardiovascular mortality. CAN manifests in a spectrum of things, ranging from
resting tachycardia and fixed heart rate (HR) to development of "silent"
myocardial infarction. Clinical correlates or risk markers for CAN are age, DM
duration, glycemic control, hypertension, and dyslipidemia (DLP), development of
other microvascular complications. Established risk factors for CAN are poor
glycemic control in type 1 DM and a combination of hypertension, DLP, obesity,
and unsatisfactory glycemic control in type 2 DM. Symptomatic manifestations of
CAN include sinus tachycardia, exercise intolerance, orthostatic hypotension
(OH), abnormal blood pressure (BP) regulation, dizziness, presyncope and syncope,
intraoperative cardiovascular instability, asymptomatic myocardial ischemia and
infarction. Methods of CAN assessment in clinical practice include assessment of
symptoms and signs, cardiovascular reflex tests based on HR and BP, short-term
electrocardiography (ECG), QT interval prolongation, HR variability (24 h,
classic 24 h Holter ECG), ambulatory BP monitoring, HR turbulence, baroreflex
sensitivity, muscle sympathetic nerve activity, catecholamine assessment and
cardiovascular sympathetic tests, heart sympathetic imaging. Although it is
common complication, the significance of CAN has not been fully appreciated and
there are no unified treatment algorithms for today. Treatment is based on early
diagnosis, life style changes, optimization of glycemic control and management of
cardiovascular risk factors. Pathogenetic treatment of CAN includes: Balanced
diet and physical activity; optimization of glycemic control; treatment of DLP;
antioxidants, first of all alpha-lipoic acid (ALA), aldose reductase inhibitors,
acetyl-L-carnitine; vitamins, first of all fat-soluble vitamin B1; correction of
vascular endothelial dysfunction; prevention and treatment of thrombosis; in
severe cases-treatment of OH. The promising methods include prescription of
prostacyclin analogues, thromboxane A2 blockers and drugs that contribute into
strengthening and/or normalization of Na+, K+-ATPase (phosphodiesterase
inhibitor), ALA, dihomo-gamma-linolenic acid (DGLA), omega-3 polyunsaturated
fatty acids (omega-3 PUFAs), and the simultaneous prescription of ALA, omega-3
PUFAs and DGLA, but the future investigations are needed. Development of OH is
associated with severe or advanced CAN and prescription of nonpharmacological and
pharmacological, in the foreground midodrine and fludrocortisone acetate,
treatment methods are necessary.
PMID- 29359028
TI - Association of obesity with hypertension and type 2 diabetes mellitus in India: A
meta-analysis of observational studies.
AB - AIM: To perform a meta-analysis of the association of obesity with hypertension
and type 2 diabetes mellitus (T2DM) in India among adults. METHODS: To conduct
meta-analysis, we performed comprehensive, electronic literature search in the
PubMed, CINAHL Plus, and Google Scholar. We restricted the analysis to studies
with documentation of some measure of obesity namely; body mass index, waist-hip
ratio, waist circumference and diagnosis of hypertension or diagnosis of T2DM. By
obtaining summary estimates of all included studies, the meta-analysis was
performed using both RevMan version 5 and "metan" command STATA version 11.
Heterogeneity was measured by I2 statistic. Funnel plot analysis has been done to
assess the study publication bias. RESULTS: Of the 956 studies screened, 18 met
the eligibility criteria. The pooled odds ratio between obesity and hypertension
was 3.82 (95%CI: 3.39 to 4.25). The heterogeneity around this estimate (I2
statistic) was 0%, indicating low variability. The pooled odds ratio from the
included studies showed a statistically significant association between obesity
and T2DM (OR = 1.14, 95%CI: 1.04 to 1.24) with a high degree of variability.
CONCLUSION: Despite methodological differences, obesity showed significant,
potentially plausible association with hypertension and T2DM in studies conducted
in India. Being a modifiable risk factor, our study informs setting policy
priority and intervention efforts to prevent debilitating complications.
PMID- 29359029
TI - Advances and challenges in laparoscopic surgery in the management of
hepatocellular carcinoma.
AB - Hepatocellular carcinoma is the fifth most common malignancy and the third most
common cause of cancer-related mortality worldwide. From the wide variety of
treatment options, surgical resection and liver transplantation are the only
therapeutic ones. However, due to shortage of liver grafts, surgical resection is
the most common therapeutic modality implemented. Owing to rapid technological
development, minimally invasive approaches have been incorporated in liver
surgery. Liver laparoscopic resection has been evaluated in comparison to the
open technique and has been shown to be superior because of the reported decrease
in surgical incision length and trauma, blood loss, operating theatre time,
postsurgical pain and complications, R0 resection, length of stay, time to
recovery and oral intake. It has been reported that laparoscopic excision is a
safe and feasible approach with near zero mortality and oncologic outcomes
similar to open resection. Nevertheless, current indications include solid tumors
in the periphery < 5 cm, especially in segments II through VI, while according to
the consensus laparoscopic major hepatectomy should only be performed by surgeons
with high expertise in laparoscopic and hepatobiliary surgery in tertiary
centers. It is necessary for a surgeon to surpass the 60-cases learning curve
observed in order to accomplish the desirable outcomes and preserve patient
safety. In this review, our aim is to thoroughly describe the general principles
and current status of laparoscopic liver resection for hepatocellular carcinoma,
as well as future prospects.
PMID- 29359030
TI - Role of oral antibiotics for prophylaxis against surgical site infections after
elective colorectal surgery.
AB - Over the past few decades, surgeons have made many attempts to reduce the
incidence of surgical site infections (SSI) after elective colorectal surgery.
Routine faecal diversion is no longer practiced in elective colonic surgery and
mechanical bowel preparation is on the verge of being eliminated altogether.
Intravenous antibiotics have become the standard of care as prophylaxis against
SSI for elective colorectal operations. However, the role of oral antibiotics is
still being debated. We review the available data evaluating the role of oral
antibiotics as prophylaxis for SSI in colorectal surgery.
PMID- 29359032
TI - Utility of single-incision totally extraperitoneal inguinal hernia repair with
intraperitoneal inspection.
AB - AIM: To study the utility of single-incision totally extraperitoneal inguinal
hernia repair with intraperitoneal inspection. METHODS: A 2 cm transverse skin
incision was made in the umbilicus, extending to the intraperitoneal cavity.
Carbon dioxide was insufflated followed by insertion of laparoscope to observe
the intraperitoneal cavity. The type of hernia was diagnosed and whether there
was the presence of intestinal incarceration was confirmed. When an intestinal
incarceration in the hernia sac was found, the forceps were inserted through the
incision site and the intestine was returned to the intraperitoneal cavity
without increasing the number of trocars. Once the peritoneum was closed, totally
extraperitoneal inguinal hernia repair was performed, and finally,
intraperitoneal observation was performed to reconfirm the repair. RESULTS: Of
the 75 hernias treated, 58 were on one side, 17 were on both sides, and 10 were
recurrences. The respective median operation times for these 3 groups of patients
were 100 min (range, 66 to 168), 136 min (range, 114 to 165), and 125 min (range,
108 to 156), with median bleeding amounts of 5 g (range, 1 to 26), 3 g (range, 1
to 52), and 5 g (range, 1 to 26), respectively. Intraperitoneal observation
showed hernia on the opposite side in 2 cases, intestinal incarceration in 3
cases, omental adhesion into the hernia sac in 2 cases, severe postoperative
intraperitoneal adhesions in 2 cases, and bladder protrusion in 1 case. There was
only 1 case of recurrence. CONCLUSION: Single-incision totally extraperitoneal
inguinal hernia repair with intraperitoneal inspection makes hernia repairs safer
and reducing postoperative complications. The technique also has excellent
cosmetic outcomes.
PMID- 29359031
TI - Hepatocellular carcinoma with child Pugh-A Cirrhosis treated with stereotactic
body radiotherapy.
AB - AIM: To evaluate the control, survival, and hepatic function for Child Pugh (CP)
A patients after Stereotactic body radiotherapy (SBRT) in hepatocellular
carcinoma (HCC). METHODS: From 2009 to 2016, 40 patients with Barcelona Liver
Clinic (BCLC) stages 0-B HCC and CP-A cirrhosis completed liver SBRT. The mean
prescription dose was 45 Gy (40 to 50 Gy in 4-5 fractions). Local relapse,
defined as recurrence within the planning target volume was assessed with
intravenous multiphase contrast computed tomography or magnetic resonance imaging
every 4-6 mo after completion of SBRT. Progression of cirrhosis was evaluated by
CP and Model for End Stage Liver Disease scores every 3-4 mo. Toxicities were
graded per the Common Terminology Criteria for Adverse Events (v4.03). Median
follow-up was 24 mo. RESULTS: Forty-nine HCC lesions among 40 patients were
analyzed in this IRB approved retrospective study. Median tumor diameter was 3.5
cm (1.5-8.9 cm). Six patients with tumors >= 5 cm completed planned selected
transarterial chemoembolization (TACE) in combination with SBRT. Eight patients
underwent orthotropic live transplant (OLT) with SBRT as a bridging treatment
(median time to transplant was 12 mo, range 5 to 23 mo). The Pathologic complete
response (PCR) rate in this group was 62.5%. The 2-year in-field local control
was 98% (1 failure). Intrahepatic control was 82% and 62% at 1 and 2 years,
respectively. Overall survival (OS) was 92% and 60% at 1 and 2 years, with a
median survival of 41 mo per Kaplan Meier analysis. At 1 and 2 years, 71% and 61%
of patients retained CPA status. Of the patients with intrahepatic failures, 58%
developed progressive cirrhosis, compared to 27% with controlled disease (P =
0.06). Survival specific to hepatic failure was 92%, 81%, and 69% at 12, 18, and
24 mo. There was no grade 3 or higher toxicity. On univariate analysis, gross
tumor volume (GTV) < 23 cc was associated with freedom from CP progression (P =
0.05), hepatic failure-specific survival (P = 0.02), and trended with OS (P =
0.10). CONCLUSION: SBRT is safe and effective in HCC with early cirrhosis and may
extend waiting time for transplant in patients who may not otherwise be immediate
candidates.
PMID- 29359033
TI - Risk factors for pancreatic fistula following pancreaticoduodenectomy: A
retrospective study in a Thai tertiary center.
AB - AIM: To analyze the risk factors of postoperative pancreatic fistula following
pancreaticoduodenectomy in a Thai tertiary care center. METHODS: We
retrospectively analyzed 179 patients who underwent pancreaticoduodenectomy at
our hospital from January 2001 to December 2016. Pancreatic fistula were
classified into three categories according to a definition made by an
International Study Group on Pancreatic Fistula. The risk factors for pancreatic
fistula were analyzed by univariate analysis and multivariate logistic regression
analysis. RESULTS: Pancreatic fistula were detected in 88/179 patients (49%) who
underwent pancreaticoduodenectomy. Fifty-eight pancreatic fistula (65.9%) were
grade A, 22 cases (25.0%) were grade B and eight cases (9.1%) were grade C.
Clinically relevant pancreatic fistula were detected in 30/179 patients (16.7%).
The 30-d mortality rate was 1.67% (3/179 patients). Multivariate logistic
regression analysis revealed that soft pancreatic texture (odds ratio = 3.598,
95%CI: 1.77-7.32) was the most significant risk factor for pancreatic fistula. A
preoperative serum bilirubin level of > 3 mg/dL was the most significant risk
factor for clinically relevant pancreatic fistula according to univariate and
multivariate analysis. CONCLUSION: Soft pancreatic tissue is the most significant
risk factor for postoperative pancreatic fistula. A high preoperative serum
bilirubin level (> 3 mg/dL) is the most significant risk factor for clinically
relevant pancreatic fistula.
PMID- 29359034
TI - Surgically treated diaphragmatic perforation after radiofrequency ablation for
hepatocellular carcinoma.
AB - We review 6 cases of diaphragmatic perforation, with and without herniation,
treated in our institution. All patients with diaphragmatic perforation underwent
radiofrequency ablation (RFA) treatments for hepatocellular carcinoma (HCC)
performed at Kurume University Hospital and Tobata Kyoritsu Hospital. We
investigated the clinical profiles of the 6 patients between January 2003 and
December 2013. We further describe the clinical presentation, diagnosis, and
treatment of diaphragmatic perforation. The change in the volume of liver and the
change in the Child-Pugh score from just after the RFA to the onset of
perforation was evaluated using a paired t-test. At the time of perforation, 4
patients had herniation of the viscera, while the other 2 patients had no
herniation. The majority of ablated tumors were located adjacent to the
diaphragm, in segments 4, 6, and 8. The average interval from RFA to the onset of
perforation was 12.8 mo (range, 6-21 mo). The median Child-Pugh score at the
onset of perforation (8.2) was significantly higher compared to the median Child
Pugh score just after RFA (6.5) (P = 0.031). All patients underwent laparotomy
and direct suture of the diaphragm defect, with uneventful post-surgical
recovery. Diaphragmatic perforation after RFA is not a matter that can be
ignored. Clinicians should carefully address this complication by performing RFA
for HCC adjacent to diaphragm.
PMID- 29359035
TI - Ectopic gastrointestinal variceal bleeding with portal hypertension.
AB - Massive gastrointestinal bleeding from gastrointestinal varices is one of the
most serious complications in patients with portal hypertension. However, if no
bleeding point can be detected by endoscopy in the predilection sites of
gastrointestinal varices, such as the esophagus and stomach, ectopic
gastrointestinal variceal bleeding should be considered as a differential
diagnosis. Herein, we report a case of ectopic ileal variceal bleeding in a 57
year-old woman, which was successfully diagnosed by multi-detector row CT (MDCT)
and angiography and treated by segmental ileum resection. To date, there have
been no consensus for the treatment of ectopic ileal variceal bleeding. This
review was designed to clarify the clinical characteristics of patients with
ectopic ileal variceal and discuss possible treatment strategies. From the PubMed
database and our own database, we reviewed 21 consecutive cases of ileal variceal
bleeding diagnosed from 1982 to 2017. MDCT and angiography is useful for the
rapid examination and surgical resection of an affected lesion and is a safe and
effective treatment strategy to avoid further bleeding.
PMID- 29359036
TI - Selective release of circRNAs in platelet-derived extracellular vesicles.
AB - Circular RNAs (circRNAs) are a novel class of noncoding RNAs present in all
eukaryotic cells investigated so far and generated by a special mode of
alternative splicing of pre-mRNAs. Thereby, single exons, or multiple adjacent
and spliced exons, are released in a circular form. CircRNAs are cell-type
specifically expressed, are unusually stable, and can be found in various body
fluids such as blood and saliva. Here we analysed circRNAs and the corresponding
linear splice isoforms from human platelets, where circRNAs are particularly
abundant, compared with other hematopoietic cell types. In addition, we isolated
extracellular vesicles from purified and in vitro activated human platelets,
using density-gradient centrifugation, followed by RNA-seq analysis for circRNA
detection. We could demonstrate that circRNAs are packaged and released within
both types of vesicles (microvesicles and exosomes) derived from platelets.
Interestingly, we observed a selective release of circRNAs into the vesicles,
suggesting a specific sorting mechanism. In sum, circRNAs represent yet another
class of extracellular RNAs that circulate in the body and may be involved in
signalling pathways. Since platelets are essential for central physiological
processes such as haemostasis, wound healing, inflammation and cancer metastasis,
these findings should greatly extend the potential of circRNAs as prognostic and
diagnostic biomarkers.
PMID- 29359037
TI - A Predictive Model to Classify Undifferentiated Fever Cases Based on Twenty-Four
Hour Continuous Tympanic Temperature Recording.
AB - Diagnosis of undifferentiated fever is a major challenging task to the physician
which often remains undiagnosed and delays the treatment. The aim of the study
was to record and analyze a 24-hour continuous tympanic temperature and evaluate
its utility in the diagnosis of undifferentiated fevers. This was an
observational study conducted in the Kasturba Medical College and Hospitals,
Mangaluru, India. A total of ninety-six (n = 96) patients were presented with
undifferentiated fever. Their tympanic temperature was recorded continuously for
24 hours. Temperature data were preprocessed and various signal characteristic
features were extracted and trained in classification machine learning algorithms
using MATLAB software. The quadratic support vector machine algorithm yielded an
overall accuracy of 71.9% in differentiating the fevers into four major
categories, namely, tuberculosis, intracellular bacterial infections, dengue
fever, and noninfectious diseases. The area under ROC curve for tuberculosis,
intracellular bacterial infections, dengue fever, and noninfectious diseases was
found to be 0.961, 0.801, 0.815, and 0.818, respectively. Good agreement was
observed [kappa = 0.618 (p < 0.001, 95% CI (0.498-0.737))] between the actual
diagnosis of cases and the quadratic support vector machine learning algorithm.
The 24-hour continuous tympanic temperature recording with supervised machine
learning algorithm appears to be a promising noninvasive and reliable diagnostic
tool.
PMID- 29359038
TI - Efficient Active Sensing with Categorized Further Explorations for a Home
Behavior-Monitoring Robot.
AB - Mobile robotics is a potential solution to home behavior monitoring for the
elderly. For a mobile robot in the real world, there are several types of
uncertainties for its perceptions, such as the ambiguity between a target object
and the surrounding objects and occlusions by furniture. The problem could be
more serious for a home behavior-monitoring system, which aims to accurately
recognize the activity of a target person, in spite of these uncertainties. It
detects irregularities and categorizes situations requiring further explorations,
which strategically maximize the information needed for activity recognition
while minimizing the costs. Two schemes of active sensing, based on two
irregularity detections, namely, heuristic-based and template-matching-based
irregularity detections, were implemented and examined for body contour-based
activity recognition. Their time cost and accuracy in activity recognition were
evaluated through experiments in both a controlled scenario and a home living
scenario. Experiment results showed that the categorized further explorations
guided the robot system to sense the target person actively. As a result, with
the proposed approach, the robot system has achieved higher accuracy of activity
recognition.
PMID- 29359039
TI - Regulation of Reentrainment Function Is Dependent on a Certain Minimal Number of
Intact Functional ipRGCs in rd Mice.
AB - Purpose: To investigate the effect of partial ablation of melanopsin-containing
retinal ganglion cells (mcRGCs) on nonimage-forming (NIF) visual functions in rd
mice lacking rods. Methods: The rd mice were intravitreally injected with
different doses (100 ng/MUl, 200 ng/MUl, and 400 ng/MUl) of immunotoxin
melanopsin-SAP. And then, the density of ipRGCs was examined. After establishing
the animal models with different degrees of ipRGC damage, a wheel-running system
was used to evaluate their reentrainment response. Results: Intravitreal
injection of melanopsin-SAP led to partial ablation of ipRGCs in a dose-dependent
manner. The survival rates of ipRGCs in the 100 ng/MUl, 200 ng/MUl, and 400
ng/MUl groups were 74.14% +/- 4.15%, 39.25% +/- 2.29%, and 38.38% +/- 3.74%,
respectively. The wheel-running experiments showed that more severe ipRGC loss
was associated with a longer time needed for reentrainment. When the light/dark
cycle was delayed by 8 h, the rd mice in the PBS control group took 4.67 +/- 0.79
days to complete the synchronization with the shifted cycle, while those in the
100 ng/MUl and 200 ng/MUl groups required 7.90 +/- 0.55 days and 11.00 +/- 0.79
days to complete the synchronization with the new light/dark cycle, respectively.
Conclusion: Our study indicates that the regulation of some NIF visual functions
is dependent on a certain minimal number of intact functional ipRGCs.
PMID- 29359040
TI - Characteristics of Inpatient Hypertension Cases and Factors Associated with
Admission Outcomes in Ashanti Region, Ghana: An Analytic Cross-Sectional Study.
AB - Background: Hypertension remains a cause of morbidity and mortality in the
Ashanti Region of Ghana. It has been featured in the top ten causes of OPD
attendance, admissions, and deaths since 2012. We investigated the
sociodemographic characteristics and spatial distribution of inpatient
hypertensives and factors associated with their admission outcomes. Methods: A
2014 line list of 1715 inpatient HPT cases aged >=25 years was used for the cross
sectional analytic study. Accounting for clustering, all analyses were performed
using the "svy" command in Stata. Frequencies, Chi-square test, and logistic
regression analysis were used in the analysis. Arc view Geographic Information
System (ArcGIS) was used to map the density of cases by place of residence and
reporting hospital. Results: Mean age of cases was 58 (S.D 0.0068). Females
constituted 67.6% of the cases. Age, gender, and NHIS status were significantly
associated with admission outcomes. Cases were clustered in the regional capital
and bordering districts. However, low case densities were recorded in the latter.
Conclusion: Increasing NHIS access can potentially impact positively on
hypertension admission outcomes. Health educational campaigns targeting men are
recommended to address hypertension-related issues.
PMID- 29359041
TI - The Importance of Surface-Binding Site towards Starch-Adsorptivity Level in alpha
Amylase: A Review on Structural Point of View.
AB - Starch is a polymeric carbohydrate composed of glucose. As a source of energy,
starch can be degraded by various amylolytic enzymes, including alpha-amylase. In
a large-scale industry, starch processing cost is still expensive due to the
requirement of high temperature during the gelatinization step. Therefore, alpha
amylase with raw starch digesting ability could decrease the energy cost by
avoiding the high gelatinization temperature. It is known that the carbohydrate
binding module (CBM) and the surface-binding site (SBS) of alpha-amylase could
facilitate the substrate binding to the enzyme's active site to enhance the
starch digestion. These sites are a noncatalytic module, which could interact
with a lengthy substrate such as insoluble starch. The major interaction between
these sites and the substrate is the CH/pi-stacking interaction with the glucose
ring. Several mutation studies on the Halothermothrix orenii, SusG Bacteroides
thetaiotamicron, Barley, Aspergillus niger, and Saccharomycopsis fibuligera alpha
amylases have revealed that the stacking interaction through the aromatic
residues at the SBS is essential to the starch adsorption. In this review, the
SBS in various alpha-amylases is also presented. Therefore, based on the
structural point of view, SBS is suggested as an essential site in alpha-amylase
to increase its catalytic activity, especially towards the insoluble starch.
PMID- 29359042
TI - Work Interruption Experienced by Nurses during Medication Administration Process
and Associated Factors, Northwest Ethiopia.
AB - Background: During medication administration process, including preparation,
administration, and documentation, there is high proportion of work interruption
that results in medication administration errors that consequently affect the
safety of patients. Thus, the main purpose of this study was to assess the
prevalence of work interruption and associated factors during medication
administration process. Methods: A prospective, observation-based, cross
sectional study was conducted on 278 nurses. Structure observational sheet was
utilized to collect data. EPI Info version 3.5.3 and SPSS version 20 software
were utilized for data entry and analysis, respectively. Binary and multivariable
logistic regression were fitted to identify the associated factors using an odds
ratio and 95% CI. Results: The incidence of work interruption was found to be
1,152 during medication administration process. Of this, 579 (50.3%) were
major/severe work interruptions. Unit of work, day of the week, professional
experience, perceived severity of work interruption, source/initiator of
interruption, and secondary tasks were factors significantly associated with
major work interruptions at p < 0.05. Conclusion: In this study, more than half
of work interruption was major/severe. Thus, the authors suggest raising the
awareness of nurses regarding the severity of work interruptions, with special
attention to those who have lower work experience, sources of interruption, and
secondary tasks by assigning additional nurses who manage secondary tasks and
supportive supervision.
PMID- 29359043
TI - TB Risk Perceptions among Medical Residents at a Tertiary Care Center in India.
AB - Setting: Government tertiary health care center in India. Objective: To
understand the perceptions of medical residents about their risk of developing TB
in the workplace. Design: Cross-sectional study in which a semistructured
questionnaire which included an open-ended question to assess their main concerns
regarding TB in workplace was used to collect data. Results: Out of 305 resident
doctors approached, 263 (94%) completed a structured questionnaire and 200 of
these responded to an open-ended question. Daily exposure to TB was reported by
141 (64%) residents, 13 (5%) reported a prior history of TB, and 175 (69%)
respondents were aware of TB infection control guidelines. A majority reported
concerns about acquiring TB (78%) and drug-resistant TB (88%). The key themes
identified were concerns about developing drug-resistant TB (n = 100; 50%);
disease and its clinical consequences (n = 39; 20%); social and professional
consequences (n = 37; 19%); exposure to TB patients (n = 32; 16%); poor infection
control measures (n = 27; 14%); and high workload and its health consequences (n
= 16; 8%). Conclusion: Though many resident doctors were aware of TB infection
control guidelines, only few expressed concern about lack of TB infection control
measures. Doctors need to be convinced of the importance of these measures which
should be implemented urgently.
PMID- 29359044
TI - Postherpetic Neuralgia and Trigeminal Neuralgia.
AB - Postherpetic neuralgia (PHN) is an unpredictable complication of varicella zoster
virus- (VZV-) induced herpes zoster (HZ) which often occurs in elderly and
immunocompromised persons and which can induce psychosocial dysfunction and can
negatively impact on quality of life. Preventive options for PHN include
vaccination of high-risk persons against HZ, early use of antiviral agents, and
robust management of pain during the early stage of acute herpes zoster. If it
does occur, PHN may persist for months or even years after resolution of the HZ
mucocutaneous eruptions, and treatment is often only partially effective.
Classical trigeminal neuralgia is a severe orofacial neuropathic pain condition
characterized by unilateral, brief but recurrent, lancinating paroxysmal pain
confined to the distribution of one or more of the branches of the trigeminal
nerve. It may be idiopathic or causally associated with vascular compression of
the trigeminal nerve root. The anticonvulsive agents, carbamazepine or
oxcarbazepine, constitute the first-line treatment. Microvascular decompression
or ablative procedures should be considered when pharmacotherapy is ineffective
or intolerable. The aim of this short review is briefly to discuss the
etiopathogenesis, clinical features, and treatment of PHN and classical
trigeminal neuralgia.
PMID- 29359046
TI - Clinical Factors Predictive for Intracranial Hemorrhage in Mild Head Injury.
AB - Patients with mild head injuries, a GCS of 13-15, are at risk for intracranial
hemorrhage. Clinical decision is needed to weigh between risks of intracranial
hemorrhage and costs of the CT scan of the brain particularly those who are
equivocal. This study aimed to find predictors for intracranial hemorrhage in
patients with mild head injuries with a moderate risk of intracranial hemorrhage.
We defined moderate risk of mild head injury as a GCS score of 13-15 accompanied
by at least one symptom such as headache, vomiting, or amnesia or with alcohol
intoxication. There were 153 patients who met the study criteria. Eighteen of the
patients (11.76%) had intracranial hemorrhage. There were four independent
factors associated with intracranial hemorrhage: history of hypertension,
headache, loss of consciousness, and baseline GCS. The sensitivity for the
presence of intracranial hemorrhage was 100% with the cutoff point for the GCS of
13. In conclusion, the independent factors associated with intracranial
hemorrhage in patients with mild head injury who were determined to be at
moderate risk for the condition included history of hypertension, headache, loss
of consciousness, and baseline GCS score.
PMID- 29359045
TI - Chronic Pelvic Pain: Assessment, Evaluation, and Objectivation.
AB - Chronic Pelvic Pain (CPP) and Chronic Pelvic Pain Syndrome (CPPS) have a
significant impact on men and women of reproductive and nonreproductive age, with
a considerable burden on overall quality of life (QoL) and on psychological,
functional, and behavioural status. Moreover, diagnostic and therapeutic
difficulties are remarkable features in many patients. Therefore evaluation,
assessment and objectivation tools are often necessary to properly address each
patient and consequently his/her clinical needs. Here we review the different
tools for pain assessment, evaluation, and objectivation; specific features
regarding CPP/CPPS will be highlighted. Also, recent findings disclosed with
neuroimaging investigations will be reviewed as they provide new insights into
CPP/CPPS pathophysiology and may serve as a tool for CPP assessment and
objectivation.
PMID- 29359047
TI - Knee Fat Pad Volumes in Patients with Hemophilia and Their Relationship with
Osteoarthritis.
AB - Hemophilic arthropathy is a progressive, disabling condition with poorly
understood pathobiology. Since there is an emerging interest to study the role of
intra-articular fat pad size and biology in arthritic conditions, we explored fat
pad volume changes in hemophilic arthropathy and to what extent they differed
from osteoarthritis. We matched a cohort of 13 adult patients with hemophilic
arthropathy of the knee with age- and gender-matched cohorts without
osteoarthritis ("control cohort") and with the same degree of radiographic
osteoarthritis ("OA cohort") in 1 : 2 fashion. Infrapatellar fat pad (IPFP) and
suprapatellar fat pad (SPFP) volumes were calculated based on magnetic resonance
imaging and differences in fat pad volumes, demographics, height, weight, and
osteoarthritis scores were evaluated. Fat pad volumes were positively associated
with body size parameters in all three cohorts but were unaffected by the degree
of osteoarthritis. While IPFP volumes did not differ between cohorts, SPFP
volumes expanded disproportionally with weight in hemophilia patients. Our
observations indicate that IPFPs and SPFPs behave biologically differently in
response to different arthritic stimuli. The exaggerated expansion of the SPFP in
hemophilia patients highlights the importance of further studying the
implications of fat pad biology for progression of hemophilic arthropathy.
PMID- 29359048
TI - Experience of Facility Based Childbirth in Rural Ethiopia: An Exploratory Study
of Women's Perspective.
AB - Background: In Ethiopia, majority (62%) of pregnant women attend antenatal care
at least once, yet only 26% deliver with skilled birth attendants in the
available health units. Thus, this study explored beliefs and behaviors related
to labour and skilled attendance among the women, their perspectives on health
care providers, and traditional birth attendants. Methods: Sixteen key informant
interviews and eight focus group discussions were conducted among purposively
selected women who had previous experience of facility based childbirth but gave
birth to their most recent child without skilled attendance in the last 12
months. Thematic content analysis was used to elicit and assess the various
perspectives of each group of participants interviewed. Findings: The study
participants described a range of experiences they had during childbirth at
health facilities that forced them to choose home delivery in their most recent
delivery. Three themes and six subthemes emerging from women's description were
abusive and disrespectful treatment, unskilled care, poor client provider
interaction, noncontinuous care, lack of privacy, and traditional practices.
Conclusion: The abuse and disrespect from providers are deterring women from
seeking skilled attendance at birth. Thus the health care providers need to
improve client provider relationships.
PMID- 29359049
TI - Efficacy of Abiraterone and Enzalutamide in Pre- and Postdocetaxel Castration
Resistant Prostate Cancer: A Trial-Level Meta-Analysis.
AB - We examined the comparative efficacies of first-line abiraterone and enzalutamide
in pre- and postdocetaxel settings in castration-resistant prostate cancer (CRPC)
through a trial level meta-analysis. A mixed method approach was applied to 19
unique studies containing 17 median overall survival (OS) estimates and 13 median
radiographic progression-free survival (PFS) estimates. We employed a random
effects meta-analysis to compare efficacies of abiraterone and enzalutamide with
respect to OS and PFS. In the predocetaxel setting, enzalutamide use was
associated with an increase in median OS of 5.9 months (p < 0.001), hazard ratio
(HR) = 0.81, and an increase in median PFS of 8.3 months (p < 0.001), HR = 0.47
compared to abiraterone. The advantage of enzalutamide improved after adjusting
for baseline Gleason score to 19.5 months (p < 0.001) and 14.6 months (p < 0.001)
in median OS and PFS, respectively. In the postdocetaxel setting, the advantage
of enzalutamide use was nominally significant for median PFS (1.2 months p = 0.02
without adjustment and 2.2 months and p = 0.0007 after adjustment); there was no
significant difference in median OS between the two agents. The results from this
comprehensive meta-analysis suggest a survival advantage with the use of first
line enzalutamide over abiraterone in CRPC and highlight the need for prospective
clinical trials.
PMID- 29359050
TI - Sustained Activity of Metabotropic Glutamate Receptor: Homer, Arrestin, and
Beyond.
AB - When activated, metabotropic glutamate receptors (mGlus) exert long-lasting
changes within the glutamatergic synapses. One mechanism is a tonic effect of
downstream signal transduction pathways via sustained activation of mGlu itself.
Like many other G protein-coupled receptors (GPCRs), mGlu can exist in a
constitutively active state, which persists agonist independently. In this paper,
we review the current knowledge of the mechanisms underlying the constitutive
activity of group I mGlus. The issues concerning Homer1a mechanism in the
constitutive activity of group I mGlus and recent findings regarding the
significant role of beta-arrestin in sustained GPCR activity are also discussed.
We propose that once in a state of sustained activation, the mGlu persistently
activates downstream signaling pathways, including various adaptor proteins and
kinases, such as beta-arrestin and mitogen-activated protein kinases. In turn,
these effector molecules bind to or phosphorylate the mGlu C-terminal binding
domains and consequently regulate the activation state of the mGlu.
PMID- 29359052
TI - Anticoagulation for the Pregnant Patient with a Mechanical Heart Valve, No
Perfect Therapy: Review of Guidelines for Anticoagulation in the Pregnant
Patient.
AB - Heart valve replacement with a mechanical valve requires lifelong
anticoagulation. Guidelines currently recommend using a vitamin K antagonist
(VKA) such as warfarin. Given the teratogenic effects of VKAs, it is often
favorable to switch to heparin-derived therapies in pregnant patients since they
do not cross the placenta. However, these therapies are known to be less
effective anticoagulants subjecting the pregnant patient to a higher chance of a
thrombotic event. Guidelines currently recommend pregnant women requiring more
than 5 mg a day of warfarin be switched to alternative therapy during the first
trimester. This case report highlights a patient who was switched to alternative
therapy during her first pregnancy and suffered a devastating cerebrovascular
accident (CVA). Further complicating her situation was during a subsequent
pregnancy; this patient continued warfarin use during the first trimester and
experienced multiple transient ischemic attacks (TIAs). This case highlights the
increased risk of thrombotic events in pregnant patients with mechanical valves.
It also highlights the difficulty of providing appropriate anticoagulation for
the pregnant patient who has experienced thrombotic events on multiple
anticoagulants.
PMID- 29359053
TI - Diagnosis and Treatment of Esophageal Granular Cell Tumor: A Case Report and
Review of the Literature.
AB - Gastrointestinal granular cell tumors are uncommon. The most common site of
gastrointestinal granular cell tumor (GCT) is esophagus. We report a case of
esophageal GCT incidentally diagnosed by endoscopy. The lesion was evaluated by
endoscopic ultrasonography and resected using the endoscopic technique without
complication.
PMID- 29359051
TI - Neural Mechanisms of Circadian Regulation of Natural and Drug Reward.
AB - Circadian rhythms are endogenously generated near 24-hour variations of
physiological and behavioral functions. In humans, disruptions to the circadian
system are associated with negative health outcomes, including metabolic, immune,
and psychiatric diseases, such as addiction. Animal models suggest bidirectional
relationships between the circadian system and drugs of abuse, whereby
desynchrony, misalignment, or disruption may promote vulnerability to drug use
and the transition to addiction, while exposure to drugs of abuse may entrain,
disrupt, or perturb the circadian timing system. Recent evidence suggests natural
(i.e., food) and drug rewards may influence overlapping neural circuitry, and the
circadian system may modulate the physiological and behavioral responses to these
stimuli. Environmental disruptions, such as shifting schedules or shorter/longer
days, influence food and drug intake, and certain mutations of circadian genes
that control cellular rhythms are associated with altered behavioral reward. We
highlight the more recent findings associating circadian rhythms to reward
function, linking environmental and genetic evidence to natural and drug reward
and related neural circuitry.
PMID- 29359054
TI - A Case of Bacteremia and Meningitis Associated with Piperacillin-Tazobactam
Nonsusceptible, Ceftriaxone Susceptible Escherichia coli during Strongyloides
Hyperinfection in an Immunocompromised Host.
AB - Strongyloidiasis is an emerging parasitic infection with intriguing epidemiology,
presentation, and clinical management. We report a case of hyperinfection
syndrome complicated by E. coli bacteremia and meningitis with one of the
isolates showing a unique resistance pattern recently being recognized. This
report describes the aspect of invasive bacterial infections in strongyloidiasis
and highlights the unique susceptibility pattern of the E. coli isolate and the
extreme caution required during the antibiotic therapy.
PMID- 29359055
TI - Bilateral Testicular Infarction from IgA Vasculitis of the Spermatic Cords.
AB - A 51-year-old man with type 2 diabetes mellitus and chronic obstructive pulmonary
disease presented to the emergency room with increasing bilateral leg pain, rash,
and scrotal swelling with pain. Skin biopsy from his thigh revealed IgA
associated vasculitis. Due to hematuria, a renal biopsy was performed and showed
an IgA glomerulonephritis with focal fibrinoid necrosis and neutrophil
accumulation. Bilateral orchiectomies were performed in two separate procedures
ten and thirteen days after the renal biopsy, as a result of uncontrolled abscess
formation in testicles. Microscopically, both testicles revealed large abscess
formation destroying almost the entire testicular parenchyma without tumor cells.
Spermatic cord margins were further scrutinized microscopically to show bilateral
vasculitis in many small size vessels, confirmed by positive endothelial staining
for IgA. Some of the affected arteries revealed central organizing thrombi with
recanalization features, highly suggestive of vasculitis-associated thrombi
formation, resulting in testicular ischemic infarction and abscess formation. We
conclude that this adult patient developed a severe form of Henoch-Schonlein
purpura, with vasculitis affecting multiple organs, including the most serious
and unusual complication of bilateral testicular infarction.
PMID- 29359056
TI - Skin Hyperpigmentation as the Presenting Symptom of Subacute Combined
Degeneration of the Spinal Cord.
AB - Vitamin B12 deficiency results in hematological, neurological, and rarely
dermatological complications. Subacute combined degeneration of the cord is one
of the neurological complications, and usually the presenting symptom is
paresthesia. Herein, we report a case of a 46-year-old man with subacute combined
degeneration presenting with knuckle hyperpigmentation.
PMID- 29359057
TI - A Triple Obstetric Challenge of Thoracopagus-Type Conjoined Twins, Eclampsia, and
Obstructed Labor: A Case Report from Sub-Saharan Africa.
AB - Conjoined twins are very rarely seen. We present a case of thoracopagus that was
undiagnosed prior to delivery and combined with eclampsia and obstructed labor in
a low-resource setting in sub-Saharan Africa. A 27-year-old pregnant woman was
presented to the maternity emergency unit of Princess Christian Maternity
Hospital (PCMH) in Freetown at term in labor. Upon admission, the patient was
awake and orientated and presented a blood pressure of 180/120 mmHg and a protein
value of 3+ on urine dipstick test. Clinical examination-ultrasound was not
available-led to the admission diagnosis: obstructed labor with intrauterine
fetal death and preeclampsia. Application of Hydralazine 5 mg (i.v.) under close
blood pressure monitoring was performed. Under spontaneous progression of labor,
one head of the yet unknown conjoined twin was born. The patient developed
eclamptic fits. Ceasing of seizures was achieved after implementing the loading
dose of the MgSO4 protocol. A vaginal examination led to the unexpected diagnosis
of conjoined twins. An emergency cesarean section under general anesthesia via a
longitudinal midline incision was performed immediately. The born head was
repositioned vaginally. The stillborn conjoined twins presented a female
thoracopagus type that seemed to involve the heart. After 8 weeks, the woman was
clinically fully recovered.
PMID- 29359058
TI - Facilitation of Vaginal Delivery in an Infant with Complete Heart Block Secondary
to Maternal Anti-Ro Antibodies.
AB - Congenital heart block (CHB) is a rare disorder that may be associated with a
high morbidity and even mortality, with a risk of death both in utero and during
infancy. Women with serum titres of anti-Ro and/or anti-La antibodies carry a
risk of CHB of 1-5% in their offspring, with a recurrence risk of approximately
20%. We present a case of a 36-year-old female with a pregnancy complicated by
congenital heart block. Autoimmune profiling at booking showed she was positive
for lupus anticoagulant and anti-Ro antibodies. A fetal echocardiogram at 21 + 3
showed complete heart block. She was monitored throughout the remainder of her
pregnancy with serial growth scans, cardiovascular profiling, and BPP scoring.
She had a normal vaginal delivery at term to a female infant.
PMID- 29359059
TI - Sunitinib-Induced Acute Interstitial Nephritis in a Thrombocytopenic Renal Cell
Cancer Patient.
AB - Sunitinib, a multitargeted tyrosine kinase inhibitor (TKI), is currently the
standard of care for patients with metastatic renal cell carcinoma. Renal adverse
events associated with sunitinib include proteinuria, renal insufficiency
secondary to focal segmental glomerulosclerosis (FSGS), and thrombotic
microangiopathy. We describe the second reported instance of biopsy-proven
sunitinib-induced acute interstitial nephritis (AIN), in a challenging case
complicated by thrombocytopenia. The case illustrates the importance of early
diagnosis and intervention in ensuring long-term recovery from renal
complications. Four other cases of AIN reported along with inhibition of the
vascular endothelial growth factor (VEGF) by either TKI (sunitinib and sorafenib)
or antibodies (bevacizumab) suggest a possible class effect. Given our
experience, we recommend monitoring renal function with VEGF inhibition, and in
the case of renal failure in the setting of an unclear diagnosis, we recommend
prompt biopsy.
PMID- 29359060
TI - Corrigendum to "Revision of Carpal Tunnel Release due to Palmaris Longus
Profundus".
AB - [This corrects the article DOI: 10.1155/2015/616051.].
PMID- 29359061
TI - Angiofibroma Localized in the Sphenoid Sinus.
AB - Juvenile nasopharyngeal angiofibroma is the most common benign tumor of the
nasopharynx with complaints of unilateral nasal obstruction and recurrent
nosebleeds in the young male population. Despite being a benign tumor, it can be
aggressively destructive in surrounding tissues and bones by acting locally. The
gold standard treatment method is the surgical excision of the tumor. This case
report is a case of angiofibroma, a 32-year-old asymptomatic male patient with no
evidence of clinical signs and endoscopic examination, which is recognized as a
localized vascular mass lesion in the right sphenoid sinus on the cranial MR
imaging. We prepared this case report that may represent an angiofibroma
localized only within the sphenoid sinus which is very rare in the literature.
PMID- 29359062
TI - Uterine Arteriovenous Fistula with Concomitant Pelvic Varicocele: Endovascular
Embolization with Onyx-18(r).
AB - Uterine arteriovenous fistulas are rare and acquired causes of life-threatening
vaginal bleeding. They usually present with intermittent menometrorrhagia in
young patients in childbearing age with history of gynecological procedures on
uterus. Traditional management is hysterectomy; endovascular embolization
represents nowadays an alternative strategy for patients wishing to preserve
fertility. Here, the endovascular approach to a 29-year-old woman affected by
severe menometrorrhagia caused by a uterine arteriovenous fistula with a
concomitant pelvic varicocele is reported; a bilateral uterine arteries
embolization with Onyx-18 (ev3, Irvine, CA, USA) has successfully resolved the
fistula with clinical success.
PMID- 29359063
TI - Seeking a New Paradigm for Alzheimer's Disease: Considering the Roles of
Inflammation, Blood-Brain Barrier Dysfunction, and Prion Disease.
AB - There is no effective etiologic treatment for Alzheimer's disease, nor is there a
prophylactic medication which delays or prevents its onset. The lack of an
accurate paradigm is undoubtedly related to the lack of effective means of
prophylaxis and treatment. The current paradigm of beta amyloid in Alzheimer's
brains causing cognitive dysfunction must be modified. Despite failed clinical
trials, research continues into amyloid-oriented treatments. The persistence of
the amyloid hypothesis/paradigm is an example of anchoring and representativeness
heuristics described by Kahneman and Tversky in their classic 1974 Science paper.
Economic factors also contribute to the persistence of this paradigm. Paradigms
impact the scientific process by the following: (1) what is studied; (2) the
types of questions that are asked; (3) the structure and nature of the questions;
(4) the interpretations of research findings. We review the contribution of
inflammation, malfunction of the neurovascular unit, and prion disease to
Alzheimer's disease manifestations. Any or all of these are candidates for
inclusion into a more accurate, inclusive, and useful new paradigm. By
incorporating emerging facts and understanding into a new paradigm, we will
enhance our ability to move toward effective prophylaxis and therapy for this
tragic disease.
PMID- 29359064
TI - Corrigendum to "New Issues in the Management of Osteoporosis".
AB - [This corrects the article DOI: 10.4061/2011/582789.].
PMID- 29359065
TI - Cognitive Impact of Deep Brain Stimulation on Parkinson's Disease Patients.
AB - Subthalamic nucleus (STN) or globus pallidus interna (GPi) deep brain stimulation
(DBS) is considered a robust therapeutic tool in the treatment of Parkinson's
disease (PD) patients, although it has been reported to potentially cause
cognitive decline in some cases. We here provide an in-depth and critical review
of the current literature regarding cognition after DBS in PD, summarizing the
available data on the impact of STN and GPi DBS as monotherapies and also
comparative data across these two therapies on 7 cognitive domains. We provide
evidence that, in appropriately screened PD patients, worsening of one or more
cognitive functions is rare and subtle after DBS, without negative impact on
quality of life, and that there is very little data supporting that STN DBS has a
worse cognitive outcome than GPi DBS.
PMID- 29359066
TI - Theatre Is a Valid Add-On Therapeutic Intervention for Emotional Rehabilitation
of Parkinson's Disease Patients.
AB - Conventional medical treatments of Parkinson's disease (PD) are effective on
motor disturbances but may have little impact on nonmotor symptoms, especially
psychiatric ones. Thus, even when motor symptomatology improves, patients might
experience deterioration in their quality of life. We have shown that 3 years of
active theatre is a valid complementary intervention for PD as it significantly
improves the well-being of patients in comparison to patients undergoing
conventional physiotherapy. Our aim was to replicate these findings while
improving the efficacy of the treatment. We ran a single-blinded pilot study
lasting 15 months on 24 subjects with moderate idiopathic PD. 12 were assigned to
a theatre program in which patients underwent "emotional" training. The other 12
underwent group physiotherapy. Patients were evaluated at the beginning and at
the end of their treatments, using a battery of eight clinical and five
neuropsychological scales. We found that the emotional theatre training improved
the emotional well-being of patients, whereas physiotherapy did not.
Interestingly, neither of the groups showed improvements in either motor symptoms
or cognitive abilities tested by the neuropsychological battery. We confirmed
that theatre therapy might be helpful in improving emotional well-being in PD.
PMID- 29359068
TI - Intensive Care Medicine: Organization, Education and Politics.
PMID- 29359067
TI - Changes of Metabolomic Profile in Helianthus annuus under Exposure to
Chromium(VI) Studied by capHPLC-ESI-QTOF-MS and MS/MS.
AB - The application of capHPLC-ESI-QTOF-MS and MS/MS to study the impact of Cr(VI) on
metabolites profile in Helianthus annuus is reported. Germinated seeds were grown
hydroponically in the presence of Cr(VI) (25 mgCr/L) and root extracts of the
exposed and control plants were analyzed by untargeted metabolomic approach. The
main goal was to detect which metabolite groups were mostly affected by Cr(VI)
stress; two data analysis tools (ProfileAnalysis, Bruker, and online XCMS) were
used under criteria of intensity threshold 5 . 104 cps, fold change >= 5, and p
<= 0.01, yielding precursor ions. Molecular formulas were assigned based on data
processing with two computational tools (SIRIUS and MS-Finder); annotation of
candidate structures was performed by database search using CSI:FingerID and MS
Finder. Even though ultimate identification has not been achieved, it was
demonstrated that secondary metabolism became activated under Cr(VI) stress.
Among 42 candidate compounds returned from database search for seven molecular
formulas, ten structures corresponded to isocoumarin derivatives and eleven were
sesquiterpenes or sesquiterpene lactones; three benzofurans and four glycoside or
pyrane derivatives of phenolic compounds were also suggested. To gain further
insight on the effect of Cr(VI) in sunflower, isocoumarins and sesquiterpenes
were selected as the target compounds for future study.
PMID- 29359069
TI - Intensive Care Medicine: Different Recipes for Shared Goals.
PMID- 29359070
TI - Noninvasive Ventilation in Hypoxemic Patients: an Ongoing Soccer Game or a Lost
One?
PMID- 29359071
TI - Noninvasive Ventilation for Acute Hypoxemic Respiratory Failure/ARDS - is There a
Role?
PMID- 29359072
TI - Efficacy and Safety of Using High-Flow Nasal Oxygenation in Patients Undergoing
Rapid Sequence Intubation.
AB - Objective: To assess the efficacy and safety of high-flow nasal oxygen (HFNO)
therapy in patients undergoing rapid sequence intubation (RSI) for emergency
abdominal surgery. Methods: HFNO of 60 L.min-1 at an inspiratory oxygen fraction
of 1 was delivered 4 min before laryngoscopy and maintained until the patient was
intubated, and correct intubation was verified by the appearance of the end-tidal
CO2 (EtCO2) waveform. Transcutaneous oxygenation (SpO2), heart rate and non
invasive mean arterial pressure were monitored at baseline (T0), after 4 min on
HFNO (T1) and at the time of laryngoscopy (T2) and endotracheal intubation (ETI)
(T3). An SpO2 of <3% from baseline was recorded at any sampled time. The value of
EtCO2 at T3 was registered after two mechanical breaths. The apnoea time was
defined as the time from the end of propofol injection to ETI. RSI was performed
with propofol, fentanyl and rocuronium. Results: Forty-five patients were
enrolled. SpO2 levels showed a statistically significant increase at T1, T2 and
T3 compared with those at T0 (p<0.05); median SpO2% (interquartile range) was 97%
(range, 96%-99%) at T0, 99% (range, 99%-100%) at T1, 99% (range, 99%-100%) at T2
and 99% (range, 99%-100%) at T3. Minimal SpO2 was 96%; no patient showed an SpO2
of <3% from baseline; mean EtCO2 at the time of ETI was 36+/-4 mmHg. Maximum
apnoea time was 12 min. Conclusion: HFNO is an effective and safe technique for
pre-oxygenation in patients undergoing rapid sequence induction of general
anaesthesia for emergency surgery.
PMID- 29359073
TI - Number of Prehospital Defibrillation Shocks and the Return of Spontaneous
Circulation in Out-of-Hospital Cardiac Arrest.
AB - Objective: It has not been determined yet whether the number of defibrillation
shocks delivered over the first 30 min of cardiopulmonary resuscitation (CPR)
impacts the rate of successful return of spontaneous circulation (ROSC) in out-of
hospital cardiac arrest (OHCA). Methods: We conducted a retrospective
observational study in non-traumatic OHCA. Patients who were administered
defibrillation shocks using a public automated external defibrillator (AED) were
consecutively enrolled in the study. We assessed the relationship between ROSC
and the number of prehospital defibrillation shocks and constructed an receiver
operating characteristic (ROC) curve to illustrate the ability of repeated
defibrillation shocks to predict ROSC over the first 30 min of CPR. Results:
Increasing the number of defibrillation shocks progressively decreased the
probability to achieve ROSC. The highest rate of ROSC (33%) was observed when
four shocks were delivered. The ROC curve illustrated that the fourth shock
maximised sensitivity and specificity (area under the curve [AUC]=0.72). The
positive and negative predictive values for ROSC reached 82% and 48%,
respectively, when <4 shocks were delivered. Conclusion: The delivery of four
defibrillation shocks in OHCA most related to ROSC. The evaluation of the number
of delivered shock during the first 30 min of CPR is a simple tool that can be
used for an early decision in OHCA patient.
PMID- 29359074
TI - Association of Low Blood Pressure, Low Bispectral Index and Low Minimum Alveolar
Concentration of Anaesthetic during Surgery with Postoperative 30-day Mortality:
A Systemic Review and Meta-Analysis.
AB - Objective: The triple low state [low mean arterial pressure, low bispectral index
(BIS) and a low minimum alveolar concentration (MAC)] fraction of anaesthetic
during surgery) has been a controversial subject of interest in clinical
practise. Previous retrospective studies have produced different conclusions on
the association between a triple low state and postoperative 30-day mortality.
This study was a systematic review of previous studies on the effects of the
triple low state on postoperative 30-day mortality and a meta-analysis with a
sample size larger than that of previous studies. Methods: After searching for
relevant articles in the PubMed database as on 27 March 2017, we included studies
that compared postoperative 30-day mortality between triple low and non-triple
low groups. The identified articles were subjected to an initial screening using
keywords 'low bispectral index', 'intraoperative hypotension' and 'low minimum
alveolar concentration' according to the PRISMA Flow diagram (2009). After a full
text review, appropriate studies were finally included in our meta-analysis. All
statistical analyses were performed using the R programme 3.3.2 and meta
packages. Results: Three retrospective cohort studies were included in the meta
analysis. The total number of subjects in the triple low and non-triple low
groups was 29,402 and 17,428, respectively; the sample size was 46,830. We
derived a hazard ratio (HR) of 1.09 [95% confidence interval (CI), 1.07-1.11)]
for the fixed effect model and of 1.30 (95% CI, 1.04-1.07) for the random effect
model. In the analysis of heterogeneity among the three studies, I2=95% was
obtained (P<0.01). Thus, the values obtained from the random effect model were
used; HR was 1.30 for the triple low group, indicating a 30% increase in the
overall 30-day mortality. Conclusion: The present study demonstrated that
patients exposed to the triple low state exhibit higher 30-day mortality rates
than those not exposed to the triple low state.
PMID- 29359075
TI - Examination of Changes in Infection Rates in a Restructured Anaesthesia Intensive
Care Unit: A Retrospective Study.
AB - Objective: This retrospective study aimed to evaluate the effect of a
restructured anaesthesia intensive care unit (ICU) on changes in infection rates
and infections. Methods: Organisational restructuring was done in the anaesthesia
ICU of Firat University Hospital after it was relocated on 14 March 2012. This
study was designed to investigate the effect of restructuring on infection rates
through a comparison of periods encompassing one year before relocation and one
year after relocation. Nosocomial infections were diagnosed according to modified
Centers for Disease Control and Prevention (CDC) criteria. In total, 406 patients
who were over 18 years old and admitted to the ICU were included; they were
hospitalised for 48 h or longer and had non-infectious diseases according to
physical examination, laboratory and culture results on admission. The data of
214 patients (Group A) and 192 patients (Group B) were examined. Results:
Parameters such as age, gender, primary diagnosis and mean GCS score at admission
and mean duration of hospitalisation showed no effect on the rates of infection,
but rates of total infection (41.1% vs. 25%), urinary (18.7% vs. 10.4%) and VIP
(32.7% vs. 14.6%) were detected in Groups A and B. Statistically significant
differences were found for the causative pathogens Pseudomonas (15.4% vs. 6.8%),
Acinetobacter (18.2% vs. 12%) and Escherichia (8.9% vs. 2.1%); the mean duration
of mechanical ventilation (15.01+/-16.681 vs. 12.22+/-17.595) and discharge with
improvement (31.8% vs. 44.3%). Conclusion: We detected that restructuring (such
as acclimatization, educated staff, hepa filter) caused a significant decline in
infection rates. Because ICU staff may be a major cause of infection, we believe
that providing education and conducting effective surveillance programs will be
the most important factors for reducing infection rates.
PMID- 29359076
TI - Effect of Cricoid Pressure on Laryngeal View During Macintosh, McGrath MAC X
Blade and GlideScope Video Laryngoscopies.
AB - Objective: Cricoid pressure is useful in fasted patients requiring emergency
intubation. We compared the effect of cricoid pressure on laryngeal view during
Macintosh, McGrath MAC X-Blade and GlideScope video laryngoscopy. Methods: After
obtaining approval from the Human Research Ethics Committee and written informed
consent from patients, we enrolled 120 patients (American Society of
Anesthesiologists I-II, age 18-65 years) undergoing elective surgery that
required endotracheal intubation in this prospective randomised study. Patients
were divided into three groups (Macintosh, McGrath MAC X-Blade and GlideScope).
Results: Demographic and airway variables were similar in the groups. Cormack
Lehane grades were improved or unchanged on using cricoid pressure in Macintosh
and McGrath MAC X-Blade groups. However, laryngeal views worsened in 12 patients
(30%), remained unchanged in 26 patients (65%) and improved in 2 patients (5%) in
the GlideScope group (p<0.001). Insertion and intubation times for Macintosh and
McGrath MAC X-Blade video laryngoscopes were similar. Insertion times for
GlideScope and Macintosh video laryngoscopes were similar, but were longer than
those for the McGrath MAC X-Blade video laryngoscope (p=0.02). Tracheal
intubation took longer with the GlideScope video laryngoscope than with the other
devices (p<0.001 and p=0.003). Mean arterial pressures after insertion increased
significantly in Macintosh and GlideScope groups (p=0.004 and p=0.001,
respectively) compared with post-induction values. Heart rates increased after
insertion in all three groups compared with post-induction values (p<0.001). Need
for optimisation manoeuvres and postoperative minor complications were comparable
in all three groups. Conclusion: Although all three devices are useful for normal
or difficult intubation, cricoid pressure improved Cormack-Lehane grades of
Macintosh and McGrath MAC X-Blade video laryngoscopes but statistically
significantly worsened that of the GlideScope video laryngoscope.
PMID- 29359078
TI - Anaesthetic Management of a Child with a Massive Mediastinal Mass.
AB - Mediastinal masses are benign or malignant tumours that originate from the
thymus, thyroid, lung, lymphoid system, pleura, or pericardium. Cardiovascular
and respiratory symptoms may occur because of the compression of surrounding
tissues along with growing mass. In this study, we present the anaesthetic
management of a 6-month-old child having a massive anterior mediastinal mass that
had a compressing effect.
PMID- 29359077
TI - Assessment of Left Ventricular Dimensions by Transoesophageal Echocardiography in
Patients During Coronary Artery Bypass Surgery.
AB - Objective: Normative values of left ventricular (LV) end-diastolic area and
diameter (EDA and EDD) for intraoperative transoesophageal echocardiography (TEE)
have not been established. We aimed to define the ranges of LV EDA and EDD for
intraoperative TEE examinations in patients undergoing coronary artery bypass
graft (CABG) surgery. Methods: A MEDLINE search for studies reporting LV EDA and
EDD in CABG patients was performed. Individual-level dataset from 333
anaesthetised and mechanically ventilated patients with preserved LV function
(study population) were received from 8 studies. EDA and calculated EDD values in
the study population were compared with summary mean EDD values obtained by
transthoracic echocardiography (TTE) in 2 studies of 500 awake patients with
coronary artery disease (CAD). Further, the influence of prespecified factors on
EDD was evaluated through a multivariate regression model. Results: LV EDA and
EDD values measured by TEE in anaesthetised CABG patients were 16.7+/-4.7 cm2 and
4.6+/-0.6 cm, respectively. EDD values measured by TEE in anaesthetised patients
were 10% to 13% less those measured by TTE in 2 studies of awake patients
(p<0.001). Body surface area, age and fractional area change but not sex were
factors that affected LV EDD. Conclusion: LV EDD values measured by
intraoperative TEE in anaesthetised and mechanically ventilated CABG patients
were 10% to 13% less than those measured by TTE in awake CAD patients. This
finding indicates that independent normative values specific for intraoperative
TEE should be established for guiding intraoperative clinical decisions.
PMID- 29359079
TI - Anti-N-Methyl-D-Aspartate-Receptor Encephalitis in Young Females.
AB - Anti-N-methyl-D-aspartate (NMDA) receptor encephalitis is an immune-mediated
disease commonly associated with ovarian teratoma. Anti-NMDA-receptor
autoantibodies disrupt NMDA function leading to the development of psychosis,
seizures and autonomic dysfunction. The treatment includes underlying tumour
resection and immunosuppression. Slow recovery and unpredictable clinical course
makes intensive care management of these patients challenging. We report the
management of two young female patients with anti-NMDA-receptor encephalitis
associated with ovarian teratoma.
PMID- 29359080
TI - Food Choking in a Patient with Congenital Temporomandibular Joint Ankylosis.
PMID- 29359081
TI - A 61-Year-Old Caucasian Woman with Sarcoidosis.
PMID- 29359083
TI - Phase distribution analysis of tissues based on the off-axis digital holographic
hybrid reconstruction algorithm.
AB - Off-axis digital holography (DH) has great potential in histopathology for its
high efficiency and precision. Phase distribution, usually extracted by the
angular spectrum (AS) algorithm from a digital hologram, reflects important
structural information of biological tissues. However, the complex structure of
tissues introduces spectrum aliasing of the hologram, making the AS algorithm
hard to realize and accurate phase analysis difficult to conduct. Here, we
present a hybrid reconstruction algorithm, combining Fresnel reconstruction in
spatial domain with the AS algorithm in frequency domain, to solve aliasing by
spatial filtering. Through simulation, we demonstrate the feasibility and
superiority of the hybrid algorithm and verified the precision (10-3 rad) of the
hybrid algorithm with spectrum aliasing. We extract phase distributions from
normal urothelial and bladder cancer tissues by the hybrid algorithm and make
quantitative analysis through histogram and standard deviation. The result shows
the hybrid algorithm in off-axis DH has great advantage for the high-precision
phase extraction of tissues and supplies significant information for cancer
diagnosis.
PMID- 29359084
TI - Label-free monitoring of cell death induced by oxidative stress in living human
cells using terahertz ATR spectroscopy.
AB - We demonstrated that attenuated total reflectance terahertz time-domain
spectroscopy (ATR THz-TDS) is able to monitor oxidative stress response of living
human cells, which is proven in this work that it is an efficient non-invasive,
label-free, real-time and in situ monitoring of cell death. Furthermore, the
dielectric constant and dielectric loss of cultured living human breast
epithelial cells, and along with their evolution under oxidative stress response
induced by high concentration of H2O2, were quantitatively determined in the
work. Our observation and results were finally confirmed using standard
fluorescence-labeled flow cytometry measurements and visible fluorescence
imaging.
PMID- 29359085
TI - Volumetric fluorescence retinal imaging in vivo over a 30-degree field of view by
oblique scanning laser ophthalmoscopy (oSLO).
AB - While fluorescent contrast is widely used in ophthalmology, three-dimensional
(3D) fluorescence retinal imaging over a large field of view (FOV) has been
challenging. In this paper, we describe a novel oblique scanning laser
ophthalmoscopy (oSLO) technique that provides 3D volumetric fluorescence retinal
imaging with only one raster scan. The technique utilizes scanned oblique
illumination and angled detection to obtain fluorescent cross-sectional images,
analogous to optical coherence tomography (OCT) line scans (or B-scans). By
breaking the coaxial optical alignment used in conventional retinal imaging
modalities, depth resolution is drastically improved. To demonstrate the
capability of oSLO, we have performed in vivo volumetric fluorescein angiography
(FA) of the rat retina with ~25MUm depth resolution and over a 30 degrees FOV.
Using depth segmentation, oSLO can obtain high contrast images of the
microvasculature down to single capillaries in 3D. The multi-modal nature of oSLO
also allows for seamless combination with simultaneous OCT angiography.
PMID- 29359086
TI - Time-resolved near infrared light propagation using frequency domain
superposition.
AB - Time-resolved temporal point spread function (TPSF) measurement of near infrared
spectroscopic (NIRS) data allows the estimation of absorption and reduced
scattering properties of biological tissues. Such analysis requires an iterative
calculation of the theoretical TPSF curve using mathematical and computational
models of the domain being imaged which are computationally complex and
expensive. In this work, an efficient methodology for representing the TPSF data
using a superposition of cosines calculated in frequency domain is presented. The
proposed method is outlined and tested on finite element realistic models of the
human neck and head. Using an adult head model containing ~140k nodes, the TPSF
calculation at each node for one source is accelerated from 3.11 s to 1.29 s
within an error limit of +/- 5% related to the time domain calculation method.
PMID- 29359087
TI - Correction of an adding-doubling inversion algorithm for the measurement of the
optical parameters of turbid media.
AB - We present broadband measurements of the optical properties of tissue-mimicking
solid phantoms using a single integrating sphere to measure the hemispherical
reflectance and transmittance under a direct illumination at the normal incident
angle. These measurements are traceable to reflectance and transmittance scales.
An inversion routine using the output of the adding-doubling algorithm restricted
to the reflectance and transmittance under a direct illumination was developed to
produce the optical parameters of the sample along with an uncertainty budget at
each wavelength. The results for two types of phantoms are compared to
measurements by time-resolved approaches. The results between our method and
these independent measurements agree within the estimated measurement
uncertainties.
PMID- 29359088
TI - Quantitative observations on cytoskeleton changes of osteocytes at different cell
parts using digital holographic microscopy.
AB - Cytoskeletons such as F-actin have different distributions in different cell
parts and they are the cause of different degrees of cell collapse when the F
actin is disrupted. It is challenging to use conventional methods such as
fluorescence microscopy and atomic force microscopy to conduct real-time and
three-dimensional observations on the dynamic processes at different cell parts
due to the slow measuring speed and the need for live-cell staining. In this
study, the morphological variations of different bone cell parts caused by F
actin disruption are dynamically measured by using digital holographic microscopy
(DHM). We separately analyze local parameters (cell height and cell width) and
global parameters (cell projected area and cell volume) of cells to address
variations of specific cell areas and quantify the changing process of the whole
cell. We found significant differences in temporal variations of both local and
global cell parameters between the cell body and cell process, which is
consistent with the qualitative observation by fluorescence staining. Our study
not only validates the unique ability of DHM to simultaneously investigate the
dynamic process at different cell parts, but also provides sufficient
experimental bases for exploring the mechanism for F-actin disruption.
PMID- 29359089
TI - Comparison of tissue oximeters on a liquid phantom with adjustable optical
properties: an extension.
AB - Cerebral near-infrared spectroscopy (NIRS) oximetry may help clinicians to
improve patient treatment. However, the application of NIRS oximeters is
increasingly causing confusion to the users due to the inconsistency of tissue
oxygen haemoglobin saturation (StO2) readings provided by different oximeters. To
establish a comparability of oximeters, in our study we performed simultaneous
measurements on the liquid phantom mimicking properties of neonatal heads and
compared the tested device to a reference NIRS oximeter (OxiplexTS). We evaluated
the NIRS oximeters FORE-SIGHT, NIRO and SenSmart, and reproduced previous results
with the INVOS and OxyPrem v1.3 oximeters. In general, linear relationships of
the StO2 values with respect to the reference were obtained. Device specific
hypoxic and hyperoxic thresholds (as used in the SafeBoosC study,
www.safeboosc.eu) and a table allowing for conversion of StO2 values are
provided.
PMID- 29359090
TI - Camera-based pulse-oximetry - validated risks and opportunities from theoretical
analysis.
AB - Camera-based pulse-oximetry has recently shown to be feasible, even when the
signal is corrupted by noise and motion artifacts. Earlier work showed that using
three instead of the common two wavelengths improves robustness of the
measurement, however without a thorough investigation on the optimal wavelength
selection. We therefore performed a search to identify these wavelengths to
further improve the robustness of the measurement. Besides motion, it is
empirically known that there are several other factors that influence the
measurement leading to falsely-low or falsely-high SpO2 readings. These factors
include the presence of dyshemoglobins or other species. In this paper, we use a
theoretical skin-model to study how these factors influence the measurement, and
how a proper wavelength selection can reduce the impact on the measurement.
Additionally, we show that adding a third wavelength does not only improve
robustness, but can also be exploited to create a reliability index for the
measurement. Finally, we show that the presence of dyshemoglobins in arterial
blood can not only be detected but also quantified. We illustrate this by
comparing the estimated COHb levels of a small group of smokers and non-smokers,
which typically have different CO-levels.
PMID- 29359091
TI - High-resolution retinal swept source optical coherence tomography with an ultra
wideband Fourier-domain mode-locked laser at MHz A-scan rates.
AB - We present a new 1060 nm Fourier domain mode locked laser (FDML laser) with a
record 143 nm sweep bandwidth at 2? 417 kHz = 834 kHz and 120 nm at 1.67 MHz,
respectively. We show that not only the bandwidth alone, but also the shape of
the spectrum is critical for the resulting axial resolution, because of the
specific wavelength-dependent absorption of the vitreous. The theoretical limit
of our setup lies at 5.9 um axial resolution. In vivo MHz-OCT imaging of human
retina is performed and the image quality is compared to the previous results
acquired with 70 nm sweep range, as well as to existing spectral domain OCT data
with 2.1 um axial resolution from literature. We identify benefits of the higher
resolution, for example the improved visualization of small blood vessels in the
retina besides several others.
PMID- 29359092
TI - Concurrent measurement of skeletal muscle blood flow during exercise with diffuse
correlation spectroscopy and Doppler ultrasound.
AB - Noninvasive, direct measurement of local muscle blood flow in humans remains
limited. Diffuse correlation spectroscopy (DCS) is an emerging technique to
measure regional blood flow at the microvascular level. In order to better
understand the strengths and limitations of this novel technique, we performed a
validation study by comparing muscle blood flow changes measured with DCS and
Doppler ultrasound during exercise. Nine subjects were measured (all males, 27.4
+/- 2.9 years of age) for a rhythmic handgrip exercise at 20% and 50% of
individual maximum voluntary contraction (MVC), followed by a post-exercise
recovery. The results from DCS and Doppler ultrasound were highly correlated (R =
0.99 +/- 0.02). DCS was more reliable and less susceptible to motion artifact.
PMID- 29359093
TI - Towards two-photon excited endogenous fluorescence lifetime imaging
microendoscopy.
AB - In situ fluorescence lifetime imaging microscopy (FLIM) in an endoscopic
configuration of the endogenous biomarker nicotinamide adenine dinucleotide
(NADH) has a great potential for malignant tissue diagnosis. Moreover, two-photon
nonlinear excitation provides intrinsic optical sectioning along with enhanced
imaging depth. We demonstrate, for the first time to our knowledge, nonlinear
endogenous FLIM in a fibered microscope with proximal detection, applied to NADH
in cultured cells, as a first step to a nonlinear endomicroscope, using a double
clad microstructured fiber with convenient fiber length (> 3 m) and excitation
pulse duration (~50 fs). Fluorescence photons are collected by the fiber inner
cladding and we show that its contribution to the impulse response function
(IRF), which originates from its intermodal and chromatic dispersions, is small
(< 600 ps) and stable for lengths up to 8 m and allows for short lifetime
measurements. We use the phasor representation as a quick visualization tool
adapted to the endoscopy speed requirements.
PMID- 29359094
TI - Ultra-high contrast retinal display system for single photoreceptor
psychophysics.
AB - Due to the enormous dynamic range of human photoreceptors in response to light,
studying their visual function in the intact retina challenges the stimulation
hardware, specifically with regard to the displayable luminance contrast. The
adaptive optics scanning laser ophthalmoscope (AOSLO) is an optical platform that
focuses light to extremely small retinal extents, approaching the size of single
photoreceptor cells. However, the current light modulation techniques produce
spurious visible backgrounds which fundamentally limit experimental options. To
remove unwanted background light and to improve contrast for high dynamic range
visual stimulation in an AOSLO, we cascaded two commercial fiber-coupled acousto
optic modulators (AOMs) and measured their combined optical contrast. By
compensating for zero-point differences in the individual AOMs, we demonstrate a
multiplicative extinction ratio in the cascade that was in accordance with the
extinction ratios of both single AOMs. When latency differences in the AOM
response functions were individually corrected, single switch events as short as
50 ns with radiant power contrasts up to 1:1010 were achieved. This is the
highest visual contrast reported for any display system so far. We show
psychophysically that this contrast ratio is sufficient to stimulate single
foveal photoreceptor cells with small and bright enough visible targets that do
not contain a detectable background. Background-free stimulation will enable
photoreceptor testing with custom adaptation lights. Furthermore, a larger
dynamic range in displayable light levels can drive photoreceptor responses in
cones as well as in rods.
PMID- 29359095
TI - Quantization of collagen organization in the stroma with a new order coefficient.
AB - Many optical and biomechanical properties of the cornea, specifically the
transparency of the stroma and its stiffness, can be traced to the degree of
order and direction of the constituent collagen fibers. To measure the degree of
order inside the cornea, a new metric, the order coefficient, was introduced to
quantify the organization of the collagen fibers from images of the stroma
produced with a custom-developed second harmonic generation microscope. The order
coefficient method gave a quantitative assessment of the differences in stromal
collagen arrangement across the cornea depths and between untreated stroma and
cross-linked stroma.
PMID- 29359096
TI - Development of a real-time and quantitative thrombus sensor for an extracorporeal
centrifugal blood pump by near-infrared light.
AB - We developed an optical thrombus sensor for a monopivot extracorporeal
centrifugal blood pump. In this study, we investigated its quantitative
performance for thrombus detection in acute animal experiments of left
ventricular assist using the pump on pathogen-free pigs. Optical fibers were set
in the driver unit of the pump. The incident light at the near-infrared
wavelength of 810 nm was aimed at the pivot bearing, and the resulting scattered
light was guided to the optical fibers. The detected signal was analyzed to
obtain the thrombus formation level. As a result, real-time and quantitative
monitoring of the thrombus surface area on the pivot bearing was achieved with an
accuracy of 3.6 +/- 2.3 mm2. In addition, the sensing method using the near
infrared light was not influenced by changes in the oxygen saturation and the
hematocrit. It is expected that the developed sensor will be useful for optimal
anticoagulation management for long-term extracorporeal circulation therapies.
PMID- 29359097
TI - High-resolution imaging in two-photon excitation microscopy using in situ
estimations of the point spread function.
AB - We present a technique for improving the spatial resolution of two-photon
excitation microscopy; our technique combines annular illumination with an in
situ estimation of the point spread function (PSF) used for deconvolution. For
the in situ estimation of the PSF, we developed a technique called
autocorrelation scanning, in which a sample is imaged by the scanning of two
excitation foci that are overlapped over various distances. The image series
obtained with the variation of the distance between the two foci provides the
autocorrelation function of the PSF, which can be used to estimate the PSF at
specific positions within a sample. We proved the principle and the effectiveness
of this technique through observations of a fluorescent biological sample, and we
confirmed that the improvement in the spatial resolution was ~1.7 times that of
typical two-photon excitation microscopy by observing a mouse brain phantom at a
depth of 200 um.
PMID- 29359098
TI - Label-free imaging of atherosclerotic plaques using third-harmonic generation
microscopy.
AB - Multiphoton microscopy using laser sources in the mid-infrared range (MIR, 1,300
nm and 1,700 nm) was used to image atherosclerotic plaques from murine and human
samples. Third harmonic generation (THG) from atherosclerotic plaques revealed
morphological details of cellular and extracellular lipid deposits. Simultaneous
nonlinear optical signals from the same laser source, including second harmonic
generation and endogenous fluorescence, resulted in label-free images of various
layers within the diseased vessel wall. The THG signal adds an endogenous
contrast mechanism with a practical degree of specificity for atherosclerotic
plaques that complements current nonlinear optical methods for the investigation
of cardiovascular disease. Our use of whole-mount tissue and backward scattered
epi-detection suggests THG could potentially be used in the future as a clinical
tool.
PMID- 29359099
TI - Simultaneous acquisition of neuronal morphology and cytoarchitecture in the same
Golgi-stained brain.
AB - Acquiring an accurate orientation reference is a prerequisite for precisely
analysing the morphological features of Golgi-stained neurons in the whole brain.
However, the same reflective imaging contrast of Golgi staining for morphology
and Nissl staining for cytoarchitecture leads to the failure of distinguishing
soma morphology and simultaneously co-locate cytoarchitecture. Here, we developed
the dual-mode micro-optical sectioning tomography (dMOST) method to
simultaneously image the reflective and fluorescent signals in three dimensions.
We evaluated the feasibility of real-time fluorescent counterstaining on Golgi
stained brain tissue. With our system, we acquired whole-brain data sets of
physiological and pathological Golgi-stained mouse model brains with fluorescence
labelled anatomical annotation at single-neuron resolution. We also obtained the
neuronal morphology of macaque monkey brain tissue using this method. The results
show that real-time acquisition of the co-located cytoarchitecture reference in
the same brain greatly facilitates the precise morphological analysis of Golgi
stained neurons.
PMID- 29359100
TI - Fast epi-detected broadband multiplex CARS and SHG imaging of mouse skull cells.
AB - We present a bimodal imaging system able to obtain epi-detected mutiplex coherent
anti-Stokes Raman scattering (M-CARS) and second harmonic generation (SHG)
signals coming from biological samples. We studied a fragment of mouse parietal
bone and could detect broadband anti-Stokes and SHG responses originating from
bone cells and collagen respectively. In addition we compared two post-processing
methods to retrieve the imaginary part of the third-order nonlinear
susceptibility related to the spontaneous Raman scattering.
PMID- 29359101
TI - Two-photon deep-tissue spatially resolved mitochondrial imaging using membrane
potential fluorescence fluctuations.
AB - Cell metabolism and viability are directly reflected in their mitochondria.
Imaging-based analysis of mitochondrial morphological structure, size and dynamic
characteristics can therefore provide critical insight into cell function.
However, mitochondria are often very abundant, and due to their close to
diffraction-limit size, it is often non-trivial to distinguish a tubular or large
mitochondrion from an ensemble of punctate mitochondria. In this paper, we use
membrane potential dependent fluorescence fluctuations of individual mitochondria
to resolve them using an approach similar to single molecule localization
microscopy. We use 2-photon microscopy to image mitochondrial intensity
fluctuations at 200 MUm deep inside an intact in-vivo mouse soleus muscle. By
analyzing the acquired images, we can reconstruct images with an extra layer of
information about individual mitochondria, separated from their ensemble. Our
analysis shows a factor of 14 improvement in detection of mitochondria.
PMID- 29359102
TI - 13-fold resolution gain through turbid layer via translated unknown speckle
illumination.
AB - Fluorescence imaging through a turbid layer holds great promise for various
biophotonics applications. Conventional wavefront shaping techniques aim to
create and scan a focus spot through the turbid layer. Finding the correct input
wavefront without direct access to the target plane remains a critical challenge.
In this paper, we explore a new strategy for imaging through turbid layer with a
large field of view. In our setup, a fluorescence sample is sandwiched between
two turbid layers. Instead of generating one focus spot via wavefront shaping, we
use an unshaped beam to illuminate the turbid layer and generate an unknown
speckle pattern at the target plane over a wide field of view. By tilting the
input wavefront, we raster scan the unknown speckle pattern via the memory effect
and capture the corresponding low-resolution fluorescence images through the
turbid layer. Different from the wavefront-shaping-based single-spot scanning,
the proposed approach employs many spots (i.e., speckles) in parallel for
extending the field of view. Based on all captured images, we jointly recover the
fluorescence object, the unknown optical transfer function of the turbid layer,
the translated step size, and the unknown speckle pattern. Without direct access
to the object plane or knowledge of the turbid layer, we demonstrate a 13-fold
resolution gain through the turbid layer using the reported strategy. We also
demonstrate the use of this technique to improve the resolution of a low
numerical aperture objective lens allowing to obtain both large field of view and
high resolution at the same time. The reported method provides insight for
developing new fluorescence imaging platforms and may find applications in deep
tissue imaging.
PMID- 29359103
TI - Multispectral photoacoustic microscopy of lipids using a pulsed supercontinuum
laser.
AB - We demonstrate optical resolution photoacoustic microscopy (OR-PAM) of lipid-rich
tissue between 1050-1714 nm using a pulsed supercontinuum laser based on a large
mode-area photonic crystal fiber. OR-PAM experiments of lipid-rich samples show
the expected optical absorption peaks near 1210 and 1720 nm. These results show
that pulsed supercontinuum lasers are promising for OR-PAM applications such as
label-free histology of lipid-rich tissue and imaging small animal models of
disease.
PMID- 29359104
TI - Noninvasive glucose monitoring using mid-infrared absorption spectroscopy based
on a few wavenumbers.
AB - A method for performing noninvasive blood glucose measurements was developed. The
method is based on mid-infrared absorption spectroscopy and uses only a few
wavenumbers to measure blood glucose levels in vivo unconditionally. We found
that the regression of blood glucose levels using only three wavenumbers, which
were selected using a series cross-validation technique, realized accuracies
comparable to those of cases in which a greater number of wavenumbers are used.
In addition, we demonstrated the performance of this model through correlations
among different types of data.
PMID- 29359105
TI - Visualization of barriers and obstacles to molecular diffusion in live cells by
spatial pair-cross-correlation in two dimensions.
AB - Despite recent advances in optical super-resolution, we lack a method that can
visualize the path followed by diffusing molecules in the cytoplasm or in the
nucleus of cells. Fluorescence correlation spectroscopy (FCS) provides molecular
dynamics at the single molecule level by averaging the behavior of many molecules
over time at a single spot, thus achieving very good statistics but at only one
point in the cell. Earlier image-based methods including raster-scan and
spatiotemporal image correlation need spatial averaging over relatively large
areas, thus compromising spatial resolution. Here, we use spatial pair-cross
correlation in two dimensions (2D-pCF) to obtain relatively high resolution
images of molecular diffusion dynamics and transport in live cells. The 2D-pCF
method measures the time for a particle to go from one location to another by
cross-correlating the intensity fluctuations at specific points in an image.
Hence, a visual map of the average path followed by molecules is created.
PMID- 29359106
TI - Compact, multi-exposure speckle contrast optical spectroscopy (SCOS) device for
measuring deep tissue blood flow.
AB - Speckle contrast optical spectroscopy (SCOS) measures absolute blood flow in deep
tissue, by taking advantage of multi-distance (previously reported in the
literature) or multi-exposure (reported here) approach. This method promises to
use inexpensive detectors to obtain good signal-to-noise ratio, but it has not
yet been implemented in a suitable manner for a mass production. Here we present
a new, compact, low power consumption, 32 by 2 single photon avalanche diode
(SPAD) array that has no readout noise, low dead time and has high sensitivity in
low light conditions, such as in vivo measurements. To demonstrate the capability
to measure blood flow in deep tissue, healthy volunteers were measured, showing
no significant differences from the diffuse correlation spectroscopy. In the
future, this array can be miniaturized to a low-cost, robust, battery operated
wireless device paving the way for measuring blood flow in a wide-range of
applications from sport injury recovery and training to, on-field concussion
detection to wearables.
PMID- 29359107
TI - FIMic: design for ultimate 3D-integral microscopy of in-vivo biological samples.
AB - In this work, Fourier integral microscope (FIMic), an ultimate design of 3D
integral microscopy, is presented. By placing a multiplexing microlens array at
the aperture stop of the microscope objective of the host microscope, FIMic shows
extended depth of field and enhanced lateral resolution in comparison with
regular integral microscopy. As FIMic directly produces a set of orthographic
views of the 3D-micrometer-sized sample, it is suitable for real-time imaging.
Following regular integral-imaging reconstruction algorithms, a 2.75-fold
enhanced depth of field and [Formula: see text]-time better spatial resolution in
comparison with conventional integral microscopy is reported. Our claims are
supported by theoretical analysis and experimental images of a resolution test
target, cotton fibers, and in-vivo 3D-imaging of biological specimens.
PMID- 29359108
TI - Dioptric defocus maps across the visual field for different indoor environments.
AB - One of the factors proposed to regulate the eye growth is the error signal
derived from the defocus in the retina and actually, this might arise from
defocus not only in the fovea but the whole visual field. Therefore, myopia could
be better predicted by spatio-temporally mapping the 'environmental defocus' over
the visual field. At present, no devices are available that could provide this
information. A 'Kinect sensor v1' camera (Microsoft Corp.) and a portable eye
tracker were used for developing a system for quantifying 'indoor defocus error
signals' across the central 58 degrees of the visual field. Dioptric differences
relative to the fovea (assumed to be in focus) were recorded over the visual
field and 'defocus maps' were generated for various scenes and tasks.
PMID- 29359110
TI - The Interrelationship between Refractive Error, Blood Vessel Anatomy, and
Glaucomatous Visual Field Loss.
AB - Purpose: We quantified the interrelationship between retinal blood vessel (BV)
anatomical variation, spherical equivalent (SE) of refractive error, and
functional diagnostic parameters in glaucoma to identify optimal parameters for
the improvement of optical coherence tomography (OCT) retinal nerve fiber layer
thickness (RNFLT) norms. Methods: A trained observer marked the intersections of
the main superior/inferior temporal arteries and veins with concentric circles
around the optic nerve head (ONH) center on fundus images. The interrelationship
of BV, SE, and visual field global parameters was analyzed by multivariate
regression and model comparison. Results: A total of 445 eyes of 445 patients in
a large glaucoma practice were selected. Of all investigated BV parameters,
interartery angles (IAA) between superior and inferior arteries at a radius of
1.73 mm around the ONH center demonstrated the strongest relationship to SE
(Bayesian information criterion difference to null model, 11.9). SE and BV
parameters are unrelated to functional parameters, including mean deviation (MD),
pattern standard deviation, and glaucoma hemifield test results. Conclusions: BV
locations outside the ONH are sufficiently stable over glaucoma severity to
represent individual eye anatomy, and the IAA at 1.73 mm eccentricity is the
optimal parameter to be considered for novel OCT RNFLT norms. Translational
Relevance: Among a large set of BV location parameters, considering IAA may
improve RNFLT norms optimally and thereby increase the accuracy of clinical
glaucoma diagnosis.
PMID- 29359109
TI - Proteome and Metabolome of Subretinal Fluid in Central Serous Chorioretinopathy
and Rhegmatogenous Retinal Detachment: A Pilot Case Study.
AB - Purpose: To investigate the molecular composition of subretinal fluid (SRF) in
central serous chorioretinopathy (CSCR) and rhegmatogenous retinal detachment
(RRD) using proteomics and metabolomics. Methods: SRF was obtained from one
patient with severe nonresolving bullous CSCR requiring surgical subretinal
fibrin removal, and two patients with long-standing RRD. Proteins were trypsin
digested, labeled with Tandem-Mass-Tag and fractionated according to their
isoelectric point for identification and quantification by tandem mass
spectrometry. Independently, metabolites were extracted on cold methanol/ethanol,
and identified by untargeted ultra-high performance liquid chromatography and
high-resolution mass spectrometry. Bioinformatics analyses were conducted.
Results: In total, 291 proteins and 651 metabolites were identified in SRF
samples. Compared with RRD, 128 proteins (77 downregulated; 51 upregulated) and
76 metabolites (43 downregulated; 33 upregulated) differed in the SRF from CSCR.
Protein and metabolites notably deregulated in CSCR were related to
glycolysis/gluconeogenesis, inflammation (including serum amyloid P component,
versican), alternative complement pathway (complement factor H and complement
factor H-related protein), cellular adhesion, biliary acid metabolism (farnesoid
X receptor/retinoid X receptor), and gluco- and mineralocorticoid systems
(aldosterone, angiotensin, and corticosteroid-binding globulin). Conclusions:
Proteomics and metabolomics can be performed on SRF. A unique SRF sample from
CSCR exhibited a distinct molecular profile compared with RRD. Translational
Relevance: This first comparative multiomics analysis of SRF improved the
understanding of CSCR and RRD pathophysiology. It identified pathways potentially
involved in the better photoreceptor preservation in CSCR, suggesting
neuroprotective targets that will require additional confirmation.
PMID- 29359111
TI - Driving With Hemianopia VI: Peripheral Prisms and Perceptual-Motor Training
Improve Detection in a Driving Simulator.
AB - Purpose: Drivers with homonymous hemianopia (HH) were previously found to have
impaired detection of blind-side hazards, yet in many jurisdictions they may
obtain a license. We evaluated whether oblique 57Delta peripheral prisms (p
prisms) and perceptual-motor training improved blind-side detection rates.
Methods: Patients with HH (n = 11) wore p-prisms for 2 weeks and then received
perceptual-motor training (six visits) detecting and touching stimuli in the
prism-expanded vision. In a driving simulator, patients drove and pressed the
horn upon detection of pedestrians who ran toward the roadway (26 from each
side): (1) without p-prisms at baseline; (2) with p-prisms after 2 weeks
acclimation but before training; (3) with p-prisms after training; and (4) 3
months later. Results: P-prisms improved blind-side detection from 42% to 56%,
which further improved after training to 72% (all P < 0.001). Blind-side timely
responses (adequate time to have stopped) improved from 31% without to 44% with p
prisms (P < 0.001) and further improved with training to 55% (P = 0.02). At the 3
month follow-up, improvements from training were maintained for detection (65%; P
= 0.02) but not timely responses (P = 0.725). There was wide between-subject
variability in baseline detection performance and response to p-prisms. There
were no negative effects of p-prisms on vehicle control or seeing-side
performance. Conclusions: P-prisms improved detection with no negative effects,
and training may provide additional benefit. Translational Relevance: In
jurisdictions where people with HH are legally driving, these data aid in
clinical decision making by providing evidence that p-prisms improve performance
without negative effects.
PMID- 29359112
TI - Renal tumor with pancreatic metastasis: About a case report.
PMID- 29359113
TI - Unilateral proptosis as initial presenting sign of prostate cancer in a 45-year
old man.
AB - We describe the case of 45-year-old man presented with a 3-month history of
progressive unilateral proptosis, voiding symptoms, and a 20-pound weight loss.
Imaging showed a large brain mass as well as significant extra cranial
involvement. He was diagnosed with metastatic prostatic adenocarcinoma and
underwent craniotomy, androgen deprivation therapy, and chemotherapy.
PMID- 29359114
TI - Metastasis of Ta low-grade bladder cancer into the lung and paraneoplastic
syndrome without local invasion: A case report and literature review.
PMID- 29359115
TI - Painless vascular leiomyoma found after incision of the vaginal wall: A case
report.
PMID- 29359116
TI - Xanthogranuloma of the epididymis.
PMID- 29359119
TI - Awareness, self-management behaviors, health literacy and kidney function
relationships in specialty practice.
AB - AIM: To determine the relationship between chronic kidney disease (CKD) awareness
(CKD-A), self-management behaviors (CKD-SMB) knowledge, performance of CKD-SMBs,
health literacy (HL) and kidney function. METHODS: Participants were eligible
patients attending an outpatient nephrology clinic. Participants were
administered: Newest Vital Sign to measure HL, CKD self-management knowledge tool
(CKD-SMKT) to assess knowledge, past performance of CKD-SMB, CKD-A. Estimated GFR
(eGFR) was determined using the MDRD-4 equation. Duration of clinic participation
and CKD cause were extracted from medical charts. RESULTS: One-hundred-fifty
patients participated in the study. eGFRs ranged from 17-152 mL/min per 1.73 m2.
Majority (83%) of respondents had stage 3 or 4 CKD, low HL (63%), and were CKD
aware (88%). Approximately 40% (10/25) of patients in stages 1 and 2 and 6.4%
(8/125) in stages 3 and 4 were unaware of their CKD. CKD-A differed with stage (P
< 0.001) but not by HL level, duration of clinic participation, or CKD cause.
Majority of respondents (>= 90%) correctly answered one or more CKD-SMKT items.
Knowledge of one behavior, "controlling blood pressure" differed significantly by
CKD-A. CKD-A was associated with past performance of two CKD-SMBs, "controlling
blood pressure" (P = 0.02), and "keeping healthy body weight" (P = 0.01).
Adjusted multivariate analyses between CKD-A and: (1) HL; and (2) CKD-SMB
knowledge were non-significant. However, there was a significant relationship
between CKD-A and kidney function after controlling for demographics, HL, and CKD
SMB (P < 0.05). CONCLUSION: CKD-A is not associated with HL, or better CKD-SMBs.
CKD-A is significantly associated with kidney function and substantially lower
eGFR, suggesting the need for focused patient education in CKD stages 1.
PMID- 29359118
TI - Immunoglobulin G4-related kidney diseases: An updated review.
AB - This review will encompass definition, pathogenesis, renal clinical
manifestations and treatment of immunoglobulin G4-related diseases (IgG4-RDs).
IgG4-RD is a recently recognized clinical entity that often involves multiple
organs and is characterized by high levels of serum immunoglobulins G4, dense
infiltration of IgG4+ cells and storiform fibrosis. Cellular immunity,
particularly T-cell mediated immunity, has been implicated in the pathogenesis of
IgG4-RDs. The most frequent renal manifestations of IgG4-RD are IgG4-related
tubulointerstitial nephritis, membranous glomerulopathy and obstructive
nephropathy secondary to urinary tract obstruction due to IgG4-related
retroperitoneal fibrosis. IgG4-RD diagnosis should be based on specific
histopathological findings, confirmed by tissue immunostaining, typical
radiological findings and an appropriate clinical context. The first line
treatment is the steroids with two warnings: Steroid resistance and relapse after
discontinuation. In the case of steroid resistance, B cell depleting agents as
rituximab represent the second-line treatment. In the case of relapse after
discontinuation, steroid treatment may be associated with steroid sparing agents.
Since the disease has been only recently identified, more prospective, long-term
studies are needed to an improved understanding and a more correct and safe
treatment.
PMID- 29359120
TI - Synchronous Supraglottic and Esophageal Squamous Cell Carcinomas Treated with a
Monoisocentric Hybrid Intensity-Modulated Radiation Technique.
AB - Risk factors for squamous cell carcinomas (SCCs) of the head and neck (HN) and
esophagus are similar. As such, synchronous primary tumors in these areas are not
entirely uncommon. Definitive chemoradiation (CRT) is standard care for locally
advanced HNSCC and is a preferred option for inoperable esophageal SCC.
Simultaneous treatment of both primaries with CRT can present technical
challenges. We report a case of synchronous supraglottic and esophageal SCC
primary tumors, highlighting treatment with a monoisocentric hybrid radiation
technique and normal tissue toxicity considerations.
PMID- 29359121
TI - Radiobiological Optimization in Lung Stereotactic Body Radiation Therapy: Are We
Ready to Apply Radiobiological Models?
AB - Lung tumors are often associated with a poor prognosis although different
schedules and treatment modalities have been extensively tested in the clinical
practice. The complexity of this disease and the use of combined therapeutic
approaches have been investigated and the use of high dose-rates is emerging as
effective strategy. Technological improvements of clinical linear accelerators
allow combining high dose-rate and a more conformal dose delivery with accurate
imaging modalities pre- and during therapy. This paper aims at reporting the
state of the art and future direction in the use of radiobiological models and
radiobiological-based optimizations in the clinical practice for the treatment of
lung cancer. To address this issue, a search was carried out on PubMed database
to identify potential papers reporting tumor control probability and normal
tissue complication probability for lung tumors. Full articles were retrieved
when the abstract was considered relevant, and only papers published in English
language were considered. The bibliographies of retrieved papers were also
searched and relevant articles included. At the state of the art, dose-response
relationships have been reported in literature for local tumor control and
survival in stage III non-small cell lung cancer. Due to the lack of published
radiobiological models for SBRT, several authors used dose constraints and models
derived for conventional fractionation schemes. Recently, several radiobiological
models and parameters for SBRT have been published and could be used in
prospective trials although external validations are recommended to improve the
robustness of model predictive capability. Moreover, radiobiological-based
functions have been used within treatment planning systems for plan optimization
but the advantages of using this strategy in the clinical practice are still
under discussion. Future research should be directed toward combined regimens, in
order to potentially improve both local tumor control and survival. Indeed,
accurate knowledge of the relevant parameters describing tumor biology and normal
tissue response is mandatory to correctly address this issue. In this context,
the role of medical physicists and the AAPM in the development of radiobiological
models is crucial for the progress of developing specific tool for
radiobiological-based optimization treatment planning.
PMID- 29359117
TI - Fluid balance concepts in medicine: Principles and practice.
AB - The regulation of body fluid balance is a key concern in health and disease and
comprises three concepts. The first concept pertains to the relationship between
total body water (TBW) and total effective solute and is expressed in terms of
the tonicity of the body fluids. Disturbances in tonicity are the main factor
responsible for changes in cell volume, which can critically affect brain cell
function and survival. Solutes distributed almost exclusively in the
extracellular compartment (mainly sodium salts) and in the intracellular
compartment (mainly potassium salts) contribute to tonicity, while solutes
distributed in TBW have no effect on tonicity. The second body fluid balance
concept relates to the regulation and measurement of abnormalities of sodium salt
balance and extracellular volume. Estimation of extracellular volume is more
complex and error prone than measurement of TBW. A key function of extracellular
volume, which is defined as the effective arterial blood volume (EABV), is to
ensure adequate perfusion of cells and organs. Other factors, including cardiac
output, total and regional capacity of both arteries and veins, Starling forces
in the capillaries, and gravity also affect the EABV. Collectively, these factors
interact closely with extracellular volume and some of them undergo substantial
changes in certain acute and chronic severe illnesses. Their changes result not
only in extracellular volume expansion, but in the need for a larger
extracellular volume compared with that of healthy individuals. Assessing
extracellular volume in severe illness is challenging because the estimates of
this volume by commonly used methods are prone to large errors in many illnesses.
In addition, the optimal extracellular volume may vary from illness to illness,
is only partially based on volume measurements by traditional methods, and has
not been determined for each illness. Further research is needed to determine
optimal extracellular volume levels in several illnesses. For these reasons,
extracellular volume in severe illness merits a separate third concept of body
fluid balance.
PMID- 29359122
TI - Alpha Thalassemia/Mental Retardation Syndrome X-Linked, the Alternative
Lengthening of Telomere Phenotype, and Gliomagenesis: Current Understandings and
Future Potential.
AB - Gliomas are the most common primary malignant brain tumor in humans. Lower grade
gliomas are usually less aggressive but many cases eventually progress to a more
aggressive secondary glioblastoma (GBM, WHO Grade IV), which has a universally
fatal prognosis despite maximal surgical resection and concurrent chemo
radiation. With the identification of molecular markers, however, there is
promise for improving diagnostic and therapeutic strategies. One of the key
molecular alterations in gliomas is the alpha thalassemia/mental retardation
syndrome X-linked (ATRX) gene, which is frequently mutated. One-third of
pediatric GBM cases are also found to have the ATRX mutation and the genetic
signatures are different from adult cases. The exact role of ATRX mutations in
gliomagenesis, however, is unclear. In this review, we describe the normal
cellular function of the ATRX gene product followed by consequences of its
dysfunction. Furthermore, its possible association with the alternative
lengthening of telomeres (ALT) phenotype is outlined. Lastly, therapeutic options
potentiated through a better understanding of ATRX and the ALT phenotype are
explored.
PMID- 29359123
TI - Plasma Lipidomic Signature of Rectal Adenocarcinoma Reveals Potential Biomarkers.
AB - Background: Rectal adenocarcinoma (RAC) is a common malignant tumor of the
digestive tract and survival is highly dependent upon stage of disease at
diagnosis. Lipidomic strategy can be used to identify potential biomarkers for
establishing early diagnosis or therapeutic programs for RAC. Objective: To
evaluate the lipoperoxidation biomarkers and lipidomic signature in the plasma of
patients with RAC (n = 23) and healthy controls (n = 18). Methods:
Lipoperoxidation was evaluated based on malondialdehyde (MDA) and F2-isoprostane
levels and the lipidomic profile obtained by gas chromatography and high
resolution mass spectrometry (ESI-q-TOF) associated with a multivariate
statistical technique. Results: The most abundant ions identified in the RAC
patients were those of protonated phosphatidylcholine and
phosphatidylethanolamine. It was found that a lisophosphatidylcholine (LPC)
plasmalogen containing palmitoleic acid [LPC (P-16:1)], with highest variable
importance projection score, showed a tendency to be lower in the cancer
patients. A reduction of n - 3 polyunsaturated fatty acids was observed in the
plasma of these patients. MDA levels were higher in patients with advanced cancer
(stages III/IV) than in the early stages groups and the healthy group (p < 0.05).
No differences in F2-isoprostane levels were observed among these groups.
Conclusion: This study shows that the reduction in plasma levels of LPC
plasmalogens associated with an increase in MDA levels may indicate increased
oxidative stress in these patients and identify the metabolite LPC (P-16:1) as a
putatively novel lipid signature for RAC.
PMID- 29359125
TI - The Journal of Comorbidity affiliates with the Scottish School of Primary Care.
PMID- 29359124
TI - Perceived value of eHealth among people living with multimorbidity: a qualitative
study.
AB - Background: The prevalence of multimorbidity is increasing, creating challenges
for patients, healthcare professionals, and healthcare systems. Given that
chronic disease management increasingly involves eHealth, it is useful to assess
its perceived value among people with multimorbidity. Objective: To explore
challenges related to multimorbidity and patients' perspectives on eHealth.
Design: Ten semi-structured interviews with adults, living with multimorbidity in
Copenhagen, Denmark. Interviews focused on patient-experienced challenges, from
challenges related to self-management to challenges experienced in the healthcare
sector, as well as perceptions of eHealth. During interviews, participants were
presented with pictures of different eHealth technologies. Data analysis followed
the systematic text condensation approach. Results: Participants experienced
challenges in their daily lives, e.g. when practicing self-management activities,
when navigating the healthcare sector, and when interacting with healthcare
professionals. Patient-perceived value of eHealth varied, depending on their
burden of illness and treatment: those with a greater burden had more positive
perceptions of eHealth, and expressed more intention to use it. Participants with
less complex disease patterns and less burdensome treatment regimens were more
likely to perceive eHealth as something worthless and undesirable. Participants
stressed that eHealth should only be introduced as an optional supplement.
Conclusions: eHealth can potentially address some patient-experienced challenges
related to multimorbidity by promoting self-management, patient-centeredness, and
access. However, patients' needs and preferences vary and eHealth cannot
substitute the personal interaction between patient and healthcare professionals.
Our findings point to the importance of patient assessment and stratification to
ensure appropriate use of eHealth.
PMID- 29359126
TI - The Price per Prospective Consumer of Providing Therapist Training and
Consultation in Seven Evidence-Based Treatments within a Large Public Behavioral
Health System: An Example Cost-Analysis Metric.
AB - Objective: Public-sector behavioral health systems seeking to implement evidence
based treatments (EBTs) may face challenges selecting EBTs given their limited
resources. This study describes and illustrates one method to calculate cost
related to training and consultation to assist system-level decisions about which
EBTs to select. Methods: Training, consultation, and indirect labor costs were
calculated for seven commonly implemented EBTs. Using extant literature, we then
estimated the diagnoses and populations for which each EBT was indicated.
Diagnostic and demographic information from Medicaid claims data were obtained
from a large behavioral health payer organization and used to estimate the number
of covered people with whom the EBT could be used and to calculate implementation
associated costs per consumer. Results: Findings suggest substantial cost to
therapists and service systems related to EBT training and consultation. Training
and consultation costs varied by EBT, from Dialectical Behavior Therapy at
$238.07 to Cognitive Behavioral Therapy at $0.18 per potential consumer served.
Total cost did not correspond with the number of prospective consumers served by
an EBT. Conclusion: A cost-metric that accounts for the prospective recipients of
a given EBT within a given population may provide insight into how systems should
prioritize training efforts. Future policy should consider the financial burden
of EBT implementation in relation to the context of the population being served
and begin a dialog in creating incentives for EBT use.
PMID- 29359128
TI - Virtual Reality-Based Center of Mass-Assisted Personalized Balance Training
System.
AB - Poststroke hemiplegic patients often show altered weight distribution with
balance disorders, increasing their risk of fall. Conventional balance training,
though powerful, suffers from scarcity of trained therapists, frequent visits to
clinics to get therapy, one-on-one therapy sessions, and monotony of repetitive
exercise tasks. Thus, technology-assisted balance rehabilitation can be an
alternative solution. Here, we chose virtual reality as a technology-based
platform to develop motivating balance tasks. This platform was augmented with
off-the-shelf available sensors such as Nintendo Wii balance board and Kinect to
estimate one's center of mass (CoM). The virtual reality-based CoM-assisted
balance tasks (Virtual CoMBaT) was designed to be adaptive to one's
individualized weight-shifting capability quantified through CoM displacement.
Participants were asked to interact with Virtual CoMBaT that offered tasks of
varying challenge levels while adhering to ankle strategy for weight shifting. To
facilitate the patients to use ankle strategy during weight-shifting, we designed
a heel lift detection module. A usability study was carried out with 12
hemiplegic patients. Results indicate the potential of our system to contribute
to improving one's overall performance in balance-related tasks belonging to
different difficulty levels.
PMID- 29359127
TI - Genetic Mapping of Quantitative Trait Loci for Grain Yield under Drought in Rice
under Controlled Greenhouse Conditions.
AB - Drought stress is a constant threat to rice production worldwide. Most modern
rice cultivars are sensitive to drought, and the effect is severe at the
reproductive stage. Conventional breeding for drought resistant (DR) rice
varieties is slow and limited due to the quantitative nature of the DR traits.
Identification of genes (QTLs)/markers associated with DR traits is a
prerequisite for marker-assisted breeding. Grain yield is the most important
trait and to this end drought yield QTLs have been identified under field
conditions. The present study reports identification of drought yield QTLs under
controlled conditions without confounding effects of other factors prevalent
under natural conditions. A linkage map covering 1,781.5 cM with an average
resolution of 9.76 cM was constructed using an F2 population from a cross between
two Japonica cultivars, Cocodrie (drought sensitive) and Vandana (drought
tolerant) with 213 markers distributed over 12 rice chromosomes. A subset of 59
markers (22 genic SSRs and 37 SNPs) derived from the transcriptome of the parents
were also placed in the map. Single marker analysis using 187 F2 : 3 progeny
identified 6 markers distributed on chromosomes 1, 5, and 8 to be associated with
grain yield under drought (GYD). Composite interval mapping identified six
genomic regions/quantitative trait loci (QTL) on chromosome 1, 5, 8, and 9 to be
associated with GYD. QTLs located on chromosome 1 (qGYD1.2, qGYD1.3), chromosome
5 (qGYD5.1) and chromosome 8 (qGYD8.1) were contributed by Vandana alleles,
whereas the QTLs, qGYD1.1 and qQYD9.1 were contributed by Cocodrie alelles. The
additive positive phenotypic variance explained by the QTLs ranged from 30.0 to
34.0%. Candidate genes annotation within QTLs suggested the role of transcription
factors and genes involved in osmotic potential regulation through
catalytic/metabolic pathways in drought tolerance mechanism contributing to
yield.
PMID- 29359129
TI - Mitochondrial Tethers and Their Impact on Lifespan in Budding Yeast.
AB - Tethers that link mitochondria to other organelles are critical for lipid and
calcium transport as well as mitochondrial genome replication and fission of the
organelle. Here, we review recent advances in the characterization of
interorganellar mitochondrial tethers in the budding yeast, Saccharomyces
cerevisiae. We specifically focus on evidence for a role for mitochondrial
tethers that anchor mitochondria to specific regions within yeast cells. These
tethering events contribute to two processes that are critical for normal
replicative lifespan: inheritance of fitter mitochondria by daughter cells, and
retention of a small pool of higher-functioning mitochondria in mother cells.
Since asymmetric inheritance of mitochondria also occurs in human mammary stem
like cells, it is possible that mechanisms underlying mitochondrial segregation
in yeast also operate in other cell types.
PMID- 29359130
TI - Techniques for Non-Invasive Monitoring of Arterial Blood Pressure.
AB - Since both, hypotension and hypertension, can potentially impair the function of
vital organs such as heart, brain, or kidneys, monitoring of arterial blood
pressure (BP) is a mainstay of hemodynamic monitoring in acutely or critically
ill patients. Arterial BP can either be obtained invasively via an arterial
catheter or non-invasively. Non-invasive BP measurement provides either
intermittent or continuous readings. Most commonly, an occluding upper arm cuff
is used for intermittent non-invasive monitoring. BP values are then obtained
either manually (by auscultation of Korotkoff sounds or palpation) or
automatically (e.g., by oscillometry). For continuous non-invasive BP monitoring,
the volume clamp method or arterial applanation tonometry can be used. Both
techniques enable the arterial waveform and BP values to be obtained
continuously. This article describes the different techniques for non-invasive BP
measurement, their advantages and limitations, and their clinical applicability.
PMID- 29359132
TI - Anesthetic Management for Multiple Family Members with Myotonic Dystrophy for
Interventional Cardiac Procedures-A Case Series.
AB - Myotonic muscular dystrophy (MMD) is a rare autosomal dominant disorder that can
complicate anesthetic management of patients. MMD is characterized by
progressively worsening muscle loss and weakness, cardiac conduction
abnormalities, cardiomyopathy, restrictive lung disease, obstructive sleep apnea,
and delayed gastric emptying. Patients presenting with MMD for any surgical
procedure present a management challenge to the anesthesiologist. Several reports
of airway loss due to medication-mediated respiratory depression, sudden death
due to dysrhythmias, aspiration of stomach contents, and prolonged intubation
have been reported. We present a case series of three family members with MMD
type 1 who presented for electrophysiologic assessment of the cardiac conduction
system and possible pacemaker insertion. While there are reports of anesthetic
management of patients with myotonic dystrophy for various procedures, our report
is unique in that we were able to demonstrate variations of anesthetic management
based on the procedure and variation in disease phenotype-differing severity
between family members.
PMID- 29359131
TI - Serum Protein N-Glycosylation Changes with Rheumatoid Arthritis Disease Activity
during and after Pregnancy.
AB - Symptoms of rheumatoid arthritis (RA) improve during pregnancy, a phenomenon that
was found to be associated with N-glycosylation changes of immunoglobulin G.
Recent advances in high-throughput glycosylation analysis allow the assessment of
the N-glycome of human sera as well. The aim of this study was to identify new
protein N-glycosylation properties that associate with changes in RA disease
activity during and after pregnancy. A longitudinal cohort of serum samples was
collected during 285 pregnancies (32 control individuals and 253 RA patients).
Per individual one sample was collected before conception, three during
pregnancy, and three after delivery. Released serum protein N-glycans were
measured by matrix-assisted laser desorption/ionization time-of-flight mass
spectrometry (MALDI-TOF-MS) after employing chemical modification of the sialic
acids to allow discrimination of sialic acid linkage isomers. Serum protein N
glycosylation showed strongly modified during pregnancy, with similar changes
visible in control individuals and RA pregnancies. Namely, a decrease in
bisection and an increase in galactosylation in diantennary glycans were found,
as well as an increase in tri- and tetraantennary species and alpha2,3-linked
sialylation thereof. The change in RA disease activity [DAS28(3)-CRP] proved
negatively associated with the galactosylation of diantennary N-glycans, and
positively with the sialylation of triantennary fucosylated species (A3FGS).
While the protein source of the novel finding A3FGS is thus far unknown, its
further study may improve our understanding of the etiology of RA disease
severity.
PMID- 29359133
TI - De novo Assembly and Annotation of the Antarctic Alga Prasiola crispa
Transcriptome.
PMID- 29359134
TI - Staff Recall Travel Time for ST Elevation Myocardial Infarction Impacted by
Traffic Congestion and Distance: A Digitally Integrated Map Software Study.
AB - Background: Recent evidence suggests hospitals fail to meet guideline specified
time to percutaneous coronary intervention (PCI) for a proportion of ST elevation
myocardial infarction (STEMI) presentations. Implicit in achieving this time is
the rapid assembly of crucial catheter laboratory staff. As a proof-of-concept,
we set out to create regional maps that graphically show the impact of traffic
congestion and distance to destination on staff recall travel times for STEMI,
thereby producing a resource that could be used by staff to improve reperfusion
time for STEMI. Methods: Travel times for staff recalled to one inner and one
outer metropolitan hospital at midnight, 6 p.m., and 7 a.m. were estimated using
Google Maps Application Programming Interface. Computer modeling predictions were
overlaid on metropolitan maps showing color coded staff recall travel times for
STEMI, occurring within non-peak and peak hour traffic congestion times. Results:
Inner metropolitan hospital staff recall travel times were more affected by
traffic congestion compared with outer metropolitan times, and the latter was
more affected by distance. The estimated mean travel times to hospital during
peak hour were greater than midnight travel times by 13.4 min to the inner and
6.0 min to the outer metropolitan hospital at 6 p.m. (p < 0.001). At 7 a.m., the
mean difference was 9.5 min to the inner and 3.6 min to the outer metropolitan
hospital (p < 0.001). Only 45% of inner metropolitan staff were predicted to
arrive within 30 min at 6 p.m. compared with 100% at midnight (p < 0.001), and
56% of outer metropolitan staff at 6 p.m. (p = 0.021). Conclusion: Our results
show that integration of map software with traffic congestion data, distance to
destination and travel time can predict optimal residence of staff when on-call
for PCI.
PMID- 29359136
TI - Integrin alpha7: a major driver and therapeutic target for glioblastoma
malignancy.
PMID- 29359138
TI - Not just another biomarker: the role of integrin alpha 7 in glioblastoma.
PMID- 29359137
TI - Extracellular vesicles and aging.
AB - Aging and the chronic diseases associated with aging place a tremendous burden on
our healthcare system. As our world population ages dramatically over the next
decades, this will only increase. Hence, there is a great need to discover
fundamental mechanisms of aging to enable development of strategies for
minimizing the impact of aging on our health and economy. There is general
agreement that cell autonomous mechanisms contribute to aging. As cells accrue
damage over time, they respond to it by triggering individual cell fate decisions
that ultimately disrupt tissue homeostasis and thus increase risk of morbidity.
However, there are numerous lines of evidence, including heterochronic parabiosis
and plasma transfer, indicating that cell non-autonomous mechanisms are
critically important for aging as well. In addition, senescent cells, which
accumulate in tissues with age, can display a senescence-associated secretory
phenotype (SASP) that contributes to driving aging and loss of tissue homeostasis
through a non-cell autonomous mechanism(s). Given the diverse roles of blood
borne extracellular vesicles (EVs) in modulating not only the immune response,
but also angiogenesis and tissue regeneration, they likely play a key role in
modulating the aging process through cell non-autonomous mechanisms. The fact
that senescent cells release more EVs and with a different composition suggests
they contribute to the adverse effects of senescence on aging. In addition, the
ability of EVs from functional progenitor cells to promote tissue regeneration
suggests that stem cell-derived EVs could be used therapeutically to extend
healthspan. This review focuses on the potential roles of EVs in aging, the
potential of EV-based therapeutic applications for extending healthspan and the
potential for use of circulating EVs as biomarkers of unhealthy aging.
PMID- 29359135
TI - Antimicrobial Resistance: Its Surveillance, Impact, and Alternative Management
Strategies in Dairy Animals.
AB - Antimicrobial resistance (AMR), one among the most common priority areas
identified by both national and international agencies, is mushrooming as a
silent pandemic. The advancement in public health care through introduction of
antibiotics against infectious agents is now being threatened by global
development of multidrug-resistant strains. These strains are product of both
continuous evolution and un-checked antimicrobial usage (AMU). Though antibiotic
application in livestock has largely contributed toward health and productivity,
it has also played significant role in evolution of resistant strains. Although,
a significant emphasis has been given to AMR in humans, trends in animals, on
other hand, are not much emphasized. Dairy farming involves surplus use of
antibiotics as prophylactic and growth promoting agents. This non-therapeutic
application of antibiotics, their dosage, and withdrawal period needs to be re
evaluated and rationally defined. A dairy animal also poses a serious risk of
transmission of resistant strains to humans and environment. Outlining the scope
of the problem is necessary for formulating and monitoring an active response to
AMR. Effective and commendably connected surveillance programs at
multidisciplinary level can contribute to better understand and minimize the
emergence of resistance. Besides, it requires a renewed emphasis on investments
into research for finding alternate, safe, cost effective, and innovative
strategies, parallel to discovery of new antibiotics. Nevertheless, numerous
direct or indirect novel approaches based on host-microbial interaction and
molecular mechanisms of pathogens are also being developed and corroborated by
researchers to combat the threat of resistance. This review places a concerted
effort to club the current outline of AMU and AMR in dairy animals; ongoing
global surveillance and monitoring programs; its impact at animal human
interface; and strategies for combating resistance with an extensive overview on
possible alternates to current day antibiotics that could be implemented in
livestock sector.
PMID- 29359139
TI - Acute myeloid leukemia and fatal Scedosporium prolificans sepsis after eculizumab
treatment for paroxysmal nocturnal hemoglobinuria: a case report.
AB - Eculizumab has become the standard of care for patients with paroxysmal nocturnal
hemoglobinuria (PNH). As more patients are treated, the long-term outcomes of
these patients will become apparent. We recently treated a patient who developed
PNH in the setting of aplastic anemia. The patient developed acute myeloid
leukemia less than three years after initiating eculizumab. The patient also died
suddenly from Scedosporium sepsis during induction therapy. This patient's course
seemed more aggressive than would be expected. The possible effect of complement
blockade is discussed.
PMID- 29359140
TI - Human induced pluripotent stem cell-derived neuronal progenitors are a suitable
and effective drug discovery model for neurological mtDNA disorders.
PMID- 29359141
TI - Extracellular vesicles and cardiovascular disease therapy.
AB - Cardiovascular disease (CVD) constitutes one of the leading causes of mortality
worldwide, therefore representing a major public health concern. Despite recent
advances in the treatment of patients with acute myocardial infarction (AMI),
such as bypass surgery or percutaneous coronary intervention, pathological
cardiac remodeling often predisposes survivors to fatal heart failure. In this
context, the proven efficacy of stem cell-regenerative therapies constitutes a
promising therapeutic perspective with is nevertheless slow down by safety and
ethical concerns. Recent studies have underscored the capacity of stem cell
derived extracellular vesicles (EV) to recapitulate the regenerative properties
of their parental cells therefore offering a therapeutic alternative to cell
therapy in cardiovascular regenerative medicine. In this article, we review the
functional relevance of using stem cell-derived EV as therapeutically agents and
detail the identified molecular pathways that they used to exert their effects.
We also discuss the advantages of using such an acellular regenerative therapy,
in regard with parental stem cells, and address the limitations, which would need
to be resolved, before their clinical translation.
PMID- 29359142
TI - Evaluation of Two Supplemented Culture Media for Long-Term, Room-Temperature
Preservation of Streptococcus pneumoniae Strains.
AB - Objective: To produce two supplemented agar types in order to store pneumococci
for several months at room temperature. Methods: Todd
Hewitt/Hemoglobin/Yeast/Charcoal/Agar (TH-HYC) and Todd-Hewitt/Skim
Milk/Yeast/Charcoal/Agar (TH-SYC) were used to prepare two supplemented agar
types. Nineteen pneumococci isolated from patients or asymptomatic carriers
displaying diverse serotypes and multilocus sequence types (MLST) were
subcultured and stored onto supplemented agar types, in four different tests, at
room temperature. Findings: At the end of all tests (4-6 months) all
noncontaminated subcultures were viable and maintained all phenotypic
characteristics. Survival-time curves revealed a slow decrease of viable CFU over
time on agar types, but at the end the number of viable CFU was satisfactory
(>=2+ of growth). Decreasing of CFU was significantly higher for clinical versus
nasopharyngeal isolates. Subcultures contamination rates were 6.25% and 14.58%
after 2 and 6 months of storage, respectively. Conclusion: TH-HYC and TH-SYC agar
types allowed the viability of pneumococci with several serotypes, MLST, and
genetic profiles, after 6 months of storage at room temperature. We consider that
these agar types are a valid alternative to preserve pneumococci over an extended
period, especially when methods as cryopreservation or lyophilization are not
available, and are useful for transporting strains between laboratories.
PMID- 29359143
TI - Dexmedetomidine Protects Cardiomyocytes against Hypoxia/Reoxygenation Injury by
Suppressing TLR4-MyD88-NF-kappaB Signaling.
AB - Objective: We previously reported that dexmedetomidine (DEX) offers
cardioprotection against ischemia/reperfusion injury in rats. Here, we evaluated
the role of toll-like receptors 4- (TLR4-) myeloid differentiation primary
response 88- (MyD88-) nuclear factor-kappa B (NF-kappaB) signaling in DEX
mediated protection of cardiomyocytes using in vitro models of
hypoxia/reoxygenation (H/R). Methods: The experiments were carried out in H9C2
cells and in primary neonatal rat cardiomyocytes. Cells pretreated with vehicle
or DEX were exposed to hypoxia for 1 h followed by reoxygenation for 12 h. We
analyzed cell viability and lactate dehydrogenase (LDH) activity and measured
tumor necrosis factor-alpha (TNF-alpha), interleukin-6 (IL-6), and IL-1beta mRNA
levels, TLR4, MyD88, and nuclear NF-kappaB p65 protein expression and NF-kappaB
p65 nuclear localization. TLR4 knock-down by TLR4 siRNA transfection and
overexpression by TLR4 DNA transfection were used to further confirm our
findings. Results: DEX protected against H/R-induced cell damage and
inflammation, as evidenced by increased cell survival rates, decreased LDH
activity, and decreased TNF-alpha, IL-6, and IL-1beta mRNA levels, as well as
TLR4 and NF-kappaB protein expression. TLR4 knock-down partially prevented cell
damage following H/R injury, while overexpression of TLR4 abolished the DEX
mediated protective effects. Conclusions: DEX pretreatment protects rat
cardiomyocytes against H/R injury. This effect is partly mediated by TLR4
suppression via TLR4-MyD88-NF-kappaB signaling.
PMID- 29359144
TI - Respiratory Tract Viral Infections and Coinfections Identified by AnyplexTM II
RV16 Detection Kit in Pediatric Patients at a Riyadh Tertiary Care Hospital.
AB - Respiratory infections are caused by an array of viruses, and limited information
is available about viral coexistence, comparative symptoms, and the burden of
illness. This retrospective cohort study aimed to determine the etiological
agents responsible for respiratory tract infections by Anyplex II RV16 detection
kit (RV16, Seegene), involving 2266 pediatric patients with respiratory
infections admitted to the Department of Pediatrics at King Abdul-Aziz Medical
City, Ministry of National Guard, Riyadh, from July 2014 to June 2015. The most
frequent respiratory infections were recorded in the 1 to 5 year age group
(44.7%). Rhinovirus (32.5%), Adenovirus (16.9%), and Respiratory syncytial virus
(RSV) B (10.4%) were most common. In single viral infections, Rhinovirus (41.2%),
Metapneumovirus (15.3%), and Bocavirus (13.7%) were most frequent. In multiple
viral infections, Rhinovirus (36.7%), Adenovirus (35.2%), Bocavirus (11.2), RSV B
(7.8%), and RSV A (6.7%) were most frequent. No significant difference was
observed in clinical presentations; however, rhinorrhea and hypodynamia were
significantly associated with viral respiratory infections. Most respiratory
viral pathogens peaked during December, January, March, and April. Rhinovirus,
Adenovirus, and Bocavirus circulations were detected throughout the year. Winter
peaks were recorded for Rhinovirus, RSV B, Adenovirus, and RSV A, whereas the
Metapneumovirus, and the Bocavirus peaked in March and April. These findings
enhance understanding of viral etiology and distribution to improve respiratory
infection management and treatment.
PMID- 29359145
TI - Immune Response and Protective Efficacy of a Heterologous DNA-Protein
Immunization with Leishmania Superoxide Dismutase B1.
AB - Growing evidence shows that antioxidant proteins of Leishmania could be used as
vaccine candidates. In this study, we report the efficacy of Leishmania donovani
iron superoxide dismutase B1 (LdFeSODB1) as a vaccine antigen in BALB/c mice in a
DNA-protein prime-boost immunization regimen in the presence or absence of murine
granulocyte macrophage colony stimulating factor (mGMCSF) DNA adjuvant. The
expression study confirmed that LdFeSODB1 is expressed in mammalian cells and
mGMCSF fusion mediates the secretion of the recombinant protein. Heterologous
immunization with LdFeSODB1 induced a strong antibody- and cell-mediated immune
response in mice. Immunization triggered a mixed Th1/Th2 response as evidenced by
the ratio of IgG2a to IgG1. Antigen-stimulated spleen cells from the immunized
mice produced high level IFN-gamma. Multiparametric flow cytometry data showed
that immunization with LdFeSODB1 induced significantly higher expression of TNF
alpha or IL-2 by antigen-stimulated T cells. Eight weeks after L. major
infection, immunization with the antigen shifted the immune response to a more
Th1 type than the controls as demonstrated by IgG2a/IgG1 ratio. Moreover, IFN
gamma production by antigen-stimulated spleen cells from immunized mice remained
high. The footpad swelling experiment showed that immunization with LdFeSODB1
resulted in partial protection of mice from a high dose L. major infection.
PMID- 29359146
TI - Prospective Validation of the Decalogue, a Set of Doctor-Patient Communication
Recommendations to Improve Patient Illness Experience and Mood States within a
Hospital Cardiologic Ambulatory Setting.
AB - Strategies to improve doctor-patient communication may have a beneficial impact
on patient's illness experience and mood, with potential favorable clinical
effects. We prospectively tested the psychometric and clinical validity of the
Decalogue, a tool utilizing 10 communication recommendations for patients and
physicians. The Decalogue was administered to 100 consecutive patients referred
for a cardiologic consultation, whereas 49 patients served as controls. The POMS
2 questionnaire was used to measure the total mood disturbance at the end of the
consultation. Structural equation modeling showed high internal consistency
(Cronbach alpha 0.93), good test-retest reproducibility, and high validity of the
psychometric construct (all > 0.80), suggesting a positive effect on patients'
illness experience. The total mood disturbance was lower in the patients exposed
to the Decalogue as compared to the controls (1.4 +/- 12.1 versus 14.8 +/- 27.6,
p = 0.0010). In an additional questionnaire, patients in the Decalogue group
showed a trend towards a better understanding of their state of health (p =
0.07). In a cardiologic ambulatory setting, the Decalogue shows good validity and
reliability as a tool to improve patients' illness experience and could have a
favorable impact on mood states. These effects might potentially improve patient
engagement in care and adherence to therapy, as well as clinical outcome.
PMID- 29359148
TI - Growth Abnormalities of Fetuses and Infants.
PMID- 29359147
TI - Diabetic Nephropathy: Novel Molecular Mechanisms and Therapeutic Avenues.
PMID- 29359149
TI - Isolation of Potential Phages against Multidrug-Resistant Bacterial Isolates:
Promising Agents in the Rivers of Kathmandu, Nepal.
AB - Bacteriophages are being the subject of interest for alternative antimicrobial
therapy for infectious diseases in recent years. Therapeutic effectiveness
regarding phage therapy is a matter of concern since it is the most promising
biological treatment of this era. Hence, the present study was aimed to isolate
the potential bacteriophages present in river water samples and to analyze their
host range among clinical strains of bacteria. Ten different locations of
Kathmandu valley were selected for the collection of river water for the
detection of probable phages. Bacteriophages were isolated from water samples
using the double agar overlay method. Isolated phages were purified by diluting
in the SM-buffer and filtering through 0.22 MUm filter. Purified lysate was
further processed for analyzing its host range by using spot method. Their host
range was characterized against 20 bacterial strains, including multidrug
resistant. Total 67 different phages were isolated against 8 different host
organisms. Out of them, forty-seven phages were selected for analyzing its host
range. Among them, Serratia phages (PhiSER) had the broad host range infecting 17
different bacterial strains including multidrug-resistant harboring ESBL and MBL
genotypes. However, Klebsiella phages (PhiKP) had narrow host range in comparison
to other phages. Isolated phages had the potential effect against clinical
strains of bacteria along with their broader host spectrum. Most importantly,
promising effect against MDR pathogens in this study has raised the probable
chances of the utility of these phages for biological control of bacterial
infection including MBL and ESBL strains.
PMID- 29359150
TI - Microcystins Presence in Mussels (M. galloprovincialis) and Water of Two
Productive Mediterranean's Lagoons (Sardinia, Italy).
AB - Microcystins (MCs) are hepatotoxins harmful for animal and human health. The most
toxic type between them is MC-LR whose presence has been investigated in
different reservoirs all around the world. In this work microcystins were
monitored in spring and summer in water and mussels (Mytilus galloprovincialis)
of two Sardinia lagoons: Cabras and Calich lagoons. A Solid Phase Extraction
method was developed to clean and concentrate samples before the Enzyme Linked
Immunosorbent Assay (ELISA) and the following Mass Spectrometry detection. MCs
presence was detected using the screening ELISA test in both lagoons. MCs peak
was revealed in July for water and mussels belonging to Cabras lagoon (0.75 +/-
0.07 ng/L in water and 0.12 +/- 0.04 ng/g ww in mussels). In water of Calich
lagoon there was a constant trend in the concentration of MCs during the
considered months, while there was a MCs peak in July (0.6 +/- 0.5 ng/g ww) in
mussels. The following LC-MS/MS analysis did not reveal MC-LR presence in all
analyzed samples. These results can be useful to enrich knowledge on public
health and consumer's safeguard.
PMID- 29359151
TI - A Randomized Comparison of Bougie-Assisted and TracheoQuick Plus Cricothyrotomies
on a Live Porcine Model.
AB - Objectives: Cricothyrotomy is a rescue procedure in "cannot intubate, cannot
oxygenate" scenarios where other methods of nonsurgical airway management have
failed. We compared 2 cuffed cricothyrotomy sets, bougie-assisted cricothyrotomy
(BACT) and novel percutaneous TracheoQuick Plus, on a live porcine model in a
simulated periarrest situation. Methods: Thirty-four anesthetized minipigs were
randomly allocated into two groups: BACT technique (n = 17) and TracheoQuick Plus
(n = 17). The primary outcome was duration of cricothyrotomy while secondary
outcomes were total success rate, number of attempts, location of incision,
changes in heart rate, oxygen saturation, and the incidence of complications.
Results: BACT was significantly faster than TracheoQuick Plus cricothyrotomy,
with a median time of 69 sec (IQR 56-85) versus 178 sec (IQR 152-272). The total
success rate was without difference. 94% of BACT was performed successfully on
the first attempt, while in the TracheoQuick Plus group, it was only 18% (P <
0.001). Trauma to the posterior tracheal wall was observed once in the BACT group
and 5 times in the TracheoQuick Plus group. Oxygen saturation was significantly
higher in the BACT group both during and after the procedure. Conclusions: BACT
is superior to TracheoQuick Plus cricothyrotomy on a live animal model.
PMID- 29359152
TI - Corrigendum to "Molecular Cloning, Bioinformatic Analysis, and Expression of
Bombyx mori Lebocin 5 Gene Related to Beauveria bassiana Infection".
AB - [This corrects the article DOI: 10.1155/2017/9390803.].
PMID- 29359153
TI - Morphological Asymmetry of the Superior Cervical Facets from C3 through C7 due to
Degeneration.
AB - Introduction: Knowledge about facet morphology has already been discussed
extensively in literature but is limited regarding asymmetry and its relation to
facet degeneration. Method: Facet dimensions, surface area, curvature, and
degeneration of the superior facets were measured in 85 dried human vertebrae
from the anatomical collection of the Vrije Universiteit Brussel. The vertebrae
were analysed using the Microscribe G2X digitizer (Immersion Co., San Jose, CA)
and a grading system for the evaluation of cervical facet degeneration.
Coordinates were processed mathematically to evaluate articular tropism. The
statistical analysis includes the paired t-test and the Pearson correlation.
Results: On average, no systematic differences between the left and right facets
were found concerning morphology and degeneration. However, there were
significant differences regardless of the side-occurrence. There was a
significant correlation between the dimensions of the total facet surface and the
degree of degeneration but not for the recognizable joint surface. Conclusions:
Facet tropism of the upper joint facets occurred often in the cervical spine but
without side preference. A bigger difference in degeneration asymmetry was
associated with a bigger difference in facet joint dimension asymmetry.
PMID- 29359154
TI - Red Cell Distribution Width Is Associated with All-Cause and Cardiovascular
Mortality in Patients with Diabetes.
AB - Background and Methods: Red cell distribution width (RDW) has emerged as a
prognostic marker in patients with cardiovascular diseases. We investigated
mortality in patients with diabetes included in the National Health and Nutrition
Examination Survey, in relation to baseline RDW. RDW was divided into 4 quartiles
(Q1: <=12.4%, Q2: 12.5%-12.9%, Q3: 13.0%-13.7%, and Q4: >13.7%). Results: A total
of 3,061 patients were included: mean age 61 +/- 14 years, 50% male, 39% White.
Mean RDW was 13.2% +/- 1.4%. Compared with first quartile (Q1) of RDW, patients
in Q4 were more likely to be older, female, and African-American, have had
history of stroke, myocardial infarction, and heart failure, and have chronic
kidney disease. After a median follow-up of 6 years, 628 patient died (29% of
cardiovascular disease). Compared with Q1, patients in Q4 were at increased risk
for all-cause mortality (HR 3.44 [2.74-4.32], P < .001) and cardiovascular
mortality (HR 3.34 [2.16-5.17], P < .001). After adjusting for 17 covariates, RDW
in Q4 remained significantly associated with all-cause mortality (HR 2.39 [1.30
4.38], P = 0.005) and cardiovascular mortality (HR 1.99 [1.17-3.37], P = 0.011).
Conclusion: RDW is a powerful and an independent marker for prediction of all
cause mortality and cardiovascular mortality in patients with diabetes.
PMID- 29359156
TI - Genome-Wide Analysis of mRNA and Long Noncoding RNA Profiles in Chronic Actinic
Dermatitis.
AB - Chronic actinic dermatitis (CAD), a photosensitive dermatosis, is characterized
by inflammatory lesions, especially on sun-exposed skin. However, its
pathogenesis remains unclear. In this study, second-generation RNA sequencing and
comprehensive bioinformatics analyses of mRNAs and long noncoding RNAs (lncRNAs)
were performed to determine the transcriptome profiles of patients with CAD. A
total 6889 annotated lncRNAs, 341 novel lncRNAs, and 65091 mRNAs were identified.
Interestingly, patients with CAD and healthy controls showed distinct
transcriptome profiles. Indeed, 198 annotated (81.48%) and 45 novel (18.52%)
lncRNAs were differentially expressed between the two groups. GO, KEGG, and RGSEA
analyses of lncRNAs showed that inflammatory and immune response related pathways
played crucial roles in the pathogenetic mechanism of CAD. In addition, we
unveiled key differentially expressed lncRNAs, including lncRNA RP11-356I2.4
which plays a role probably by regulating TNFAIP3 and inflammation. qRT-PCR data
validated the differentially expressed genes. The newly identified lncRNAs may
have potential roles in the development of CAD; these findings lay a solid
foundation for subsequent functional exploration of lncRNAs and mRNAs as
therapeutic targets for CAD.
PMID- 29359157
TI - Analysis of a New Delayed HBV Model with Exposed State and Immune Response to
Infected Cells and Viruses.
AB - We propose a comprehensive delayed HBV model, which not only considers the immune
response to both infected cells and viruses and a time delay for the immune
system to clear viruses but also incorporates an exposed state and the
proliferation of hepatocytes. We prove the positivity and boundedness of
solutions and analyze the global stability of two boundary equilibria and then
study the local asymptotic stability and Hopf bifurcation of the positive
(infection) equilibrium and also the stability of the bifurcating periodic
solutions. Moreover, we illustrate how the factors such as the time delay, the
immune response to infected cells and viruses, and the proliferation of
hepatocytes affect the dynamics of the model by numerical simulation.
PMID- 29359155
TI - The Feasibility of Xpert MTB/RIF Testing to Detect Rifampicin Resistance among
Childhood Tuberculosis for Prevalence Surveys in Northern China.
AB - Drug resistance surveillance is crucial for control of drug-resistant
tuberculosis (TB). However, limited data exists on the burden of drug-resistant
TB in children. The goal of this work was to generate prevalence data regarding
rifampicin- (RIF-) resistant childhood TB in northern China and to test the
feasibility of Xpert for surveying pediatric TB drug resistance prevalence. We
enrolled 362 clinically diagnosed childhood TB patients and collected sputum,
gastric lavage aspirate (GLA), bronchoalveolar lavage fluid (BALF), and cerebral
spinal fluid (CSF) samples. Xpert and solid culture were utilized to detect RIF
resistance. The detection rate of Xpert-positive TB among new clinically
diagnosed TB cases was 38.4% (139/362), significantly higher than that of solid
culture-positive TB (16.3%, 59/362, P < 0.01). Notably, Xpert-positive rates
differed significantly by sample type, with the highest positive rate for GLA
(51.2%). The unit testing costs per RIF-resistant TB patient were $828.41 for
solid culture and $761.86 for Xpert. Our data demonstrate that the prevalence of
RIF resistance among childhood TB cases in our study (6.9%) is comparable to the
national RIF resistance prevalence level of new cases (6.7%). In addition, Xpert
is superior to the solid culture for RIF resistance survey in the childhood TB
patients.
PMID- 29359158
TI - Upregulation of Mitf by Phenolic Compounds-Rich Cymbopogon schoenanthus Treatment
Promotes Melanogenesis in B16 Melanoma Cells and Human Epidermal Melanocytes.
AB - Melanin provides inherent protection against skin cancer by absorbing broad
spectrum radiant energy of UV radiation. Cutaneous malignant melanoma incidence
has recently been observed to increase and the frequency is closely associated
with the skin color, highlighting the importance of skin pigmentation. Here, we
showed how melanin biosynthesis is enhanced by treatment with phenolic compounds
rich Cymbopogon schoenanthus (CYM) in B16 murine melanoma cells and human
epidermal melanocytes (HEM). CYM increased the melanin content of the cells by
upregulating the expression of tyrosinase (TYR), tyrosinase-related protein 1
(TRP1), and dopachrome tautomerase (DCT) at the protein and mRNA levels,
comparable to the effect of alpha-melanocyte-stimulating hormone (MSH), in both
B16 cells and HEM. Moreover, global gene expression analysis showed that at least
44 pigmentation-associated genes were modulated, including the microphthalmia
associated transcription factor (Mitf) and its transcriptional regulators (Sox10,
Pax3, and Lef1). Upregulation of copper transport-associated gene Atp7b indicates
that CYM also promotes tyrosinase activity. CYM upregulated Mitf and possibly
activates tyrosinase enzyme, providing evidence for its possible use to promote
melanogenesis and as a therapeutic agent against hypopigmentation disorders.
PMID- 29359159
TI - The Bioinformatic Analysis of the Dysregulated Genes and MicroRNAs in Entorhinal
Cortex, Hippocampus, and Blood for Alzheimer's Disease.
AB - Aim: The incidence of Alzheimer's disease (AD) has been increasing in recent
years, but there exists no cure and the pathological mechanisms are not fully
understood. This study aimed to find out the pathogenesis of learning and memory
impairment, new biomarkers, potential therapeutic targets, and drugs for AD.
Methods: We downloaded the microarray data of entorhinal cortex (EC) and
hippocampus (HIP) of AD and controls from Gene Expression Omnibus (GEO) database,
and then the differentially expressed genes (DEGs) in EC and HIP regions were
analyzed for functional and pathway enrichment. Furthermore, we utilized the DEGs
to construct coexpression networks to identify hub genes and discover the small
molecules which were capable of reversing the gene expression profile of AD.
Finally, we also analyzed microarray and RNA-seq dataset of blood samples to find
the biomarkers related to gene expression in brain. Results: We found some
functional hub genes, such as ErbB2, ErbB4, OCT3, MIF, CDK13, and GPI. According
to GO and KEGG pathway enrichment, several pathways were significantly
dysregulated in EC and HIP. CTSD and VCAM1 were dysregulated significantly in
blood, EC, and HIP, which were potential biomarkers for AD. Target genes of four
microRNAs had similar GO_terms distribution with DEGs in EC and HIP. In addtion,
small molecules were screened out for AD treatment. Conclusion: These biological
pathways and DEGs or hub genes will be useful to elucidate AD pathogenesis and
identify novel biomarkers or drug targets for developing improved diagnostics and
therapeutics against AD.
PMID- 29359161
TI - Factors Influencing Burnout Syndrome in Obstetrics and Gynecology Physicians.
AB - Aim: To identify the influence of environmental, personality, and alexithymia
factors on burnout syndrome among obstetrics and gynecology physicians. Material
and Methods: A total of 116 physicians (35 men and 81 women) completed
questionnaires and sociodemographic data sheets. The Maslach Burnout Inventory,
the Big Five Inventory, and the Toronto Alexithymia Scale were used to measure
burnout, innate personality traits, and alexithymia, respectively. The t-test and
Pearson correlations were used for other measurements. Results: Of the 116 study
physicians, 12.9% have suffered or still suffer from depression and 35.3% have
had or still have problems related to insomnia. Regarding emotional exhaustion
and depersonalization factors, men obtained lower scores than women (18.73 +/-
13.48 versus 24.14 +/- 11.71 for emotional exhaustion; 5.97 +/- 5.45 versus 7.70
+/- 5.29 for depersonalization). Self-reported depression was related to higher
scores for all 3 domains, to higher total scores for alexithymia and neuroticism,
and to lower scores for extraversion, conscientiousness, and openness. Residents
and consultants had markedly different scores. Conclusion: The results of this
study will help obstetrics and gynecology physicians cope with professional
burnout and to consider personality traits, alexithymia domains, and
environmental factors when finding strategies to cope with their professional
stress.
PMID- 29359160
TI - Relationship between Physical Activity and Physical Fitness in Preschool
Children: A Cross-Sectional Study.
AB - Purpose: To evaluate the relationships between objectively measured physical
activity and physical fitness among preschool children. Methods: A total of 346
participants (201 boys and 145 girls) aged 3.5-5.5 years (M = 4.5 yr, SD = 0.47)
from Shanghai, China, completed physical fitness assessments, including triceps
skinfold thickness (TSFT), grip strength, tennis throwing, sit and reach test,
standing long jump, balance beam, 10mSRT, and 20mSRT. Physical activity was
objectively measured by ActiGraphGT3X+ accelerometer. Multiple linear regression
models were used to explore the cross-sectional associations between PA and
physical fitness after adjusting for age, gender, BMI, and valid wearing time.
Results: Positive associations were observed between stand long jump (p < .01),
tennis throwing (p < .01), laps in 20mSRT (p < .01), and MVPA. However, TSFT (p <
.05), time in 10mSRT (p < .01), and balance beam (p < .05) were negatively
associated with MVPA. Furthermore, positive associations were found between stand
long jump (p < .01), tennis throwing (p < .01), and MVPA only in boys. Negative
associations were found between time on balance beam (p < .01) and MVPA only in
girls. Conclusions: MVPA appears to be an effective and reliable predictor of
preschoolers' physical fitness. Boys' body composition, muscular strength,
explosive strength, agility, aerobic fitness, girls' agility, aerobic fitness,
and balance could improve as MVPA increases.
PMID- 29359163
TI - Retracted: Tanshinone IIA Induces Apoptosis in Human Oral Cancer KB Cells through
a Mitochondria-Dependent Pathway.
AB - [This retracts the article DOI: 10.1155/2014/540516.].
PMID- 29359162
TI - Natural Bioactive Compounds: Alternative Approach to the Treatment of
Glioblastoma Multiforme.
AB - Glioblastoma multiforme (GBM) is the most frequent, primary malignant brain tumor
prevalent in humans. GBM characteristically exhibits aggressive cell
proliferation and rapid invasion of normal brain tissue resulting in poor patient
prognosis. The current standard of care of surgical resection followed by
radiotherapy and chemotherapy with temozolomide is not very effective. The
inefficacy of the chemotherapeutic agents may be attributed to the challenges in
drug delivery to the tumor. Several epidemiological studies have demonstrated the
chemopreventive role of natural, dietary compounds in the development and
progression of cancer. Many of these studies have reported the potential of using
natural compounds in combination with chemotherapy and radiotherapy as a novel
approach for the effective treatment of cancer. In this paper, we review the role
of several natural compounds individually and in combination with
chemotherapeutic agents in the treatment of GBM. We also assess the potential of
drug delivery approaches such as the Gliadel wafers and role of nanomaterial
based drug delivery systems for the effective treatment of GBM.
PMID- 29359165
TI - Corrigendum to "Prediction of Local Ultimate Strain and Toughness of Trabecular
Bone Tissue by Raman Material Composition Analysis".
AB - [This corrects the article DOI: 10.1155/2015/457371.].
PMID- 29359164
TI - Involvement of the PI3K/Akt/NF-kappaB Signaling Pathway in the Attenuation of
Severe Acute Pancreatitis-Associated Acute Lung Injury by Sedum sarmentosum Bunge
Extract.
AB - Sedum sarmentosum Bunge possesses excellent anti-inflammatory properties and was
used in the treatment of inflammatory diseases. The aim of the present study was
to investigate the efficiency of Sedum sarmentosum Bunge extract (SSBE) on severe
acute pancreatitis-associated (SAP-associated) acute lung injury (ALI) in rats
and to explore the underlying mechanisms. Here, we used a sodium taurocholate
induced SAP rat model to determine the role of SSBE in ALI. During the course of
pancreatitis, the expressions of phosphorylated phosphoinositide 3-kinases
(PI3K)/protein kinase B (Akt) and nuclear factor-kappa B (NF-kappaB) p65 in the
lungs were upregulated. Meanwhile, a parallel increase in the levels of
interleukin-1beta (IL-1beta), interleukin-6 (IL-6), and tumor necrosis factor
alpha (TNF-alpha) in the lungs was observed after the induction of SAP. Treatment
with SSBE significantly reduced the expression of p-Akt and p-p65 in the lungs
and attenuated the severity of SAP-associated ALI compared to the SAP group at 12
h and 24 h. In summary, this study showed that SSBE has beneficial effects on SAP
associated ALI, probably through the PI3-K/Akt signaling pathways by suppressing
the NF-kappaB activities.
PMID- 29359166
TI - Effects of Face-to-Face and Telephone-Based Family-Oriented Education on Self
Care Behavior and Patient Outcomes in Type 2 Diabetes: A Randomized Controlled
Trial.
AB - Background: Education of patients and their families is the cornerstone of
effective diabetes care. The present study aimed to compare the effects of a face
to-face and telephone-based family-oriented educational program on self-care
behavior and patient outcomes in type 2 diabetes patients. Methods: In the
present randomized controlled trial, 90 type 2 diabetes patients were randomly
divided into three groups of 30 participants: a face-to-face education group, a
telephone-based education group, and a control group. The educational program
lasted for 3 months. Outcomes evaluated included self-care, fasting blood sugar,
hemoglobin A1c, cholesterol, and triglyceride. Results: The overall self-care
scores in the intervention groups were significantly higher than that in the
control group (P = 0.0001). In addition, lipid profiles significantly improved in
the interventions compared to the control (P < 0.05). Comparing the two
interventions showed better results for the face-to-face group regarding dietary
adherence and physical activity, but the latter group had comparable results in
blood glucose monitoring, foot care, and cholesterol level. Conclusions: This
study shows the beneficiary effects of a family-oriented education on self-care
and patient outcomes. It also shows the potential value of low-cost telephone
technology in delivering effective diabetes care.
PMID- 29359167
TI - Hmox1 Deficiency Sensitizes Mice to Peroxynitrite Formation and Diabetic
Glomerular Microvascular Injuries.
AB - Objective: Indirect evidence suggests a role for heme oxygenase-1 (HO-1) in
limiting diabetic vasculopathy. The goal of this study was to assess the role of
HO-1 in the development of microvascular lesions within glomeruli during diabetes
mellitus using a mouse model with specific alteration of the Hmox1 gene. Approach
and Results: The effects of Hmox1 haploinsufficiency were studied as a means of
assessing the intrinsic contribution of HO-1 in the development of renal
microvascular lesions during diabetes. Renal function and histology were analyzed
10 weeks after diabetes induction with streptozotocin. Diabetic Hmox1+/- mice
showed higher levels of albuminuria and blood urea compared to their wild-type
diabetic littermates. More severe glomerular microvascular lesions were also
observed in the diabetic Hmox1+/- mice. This was associated with a renal increase
in the expression of the oxidative stress marker, nitrotyrosine. Conclusions:
Genetic Hmox1 partial deficiency is sufficient to sensitize mice to the
development of diabetic glomerular microvascular lesions. HO-1 exerts antioxidant
effects in the kidney during diabetes mellitus. These have protective effects on
the development of glomerular endothelial injury.
PMID- 29359168
TI - SERINC as a Restriction Factor to Inhibit Viral Infectivity and the Interaction
with HIV.
AB - The serine incorporator 5 (SERINC5) is a recently discovered restriction factor
that inhibits viral infectivity by preventing fusion. Retroviruses have developed
strategies to counteract the action of SERINC5, such as the expression of
proteins like negative regulatory factor (Nef), S2, and glycosylated Gag
(glycoGag). These accessory proteins downregulate SERINC5 from the plasma
membrane for subsequent degradation in the lysosomes. The observed variability in
the action of SERINC5 suggests the participation of other elements like the
envelope glycoprotein (Env) that modulates susceptibility of the virus towards
SERINC5. The exact mechanism by which SERINC5 inhibits viral fusion has not yet
been determined, although it has been proposed that it increases the sensitivity
of the Env by exposing regions which are recognized by neutralizing antibodies.
More studies are needed to understand the role of SERINC5 and to assess its
utility as a therapeutic strategy.
PMID- 29359171
TI - Prevalence and Factors Associated with Low Birth Weight among Teenage Mothers in
New Mulago Hospital: A Cross Sectional Study.
AB - The World Health Organization defines low birth weight (LBW) as a new born having
a weight of less than 2,500 g at birth. Low birth weight is one of the major
determinants of perinatal survival, infant morbidity and mortality as well as the
risk of developmental disabilities and illnesses in future lives. WHO estimates
that about 30 million low birth weight babies are born annually (23.4% of all
births) and they often face short and long term health consequences. Whereas the
global prevalence of LBW has slightly declined, the rate in many developing
countries is still quite high. In Uganda, low birth weight among teenage mothers
is a problem. Our study aimed to estimate the prevalence of and identify the
factors associated with low birth weight among teenage mothers in New Mulago
hospital. We conducted an analytical cross sectional study among teenage mothers
who delivered from new Mulago Hospital Complex labour suite from August 2013 to
August 2014. Trained interviewers, administered pre-tested questionnaires to
consecutive mothers to obtain information on their socio-demographic
characteristics, obstetric history and child factors. Odds ratios and P-values
were calculated to determine the relationship between independent and dependent
variables. We also used descriptive statistics for the quantitative data. A total
of 357 teenage mothers were enrolled on the study. Their mean age was 18 years
(Range 13-19), majority, 98.4% aged 15-19 years. The prevalence of LBW was 25.5%.
Pre-term delivery (OR = 3.3032 P = 0.0001) and multiple pregnancies (OR = 0.165 P
= 0.039) were associated with LBW. Malaria, young maternal age and ANC attendance
were not associated with LBW. Child factors such as birth order, congenital
anomalies and sex of the baby were also not associated with LBW. The prevalence
of LBW is high among teenage mothers, pre-term delivery and multiple pregnancies
were associated factors with LBW. Health professional's need to address teenage
maternal health. Health workers should encourage teenage mothers to attend
focused antenatal care as recommended by the Uganda ministry of Health. A
specialized maternal facility centre that is friendly for adolescent/teenage
mothers is advisable so as to improve on completion rates and capture high risk
teenage mothers early.
PMID- 29359169
TI - Pathological Roles of Neutrophil-Mediated Inflammation in Asthma and Its
Potential for Therapy as a Target.
AB - Asthma is a chronic inflammatory disease that undermines the airways. It is
caused by dysfunction of various types of cells, as well as cellular components,
and is characterized by recruitment of inflammatory cells, bronchial
hyperreactivity, mucus production, and airway remodelling and narrowing. It has
commonly been considered that airway inflammation is caused by the Th2 immune
response, or eosinophilia, which is a hallmark of bronchial asthma pathogenesis.
Some patients display a neutrophil-dominant presentation and are characterized
with low (or even absent) Th2 cytokines. In recent years, increasing evidence has
also suggested that neutrophils play a key role in the development of certain
subtypes of asthma. This review discusses neutrophils in asthma and potentially
related targeted therapies.
PMID- 29359170
TI - Early-Life Intestine Microbiota and Lung Health in Children.
AB - The gastrointestinal microbiota plays a critical role in nutritional, metabolic,
and immune functions in infants and young children and has implications for
future lung health status. Understanding the role of intestinal dysbiosis in
chronic lung disease progression will provide opportunities to design early
interventions to improve the course of the disease. Gut microbiota is established
within the first 1 to 3 years of life and remains relatively stable throughout
the life span. In this review, we report the recent development in research in
gut-lung axis, with focus on the effects of targeting microbiota of infants and
children at risk of or with progressive lung diseases. The basic concept is to
exploit this approach in critical window to achieve the best results in the
control of future health.
PMID- 29359172
TI - Nonclinical Safety Evaluation of scAAV8-RLBP1 for Treatment of RLBP1 Retinitis
Pigmentosa.
AB - Retinitis pigmentosa is a form of retinal degeneration usually caused by genetic
mutations affecting key functional proteins. We have previously demonstrated
efficacy in a mouse model of RLBP1 deficiency with a self-complementary AAV8
vector carrying the gene for human RLBP1 under control of a short RLBP1 promoter
(CPK850).1 In this article, we describe the nonclinical safety profile of this
construct as well as updated efficacy data in the intended clinical formulation.
In Rlbp1-/- mice dosed at a range of CPK850 levels, a minimum efficacious dose of
3 * 107 vg in a volume of 1 MUL was observed. For safety assessment in these and
Rlbp1+/+ mice, optical coherence tomography (OCT) and histopathological analysis
indicated retinal thinning that appeared to be dose-dependent for both Rlbp1
genotypes, with no qualitative difference noted between Rlbp1+/+ and Rlbp1-/-
mice. In a non-human primate study, RLBP1 mRNA expression was detected and dose
dependent intraocular inflammation and retinal thinning were observed.
Inflammation resolved slowly over time and did not appear to be exacerbated in
the presence of anti-AAV8 antibodies. Biodistribution was evaluated in rats and
satellite animals in the non-human primate study. The vector was largely detected
in ocular tissues and low levels in the optic nerve, superior colliculus, and
lateral geniculate nucleus, with limited distribution outside of these tissues.
These data suggest that an initial subretinal dose of ~3 * 107 vg/MUL CPK850 can
safely be used in clinical trials.
PMID- 29359173
TI - Immune myopathies with perimysial pathology: Clinical and laboratory features.
AB - Objective: Immune myopathies with perimysial pathology (IMPP) have a combination
of damage to perimysial connective tissue and muscle fiber necrosis, more
prominent near the perimysium. We studied the clinical and laboratory correlates
of patients with pathologically defined IMPP. Methods: This is a retrospective
chart and pathology review of 57 consecutive patients with IMPP myopathology and,
for comparison, 20 patients with dermatomyositis with vascular pathology (DM-VP).
Results: Compared with DM-VP, IMPP patients more commonly had interstitial lung
disease (ILD) (p < 0.01), Raynaud phenomenon (p < 0.05), mechanic's hands (p <
0.05), arthralgias (p < 0.001), and a sustained response to immunomodulatory
therapy (p < 0.05), and less frequently had a concurrent malignancy (p < 0.01).
IMPP patients had higher serum creatine kinase values (p < 0.05), more frequent
serum Jo-1 (p < 0.03) or SSA/SSA52 autoantibodies (p < 0.05), and less frequent
antinuclear antibodies (p < 0.01). IMPP patients with serum Jo-1/antisynthetase
antibodies were more likely to have ILD (p < 0.05) and inflammatory arthritis (p
< 0.05) than IMPP patients without these antibodies. Conclusions: IMPP
myopathology is associated with an increased risk of ILD, Raynaud phenomenon,
mechanic's hands, and inflammatory arthritis when compared with another immune
myopathy (DM-VP). IMPP patients require regular screening for ILD, particularly
those with antisynthetase antibodies. The absence of myositis-specific
autoantibodies in a large percentage of IMPP patients emphasizes the important
role for myopathology in identifying patients at higher risk of severe comorbid
conditions such as ILD.
PMID- 29359174
TI - Quantitative spinal cord MRI in radiologically isolated syndrome.
AB - Objectives: To assess whether quantitative spinal cord MRI (SC-MRI) measures,
including atrophy, and diffusion tensor imaging (DTI) and magnetization transfer
imaging metrics were different in radiologically isolated syndrome (RIS) vs
healthy controls (HCs). Methods: Twenty-four participants with RIS and 14 HCs
underwent cervical SC-MRI on a 3T magnet. Manually segmented regions of interest
circumscribing the spinal cord cross-sectional area (SC-CSA) between C3 and C4
were used to extract SC-CSA, fractional anisotropy, mean, perpendicular, and
parallel diffusivity (MD, lambda?, and lambda||) and magnetization transfer ratio
(MTR). Spinal cord (SC) lesions, SC gray matter (GM), and SC white matter (WM)
areas were also manually segmented. Multivariable linear regression was performed
to evaluate differences in SC-MRI measures in RIS vs HCs, while controlling for
age and sex. Results: In this cross-sectional study of participants with RIS, 71%
had lesions in the cervical SC. Of quantitative SC-MRI metrics, spinal cord MTR
showed a trend toward being lower in RIS vs HCs (p = 0.06), and there was already
evidence of brain atrophy (p = 0.05). There were no significant differences in SC
DTI metrics, GM, WM, or CSA between RIS and HCs. Conclusion: The SC demonstrates
minimal microstructural changes suggestive of demyelination and inflammation in
RIS. These findings are in contrast to established MS and raise the possibility
that the SC may play an important role in triggering clinical symptomatology in
MS. Prospective follow-up of this cohort will provide additional insights into
the role the SC plays in the complex sequence of events related to MS disease
initiation and progression.
PMID- 29359175
TI - Defining standard enzymatic dissociation methods for individual brains and spinal
cords in EAE.
AB - Objective: To determine the capacity, effectiveness, efficiency, and reliability
of select tissue dissociation methods to isolate mononuclear cells from the CNS
of mice with experimental autoimmune encephalomyelitis (EAE). Methods: As part of
an assay qualification, we tested the isolation method Percoll PLUS vs a
commercially available enzymatic Neural Tissue Dissociation Kit (Kit), and the
enzymes accutase and papain in C57BL/6 mice with active EAE. In a stepwise
approach, we applied the following 4 criteria to each dissociation method: (1)
mononuclear cell viability post-processing was required to be >=80% per brain or
spinal cord sample, (2) absolute live mononuclear cell numbers was required to be
>=5 * 105 per brain or spinal cord sample of mice with clinical EAE, (3) test
retest reliability had to be verified, and (4) the absolute mononuclear cell
numbers in brain and spinal cord had to correlate with the EAE disease course.
Results: Enzymatic dissociations allowed for greatly increased cell yield and
specifically allowed for downstream assays from individual brains and spinal
cords in C57BL/6 mice with EAE. All enzymatic dissociations provided a more
efficient and effective method for isolating mononuclear cells from brains and
spinal cord. Only the Kit assay provided a significant correlation between
absolute mononuclear cell numbers in the spinal cord and EAE disease severity.
Conclusions: Enzymatic dissociation of CNS tissue of C57BL/6 mice with active EAE
with the Kit should be the standard method. The identification of optimized CNS
dissociation methods in EAE has the potential to identify cellular events that
are pertinent to MS pathogenesis.
PMID- 29359176
TI - Spatiotemporal evolution of venous narrowing in acute MS lesions.
AB - Objective: To investigate the spatiotemporal evolution of venous narrowing in
newly developing MS lesions in a longitudinal MRI study including susceptibility
weighted images (SWIs). Methods: We retrospectively investigated serial MR
examinations of 18 patients with MS acquired on a 3T MRI system including SWI for
acute contrast-enhancing lesions with at least 1 MRI examination before contrast
enhancement. The mean diameter of veins at the time point of contrast enhancement
was compared with the mean diameter of veins before and after contrast
enhancement. Results: A total of 40 acute contrast-enhancing lesions with a
corresponding intralesional central vein were included in the study. The mean
diameter of intralesional veins at the time of contrast enhancement (0.80 +/-
0.12 mm) was smaller than that at before (1.16 +/- 0.19 mm) and after contrast
enhancement (1.07 +/- 0.15 mm; p < 0.001 for all comparisons). Conclusions: Our
findings contribute to the increasing database of plaque development and
evolution. The smaller diameter of intralesional veins on SWI at the time of
blood-brain barrier breakdown may reflect morphologic changes because of
perivascular inflammation and/or decreased levels of deoxygenated hemoglobin.
PMID- 29359177
TI - Resource Partitioning between Two Piranhas (Serrasalmus gibbus and Serrasalmus
rhombeus) in an Amazonian Reservoir.
AB - The exploitation of resources by closely related species with similar niches may
be mediated by differences in activity patterns, which may vary in nycthemeral
scale and seasonal scale. Piranhas Serrasalmus gibbus and Serrasalmus rhombeus
are Neotropical predators that occur sympatrically in many environments of the
Amazon basin. To evaluate the strategies adopted by these two species in a
restricted environment (a reservoir), nycthemeral and seasonal samples were made,
identifying the composition of the diet and their activity patterns. A total of
402 specimens were collected: 341 S. gibbus and 61 S. rhombeus. Both species fed
themselves primarily on fish, with some seasonal variation being found in S.
gibbus during the flood season, when plant material was consumed. There was
considerable temporal overlap in the foraging behavior of the two species,
although S. rhombeus presented a bimodal pattern of abundance over the 24-hour
cycle. S. rhombeus was more active during the nighttime, between dusk and early
morning, whereas S. gibbus was active throughout the nycthemeral cycle. These
findings indicate low levels of competition between the two species, which
allowed for a considerable overlap in nighttime foraging, following distinct
nycthemeral patterns of foraging activity and allowing their coexistence.
PMID- 29359178
TI - The Impact of Saffron on Symptoms of Withdrawal Syndrome in Patients Undergoing
Maintenance Treatment for Opioid Addiction in Sabzevar Parish in 2017.
AB - Background and Objective: Drug dependence is one of the serious problems around
the world. Saffron is one of those beneficial medicinal plants which is embedded
with a similar mechanism to methadone (e.g., inhibition of serotonin reuptake).
Thus, it can be helpful in reducing the withdrawal symptoms. The aim of this
study was to reduce the daily dose of methadone usage and reduce its side effects
using saffron in the form of medicinal supplements. Methodology: This study was
categorized as a clinical trial. Accordingly, 44 clients of addiction treatment
centers in Sabzevar parish were randomly selected to participate in this study in
2016-2017. While the experimental group was treated with methadone syrup and self
made saffron capsules, the control group received methadone syrup and placebo
capsules. Results: The results showed that the use of saffron and methadone
alleviated the symptoms of withdrawal syndrome (p<0.001). Conclusion: Having
reviewed the research participants, it was indicated that the introduction of
saffron alleviated the symptoms of withdrawal syndrome in patients undergoing
maintenance treatment for opioid addiction. Thus, it seems rational to make use
of saffron in combination with methadone in order to alleviate the symptoms of
withdrawal syndrome.
PMID- 29359179
TI - Erratum to "Nutritional Considerations for Performance in Young Athletes".
AB - [This corrects the article DOI: 10.1155/2015/734649.].
PMID- 29359180
TI - Nuclear, Cytosolic, and Surface-Localized Poly(A)-Binding Proteins of Plasmodium
yoelii.
AB - Malaria is a devastating illness that causes approximately 500,000 deaths
annually. The malaria-causing parasite (Plasmodium genus) uses the process of
translational repression to regulate its growth, development, and transmission.
As poly(A)-binding proteins (PABP) have been identified as critical components of
RNA metabolism and translational repression in model eukaryotes and in
Plasmodium, we have identified and investigated two PABPs in Plasmodium yoelii,
PyPABP1 and PyPABP2. In contrast to most single-celled eukaryotes, Plasmodium
closely resembles metazoans and encodes both a nuclear PABP and a cytosolic PABP;
here, we provide multiple lines of evidence in support of this observation. The
conserved domain architectures of PyPABP1 and PyPABP2 resemble those of yeast and
metazoans, while multiple independent binding assays demonstrated their ability
to bind very strongly and specifically to poly(A) sequences. Interestingly, we
also observed that purified PyPABP1 forms homopolymeric chains despite exhaustive
RNase treatment in vitro. Finally, we show by indirect immunofluorescence assays
(IFAs) that PyPABP1 and PyPABP2 are cytoplasm- and nucleus-associated PABPs
during the blood stages of the life cycle. Surprisingly, however, PyPABP1 was
instead observed to also be localized on the surface of transmitted salivary
gland sporozoites and to be deposited in trails when parasites glide on a
substrate. This is the third RNA-binding protein verified to be found on the
sporozoite surface, and the data may point to an unappreciated RNA-centered
interface between the host and parasite. IMPORTANCE Malaria remains one of the
great global health problems. The parasite that causes malaria (Plasmodium genus)
relies upon exquisite control of its transmission between vertebrate hosts and
mosquitoes. One crucial way that it does so is by proactively producing mRNAs
needed to establish the new infection but by silencing and storing them until
they are needed. One key protein in this process of translational repression in
model eukaryotes is poly(A)-binding protein (PABP). Here we have shown that
Plasmodium yoelii utilizes both a nuclear PABP and a cytosolic PABP, both of
which bind specifically to polyadenylated RNA sequences. Moreover, we find that
the cytosolic PABP forms chains in vitro, consistent with its appreciated role in
coating the poly(A) tails of mRNA. Finally, we have also verified that,
surprisingly, the cytosolic PABP is found on the surface of Plasmodium
sporozoites. Taking the data together, we propose that Plasmodium utilizes a more
metazoan-like strategy for RNA metabolism using specialized PABPs.
PMID- 29359181
TI - Development and Validation of a Serologic Test Panel for Detection of Powassan
Virus Infection in U.S. Patients Residing in Regions Where Lyme Disease Is
Endemic.
AB - Powassan virus (POWV) is an emerging tick-borne arbovirus presenting a public
health threat in North America. POWV lineage II, also known as deer tick virus,
is the strain of the virus most frequently found in Ixodes scapularis ticks and
is implicated in most cases of POWV encephalitis in the United States. Currently,
no commercial tests are available to detect POWV exposure in tick-borne disease
(TBD) patients. We describe here the development and analytical validation of a
serologic test panel to detect POWV infections. The panel uses an indirect enzyme
immunoassay (EIA) to screen. EIA-positive samples reflex to a laboratory
developed, POWV-specific immunofluorescence assay (IFA). The analytical
sensitivity of the test panel was 89%, and the limit of detection was a plaque
reduction neutralization test (PRNT) titer of 1:20. The analytical specificity
was 100% for the IgM assay and 65% for the IgG assay when heterologous-flavivirus
positive samples were tested. On samples collected from regions where Lyme
disease is endemic, seroprevalence for POWV in TBD samples was 9.4% (10 of 106)
versus 2% when tested with non-TBD samples (2 of 100, P = 0.034). No evidence of
POWV infection was seen in samples collected from a region where Lyme disease was
not endemic (0 of 22). This test panel provides a sensitive and specific platform
for detecting a serologic response to POWV early in the course of infection when
neutralizing antibodies may not be detectable. Combined with clinical history,
the panel is an effective tool for identifying acute POWV infection. IMPORTANCE
Approximately 100 cases of POWV disease were reported in the United States over
the past 10 years. Most cases have occurred in the Northeast (52) and Great Lakes
(45) regions (https://www.cdc.gov/powassan/statistics.html). The prevalence of
POWV in ticks and mammals is increasing, and POWV poses an increasing threat in a
greater geographical range. In areas of the Northeast and Midwest where Lyme
disease is endemic, POWV testing is recommended for patients with a recent tick
bite, patients with Lyme disease who have been treated with antibiotics, or
patients with a tick exposure who have tested negative for Lyme disease or other
tick-borne illnesses and have persistent symptoms consistent with posttreatment
Lyme disease. Testing could also benefit patients with tick exposure and
unexplained neurologic symptoms and chronic fatigue syndrome (CFS) patients with
known tick exposure. Until now, diagnostic testing for Powassan virus has not
been commercially available and has been limited to patients presenting with
severe, neurologic complications. The lack of routine testing for Powassan virus
in patients with suspected tick-borne disease means that little information is
available regarding the overall prevalence of the virus and the full spectrum of
clinical symptoms associated with infection. As Ixodes scapularis is the tick
vector for Powassan virus and multiple other tick-borne pathogens, including the
Lyme disease bacterium, Borrelia burgdorferi, the clinical presentations and long
term outcomes of Powassan virus infection and concurrent infection with other
tick-borne disease pathogens remain unknown.
PMID- 29359182
TI - Mitochondrial Voltage-Dependent Anion Channel Protein Por1 Positively Regulates
the Nuclear Localization of Saccharomyces cerevisiae AMP-Activated Protein
Kinase.
AB - Snf1 protein kinase of the yeast Saccharomyces cerevisiae is a member of the
highly conserved eukaryotic AMP-activated protein kinase (AMPK) family, which is
involved in regulating responses to energy limitation. Under conditions of
carbon/energy stress, such as during glucose depletion, Snf1 is catalytically
activated and enriched in the nucleus to regulate transcription. Snf1 catalytic
activation requires phosphorylation of its conserved activation loop threonine
(Thr210) by upstream kinases. Catalytic activation is also a prerequisite for
Snf1's subsequent nuclear enrichment, a process that is mediated by Gal83, one of
three alternate beta-subunits of the Snf1 kinase complex. We previously reported
that the mitochondrial voltage-dependent anion channel (VDAC) proteins Por1 and
Por2 play redundant roles in promoting Snf1 catalytic activation by Thr210
phosphorylation. Here, we show that the por1Delta mutation alone, which by itself
does not affect Snf1 Thr210 phosphorylation, causes defects in Snf1 and Gal83
nuclear enrichment and Snf1's ability to stimulate transcription. We present
evidence that Por1 promotes Snf1 nuclear enrichment by promoting the nuclear
enrichment of Gal83. Overexpression of Por2, which is not believed to have
channel activity, can suppress the localization and transcription activation
defects of the por1Delta mutant, suggesting that the regulatory role played by
Por1 is separable from its channel function. Thus, our findings expand the
positive roles of the yeast VDACs in carbon/energy stress signaling upstream of
Snf1. Since AMPK/Snf1 and VDAC proteins are conserved in evolution, our findings
in yeast may have implications for AMPK regulation in other eukaryotes, including
humans. IMPORTANCE AMP-activated protein kinases (AMPKs) sense energy limitation
and regulate transcription and metabolism in eukaryotes from yeast to humans. In
mammals, AMPK responds to increased AMP-to-ATP or ADP-to-ATP ratios and is
implicated in diabetes, heart disease, and cancer. Mitochondria produce ATP and
are generally thought to downregulate AMPK. Indeed, some antidiabetic drugs
activate AMPK by affecting mitochondrial respiration. ATP release from
mitochondria is mediated by evolutionarily conserved proteins known as voltage
dependent anion channels (VDACs). One would therefore expect VDACs to serve as
negative regulators of AMPK. However, our experiments in yeast reveal the
existence of an opposite relationship. We previously showed that Saccharomyces
cerevisiae VDACs Por1 and Por2 positively regulate AMPK/Snf1 catalytic
activation. Here, we show that Por1 also plays an important role in promoting
AMPK/Snf1 nuclear localization. Our counterintuitive findings could inform
research in areas ranging from diabetes to cancer to fungal pathogenesis.
PMID- 29359183
TI - Maternal HIV-1 Env Vaccination for Systemic and Breast Milk Immunity To Prevent
Oral SHIV Acquisition in Infant Macaques.
AB - Mother-to-child transmission (MTCT) of human immunodeficiency virus type 1 (HIV
1) contributes to an estimated 150,000 new infections annually. Maternal
vaccination has proven safe and effective at mitigating the impact of other
neonatal pathogens and is one avenue toward generating the potentially protective
immune responses necessary to inhibit HIV-1 infection of infants through
breastfeeding. In the present study, we tested the efficacy of a maternal vaccine
regimen consisting of a modified vaccinia virus Ankara (MVA) 1086.C gp120 prime
combined intramuscular-intranasal gp120 boost administered during pregnancy and
postpartum to confer passive protection on infant rhesus macaques against weekly
oral exposure to subtype C simian-human immunodeficiency virus 1157ipd3N4
(SHIV1157ipd3N4) starting 6 weeks after birth. Despite eliciting a robust
systemic envelope (Env)-specific IgG response, as well as durable milk IgA
responses, the maternal vaccine did not have a discernible impact on infant oral
SHIV acquisition. This study revealed considerable variation in vaccine-elicited
IgG placental transfer and a swift decline of both Env-specific antibodies (Abs)
and functional Ab responses in the infants prior to the first challenge,
illustrating the importance of pregnancy immunization timing to elicit optimal
systemic Ab levels at birth. Interestingly, the strongest correlation to the
number of challenges required to infect the infants was the percentage of
activated CD4+ T cells in the infant peripheral blood at the time of the first
challenge. These findings suggest that, in addition to maternal immunization,
interventions that limit the activation of target cells that contribute to
susceptibility to oral HIV-1 acquisition independently of vaccination may be
required to reduce infant HIV-1 acquisition via breastfeeding. IMPORTANCE Without
novel strategies to prevent mother-to-child HIV-1 transmission, more than 5% of
HIV-1-exposed infants will continue to acquire HIV-1, most through breastfeeding.
This study of rhesus macaque dam-and-infant pairs is the first preclinical study
to investigate the protective role of transplacentally transferred HIV-1 vaccine
elicited antibodies and HIV-1 vaccine-elicited breast milk antibody responses in
infant oral virus acquisition. It revealed highly variable placental transfer of
potentially protective antibodies and emphasized the importance of pregnancy
immunization timing to reach peak antibody levels prior to delivery. While there
was no discernible impact of maternal immunization on late infant oral virus
acquisition, we observed a strong correlation between the percentage of activated
CD4+ T cells in infant peripheral blood and a reduced number of challenges to
infection. This finding highlights an important consideration for future studies
evaluating alternative strategies to further reduce the vertical HIV-1
transmission risk.
PMID- 29359185
TI - Antibiotic Treatments for Clostridium difficile Infection Are Associated with
Distinct Bacterial and Fungal Community Structures.
AB - Clostridium difficile infection (CDI) is the most common nosocomial infection in
the United States, being associated with high recurrence and persistence rates.
Though the relationship between intestinal dysbiosis and CDI is well known, it is
unclear whether different forms of dysbiosis may potentially affect the course of
CDI. How this is further influenced by C. difficile-directed antibiotics is
virtually uninvestigated. In this study, diarrheal stool samples were collected
from 20 hospitalized patients, half of whom were confirmed to have CDI. Analyzing
tissue ex vivo and in duplicate, CDI and non-CDI fecal samples (n = 176) were
either not antibiotic treated or treated with metronidazole, vancomycin, or
fidaxomicin, the three most common CDI therapies. The microbial community
composition, interactions, and predicted metabolic functions were assessed by 16S
rRNA gene and internal transcribed spacer sequencing, bipartite network analysis,
and phylogenetic investigation of communities by reconstruction of unobserved
states. Our results demonstrate that while all C. difficile-directed antibiotics
were associated with similar reductions in alpha diversity, beta diversity
significantly differed on the basis of the particular antibiotic, with
differentiating relative abundances of bacterial and fungal assemblages. With the
exception of fidaxomicin, each antibiotic was associated with the emergence of
potentially pathogenic fungal operational taxonomic units, with predicted
bacterial functions enriched for xenobiotic metabolism that could perpetuate the
dysbiosis driving CDI. Toxin-independent mechanisms of colitis related to the
relative abundance of pathogenic bacteria and fungi were also noted. This study
suggests that a transkingdom interaction between fungi and bacteria may be
important in CDI pathophysiology, including being a factor in the historically
high persistence and recurrence rates associated with this disease. IMPORTANCE
Using human fecal samples and including sequencing for both bacterial and fungal
taxa, this study compared the conventional antibiotics used to treat C. difficile
infection (CDI) from the perspective of the microbiome, which is particularly
relevant, given the relationship between dysbiotic states and the development of
CDI. Sequencing and imputed functional analyses suggest that C. difficile
directed antibiotics are associated with distinct forms of dysbiosis that may be
influential in the course of CDI. Further, a role for fungal organisms in the
perpetuation of the causal dysbiosis of CDI is discussed, suggesting a previously
unappreciated, clinically relevant transkingdom interaction that warrants further
study.
PMID- 29359184
TI - Prey Range and Genome Evolution of Halobacteriovorax marinus Predatory Bacteria
from an Estuary.
AB - Halobacteriovorax strains are saltwater-adapted predatory bacteria that attack
Gram-negative bacteria and may play an important role in shaping microbial
communities. To understand how Halobacteriovorax strains impact ecosystems and
develop them as biocontrol agents, it is important to characterize variation in
predation phenotypes and investigate Halobacteriovorax genome evolution. We
isolated Halobacteriovorax marinus BE01 from an estuary in Rhode Island using
Vibrio from the same site as prey. Small, fast-moving, attack-phase BE01 cells
attach to and invade prey cells, consistent with the intraperiplasmic predation
strategy of the H. marinus type strain, SJ. BE01 is a prey generalist, forming
plaques on Vibrio strains from the estuary, Pseudomonas from soil, and
Escherichia coli. Genome analysis revealed extremely high conservation of gene
order and amino acid sequences between BE01 and SJ, suggesting strong selective
pressure to maintain the genome in this H. marinus lineage. Despite this, we
identified two regions of gene content difference that likely resulted from
horizontal gene transfer. Analysis of modal codon usage frequencies supports the
hypothesis that these regions were acquired from bacteria with different codon
usage biases than H. marinus. In one of these regions, BE01 and SJ carry
different genes associated with mobile genetic elements. Acquired functions in
BE01 include the dnd operon, which encodes a pathway for DNA modification, and a
suite of genes involved in membrane synthesis and regulation of gene expression
that was likely acquired from another Halobacteriovorax lineage. This analysis
provides further evidence that horizontal gene transfer plays an important role
in genome evolution in predatory bacteria. IMPORTANCE Predatory bacteria attack
and digest other bacteria and therefore may play a role in shaping microbial
communities. To investigate phenotypic and genotypic variation in saltwater
adapted predatory bacteria, we isolated Halobacteriovorax marinus BE01 from an
estuary in Rhode Island, assayed whether it could attack different prey bacteria,
and sequenced and analyzed its genome. We found that BE01 is a prey generalist,
attacking bacteria from different phylogenetic groups and environments. Gene
order and amino acid sequences are highly conserved between BE01 and the H.
marinus type strain, SJ. By comparative genomics, we detected two regions of gene
content difference that likely occurred via horizontal gene transfer events.
Acquired genes encode functions such as modification of DNA, membrane synthesis
and regulation of gene expression. Understanding genome evolution and variation
in predation phenotypes among predatory bacteria will inform their development as
biocontrol agents and clarify how they impact microbial communities.
PMID- 29359186
TI - Recombinant Zika Virus Subunits Are Immunogenic and Efficacious in Mice.
AB - Following the 2015 Zika virus (ZIKV) outbreaks in the South Pacific, Caribbean,
and Americas, ZIKV has emerged as a serious threat due to its association with
infantile microcephaly and other neurologic disorders. Despite an international
effort to develop a safe and effective vaccine to combat congenital Zika syndrome
and ZIKV infection, only DNA and mRNA vaccines encoding the precursor membrane
(prM) and envelope (E) proteins, an inactivated-ZIKV vaccine, and a measles virus
based ZIKV vaccine are currently in phase I or II (prM/E DNA) clinical trials. A
ZIKV vaccine based on a nonreplicating, recombinant subunit platform offers a
higher safety profile than other ZIKV vaccine candidates but is still highly
immunogenic, inducing high virus-neutralizing antibody titers. Here, we describe
the production and purification of Drosophila melanogaster S2 insect cell
derived, soluble ZIKV E protein and evaluate its immunogenicity and efficacy in
three different mouse strains. As expected, significant virus-specific antibody
titers were observed when using formulations containing clinically relevant
adjuvants. Immunized mice challenged with live virus demonstrate inhibition of
virus replication. Importantly, plaque reduction neutralization tests (PRNTs)
indicate the high-titer production of neutralizing antibodies, a correlate of
protection in the defense against ZIKV infection. ZIKV challenge of
immunocompetent mice led to full protection against viremia with two doses of
adjuvanted vaccine candidates. These data demonstrate a proof of concept and
establish recombinant subunit immunogens as an effective vaccine candidate
against ZIKV infection. IMPORTANCE The recent outbreaks of Zika virus (ZIKV)
infection in French Polynesia, the Caribbean, and the Americas have highlighted
the severe neuropathological sequelae that such an infection may cause. The
development of a safe, effective ZIKV vaccine is critical for several reasons:
(i) the difficulty in diagnosing an active infection due to common nonspecific
symptoms, (ii) the lack of a specific antiviral therapy, and (iii) the
potentially devastating pathological effects of in utero infection. Moreover, a
vaccine with an excellent safety profile, such as a nonreplicating, noninfectious
vaccine, would be ideal for high-risk people (e.g., pregnant women,
immunocompromised patients, and elderly individuals). This report describes the
development of a recombinant subunit protein vaccine candidate derived from
stably transformed insect cells expressing the ZIKV envelope protein in vitro,
the primary antigen to which effective virus-neutralizing antibodies are
engendered by immunized animals for several other flaviviruses; the vaccine
candidate elicits effective virus-neutralizing antibodies against ZIKV and
provides protection against ZIKV infection in mice.
PMID- 29359187
TI - The Small RNA ncS35 Regulates Growth in Burkholderia cenocepacia J2315.
AB - Burkholderia cenocepacia J2315 is a member of the B. cepacia complex. It has a
large genome with three replicons and one plasmid; 7,261 genes code for annotated
proteins, while 113 code for functional RNAs. Small regulatory RNAs of B.
cenocepacia have not yet been functionally characterized. We investigated a small
regulatory RNA, designated ncS35, that was discovered by differential RNA
sequencing. Its expression under various conditions was quantified, and a
deletion mutant, DeltancS35, was constructed. Compared to planktonic growth in a
rich medium, the expression of ncS35 was elevated when B. cenocepacia J2315 was
grown in biofilms and in minimal medium. Cells of the deletion mutant showed
increased aggregation, higher metabolic activity, a higher growth rate, and an
increased susceptibility to tobramycin. A transcriptomic analysis revealed
upregulation of the phenylacetic acid and tryptophan degradation pathways in
DeltancS35. Computational target prediction indicated that ncS35 likely interacts
with the first gene of the tryptophan degradation pathway. Overall, we
demonstrated that small RNA ncS35 is a noncoding RNA with an attenuating effect
on the metabolic rate and growth. It is possible that slower growth protects B.
cenocepacia J2315 against stressors acting on fast-dividing cells and enhances
survival under unfavorable conditions. IMPORTANCE Small RNAs play an important
role in the survival of bacteria in diverse environments. We explored the
physiological role of ncS35, a small RNA expressed in B. cenocepacia J2315, an
opportunistic pathogen in cystic fibrosis patients. In cystic fibrosis patients,
infections can lead to "cepacia syndrome," a rapidly progressing and often fatal
pneumonia. Infections with Burkholderia spp. are difficult to threat with
antibiotics because of their high intrinsic resistance and ability to form
biofilms. We show that ncS35 attenuates the growth and reduces the metabolic rate
of B. cenocepacia and influences biofilm structure. This demonstrates that as-yet
uncharacterized small RNAs with regulatory function can influence physiological
traits of B. cenocepacia that are relevant for infection.
PMID- 29359188
TI - Identification of Head and Neck Cancer Subtypes Based on Human Papillomavirus
Presence and E2F-Regulated Gene Expression.
AB - Human papillomavirus (HPV) is present in a subset of head and neck squamous cell
carcinomas (HNSCCs). The cell cycle regulatory Rb-E2F pathway is a major target
of HPV and is perturbed by these viruses in cell culture and animal models, as
well as in human tumors. In this study, we examined differences in the Rb-E2F
pathway displayed by HPV-positive (HPV+) and HPV-negative (HPV-) HNSCC tumors. We
created a computational approach that effectively categorizes gene expression as
unchanged, downregulated, or upregulated by comparing the gene's mRNA levels in
the tumor to the corresponding mRNA levels across normal tissue samples. Our
findings suggest that there are three major HNSCC subtypes, defined by
differences in the presence of HPV and in E2F-regulated gene expression. Most
HPV+ HNSCC tumors show upregulation of E2F-regulated genes, which is consistent
with inactivation of Rb by the virus-encoded E7 protein. In contrast, many HPV-
HNSCCs show little or no change in the Rb-E2F pathway. However, we also
identified a set of tumors that show alterations in the Rb-E2F pathway in the
absence of HPV. Thus, one class of HPV- HNSCCs arise without significant
alterations of the Rb-E2F pathway, while a second class of tumors appear to
deregulate this pathway independently of the presence of HPV. IMPORTANCE Cancer
is a complex disease that can be caused by a multitude of factors. HNSCC is
complicated because some of these cancers are clearly associated with HPV, while
others have no viral involvement. Determining the pathways that are commonly
altered in both types of HNSCC, as well as those that are unique to viral and
nonviral tumors, is important for a basic understanding of how these cancers
arise and progress and critical to the development of targeted therapies. In this
work, we show that all HPV-associated tumors have increased expression of E2F
target genes, indicating that the tumor suppressor function of Rb is blocked.
Importantly, Rb is also inhibited in a subset of nonviral tumors, suggesting that
mutations present in these cancers mimic the action of the HPV E6 and E7
oncogenes.
PMID- 29359189
TI - An Intracellular Ammonium Transporter Is Necessary for Replication,
Differentiation, and Resistance to Starvation and Osmotic Stress in Trypanosoma
cruzi.
AB - Trypanosoma cruzi, the etiologic agent of Chagas disease, undergoes drastic
metabolic changes when it transits between a vector and mammalian hosts. Amino
acid catabolism leads to the production of ammonium (NH4+), which needs to be
detoxified. However, T. cruzi does not possess a urea cycle, and it is unknown
how intracellular levels of ammonium are controlled. In this work, we identified
an intracellular ammonium transporter of T. cruzi (TcAMT) that localizes to
acidic compartments (reservosomes, lysosomes). TcAMT has 11 transmembrane domains
and possesses all conserved and functionally important amino acid residues that
form the pore in other ammonium transporters. Functional expression in Xenopus
oocytes followed by a two-electrode voltage clamp showed an inward current that
is NH4+ dependent at a resting membrane potential (Vh ) lower than -120 mV and is
not pH dependent, suggesting that TcAMT is not an NH4+/H+ cotransporter but an
NH4+ or NH3/H+ transporter. Ablation of TcAMT by clustered regularly interspaced
short palindromic repeat analysis with Cas9 (CRISPR-Cas9) resulted in significant
defects in epimastigote and amastigote replication, differentiation, and
resistance to starvation and osmotic stress. IMPORTANCETrypanosoma cruzi is an
important human and animal pathogen and the etiologic agent of Chagas disease.
The parasite undergoes drastic changes in its metabolism during its life cycle.
Amino acid consumption becomes important in the infective stages and leads to the
production of ammonia (NH3), which needs to be detoxified. We report here the
identification of an ammonium (NH4+) transporter that localizes to acidic
compartments and is important for replication, differentiation, and resistance to
starvation and osmotic stress.
PMID- 29359191
TI - Targeting Virulence in Staphylococcus aureus by Chemical Inhibition of the
Accessory Gene Regulator System In Vivo.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) presents one of the most
serious health concerns worldwide. The WHO labeled it as a "high-priority"
pathogen in 2017, also citing the more recently emerged vancomycin-intermediate
and -resistant strains. With the spread of antibiotic resistance due in large
part to the selective pressure exerted by conventional antibiotics, the use of
antivirulence strategies has been recurrently proposed as a promising therapeutic
approach. In MRSA, virulence is chiefly controlled by quorum sensing (QS);
inhibitors of QS are called quorum quenchers (QQ). In S. aureus, the majority of
QS components are coded for by the accessory gene regulator (Agr) system.
Although much work has been done to develop QQs against MRSA, only a few studies
have progressed to in vivo models. Those studies include both prophylactic and
curative models of infection as well as combination treatments with antibiotic.
For most, high efficacy is seen at attenuating MRSA virulence and pathogenicity,
with some studies showing effects such as synergy with antibiotics and antibiotic
resensitization. This minireview aims to summarize and derive conclusions from
the literature on the in vivo efficacy of QQ agents in MRSA infection models. In
vitro data are also summarized to provide sufficient background on the hits
discussed. On the whole, the reported in vivo effects of the reviewed QQs against
MRSA represent positive progress at this early stage in drug development. Follow
up studies that thoroughly examine in vitro and in vivo activity are needed to
propel the field forward and set the stage for lead optimization.
PMID- 29359190
TI - Dating the Cryptococcus gattii Dispersal to the North American Pacific Northwest.
AB - The emergence of Cryptococcus gattii, previously regarded as a predominantly
tropical pathogen, in the temperate climate of the North American Pacific
Northwest (PNW) in 1999 prompted several questions. The most prevalent among
these was the timing of the introduction of this pathogen to this novel
environment. Here, we infer tip-dated timing estimates for the three clonal C.
gattii populations observed in the PNW, VGIIa, VGIIb, and VGIIc, based on whole
genome sequencing of 134 C. gattii isolates and using Bayesian evolutionary
analysis by sampling trees (BEAST). We estimated the nucleotide substitution rate
for each lineage (1.59 * 10-8, 1.59 * 10-8, and 2.70 * 10-8, respectively) to be
an order of magnitude higher than common neutral fungal mutation rates (2.0 * 10
9), indicating a microevolutionary rate (e.g., successive clonal generations in a
laboratory) in comparison to a species' slower, macroevolutionary rate (e.g.,
when using fossil records). The clonal nature of the PNW C. gattii emergence over
a narrow number of years would therefore possibly explain our higher mutation
rates. Our results suggest that the mean time to most recent common ancestor for
all three sublineages occurred within the last 60 to 100 years. While the cause
of C. gattii dispersal to the PNW is still unclear, our research estimates that
the arrival is neither ancient nor very recent (i.e., <25 years ago), making a
strong case for an anthropogenic introduction. IMPORTANCE The recent emergence of
the pathogenic fungus Cryptococcus gattii in the Pacific Northwest (PNW) resulted
in numerous investigations into the epidemiological and enzootic impacts, as well
as multiple genomic explorations of the three primary molecular subtypes of the
fungus that were discovered. These studies lead to the general conclusion that
the subtypes identified likely emerged out of Brazil. Here, we conducted genomic
dating analyses to determine the ages of the various lineages seen in the PNW and
propose hypothetical causes for the dispersal events. Bayesian evolutionary
analysis strongly suggests that these independent fungal populations in the PNW
are all 60 to 100 years old, providing a timing that is subsequent to the opening
of the Panama Canal, which allowed for more direct shipping between Brazil and
the western North American coastline, a possible driving event for these fungal
translocation events.
PMID- 29359193
TI - Ecological Stability Properties of Microbial Communities Assessed by Flow
Cytometry.
AB - Natural microbial communities affect human life in countless ways, ranging from
global biogeochemical cycles to the treatment of wastewater and health via the
human microbiome. In order to probe, monitor, and eventually control these
communities, fast detection and evaluation methods are required. In order to
facilitate rapid community analysis and monitor a community's dynamic behavior
with high resolution, we here apply community flow cytometry, which provides
single-cell-based high-dimensional data characterizing communities with high
acuity over time. To interpret time series data, we draw inspiration from
macroecology, in which a rich set of concepts has been developed for describing
population dynamics. We focus on the stability paradigm as a promising candidate
to interpret such data in an intuitive and actionable way and present a rapid
workflow to monitor stability properties of complex microbial ecosystems. Based
on single-cell data, we compute the stability properties resistance, resilience,
displacement speed, and elasticity. For resilience, we also introduce a method
which can be implemented for continuous online community monitoring. The proposed
workflow was tested in a long-term continuous reactor experiment employing both
an artificial and a complex microbial community, which were exposed to identical
short-term disturbances. The computed stability properties uncovered the superior
stability of the complex community and demonstrated the global applicability of
the protocol to any microbiome. The workflow is able to support high temporal
sample densities below bacterial generation times. This may provide new
opportunities to unravel unknown ecological paradigms of natural microbial
communities, with applications to environmental, biotechnological, and health
related microbiomes. IMPORTANCE Microbial communities drive many processes which
affect human well-being directly, as in the human microbiome, or indirectly, as
in natural environments or in biotechnological applications. Due to their
complexity, their dynamics over time is difficult to monitor, and current
sequence-based approaches are limited with respect to the temporal resolution.
However, in order to eventually control microbial community dynamics, monitoring
schemes of high temporal resolution are required. Flow cytometry provides single
cell-based data in the required temporal resolution, and we here use such data to
compute stability properties as easy to interpret univariate indicators of
microbial community dynamics. Such monitoring tools will allow for a fast,
continuous, and cost-effective screening of stability states of microbiomes.
Applicable to various environments, including bioreactors, surface water, and the
human body, it will contribute to the development of control schemes to
manipulate microbial community structures and performances.
PMID- 29359192
TI - Targeted Phenotypic Screening in Plasmodium falciparum and Toxoplasma gondii
Reveals Novel Modes of Action of Medicines for Malaria Venture Malaria Box
Molecules.
AB - The Malaria Box collection includes 400 chemically diverse small molecules with
documented potency against malaria parasite growth, but the underlying modes of
action are largely unknown. Using complementary phenotypic screens against
Plasmodium falciparum and Toxoplasma gondii, we report phenotype-specific hits
based on inhibition of overall parasite growth, apicoplast segregation, and
egress or host invasion, providing hitherto unavailable insights into the
possible mechanisms affected. First, the Malaria Box library was screened against
tachyzoite stage T. gondii and the half-maximal effective concentrations (EC50s)
of molecules showing >=80% growth inhibition at 10 uM were determined. Comparison
of the EC50s for T. gondii and P. falciparum identified a subset of 24 molecules
with nanomolar potency against both parasites. Thirty molecules that failed to
induce acute growth inhibition in T. gondii tachyzoites in a 2-day assay caused
delayed parasite death upon extended exposure, with at least three molecules
interfering with apicoplast segregation during daughter cell formation. Using
flow cytometry and microscopy-based examinations, we prioritized 26 molecules
with the potential to inhibit host cell egress/invasion during asexual
developmental stages of P. falciparum. None of the inhibitors affected digestive
vacuole integrity, ruling out a mechanism mediated by broadly specific protease
inhibitor activity. Interestingly, five of the plasmodial egress inhibitors
inhibited ionophore-induced egress of T. gondii tachyzoites. These findings
highlight the advantage of comparative and targeted phenotypic screens in related
species as a means to identify lead molecules with a conserved mode of action.
Further work on target identification and mechanism analysis will facilitate the
development of antiparasitic compounds with cross-species efficacy. IMPORTANCE
The phylum Apicomplexa includes many human and animal pathogens, such as
Plasmodium falciparum (human malaria) and Toxoplasma gondii (human and animal
toxoplasmosis). Widespread resistance to current antimalarials and the lack of a
commercial vaccine necessitate novel pharmacological interventions with distinct
modes of action against malaria. For toxoplasmosis, new drugs to effectively
eliminate tissue-dwelling latent cysts of the parasite are needed. The Malaria
Box antimalarial collection, managed and distributed by the Medicines for Malaria
Venture, includes molecules of novel chemical classes with proven antimalarial
efficacy. Using targeted phenotypic assays of P. falciparum and T. gondii, we
have identified a subset of the Malaria Box molecules as potent inhibitors of
plastid segregation and parasite invasion and egress, thereby providing early
insights into their probable mode of action. Five molecules that inhibit the
egress of both parasites have been identified for further mechanistic studies.
Thus, the approach we have used to identify novel molecules with defined modes of
action in multiple parasites can expedite the development of pan-active
antiparasitic agents.
PMID- 29359194
TI - RNase III Domain of KREPB9 and KREPB10 Association with Editosomes in Trypanosoma
brucei.
AB - Editosomes are the multiprotein complexes that catalyze the insertion and
deletion of uridines to create translatable mRNAs in the mitochondria of
kinetoplastids. Recognition and cleavage of a broad diversity of RNA substrates
in vivo require three functionally distinct RNase III-type endonucleases, as well
as five additional editosome proteins that contain noncatalytic RNase III
domains. RNase III domains have recently been identified in the editosome
accessory proteins KREPB9 and KREPB10, suggesting a role related to editing
endonuclease function. In this report, we definitively show that KREPB9 and
KREPB10 are not essential in either bloodstream-form parasites (BF) or procyclic
form parasites (PF) by creating null or conditional null cell lines. While
preedited and edited transcripts are largely unaffected by the loss of KREPB9 in
both PF and BF, loss of KREPB10 produces distinct responses in BF and PF. BF
cells lacking KREPB10 also lack edited CYb, while PF cells have increased edited
A6, RPS12, ND3, and COII after loss of KREPB10. We also demonstrate that mutation
of the RNase III domain of either KREPB9 or KREPB10 results in decreased
association with ~20S editosomes. Editosome interactions with KREPB9 and KREPB10
are therefore mediated by the noncatalytic RNase III domain, consistent with a
role in endonuclease specialization in Trypanosoma brucei. IMPORTANCETrypanosoma
brucei is a protozoan parasite that causes African sleeping sickness. U
insertion/deletion RNA editing in T. brucei generates mature mitochondrial mRNAs.
Editing is essential for survival in mammalian hosts and tsetse fly vectors and
is differentially regulated during the parasite life cycle. Three multiprotein
"editosomes," typified by exclusive RNase III endonucleases that act at distinct
sites, catalyze editing. Here, we show that editosome accessory proteins KREPB9
and KREPB10 are not essential for mammalian blood- or insect-form parasite
survival but have specific and differential effects on edited RNA abundance in
different stages. We also characterize KREPB9 and KREPB10 noncatalytic RNase III
domains and show they are essential for editosome association, potentially via
dimerization with RNase III domains in other editosome proteins. This work
enhances the understanding of distinct editosome and accessory protein functions,
and thus differential editing, during the parasite life cycle and highlights the
importance of RNase III domain interactions to editosome architecture.
PMID- 29359195
TI - Machine Learning Leveraging Genomes from Metagenomes Identifies Influential
Antibiotic Resistance Genes in the Infant Gut Microbiome.
AB - Antibiotic resistance in pathogens is extensively studied, and yet little is
known about how antibiotic resistance genes of typical gut bacteria influence
microbiome dynamics. Here, we leveraged genomes from metagenomes to investigate
how genes of the premature infant gut resistome correspond to the ability of
bacteria to survive under certain environmental and clinical conditions. We found
that formula feeding impacts the resistome. Random forest models corroborated by
statistical tests revealed that the gut resistome of formula-fed infants is
enriched in class D beta-lactamase genes. Interestingly, Clostridium difficile
strains harboring this gene are at higher abundance in formula-fed infants than
C. difficile strains lacking this gene. Organisms with genes for major
facilitator superfamily drug efflux pumps have higher replication rates under all
conditions, even in the absence of antibiotic therapy. Using a machine learning
approach, we identified genes that are predictive of an organism's direction of
change in relative abundance after administration of vancomycin and cephalosporin
antibiotics. The most accurate results were obtained by reducing annotated
genomic data to five principal components classified by boosted decision trees.
Among the genes involved in predicting whether an organism increased in relative
abundance after treatment are those that encode subclass B2 beta-lactamases and
transcriptional regulators of vancomycin resistance. This demonstrates that
machine learning applied to genome-resolved metagenomics data can identify key
genes for survival after antibiotics treatment and predict how organisms in the
gut microbiome will respond to antibiotic administration. IMPORTANCE The process
of reconstructing genomes from environmental sequence data (genome-resolved
metagenomics) allows unique insight into microbial systems. We apply this
technique to investigate how the antibiotic resistance genes of bacteria affect
their ability to flourish in the gut under various conditions. Our analysis
reveals that strain-level selection in formula-fed infants drives enrichment of
beta-lactamase genes in the gut resistome. Using genomes from metagenomes, we
built a machine learning model to predict how organisms in the gut microbial
community respond to perturbation by antibiotics. This may eventually have
clinical applications.
PMID- 29359196
TI - Magic Pools: Parallel Assessment of Transposon Delivery Vectors in Bacteria.
AB - Transposon mutagenesis coupled to next-generation sequencing (TnSeq) is a
powerful approach for discovering the functions of bacterial genes. However, the
development of a suitable TnSeq strategy for a given bacterium can be costly and
time-consuming. To meet this challenge, we describe a part-based strategy for
constructing libraries of hundreds of transposon delivery vectors, which we term
"magic pools." Within a magic pool, each transposon vector has a different
combination of upstream sequences (promoters and ribosome binding sites) and
antibiotic resistance markers as well as a random DNA barcode sequence, which
allows the tracking of each vector during mutagenesis experiments. To identify an
efficient vector for a given bacterium, we mutagenize it with a magic pool and
sequence the resulting insertions; we then use this efficient vector to generate
a large mutant library. We used the magic pool strategy to construct transposon
mutant libraries in five genera of bacteria, including three genera of the phylum
Bacteroidetes. IMPORTANCE Molecular genetics is indispensable for interrogating
the physiology of bacteria. However, the development of a functional genetic
system for any given bacterium can be time-consuming. Here, we present a
streamlined approach for identifying an effective transposon mutagenesis system
for a new bacterium. Our strategy first involves the construction of hundreds of
different transposon vector variants, which we term a "magic pool." The efficacy
of each vector in a magic pool is monitored in parallel using a unique DNA
barcode that is introduced into each vector design. Using archived DNA "parts,"
we next reassemble an effective vector for making a whole-genome transposon
mutant library that is suitable for large-scale interrogation of gene function
using competitive growth assays. Here, we demonstrate the utility of the magic
pool system to make mutant libraries in five genera of bacteria.
PMID- 29359197
TI - Prevalence and Source of Fecal and Oral Bacteria on Infant, Child, and Adult
Hands.
AB - Modern hygienic practices are applied to avoid exposure to pathogens that spread
via fecal-oral transmission. Despite this, the gastrointestinal tract is quickly
colonized by fecal microbes. The hands are an important vector for the
transmission of microbes, but the frequency at which fecal and oral microbes
exist on hands and the source of those microbes have not been extensively
described. Using data from a previous study that characterized the fecal, oral,
and skin microbiota from 73 families, we found a significant incidence of fecal
and oral microbes on hands. Of palms, 48.9% had fecal signal and 67.2% had oral
signal. Fecal, oral, and forehead microbes were tracked to family members and an
individual's own palms far more often than to unrelated individuals and showed
relationships with age, gender, and parental status. For instance, oral microbes
that were specifically sourced to the same individual (oneself) were most common
on infant palms; mothers had more infant-child-sourced and oral-sourced microbes
on their palms than nonparents. Fecal microbes on palms more often sourced to
members of the family than unrelated individuals, but more often to other members
of the family than oneself. This study supports that the hands are an important
vector for the transfer of fecal and oral microbes within families. IMPORTANCE
Bacteria live all around us, and we are constantly exposed to them during our
everyday lives. Modern standards of hygiene aim to limit exposure to fecal
bacteria, and yet bacteria rapidly colonize the gut in early life and following
antibacterial treatment. Exposures to fecal and oral microbes provide risk of
disease, but are also necessary since commensal microbes play important roles in
health. This work establishes that bacteria of both fecal and oral origins are
commonly found on hands. It also establishes that the uniqueness of fecal and
oral bacterial communities across people can allow for determination of the
likely individual from whom the fecal and oral bacteria came. These techniques
allow for understanding the hands as a vector for microbial transmission within
families and across populations, which has important implications for public
health.
PMID- 29359198
TI - Beyond the Binary: Differences in Eating Disorder Prevalence by Gender Identity
in a Transgender Sample.
AB - Purpose: To investigate whether the prevalence of eating disorders (EDs) differs
across diverse gender identity groups in a transgender sample. Methods: Secondary
analysis of data from Project VOICE, a cross-sectional study of stress and health
among 452 transgender adults (ages 18-75 years) residing in Massachusetts. Age
adjusted logistic regression models were fit to compare the prevalence of self
reported lifetime EDs in female-to-male (FTM), male-to-female (MTF), and gender
nonconforming participants assigned male at birth (MBGNC) to gender-nonconforming
participants assigned female at birth (FBGNC; referent). Results: The age
adjusted odds of self-reported ED in MTF participants were 0.14 times the odds of
self-reported ED in FBGNC participants (p=0.022). In FTM participants, the age
adjusted odds of self-reported ED were 0.46 times the odds of self-reported ED in
FBGNC participants, a marginally significant finding (p=0.068). No statistically
significant differences in ED prevalence were found for MBGNC individuals.
Conclusions: Gender nonconforming individuals assigned a female sex at birth
appear to have heightened lifetime risk of EDs relative to MTF participants.
Further research into specific biologic and psychosocial ED risk factors and
gender-responsive intervention strategies are urgently needed. Training clinical
providers and ensuring competency of treatment services beyond the gender binary
will be vital to addressing this disparity.
PMID- 29359199
TI - Project SARET: An interprofessional education (IPE) lens examines substance use
disorders research education for health professional students.
AB - The NIDA funded Substance Abuse Education, Research and Training (SARET) Program
addresses the compelling need for health professionals prepared to engage in
substance use disorders (SUD) research. The goal of this interprofessional
project, structured by an Executive Committee of co-investigators from the
disciplines of medicine, nursing, social work and dentistry, is to increase the
skills of students from each discipline for interprofessional research
collaboration and early career-development opportunities in SUD research. The
development of web-based modules, interprofessional seminars and a model
mentorship program were designed as well, for dissemination and evaluation by
other health professional schools. The educational format is 6 interactive web
based learning modules, providing an overview of core content on Substance Use
Disorders (SUD), summer or year-long mentored research experiences with NIH
funded researchers and small interprofessional seminars and site visits.
Assessment consists of self-reported annual student learning outcomes and
external editorial and advisory board project and curricular materials review.
These reviews encourages the updating of materials and provide flexibility for
participating "champions" at other schools who use the modules. Quantitative and
qualitative outcomes of student research activities and data on dissemination of
modules support the fit between project content and interprofessional teaching
modalities. The learning modules are available without charge to individuals,
students, faculty or health professional programs from the project's website.
PMID- 29359201
TI - Morphological Development of Eggs, Larvae and Juveniles of the Far Eastern
Catfish, Silurus asotus in Korea (Pisces: Siluridae).
AB - This study was conducted to investigate egg development and larvae morphological
development of catfish and to provide basic data to clarify the genetic
relationship with Siluriformes fish. The mother fish that was used in this study
was caught in the stream of Nakdong River in Uiseong-gun, Gyeongbuk. The
temperature range of the breeding was 23.0-25.0C (mean 24.0+/-1.0C) and egg size
was 1.62-1.70 mm (mean 1.66+/-0.05, n=30). Eggs of catfish began hatching at 54
hours and 40 minutes after fertilization. Immediately after hatching, the total
length of larvae was 3.60-3.65 mm (mean 3.62+/-0.03, n=5) and had an egg yolk
without swimming ability. On the third day after hatching, the larvae at the
medium stage was 8.00-8.65 mm (mean 8.32+/-0.45) in total length, and two pairs
of whiskers formed around the mouth were elongated. On the 12th day after
hatching, the larvae at the juvenile stage was 16.5-17.0 mm (mean 16.7+/-0.35) in
total length, and the stem of each fin was in the range, and the juvenile at this
period was morphologically similar to the mother fish.
PMID- 29359200
TI - A Review of Mechanisms of Implantation.
AB - Implantation is a highly organized process that involves an interaction between a
receptive uterus and a competent blastocyst. In humans, natural fecundity
suggests that the chance of conception per cycle is relatively low (~30%) and two
third of lost pregnancies occur because of implantation failure. Defective
implantation leads to adverse pregnancy outcomes including infertility,
spontaneous miscarriage, intrauterine fetal growth restriction and preeclampsia.
With use of advanced scientific technologies, gene expression analysis and
genetically-engineered animal models have revealed critical cellular networks and
molecular pathways. But, because of ethical restrictions and the lack of a
mechanistic experiment, comprehensive steps in human implantation have still not
been completely understood. This review primarily focuses on the recent advances
in mechanisms of implantation. Because infertility is an emerging issue these
days, gaining an understanding the molecular and hormonal signaling pathway will
improve the outcome of natural pregnancy and assisted reproductive technology.
PMID- 29359202
TI - Characterization of Tetraploid Somatic Cell Nuclear Transfer-Derived Human
Embryonic Stem Cells.
AB - Polyploidy is occurred by the process of endomitosis or cell fusion and usually
represent terminally differentiated stage. Their effects on the developmental
process were mainly investigated in the amphibian and fishes, and only observed
in some rodents as mammalian model. Recently, we have established tetraploidy
somatic cell nuclear transfer-derived human embryonic stem cells (SCNT-hESCs) and
examined whether it could be available as a research model for the polyploidy
cells existed in the human tissues. Two tetraploid hESC lines were artificially
acquired by reintroduction of remained 1st polar body during the establishment of
SCNT-hESC using MII oocytes obtained from female donors and dermal fibroblasts
(DFB) from a 35-year-old adult male. These tetraploid SCNT-hESC lines (CHA-NT1
and CHA-NT3) were identified by the cytogenetic genotyping (91, XXXY,-6, t[2:6] /
92,XXXY,-12,+20) and have shown of indefinite proliferation, but slow speed when
compared to euploid SCNT-hESCs. Using the eight Short Tendem Repeat (STR)
markers, it was confirmed that both CHA-NT1 and CHA-NT3 lines contain both
nuclear and oocyte donor genotypes. These hESCs expressed pluripotency markers
and their embryoid bodies (EB) also expressed markers of the three embryonic germ
layers and formed teratoma after transplantation into immune deficient mice. This
study showed that tetraploidy does not affect the activities of proliferation and
differentiation in SCNT-hESC. Therefore, tetraploid hESC lines established after
SCNT procedure could be differentiated into various types of cells and could be
an useful model for the study of the polyploidy cells in the tissues.
PMID- 29359203
TI - Rare Undiagnosed Primary Amyloidosis Unmasked During Surgical Treatment of
Primary Hyperparathyroidism: A Case Report.
AB - Primary amyloidosis (PA) is a protein deposition disorder that presents with
localized or multisystemic disease. The incidence is low in the general public,
ranging from three to eight cases per million, and with nonspecific presenting
symptoms typically occurring later in life. Due to late presentation, substantial
and irreversible damage has usually already occurred by the time of the
diagnosis. However, if inadvertent diagnosis occurs before irreversible damage
has taken place, as it did in the following case, some patients may benefit from
the disease-arresting treatment. A 70-year-old female with a history of
obstructive sleep apnea, hypertension, and arthritis presented with worsening
dysphagia and biochemically confirmed primary hyperparathyroidism (PHPT). Further
workup demonstrated multinodular goiter with compressive symptoms and substernal
extension, osteopenia, and discrepant parathyroid localization on imaging.
Intraoperatively, markedly difficult dissection and obliteration of tissue planes
were encountered. Extensive, diffuse amyloid deposition in both the normal and
pathologic parathyroid glands and thyroid tissue on surgical pathology leads to
subsequent fibril typing by mass spectrometry and leads to the diagnostic of
primary amyloid light-chain (AL) amyloidosis (PA; lambda light chains).
Subsequent workup for the underlying cause of the amyloid deposition revealed an
immunoglobulin A monoclonal gammopathy of unknown significance. The surgical
treatment of PHPT and compressive thyroid nodule unmasked an undiagnosed PA,
allowing for early workup and monitoring of the progression of amyloidosis. The
temporal comorbidity of PHPT and PA raises an interesting and, as yet, unanswered
question regarding the pathophysiologic association between the two conditions.
PMID- 29359204
TI - Analyzing Distributional Learning of Phonemic Categories in Unsupervised Deep
Neural Networks.
AB - Infants' speech perception adapts to the phonemic categories of their native
language, a process assumed to be driven by the distributional properties of
speech. This study investigates whether deep neural networks (DNNs), the current
state-of-the-art in distributional feature learning, are capable of learning
phoneme-like representations of speech in an unsupervised manner. We trained DNNs
with unlabeled and labeled speech and analyzed the activations of each layer with
respect to the phones in the input segments. The analyses reveal that the
emergence of phonemic invariance in DNNs is dependent on the availability of
phonemic labeling of the input during the training. No increased phonemic
selectivity of the hidden layers was observed in the purely unsupervised networks
despite successful learning of low-dimensional representations for speech. This
suggests that additional learning constraints or more sophisticated models are
needed to account for the emergence of phone-like categories in distributional
learning operating on natural speech.
PMID- 29359206
TI - Exploring oligomeric state of the serotonin1A receptor utilizing photobleaching
image correlation spectroscopy: implications for receptor function.
AB - The oligomerization of G protein-coupled receptors (GPCRs) represents an
important process in GPCR function and drug discovery. We have addressed
cholesterol-dependent oligomerization state of the serotonin1A receptor, a
representative GPCR and an important drug target, utilizing photobleaching image
correlation spectroscopy (pbICS). pbICS allows determination of oligomeric state
of membrane receptors since change in cluster density upon photobleaching is
dependent on the oligomeric state. Our results show that oligomeric state of the
serotonin1A receptor is modulated by cell membrane cholesterol and a trimeric
population of the receptor prevails in control (normal) cholesterol conditions.
Interestingly, upon lowering membrane cholesterol, the predominant oligomeric
population of the receptor changes to dimers. This is associated with an increase
in specific ligand binding activity of the receptor, thereby implying a crucial
role of receptor dimers in ligand binding activity. Upon cholesterol
replenishment, the distribution of receptor oligomers is further changed such
that the trimers become the major population, with a concomitant restoration of
ligand binding activity to the control level. These results demonstrate the
utility of pbICS in monitoring oligomeric states of membrane receptors in
general, and the cholesterol-dependent oligomeric state of the serotonin1A
receptor in particular. We envision that functional correlates of oligomeric
states of GPCRs could provide better understanding of GPCR function in health and
disease, and help design better therapeutic strategies.
PMID- 29359205
TI - pH-Mediated molecular differentiation for fluorimetric quantification of
chemotherapeutic drugs in human plasma.
AB - At present, drug dosage is based on standardised approaches that disregard
pharmakokinetic differences between patients and lead to non-optimal efficacy and
unnecessary side effects. In this work, we demonstrate the potential of pH
mediated fluorescence spectroscopy for therapeutic drug monitoring in complex
media. We apply this principle to the simultaneous quantification of the
chemotherapeutic prodrug Irinotecan and its active metabolite SN-38 from human
plasma across the clinically relevant concentration range, i.e. from micromolar
to nanomolar at molar ratios of up to 30 : 1.
PMID- 29359207
TI - Two-dimensional electronic spectroscopy as a tool for tracking molecular
conformations in DNA/RNA aggregates.
AB - A computational strategy to simulate two-dimensional electronic spectra (2DES) is
introduced, which allows us to analyse ground state dynamics and to sample and
measure different conformations attained by flexible molecular systems in
solution. An explicit mixed quantum mechanics/molecular mechanics (QM/MM)
approach is employed for the evaluation of the necessary electronic excited state
energies and transition dipole moments. The method is applied towards a study of
the highly flexible water-solvated adenine-adenine monophosphate (ApA), a system
featuring two interacting adenine moieties that display various intermolecular
arrangements, known to deeply affect their photochemical outcome. Molecular
dynamics simulations and cluster analysis have been used to select the molecular
conformations, reducing the complexity of the flexible ApA conformational space.
By using our sum-over-states (SOS) approach to obtain the 2DES spectra for each
of these selected conformations, we can discern spectral changes and relate them
to specific nuclear arrangements: close lying pi-stacked bases exhibit a
splitting of their respective 1La signal traces; T-stacked bases exhibit the
appearance of charge transfer states in the low-energy Vis probing window while
displaying no 1La splitting, being particularly favoured when promoting amino to
5-ring interactions; unstacked and distant adenine moieties exhibit signals
similar to those of the adenine monomer, as is expected for non-interacting
nucleobases. 2DES maps reveal the spectral fingerprints associated with specific
molecular conformations, and are thus a promising option to enable their
quantitative spectroscopic detection beyond standard 1D pump-probe techniques.
This is expected to aid the understanding of how nucleobase aggregation controls
and modulates the photostability and photo-damage of extended DNA/RNA systems.
PMID- 29359208
TI - Photoinduced formation mechanism of the thymine-thymine (6-4) adduct in DNA; a
QM(CASPT2//CASSCF):MM(AMBER) study.
AB - The UVB-induced photomechanism leading the carbonyl group of a thymine nucleobase
to react with the carbon-carbon double bond of a consecutive thymine nucleobase
in a DNA strand to form the thymine-thymine (6-4) photodamage adduct remains
poorly understood. Key questions remain unanswered, concerning both the intrinsic
features of the photoreaction (such as the contribution (or not) of triplet
states, the nature of the involved states and the time-scale of the photoprocess)
and the role played by the non-reactive surroundings of the two reactive
pyrimidine nucleobases (such as the nature of the flanked nucleobases and the
flexibility of the whole DNA molecule). A small number of theoretical studies
have been carried out on the title photoreaction, most of which have used reduced
model systems of DNA, consequently neglecting potential key parameters for the
photoreaction such as the constraints due to the double strain structure and the
presence of paired and stacked nucleobases. In the present contribution the
photoactivation step of the title reaction has been studied in a DNA system, and
in particular for a specific DNA hairpin for which the quantum yield of
photodamage formation has been recently experimentally measured. The reaction has
been characterized by carrying out high-level QM/MM computations, combining the
CASPT2//CASSCF approach for the study of the reactive part (i.e. the two thymine
molecules) with an MM-Amber treatment of the surrounding environment. The
possibility of a reaction path along both the singlet and triplet manifolds has
been characterized, the nature of the reactive states has been analyzed, and the
role played by the flexibility of the whole system, which in turn determines the
initial accessible geometrical conformations, has been evaluated, thus
substantially contributing towards the elucidation of the photoreaction
mechanism. On the basis of the obtained results, it can be observed that a charge
transfer state can decay from a pro-reactive initial structure towards a region
of energy degeneracy with the ground state, from which the subsequent decay along
the ground state hypersurface can lead to the photoreaction.
PMID- 29359209
TI - Purification, characterization and anti-fatigue activity of polysaccharide
fractions from okra (Abelmoschus esculentus (L.) Moench).
AB - The aim of this study was to investigate the anti-fatigue activity of
polysaccharide fractions from Abelmoschus esculentus (L.) Moench (AE) in mice.
After crude polysaccharide (CAEP) was extracted from AE and purified by DEAE
cellulose-52 column, two polysaccharide fractions (AEP-1 and AEP-2) were
obtained. The structural analysis suggested that AEP-1 and AEP-2 were a RG-I
polysaccharide and an AG-II polysaccharide, respectively. According to the
results of the weight-loaded swimming test, compared with the negative control
group, the CAEP, AEP-1 and AEP-2 treatment groups could prolong the swimming
time, decrease serum urea nitrogen (SUN) and blood lactic acid (BLA), and
increase hepatic glycogen (HG) and muscle glycogen (MG), which indicated that
okra polysaccharides have an effective anti-fatigue activity. Furthermore, our
study exhibited the anti-fatigue mechanism of okra polysaccharide was correlated
with retarding the accumulation of creatine kinase (CK) and lactate dehydrogenase
(LDH) in serum, and enhancing succinate dehydrogenase (SDH), adenosine
triphosphate (ATP) and adenosine triphosphatase (ATPase) levels. In addition, the
anti-fatigue activity of AEP-1 was stronger than that of AEP-2, and significantly
better than that of CAEP. Therefore, AEP-1 and AEP-2 may be the main active anti
fatigue functional substances of AE.
PMID- 29359210
TI - Elucidating the structure of a high-spin sigma-phenyliron(iii) species in a live
FeCl3-PhZnCl reaction system.
AB - Environmentally benign iron catalysts promote a wide variety of chemical
transformations; however, insight into the mechanism and active intermediates is
far from satisfactory, and the main difficulties lie in directly "seeing" the
active species under "live" catalytic conditions. Herein, an unknown sextet
Ph(THF)FeCl2 species was well-characterized in a live FeCl3-PhZnCl reaction
system for the first time by Raman, in situ IR, electron paramagnetic resonance
(EPR), X-ray absorption spectroscopic (XAS) and density functional theory (DFT)
calculations. This work provides insight into the structure and reactivity of
catalytically relevant sigma-aryliron(iii) species, and shall provide useful
guidelines for understanding iron chemistry.
PMID- 29359211
TI - Correction: Quantitative profiling of carbonyl metabolites directly in crude
biological extracts using chemoselective tagging and nanoESI-FTMS.
AB - Correction for 'Quantitative profiling of carbonyl metabolites directly in crude
biological extracts using chemoselective tagging and nanoESI-FTMS' by Pan Deng,
et al., Analyst, 2018, 143, 311-322.
PMID- 29359212
TI - Production of monodisperse drops from viscous fluids.
AB - Drops are often used as picoliter-sized reaction vessels, for example for high
throughput screening assays, or as templates to produce particles of controlled
sizes and compositions. Many of these applications require close control over the
size of drops, which can be achieved if they are produced with microfluidics.
However, this tight size control comes at the expense of the throughput that is
too low for many materials science and almost all industrial applications. To
overcome this limitation, different parallelized microfluidic devices have been
reported. These devices typically operate at high throughputs if the viscosity of
the inner fluid is low. However, fluids that are processed into particles often
contain high concentrations of reagents and therefore are rather viscous. We
report a microfluidic device containing parallelized triangular nozzles with
rectangular cross-sections that can process solutions with viscosities up to 155
mPa s into drops of well-defined sizes and narrow size distributions at
significantly higher throughputs than what could be achieved previously. The
increased throughput is enabled by the introduction of shunt channels: each
nozzle is intersected by shunt channels that facilitate the backflow of the outer
phase, thereby increasing the critical rate at which the fluid flow transitions
from the dripping into the jetting regime. These modified nozzles open up new
possibilities to employ drops made of viscous fluids as templates to produce
particles with well-defined sizes for applications that require larger
quantities.
PMID- 29359213
TI - Halide encapsulation by dicarboxylate oxido-vanadium cage complexes.
AB - Compounds [Bu4N]2[V8O16(oda)4?2Cl], 1, [Bu4N]2[V8O16(glut)4?2Cl], 2, and
[Bu4N][V4O8(glut)2?F], 3, (oda = oxydiacetate, O(CH2COO)22-; glut = glutarate,
CH2(CH2COO)22-) were obtained by a stepwise reaction of in situ prepared
[Bu4N]VO3 with HCl (or HF for 3) and then with the dicarboxylic acid X(CH2COOH)2
(X = O and CH2), under appropriate reaction conditions. Multinuclear magnetic
resonance (1H, 13C{1H}, 35Cl, 19F and 51V), electrochemical studies, X-ray
structural determinations (single crystal and powder), thermogravimetric analyses
(TGA) and Density Functional Theory (DFT) calculations were employed to
characterise these polyoxovanadate complexes 1-3. They included encapsulated
halide anions, two chloride ions in 1 and 2 and one fluoride ion in 3, where the
shape and dimensions of the cage were governed by the halide size. The
stabilizing template effect of the chloride ion towards the bowl-shaped
[V4O8(OOCR)4] fragment (i.e. the half part of 1 and 2), containing a crown-shaped
{V4O8} subunit, or that of the fluoride ion towards the planar {V4O8} moiety in
3, was definitively demonstrated by DFT calculations. The HOMO composition of 1
prompted us to study the possible oxidation of the two encapsulated chloride ions
toward a chlorine molecule. The electrochemical behaviors of 1-3 were thus
investigated. However, the chlorine molecule in the model [V8O16(oda)4?(Cl2)],
6c, was not capable to stabilise the polyoxovanadate cage [V8O16(oda)4], 4c,
according to DFT calculations.
PMID- 29359215
TI - Electron transport in polycyclic aromatic hydrocarbons/boron nitride hybrid
structures: density functional theory combined with the nonequilibrium Green's
function.
AB - We investigate the electronic transport properties of two types of junction based
on single polyaromatic hydrocarbons (PAHs) and PAHs embedded in boron nitride (h
BN) nanoribbons, using nonequilibrium Green's functions (NEGF) and density
functional theory (DFT). In the PAH junctions, a Fano resonance line shape at the
Fermi energy in the transport feature can be clearly seen. In hybrid junctions,
structural asymmetries enable interactions between the electronic states, leading
to observation of interface-based transport. Our findings reveal that the
interface of PAH/h-BN strongly affects the transport properties of the
structures.
PMID- 29359217
TI - Chiral self-assembly of fullerene clusters on CT-DNA templates.
AB - Herein we discuss the differential interaction of three monosubstituted fullerene
derivatives possessing pyridinium, aniline or phenothiazine end groups (F-Py, F
An and F-PTz, respectively) with calf thymus DNA (CT-DNA), probed via
spectroscopic and imaging techniques. The pyridinium derivative, F-Py becomes
molecularly dissolved in 10% DMSO-PBS and interacts with CT-DNA via groove
binding and electrostatic interactions, leading to the initial condensation of CT
DNA into micrometer sized aggregates and subsequent precipitation. On the other
hand, the aniline derivative F-An, which is reported to form nanoclusters of 3-5
nm size, interacts with DNA through ordered, chiral assemblies on the CT-DNA
template, thus perturbing the highly networked structure of CT-DNA to form
nanonetworks, which eventually transform into condensed aggregates. The binding
interactions between CT-DNA and F-An nanoclusters were established via UV-Vis,
AFM and TEM analysis, and the chiral nature of the fullerene nanocluster
assemblies on CT-DNA was confirmed by the presence of induced circular dichroism
that was exhibited around the 250-370 nm region, corresponding to F-An
nanocluster absorption. In contrast, the phenothiazine derivative F-PTz, which
forms larger nanoclusters of ~70 nm size in 10% DMSO-PBS, exhibited only weak
interactions with CT-DNA without affecting its network structure. These results
demonstrate the role of the hydrophobic-hydrophilic balance in the design of DNA
interacting fullerene derivatives by controlling their cluster size and
interactions with CT-DNA, and are significant in applications such as DNA
condensation, gene delivery and dimension controlled nanomaterial fabrication.
PMID- 29359218
TI - Precisely controlled synthesis of alpha-/beta-MnO2 materials by adding Zn(acac)2
as a phase transformation-inducing agent.
AB - In this paper, we present an approach for the precisely controlled phase
transformation of MnO2 in order to synthesise different compositions of alpha
/beta-MnO2 materials, by adding a trace amount of Zn(acac)2 as the phase
transformation-inducing agent in a hydrothermal reaction. The single-atomic
dispersion of Zn might reduce the barrier of phase transformation of delta-MnO2
to beta-MnO2. The ratio of the Zn species present in the single-atomic
dispersions and nanoclusters might dominate the generation of alpha-MnO2 and beta
MnO2. The results of the oxygen reduction reactions indicate that the MnO2
materials have potential applications as promising catalysts in electrochemical
catalysis.
PMID- 29359219
TI - Making organoruthenium complexes of 8-hydroxyquinolines more hydrophilic: impact
of a novel l-phenylalanine-derived arene ligand on the biological activity.
AB - Ru(arene) compounds have many desirable features making them promising candidates
for further development in anticancer drug research. While a lot of emphasis has
been placed on the modification of the ancillary ligands, there are not many
examples of arene ligands bearing functional groups. Herein, we report the
preparation of [Ru(arene)(8-oxyquinolinato)Cl] complexes with the arene being a
protected form of the amino acid l-phenylalanine and 8-oxyquinolinato ligand
substituted with halogens. With this approach we aimed to alter the
pharmacological properties of the complexes and address issues with the aqueous
solubility of the analogous p-cymene complexes. The complexes were shown to be
stable in DMSO and water and reacted readily with l-histidine and 9-ethylguanine
as protein and DNA models, respectively. Assaying the antiproliferative activity
in cancer cells gave IC50 values in the low MUM range. While the lipophilicity of
the p-cymene analogues correlated well with their in vitro cytotoxicity, the
potency of the complexes with the l-phenylalanine-derived arene was independent
of lipophilicity.
PMID- 29359220
TI - Synthesis of aryl alpha,alpha-difluoroethyl thioethers a novel structure motif in
organic chemistry, and extending to aryl alpha,alpha-difluoro oxyethers.
AB - A method for the preparation of aryl alpha,alpha-difluoroethyl thioethers
(ArSCF2CH3) is reported and the synthesis approach is extended to aryl
alpha,alpha-difluoroethyl oxygen ethers. Selected building blocks are further
elaborated in cross-coupling reactions and are incorporated into analogues of
established trifluoromethyl ether drugs. Conformations are explored and log P
studies of these motifs indicate that they are significantly more polar than
their trifluoromethyl ether analogues rendering them attractive for bioactives
discovery.
PMID- 29359222
TI - Bioactive compounds and antioxidant capacity of extruded snack-type products
developed from novel formulations of lentil and nutritional yeast flours.
AB - Pulses are well known to be gluten-free functional foods that provide a rich
source of nutritional and healthy compounds with antioxidant-promoting activity.
In the present study, the bioactive compounds, dietary fibre, arabinoxylans,
individual phenolic compounds and tocopherols, were evaluated in different lentil
flours (raw and extruded at 140 and 160 degrees C) formulated with nutritional
yeasts, along with the changes induced by the extrusion process. The total
dietary fibre and arabinoxylan content significantly (p < 0.05) increased after
the extrusion process while a significant decrease of all tocopherol isoforms was
also observed. Catechin, caffeic, kaempferol and quercetin derivatives were
identified in the raw and extruded lentil flours. The decreases of total phenolic
and individual phenolic compounds were directly related to the extrusion
temperature; total phenolics and catechin hexoside exhibited a larger decrease in
the lentil flours formulated with higher content of nutritional yeast (12 and
16%). The antioxidant activity results, determined using different assays,
reflected the important effect of extrusion processing and food ingredients.
PMID- 29359223
TI - Optical backbone-sidechain charge transfer transitions in proteins sensitive to
secondary structure and modifications.
AB - The absorption of light by proteins can induce charge transfer (CT) transitions
in the UV-visible range of the electromagnetic spectrum. Metal-ligand complexes
or active site prosthetic groups which absorb in the visible region exhibit
prominent CT transitions. Furthermore, the protein backbone also exhibits CT
transitions in the far UV range. In this manuscript, we present a detailed
computational study of new near UV-visible CT transitions that involve amino
acids with charged side chains. Specifically, using time dependent density
functional theory calculations, we examine the absorption spectra of naturally
charged amino acids (Lys, Glu, Arg, Asp and His), extracted from solution phase
protein structures generated by classical molecular dynamics simulations, and
phosphorylated amino acids (Tyr, Thr and Ser) from experimentally determined
protein structures. We show that amino acids with charged sidechains present a
directed electronic donor-bridge-acceptor paradigm, with the lowest energy
optical excitations demonstrating peptide backbone-sidechain charge separations.
The UV-visible spectral range of the backbone-sidechain CT transitions is
determined by the chemical nature of the donor, bridge and acceptor groups within
each amino acid, amino acid conformation and the protein secondary structure
where the amino acids are located. Photoinduced CT occurs in opposite directions
for the anionic and cationic amino acids along the ground state dipole moment
vector for the chromophores. We find that photoinduced charge separation is more
facile for the anionic amino acids (Asp, Glu, pSer, pThr and pTyr) relative to
that for the cationic amino acids (Lys, Arg and Hsp). Our results provide a
foundation for the development of spectroscopic markers based on the recently
proposed Protein Charge Transfer Spectra (ProCharTS) which are relevant for the
study of DNA-binding or intrinsically disordered proteins that are rich in
charged amino acids.
PMID- 29359224
TI - Coffee consumption promotes skeletal muscle hypertrophy and myoblast
differentiation.
AB - Coffee is a widely consumed beverage worldwide and is believed to help prevent
the occurrence of various chronic diseases. However, the effect of coffee on
skeletal muscle hypertrophy, differentiation and the mechanisms of action
responsible have remained unclear. To investigate the effect of coffee on
skeletal muscle hypertrophy, mice were fed a normal diet or a normal diet
supplemented with 0.3% coffee or 1% coffee. Coffee supplementation was observed
to increase skeletal muscle hypertrophy, while simultaneously upregulating
protein expression of total MHC, MHC2A, and MHC2B in quadricep muscle. Myostatin
expression was also attenuated, and IGF1 was upregulated with subsequent
phosphorylation of Akt and mTOR, while AMPK phosphorylation was attenuated.
Coffee also increased the grip strength and PGC-1alpha protein expression, and
decreased the expressions of TGF-beta and myostatin in tricep muscle. Coffee
activated the MKK3/6-p38 pathway and upregulated PGC-1alpha, which may play a
role in promoting myogenic differentiation and myogenin expression in C2C12
cells. These results suggest that coffee increases skeletal muscle function and
hypertrophy by regulating the TGF-beta/myostatin - Akt - mTORC1.
PMID- 29359225
TI - Emerging investigator series: geochemistry of trace elements associated with Fe
and Mn nodules in the sediment of limed boreal lakes.
AB - Thousands of boreal lakes were limed for decades in Scandinavia to counteract the
effect of anthropogenic acidification. We measured the concentrations of alkali
earth metals (Ca, Mg, Ba), metals (Mn, Fe, Al, Co, Cd, Pb, Zn), metalloids (As,
Mo) and phosphorus (P) in 165 surface sediment samples from 17 limed lakes, as
well as the sediment column and porewater of two lakes chosen from this set. We
report that formation of ferromanganese nodules is widespread in limed lakes, and
that those nodules are enriched in trace elements, reaching for example 11 500,
908 and 40 MUg g-1 for Ba, Mo and As, respectively. Nodules are more abundant
between the littoral and the profundal zones. Intense redox cycling of Fe and Mn
at the sediment-water interface has redistributed trace elements in the sediment
column. Ba, Co, Mo, Pb and Zn partitioned with Mn (oxy)hydroxides and As and P
with Fe (oxy)hydroxides. Fe, Mo, Co and As remobilized to the porewater also
diffused downward and were likely sequestrated with sulfides. We conclude that
the diagenetic redistribution and partitioning of trace elements onto Fe-Mn
nodules, rather than direct inputs from liming, is the cause of the elevated
trace element burden in surface sediments.
PMID- 29359226
TI - Chemical language and warfare of bacterial natural products in bacteria-nematode
insect interactions.
AB - Covering: up to November 2017 Organismic interaction is one of the fundamental
principles for survival in any ecosystem. Today, numerous examples show the
interaction between microorganisms like bacteria and higher eukaryotes that can
be anything between mutualistic to parasitic/pathogenic symbioses. There is also
increasing evidence that microorganisms are used by higher eukaryotes not only
for the supply of essential factors like vitamins but also as biological weapons
to protect themselves or to kill other organisms. Excellent examples for such
systems are entomopathogenic nematodes of the genera Heterorhabditis and
Steinernema that live in mutualistic symbiosis with bacteria of the genera
Photorhabdus and Xenorhabdus, respectively. Although these systems have been used
successfully in organic farming on an industrial scale, it was only shown during
the last 15 years that several different natural products (NPs) produced by the
bacteria play key roles in the complex life cycle of the bacterial symbionts, the
nematode host and the insect prey that is killed by and provides nutrients for
the nematode-bacteria pair. Since the bacteria can switch from mutualistic to
pathogenic lifestyle, interacting with two different types of higher eukaryotes,
and since the full system with all players can be established in the lab, they
are promising model systems to elucidate the natural function of microbial NPs.
This review summarizes the current knowledge as well as open questions for NPs
from Photorhabdus and Xenorhabdus and tries to assign their roles in the
tritrophic relationship.
PMID- 29359227
TI - Oral declines and mastication deficiencies cause alteration of food bolus
properties.
AB - In the elderly, masticatory function often presents failure in certain oral tasks
due to impairment such as decline in muscular force, jaw or tongue motility,
neuro-muscular coordination, tooth damage, malocclusion and saliva production.
Great disparity is observed in the various and potentially cumulative oral
declines that occur with ageing. Such difficulties may have an impact on food
consumption and nutritional status. To obtain better understanding of the
consequences of several oral deficiencies, a series of swallowable boluses were
prepared in vitro with the AM2 masticator apparatus with normal and deficient
programming. Physiological normal mastication (NM) was simulated using in vivo
data from healthy subjects. Chewing deficiencies were reproduced by alteration of
NM programming to perform different levels and combinations of force loss, lack
of saliva and decrease in the motility of oral elements. Poultry meatballs were
used as test-food. Particle size distribution in the food bolus was measured by
sieving and rheological features (hardness, cohesiveness and elasticity) were
assessed with a TPA test. Compared to the NM outcome, significant and gradual
deterioration of the food bolus was observed and associated with alteration in
force, saliva and motility. Combinations of several failures led to greater or
cumulative deficiencies in swallowable bolus properties. For the elderly
presenting a high prevalence of various oral injuries, tailoring textured food
cannot be ignored as a solution for remedying deficiencies and favoring the
formation of a safe-swallowable bolus, which is an essential vector of nutrients.
Knowing the impacts of oral injuries on the food bolus is obviously a requisite
for developing diet strategies, including nutritional items for specific
populations.
PMID- 29359230
TI - Optofluidic gutter oil discrimination based on a hybrid-waveguide coupler in
fibre.
AB - Discriminating edible oils from gutter oils has significance in food safety, as
illegal gutter oils cannot meet a variety of criteria such as the acid value,
peroxide value and quality. To discriminate these illegal cooking oils, we
propose an ultrasensitive optofluidic detection method based on a hybrid
waveguide coupler. Prior to the straight waveguide inscription in the cladding of
the silica tube using a femtosecond laser, a section of coreless fibre is firstly
spliced with the ST to supply a platform for the inscription of an S-band
waveguide. Then a pair of microfluidic channels are ablated on the ST using the
fs laser to enable liquid analytes to flow in and out of the air channel. In the
transmission spectrum, a unique resonant loss dip can be observed, which is
produced by coupling the light from the laser inscribed waveguide to the liquid
core when the phase-matching condition is met. This hybrid-waveguide coupler with
a simplified structure realizes dynamic optofluidic refractive index sensing with
an ultrahigh sensitivity of -112 743 nm RIU-1, a detection limit of 2.08 * 10-5
RIU and a refractive index detection range from 1.4591 to 1.4622. This novel
method can be used for food safety detection, specifically, for the
discrimination of gutter oils.
PMID- 29359231
TI - Temporal trends and regional disparity in rheumatoid arthritis and gout
hospitalizations in Sweden, 1998-2015.
AB - Hospitalization is an important component of rheumatoid arthritis (RA) and gout
economic burden. This study aimed to assess temporal trends and regional
disparities in RA and gout hospitalizations among people aged >= 20 years in
Sweden during 1998-2015. Data on hospital admissions with a principal diagnosis
of RA or gout were collected from the National Patient Register. Age-standardized
hospitalization rates (ASHRs) were calculated by means of direct standardization.
The absolute and relative regional disparities were assessed. The temporal trends
in ASHR and proportion of RA and gout hospitalizations from all and
musculoskeletal disorders hospitalizations were analyzed using joinpoint
regression. Between 1998-2000 and 2013-2015, the ASHR for RA declined by 78.9%
from 109.9 to 23.2 per 100,000 Swedish adults, while it almost doubled for gout
(from 10.5 to 20.8 per 100,000 Swedish adults). While in 1998-2000, RA
hospitalizations were 10.3 times more frequent than gout (0.54 vs 0.05% of all
hospitalizations), this ratio declined to 1.1 in 2013-2015 (0.13 vs 0.11% of all
hospitalizations). The joinpoint regression revealed that, on average, the ASHR
for RA declined by 10.2% (95% CI: 9.3-11.1) per year whereas for gout, it rose by
4.3% (3.2-5.4) per year during 1998-2015. While the relative regional disparities
were stable, the absolute regional disparity declined for RA and increased for
gout over the study period. While substantial decline in RA hospitalization is
encouraging, the substantial rise in gout hospitalization is of concern,
reflecting potential increase in prevalence of gout and suboptimal management of
the disease.
PMID- 29359232
TI - Nano-silver modifies the vase life of cut herbaceous peony (Paeonia lactiflora
Pall.) flowers.
AB - Herbaceous peony (Paeonia lactiflora Pall.) is a popular high-grade cut flower
because of higher ornamental value. However, its short flowering time severely
restricts the production and application of cut P. lactiflora flowers. In this
study, nano-silver (NS) was applied to prolong the vase life of cut P. lactiflora
flowers. Under the NS treatment, related physiological indices including relative
electrical conductivity (REC), malondialdehyde (MDA), superoxide anion free
radical (O2.-), hydrogen peroxide (H2O2) and free proline contents, and
protective enzyme activities including superoxide dismutase (SOD), peroxidase
(POD) and ascorbic acid peroxidase (APX) all increased in cut P. lactiflora
flowers except soluble protein. Meanwhile, NS treatment increased relative water
uptake (RWU) and Ag+ distribution. Moreover, the observation of microstructures
indicated that the stem-ends without NS treatment were blocked by microbes which
were identified as Alternaria sp. and Phoma sp., and NS effectively inhibited
their growth by antibacterial efficacy observation. Additionally, three aquaporin
genes (AQPs) including two plasma membrane intrinsic protein genes (PlPIP1;2,
PlPIP2;1) and one NOD26-like intrinsic protein gene (PlNIP) were isolated,
PlPIP1;2, and PlPIP2;1 that were induced by NS treatment took common effects on
maintaining the water balance of cut P. lactiflora flowers. Consequently, the
vase life of cut P. lactiflora flowers was prolonged and flower fresh weight
together with flower diameter was well kept because of these above factors. These
results would provide a theoretical basis for prolonging the vase life and
improving the ornamental quality of cut P. lactiflora flowers with NS
application.
PMID- 29359233
TI - Investigating Psychosocial Causes of the Tendency for Facial Cosmetic Surgery.
AB - INTRODUCTION: Despite the importance of cosmetic surgery in improving body image
and promoting individuals' physical and mental health, it is accompanied with
some physical, mental, and economic problems, because it is an invasive
procedure. Considering such extensive consequences and given the rising demand
for performing such surgeries, it is essential to consider programs for reducing
such requests. The present study aimed to investigate the psychosocial causes of
the tendency for facial cosmetic surgery in patients referred to medical centers
in Ahvaz in 2016-2017. MATERIALS AND METHODS: This study was conducted on 385
facial cosmetic surgery applicants referred to medical centers in Ahvaz and were
selected using a sequential non-probability sampling method. The data collection
tool was a questionnaire divided into two sections namely: (1) demographic
questions and (2) a questionnaire on the psychosocial causes of the tendency for
facial cosmetic surgery. RESULTS: The mean scores of the psychological and social
causes of the tendency for facial cosmetic surgery were 4.46 (SD = 1.67) and 3.44
(SD = 2.57), respectively. "Being interested in being beautiful" was the most
frequent positive response of the participants regarding the cause of tending to
undergo facial cosmetic surgery (88.6%) and the least frequent response was
estimated to be 35.1% for the "inappropriate psychological state" cause.
CONCLUSION: The results of this study showed that psychological factors affected
the participants' tendency for facial cosmetic surgery more than social factors.
Determining and identifying such psychological pressures and providing individual
training and psychological support can prevent individuals from undergoing facial
cosmetic surgery. LEVEL OF EVIDENCE IV: This journal requires that authors assign
a level of evidence to each article. For a full description of these Evidence
Based Medicine ratings, please refer to the Table of Contents or the online
Instructions to Authors www.springer.com/00266 .
PMID- 29359234
TI - Analysis of Symmetry Stability Following Implant-Based Breast Reconstruction and
Contralateral Management in 582 Patients with Long-Term Outcomes.
AB - INTRODUCTION: This study aimed to analyse the long-term outcomes following breast
reconstruction and contralateral management to evaluate the stability of breast
symmetry and patient satisfaction. MATERIALS AND METHODS: The study population
consisted of women who had undergone implant-based monolateral breast
reconstruction and contralateral management. Patients answered the BREAST-Q
reconstruction module. Two reviewers analysed the post-operative outcomes of the
patients included and compiled the Kroll Scale. Patients were divided into three
groups: implant-based contralateral management, breast reduction and mastopexy.
The Fisher's exact test was applied to the results. RESULTS: From the BREAST-Q
analysis, the group of patients undergoing contralateral breast augmentation
achieved significantly better results regarding the ability to wear clothing (p ?
0.001), symmetry (p ? 0.001), psychosocial well-being (p ? 0.001) and physical
well-being (p ? 0.001). From the analysis of the Kroll Scale, the group of
implant-based contralateral management received the highest score for symmetry (p
? 0.001), shape (p ? 0.001) and aesthetic result overall (p ? 0.001).
CONCLUSIONS: This study was the first to use BREAST-Q to analyse the stability of
breast symmetry following breast reconstruction with long-term results. The
implant-based contralateral management was the most effective procedure for
achieving a stable and lasting result to obtain the high satisfaction of
patients. LEVEL OF EVIDENCE IV: This journal requires that authors assign a level
of evidence to each article. For a full description of these Evidence-Based
Medicine ratings, please refer to the Table of Contents or the online
Instructions to Authors www.springer.com/00266 .
PMID- 29359235
TI - A DNA-scaffold platform enhances a multi-enzymatic cycling reaction.
AB - OBJECTIVE: We explored the co-localization of multiple enzymes on a DNA backbone
via a DNA-binding protein, Gene-A* (A*-tag) to increase the efficiency of cascade
enzymatic reactions. RESULTS: Firefly luciferase (FLuc) and pyruvate
orthophosphate dikinase (PPDK) were genetically fused with A*-tag and modified
with single-stranded (ss) DNA via A*-tag. The components were assembled on ssDNA
by hybridization, thereby enhancing the efficiency of the cascading
bioluminescent reaction producing light emission from pyrophosphate. The activity
of A*-tag in each enzyme was investigated with dye-labeled DNA. Co-localization
of the enzymes via hybridization was examined using a gel shift assay. The multi
enzyme complex showed significant improvement in the overall efficiency of the
cascading reaction in comparison to a mixture of free enzymes. CONCLUSION: A*-tag
is highly convenient for ssDNA modification of versatile enzymes, and it can be
used for construction of functional DNA-enzyme complexes.
PMID- 29359236
TI - Role of Plastics on Human Health.
AB - Plastics, currently the universal workhorse materials of modern economy, because
of their low cost and varied functional properties are posing serious threat to
environment and consumer's health in many direct and indirect ways. Rising
concern about the impact of plastics on environment and human health, has forced
the industry to look for alternatives. This review studies current understanding
of benefits and concerns surrounding use of plastics, reviews literature about
health effects in humans and discusses the current state of evidence, as well as
future research trends. There is increasing concern regarding additives in
plastics to which most people are exposed, such as phthalates, bisphenol A or
polybrominated diphenyl ethers (PBDE), and their detection in humans, leading to
harmful impact on health. The studies are divided, among many other issues on the
fact of considering these additives as carcinogens or toxicants, but there is a
consensus that these chemicals have the ability to alter the endocrine system.
Human data are limited compared to large body of experimental evidence
documenting reproductive or developmental toxicity in relation to these compounds
in animals. The concentrations of these additives in young children, a segment
particularly sensitive to exogenous insults, are typically higher, indicating the
need to decrease exposure to these compounds. The rapid increase in usage of
plastics and increased awareness about its health hazard has lent urgency to the
whole issue.
PMID- 29359237
TI - Furthering Precision in Sentinel Node Navigational Surgery for Oral Cancer: a
Novel Triple Targeting System.
AB - To describe an innovative sentinel lymph node (SLN) guidance approach using a
radionuclide tracer, 3D augmented reality-guided imaging, and near infrared (NIR)
fluorescence over-lay imaging with hand-held probes to optimize accuracy,
efficiency, and precise navigation for sentinel node (SN) localization in head
and neck cancer. In a cT1N0M0 squamous cell carcinoma of the tongue, pre
operative radionuclide lymphoscintigraphy was performed with a sentinel node
specific radiolabeled tracer. Intraoperatively, a 3D hand-held augmented reality
(AR) scanning SPECT probe assessed concordance of the SN with pre-operative SPECT
CT images. The real-time optical video was linked to the SPECT-CT images for
added precision. Final guidance to the SN was performed using ICG fluorescence
imaging. Dynamic and SPECT-CT showed bilateral lymphatic drainage from the tumor.
The 3D hand-held AR SPECT probe SN localization was concordant with pre-operative
imaging. The optical video successfully demonstrated the lymphatic drainage in
real-time through a unique overlay fluorescence image. The ICG localized to the
same nodes identified by both the SPECT-CT and hand-held SPECT images. The use of
dual radiation and fluorescence tracers improved SN detection, especially for SN
close to the injection site. The hand-held probes allowed the surgeon to dissect
continuously, without needing to change tools. The combination of augmented
reality, nuclear medicine, and over-lay fluorescence imaging allowed greater
accuracy for matching the preoperative imaging with intraoperative identification
and precisely guiding the dissection. This method uniquely permitted the surgeon
to efficiently dissect the SN with accurate visualization and optimal precision.
PMID- 29359238
TI - Prognostic factors of ALK-negative anaplastic large-cell lymphoma: a single
institution experience.
PMID- 29359239
TI - The orally available multikinase inhibitor regorafenib (BAY 73-4506) in multiple
myeloma.
AB - A promising approach to the treatment of multiple myeloma (MM) involves agents
that target not only the myeloma cells directly, but also the tumor
microenvironment which promotes tumor cell growth, angiogenesis, and MM bone
disease. Here we investigate the orally available multikinase inhibitor,
regorafenib (BAY 73-4506), for its therapeutic efficacy in MM. Regorafenib is a
potent inhibitor of angiogenic (VEGFR 1-3, PDGFR-b) as well as oncogenic (c-KIT,
RET, FGFR, Raf) kinases. We show that regorafenib induces apoptosis in all MM
cell lines at below clinically achievable concentrations. Regorafenib overcomes
the growth advantage conferred by a stroma cell MM and an endothelial cell MM, co
culture systems, and abrogates growth factor-stimulated MEK, ERK, and AKT
phosphorylation at nanomolar to micromolar concentrations. Moreover, it inhibits
endothelial cell growth and tubule formation, abrogates both VEGF secretion and
VEGF-induced MM cell migration, inhibits osteoclastogenesis, and shows
synergistic cytotoxicity with dexamethasone, the immunomodulatory drug
pomalidomide, and the p110delta inhibitor idelalisib. Most importantly,
regorafenib significantly delays tumor growth in a xenograft mouse model of human
MM. These results provide the rationale for further clinical evaluation of
regorafenib, alone and in combination, in the treatment of MM.
PMID- 29359241
TI - Utility of Retrievable Inferior Vena Cava Filters: A Systematic Literature Review
and Analysis of the Reasons for Nonretrieval of Filters with Temporary
Indications.
AB - OBJECTIVE: To investigate the use of retrievable inferior vena cava (IVC) filters
in the current practice and analyze the causes of filter left in situ despite
initial plans for retrieval. METHODS: A systematic search of all English-language
studies of retrievable IVC filters was conducted, including clinical trials and
observational studies published between January 1984 and March 2016. RESULTS: A
total of 103 studies were identified, including 10 filter models in 20,319
patients. Placement indications were reported for 11,128 (54.8%) patients,
including therapeutic (n = 6270; 56.3%) and prophylactic (n = 4858; 43.7%)
indications. A total of 13,224 (65.1%) filters were left as permanent devices;
7095 (34.9%) filters were removed. The reasons for filter nonretrieval among the
5308 (40.1%) reported cases were primary permanent indication (21.2%; 1127/5308),
death (19.4%; 1031/5308), ongoing pulmonary embolism (PE) protection (19.0%;
1011/5308), failed retrieval (13.7%; 725/5308), loss to follow-up (13.0%;
689/5308), discontinued care (4.4%; 235/5308), physician oversight (4.0%;
213/5308), patient morbidity (2.8%; 149/5308), and patient refusal (2.4%;
128/5308). A total of 7820 patients presented for filter retrieval, and 7095
filters (90.7%) were successfully removed, with a mean indwelling time of 106.6
+/- 47.3 days. Breakthrough PE was reported in 2.1% (191/9169) of patients.
Filter tilt, recurrent deep vein thrombosis, penetration, IVC thrombosis,
migration, and fracture occurred in 7.7% (798/10,348), 7.1% (362/5092), 5.4%
(379/7001), 3.9% (345/8788), 1.4% (160/11,679), and 0.5% (50/9509) of patients,
respectively. CONCLUSIONS: Approximately two-thirds of retrievable filters were
not retrieved even though more than 85% of the filters were initially intended
for temporary use. The major reasons for filter left in situ despite initial
plans for retrieval were death, need for ongoing PE protection, failed retrieval,
loss to follow-up, discontinued care, and physician oversight.
PMID- 29359240
TI - Feasibility of Percutaneous Intrahepatic Split by Microwave Ablation (PISA) After
Portal Vein Embolization for Hypertrophy of Future Liver Remnant: The
Radiological Stage-1 ALPPS.
AB - PURPOSE: To assess the feasibility of radiological stage-1 ALPPS, associating
liver partition and portal vein ligation for staged hepatectomy, by combining
portal vein embolization (PVE) with percutaneous intrahepatic split by ablation
(PISA). MATERIALS AND METHODS: Three patients (mean age 65.0 +/- 7.3 years)
underwent PVE and PISA. PISA was performed 21 days after PVE by microwave
ablation to create a continuous intrahepatic cutting plane. Abdominal CT
examinations were performed before and after PVE and PISA. The future liver
remnant (FLR) volume was calculated by semiautomatic segmentation, and increase
was reported as a percentage of the pre-procedural volume. The FLR/body weight
(FLR/BW) ratio was calculated; a ratio greater than 0.8% was considered
sufficient for guaranteeing adequate liver function after surgery. The liver
function before and after PISA was also evaluated by 99mTc-mebrofenin
hepatobiliary scintigraphy. Patients' laboratory tests, performance status,
ability to walk were assessed before and after PVE and PISA procedures. RESULTS:
No procedure-related complications were recorded. The FLR volume increase in each
patient was 42.0, 33.1 and 30.4% within 21 days of PVE and 109.3, 68.1 and 71.7%
within 10 days after PISA. The FLR/BW ratios were 0.76, 0.66, 0.63% and 1.13,
0.83, 0.83% after PVE and PISA procedures, respectively. Two patients underwent
successful right hepatectomy; in one patient, despite 1.13% FLR/BW, surgery was
not performed because of the absolute rejection of blood transfusion due to the
patient's religious convictions. CONCLUSION: Radiological stage-1 ALPPS is a
feasible, minimally invasive option to be further investigated to become an
effective alternative to surgical stage-1 ALPPS.
PMID- 29359242
TI - Generating Multivariate Ordinal Data via Entropy Principles.
AB - When conducting robustness research where the focus of attention is on the impact
of non-normality, the marginal skewness and kurtosis are often used to set the
degree of non-normality. Monte Carlo methods are commonly applied to conduct this
type of research by simulating data from distributions with skewness and kurtosis
constrained to pre-specified values. Although several procedures have been
proposed to simulate data from distributions with these constraints, no
corresponding procedures have been applied for discrete distributions. In this
paper, we present two procedures based on the principles of maximum entropy and
minimum cross-entropy to estimate the multivariate observed ordinal distributions
with constraints on skewness and kurtosis. For these procedures, the correlation
matrix of the observed variables is not specified but depends on the
relationships between the latent response variables. With the estimated
distributions, researchers can study robustness not only focusing on the levels
of non-normality but also on the variations in the distribution shapes. A
simulation study demonstrates that these procedures yield excellent agreement
between specified parameters and those of estimated distributions. A robustness
study concerning the effect of distribution shape in the context of confirmatory
factor analysis shows that shape can affect the robust [Formula: see text] and
robust fit indices, especially when the sample size is small, the data are
severely non-normal, and the fitted model is complex.
PMID- 29359243
TI - Neonatal mitochondrial leukoencephalopathy with brain and spinal involvement and
high lactate: expanding the phenotype of ISCA2 gene mutations.
AB - A homoallelic missense founder mutation of the iron-sulfur cluster assembly 2
(ISCA2) gene has been recently reported in six cases affected by an autosomal
recessive infantile neurodegenerative mitochondrial disorder. We documented a
case of a 2-month-old girl presenting with severe hypotonia and nystagmus, who
rapidly deteriorated and died at the age of three months. Increased cerebral
spinal fluid level of lactate, documented also at the brain spectroscopy,
involvement of the cortex, restricted diffusion of white and gray matter
abnormalities, sparing of the corpus callosum and extensive involvement of the
spinal cord were observed. Her clinical presenting features and course as well as
some neuroradiological findings mimicked those of early-onset leukoencephalopathy
with brainstem and spinal cord involvement and high brain lactate (LBSL). The
analysis of the mitochondrial respiratory chain function showed a reduced
activity of complexes II and IV. The girl harboured two heterozygous mutations in
the ISCA2 gene. A comprehensive review of the literature and a comparison with
the cases of early onset LBSL enabled us to highlight significant differences in
the clinical, biochemical and neuroradiological phenotype between the two
conditions, which also emerged from the comparison with the other 6 reported
cases of ISCA2 gene mutation previously reported. In summary, this represents the
second report ever published associating ISCA2 gene mutation with a mitochondrial
leukoencephalopathy, with a different genetic mechanism to the previous cases.
Molecular analysis of ISCA2 should be included in the genetic panel for the
diagnosis of early onset mitochondrial leukoencephalopathies.
PMID- 29359244
TI - A scoring model for predicting advanced colorectal neoplasia in a screened
population of asymptomatic Japanese individuals.
AB - BACKGROUND: Risk stratification of screened populations could help improve
colorectal cancer (CRC) screening. Use of the modified Asia-Pacific Colorectal
Screening (APCS) score has been proposed in the Asia-Pacific region. This study
was performed to build a new useful scoring model for CRC screening. METHODS:
Data were reviewed from 5218 asymptomatic Japanese individuals who underwent
their first screening colonoscopy. Multivariate logistic regression was used to
investigate risk factors for advanced colorectal neoplasia (ACN), and a new
scoring model for the prediction of ACN was developed based on the results. The
discriminatory capability of the new model and the modified APCS score were
assessed and compared. Internal validation was also performed. RESULTS: ACN was
detected in 225 participants. An 8-point scoring model for the prediction of ACN
was developed using five independent risk factors for ACN (male sex, higher age,
presence of two or more first-degree relatives with CRC, body mass index of >
22.5 kg/m2, and smoking history of > 18.5 pack-years). The prevalence of ACN was
1.6% (34/2172), 5.3% (127/2419), and 10.2% (64/627) in participants with scores
of < 3, >= 3 to < 5, and >= 5, respectively. The c-statistic of the scoring model
was 0.70 (95% confidence interval, 0.67-0.73) in both the development and
internal validation sets, and this value was higher than that of the modified
APCS score [0.68 (95% confidence interval, 0.65-0.71), P = 0.03]. CONCLUSIONS: We
built a new simple scoring model for prediction of ACN in a Japanese population
that could stratify the screened population into low-, moderate-, and high-risk
groups.
PMID- 29359245
TI - Cardiovascular delivery of drugs and biotherapeutics.
PMID- 29359246
TI - Development of sustained-release microparticles containing tamsulosin HCl for
orally disintegrating tablet using melt-adsorption method.
AB - In this study, using the melt-adsorption method, we developed sustained-release
microparticles containing the potent drug, tamsulosin HCl, for use as orally
disintegrating tablets. A high-speed kneading granulator was used, enabling
temperature modulation and uniform material distribution. A lipid and
ethylcellulose suspension (Surelease(r)) was applied to retard drug release, and
magnesium aluminometasilicate (Neusilin(r)) was used as adsorbent. Among various
lipid candidates for melt-adsorption, beeswax and glyceryl behenate were selected
due to their high mechanical strength. Hot stage microscopy and powder X-ray
diffraction analysis results showed compatibility between tamsulosin HCl and both
lipids. Characteristic adsorption behavior was observed depending on the
physicochemical properties of each composition. Especially, the specific surface
area of Neusilin(r) decreased with increasing amounts of Surelease(r), attributed
to the pore-covering effect of Surelease(r), which significantly increased the
size of the microparticles after the lipid adsorption. For a Surelease(r)-to
beeswax ratio 1:50, both the desired particle size distribution and low burst
release were achieved. Furthermore, the orally disintegrating tablet containing
optimized microparticles had acceptable tablet hardness and rapid disintegration.
Herein, the feasibility of melt-adsorption for the preparation of sustained
release microparticles was well demonstrated. With its convenience and
efficiency, the proposed method is a promising alternative to conventional
methods, which are relatively difficult and time consuming.
PMID- 29359247
TI - Neonicotinoids thiamethoxam and clothianidin adversely affect the colonisation of
invertebrate populations in aquatic microcosms.
AB - Surface waters are sometimes contaminated with neonicotinoids: a widespread,
persistent, systemic class of insecticide with leaching potential. Previous
ecotoxicological investigations of this chemical class in aquatic ecosystems have
largely focused on the impacts of the neonicotinoid imidacloprid; few empirical,
manipulative studies have investigated the effect on invertebrate abundances of
two other neonicotinoids which are now more widely used: clothianidin and
thiamethoxam. In this study, we employ a simple microcosm semi-field design,
incorporating a one-off contamination event, to investigate the effect of these
pesticides at field-realistic levels (ranging from 0 to 15 ppb) on invertebrate
colonisation and survival in small ephemeral ponds. In line with previous
research on neonicotinoid impacts on aquatic invertebrates, significant negative
effects of both neonicotinoids were found. There were clear differences between
the two chemicals, with thiamethoxam generally producing stronger negative
effects than clothianidin. Populations of Chironomids (Diptera) and Ostracoda
were negatively affected by both chemicals, while Culicidae appeared to be
unaffected by clothianidin at the doses used. Our data demonstrate that field
realistic concentrations of neonicotinoids are likely to reduce populations of
invertebrates found in ephemeral ponds, which may have knock on effects up the
food chain. We highlight the importance of developing pesticide monitoring
schemes for European surface waters.
PMID- 29359249
TI - Phytoremediation of a petroleum-polluted soil by native plant species in Lorestan
Province, Iran.
AB - Petroleum hydrocarbons are potentially toxic for organisms due to the inherent
properties, such as solubility, volatility, and biodegradability. The petroleum
materials released from corroded old pipelines would pollute soils, shallow
groundwater and air as a consequence, and threat the health of human and
environment. Therefore, the removal of these compounds from environment is vital.
The stability of these pollutants at the soil and their gradual accumulation over
time would disrupt the normal function of the soil, such as reduced agricultural
capability. In this research, the influence of two plant species (Bromus tectorum
L. and Festuca arundinacea) with different amendments including arbuscular
mycorrhizal fungi, alfalfa residues, and nutrient solution on the degradation
rate of petroleum hydrocarbons in soil was studied. The results showed that the
most effective treatment for petroleum remediation was related to B. tectorum L.
plant when treated with mycorrhizal fungi and nutrient solution. The degradation
rate during 40 days was about 83.27% when compared to the control. Arbuscular
mycorrhizal associations are important in the restoration of degraded ecosystems
because of the benefits to their symbiotic partners. This fungal
phytotechnological mechanism is still in its infancy and there has been little
research on aged-contaminated soils.
PMID- 29359248
TI - Water treatment by new-generation graphene materials: hope for bright future.
AB - Water is the most important and essential component of earth's ecosystem playing
a vital role in the proper functioning of flora and fauna. But, our water
resources are contaminating continuously. The whole world may be in great water
scarcity after few decades. Graphene, a single-atom thick carbon nanosheet, and
graphene nanomaterials have bright future in water treatment technologies due to
their extraordinary properties. Only few papers describe the use of these
materials in water treatment by adsorption, filtration, and photodegradation
methods. This article presents a critical evaluation of the contribution of
graphene nanomaterials in water treatment. Attempts have been made to discuss the
future perspectives of these materials in water treatment. Besides, the efforts
are made to discuss the nanotoxicity and hazards of graphene-based materials. The
suggestions are given to explore the full potential of these materials along with
precautions of nanotoxicity and its hazards. It was concluded that the future of
graphene-based materials is quite bright.
PMID- 29359250
TI - Multi-perspective comparisons and mitigation implications of SO2 and NO x
discharges from the industrial sector of China: a decomposition analysis.
AB - This study is the first attempt to investigate the drivers of Chinese industrial
SO2 and NO x emissions from both periodic and structural perspectives through a
decomposition analysis using the logarithmic mean Divisia index (LMDI). The two
pollutants' emissions were decomposed into output effects, structural effects,
clean production effects, and pollution abatement effects. The results showed
that China's industrial SO2 discharge increased by 1.14 Mt during 2003-2014, and
the contributions from the four effects were 23.17, - 1.88, - 3.80, and - 16.36
Mt, respectively. Likewise, NO x discharge changed by - 3.44 Mt over 2011-2014,
and the corresponding contributions from the four effects were 2.97, - 0.62, -
1.84, and - 3.95 Mt. Thus, the output effect was mainly responsible for the
growth of the two discharges. The average annual contribution rates of SO2 and NO
x from output were 14.33 and 5.97%, respectively, but pollution abatement
technology presented the most obvious mitigating effects (- 10.11 and - 7.92%),
followed by the mitigating effects of clean production technology (- 2.35 and -
3.7%), and the mitigation from the structural effect was the weakest (- 1.16 and
1.25%, respectively), which meant pollutant reduction policies related to
industrial structure adjustment should be a long-term measure for the two
discharges. In addition, the sub-sectors of I20 (manufacture of raw chemical
materials and chemical products), I24 (manufacture of non-metallic mineral
products), and I26 (smelting and pressing of non-ferrous metals) were the major
contributors to both discharges. Thus, these sub-sectors should be given priority
consideration when designing mitigation-related measures. Last, some particular
policy implications were recommended for reducing the two discharges, including
that the government should seek a technological discharge reduction route.
PMID- 29359251
TI - Can Vaccination Save a Zika Virus Epidemic?
AB - Zika virus (ZIKV) is a vector-borne disease that has rapidly spread during the
year 2016 in more than 50 countries around the world. If a woman is infected
during pregnancy, the virus can cause severe birth defects and brain damage in
their babies. The virus can be transmitted through the bites of infected
mosquitoes as well as through direct contact from human to human (e.g., sexual
contact and blood transfusions). As an intervention for controlling the spread of
the disease, we study a vaccination model for preventing Zika infections.
Although there is no formal vaccine for ZIKV, The National Institute of Allergy
and Infectious Diseases (part of the National Institutes of Health) has launched
a vaccine trial at the beginning of August 2016 to control ZIKV transmission,
patients who received the vaccine are expected to return within 44 weeks to
determine if the vaccine is safe. Since it is important to understand ZIKV
dynamics under vaccination, we formulate a vaccination model for ZIKV spread that
includes mosquito as well as sexual transmission. We calculate the basic
reproduction number of the model to analyze the impact of relatively, perfect and
imperfect vaccination rates. We illustrate several numerical examples of the
vaccination model proposed as well as the impact of the basic reproduction
numbers of vector and sexual transmission and the effect of vaccination effort on
ZIKV spread. Results show that high levels of sexual transmission create larger
cases of infection associated with the peak of infected humans arising in a
shorter period of time, even when a vaccine is available in the population.
However, a high level of transmission of Zika from vectors to humans compared
with sexual transmission represents that ZIKV will take longer to invade the
population providing a window of opportunities to control its spread, for
instance, through vaccination.
PMID- 29359252
TI - Smartphone-based objective monitoring in bipolar disorder: status and
considerations.
AB - In 2001, the WHO stated that: "The use of mobile and wireless technologies to
support the achievement of health objectives (mHealth) has the potential to
transform the face of health service delivery across the globe". Within mental
health, interventions and monitoring systems for depression, anxiety, substance
abuse, eating disorder, schizophrenia and bipolar disorder have been developed
and used. The present paper presents the status and findings from studies using
automatically generated objective smartphone data in the monitoring of bipolar
disorder, and addresses considerations on the current literature and
methodological as well as clinical aspects to consider in the future studies.
PMID- 29359253
TI - Does co-inoculation of Lactuca serriola with endophytic and arbuscular
mycorrhizal fungi improve plant growth in a polluted environment?
AB - Phytoremediation of polluted sites can be improved by co-inoculation with
mycorrhizal and endophytic fungi. In this study, the effects of single- and co
inoculation of Lactuca serriola with an arbuscular mycorrhizal (AM) fungus,
Rhizoglomus intraradices, and endophytic fungi, Mucor sp. or Trichoderma
asperellum, on plant growth, vitality, toxic metal accumulation, sesquiterpene
lactone production and flavonoid concentration in the presence of toxic metals
were evaluated. Inoculation with the AM fungus increased biomass yield of the
plants grown on non-polluted and polluted substrate. Co-inoculation with the AM
fungus and Mucor sp. resulted in increased biomass yield of plants cultivated on
the polluted substrate, whereas co-inoculation with T. asperellum and the AM
fungus increased plant biomass on the non-polluted substrate. In the presence of
Mucor sp., mycorrhizal colonization and arbuscule richness were increased in the
non-polluted substrate. Co-inoculation with the AM fungus and Mucor sp. increased
Zn concentration in leaves and roots. The concentration of sesquiterpene lactones
in plant leaves was decreased by AM fungus inoculation in both substrates.
Despite enhanced host plant costs caused by maintaining symbiosis with numerous
microorganisms, interaction of wild lettuce with both mycorrhizal and endophytic
fungi was more beneficial than that with a single fungus. The study shows the
potential of double inoculation in unfavourable environments, including
agricultural areas and toxic metal-polluted areas.
PMID- 29359254
TI - Qualitative assessment of awake nasopharyngoscopy for prediction of oral
appliance treatment response in obstructive sleep apnoea.
AB - PURPOSE: Clinical methods to identify responders to oral appliance (OA) therapy
for obstructive sleep apnoea (OSA) are needed. Awake nasopharyngoscopy during
mandibular advancement, with image capture and subsequent processing and
analysis, may predict treatment response. A qualitative assessment of awake
nasopharyngoscopy would be simpler for clinical practice. We aimed to determine
if a qualitative classification system of nasopharyngoscopic observations
reflects treatment response. METHODS: OSA patients were recruited for treatment
with a customised two-piece OA. A custom scoring sheet was used to record
observations of the pharyngeal airway (velopharynx, oropharynx, hypopharynx)
during supine nasopharyngoscopy in response to mandibular advancement and
performance of the Muller manoeuvre. Qualitative scores for degree (< 25%, 25
50%, 50-75%, > 75%), collapse pattern (concentric, anteroposterior, lateral) and
diameter change (uniform, anteroposterior, lateral) were recorded. Treatment
outcome was confirmed by polysomnography after a titration period of 14.6 +/- 9.8
weeks. Treatment response was defined as (1) Treatment AHI < 5, (2) Treatment AHI
< 10 plus > 50% AHI reduction and (3) > 50% AHI reduction. RESULTS: Eighty OSA
patients (53.8% male) underwent nasopharyngoscopy. The most common
naspharyngoscopic observation with mandibular advancement was a small (< 50%)
increase in velopharyngeal lateral diameter (37.5%). The majority of subjects
(72.5%) were recorded as having > 75% velopharyngeal collapse on performance of
the Muller manoeuvre. Mandibular advancement reduced the observed level of
pharyngeal collapse at all three pharyngeal regions (p < 0.001). None of the
nasopharyngoscopic qualitative scores differed between responder and non
responder groups. CONCLUSION: Qualitative assessment of awake nasopharyngoscopy
appears useful for assessing the effect of mandibular advancement on upper airway
collapsibility. However, it is not sensitive enough to predict oral appliance
treatment outcome.
PMID- 29359255
TI - Fabrication of a New Self-assembly Compound of CsTi2NbO7 with Cationic Cobalt
Porphyrin Utilized as an Ascorbic Acid Sensor.
AB - A novel sandwich-structured nanocomposite based on Ti2NbO7- nanosheets and cobalt
porphyrin (CoTMPyP) was fabricated through electrostatic interaction, in which
CoTMPyP has been successfully inserted into the lamellar spacing of layered
titanoniobate. The resultant Ti2NbO7/CoTMPyP nanocomposite was characterized by
XRD, SEM, TEM, EDS, FT-IR, and UV-vis. It is demonstrated that the intercalated
CoTMPyP molecules were found to be tilted approximately 63 degrees against
Ti2NbO7- layers. The glass carbon electrode (GCE) modified by Ti2NbO7/CoTMPyP
film showed a fine diffusion-controlled electrochemical redox process.
Furthermore, the Ti2NbO7/CoTMPyP-modified electrode exhibited excellent
electrocatalytic oxidation activity of ascorbic acid (AA). Differential pulse
voltammetric studies demonstrated that the intercalated nanocomposite detects AA
linearly over a concentration range of 4.99 * 10-5 to 9.95 * 10-4 mol L-1 with a
detection limit of 3.1 * 10-5 mol L-1 at a signal-to-noise ratio of 3.0.
PMID- 29359256
TI - Tibial tubercle osteotomy (TTO) in total knee arthroplasty, is it worth it? A
review of the literature.
AB - INTRODUCTION: Tibial tubercle osteotomy (TTO) is a well-established extensile
approach to improve joint visualization and implant removal. Despite this, TTO is
a challenging technique with a long learning curve and potential pitfalls.
Complications are not infrequent, even if performing the correct surgical steps.
Aim of this paper is to review the current literature about TTO, its safeness and
reliability, and finally the complications rate. MATERIALS AND METHODS: We
performed a systematic review of the available English literature, considering
the outcomes and the complications of TTO. The combinations of keyword were
"tibial tubercle osteotomy", "total knee arthroplasty", "total knee revision",
"outcomes", "complication" and "surgical approach". RESULTS: From the starting
322 papers available, 26 manuscripts were finally included. Most of the papers
show significant improvements in clinical outcomes, both in primary and in
revision procedures. Radiographic fragment healing is close to 100%. Related
complications can range from 3.8-20%. CONCLUSION: TTO may be necessary to correct
pathological tuberosity position or patella tracking. However, TTO is a
challenging technique to improve the surgical approach during total knee
arthroplasty. A strict surgical technique can lead to better results and to
minimize complications. However, it is not clear if the improved outcome can
outweigh the longer surgery and the higher risk of pitfalls.
PMID- 29359257
TI - Speedup computation of HD-sEMG signals using a motor unit-specific electrical
source model.
AB - Nowadays, bio-reliable modeling of muscle contraction is becoming more accurate
and complex. This increasing complexity induces a significant increase in
computation time which prevents the possibility of using this model in certain
applications and studies. Accordingly, the aim of this work is to significantly
reduce the computation time of high-density surface electromyogram (HD-sEMG)
generation. This will be done through a new model of motor unit (MU)-specific
electrical source based on the fibers composing the MU. In order to assess the
efficiency of this approach, we computed the normalized root mean square error
(NRMSE) between several simulations on single generated MU action potential
(MUAP) using the usual fiber electrical sources and the MU-specific electrical
source. This NRMSE was computed for five different simulation sets wherein
hundreds of MUAPs are generated and summed into HD-sEMG signals. The obtained
results display less than 2% error on the generated signals compared to the same
signals generated with fiber electrical sources. Moreover, the computation time
of the HD-sEMG signal generation model is reduced to about 90% compared to the
fiber electrical source model. Using this model with MU electrical sources, we
can simulate HD-sEMG signals of a physiological muscle (hundreds of MU) in less
than an hour on a classical workstation. Graphical Abstract Overview of the
simulation of HD-sEMG signals using the fiber scale and the MU scale. Upscaling
the electrical source to the MU scale reduces the computation time by 90%
inducing only small deviation of the same simulated HD-sEMG signals.
PMID- 29359258
TI - A Technique for Thermal Desorption Analyses Suitable for Thermally-Labile,
Volatile Compounds.
AB - Many plant and insect interactions are governed by odors released by the plants
or insects and there exists a continual need for new or improved methods to
collect and identify these odors. Our group has for some time studied below
ground, plant-produced volatile signals affecting nematode and insect behavior.
The research requires repeated sampling of volatiles of intact plant/soil systems
in the laboratory as well as the field with the help of probes to minimize
unwanted effects on the systems we are studying. After evaluating solid adsorbent
filters with solvent extraction or solid phase micro extraction fiber sample
collection, we found dynamic sampling of small air volumes on Tenax TA filters
followed by thermal desorption sample introduction to be the most suitable
analytical technique for our applications. Here we present the development and
evaluation of a low-cost and relatively simple thermal desorption technique where
a cold trap cooled with liquid carbon dioxide is added as an integral part of a
splitless injector. Temperature gradient-based focusing and low thermal mass
minimizes aerosol formation and eliminates the need for flash heating, resulting
in low sample degradation comparable to solvent-based on-column injections.
Additionally, since the presence of the cold trap does not affect normal
splitless injections, on-the-fly switching between splitless and thermal
desorption modes can be used for external standard quantification.
PMID- 29359259
TI - Extra-articular distal tibia fractures-controversies regarding treatment options.
A single-centre prospective comparative study.
AB - Distal tibia fractures are reported to have a high complication rate pre
operatively as well as post-operatively, which can include open fractures, soft
tissue damage, infection, malalignment, pseudarthrosis and ankle arthrosis. The
operative treatment for the extra-articular distal tibia fractures is a
controversial topic in the orthopaedic literature. Some of these fractures are
proximal enough to be treated with an intramedullary nail while others are too
distal for that. The aim of our study was to compare the results we have had with
intramedullary nail (IMN) and minimally invasive plate osteosynthesis (MIPO) in
distal metaphyseal (extra-articular) tibia fractures. The study was designed
prospectively between January 2013 and March 2016 and took place on the
Orthopaedics and Traumatology ward of a Clinical Emergency County Hospital in
western Romania. The follow-up visits were scheduled one month, three months and
six months post-operatively. For evaluating the ankle function, we used the
Olerud-Molander ankle score (OMAS) and union was evaluated at six months on ankle
X-rays. At the six-month follow-up visit the average scores were 75.55 (20-100)
for the IMN lot and 74.23 (20-90) for the MIPO lot, without finding any
statistical difference between the two groups (p >0.1). At the six-month follow
up, X-ray union was objected in 48 (90.5%) of our patients, the IMN lot having
worse results (85.18%) than the MIPO lot (96.15%). The results we encountered
showed little to no statistical difference when it comes to the functional score
we used (OMAS score), leading us to believe that you can achieve comparable
results with both implants.
PMID- 29359262
TI - Numerical Investigation of the Effect of Additional Pulmonary Blood Flow on
Patient-Specific Bilateral Bidirectional Glenn Hemodynamics.
AB - The effect of additional pulmonary blood flow (APBF) on the hemodynamics of
bilateral bidirectional Glenn (BBDG) connection was marginally discussed in
previous studies. This study assessed this effect using patient-specific
numerical simulation. A 15-year-old female patient who underwent BBDG was
enrolled in this study. Patient-specific anatomy, flow waveforms, and pressure
tracings were obtained using computed tomography, Doppler ultrasound technology,
and catheterization, respectively. Computational fluid dynamic simulations were
performed to assess flow field and derived hemodynamic metrics of the BBDG
connection with various APBF. APBF showed noticeable effects on the hemodynamics
of the BBDG connection. It suppressed flow mixing in the connection, which
resulted in a more antegrade flow structure. Also, as the APBF rate increases,
both power loss and reflux in superior venae cavae (SVCs) monotonically increases
while the flow ratio of the right to the left pulmonary artery (RPA/LPA)
monotonically decreases. However, a non-monotonic relationship was observed
between the APBF rate and indexed power loss. A high APBF rate may result in a
good flow ratio of RPA/LPA but with the side effect of bad power loss and
remarkable reflux in SVCs, and vice versa. A moderate APBF rate could be
favourable because it leads to an optimal indexed power loss and achieves the
acceptable flow ratio of RPA/LPA without causing severe power loss and reflux in
SVCs. These findings suggest that patient-specific numerical simulation should be
used to assist clinicians in determining an appropriate APBF rate based on
desired outcomes on a patient-specific basis.
PMID- 29359261
TI - Transplantation in patients with iron overload: is there a place for magnetic
resonance imaging? : Transplantation in iron overload.
AB - In iron overload diseases (thalassemia, sickle cell, and myelodysplastic
syndrome), iron is deposited in all internal organs, leading to functional
abnormalities. Hematopoietic stem cell transplantation (HSCT) is the only
treatment offering a potential cure in these diseases. Our aim was to describe
the experience in the field and the role of magnetic resonance imaging in the
evaluation of iron overload before and after HSCT. Magnetic resonance imaging
(MRI), using T2*, is the most commonly used tool to diagnose myocardial-liver
iron overload and guide tailored treatment. Currently, HSCT offers complete cure
in thalassemia major, after overcoming the immunologic barrier, and should be
considered for all patients who have a suitable donor. The overall thalassemia
free survival of low-risk, HLA-matched sibling stem cell transplantation patients
is 85-90%, with a 95% overall survival. The problems of rejection and engraftment
are improving with the use of adequate immunosuppression. However, a detailed
iron assessment of both heart and liver is necessary for pre- and post-transplant
evaluation. In iron overload diseases, heart and liver iron evaluation is
indispensable not only for the patients' survival, but also for evaluation before
and after HSCT.
PMID- 29359260
TI - Clinical impact of oral antidiabetic medications in heart failure patients.
AB - Heart failure (HF) is a common complication in patients with type 2 diabetes and
it is closely associated with high morbidity and mortality rate. The incidence of
cardiovascular events in patients with diabetes is related to high levels of
glycemia, expressed by increase of HbA1c levels. However, there is little
evidence to indicate that glycemic control can reduce the incidence of HF events
in this population. Recently, several new antidiabetic drugs have been proposed
although the exact clinical impact on heart failure occurrence and deterioration
is under debate. Most common oral antidiabetic medication such as SGLT2, GLP-1
receptor agonist, metformin, and DPP4 inhibitor revealed peculiar metabolic and
biomolecular signal effects. Moreover, the negative effects of thiazolidinediones
on HF prognosis, on cardiac function, and exercise tolerance is of great
interest. Conversely, several studies on GLP-1RA have highlighted many positive
effects on cardiac myocytes, reducing apoptosis through cAMP/PKA/CRCB-mediated
pathways protecting against oxidative stress. DPP-4 inhibitors have a
controversial effect: saxagliptin and alogliptin may increase the risk of HF as
opposed to vildagliptin and sitagliptin. Metformin increases myocardial ATP
levels due to activation of 5-AMPK and this could explain the positive link
between the drug and events rate reduction in diabetic patients with HF. The more
interesting class of new drugs is SGLT2 inhibitors, that seems to have a positive
effect on cardiac function by 38% reduction of HF incidence and mortality with
empagliflozin treatment. In this review, we would analyze the specific effects of
each class so as to better elucidate the clinical impact of antidiabetic drug on
HF for guiding the clinicians in the choice of a best individualized therapy.
PMID- 29359263
TI - QTL mapping of flag leaf-related traits in wheat (Triticum aestivum L.).
AB - KEY MESSAGE: QTL controlling flag leaf length, flag leaf width, flag leaf area
and flag leaf angle were mapped in wheat. This study aimed to advance our
understanding of the genetic mechanisms underlying morphological traits of the
flag leaves of wheat (Triticum aestivum L.). A recombinant inbred line (RIL)
population derived from ND3331 and the Tibetan semi-wild wheat Zang1817 was used
to identify quantitative trait loci (QTLs) controlling flag leaf length (FLL),
flag leaf width (FLW), flag leaf area (FLA), and flag leaf angle (FLANG). Using
an available simple sequence repeat genetic linkage map, 23 putative QTLs for
FLL, FLW, FLA, and FLANG were detected on chromosomes 1B, 2B, 3A, 3D, 4B, 5A, 6B,
7B, and 7D. Individual QTL explained 4.3-68.52% of the phenotypic variance in
different environments. Four QTLs for FLL, two for FLW, four for FLA, and five
for FLANG were detected in at least two environments. Positive alleles of 17 QTLs
for flag leaf-related traits originated from ND3331 and 6 originated from
Zang1817. QTLs with pleiotropic effects or multiple linked QTL were also
identified on chromosomes 1B, 4B, and 5A; these are potential target regions for
fine-mapping and marker-assisted selection in wheat breeding programs.
PMID- 29359264
TI - Characterization of a complex chromosomal rearrangement involving chromosomes 1,
3, and 4 in a slightly affected male with bad obstetrics history.
PMID- 29359265
TI - Heterologous expression of Oenococcus oeni sHSP20 confers temperature stress
tolerance in Escherichia coli.
AB - Small heat shock proteins (sHSPs) are heat shock proteins sized 12-43 kDa that
can protect proteins from denaturation, particularly under high temperature;
sHSPs thus increase the heat tolerance capability of an organisms enabling
survival in adverse climates. sHSP20 is overexpressed in Oenococcus oeni in
response to low temperatures. However, we found that overexpression of sHSP20 in
Escherichia coli BL21 increased the microbial survival ratio at 50 degrees C by
almost 2 h. Adding sHSP20 to the glutamate dehydrogenase solution significantly
increased the stability of the enzyme at high temperature (especially at 60-70
degrees C), low pH values (especially below 6.0), and high concentration of metal
ions of Ga2+, Zn2+, Mn2+, and Fe3+. Notably, the coexpression of sHSP20
significantly enhanced soluble expression of laccase from Phomopsis sp. XP-8
(CCTCCM209291) in E. coli without codon optimization, as well as the activity and
heat stability of the expressed enzyme. In addition to the chaperone activity of
sHSP20 in the gene containing host in vivo and the enzyme heat stability in
vitro, our study indicated the capability of coexpression of sHSP20 to increase
the efficiency of prokaryotic expression of fungal genes and the activity of
expressed enzymes. Graphical abstract ?.
PMID- 29359266
TI - The place of liver transplantation in the treatment of hepatic metastases from
neuroendocrine tumors: Pros and cons.
AB - Liver metastases occur in nearly half of NET patients (MNETs) and heavily affect
prognosis, with 5-yr. OS around 19-38%. Although it is difficult to show outcome
differences for available treatments, due to the long course of disease, surgery
for MNETs remains the most effective option in terms of survival and symptom
control. Since MNETs frequently present as an oligo-metastatic, liver-limited
disease, unresectable in 80% of cases, liver transplantation (LT) has emerged as
a potential curative treatment. Nevertheless, experience with LT for MNETs is
limited and burdened by highly heterogeneous outcomes and significant recurrence
rate, mostly explained by the variability of selection criteria. Several
prognostic factors have been identified: extended surgery on primary tumor
associated to LT, elderly patients, pancreatic primary (pNET), extensive liver
involvement, poorly differentiated tumors, high Ki67 levels and short wait time
to LT. A proper patients' selection based on these data (Milan NET criteria)
allows a significant survival advantage over non-transplant strategies, with
excellent outcomes in recent series (69-97.2% 5-yr. OS) as opposed to patients
undergoing non-surgical treatments (34-50.9%). Evidence indicates LT as the best
option for selected patients with MNETs. The use of organs for MNETs is therefore
justified.
PMID- 29359268
TI - Establishment and proteomic characterization of a novel cell line, NCC-UPS2-C1,
derived from a patient with undifferentiated pleomorphic sarcoma.
AB - Undifferentiated pleomorphic sarcoma (UPS) is an aggressive mesenchymal
malignancy requiring novel therapeutic approaches to improve clinical outcome.
Patient-derived cancer cell lines are an essential tool for investigating
molecular mechanisms underlying cancer initiation and development; however, there
is a lack of patient-derived cell lines of UPS available for research. The
objective of this study was to develop a patient-derived cell model of UPS. A
cell line designated NCC-UPS2-C1 was established from the primary tumor tissue of
an 84-yr-old female patient with UPS. The short tandem repeat pattern of NCC-UPS2
C1 cells was identical to that of the original tumor and distinct from that of
any other cell lines deposited in public cell banks. NCC-UPS2-C1 cells were
maintained as a monolayer culture for over 80 passages during 30 mo and exhibited
spindle-like morphology, continuous growth, and ability for spheroid formation
and invasion. Proteomic profiling using mass spectrometry and functional treemap
analysis revealed that the original tumor and the derived NCC-UPS2-C1 cells had
similar but distinct protein expression patterns. Our results indicate that a
novel UPS cell line was successfully established and could be used to study UPS
development and effects of anti-cancer drugs. However, the revealed difference
between proteomes of the original tumor and NCC-UPS2-C1 cells should be further
investigated to determine the appropriate applications of this cell line in UPS
research.
PMID- 29359267
TI - The Development of Latent Dimensions of Psychopathology across Early Childhood:
Stability of Dimensions and Moderators of Change.
AB - Recent research has described the structure of psychopathology as including one
general and multiple specific factors, and this structure has been found in
samples across development. However, little work has examined whether this
structure is consistent across time, particularly in young children, within the
same sample. Further, few studies have examined factors that influence the
magnitude of the stability of latent dimensions of psychopathology. In the
present study, we examine these issues in a community sample of 545 children
assessed at ages 3 and 6. In addition, we explored child temperament, parental
history of psychopathology, and parenting behaviors as potential moderators of
the longitudinal stability of latent dimensions of psychopathology. We found that
the same bifactor model structure identified at age 3 provided an adequate fit to
the data at age 6. Further, our model revealed significant homotypic stability of
the general, internalizing, and externalizing specific factors. We also found
evidence of differentiation of psychopathology over time with the general factor
at age 3 predicting the externalizing factor at age 6. However, we failed to
identify moderators of the longitudinal associations between psychopathology
latent factors. Overall, our results bolster support for the bifactor structure
of psychopathology, particularly in early childhood.
PMID- 29359270
TI - Is left ventricular systolic index the new kid in the block?
PMID- 29359269
TI - Function, distribution, and annotation of characterized cellulases, xylanases,
and chitinases from CAZy.
AB - The enzymatic deconstruction of structural polysaccharides, which relies on the
production of specific glycoside hydrolases (GHs), is an essential process across
environments. Over the past few decades, researchers studying the diversity and
evolution of these enzymes have isolated and biochemically characterized
thousands of these proteins. The carbohydrate-active enzymes database (CAZy)
lists these proteins and provides some metadata. Here, the sequences and metadata
of characterized sequences derived from GH families associated with the
deconstruction of cellulose, xylan, and chitin were collected and discussed.
First, although few polyspecific enzymes are identified, characterized GH
families are mostly monospecific. Next, the taxonomic distribution of
characterized GH mirrors the distribution of identified sequences in sequenced
genomes. This provides a rationale for connecting the identification of GH
sequences to specific reactions or lineages. Finally, we tested the annotation of
the characterized GHs using HMM scan and the protein families database (Pfam).
The vast majority of GHs targeting cellulose, xylan, and chitin can be identified
using this publicly accessible approach.
PMID- 29359272
TI - Rescued diagnostic quality by motion correction of dynamic cardiac positron
emission tomography (PET) perfusion images.
PMID- 29359271
TI - Molecular imaging of calcific aortic valve disease.
AB - Calcific aortic valve disease (CAVD) can progress to symptomatic aortic stenosis
in a subset of patients. The severity of aortic stenosis and the extent of
valvular calcification can be evaluated readily by echocardiography, CT, and MRI
using well-established imaging protocols. However, these techniques fail to
address optimally other important aspects of CAVD, including the propensity for
disease progression, risk of complications in asymptomatic patients, and the
effect of therapeutic interventions on valvular biology. These gaps may be
addressed by molecular imaging targeted at key biological processes such as
inflammation, remodeling, and calcification that mediate the development and
progression of CAVD. In this review, recent advances in valvular molecular
imaging, including 18F-fluorodeoxyglucose (FDG) and 18F-sodium fluoride (NaF)
PET, and matrix metalloproteinase-targeted SPECT imaging in the preclinical and
clinical settings are presented and discussed.
PMID- 29359273
TI - Comparison of two software systems for quantification of myocardial blood flow in
patients with hypertrophic cardiomyopathy.
AB - BACKGORUND: Quantification of myocardial blood flow (MBF) by positron emission
tomography (PET) is important for investigation of angina in hypertrophic
cardiomyopathy (HCM). Several software programs exist for MBF quantification, but
they have been mostly evaluated in patients (with normal cardiac geometry),
referred for evaluation of coronary artery disease (CAD). Software performance
has not been evaluated in HCM patients who frequently have hyperdynamic LV
function, LV outflow tract (LVOT) obstruction, small LV cavity size, and
variation in the degree/location of LV hypertrophy. AIM: We compared results of
MBF obtained using PMod, which permits manual segmentation, to those obtained by
FDA-approved QPET software which has an automated segmentation algorithm.
METHODS: 13N-ammonia PET perfusion data were acquired in list mode at rest and
during pharmacologic vasodilation, in 76 HCM patients and 10 non-HCM patients
referred for evaluation of CAD (CAD group.) Data were resampled to create static,
ECG-gated and 36-frame-dynamic images. Myocardial flow reserve (MFR) and MBF (in
ml/min/g) were calculated using QPET and PMod softwares. RESULTS: All HCM
patients had asymmetric septal hypertrophy, and 50% had evidence of LVOT
obstruction, whereas non-HCM patients (CAD group) had normal wall thickness and
ejection fraction. PMod yielded significantly higher values for global and
regional stress-MBF and MFR than for QPET in HCM. Reasonably fair correlation was
observed for global rest-MBF, stress-MBF, and MFR using these two softwares (rest
MBF: r = 0.78; stress-MBF: r = 0.66.; MFR: r = 0.7) in HCM patients. Agreement
between global MBF and MFR values improved when HCM patients with high spillover
fractions (> 0.65) were excluded from the analysis (rest-MBF: r = 0.84; stress
MBF: r = 0.72; MFR: r = 0.8.) Regionally, the highest agreement between PMod and
QPET was observed in the LAD territory (rest-MBF: r = 0.82, Stress-MBF: r = 0.68)
where spillover fraction was the lowest. Unlike HCM patients, the non-HCM
patients (CAD group) demonstrated excellent agreement in MBF/MFR values, obtained
by the two softwares, when patients with high spillover fractions were excluded
(rest-MBF: r = 0.95; stress-MBF: r = 0.92; MFR: r = 0.95). CONCLUSIONS: Anatomic
characteristics specific to HCM hearts contribute to lower correlations between
MBF/MFR values obtained by PMod and QPET, compared with non-HCM patients. These
differences indicate that PMod and QPET cannot be used interchangeably for
MBF/MFR analyses in HCM patients.
PMID- 29359274
TI - Coronary vascular age: An alternate means for predicting stress-induced
myocardial ischemia in patients with suspected coronary artery disease.
AB - BACKGROUND: Coronary artery calcium (CAC) can be used to estimate vascular age in
adults, providing a convenient transformation of CAC from Agatston units into a
year's scale. We investigated the role of coronary vascular age in predicting
stress-induced myocardial ischemia in subjects with suspected coronary artery
disease (CAD). METHODS: A total of 717 subjects referred to CAC scoring and 82Rb
PET/CT stress-rest myocardial perfusion imaging for suspected CAD were studied.
CAC score was measured according to the Agatston method and coronary vascular age
by equating estimated CAD risk for chronological age and CAC using the formula
39.1 + 7.25 * ln(CAC + 1). RESULTS: Stress-induced ischemia was present in 105
(15%) patients. Mean chronological age, CAC score, and coronary vascular age were
higher (all P < .001) in patients with ischemia compared to those without. At
incremental analysis, the global Chi square increased from 41.26 to 68.77 (P <
.001) when chronological age was added to clinical variables. Including vascular
age in the model, the global Chi square further increased from 68.77 to 106.38 (P
< .001). Adding chronological age to clinical data, continuous net
reclassification improvement (cNRI) was 0.57, while adding vascular age to
clinical data and chronological age cNRI was 0.62. At decision curve analysis,
the model including vascular age was associated with the highest net benefit
compared to the model including only clinical data, to the model including
chronological age and clinical data, and to a strategy considering that all
patients had ischemia. The model including vascular age also showed the largest
reduction in false-positive rate without missing any ischemic patients.
CONCLUSIONS: In subjects with suspected CAD, coronary vascular age is strongly
associated with stress-induced ischemia. The communication of a given vascular
age would have a superior emotive impact improving observance of therapies and
healthier lifestyles.
PMID- 29359276
TI - ?
PMID- 29359277
TI - Response of Cerebral Blood Flow and Blood Pressure to Dynamic Exercise: A Study
Using PET.
AB - Dynamic exercise elicits fluctuations in blood pressure (BP) and cerebral blood
flow (CBF). This study investigated responses in BP and CBF during cycling
exercise and post-exercise hypotension (PEH) using positron emission tomography
(PET). CBF was measured using oxygen-15-labeled water (H215O) and PET in 11 human
subjects at rest (Rest), at the onset of exercise (Ex1), later in the exercise
(Ex2), and during PEH. Global CBF significantly increased by 13% at Ex1 compared
with Rest, but was unchanged at Ex2 and during PEH. Compared with at Rest,
regional CBF (rCBF) increased at Ex1 (20~42%) in the cerebellar vermis,
sensorimotor cortex for the bilateral legs (M1Leg and S1Leg), insular cortex and
brain stem, but increased at Ex2 (28~31%) only in the vermis and M1Leg and S1Leg.
During PEH, rCBF decreased compared with Rest (8~13%) in the cerebellum, temporal
gyrus, piriform lobe, thalamus and pons. The areas showing correlations between
rCBF and mean BP during exercise and PEH were consistent with the central
autonomic network, including the brain stem, cerebellum, and hypothalamus
(R2=0.25-0.64). The present study suggests that higher brain regions are
coordinated through reflex centers in the brain stem in order to regulate the
cardiovascular response to exercise.
PMID- 29359278
TI - Effectiveness and Safety of Non-Vitamin K Oral Anticoagulants in Comparison to
Phenprocoumon: Data from 61,000 Patients with Atrial Fibrillation.
AB - All pivotal trials have evaluated non-vitamin K oral antagonists (NOACs) against
warfarin. However, in some regions of the world, phenprocoumon is the most widely
used vitamin K antagonist (VKA). There is little evidence documenting
effectiveness and safety of NOACs compared with phenprocoumon in atrial
fibrillation (AF). A retrospective cohort study using a German claims database
was conducted to assess effectiveness (stroke, systemic embolism [SE]) and safety
(bleeding leading to hospitalization) during therapy with NOACs and phenprocoumon
in 61,205 AF patients. Hazard ratios (HRs) for effectiveness and safety outcomes
were derived from Cox proportional hazard models, adjusting for baseline
characteristics. Propensity score matching was performed as a sensitivity
analysis. As a prespecified subgroup analysis, the effects of reduced NOAC dosing
were compared with phenprocoumon. A total of 61,205 patients were identified in
whom phenprocoumon (n = 23,823, 38.9%), apixaban (n = 10,117, 16.5%), dabigatran
(n = 5,122, 8.4%), or rivaroxaban (n = 22,143, 36.2%) was initiated. After
adjusting for baseline confounders, all three NOACs tested had significantly
lower risks of stroke/SE compared with phenprocoumon (apixaban-HR: 0.77, 95% CI:
0.66-0.90; dabigatran-HR: 0.74, 95% CI: 0.60-0.91; rivaroxaban-HR: 0.86, 95% CI:
0.76-0.97). Apixaban (HR: 0.58, 95% CI: 0.49-0.69) and dabigatran (HR: 0.64, 95%
CI: 0.50-0.80) were associated with lower bleeding risks than phenprocoumon,
whereas the risk was similar for rivaroxaban and phenprocoumon. All three NOACs
showed reduced risk of intracranial bleeding compared with phenprocoumon. Reduced
doses of NOACs were predominantly used in patients with advanced age and
comorbidities with generally similar effectiveness and safety benefits compared
with phenprocumon as standard-dose NOACs.
PMID- 29359275
TI - The Natural History of IBD: Lessons Learned.
AB - PURPOSE OF REVIEW: Inflammatory bowel diseases (IBD), which include Crohn's
disease (CD) and ulcerative colitis (UC), are chronic, relapsing diseases with
unknown etiologies. The purpose of this review is to present the natural disease
course evidenced in the latest epidemiology data. RECENT FINDINGS: The prevalence
of IBD is rapidly increasing, affecting five million patients worldwide with the
highest incidence observed in Northern Europe and Northern America. It has been
shown that both CD and UC patients are at an increased risk for developing cancer
of the gastrointestinal tract compared to the general population. Though the
disease course of IBD is unpredictable, the rate of surgical treatment has
declined potentially as a consequence of the introduction of immunomodulators and
new biologic treatment options. Treatments with biological agents and/or
immunosuppressive drugs as well as disease monitoring with eHealth devices seem
to have a positive impact on the disease course. However, long-term follow-up
studies are still lacking and therefore no reliable conclusions can be drawn as
of yet. Medical compliance is paramount in the treatment of IBD, and continuous
research focusing on approaches that increase compliance is also necessary.
PMID- 29359279
TI - Hypothalamic-Pituitary-Adrenocortical Axis Activity in Alcohol-Dependent Patients
During Treatment with High-Dose Baclofen.
AB - AIMS: Activity of the hypothalamic-pituitary-adrenocortical (HPA) axis has been
reported to be affected in alcohol use disorder (AUD). It has been suggested that
pharmacological relapse prevention in AUD might exert its effects partly by
modulation of HPA axis activity. Here, we assessed the effects of high-dose
treatment with baclofen on HPA axis activity in alcohol-dependent patients within
a 24-week randomized, placebo-controlled trial (BACLAD study). METHODS: Plasma
levels of copeptin, adrenocorticotropic hormone (ACTH), and cortisol were
measured at 3 timepoints in alcohol-dependent patients during the study.
Corresponding plasma levels in healthy controls were assessed once. RESULTS: ACTH
blood levels were significantly higher in the group of alcohol-dependent patients
compared to controls. In patients receiving individually titrated high-dose
baclofen, plasma cortisol levels decreased significantly, whereas no significant
alterations were found in the placebo group. CONCLUSIONS: Our study underlines
again the role of HPA axis alterations in AUD. Furthermore, a decrease in
hormonal stress levels during treatment with high-dose baclofen might contribute
to the relapse preventive effects of this compound.
PMID- 29359280
TI - SMFM 2018 Pregnancy Meeting: Looking Ahead to the Scientific Program (Podcast).
PMID- 29359281
TI - Correction: Tensiomyographic Assessment of Muscle Contractile Properties in 9- to
14-Year Old Children.
PMID- 29359282
TI - [Health Care Organization - The New German S3-Guideline on Alcohol-Related
Disorders and its Relevance for Health Care].
AB - BACKGROUND: Alcohol dependence is one of the most serious diseases of addiction
in Germany. The new S3-guideline "Screening, Diagnostics and Treatment of Alcohol
Related Disorders" has been presented in 2015 and summarizes the present state of
knowledge pertaining to the diagnosis and treatment of alcohol abuse and alcohol
dependence. METHODS: This guideline was developed over four years within the
framework of the Association of the Scientific Medical Societies in Germany
(AWMF). The German Association for Psychiatry, Psychotherapy and Psychosomatics
(DGPPN) and the German Society for Research and Therapy in Addiction (DG-Sucht)
took the lead in the organization. More than 50 professional societies and
associations and health organizations as well as more than 60 acknowledged
experts were involved, including networks of self-help groups and relatives. The
working group on "health care organization", whose results are presented here,
was one out of thirteen working groups, focusing on the task how to implement the
guideline under the basic conditions of the German health care system with its
sectors and interfaces. RESULTS: For the chapter on "health care organization" 27
recommendations have been consented. Many of these have been prepared by the
respective working groups. These recommendations cover areas such as screening,
diagnostics and short interventions, detoxification and withdrawal as well as
pharmacotherapy, physical complications and psychic comorbidity, medical
rehabilitation and other forms of post-acute treatment, primary care by general
practitioners, as well as specific target groups such as children and
adolescents, (pregnant) women and the elderly, and, in addition, self-help
approaches. CONCLUSION: For needs-based diagnostics and treatment of alcohol
related disorders, guideline-based recommendations for health care organization
offer a framework for the cooperation and coordination of all health sectors and
occupational groups, especially with respect to their interfaces. This includes
the cooperation between the medical and the psychosocial system and stretches
from harm reduction to social inclusion of people concerned. Particularly the
field of rehabilitation with its explicit aims for participation relies heavily
on such a cooperation.
PMID- 29359283
TI - [Multilevel Analysis as a Tool to Analyze Research Questions in Rehabilitation
Science].
AB - Studies in rehabilitation science often investigate data representing different
hierarchical data levels. Hierarchical sample structures prevail if single cases
(e. g. rehabilitation patients) are grouped or are members of grouped entities or
clusters (e. g. teams, groups, institutions), respectively. Analyzing data of
rehabilitation patients treated in different institutions it has to be regarded
that belonging to a specific institution (level 2; cluster-level) may be
associated with individuals' (level 1) characteristics systematically. If
rehabilitation patients take part in different training groups, specific
characteristics of the training groups (level 2) may affect treatment effects of
individuals (level 1) significantly. Multilevel analysis or hierarchical linear
models allow for simultaneously modelling such multilevel data structures in an
integrative and comprehensive manner. In this article it will be shown which
specific aspects concerning hierarchical sampling procedures, data organization,
specification of research hypotheses, statistical data analysis as well as
interpretation of study results have be regarded to model potential clustering
effects appropriately.
PMID- 29359284
TI - [Change of Symptom Severity and Functioning According to ICF in the In-Patient
Psychosomatic Rehabilitation].
AB - BACKGROUND: In this naturalistic study the changes of patients of an in-patient
psychosomatic rehabilitation with regard to functioning and to symptom severity
were examined. METHODS: Self-evaluations of patients concerning their functioning
(ICF AT-50 Psych) and their symptom severity (GSI) were elevated at 3 measurement
periods: on admission (n=419), on discharge (n=354) and 6 months after discharge
(n=297). RESULTS: Functioning as well as symptom severity improved from t1 to t2.
With regard to functioning an improvement on global and specific scales was
shown. From t2 to t3 the symptom severity increased lightly again, whereas the
functioning level remained rather steady. Changes in symptom severity highly
correlate with changes of functioning. DISCUSSION: The results point to the fact
that psychosomatic rehabilitation has positive effects on functioning. While
planning the therapy functioning as well as symptom severity should be
considered. Controlled studies are needed.
PMID- 29359285
TI - [Self-Determination in Medical Rehabilitation - Development of a Conceptual Model
for Further Theoretical Discussion].
AB - AIM: Aim was to gather theoretical knowledge about self-determination and to
develop a conceptual model for medical rehabilitation- which serves as a basis
for discussion. METHODS: We performed a literature research in electronic
databases. Various theories and research results were adopted and transferred to
the context of medical rehabilitation and into a conceptual model. RESULTS: The
conceptual model of self-determination reflects on a continuum which forms of
self-determination may be present in situations of medical rehabilitation
treatments. The location on the continuum depends theoretically on the
manifestation of certain internal and external factors that may influence each
other. CONCLUSIONS: The model provides a first conceptualization of self
determination focusing on medical rehabilitation which should be further refined
and tested empirically.
PMID- 29359286
TI - [Systemic Diseases with Kidney Involvement].
PMID- 29359287
TI - [83-Year-Old Man with Prolonged Epigastric Pain and Ultimately Vomiting].
PMID- 29359288
TI - [Renal manifestation in ANCA-associated vasculitis].
AB - In patients with ANCA-associated vasculitis renal involvement is frequently seen
and the severity of renal manifestation is very important for therapeutic
strategies and prognosis. Clinically rapid loss of renal function, nephritic
sediment and proteinuria in a non-nephrotic range are characterizing a focal
segmental necrotizing pauci-immune glomerulonephritis with extrarenal
proliferations. Induction treatment depends on the severity of manifestations.
With a normal renal function methotrexate can be used in combination with
steroids. In patients with organ threatening involvement but creatinine below 500
umol/l cyclophosphamide pulses or Rituximab should be used together with
steroids, initially with i. v. pulses. Rituximab is more effective in PR3-ANCA
vasculitis and should be used in relapsing disease, in young patients to avoid
gonadal toxicity and in patients with an increased risk of malignancies. In
patients on dialysis or with creatinine > 500 umol/l plasma exchange should be
added. Maintenance treatment (mainly with azathioprine) is necessary as at least
50 % of the patients develop relapses. Rituximab seems more effective, however it
is not approved for maintenance treatment and no long-term data are available.
Adjuvant treatment, long-term side effects and the increased incidence of
cardiovascular events have to be included in the follow-up of vasculitis
patients. In end-stage renal disease patients relapses occur but are more
difficult to diagnose and treat with higher incidence of infections.
Transplantation should be offered as patient and transplant survival is good.
PMID- 29359289
TI - [Renal Involvement in Connective Tissue Diseases].
AB - Renal involvement is common and heterogenous in connective tissue diseases and
has a main influence on prognosis and mortality. In systemic lupus erythematosus
proliferative glomerulonephritis is the most common manifestation, while in
primary Sjogren's syndrome interstitial nephritis with tubular dysfunction is the
predominant pathological feature. In systemic sclerosis the most serious renal
manifestation is scleroderma renal crisis characterized by abrupt onset of
hypertension and acute kidney injury associated with an increase in plasma renin
activity. Risk factors for scleroderma renal crisis are diffuse cutaneous
involvement, treatment with corticosteroids > 15 mg prednisolone/day and
treatment with calcineurin inhibitors.Regular measurement of urine sediment,
proteinuria-to-urine creatinine ratio, tubular proteinuria, measurement of plasma
creatinine, and office as well as home blood pressure monitoring are strongly
recommended. Diagnostic kidney biopsy is essential in differentiating the
different types of lupus nephritis and renal involvement in sjogren's
syndrome.The optimal treatment of lupus nephritis varies with the classification
of the morphological findings present on renal biopsy. The treatment of
interstitial nephritis in sjogren's syndrome consists of immunosuppression e. g.
corticosteroids. Renal tubular acidosis should be corrected by sodium alkali and
potassium alkali. Angiotensin-converting enzyme inhibitors play a major role in
the treatment of scleroderma renal crisis, they should be continued also in
patients progressing to end-stage renal disease.
PMID- 29359290
TI - [Renal involvement in amyloidosis and sarcoidosis].
AB - Amyloidosis is a rare disease characterized by extracellular deposition of
fibrils. Among the most common forms of systemic amyloidosis with renal
involvement are AL-amyloidosis based on plasma cell dyscrasia and AA-amyloidosis
in chronic inflammatory diseases. Depending on the affected renal compartment,
the clinical appearance of renal amyloidosis varies. The pattern of renal amyloid
deposition can be glomerular, interstitial, tubular or even vascular. Renal
amyloid deposits are detected by renal biopsy. Patients with glomerular deposits
typically show severe nephrotic syndrome with volume overload. Patients with
predominantly tubulo-interstitial or vascular deposits typically exhibit lower
proteinuria and progressive renal impairment. Treatment strategies for renal
amyloidosis are primarily based on the treatment of the underlying disease
including chemotherapy or stem cell transplantation in AL-amyloidosis or
treatment of chronic inflammatory diseases in AA-amyloidosis. Granulomatous
interstitial nephritis is the most common renal lesion occurring in sarcoidosis.
Therapy of granulomatous interstitial nephritis is mainly based on the use of
glucocorticoids.
PMID- 29359291
TI - [Granulated Proctosigmoiditis by Antibiotic-associated Infection with Pseudomonas
Aeruginosa].
AB - HISTORY AND ADMISSION FINDINGS: We report on the case of an elderly patient with
persisting diarrhea. Few weeks previous of admission the patient had received
antibiotic therapy because of respiratory infection. On admission he seemed
exsiccated and feeble. EXAMINATIONS: Macroscopic findings in colonoscopy showed
proctosigmoiditis and membranous exsudations. Stool culture provided the evidence
for an antibiotic-associated infection with pseudomonas aeruginosa. TREATMENT AND
COURSE: The recommended oral therapy with ciprofloxacin proved to be effective.
CONCLUSION: Complications with elderly patients are multimorbidity and diarrhea
induced prerenal failure. Frail patients can react strongly to antibiotic therapy
with enteritis and dysbacteriosis.
PMID- 29359292
TI - [Prevention and Screening in Colorectal Cancer].
PMID- 29359293
TI - [NOAKs in Obese Patients - No Problem?]
PMID- 29359294
TI - Detection and Quantification of Cannabinoids in Extracts of Cannabis sativa Roots
Using LC-MS/MS.
AB - A liquid chromatography-tandem mass spectrometry single-laboratory validation was
performed for the detection and quantification of the 10 major cannabinoids of
cannabis, namely, (-)-trans-Delta9-tetrahydrocannabinol, cannabidiol,
cannabigerol, cannabichromene, tetrahydrocannabivarian, cannabinol, (-)-trans
Delta8-tetrahydrocannabinol, cannabidiolic acid, cannabigerolic acid, and Delta9
tetrahydrocannabinolic acid-A, in the root extract of Cannabis sativa.
Acetonitrile : methanol (80 : 20, v/v) was used for extraction; d3-cannabidiol
and d3- tetrahydrocannabinol were used as the internal standards. All 10
cannabinoids showed a good regression relationship with r2 > 0.99. The validated
method is simple, sensitive, and reproducible and is therefore suitable for the
detection and quantification of these cannabinoids in extracts of cannabis roots.
To our knowledge, this is the first report for the quantification of cannabinoids
in cannabis roots.
PMID- 29359295
TI - Impact of Duration of Perioperative Ischemia on Outcomes of Microsurgical
Reconstructions.
AB - BACKGROUND: Free tissue transfers have become routine for a variety of
reconstructive purposes. During the time of transfer, some period of ischemia
time is unavoidable, causing structural and metabolic changes. This study aimed
to evaluate whether length of intraoperative ischemia affects the outcomes of
microsurgical reconstructions. METHODS: Within a 7-year period, 638 patients
having undergone 690 microvascular free flap reconstructions fulfilled inclusion
criteria for this study. The data were retrospectively screened for patients'
demographics, intra- and perioperative details, flap survival, surgical
complications, and outcomes. The cases were divided into two groups according to
the length of intraoperative ischemia time, "< 60" versus ">= 60 minutes."
RESULTS: Both groups were comparable regarding the patient constellation,
comorbidities, smoking status, and perioperative characteristics. Operative times
were significantly longer in patients which had ischemia times of >= 60 minutes
(p < 0.05). Also, during our 3-month follow-up period, a significantly higher
rate of major and minor surgical complications, including total and partial flap
losses, as well as higher revision rates occurred in the >= 60 minutes ischemia
time group (p < 0.05). CONCLUSION: In this study, prolonged ischemia time during
free flap reconstructions was associated with higher rates of revision surgeries
and complications rates.
PMID- 29359296
TI - Histological Changes in the Rat Femoral Artery Following the Use of the Empty-and
Refill Test.
AB - BACKGROUND: This study examines the effects of the empty-and-refill patency test
on rat femoral arteries in the longer postoperative time period. METHODS: A
simple arterial anastomosis was performed bilaterally on 20 rats. The empty-and
refill test was performed unilaterally in all rats, leaving the contralateral
artery as an internal control. Rats were divided into two cohorts of 10 rats and
survived for 48 hours and 2 weeks. Vessel patency was assessed prior to closing
and immediately prior to sacrifice. The femoral arteries were harvested
bilaterally and hematoxylin and eosin stains were performed. The femoral artery
distal to the anastomosis in the region of the empty-and-refill test was
histologically evaluated. RESULTS: All vessels were patent at the time of
sacrifice. There was no statistical difference in the numeric scoring between the
experimental and control vessels in the 48-hour cohort. Almost all vessels
harvested at 48 hours showed endothelial cell loss distal to the anastomosis
regardless of whether they underwent the empty-and-refill test. The only
statistically significant difference in the 2-week cohort was an increase in
adventitial smooth muscle proliferation in the experimental group. There were no
other statistically significant results between the experimental and control
groups at 2 weeks. An overall comparison of both cohorts revealed a statistically
significant increase in endothelial cell number and intimal proliferation by 2
weeks postsurgery. CONCLUSION: The empty-and-refill test does not compromise rat
femoral artery anastomotic patency, nor does it produce histological damage
either 48 hours or 2 weeks postsurgery.
PMID- 29359297
TI - Correction: Prevalence of Urinary Incontinence in Females Performing High-Impact
Exercises.
PMID- 29359298
TI - Recent Advances in Cell Electrospining of Natural and Synthetic Nanofibers for
Regenerative Medicine.
AB - The progression of nanotechnology provides opportunities to manipulate synthetic
and natural materials to mimic the natural structure for tissue engineering
applications. The electrospinning technique applies electrostatic principle to
fabricate electrospun nanofibers. Nanofiber scaffolds are precisely similar to
the native extracellular matrix (ECM) and support cell proliferation, adhesion,
tendency to preserve their phenotypic shape and directed growth according to the
nanofiber direction. This study reviewed both the natural and synthetic type of
nanofibers and described the different properties used to trigger certain process
in the tissue development. Also, the potential applications of electrospun
scaffolds for regenerative medicine were summarized.
PMID- 29359299
TI - Comparing the Efficacy of the Total Stabilizing and Posterior Stabilizing Knee
Prostheses in Obese and Preobese Females: A Retrospective Cohort Study.
AB - Obesity is associated with increased surgical complications that may lead to
suboptimal total knee arthroplasty (TKA) outcomes. Additionally, females exhibit
increased rates of severe, clinical osteoarthritis OA, along with increased
ligamentous laxity. Therefore, obese females present a particularly challenging
case for TKA with increased joint loads coupled with a propensity for
instability. This study retrospectively analyzed knee range of motion and
stability of one TKA designs with two different degrees of polyethylene
conformity in the obese female population. The implants (Stryker Triathlon total
stabilizing [TS] and Stryker Triathlon posterior stabilizing [PS]) differ in
their level of constraint, with the TS being more constrained. We hypothesized
that the TS implants would be associated with improved functional outcomes in the
obese female population, secondary to increased coronal stability to offset the
ligamentous laxity. Of 482 knees reviewed, 173 met the inclusion criteria of:
female, body mass index (BMI) >= 25, receiving TS (N = 93), or PS (N = 8).
Primary knee outcome measures were: active flexion, passive flexion, active
extension, passive extension, and stability at 0 degrees and 30 degrees
flexion. These factors were statistically analyzed at preop, 2-week, 6-week, 3
month, and 1-year time points. Preoperatively, the TS cohort was significantly
higher in BMI and knee laxity, potentially starting this cohort at a functional
disadvantage. Postoperatively, the TS implant was associated with a statistically
significant early improvement in active and passive knee extension. There was no
longer any significant difference in knee laxity postop. Our data support the
hypothesis that obese females may benefit from the increased stability afforded
by the TS design.
PMID- 29359300
TI - Acute Care Management of Stroke.
PMID- 29359301
TI - Clinical Application of Flexible Endoscopic Evaluation of Swallowing in Stroke.
AB - This article aims to review the use of laryngoscopy to assess swallowing function
in the stroke population. Since its inception in 1988, fiberoptic endoscopic
evaluation of swallowing (FEES) has become an established procedure with distinct
objective findings and practical applications, enabling many to choose it as the
primary instrumental tool in evaluating poststroke dysphagia. In this article, we
outline the decision-making process of when to use FEES. We highlight
considerations for the acute stroke patient and visual signs that guide decision
making during a FEES, such as secretions, swallowing frequency, and pharyngeal
squeeze elicitation. The application of the ice chip protocol for stroke patients
who have been nil per os is discussed, along with common observable events on
FEES performed on stroke patients like a delay, penetration/aspiration, and bolus
retention. Finally, we briefly review testing interventions and follow-up
readiness with FEES.
PMID- 29359302
TI - Dysarthria following Stroke.
AB - Dysarthria is a common consequence of stroke and can have a detrimental influence
on communication and quality of life. Speech-language pathologists (SLPs) play an
important role in the evaluation and rehabilitation of stroke survivors who
present with dysarthria. An understanding of the physiologic reason behind the
altered speech characteristics, such as weakness or incoordination, can
facilitate differential diagnosis, guide evaluation strategies, and influence
treatment approaches. An initial comprehensive speech evaluation is comprised of
examination of the speech mechanism, screening of speech subsystems, perceptual
assessment, and intelligibility measurement. Management strategies focus on
optimizing communication through compensatory strategies as well as providing
physiologic support. The SLP is also responsible for educating family and staff
regarding strategies that can facilitate communication.
PMID- 29359304
TI - Implementing a Standardized Assessment Battery for Aphasia in Acute Care.
AB - In this article, the implementation of a standardized assessment battery for the
evaluation of language in an acute care setting is described. Following an
institutional shift to adopt electronic medical records, researchers and
clinicians worked together to develop a technology-assisted evaluation of aphasia
that would be used to assess all patients admitted to our facility with stroke.
The project goal was to devise a clinical process to improve aphasia diagnosis
and evaluation while remaining feasible within constraints imposed by the acute
care setting and the electronic medical record. Utilizing frameworks from
implementation science, the four key phases were: (1) initial considerations of
the host setting, (2) creation of a structure for implementation, (3) maintenance
of this structure once implementation began, and (4) improvement for future
applications. A pilot implementation demonstrated that establishing and executing
a minimum standardized assessment for aphasia in acute care is feasible.
Retrospective medical record review of 50 aphasia evaluations in the setting of
first incidence of left hemisphere stroke affecting the middle cerebral artery
(25 preimplementation and 25 postimplementation) revealed that information
content of medical notes was more consistent and complete postimplementation than
preimplementation. Clinical implications and future directions are discussed.
PMID- 29359303
TI - Contemporary Approaches to the Management of Post-stroke Apraxia of Speech.
AB - Apraxia of speech (AOS) is a motor speech disorder that disrupts the planning and
programming of speech motor movements. In the acute stage of stroke recovery, AOS
following unilateral (typically) left hemisphere stroke can occur alongside
dysarthria, an impairment in speech execution and control, and/or aphasia, a
higher-level impairment in language function. At this time, perceptual evaluation
(the systematic, although subjective, description of speech and voice
characteristics) is perhaps the only "gold standard" for differential diagnosis
when it comes to motor speech disorders. This poses a challenge for speech
language pathologists charged with the evaluation of poststroke communication
abilities, as distinguishing production impairments associated with AOS from
those that can occur in aphasia and/or dysarthria can be difficult, especially
when more than one deficit is present. Given the need for more objective,
reliable methods to identify and diagnose AOS, several studies have turned to
acoustic evaluation and neuroimaging to supplement clinical assessment. This
article focuses on these recent advances. Studies investigating acoustic
evaluation of AOS will be reviewed, as well as those that have considered the
extent that neuroimaging can guide clinical decision making. Developments in the
treatment of AOS will also be discussed. Although more research is needed
regarding the use of these methods in everyday clinical practice, the studies
reviewed here show promise as emerging tools for the management of AOS.
PMID- 29359305
TI - Providing Effective Speech-Language Pathology Group Treatment in the
Comprehensive Inpatient Rehabilitation Setting.
AB - Group treatment is an integral part of speech-language pathology (SLP) practice.
The majority of SLP literature concerns group treatment provided in outpatient
settings. This article describes the goals, procedures, and benefits of providing
quality SLP group therapy in the comprehensive inpatient rehabilitation (CIR)
setting. Effective CIR groups must be designed with attention to type and
severity of communication impairment, as well physical stamina of group members.
Group leaders need to target individualized patient goals while creating a
challenging, complex, and dynamic group context that supports participation by
all group members. Direct patient-to-patient interaction is fostered as much as
possible. Peer feedback supports goal acquisition by fellow group members. The
rich, complex group context fosters improved insight, initiation, social
connectedness, and generalization of communication skills. Group treatment
provides a unique type of treatment not easily replicated with individual
treatment. SLP group treatment in a CIR is an essential component of an
intensive, high-quality program. Continued advocacy for group therapy provision
and research into its efficacy and effectiveness are warranted.
PMID- 29359306
TI - Contributions of Neuroimaging to Understanding Language Deficits in Acute Stroke.
AB - Advances in structural and functional imaging techniques have provided new
insights into our understanding of brain and language relationships. In this
article, we review the various structural and functional imaging methods
currently used to study language deficits in acute stroke. We also discuss the
advantages and the limitations of each imaging modality and the applications of
each modality in the clinical and research settings in the study of language
deficits.
PMID- 29359307
TI - Regional Brain Dysfunction Associated with Semantic Errors in Comprehension.
AB - Here we illustrate how investigation of individuals acutely after stroke, before
structure/function reorganization through recovery or rehabilitation, can be
helpful in answering questions about the role of specific brain regions in
language functions. Although there is converging evidence from a variety of
sources that the left posterior-superior temporal gyrus plays some role in spoken
word comprehension, its precise role in this function has not been established.
We hypothesized that this region is essential for distinguishing between
semantically related words, because it is critical for linking the spoken word to
the complete semantic representation. We tested this hypothesis in 127
individuals with 48 hours of acute ischemic stroke, before the opportunity for
reorganization or recovery. We identified tissue dysfunction (acute infarct
and/or hypoperfusion) in gray and white matter parcels of the left hemisphere,
and we evaluated the association between rate of semantic errors in a word
picture verification tasks and extent of tissue dysfunction in each region. We
found that after correcting for lesion volume and multiple comparisons, the rate
of semantic errors correlated with the extent of tissue dysfunction in left
posterior-superior temporal gyrus and retrolenticular white matter.
PMID- 29359309
TI - Lung Adenocarcinoma Invasiveness Risk in Pure Ground-Glass Opacity Lung Nodules
Smaller than 2 cm.
AB - BACKGROUND: We aimed to identify clinicopathologic characteristics and risk of
invasiveness of lung adenocarcinoma in surgically resected pure ground-glass
opacity lung nodules (GGNs) smaller than 2 cm. METHODS: Among 755 operations for
lung cancer or tumors suspicious for lung cancer performed from 2012 to 2016, we
retrospectively analyzed 44 surgically resected pure GGNs smaller than 2 cm in
diameter on computed tomography (CT). RESULTS: The study group was composed of
36 patients including 11 men and 25 women with a median age of 59.5 years (range,
34-77). Median follow-up duration of pure GGNs was 6 months (range, 0-63). Median
maximum diameter of pure GGNs was 8.5 mm (range, 4-19). Pure GGNs were resected
by wedge resection, segmentectomy, or lobectomy in 27 (61.4%), 10 (22.7%), and 7
(15.9%) cases, respectively. Pathologic diagnosis was atypical adenomatous
hyperplasia, adenocarcinoma in situ, minimally invasive adenocarcinoma (MIA), or
invasive adenocarcinoma (IA) in 1 (2.3%), 18 (40.9%), 15 (34.1%), and 10 (22.7%)
cases, respectively. The optimal cutoff value for CT-maximal diameter to predict
MIA or IA was 9.1 mm. In multivariate analyses, maximal CT-maximal diameter of
GGNs >=10 mm (odds ratio, 24.050; 95% confidence interval, 2.6-221.908; p =
0.005) emerged as significant independent predictor for either MIA or IA.
Estimated risks of MIA or IA were 37.2, 59.3, 78.2, and 89.8% at maximal GGN
diameters of 5, 10, 15, and 20 mm, respectively. CONCLUSION: Pure GGNs were
highly associated with lung adenocarcinoma in surgically resected cases, while
estimated risk of GGNs invasiveness gradually increased as maximal diameter
increased.
PMID- 29359308
TI - Impaired Recognition of Emotional Faces after Stroke Involving Right Amygdala or
Insula.
AB - Despite its basic and translational importance, the neural circuitry supporting
the perception of emotional faces remains incompletely understood. Functional
imaging studies and chronic lesion studies indicate distinct roles of the
amygdala and insula in recognition of fear and disgust in facial expressions,
whereas intracranial encephalography studies, which are not encumbered by
variations in human anatomy, indicate a somewhat different role of these
structures. In this article, we leveraged lesion-mapping techniques in
individuals with acute right hemisphere stroke to investigate lesions associated
with impaired recognition of prototypic emotional faces before significant neural
reorganization can occur during recovery from stroke. Right hemisphere stroke
patients were significantly less accurate than controls on a test of emotional
facial recognition for both positive and negative emotions. Patients with right
amygdala or anterior insula lesions had significantly lower scores than other
right hemisphere stroke patients on recognition of angry and happy faces. Lesion
volume within several regions, including the right amygdala and anterior insula,
each independently contributed to the error rate in recognition of individual
emotions. Results provide additional support for a necessary role of the right
amygdala and anterior insula within a network of regions underlying recognition
of facial expressions, particularly those that have biological importance or
motivational relevance and have implications for clinical practice.
PMID- 29359310
TI - [Computer based neurocognitive testing in audiology].
AB - INTRODUCTION: Due to the demographic changes neurocognition has become an
important issue also in the field of hearing rehabilitation. BACKGROUND: The
present study aimed to evaluate the feasibility of a neurocognitive test using
computer based tasks with regard to the elderly with and without hearing loss and
its practicability for the daily clinical ENT setting. PATIENTS: 171 patients of
both genders with normal hearing or a profound hearing loss were enrolled in the
study: 90 middleaged persons were between 50 and 64 years (57.0 +/- 4.5 years)
and 81 elderly persons 65 years and older (72.5 +/- 5.4). METHOD: A set of
computer-based neurocognitive tasks with only visual instructions covering
attention, processing speed, short- and longterm memory as well as executive
functions was applied. A presession under the supervision of a trained assistant
was included. RESULTS: All patients were capable to complete the assessment by
themselves regardless of age and hearing status, however the hearing impaired
required 15 minutes more to finish the pretest and reported about a higher level
of effort than normal hearing subjects (71 % versus 63 %). Interestingly 90 % of
the older individuals claimed the test to fit with all ages, whereas 30 % of the
middleaged participants remained skeptical (p = 0.02). CONCLUSION: The presented
neurocognitive assessment might be a useful instrument which can be easily
included into the daily clinical ENT. It may give important hints to the
otolaryngologist in order to develop the most effective hearing rehabilitation
strategy.
PMID- 29359311
TI - Protective effects of the pericellular matrix of chondrocyte on articular
cartilage against the development of osteoarthritis.
AB - Understanding the pathogenesis of osteoarthritis (OA) provides invaluable
information in the search of therapeutic targets for the development of disease
modifying OA drugs. Emerging results from investigations demonstrate that the
pericellular matrix of chondrocytes plays important roles in protecting articular
cartilages from being degraded. Thus, maintaining the structural integrity of the
pericellular matrix may be an effective approach to prevent the development of
osteoarthritic joints. In this review article, we discuss the consequences of
lacking one or more components of the pericellular matrix, and biological effects
of the destruction of the pericellular matrix in the development of OA. We
believe that more attention should be directed towards the pericellular matrix
for the identification of novel biomarkers and therapeutic targets for the
prevention and treatment of OA.
PMID- 29359312
TI - Impact of the IADPSG criteria for gestational diabetes, and of obesity, on
pregnancy outcomes.
AB - BACKGROUND: The adoption of the International Association of Diabetes Study
Groups (IADPSG) criteria for gestational diabetes mellitus (GDM) in Australia has
been controversial. Obesity in pregnancy is also a growing concern. AIMS: To
assess the impact of IADPSG criteria on the incidence of GDM and pregnancy
outcomes, and to compare this to the effect of obesity, particularly among women
who would not have GDM by the Australasian Diabetes in Pregnancy Society 1998
criteria (ADIPS1998). MATERIAL AND METHODS: A retrospective observational cohort
study linking results of 75 g glucose tolerance tests with demographic and
pregnancy data was conducted. RESULTS: In our cohort of 6175 pregnancies, GDM was
present in 926 (15%) women by the ADIPS1998 criteria; it increased to 1098
(17.8%) women by the IADPSG criteria. Among the 5248 pregnancies which did not
meet the ADIPS1998 criteria and were not treated for GDM, women with IADPSG GDM
had increased risk of gestational hypertension, pre-eclampsia, induction of
labour (IOL), primary caesarean section (PCS) and large for gestational age (LGA)
compared to women without GDM (all P < 0.05), whereas obese women had increased
risk of gestational hypertension, pre-eclampsia, IOL, PCS, small for gestational
age (SGA) and shoulder dystocia compared to women of normal weight (all P <
0.05). On multivariate analysis, IADPSG GDM was an independent risk factor only
for IOL (P = 0.04) and LGA (<0.001). Obesity was an independent risk factor for
gestational hypertension, pre-eclampsia, IOL, PCS, shoulder dystocia and SGA (all
P < 0.001). CONCLUSIONS: Within our population, of women who are not currently
treated for GDM, obesity is associated with greater pregnancy risk than GDM
diagnosed by IADPSG criteria.
PMID- 29359313
TI - Adding a PECS II block for proximal arm arteriovenous access - a randomised
study.
AB - BACKGROUND: Brachial plexus block is often utilised for proximal arm
arteriovenous access creation. However, the medial upper arm and axilla are often
inadequately anaesthetised, requiring repeated, intraoperative local anaesthetic
supplementation, or conversion into general anaesthesia. We hypothesised that the
addition of a PECS II block would improve anaesthesia and analgesia for proximal
arm arteriovenous access surgery. METHODS: In this prospective, double-blinded,
randomised proof-of-concept study, 36 consenting adults with end-stage renal
disease aged between 21 and 90 years received either a combined supraclavicular
and PECS II block (Group PECS, n = 18), or combined supraclavicular and sham
block (Group SCB, n = 18) for proximal arm arteriovenous access surgery. Primary
outcome was whether patients required intraoperative local anaesthetic
supplementation by the surgeon. RESULTS: In Group PECS, 33.3% (6/18) needed local
anaesthetic supplementation vs. 100% (18/18) in Group SCB. Group SCB had three
times (RR 3.0, 95% CI 1.6-5.8; P < 0.001) the risk of requiring intraoperative
local anaesthetic supplementation. Group PECS required lower volume of
supplemental local anaesthetic compared to Group SCB (0.0 ml, IQR 0.0-6.3 ml vs.
15.0 ml, IQR 7.4-17.8 ml; P < 0.001). Group SCB had twice [RR 2.2, 95% CI 1.1
4.4; (P = 0.019)] the risk of needing additional sedation or analgesia. There
were no significant differences between the groups with respect to postoperative
visual analogue scale pain scores, time to first rescue analgesia or patient
satisfaction. CONCLUSION: The results suggest that adding a PECS II block to a
supraclavicular block improves regional anaesthesia for patients with end-stage
renal disease undergoing proximal arm arteriovenous access surgery.
PMID- 29359314
TI - A utility-based design for randomized comparative trials with ordinal outcomes
and prognostic subgroups.
AB - A design is proposed for randomized comparative trials with ordinal outcomes and
prognostic subgroups. The design accounts for patient heterogeneity by allowing
possibly different comparative conclusions within subgroups. The comparative
testing criterion is based on utilities for the levels of the ordinal outcome and
a Bayesian probability model. Designs based on two alternative models that
include treatment-subgroup interactions are considered, the proportional odds
model and a non-proportional odds model with a hierarchical prior that shrinks
toward the proportional odds model. A third design that assumes homogeneity and
ignores possible treatment-subgroup interactions also is considered. The three
approaches are applied to construct group sequential designs for a trial of
nutritional prehabilitation versus standard of care for esophageal cancer
patients undergoing chemoradiation and surgery, including both untreated patients
and salvage patients whose disease has recurred following previous therapy. A
simulation study is presented that compares the three designs, including
evaluation of within-subgroup type I and II error probabilities under a variety
of scenarios including different combinations of treatment-subgroup interactions.
PMID- 29359315
TI - Children's Early Decontextualized Talk Predicts Academic Language Proficiency in
Midadolescence.
AB - This study examines whether children's decontextualized talk-talk about
nonpresent events, explanations, or pretend-at 30 months predicts seventh-grade
academic language proficiency (age 12). Academic language (AL) refers to the
language of school texts. AL proficiency has been identified as an important
predictor of adolescent text comprehension. Yet research on precursors to AL
proficiency is scarce. Child decontextualized talk is known to be a predictor of
early discourse development, but its relation to later language outcomes remains
unclear. Forty-two children and their caregivers participated in this study. The
proportion of child talk that was decontextualized emerged as a significant
predictor of seventh-grade AL proficiency, even after controlling for
socioeconomic status, parent decontextualized talk, child total words, child
vocabulary, and child syntactic comprehension.
PMID- 29359316
TI - The Myth of Universal Sensitive Responsiveness: Comment on Mesman et al. (2017).
AB - This article considers claims of Mesman et al. (2017) that sensitive
responsiveness as defined by Ainsworth, while not uniformly expressed across
cultural contexts, is universal. Evidence presented demonstrates that none of the
components of sensitive responsiveness (i.e., which partner takes the lead, whose
point of view is primary, and the turn-taking structure of interactions) or
warmth are universal. Mesman and colleagues' proposal that sensitive
responsiveness is "providing for infant needs" is critiqued. Constructs
concerning caregiver quality must be embedded within a nexus of cultural logic,
including caregiving practices, based on ecologically valid childrearing values
and beliefs. Sensitive responsiveness, as defined by Mesman and attachment
theorists, is not universal. Attachment theory and cultural or cross-cultural
psychology are not built on common ground.
PMID- 29359317
TI - Modeling survival distribution as a function of time to treatment
discontinuation: A dynamic treatment regime approach.
AB - We consider estimating the effect that discontinuing a beneficial treatment will
have on the distribution of a time to event clinical outcome, and in particular
assessing whether there is a period of time over which the beneficial effect may
continue after discontinuation. There are two major challenges. The first is to
make a distinction between mandatory discontinuation, where by necessity
treatment has to be terminated and optional discontinuation which is decided by
the preference of the patient or physician. The innovation in this article is to
cast the intervention in the form of a dynamic regime "terminate treatment
optionally at time v unless a mandatory treatment-terminating event occurs prior
to v" and consider estimating the distribution of time to event as a function of
treatment regime v. The second challenge arises from biases associated with the
nonrandom assignment of treatment regimes, because, naturally, optional treatment
discontinuation is left to the patient and physician, and so time to
discontinuation may depend on the patient's disease status. To address this
issue, we develop dynamic-regime Marginal Structural Models and use inverse
probability of treatment weighting to estimate the impact of time to treatment
discontinuation on a time to event outcome, compared to the effect of not
discontinuing treatment. We illustrate our methods using the IMPROVE-IT data on
cardiovascular disease.
PMID- 29359318
TI - Reply to the letter 'A brief comment about predictive models for mortality in
intensive care units'.
PMID- 29359319
TI - Subtype classification and heterogeneous prognosis model construction in
precision medicine.
AB - Common diseases including cancer are heterogeneous. It is important to discover
disease subtypes and identify both shared and unique risk factors for different
disease subtypes. The advent of high-throughput technologies enriches the data to
achieve this goal, if necessary statistical methods are developed. Existing
methods can accommodate both heterogeneity identification and variable selection
under parametric models, but for survival analysis, the commonly used Cox model
is semiparametric. Although finite-mixture Cox model has been proposed to address
heterogeneity in survival analysis, variable selection has not been incorporated
into such semiparametric models. Using regularization regression, we propose a
variable selection method for the finite-mixture Cox model and select important,
subtype-specific risk factors from high-dimensional predictors. Our estimators
have oracle properties with proper choices of penalty parameters under the
regularization regression. An expectation-maximization algorithm is developed for
numerical calculation. Simulations demonstrate that our proposed method performs
well in revealing the heterogeneity and selecting important risk factors for each
subtype, and its performance is compared to alternatives with other regularizers.
Finally, we apply our method to analyze a gene expression dataset for ovarian
cancer DNA repair pathways. Based on our selected risk factors, the prognosis
model accounting for heterogeneity consistently improves the prediction for the
survival probability in both training and test datasets.
PMID- 29359320
TI - Refining remission evaluation in MDS with isolated del(5q).
PMID- 29359321
TI - Acute-onset Restless legs syndrome in acute neurological conditions-a prospective
study on patients with the Guillain-Barre syndrome and acute stroke.
AB - OBJECTIVES: While the Restless legs syndrome (RLS) is usually recognized as a
chronic condition, it has often been diagnosed among patients with acute
neurological illnesses, in which limb discomfort is reported. This study was
conducted to determine how many among these, actually have acute-onset RLS, and
also to evaluate characteristics of this subgroup of patients with Guillain-Barre
syndrome (GBS) and stroke developing acute-onset RLS. METHODS: Consecutive
patients diagnosed with GBS and eligible stroke patients, admitted to our
Neurology services over a 1-year period, were enrolled. They were evaluated for
symptoms of RLS based on IRLSSG consensus criteria and the AIIMS RLS
Questionnaire for Indian patients (ARQIP). RESULTS: Forty adults with GBS and 58
with stroke were included. A total of 10 of the 40 (25%) patients with GBS
developed definite acute RLS, which was mostly monophasic. Seven (70%) of these
had demyelinating type of GBS, a significant association with acute RLS (P =
.024). Six of the 58 stroke patients (10%) developed definite acute-onset, often
persistent RLS. Subcortical location showed significant association with
increased risk of developing acute RLS (P < .001). All patients diagnosed with
acute-onset RLS had an immediate and good response to dopamine agonists.
CONCLUSION: This is the first study showing that acute-onset RLS is common,
affecting nearly 25% of patients with GBS and 10% patients with acute stroke.
Recognizing and treating it can majorly contribute toward symptom relief and
early improvement in the quality of life for this population.
PMID- 29359322
TI - Evolution of bias and sample size in postoperative pain management trials after
hip and knee arthroplasty.
AB - BACKGROUND: Bias (systematic error) and small trial sample size (random error)
may induce imprecise and exaggerated treatment effects in randomised controlled
trials (RCTs). To avoid this, SPIRIT- and CONSORT-guidelines, and Cochrane
Collaboration bias recommendations were developed. We investigated risk of bias
and trial sample size development over time in postoperative pain trials.
METHODS: This study was based on data from two systematic reviews regarding pain
management after total hip arthroplasty (THA) or total knee arthroplasty (TKA).
RCTs of analgesic interventions with a comparator control group were included.
Primary outcomes were risk of bias and trial sample size developments over time.
We calculated cumulated bias scores ranging from 0 to 14 based on Cochrane's
seven bias domains (0 = low; 1 = unclear, 2 = high). Developments were evaluated
with run and control charts. Further, we compared data from trials published
between 1990-1999 and 2010-2016. RESULTS: We included 171 trials published
between 1989 and 2016. Overall, the summarised risk of bias decreased, mainly due
to better randomization and allocation concealment. Visual inspection suggested
an on-going improvement that started around 2007. Trial sample size did not
change significantly. For trials published between 1990-1999 and 2010-2016
adequate reporting increased from 36% to 75% for random sequence generation, from
9% to 38% for allocation concealment and from 27% to 52% for blinding of
participants/personnel. CONCLUSION: Risk of bias for RCTs regarding postoperative
pain management after THA and TKA has decreased from 2007 to 2016, mainly due to
better randomization and allocation concealment. Deficiencies remain. Thus,
reporting according to validated guidelines is essential. Sample sizes did not
change significantly.
PMID- 29359323
TI - Right of reply to: Surgical treatment is an excellent option for women with
endometriosis and infertility.
PMID- 29359324
TI - Clinical study of hereditary ovarian cancer syndrome in Shandong province, East
China.
AB - OBJECTIVE: To explore the clinicopathologic characteristics and prognosis of
hereditary ovarian cancer syndrome (HOCS) in Shandong province, East China.
METHODS: The present retrospective study assessed the clinicopathologic
characteristics and prognosis among patients with HOCS treated at Shandong Cancer
Hospital, China, between January 1, 2008, and January 31, 2016. Data from women
with primary ovarian cancer who met HOCS diagnostic criteria (genetic-disease
group) were compared with data from a control group of 100 women with sporadic
ovarian cancer (sporadic-disease group) identified using simple random sampling.
RESULTS: Among 1247 records of primary ovarian cancer during the study period, 96
(7.7%) women from 77 pedigrees had HOCS (genetic-disease group), and 65 of these
pedigrees had sufficient data available for inclusion. In the genetic-disease
group, 55 (85%) and 10 (15%) women inherited the disease through the maternal and
paternal lines, respectively. Age of onset was earlier in the genetic-disease
group compared with the sporadic-disease group (P=0.016), the proportion of
mucinous adenocarcinoma was lower in the genetic-disease group (P=0.006), and the
genetic-disease group had higher 3-year (P=0.036) and 5-year (P=0.035) survival
rates. CONCLUSION: HOCS exhibited maternal lineage primarily, and featured an
early age of onset, advanced disease (stage III), serous adenocarcinoma, and a
better prognosis after comprehensive treatment (including surgery, chemotherapy,
and occasionally radiotherapy) relative to sporadic ovarian cancer.
PMID- 29359326
TI - Phenotypic integration of the cervical vertebrae in the Hominoidea (Primates).
AB - Phenotypic integration and modularity represent important factors influencing
evolutionary change. The mammalian cervical vertebral column is particularly
interesting in regards to integration and modularity because it is highly
constrained to seven elements, despite widely variable morphology. Previous
research has found a common pattern of integration among quadrupedal mammals, but
integration patterns also evolve in response to locomotor selective pressures
like those associated with hominin bipedalism. Here, I test patterns of
covariation in the cervical vertebrae of three hominoid primates (Hylobates, Pan,
Homo) who engage in upright postures and locomotion. Patterns of integration in
the hominoid cervical vertebrae correspond generally to those previously found in
other mammals, suggesting that integration in this region is highly conserved,
even among taxa that engage in novel positional behaviors. These integration
patterns reflect underlying developmental as well as functional modules. The
strong integration between vertebrae suggests that the functional morphology of
the cervical vertebral column should be considered as a whole, rather than in
individual vertebrae. Taxa that display highly derived morphologies in the
cervical vertebrae are likely exploiting these integration patterns, rather than
reorganizing them. Future work on vertebrates without cervical vertebral number
constraints will further clarify the evolution of integration in this region.
PMID- 29359325
TI - Stress reactions following acute situations involving moral challenges among
health care professionals.
AB - : Many health care professionals have to make morally difficult decisions during
acute, stressful situations. The aim was to explore the applicability of an
existing qualitatively developed model of individual reactions among professional
first responders following such situations using a quantitative approach.
According to the model, the interaction of antecedent individual and contextual
characteristics affect the immediate emotional reactions to acute, stressful
events involving a moral dilemma. Continuous coping efforts and the quality of
social support will also affect the long-term positive and negative reactions to
the event. The participants (n = 204, about 50% response rate) represented three
Swedish health care professions stationed at a university hospital and a regional
hospital: Physicians (n = 50), nurses (n = 94) and "others" (n =60, mainly social
welfare officers and assistant nurses). Except for the personality dimension
emotional stability which was measured using an established instrument, all
measurement scales were operationalizations of codes and categories from the
qualitative study (ten scales altogether). Four multiple regression analyses were
performed with long-term positive and negative reactions in everyday acute and
morally extremely taxing situations respectively as dependent variables. The
outcome showed that long-term positive reactions covaried with much use of the
coping strategies Emotional distancing and Constructive emotional confrontation
and a perception of a well-functioning Formal social support. Regarding long-term
negative reactions, higher age and little use of Emotional distancing accounted
for much of the variance. Immediate emotional reactions also contributed
significantly. CONCLUSION: the results largely supported the model concepts and
their assumed relationships.
PMID- 29359327
TI - A rare cause of blanching red legs: cutaneous collagenous vasculopathy.
PMID- 29359329
TI - Creutzfeldt-Jakob disease and blood transfusion safety.
AB - Transmissible spongiform encephalopathies (TSEs) are untreatable, fatal
neurologic diseases affecting mammals. Human disease forms include sporadic,
familial and acquired Creutzfeldt-Jakob disease (CJD). While sporadic CJD (sCJD)
has been recognized for near on 100 years, variant CJD (vCJD) was first reported
in 1996 and is the result of food-borne transmission of the prion of bovine
spongiform encephalopathy (BSE, 'mad cow disease'). Currently, 230 vCJD cases
have been reported in 12 countries, the majority in the UK (178) and France (27).
Animal studies demonstrated highly efficient transmission of natural scrapie and
experimental BSE by blood transfusion and fuelled concern that sCJD was
potentially transfusion transmissible. No such case has been recorded and case
control evaluations and lookback studies indicate that, if transfusion
transmission occurs at all, it is very rare. In contrast, four cases of apparent
transfusion transmission of vCJD infectivity have been identified in the UK. Risk
minimization strategies in response to the threat of vCJD include leucodepletion,
geographically based donor deferrals and deferral of transfusion recipients. A
sensitive and specific, high-throughput screening test would provide a potential
path to mitigation but despite substantial effort no such test has yet appeared.
The initial outbreak of vCJD appears to be over, but concern remains about
subsequent waves of disease among those already infected. There is considerable
uncertainty about the size of the infected population, and there will be at least
a perception of some continuing risk to blood safety. Accordingly, at least some
precautionary measures will remain in place and continued surveillance is
necessary.
PMID- 29359330
TI - Social dominance orientation moderates the effectiveness of mindset messages.
AB - In this work, we examine whether differences in social dominance orientation
(SDO) moderate the effectiveness of mindsets of intelligence messages. We suggest
that SDO is a foundational ideological belief system, on which individuals vary,
that maintains the desire to endorse fixed beliefs about the nature of human
intelligence. Thus, attempts to change individuals' mindsets should be met with
resistance from those who strongly endorse the social dominance ideology -
individuals high on SDO. In contrast, individuals low on SDO are less likely to
use mindsets of intelligence to justify an ideological belief system, and thus,
mindset manipulations should be effective for them. We test these predictions
across three experimental studies (NStudy1 = 271, NStudy2 = 207, NStudy3 =
313). Across the studies, we find that individuals who are high, relative to low,
on SDO have more fixed beliefs about the nature of intelligence and show smaller
effects of manipulations of mindsets. However, when comparing to a control
condition, there was no evidence that high-SDO participants resisted the growth
message that contradicts their ideology more than the fixed one that supports it;
additionally, low-SDO participants showed heightened responsiveness to a fixed
message. We discuss implications for theoretical advances in our understanding of
mindsets.
PMID- 29359328
TI - Galectin-3 is an amplifier of the interleukin-1beta-mediated inflammatory
response in corneal keratinocytes.
AB - Interleukin-1beta (IL-1beta) is a potent mediator of innate immunity commonly up
regulated in a broad spectrum of inflammatory diseases. When bound to its cell
surface receptor, IL-1beta initiates a signalling cascade that cooperatively
induces the expression of canonical IL-1 target genes such as IL-8 and IL-6.
Here, we present galectin-3 as a novel regulator of IL-1beta responses in corneal
keratinocytes. Using the SNAP-tag system and digitonin semi-permeabilization, we
show that recombinant exogenous galectin-3 binds to the plasma membrane of
keratinocytes and is internalized into cytoplasmic compartments. We find that
exogenous galectin-3, but not a dominant negative inhibitor of galectin-3
polymerization lacking the N-terminal domain, exacerbates the response to IL
1beta by stimulating the secretion of inflammatory cytokines. The activity of
galectin-3 could be reduced by a novel d-galactopyranoside derivative targeting
the conserved galactoside-binding site of galectins and did not involve
interaction with IL-1 receptor 1 or the induction of endogenous IL-1beta.
Consistent with these observations, we demonstrate that small interfering RNA
mediated suppression of endogenous galectin-3 expression is sufficient to impair
the IL-1beta-induced secretion of IL-8 and IL-6 in a p38 mitogen-activated
protein kinase-independent manner. Collectively, our findings provide a novel
role for galectin-3 as an amplifier of IL-1beta responses during epithelial
inflammation through an as yet unidentified mechanism.
PMID- 29359331
TI - Presentation, course, and outcome of postneonatal presentations of vein of Galen
malformation: a large, single-institution case series.
AB - AIM: To describe presentation, clinical course, and outcome in postneonatal
presentations of vein of Galen malformation (VGM). METHOD: Children older than 28
days presenting with VGM (from 2006-2016) were included. Notes/scans were
reviewed. Outcome was dichotomized into 'good' or 'poor' using the Recovery and
Recurrence Questionnaire. Logistic regression was performed to explore
relationships between clinico-radiological features and outcome. RESULTS: Thirty
one children (18 males, 13 females) were included, presenting at a median age of
9.6 months (range 1.2mo-11y 7mo), most commonly with macrocrania (n=24) and
prominent facial veins (n=9). Seven had evidence of cardiac failure. VGM
morphology was choroidal in 19. Hydrocephalus (n=24) and loss of white matter
volume (n=15) were the most common imaging abnormalities. Twenty-nine patients
underwent glue embolization (median two per child). Angiographic shunt closure
was achieved in 21 out of 28 survivors. Three children died of intracranial
haemorrhage (1y, 6y, and 30d after embolization). Ten patients underwent
neurosurgical procedures; to treat haemorrhage in four, and hydrocephalus in the
rest. Outcome was categorized as good in 20 out of 28 survivors, but this was not
predictable on the basis of the variables listed above. INTERPRETATION:
Postneonatally presenting VGM has distinctive clinico-radiological features,
attributable to venous hypertension. Endovascular treatment is associated with
good outcomes, but more specific prognostic prediction was not possible within
this cohort. WHAT THIS PAPER ADDS: Clinical and radiological features in older
children with vein of Galen malformation relate to venous hypertension. Outcome
is good in most cases with endovascular therapy. Mortality is low but is related
to intracranial haemorrhage.
PMID- 29359332
TI - Investigation of antibody to severe fever with thrombocytopenia syndrome virus
(SFTSV) in blood samples donated in a SFTS-endemic area in Japan.
AB - The risk of transfusion-transmitted infection (TTI) for severe fever with
thrombocytopenia syndrome virus (SFTSV) is a concern because person-to-person
transmission resulting from contact with SFTSV-contaminated blood has been
reported. To obtain information regarding the risk of TTI-SFTSV, antibody testing
was performed for blood samples donated in an severe fever with thrombocytopenia
syndrome-endemic area in Japan. No antibody-positive samples were detected among
3990 samples. This finding suggested that there were few cases of SFTSV infection
among donors and that the risk of TTI-SFTSV was also estimated low in Japan.
PMID- 29359333
TI - Divergence in pollen performance between Clarkia sister species with contrasting
mating systems supports predictions of sexual selection.
AB - Animal taxa that differ in the intensity of sperm competition often differ in
sperm production or swimming speed, arguably due to sexual selection on
postcopulatory male traits affecting siring success. In plants, closely related
self- and cross-pollinated taxa similarly differ in the opportunity for sexual
selection among male gametophytes after pollination, so traits such as the
proportion of pollen on the stigma that rapidly enters the style and mean pollen
tube growth rate (PTGR) are predicted to diverge between them. To date, no
studies have tested this prediction in multiple plant populations under uniform
conditions. We tested for differences in pollen performance in greenhouse-raised
populations of two Clarkia sister species: the predominantly outcrossing C.
unguiculata and the facultatively self-pollinating C. exilis. Within populations
of each taxon, groups of individuals were reciprocally pollinated (n = 1153
pollinations) and their styles examined four hours later. We tested for the
effects of species, population, pollen type (self vs. outcross), the number of
competing pollen grains, and temperature on pollen performance. Clarkia
unguiculata exhibited higher mean PTGR than C. exilis; pollen type had no effect
on performance in either taxon. The difference between these species in PTGR is
consistent with predictions of sexual selection theory.
PMID- 29359334
TI - Methazolamide-induced toxic epidermal necrolysis in a man carrying HLA-B*59:01:
successful treatment with infliximab and glucocorticoid.
PMID- 29359335
TI - Targeted recruitment of male donors for allogeneic haematopoietic cell
transplantation: A review of the evidence.
AB - Many patients in need of a haematopoietic cell transplant cannot find a suitable
HLA-compatible donor within their families and rely on volunteers who have
registered as haematopoietic stem cell donors with a stem cell donor registry.
Transplant physicians mostly prefer male donors for their patients when multiple
donor options exist, and organizations recruiting donors are actively targeting
males in their recruitment efforts. However, significant recruitment of female
donors continues worldwide and appears to be increasing. In this review, the
evidence underlying transplant physician preference for male donor selection is
summarized. The review will inform donor recruitment organizations contemplating
a change in strategy to target potential male registrants and will equip donor
recruitment staff and volunteers with a resource to better understand their
recruitment efforts.
PMID- 29359336
TI - Cutaneous eruptions by new therapies against hepatitis C virus infection. Not as
common as we presumed.
PMID- 29359337
TI - Nursing qualification and workforce for the Association of Southeast Asian
Nations Economic Community.
AB - International nurse migration among Association of Southeast Asian Nations
(ASEAN) countries has the potential to increase the effectiveness of health
services and access for the ASEAN Economic Community. Providing equivalent
nursing qualifications and licensure standards and increasing the availability of
the nursing workforce has become a challenge for ASEAN members. The purpose of
this study is: 1) to comparatively analyze information on nursing licensing
examinations (NLE) across ASEAN countries; and 2) to present information on the
human resources required for a successful nursing workforce. This study reviews
all documents published on the subject within the ASEAN Economic Community. NLE
systems exist in all ASEAN Member States (AMSs)s except Brunei, Vietnam, and Lao
PDR. Nursing education systems also vary across ASEAN countries. Language as a
means of general communication and nursing examinations also differs. The
availability of a qualified health workforce at the regional level is above the
threshold in some areas. However, at the national level, Indonesia, Myanmar,
Cambodia, and Lao PDR fall below the threshold. Professional licensure
requirements differ among ASEAN nurses as a part of the process to become a
qualified nurse in host and source countries. Mutual Recognition Agreements on
nursing services should address the differences in NLE requirements as well as
the availability of nurses.
PMID- 29359338
TI - PI3K/AKT/JNK/p38 signalling pathway-mediated neural apoptosis in the prefrontal
cortex of mice is involved in the antidepressant-like effect of pioglitazone.
AB - Numerous studies have reported that inflammation is involved in the
pathophysiology of depression. Pioglitazone, a PPAR-gamma agonist, has potential
anti-inflammatory and antidepressive effects. However, the underlying molecular
mechanisms of the antidepressant-like effect of pioglitazone on an inflammation
related mouse model of depression remain to be fully elucidated. Herein, we aimed
to explore the effects of pioglitazone on depressive-like behaviours of mice
exposed to lipopolysaccharides (LPS), and elucidate the underlying mechanisms. We
assessed behaviour changes of mice pretreated with pioglitazone exposed to LPS.
Additionally, neural apoptosis, and the expression of apoptosis-related (cleaved
caspase-3, Bax, Bcl-2, cyt c) and signalling proteins (AKT, JNK, p38) were
assessed in the prefrontal cortex (PFC) of these mice. Furthermore, we assessed
the influence of anisomycin, a JNK/p38 agonist, and LY294002, a PI3K/AKT
inhibitor, on the antidepressant-like effect of pioglitazone in mice. We show
that pioglitazone pretreatment (20 mg/kg, intragastrically) attenuated LPS
induced (10 ng/MUL per site) depressive-like behaviours. GW9662, a PPAR-gamma
antagonist, significantly blocked the antidepressant-like effect of pioglitazone.
Furthermore, at the molecular level, pioglitazone significantly reversed, via
PPAR-gamma-dependent increase in neural apoptosis in the PFC of mice, accompanied
by upregulation of the PI3K/AKT pathway and down-regulation of the JNK/p38
pathway. Moreover, both anisomycin and LY294002 abrogated the antidepressant-like
effect of pioglitazone.; In conclusion, our results showed that PI3K/AKT/JNK/p38
signalling pathway-mediated neural apoptosis in the PFC of mice may be involved
in the antidepressant-like effect of pioglitazone. This provides novel insights
into and therapeutic targets for inflammation-related depression.
PMID- 29359339
TI - Adapting the vertical position of implants with a conical connection in relation
to soft tissue thickness prevents early implant surface exposure: A 2-year
prospective intra-subject comparison.
AB - AIM: To evaluate the effect of soft tissue thickness on bone remodelling and to
investigate whether implant surface exposure can be avoided by adapting the
vertical implant position in relation to the soft tissue thickness. MATERIALS AND
METHODS: Twenty-five patients received two non-splinted implants supporting an
overdenture in the mandible. Soft tissue thickness was measured using bone
sounding and ultrasonically. One implant was installed equicrestally (control),
and the vertical position of the second implant was adapted to the site-specific
soft tissue thickness (test). Crestal bone levels were determined on digital peri
apical radiographs and compared with baseline (implant placement). RESULTS:
Twenty-five patients were consecutively treated. No implants failed during the
follow-up. A significant correlation was observed between soft tissue thickness
and bone level alterations after 6 months (ultrasound ICC = 0.610; bone sounding
ICC = 0.641) with inferior bone levels for equicrestal implants when thin tissues
are present. Subcrestal implants showed significantly better bone levels after 6
month (n = 24, 0.04 mm versus 0.72 mm; p < .001), 1-year (n = 24, 0.03 mm versus
0.77 mm; p < .001) and 2-year follow-up (n = 24, 0.04 mm versus 0.73 mm; p <
.001). CONCLUSION: Initial bone remodelling was affected by soft tissue
thickness. Anticipating biologic width re-establishment by adapting the vertical
position of the implant seemed highly successful to avoid implant surface
exposure.
PMID- 29359340
TI - Review: Mechanistic target of rapamycin (mTOR) pathway, focal cortical dysplasia
and epilepsy.
AB - Over the last decade, there has been increasing evidence that hyperactivation of
the mechanistic target of rapamycin (mTOR) pathway is a hallmark of malformations
of cortical development such as focal cortical dysplasia (FCD) or
hemimegalencephaly. The mTOR pathway governs protein and lipid synthesis, cell
growth and proliferation as well as metabolism and autophagy. The molecular
genetic aetiology of mTOR hyperactivation has only been recently clarified. This
article will review the current and still evolving genetic advances in the
elucidation of the molecular basis of FCD. Activating somatic mutations in the
MTOR gene are to date the most frequent mutations found in FCD brain specimens.
PMID- 29359341
TI - Systematic review with meta-analysis: de novo non-alcoholic fatty liver disease
in liver-transplanted patients.
AB - BACKGROUND: De novo non-alcoholic fatty liver disease (NAFLD) in liver
transplanted patients for cirrhosis not due to non-alcoholic steatohepatitis
(NASH) is becoming a growing phenomenon. AIMS: We performed a systematic review
and evaluated the prevalence of this event and possible associated factors.
METHODS: A literature search in medical databases (PubMed, MEDLINE/OVIDSP,
Science Direct and EMBASE) was performed in March 2017. Relevant publications
were identified in most important databases. We estimated the pooled prevalence
of NAFLD and NASH in patients with liver transplant. The data have been expressed
as proportions/percentages, and 95% confidence intervals (CI) were calculated,
using the inverse variance method. Odd ratios (OR) and 95% confidence intervals
(95% CI) were estimated. RESULTS: Twelve studies were selected, enrolling 2166
subjects overall undergoing post-liver transplant biopsy. The pooled weighted
prevalence of de novo NAFLD was 26% (95% CI 20%-31%). The pooled weighted
prevalence of NASH was 2% (95% CI 0%-3%). The highest prevalences of de novo
NAFLD were found for patients transplanted for alcoholic cirrhosis (37%) and
cryptogenic cirrhosis (35%) and for patients taking tacrolimus (26%). Tacrolimus
showed a risk of NAFLD similar to ciclosporin (OR = 1.02, 95% CI 0.3-3.51).
CONCLUSIONS: Patients undergoing liver transplant are more prone to experience
diabetes, hypertension or dyslipidaemia, and NAFLD may be an important element in
this context. In this study, we show how the prevalence of NASH tends to remain
significant and similar to the general population. Moreover, this study suggests
a possible association with specific transplant indications. Further studies are
required to confirm these findings.
PMID- 29359342
TI - Serum proteomic pattern in female stress urinary incontinence.
AB - The pathophysiology of Stress Urinary Incontinence (SUI) is poorly understood.
The aim of this study was to identify the serum proteomic profile in patients
with SUI and to replicate findings from a preceding study in which a significant
difference in the urinary proteome was identified. Serum samples were collected
from 38 patients (19 SUI; 19 matched, continent controls). Sample preparation
included serum albumin depletion, in-solution enzymatic digestion of proteins
applying a combination of Gluc-C and trypsin and peptide separation using nano
High Performance Liquid Chromatography. Label-free quantitation of peptides and
proteins was performed after triplicate measurements using quadrupole time-of
flight mass spectrometry. Peptide identification was achieved by searching the
Human SwissProt Database using Mascot and X!Tandem. Main outcome measure was the
relative abundance of each detected protein in serum. Of 7012 identified
proteins, 33 proteins were induced (detected in SUI, not in controls) and five
proteins were depleted (detected in controls, not in SUI). All depleted proteins
play a role in immune/DNA damage response. Induced proteins are involved in
inflammatory response, response to cellular stress, coagulation and cytoskeleton
stability/ motility. Plasma serine protease inhibitor (SERPINA5) was found
induced and previously also showed a higher abundance in urine samples of SUI
patients. Data are available via ProteomeXchange with identifier PXD008553.
PMID- 29359343
TI - Systematic review: psychosocial factors associated with pain in inflammatory
bowel disease.
AB - BACKGROUND: Pain is a frequently reported symptom of inflammatory bowel disease
(IBD) experienced by patients in active disease and remission. Psychological
factors play a significant role in pain, but have not been systematically
reviewed in IBD. AIM: To review psychosocial factors associated with pain in
adults diagnosed with IBD. METHODS: Electronic (PsycInfo, MEDLINE, EMBASE,
Cochrane Library, CINAHL, Web of Science), and hand-searching were conducted
February-May 2017. Two authors carried out screening and data extraction.
RESULTS: Fifteen studies including 5539 IBD patients were identified. Emotional,
cognitive-behavioural and personality factors were associated with IBD-pain.
Depression and anxiety were the most commonly explored constructs, followed by
perceived stress and pain catastrophising, all of which were positively
associated with greater pain. Greater abdominal pain was associated with a
concurrent mood disorder over fivefold (OR 5.76, 95% CI 1.39, 23.89). Coping
strategies and pain fear avoidance correlated with pain levels. Perceived social
support (r = .26) and internal locus of control (r = .33) correlated with less
pain. Patients reporting pain in IBD remission more frequently had an existing
diagnosis of a mood disorder, a chronic pain disorder and irritable bowel
syndrome. Six studies controlled for disease activity, of which 4 found that
psychosocial factors significantly predicted pain. The majority of studies (n =
10) were of high quality. CONCLUSION: Psychosocial factors appear to play a
significant role in IBD-pain. Further research is required to explore
psychosocial constructs in relation to IBD-pain, with use of validated pain
measures, large sample sizes and clearer characterisation of disease activity.
PMID- 29359344
TI - Induction of abiotic stress tolerance in plants by endophytic microbes.
AB - : Endophytes are micro-organisms including bacteria and fungi that survive within
healthy plant tissues and promote plant growth under stress. This review focuses
on the potential of endophytic microbes that induce abiotic stress tolerance in
plants. How endophytes promote plant growth under stressful conditions, like
drought and heat, high salinity and poor nutrient availability will be discussed.
The molecular mechanisms for increasing stress tolerance in plants by endophytes
include induction of plant stress genes as well as biomolecules like reactive
oxygen species scavengers. This review may help in the development of
biotechnological applications of endophytic microbes in plant growth promotion
and crop improvement under abiotic stress conditions. SIGNIFICANCE AND IMPACT OF
THE STUDY: Increasing human populations demand more crop yield for food security
while crop production is adversely affected by abiotic stresses like drought,
salinity and high temperature. Development of stress tolerance in plants is a
strategy to cope with the negative effects of adverse environmental conditions.
Endophytes are well recognized for plant growth promotion and production of
natural compounds. The property of endophytes to induce stress tolerance in
plants can be applied to increase crop yields. With this review, we intend to
promote application of endophytes in biotechnology and genetic engineering for
the development of stress-tolerant plants.
PMID- 29359345
TI - Simultaneous identification of the anaphylactoid components from traditional
Chinese medicine injections using rat basophilic leukemia 2H3 and laboratory of
allergic disease 2 dual-mixed/cell membrane chromatography model.
AB - Traditional Chinese medicine (TCM) has been used for prevention and treatment of
various diseases for many decades. TCM injection is a new dosage form, with
incidence of anaphylactoid reactions increasing every year. In this study, the
rat basophilic leukemia 2H3 (RBL-2H3) and laboratory of allergic disease 2 (LAD2)
dual-mixed/CMC was established and was coupled with an HPLC-ESI-IT-TOF-MS system
to identify the potential allergenic components in Haqing injection. Cinobufagin,
piperine, osthole, praeruptorin A, and schizandrin A were screened from Haqing
injection via this coupled system. Competitive binding assay showed piperine,
praeruptorin A, and schizandrin A acting on MrgprX2 and cinobufagin and osthole
act on the IgE receptor. The release of mediators of anaphylaxis results showed
cinobufagin and osthole can cause anaphylactoid reactions by triggering the
release of beta-hexosaminidase and histamine via IgE-R. Praeruptorin A and
schizandrin A could promote the release of beta-hexosaminidase and histamine via
MrgprX2 receptor. In summary, the dual-mixed/CMC model can significantly improve
the efficiency of target component identification from a complex sample. When
combined with competitive binding assay and validation of biological activities,
this model enables accurate determination of the dual-target components, offering
improved methods for quality control of TCM injections.
PMID- 29359346
TI - Aesthetic reconstruction of retroauricular keloid: Creating a keystone flap from
the mastoid-helix area.
AB - Advances in aesthetic rhinoplasty using conchal cartilage grafts have led to a
high occurrence of retroauricular keloids. The purpose of this study is to
introduce our surgical experiences using a keystone flap in retroauricular
keloids following conchal cartilage grafts. The present study is a retrospective
review of patients with pathologically confirmed retroauricular keloids following
conchal cartilage grafts. These cases were surgically excised and we covered the
defect with a keystone flap followed by one-time steroid injection at
postoperative day 14 and silicone gel sheeting application for 3 months.
Treatment outcome was recorded as recurrence or non-recurrence. In all patients,
a follow-up period of minimum 12 months was required. Of these patients, 90.0%
had successful treatment of their auricular keloids, whereas 10.0% had
recurrences. The postoperative course was uneventful. In conclusion, our
aesthetic reconstruction using a keystone flap created from the mastoid-helix
area is a useful treatment strategy in terms of retroauricular keloids following
conchal cartilage grafts.
PMID- 29359347
TI - Shuffling peptides to create T-cell epitopes: does the immune system play cards?
AB - For a long time, immunologists have believed that classical CD4+ and CD8+ T cells
recognize peptides (referred to as epitopes), derived from protein antigens
presented by MHC/HLA class I or II. Over the past 10-15 years, it has become
clear that epitopes recognized by CD8+, and more recently CD4+ T cells, can be
formed by protein splicing. Here, we review the discovery of spliced epitopes
recognized by tumor-specific human CD8+ T cells. We discuss how these epitopes
are formed and some of the unusual variants that have been reported. Now, over a
decade since the first report, evidence is emerging that spliced CD8+ T-cell
epitopes are much more common, and potentially much more important, than
previously imagined. Recent work has shown that epitopes recognized by CD4+ T
cells can also be formed by protein splicing. We discuss the recent discovery of
spliced CD4+ T-cell epitopes and their potential role as targets of autoimmune T
cell responses. Finally, we highlight some of the new questions raised from our
growing appreciation of T-cell epitopes formed by peptide splicing.
PMID- 29359349
TI - miR-21a negatively modulates tumor suppressor genes PTEN and miR-200c and further
promotes the transformation of M2 macrophages.
AB - miR-21a is well-known to inhibit PTEN expression. We have previously shown that
PTEN suppressed the transformation of M2 macrophages in the tumor
microenvironment. Therefore, we hypothesized that miR-21a could influence M2
macrophage transformation by regulating PTEN expression. In this study, we
identified how miR-21a reduced the expression of both PTEN mRNA and protein in
murine macrophage cell lines and primary macrophages. Moreover, opposite effects
were identified upon the use of a miR-21a inhibitor. Using a cytokine array, we
identified the cytokines closely associated with miR-21a-mediated macrophage
transformation to the M2 phenotype. miR-21a mimics could also enhance the
migratory ability of murine breast cancer 4T1 cells, the growth of breast cancer
in vivo and CD206 intratumor expression. In addition, quantitative PCR (qPCR) and
methylation-specific PCR analysis showed that miR-21a enhanced miR-200c
methylation and then decreased miR-200c and PTEN expression. These effects could
be reversed by treatment with 5'-Aza, a DNA-demethylating agent. MiR-200c was
predicted to target the PTEN 3'UTR, but qPCR illustrated the miR-200c mimic that
increased PTEN expression, and 5'-Aza could enhance its effect. The above results
indicate that miR-21a negatively modulates two tumor suppressor genes, miR-200c
and PTEN, thereby promoting M2 macrophage transformation. This demonstrates that
miR-21a represents a novel target for improving the overall tumor
microenvironment.
PMID- 29359350
TI - Osteopontin contributes to effective neutrophil recruitment, IL-1beta production
and apoptosis in Aspergillus fumigatus keratitis.
AB - Fungal keratitis is a major cause of corneal ulcers, resulting in significant
visual impairment and blindness. A phosphorylated glycoprotein secreted by
immunocompetent cells, osteopontin (OPN) mediates cluster formation of the host
fungal receptors and enhances the phagocytosis and clearance of pathogenic fungi.
However, whether OPN production and function occurs in fungal keratitis is
unknown. OPN expression in Aspergillus fumigatus keratitis patient corneas was
assessed by quantitative polymerase chain reaction (qRT-PCR) and
immunofluorescence. Human neutrophils, THP-1 macrophages and corneal epithelial
cells (HCECs) stimulated with A. fumigatus were utilized for in vitro
experiments. Mouse models of A. fumigatus keratitis were developed by
intrastromal injection for in vivo experiments. Using siRNAs, neutralizing
antibodies, recombinant proteins and inhibitors, the production and role of OPN
in A. fumigatus infection was assessed by clinical evaluation, qRT-PCR,
immunofluorescence, western blotting and bioluminescence image acquisition. We
observed increased corneal OPN expression in A. fumigatus keratitis patients and
mouse models compared to controls. OPN production in response to A. fumigatus
infection was dependent on LOX-1 and Erk1/2. Compared to controls, OPN knockdown
impaired proinflammatory cytokine IL-1beta production, which was dependent on 4E
BP1. OPN knockdown decreased myeloperoxidase levels, and resulted in decreased
neutrophil recruitment, higher fungal load and increased apoptosis in mouse A.
fumigatus keratitis. Our results indicate that OPN is a critical component of the
antifungal immune response and is essential for effective neutrophil recruitment,
inflammatory cytokine production and apoptosis in A. fumigatus keratitis.
PMID- 29359351
TI - Changes in retina and choroid after haemodialysis assessed using optical
coherence tomography angiography.
AB - BACKGROUND: Reports of choroidal and retinal changes before and after
haemodialysis are few and have been controversial. Traditional imaging modalities
are insufficient for quantitative assessment. This study aims to use optical
coherence tomography angiography to monitor the short-term vascular density and
thickness changes in retina and choroid before and after haemodialysis. METHODS:
Seventy-seven eyes of 77 patients with end-stage kidney disease undergoing
haemodialysis were included. Ophthalmologic examinations including optical
coherence tomography angiography were performed one hour before and after
haemodialysis. The vascular density of retina and choroid were measured and
calculated by optical coherence tomography angiography. The retinal thickness and
subfoveal choroidal thickness were measured manually using Image J software. The
relationships between the changes in ocular and systemic parameters after
haemodialysis were evaluated. RESULTS: The systolic blood pressure decreased from
123.7 +/- 19.7 to 116.9 +/- 24.6 mmHg (p < 0.05) in all patients. The mean ocular
perfusion pressure decreased significantly after haemodialysis in both diabetic
and non-diabetic groups (p < 0.05). Mean retinal thickness decreased from 204.7
+/- 22.4 MUm to 200.8 +/- 22.8 MUm (p < 0.05) after haemodialysis in all
patients. The vascular density of outer retina was decreased from 38.8 +/- 5.5
per cent to 37.5 +/- 3.4 per cent (p < 0.05) after haemodialysis in all patients.
The changes in diastolic blood pressure, intraocular pressure, subfoveal
choroidal thickness, vascular density of the superficial capillary plexus, deep
capillary plexus and choriocapillaris were insignificant. There was no
significant correlation between systolic blood pressure and the vascular density
of the outer retina. CONCLUSION: In optical coherence tomography angiography, the
retinal thickness became thinner and the vascular density in the outer retina
decreased after haemodialysis in patients with end-stage kidney disease. The
change of subfoveal choroidal thickness showed no significance after
haemodialysis. The decreased volume in the retinal vascular bed and deficient
choroidal autoregulatory control of ocular blood flow might be involved in the
mechanism of these changes.
PMID- 29359352
TI - Investigating the accuracy of FatNav-derived estimates of temporal B0 changes and
their application to retrospective correction of high-resolution 3D GRE of the
human brain at 7T.
AB - PURPOSE: To investigate the precision of estimates of temporal variations of
magnetic field achievable by double-echo fat image navigators (FatNavs), and
their potential application to retrospective correction of 3-dimensional gradient
echo-based sequences. METHODS: Both head motion and temporal changes of B0 were
tracked using double-echo highly accelerated 3-dimensional FatNavs as navigators,
allowing estimation of the temporal changes in low spatial-order field
coefficients. The accuracy of the method was determined by direct comparison to
controlled offsets in the linear imaging gradients. Double-echo FatNavs were also
incorporated into a high-resolution, 3-dimensional gradient echo-based sequence
to retrospectively correct for both motion and temporal changes in B0 during
natural and deep breathing. The additional scan time was 5 min (a 40% increase).
Correction was also investigated using only the first echo of the FatNav to
explore the trade-off in accuracy versus scan time. RESULTS: Excellent accuracy
(0.27 Hz, 1.57-2.75 Hz/m) was achieved for tracking field changes, and no
significant bias could be observed. Artifacts in the 3-dimensional gradient echo
based images induced by temporal field changes, if present, were effectively
reduced using either the field estimates from the double echo or the first echo
only from the FatNavs. CONCLUSION: The FatNavs were shown to be an excellent
candidate for accurate, fast, and precise estimation of global field variations
for the tested patterns of respiration. Future work will investigate ways to
increase the temporal sampling to increase robustness to variations in breathing
patterns. Magn Reson Med 80:585-597, 2018. (c) 2018 International Society for
Magnetic Resonance in Medicine.
PMID- 29359353
TI - MRI quantification of human fetal O2 delivery rate in the second and third
trimesters of pregnancy.
AB - PURPOSE: The purpose of this study was to estimate fetal O2 delivery rate in vivo
across a range of gestational ages. Toward this, a calibration equation for T2
based oximetry was derived. METHODS: Umbilical cord blood of varying hematocrit
(Hct) and oxygen saturation (HbO2 ) levels was prepared and T2 measured using a
T2 -prepared balanced steady-state free-precession sequence at 1.5 T. The
relationship between blood R2 = 1/T2 , HbO2 and Hct was established based on the
model R2=(1-Hct)R2,plasma+Hct R2,RBC+k.Hct.(1-Hct).(1-HbO2)2. Experimental R2 ,
HbO2 , and Hct levels were fit to the model-yielding values of k, R2,plasma, and
R2,RBC (R2 of plasma and erythrocytes). Umbilical vein T2 measured in vivo was
then converted to HbO2 , yielding-together with blood flow rate-the fetal O2
delivery rate in 22 pregnancies (gestational age 30 +/- 3 weeks). RESULTS:
Constants derived from the fit (R2 = 0.94) were k = 83.1 s-1 , R2,plasma=1.1 s
1, and R2,RBC=12.9 s-1. The R2,RBC and k were found to be larger than those
obtained for adult blood, likely the result of differences in dominant hemoglobin
type. Data suggest that the use of adult blood calibration could entail errors up
10% in fetal blood HbO2 . The average umbilical vein blood flow rate (89.5 +/-
17.2 mL/min/kg), HbO2 (84 +/- 7%,), and fetal O2 delivery rate (15.1 +/- 3.8 mL
O2 /min/kg) were independent of gestational age. The fetal O2 delivery rate
agreed well with the results obtained with invasive methods at term. CONCLUSION:
The present work describes strategies for measuring umbilical vein blood flow
rate and HbO2 in vivo and estimates fetal O2 delivery rate noninvasively with
quantitative MRI during the second and third trimesters of pregnancy. Magn Reson
Med 80:1148-1157, 2018. (c) 2018 International Society for Magnetic Resonance in
Medicine.
PMID- 29359354
TI - PIKKing a way to regulate inflammation.
AB - The phosphoinositide-3-kinase like kinases are a family of very large protein
kinases. These PI3-kinase like kinase (PIKK) proteins have well-established roles
in detection and repair of damage to the genome, regulation of the transcriptome
and cellular metabolism. Recently there has emerged, evidence for links between
these proteins and inflammation. While some of these links come from an increased
understanding of the impacts of damage to the cell on inflammatory responses,
others suggest that PIKK proteins also have direct roles in regulation of immune
responses. Particularly evident is the link between DNA damage and innate immune
response pathways. Here, we review recent findings on the PIKK family of proteins
and how they impact on inflammation, particularly activation of the innate immune
system.
PMID- 29359355
TI - Influence of Carnicor, Venofer, and Sevelamer on the levels of genotoxic damage
in end-stage renal disease patients.
AB - End-stage renal disease (ESRD) patients present high levels of phosphorus and
calcium products in serum, which contribute to the development of vascular
calcification and cardiovascular disease, and to low iron stores and carnitine
deficiency. For these reasons, ESRD patients are generally supplemented with
different medicines. Some of the most common treatments include the use of
Carnicor, Venofer, and Sevelamer drugs. Carnicor is used as a source of L
carnitine, acting as antioxidant and neuroprotector. Venofer is used to reduce
the deficit of iron. Sevelamer is used to treat hyperphosphatemia. To determine
the potential harmful genotoxic effects of these drugs, a group of 214 patients
included in a hemodialysis program with different intakes of Carnicor, Venofer,
and Sevelamer were evaluated. The levels of basal and oxidative DNA damage, as
well as chromosomal damage, were measured in all individuals using the comet and
the micronucleus assays, respectively. Our results indicate that Carnicor
administration was associated with low but significant increases in the frequency
of basal DNA damage and micronuclei. Environ. Mol. Mutagen. 59:302-311, 2018. (c)
2018 Wiley Periodicals, Inc.
PMID- 29359357
TI - Letter in response to "Rethinking status dystonicus - A welcome start to a
challenging problem".
PMID- 29359356
TI - The value of glycated albumin for the prediction of graft outcome in the non
human primate porcine islet transplantation model.
AB - BACKGROUND: The development of a precise and easy-to-use tool for monitoring
islet graft function is important in clarifying the causes of graft loss,
identifying appropriate therapy, and ensuring graft survival in the nonhuman
primate (NHP) model of porcine islet transplantation (PITx). Glycated albumin
(GA) is an indicator of intermediate-term changes in blood glucose control and is
useful in clinical diabetes management. The validity of GA for monitoring graft
function in NHP recipients of PITx was evaluated using a retrospective analysis
of cohort samples. METHODS: Data from a total of 23 PITxs performed in 20
recipients (3 were retransplanted) were included in this study. Islet clusters
purified from adult wild-type pigs were transplanted via the intraportal route
into streptozotocin-induced diabetic rhesus monkeys with immune suppression.
Blood samples were obtained once per week from the recipients until they lost
insulin-independence. Blood samples were also obtained from 69 non-diabetic
monkeys that served as a control group. The levels of GA and albumin in stored
plasma aliquots were measured using each enzymatic method, and the GA result was
expressed as the percentage of GA level to the total albumin level. RESULTS: The
median level of GA in the recipients on the day of PITx (median 18.6%, 95%
confidence interval [CI] 16.7%-20.4%) was significantly higher than that of
healthy controls (median 9.14%, 95% CI 9.0%-9.3%, P < .0001). However, the level
decreased after PITx and remained low or increased depending on the extent of
residual graft function. The GA level at a nadir (median 11.6%, 95% CI 10.8%
13.0%) and the time to reach a nadir (median 43 days, 95% CI 21.7-69.3 days) both
correlated with the duration of insulin-independence (rho [rho] = -.605, P =
.0028 and rho = .662, P = .0008, respectively). The GA level strongly correlated
with KG , the glucose disappearance rate during intravenous glucose tolerance
testing (rho = -.76, P < .0001). At post-transplant week (PTW) 3 and at PTW 4,
the GA levels in recipients with long-term insulin-independence (>90 days) were
significantly lower than those with short-term insulin-independence, which
revealed the excellent performance for the prediction of long-term insulin
independence that is comparable to that of porcine C-peptide (historic data).
CONCLUSIONS: As a surrogate indicator for graft function, serial measurement of
GA may provide Supporting Information to that obtained from conventional
monitoring techniques of graft function for assessing porcine islet grafts in NHP
models.
PMID- 29359358
TI - Cytoplasmic glutamine synthetase gene expression regulates larval development in
Bactrocera dorsalis (Hendel).
AB - In insects, glutamine synthetase (GS), a key enzyme in the synthesis of
glutamine, has been reported to be associated with embryonic development, heat
shock response, and fecundity regulation. However, little is known about the
influence of GS on postembryonic development. In this study, we demonstrate that
blocking the activity of GS in the oriental fruit fly (Bactrocera dorsalis) with
use of a GS-specific inhibitor (L-methionine S-sulfoximine), led to a significant
delay in larval development, pupal weight loss, and inhibition of pupation. We
further identify cloned and characterized two GS genes (BdGS-c and BdGS-m) from
B. dorsalis. The two GS genes identified in B. dorsalis were predicted to be
located in the cytosol (BdGS-c) and mitochondria (BdGS-m), and homology analysis
indicated that both genes were similar to homologs from other Dipterans, such as
Drosophila melanogaster and Aedes aegypti. BdGS-c was highly expressed in the
larval stages, suggesting that cytosolic GS plays a predominant role in larval
development. Furthermore, RNA interference experiments against BdGS-c, to
specifically decrease the expression of cytosolic GS, resulted in delay in larval
development as well as pupal weight loss. This study presents the prominent role
played by BdGS-c in regulating larval development and suggests that the observed
effect could have been modulated through ecdysteroid synthesis, agreeing with the
reduced expression of the halloween gene spook. Also, the direct effects of BdGS
c silencing on B. dorsalis, such as larval lethality, delayed pupation, and late
emergence, can be further exploited as novel insecticide target in the context of
pest management.
PMID- 29359359
TI - Does size matter?
PMID- 29359360
TI - Binaural frequency selectivity in humans.
AB - Several behavioural studies in humans have shown that listening to sounds with
two ears that is binaural hearing, provides the human auditory system with extra
information on the sound source that is not available when sounds are only
perceived through one ear that is monaurally. Binaural processing involves the
analysis of phase and level differences between the two ear signals. As monaural
cochlea processing (in each ear) precedes the neural stages responsible for
binaural processing properties it is reasonable to assume that properties of the
cochlea may also be observed in binaural processing. A main characteristic of
cochlea processing is its frequency selectivity. In psychoacoustics, there is an
ongoing discussion on the frequency selectivity of the binaural auditory system.
While some psychoacoustic experiments seem to indicate poorer frequency
selectivity of the binaural system than that of the monaural processing others
seem to indicate the same frequency selectivity for monaural and binaural
processing. This study provides an overview of these seemingly controversial
results and the different explanations that were provided to account for the
different results.
PMID- 29359361
TI - Direct-acting antiviral treatment of chronic HCV-infected patients on opioid
substitution therapy: Still a concern in clinical practice?
AB - BACKGROUND AND AIMS: There is limited real-world information on the effectiveness
of antiviral treatment of chronic hepatitis C virus (HCV) infection with direct
acting antivirals (DAA) in people on opioid substitution therapy (OST). This
study compared sustained virological response (SVR) rates and proportion of lost
to follow-up (LTFU) between OST and non-OST patients in the German Hepatitis C
Registry (DHC-R). DESIGN: National multi-centre prospective real-world registry
(German Hepatitis C-Registry, DHC-R). Non-OST patients comprised patients with
former/current drug use (non-OST/DU) and patients never consuming drugs (non
OST/NDU). SETTING: A total of 254 medical centres in Germany, including 123
centres providing OST. PARTICIPANTS: A total of 7747 chronic HCV patients started
DAA therapy (739 OST and 7008 non-OST; 1500 non-OST/DU; 5508 non-OST/NDU)
patients. Five hundred and twenty-eight OST and 5582 non-OST patients had
completed antiviral therapy and at least one follow-up documentation [intention
to-treat (ITT) population]. MEASUREMENTS: Study outcomes were SVR, proportion of
LTFU and safety of treatment. FINDINGS: SVR (ITT) was documented in 85% (450 of
528) OST patients versus 86% (969 of 1126) in non-OST/DU (P = 0.651) and 92%
(4113 of 4456) non-OST/NDU (P < 0.001) patients. Independent predictors for SVR
(P < 0.01 in multivariate analysis) included HCV genotype non-3 [adjusted odds
ratio (aOR) = 1.11; 95% confidence interval (CI) = 1.07-1.15], female sex (aOR =
1.59; CI = 1.30-1.94), platelet counts >90 * 109/l (aOR = 1.51, CI = 1.14-2.01),
cirrhosis (aOR = 0.77; CI = 0.62-0.96) and patient group (OST/DI (aOR = 0.58; CI
= 0.42-0.78); non-OST/DU (OR: 0.63; CI = 0.50-0.78). In per-protocol analysis
(PP), SVR rates were >= 94% in all patient groups. In OST the proportion of LTFU
was higher (10.2%) than in non-OST/DU (8.5%) and non-OST/NDU (3.2%, P < 0.001)
patients. Independent factors for LTFU (P < 0.01) were HCV genotype non-3 (aOR =
0.92; CI = 0.88-0.96), female sex (aOR: 0.7; CI = 0.53-0.92), pre-treatment (aOR
= 0.64; CI = 0.50-0.82), OST/DI (aOR = 3.35; CI = 2.35-4.78) and non-OST/DU (aOR
= 2.38; CI = 1.80-3.14). CONCLUSIONS: In Germany, direct-acting antiviral
treatment of former or current drug users with or without opioid substitution
therapy can achieve equally high sustained virological response rates as in
patients with no history of drug use.
PMID- 29359362
TI - Cholinergic interneurons in the rat striatum modulate substitution of habits.
AB - Behavioural flexibility is crucial for adaptive behaviour, and recent evidence
suggests that cholinergic interneurons of the striatum play a distinct role.
Previous studies of cholinergic function have focused on strategy switching by
the dorsomedial or ventral striatum. We here investigated whether cholinergic
interneurons in the dorsolateral striatum play a similar role at the level of
switching of habitual responses. Because the dorsolateral striatum is
particularly involved in habitual responding, we developed a habit substitution
task that involved switching habitual lever-press responses to one side to
another. We first measured the effect of cholinergic activation in the
dorsolateral striatum on this task. Chemogenetic activation of cholinergic
interneurons caused an increase in the response rate for the substituted response
that was significantly greater than the increase normally seen in control
animals. The increase was due to burst-like responses with shorter inter-press
intervals. However, there was no effect on inhibiting the old habit, or on
habitual responding that did not require a switch. There was also no effect on
lever-press performance and its reversal before lever-press responses became
habitual. Conversely, neurochemically specific ablation of cholinergic
interneurons did not significantly change habitual responding or response
substitution. Thus, activation -but not ablation -of cholinergic interneurons in
the dorsolateral striatum modulates expression of a new habit when an old habit
is replaced by a new one. Together with previous work, this suggests that
striatal cholinergic interneurons facilitate behavioural flexibility in both
dorsolateral striatum in addition to dorsomedial and ventral striatum.
PMID- 29359364
TI - Correction to: 'The effects of cannabis intoxication on motor vehicle collision
revisited and revised' (2016).
PMID- 29359363
TI - Comparison of efficiency of in vitro cloned sheep embryo production by
conventional somatic cell nuclear transfer and handmade cloning technique.
AB - Conventional somatic cell nuclear transfer (SCNT) technique of in vitro
production of cloned embryos involves use of costly and complicated
micromanipulators. Handmade cloning (HMC) technique has been applied as efficient
and cost-effective alternative in many livestock species. The aim of the present
study was to compare the efficiency of in vitro production and in vitro
development of cloned sheep embryos by the two techniques. Cloned embryos were
produced by conventional SCNT using micromanipulator apparatus and by HMC
technique. Enucleation efficiency and efficiency of fusion with somatic cell
(nucleus donor) were compared. Cleavage percentage was observed on day 2 of in
vitro culture (IVC), and morula and blastocyst percentages were calculated on day
7 of IVC. Higher enucleation efficiency (96.98 +/- 1.01 vs. 93.62 +/- 1.03; p >
.05) as well as fusion efficiency was obtained with HMC technique than with
conventional SCNT (96.26 +/- 1.34 vs. 92.63 +/- 0.70, p < .05); 181 cloned sheep
embryos were produced in vitro by conventional SCNT and 92 by HMC. Cleavage
percentage observed on day 2 of in vitro culture was higher in HMC than SCNT
(66.92 +/- 3.72 vs. 55.97 +/- 2.5, respectively, p < .05). Morula percentage
obtained was higher in SCNT than HMC (44.12 +/- 2.93 vs. 30.43 +/- 6.79,
respectively, p < .05), whereas blastocyst percentage obtained by HMC was higher
(12.46 +/- 4.96) than SCNT (5.31 +/- 2.25; p > .05). It was inferred that HMC
technique provides a cost-effective and efficient method of in vitro production
of cloned sheep embryos with a comparatively simpler technique with a possibility
of automation. Efficiency of cloned embryo production could be improved further
by propagating and standardizing this technique.
PMID- 29359365
TI - Dance on cortex: enhanced theta synchrony in experts when watching a dance piece.
AB - When watching performing arts, a wide and complex network of brain processes
emerge. These processes can be shaped by professional expertise. When compared to
laymen, dancers have enhanced processes in observation of short dance movement
and listening to music. But how do the cortical processes differ in musicians and
dancers when watching an audio-visual dance performance? In our study, we
presented the participants long excerpts from the contemporary dance choreography
of Carmen. During multimodal movement of a dancer, theta phase synchrony over the
fronto-central electrodes was stronger in dancers when compared to musicians and
laymen. In addition, alpha synchrony was decreased in all groups during large
rapid movement when compared to nearly motionless parts of the choreography. Our
results suggest an enhanced cortical communication in dancers when watching dance
and, further, that this enhancement is rather related to multimodal, cognitive
and emotional processes than to simple observation of dance movement.
PMID- 29359366
TI - Ultrasound-guided lumbar plexus block in children and adolescents using a
transverse lumbar paravertebral sonogram: Initial experience.
AB - BACKGROUND: The clinical reliability and reproducibility of ultrasound-guided
lumbar plexus blocks is not established in pediatric populations. We present the
results of a combined nerve stimulation ultrasound-guided lumbar plexus block
using the vertebral body, transverse process, and psoas muscle as landmarks on a
transverse lumbar paravertebral sonogram with mid-axillary transducer placement,
"shamrock method," in children and adolescents. AIMS: Our primary objective was
to determine the rate of achieving sensory changes in the lumbar plexus
distribution. Secondary outcomes were performance time, reliability of echo
landmarks, measures of patient comfort, and complications. METHODS: We reviewed
prospectively collected quality assurance data and electronic medical records of
21 patients having major orthopedic surgery with lumbar plexus block catheter for
postoperative analgesia. RESULTS: Twenty-one patients were studied with mean age
and weight (SD, range) of 13.6 years (3.8, 6-18) and 49.3 kg (18.6, 19.2-87.6).
Surgical procedures included periacetabular osteotomy, pelvic osteotomy, and
proximal femoral osteotomy. Mean volume of 0.5 mL/kg (0.05) 0.2% ropivacaine
produced thermal sensory changes to femoral and lateral femoral cutaneous nerves
in 20/21 (95% CI 0.76 to >0.99) and 19/21 (95% CI 0.70-0.99) patients.
Identification of transverse process (TP), vertebral body (VB), and psoas muscle
(PM): 21/21 (95% CI 0.86-1.0). Average block performance time was 9:08 minutes
(2:09, 2-13). Average opioid consumption (SD) in operating room, postanesthesia
care unit, 0-12 and 12-24-hour periods were 0.17 mg/kg (0.08), 0.08 mg/kg (0.06),
0.06 mg/kg (0.06), and 0.06 mg/kg (0.05). Median pain score by severity category
in postanesthesia care unit: (0-3) 66.7%, (4-6) 28.5%, (>7) 4.8%; 0-12 hours: (0
3) 76.2%, (4-6) 19.0%, (>7) 4.8%; 12-24 hours: (0-3) 57.2%, (4-6) 42.8%, (>7) 0%.
No complications were recorded. CONCLUSION: Ultrasound guidance using lateral
imaging of transverse process, vertebral body, and psoas muscle allows
practitioners to reach the nerves of the lumbar plexus and achieve sensory block
in pediatric patients with a high success rate.
PMID- 29359368
TI - B-Flow and B-Mode Ultrasound Imaging in Carotid Fibromuscular Dysplasia.
AB - BACKGROUND AND PURPOSE: Previous ultrasound studies in fibromuscular dysplasia
(FMD) have largely reported on color flow imaging, power Doppler, and Doppler
flow augmentation. We here report on arterial wall imaging findings by B-flow and
B-mode in patients with carotid FMD. METHODS: We retrospectively reviewed
ultrasonographic findings in subjects with known or suspected FMD. All patients
were evaluated with a standardized imaging protocol including Doppler, B-mode,
and B-flow. Vessel wall abnormalities were classified as normal, luminal
irregularities, or classical beading (fusiform dilatations). RESULTS: We
identified 23 patients and 33 carotid arteries were found to be abnormal, of
which 10 had classical beading and 23 showed endoluminal irregularities.
Bilateral disease was present in 10/23 patients. In the classical beading cases,
B-mode revealed isoechoic ridges, which protruded into the lumen, alternating
with dilated arterial segments, which were also clearly demonstrated by B-flow
imaging. In cases with endoluminal irregularities, B-mode and B-flow showed
isoechoic subendothelial irregular thickening, which did not lead to a fusiform
dilatory appearance of the artery. The average distal internal carotid artery
peak systolic velocity of arteries with classical beading (123 +/- 29 cm/second)
was significantly greater than that of arteries with mild irregularities (94 +/-
34 cm/second) (P = .024). CONCLUSION: Morphological arterial wall changes of FMD
were well depicted by careful B-flow and B-mode imaging of the distal internal
carotid artery. We would like to emphasize the utility of B-flow and B-mode in
the noninvasive evaluation of FMD.
PMID- 29359367
TI - Truncating mutations of TP53AIP1 gene predispose to cutaneous melanoma.
AB - Genetic predisposition to cutaneous malignant melanoma (CMM) involves highly
penetrant predisposing genes and low and intermediate penetrant predisposing
alleles. However, the missing heritability in (CMM) is still high. For such and
in order to identify new genetic factors for CMM, we conducted an exome
sequencing study in high-risk CMM patients. Two rounds of exome sequencing were
successively performed in 33 and 27 high-risk patients. We focused on genes
carrying rare nonsense, frameshift, and splice variants (allelic frequency <1%)
that were present in both series of exomes. An extension study was then conducted
in a large cohort (1 079 CMM patients and 1 230 Caucasian ethnically matched
healthy controls), and the inactivating variants frequency was compared between
groups using two-sided Fisher exact test. Two TP53AIP1 truncating mutations were
identified in four patients: a frameshift c.63_64insG, p.Q22Afs*81 in two
patients from the same family and in the proband of a second family; and a
nonsense mutation c.95 C > A, p.Ser32Stop in a patient with multiple CMMs. In all
patients, TP53AIP1 truncating variants were strongly associated with CMM risk
(two-sided Fisher exact test = 0.004, OR = 3.3[1.3-8.5]). Additionally, we showed
that TP53AIP1 mRNA was strongly down-regulated throughout different phases of
melanoma progression. TP53AIP1 gene is a TP53 target which plays a key role by
inducting apoptosis in response to UV-induced DNA damage. Constitutional
mutations of TP53AIP1 had previously been involved in susceptibility to prostate
cancer. Our results show that constitutional truncating TP53AIP1 mutations
predispose to CMM in the French population. Replication studies in other
populations should be performed.
PMID- 29359369
TI - Using activity schedules to promote varied application use in children with
autism.
AB - We evaluated the effects of an activity schedule embedded within an iPad on
varied play across applications. After establishing a pattern of repetitive
gameplay, we taught three children with autism to follow the activity schedule
using physical guidance. All participants increased their varied play to four
applications per session and demonstrated independent and accurate activity
schedule usage. The activity schedule was removed, and responding decreased to
baseline levels, demonstrating the activity schedule's control over varied
responding. The activity schedule was reintroduced and participant responding
maintained when engaging with novel applications.
PMID- 29359370
TI - Descriptive assessment of problem behavior during transitions of children with
intellectual and developmental disabilities.
AB - Transitions from one activity to another may evoke problem behavior from
individuals with intellectual disabilities. One explanation for the occurrence of
problem behavior could be the change in relative densities of reinforcement
between the two activities. We conducted a descriptive assessment of problem
behavior with four children during transitions to and from several different
contexts. We observed that, in most cases, the probability of problem behavior
was greater during a transition to an activity with a lower density of
reinforcement than during a transition to an activity with a higher density of
reinforcement. We discuss our findings in terms of problem behavior associated
with transitions in activities with different reinforcer densities, as well as
the possibility that the problem behavior may be associated with shifts in
motivating operations.
PMID- 29359371
TI - Evaluation of stimulus intensity fading on reduction of rapid eating in a child
with autism.
AB - This study assessed the effects of a vibrating pager on reduction of rapid
eating. The study also evaluated two strategies for fading the pager, by
intensity and by frequency. The pager was successful in decreasing the pace of
eating to an appropriate level and the pager prompt was successfully faded.
Fading by frequency was ineffective in maintaining an appropriate pace of eating
while intensity fading was successful.
PMID- 29359372
TI - Recurrent painful ophthalmoplegic neuropathy in a 12-year-old boy.
PMID- 29359373
TI - Action competence: A concept analysis.
AB - AIM: The aim of this article is to analyze the concept of action competence and
comprise a definition of the concept suitable to apply to virtual simulation in
nursing education. BACKGROUND: Virtual simulation has become an instructional
method increasingly used in nursing education which creates a challenge for
assessment of clinical competence. DESIGN: This concept analysis was performed
using Walker and Avant's eight stages of concept analysis. DATA SOURCE: A
literature search was conducted using search engines and included peer-reviewed
articles from various disciplines. RESULTS: The concept analysis resulted in an
operational definition of action competence that is useful in nursing education.
CONCLUSION: The use of action competence during virtual simulation sessions
provides a means for knowledge transfer, accountability of actions on the part of
the students, self-awareness, and reflection. Through this process, students gain
critical problem-solving skills that, through sustainability, can be applied to
varying future patient scenarios. Action competence contains concrete components
applicable to sustainability of knowledge gained by nursing students during
virtual simulation.
PMID- 29359374
TI - Value of clinical features to differentiate refractory epilepsy from mimics: a
prospective longitudinal cohort study.
AB - BACKGROUND AND PURPOSE: Misdiagnosis of refractory epilepsy (rE) is common and
such patients experience a long diagnostic delay. Our aim was to identify key
clinical/laboratory factors in order to obtain an alternative diagnosis in
patients referred for rE. METHODS: Between January 2010 and December 2015, 125
consecutive patients with a diagnosis of rE were prospectively enrolled. All
patients underwent a comprehensive neurological, neuropsychiatric and
cardiological evaluation, and had an observation time of at least 1 year after
the study entry. RESULTS: Diagnosis of rE was confirmed in 104/125 (83.2%)
patients (55 women, mean age 38.8 +/- 14.3 years). Thirteen/125 patients (10.4%,
seven women, mean age 50.8 +/- 20.9) were diagnosed with syncope, which was
cardiac/cardio inhibitory in 9/13 (69%). The remaining 8/125 patients (6.4%, six
women, mean age 41.2 +/- 14.6 years) were diagnosed with psychogenic non
epileptic seizures. Age at onset had a high accuracy in differentiating patients
with syncope from others, with the best cut-off age at 35 years and above.
Abnormal brain magnetic resonance imaging (MRI) had a significant yield of about
70% in rE. A diagnostic model including age at onset and brain MRI was highly
accurate in differentiating patients with syncope from others. In patients with
cardiac/cardio inhibitory syncope, the point score of historical features was >=1
and falsely favoured the diagnosis of epileptic seizures. CONCLUSIONS: This
prospective cohort study identifies rE mimics who are at high risk of morbidity
and mortality. rE starting in adulthood should raise a high suspicion of cardiac
syncope. Brain MRI is accurate in differentiating rE from other conditions.
PMID- 29359375
TI - A scalable multi-resolution spatio-temporal model for brain activation and
connectivity in fMRI data.
AB - Functional Magnetic Resonance Imaging (fMRI) is a primary modality for studying
brain activity. Modeling spatial dependence of imaging data at different spatial
scales is one of the main challenges of contemporary neuroimaging, and it could
allow for accurate testing for significance in neural activity. The high
dimensionality of this type of data (on the order of hundreds of thousands of
voxels) poses serious modeling challenges and considerable computational
constraints. For the sake of feasibility, standard models typically reduce
dimensionality by modeling covariance among regions of interest (ROIs)-coarser or
larger spatial units-rather than among voxels. However, ignoring spatial
dependence at different scales could drastically reduce our ability to detect
activation patterns in the brain and hence produce misleading results. We
introduce a multi-resolution spatio-temporal model and a computationally
efficient methodology to estimate cognitive control related activation and whole
brain connectivity. The proposed model allows for testing voxel-specific
activation while accounting for non-stationary local spatial dependence within
anatomically defined ROIs, as well as regional dependence (between-ROIs). The
model is used in a motor-task fMRI study to investigate brain activation and
connectivity patterns aimed at identifying associations between these patterns
and regaining motor functionality following a stroke.
PMID- 29359376
TI - Penile injury incurred during judo.
PMID- 29359377
TI - Successful management of obstetric disseminated intravascular coagulation using a
portable fibrinogen-measuring device.
AB - The importance of fibrinogen replacement therapy in obstetric disseminated
intravascular coagulation is well recognized. However, fibrinogen measurement in
conventional laboratories has been a time-consuming task. Recently, a Japanese
manufacturer developed a portable device that enables immediate fibrinogen
measurement at the point of care. This report describes a case in which this
device was used for the successful management of obstetric disseminated
intravascular coagulation.
PMID- 29359379
TI - Disparate clinical findings in monochorionic twins with neonatal hemochromatosis.
PMID- 29359380
TI - (NHC)NiH-Catalyzed Regiodivergent Cross-Hydroalkenylation of Vinyl Ethers with
alpha-Olefins: Syntheses of 1,2- and 1,3-Disubstituted Allyl Ethers.
AB - Cross-hydroalkenylation of a vinyl ether (1) with an alpha-olefin (2) was first
achieved by a set of [NHC-Ni(allyl)]BArF (NHC=N-heterocyclic carbene) catalysts.
Both 1,2- and 1,3-disubstituted allyl ethers were obtained, highly selectively,
by using NHCs of different sizes. In contrast, the chemoselectivity (i.e., 1 as
acceptor and 2 as donor) was controlled mostly by electronic effects through the
catalyst-substrate interaction. Sterically bulkier alkenes (2) were used as
preferred donors compared to smaller alkenes. This electronic effect also served
as a basis for the first tail-to-head cross-hydroalkenylations of 1 with either a
vinyl silane or boronic ester.
PMID- 29359378
TI - Modern Electrochemical Aspects for the Synthesis of Value-Added Organic Products.
AB - The use of electricity instead of stoichiometric amounts of oxidizers or reducing
agents in synthesis is very appealing for economic and ecological reasons, and
represents a major driving force for research efforts in this area. To use
electron transfer at the electrode for a successful transformation in organic
synthesis, the intermediate radical (cation/anion) has to be stabilized. Its
combination with other approaches in organic chemistry or concepts of
contemporary synthesis allows the establishment of powerful synthetic methods.
The aim in the 21st Century will be to use as little fossil carbon as possible
and, for this reason, the use of renewable sources is becoming increasingly
important. The direct conversion of renewables, which have previously mainly been
incinerated, is of increasing interest. This Review surveys many of the recent
seminal important developments which will determine the future of this dynamic
emerging field.
PMID- 29359381
TI - Inappropriate medication use and polypharmacy in end-stage cancer patients: Isn't
it the family doctor's role to de-prescribe much earlier?
AB - BACKGROUND: Elderly patients are exposed to increased number of medications,
often with no proof of a positive benefit/risk ratio. Unfortunately, this trend
does not spare those with limited life expectancy, including end-stage cancer
patients who require only palliative treatment. For many medications in this
subpopulation, the risk of adverse drug events outweighs the possible benefits
and yet, many are still poly-medicated during their last year of life. AIM: To
describe the extent of polypharmacy among end-stage cancer patients, at the time
of admission to homecare hospice. METHODS: A retrospective chart review of 202
patients admitted to Homecare Hospice of the Israel Cancer Association and died
before January 2015. RESULTS: Average lifespan from admission until death was
39.2 +/- 5.4 days. 63% died within the first month, 89% within 3 months.
Excluding oncological treatments, 181 (90%) and 46 (23%) patients were treated
with >= 6 and >= 12 drugs for chronic diseases, respectively. Two months before
death, 32 (16%) patients were treated with >= 3 blood pressure lowering drugs, 62
(31%) with statins and 48 (23%) with aspirin. CONCLUSION: Though not
representative of the whole end-stage cancer patient population, our study
demonstrates that these patients are exposed to extensive polypharmacy. Most of
these medications could have probably been safely de-prescribed much earlier in
the course of the malignant disease. Considering the prolonged trust-based
relationship with their patients, the family physicians are those who should be
encouraged to implement the palliative approach and reduce polypharmacy much
before reaching hospice settings.
PMID- 29359382
TI - The moderating effect of social support on the relationship between physical
health and suicidal thoughts among Chinese rural elderly: A nursing home sample.
AB - Suicide rate is relatively high among Chinese rural elderly. While there has been
some exciting work on reporting and preventing suicide among community-dwelling
elderly, only a few published studies have addressed the issues of rural nursing
homes in China. This study aimed to investigate the relationship among perceived
social support, physical health, and suicidal thoughts of the elderly living in
Chinese rural nursing homes. It also examined the moderating effects of social
support on the path from physical health to suicidal thoughts of the rural
institutional elderly in China. This study investigated 205 participants aged 60
years and above in Chinese rural nursing homes. Participants' suicidal thoughts,
perceived social support, and physical health were assessed. This study conducted
descriptive analysis, Student's t-test, and Pearson's chi-square test to test how
physical health and social support predicted suicidal thoughts, as well as the
moderating effects of family's, friends', and others' social support on physical
health and suicidal thoughts. Both physical health and perceived social support
were significantly related to suicidal thoughts. Perceived social support from
family, friends, and significant others moderated the relationship between
physical health and suicidal thoughts. Findings of this study suggested that
increasing social support and improving physical health would be effective in
both suicide prevention and intervention for the residents in Chinese rural
nursing homes.
PMID- 29359383
TI - Phosphine-Catalyzed anti-Carboboration of Alkynoates with 9-BBN-Based 1,1
Diborylalkanes: Synthesis and Use of Multisubstituted gamma-Borylallylboranes.
AB - Trialkylphosphine organocatalysis has enabled the regioselective anti
carboboration of alkynoates with 9-BBN-based 1,1-diborylalkanes to produce
secondary allylboranes with beta-alkoxycarbonyl and gamma-boryl substituents. The
utility of the densely functionalized allylboranes was demonstrated by the highly
diastereoselective allylation of N-(trimethylsilyl)aldimines to produce
homoallylamines containing tertiary allylborane and acrylate moieties.
PMID- 29359384
TI - Formation of Acetylene in the Reaction of Methane with Iron Carbide Cluster
Anions FeC3- under High-Temperature Conditions.
AB - The underlying mechanism for non-oxidative methane aromatization remains
controversial owing to the lack of experimental evidence for the formation of the
first C-C bond. For the first time, the elementary reaction of methane with
atomic clusters (FeC3- ) under high-temperature conditions to produce C-C
coupling products has been characterized by mass spectrometry. With the elevation
of temperature from 300 K to 610 K, the production of acetylene, the important
intermediate proposed in a monofunctional mechanism of methane aromatization, was
significantly enhanced, which can be well-rationalized by quantum chemistry
calculations. This study narrows the gap between gas-phase and condensed-phase
studies on methane conversion and suggests that the monofunctional mechanism
probably operates in non-oxidative methane aromatization.
PMID- 29359385
TI - Clinical study to evaluate the performance of a noninvasive focused ultrasound
device for thigh fat and circumference reduction compared to control.
AB - BACKGROUND AND OBJECTIVES: An FDA-cleared focused ultrasound device (UltraShape,
Syneron Candela(r) , Yokneam, Israel) for noninvasive abdominal fat reduction
produces localized mechanical cellular membrane disruption in adipocytes. This
study seeks to determine the safety and efficacy of this device for use on the
thighs. STUDY DESIGNS/MATERIALS AND METHODS: Fourteen women aged 33-60 were
selected to receive 3 biweekly treatments to one thigh with the other thigh
serving as an internal control. The subjects had a BMI range of 18-30 kg/m2 and a
weight range of 54-83 kg. After the third treatment, patients were followed at 4,
8, and 16 weeks. Fat thickness was measured by both caliper and ultrasound. In
addition, thigh circumference and the patient's weight were measured. Pain,
edema, erythema, and adverse events as well as investigator and patient overall
satisfaction were recorded at all visits. RESULTS: In comparison with the
control, there was a statistically significant average reduction in fat thickness
measured by calipers at all time points with a 22.20% (P = .0165) improvement in
16 weeks. By ultrasound, there was a 19.23% (4.03 mm P = .0051) reduction in fat
thickness at 16 weeks with statistically significant improvement at the other
follow-up visits. At 16 weeks, thigh circumference improved, on average, 2.8 cm
(P = .0059) at the midline. 90.0% of the subjects were satisfied with the results
at 16 weeks, and the investigator was 100% satisfied. No adverse events were
reported; no edema was observed in any subject. All subjects experienced mild
erythema. All reported zero pain on a 0-10 scale. CONCLUSION: Focused ultrasound
is safe, effective, and well tolerated to improve the circumference and fat
thickness of the thighs without significant side effects. There were no
significant adverse events. Investigators and subjects were highly satisfied with
the results.
PMID- 29359386
TI - Home opioid use following cesarean delivery: How many opioid tablets should
obstetricians prescribe?
AB - AIM: To quantify home opioid use after cesarean delivery and identify factors
associated with increased opioid use. METHODS: A convenience sample of women
discharged by postoperative day 2 following a term cesarean delivery of a
singleton fetus from May 2015 to May 2016 were contacted 2 weeks post-partum and
questioned regarding opioid use, pain control and pain expectations. RESULTS:
Among 141 women included in the analysis, the median number of opioid tablets
used was 36 (interquartile range 16-45) and the median number prescribed was 60
(interquartile range 42-65). Logistic regression identified operative time >=59.5
min and number of opioid tablets prescribed as two factors independently
associated with opioid use in the top quartile. CONCLUSION: In the first 2 weeks
post-partum, 75% of women used 45 or fewer opioid tablets. Operative time over 1
h and increased number of opioid tablets prescribed are factors associated with
higher post-partum opioid use.
PMID- 29359387
TI - Impact of the 2016 Kumamoto Earthquake on a female patient with ornithine
transcarbamoylase deficiency.
PMID- 29359388
TI - Three-dimensional prototyping for procedural simulation of transcatheter mitral
valve replacement in patients with mitral annular calcification.
AB - INTRODUCTION: Three-dimensional (3D) prototyping is a novel technology which can
be used to plan and guide complex procedures such as transcatheter mitral valve
replacement (TMVR). METHODS: Eight patients with severe mitral annular
calcification (MAC) underwent TMVR. 3D digital models with digital balloon
expandable valves were created from pre-procedure CT scans using dedicated
software. Five models were printed. These models were used to assess prosthesis
sizing, anchoring, expansion, paravalvular gaps, left ventricular outflow tract
(LVOT) obstruction, and other potential procedure pitfalls. Results of 3D
prototyping were then compared to post procedural imaging to determine how
closely the achieved procedural result mirrored the 3D modeled result. RESULTS:
3D prototyping simulated LVOT obstruction in one patient who developed it and in
another patient who underwent alcohol septal ablation prior to TMVR. Valve sizing
correlated with actual placed valve size in six out of the eight patients and
more than mild paravalvular leak (PVL) was simulated in two of the three patients
who had it. Patients who had mismatch between their modeled valve size and post
procedural imaging were the ones that had anterior leaflet resection which could
have altered valve sizing and PVL simulation. 3D printed model of one of the
latter patients allowed modification of anterior leaflet to simulate surgical
resection and was able to estimate the size and location of the PVL after
inserting a valve stent into the physical model. CONCLUSION: 3D prototyping in
TMVR for severe MAC is feasible for simulating valve sizing, apposition,
expansion, PVL, and LVOT obstruction.
PMID- 29359389
TI - Hepatitis C virus direct-acting antivirals therapy impacts on extracellular
vesicles microRNAs content and on their immunomodulating properties.
AB - BACKGROUND & AIMS: Hepatitis C virus (HCV) infection is known to cause major
alterations in the cross-talk between hepatic and immune cells thus contributing
to the liver disease pathogenesis. Extracellular vesicles have been proved to act
as major players in cell-cell communication, and their cargo changes in relation
to pathophysiological states. The aim of this study was to evaluate the effects
of chronic HCV infection and direct-acting antivirals (DAA) on exosome-delivered
microRNAs and on their ability to modulate the innate immune response. METHODS:
Exosomes isolated from the plasma of healthy donors and naive, viremic HCV
patients before and after DAA treatment have been compared for their microRNAs
cargo by quantitative polymerase chain reaction. Functional assays with
peripheral blood cells from healthy donors were performed to assess exosome
mediated immune responses. RESULTS: MicroRNAs associated with HCV-related
immunopathogenesis which were found to be enriched in exosomes of HCV viremic
patients (in particular, miR-122-5p, miR-222-3p, miR-146a, miR-150-5p, miR-30c,
miR-378a-3p and miR-20a-5p) were markedly reduced by DAA therapy. This exosome
microRNA cargo modulation parallels changes in their immunomodulatory properties
in ex vivo experiments. Exosomes from HCV patients inhibit NK degranulation
activity and this effect correlates with miR-122-5p or miR-222-3p levels.
CONCLUSIONS: Enrichment of immunomodulatory microRNAs in exosomes of HCV patients
was correlated with their inhibitory activity on innate immune cells function.
Direct-acting antivirals (DAA) treatment was observed to revert both microRNA
content and functional profiles of systemic exosomes towards those of healthy
donors. Exosome-associated microRNAs may provide valuable biomarkers to monitor
immune response recovery.
PMID- 29359390
TI - Dual antiplatelet therapy after coronary drug-eluting stent implantation in
China: A large single center study.
AB - OBJECTIVE: To investigate the actual dual antiplatelet therapy (DAPT) duration
after drug-eluting stent (DES) treatment in China. BACKGROUND: Currently, less is
known about actual DAPT duration after drug-eluting stent (DES) treatment in
China. Here, we performed a study in the largest cardiovascular center in China
to investigate DAPT duration and identify associated factors after DES
implantation. METHODS: A total of 9,919 consecutive patients with DES
implantation from January 2013 to December 2013 were enrolled. DAPT cessation was
observed, and factors associated with different DAPT durations were analyzed.
RESULTS: The median follow-up time was 882 days. The proportion of patients with
DAPT coverage at 1-year of follow-up was 97.3%, and it decreased to 30.1% for 2
years. The distribution of DAPT duration was not significantly different among
patients with acute myocardial infarction (AMI) versus non-AMI (P = 0.41) and
with new-generation DES versus first-generation DES (P = 0.54). The multivariable
analysis indicated some independent predictors prolonging DAPT duration,
including target vessel revascularization (OR 2.50, 95% CI 2.04-3.06, P < 0.001),
stent numbers (OR 1.10, 95% CI 1.05-1.15, P < 0.001), and previous coronary
artery bypass grafting (OR 0.76, 95% CI 0.61-0.96, P = 0.02). Other clinical
factors, such as the increased risk of bleeding and high ischemic risk, were not
associated with DAPT duration. CONCLUSIONS: The 1-year DAPT after DES was applied
to 97.3% of Chinese patients in the studied clinical center. However, the DAPT
duration after 1 year was not adjusted according to the patients' bleeding
situation and ischemic risks.
PMID- 29359391
TI - Angiographic follow-up of infants and children undergoing percutaneous carotid
artery interventions.
AB - OBJECTIVE: The purpose of this study was to review the outcomes following the
percutaneous carotid arterial (PCA) approach in infants and children with
congenital heart disease. BACKGROUND: PCA access is becoming more commonly
adopted following reports demonstrating it is a safe alternative to surgical
carotid cutdown and even the femoral arterial route. However, follow-up outcomes
after PCA remain unreported. METHODS: We reviewed all cases with PCA access and
follow-up catheterizations which included carotid artery (CA) angiography between
May 2012 until December 2016. We examined for evidence of CA stenosis at follow
up angiography and assessed any other CA complications associated with vascular
access. RESULTS: There were 61 PCA catheterizations performed in 55 unique
patients. Follow-up CA imaging with angiography was available in 43 patients
(78%, 43/55). There was no vessel stenosis nor lumen irregularity in 28 (65%)
patients. In 15 cases (35%), there was a mild degree of irregularity or narrowing
by angiography (median 4.1%, range 2.3%-12.5%). Nine patients underwent repeat
PCA catheterizations. Seven of these had no visible vascular stenosis on follow
up angiographic imaging, including a patient who was accessed three separate
times from the CA. No statistically significant risk factors for developing mild
CA stenosis were identified. CONCLUSIONS: PCA access for pediatric interventional
catheterization appears to be safe with a very low rate of mild stenosis, and
very few complications. Follow-up outcomes in our series are excellent, with a CA
patency rate of 100%, even after multiple procedures. Mild CA stenosis was not
associated with patient size or sheath introducer caliber. While the acute
results from percutaneous CA catheterization have proven safe in recent
literature, longer-term outcomes remain unreported. At our institution, the
outcomes following percutaneous carotid access are associated with an excellent
patency rate of 100%, even after multiple procedures on the same vessel. A low
incidence of mild vessel stenosis can be appreciated on follow-up angiography.
PMID- 29359392
TI - Importance of phosphoinositide binding by human beta-defensin 3 for Akt-dependent
cytokine induction.
AB - Host defense peptides (HDPs) are well-characterized for their antimicrobial
activities but also variously display potent immunomodulatory effects. Human beta
defensin 3 (HBD-3) belongs to a well-known HDP family known as defensins and is
able to induce leukocyte chemotactic recruitment, leukocyte
activation/maturation, proinflammatory cytokine release, and co-stimulatory
marker expression. HBD-3-stimulated cytokine induction is NF-kappaB-dependent and
was initially suggested to act via G protein-coupled C-C chemokine receptor
phospholipase C (PLC) and/or Toll-like receptor signaling. Subsequent
pharmacological inhibition, however, revealed that NF-kappaB activation by HBD-3
is receptor-independent and instead involves the phosphoinositide 3-kinase (PI3K)
protein kinase B (Akt) pathway, the mechanism of which remains undetermined.
Recently, we have shown that HBD-3 can enter mammalian cells and bind to inner
membrane phosphoinositide 4,5-bisphosphate [PI(4,5)P2], an important second lipid
messenger of PLC and PI3K-Akt pathways. In this study, we report that the
interaction of HBD-3 with PI(4,5)P2 is important for PI3K-Akt-NF-kappaBeta
mediated induction of tumor necrosis factor and interleukin-6. These data provide
insights into the mechanism of immunomodulation by HBD-3, and more generally,
highlight the complex multifaceted signaling roles of HDPs in innate defense.
Furthermore, it is suggested that the proposed mode of action may be conserved in
other HDPs.
PMID- 29359394
TI - Rethinking status dystonicus: A welcome start to a challenging problem.
PMID- 29359393
TI - A novel kinase function of a nucleoside-diphosphate-kinase homologue in
Porphyromonas gingivalis is critical in subversion of host cell apoptosis by
targeting heat-shock protein 27.
AB - We have previously shown that a homologue of a conserved nucleoside-diphosphate
kinase (Ndk) family of multifunctional enzymes and secreted molecule in
Porphyromonas gingivalis can modulate select host molecular pathways including
downregulation of reactive-oxygen-species generation to promote bacterial
survival in human gingival epithelial cells (GECs). In this study, we describe a
novel kinase function for bacterial effector, P. gingivalis-Ndk, in abrogating
epithelial cell death by phosphorylating heat-shock protein 27 (HSP27) in GECs.
Infection by P. gingivalis was recently suggested to increase phosphorylation of
HSP27 in cancer-epithelial cells; however, the mechanism and biological
significance of antiapoptotic phospho-HSP27 during infection has never been
characterised. Interestingly, using glutathione S-transferase-rNdk pull-down
analysed by mass spectrometry, we identified HSP27 in GECs as a strong binder of
P. gingivalis-Ndk and further verified using confocal microscopy and ELISA.
Therefore, we hypothesised P. gingivalis-Ndk can phosphorylate HSP27 for
inhibition of apoptosis in GECs. We further employed P. gingivalis-Ndk protein
constructs and an isogenic P. gingivalis-ndk-deficient-mutant strain for
functional examination. P. gingivalis-infected GECs displayed significantly
increased phospho-HSP27 compared with ndk-deficient-strain during 24 hr
infection. Phospho-HSP27 was significantly increased by transfection of GFP
tagged-Ndk into uninfected-GECs, and in vitro phosphorylation assays revealed
direct phosphorylation of HSP27 at serines 78 and 82 by P. gingivalis-Ndk.
Depletion of HSP27 via siRNA significantly reversed resistance against
staurosporine-mediated-apoptosis during infection. Transfection of recombinant P.
gingivalis-Ndk protein into GECs substantially decreased staurosporine-induced
apoptosis. Finally, ndk-deficient-mutant strain was unable to inhibit
staurosporine-induced Cytochrome C release/Caspase-9 activation. Thus, we show
for the first time the phosphorylation of HSP27 by a bacterial effector-P.
gingivalis-Ndk-and a novel function of Ndks that is directly involved in
inhibition of host cell apoptosis and the subsequent bacterial survival.
PMID- 29359395
TI - Intentional longitudinal and side-cell stent fractures: Intermediate term follow
up.
AB - BACKGROUND: Use of small diameter stents in young children and jailing of side
branches pose significant challenges to future re-interventions. We sought to
assess the capacity to induce longitudinal fractures in undersized stents to
increase vessel diameter, and side cell fractures to enlarge stenotic jailed
branches. METHODS: Retrospective review of patients who underwent attempted
intentional stent fractures (ISF) from 01/06-02/17. RESULTS: Twenty-two patients,
median age 4.4 (1.1-47.8) years, weighing 14.3 (6.9-102) kg underwent attempted
ISF in 32 vessels. Initial stent implant occurred at a median age of 1.0 (0.1
34.1) years, at the following sites: pulmonary arteries (11), pulmonary veins
(14), systemic veins (6), and aorta (1). Initial diameters of the stents were 3.5
12 mm. Thirteen vessels had overlapping stents. Using high-pressure balloons,
longitudinal ISF was achieved in 23 and side cell ISF in eight vessels. One
longitudinal ISF attempt was unsuccessful. Three lesions were immediately re
stented after longitudinal ISF, and three lesions treated with angioplasty (1) or
stenting (2) after side cell ISF. Only one complication occurred (pseudoaneurysm
in a pulmonary artery after longitudinal ISF requiring placement of a covered
stent). At a median follow up of 2.0 years (2 days - 10.8 years), eight patients
had 16 additional interventions for restenosis at site of ISF. CONCLUSIONS: ISF
can be induced safely in a variety of vascular beds using high-pressure balloons
both longitudinally or through side cells. Longitudinal ISF only rarely requires
immediate placement of a new stent; however, late restenosis may occur, requiring
re-stenting.
PMID- 29359396
TI - Association between cotinine-verified smoking status and risk of nonalcoholic
fatty liver disease.
AB - BACKGROUND & AIMS: The relationship between cigarette smoking and nonalcoholic
fatty liver disease (NAFLD) has been controversial. Most relevant studies have
relied on self-reported questionnaires. We aimed to elucidate the association
between smoking status and NAFLD using an objective biomarker of tobacco exposure
(urinary cotinine) and self-reported questionnaire. METHODS: A cross-sectional
study was conducted on 160 862 asymptomatic examinees who underwent abdominal
ultrasonography and urinary cotinine measurements between April 2011 and December
2015. Cotinine-verified current smokers were defined as participants with urinary
cotinine levels >=50 ng/mL. RESULTS: The mean age of the study population was
36.1 years, and the proportion of men was 51.7%. The proportions of self-reported
and cotinine-verified current smokers were 17.6% and 17.7% respectively. After
adjusting for confounding factors, self-reported current smoking was associated
with an increased risk of NAFLD (adjusted odds ratio [AOR], 1.10; 95% confidence
interval [CI], 1.06-1.14). Moreover, among the current smokers, the risk of NAFLD
increased with an increase in the amount of cigarette smoking (<10 and >=10 pack
years vs never smokers; AOR, 1.04 and 1.11; 95% CI, 1.01-1.08 and 1.05-1.16
respectively). Cotinine-verified current smoking was also associated with an
increased risk of NAFLD (AOR, 1.10; 95% CI, 1.06-1.14). CONCLUSIONS: Cotinine
verified current smoking and self-reported current smoking were independent risk
factors for NAFLD. Further longitudinal studies are needed to more clearly
elucidate the impact of smoking on the development of NAFLD.
PMID- 29359397
TI - Recovery orientation in mental health inpatient settings: Inpatient experiences?
AB - Offering mental health treatment in line with a recovery-oriented practice has
become an objective in the mental health services in many countries. However,
applying recovery-oriented practice in inpatient settings seems challenged by
unclear and diverging definitions of the concept in and the organization of these
settings. In Denmark, educational and organizational efforts have been made to
organize inpatient services with a recovery-oriented approach. Hence, we aimed to
explore whether and how these efforts are reflected in the inpatients'
experiences of their care and treatment. Semi-structured interviews were
conducted with 14 inpatients from two mental health inpatient wards using an
interview guide based on factors from the Recovery Self-Assessment. Qualitative
content analysis was applied in the analysis. Six themes covering the
participants' experiences were identified. The participants felt accepted and
protected in the ward and found comfort in being around other people but missed
talking and engaging with health professionals. They described limited choice and
influence on the course of their treatment, and low information levels regarding
their treatment, which they considered to consist predominantly of medication.
Furthermore, they described feeling continuously observed and assessed from a
distance by health professionals. Like the sparse previous research among
inpatients, the results highlight ambivalent experiences of health professionals'
support and ward structure as well as the medical treatment hegemony. As such,
the educational and organizational efforts of introducing recovery-oriented
practices in the wards seemed not very well reflected in the participants'
experiences of their stay.
PMID- 29359398
TI - External validation of the prostascore model in patients with metastatic hormone
sensitive prostate cancer recruited to the CHAARTED study.
AB - OBJECTIVE: To externally validate 'prostascore' in patients with metastatic
hormone-sensitive prostate cancer recruited to the phase III CHAARTED study.
METHODS: We conducted a retrospective analysis of the prospectively collected
data from patients with metastatic hormone-sensitive prostate cancer in the
CHAARTED study, a phase III multicentre study conducted between 2006 and 2014.
The main outcome of the present analysis was overall survival, assessed using
Kaplan-Meier analysis or log-rank testing, in the whole cohort according to
different prostascores. In addition, patients with different scores were compared
according to treatment arm. RESULTS: A total of 702 cases had complete baseline
data, allowing calculation of prostascores and inclusion in the present analysis.
Overall survival was assessed according to prostascores in the entire cohort and
the P value for overall survival trend was significant (P < 0.001). Likewise,
progression-free survival was assessed according to prostascores in the entire
cohort and the P value for progression-free survival trend was also significant
(P < 0.001). Overall survival comparisons according to treatment arm were
evaluated among different prostascores. Notably, the P value for overall survival
difference was not significant for a prostascore = 2 (P = 0.702), but was
significant for scores of 3, 4 and 5 (P < 0.05). The cause-specific hazard ratio
for cancer-specific survival (adjusted for treatment arm used) was also
evaluated. The P value for pairwise comparisons between different scores was
significant (P < 0.01) except for the comparison between scores 4 and 5.
CONCLUSION: The present study further confirms the role of prostascore in
predicting the outcomes of patients with metastatic hormone-sensitive prostate
cancer and also highlights its potential role in therapeutic decision-making.
PMID- 29359399
TI - Review: The international consensus classification of Focal Cortical Dysplasia -
a critical update 2018.
AB - The Diagnostic Methods commission of the International League against Epilepsy
(ILAE) released a first international consensus classification of Focal Cortical
Dysplasia (FCD) in 2011. Since that time, this FCD classification has been widely
used in clinical diagnosis and research (more than 740 papers cited in Pubmed
between 1/1/2012 and 7/1/2017). Herein, we review the new data that will inform
and revise the FCD classification. Many recent papers described molecular-genetic
characteristics in FCD type II including multiple mutations in the mTOR pathway.
In addition, the electro-clinico-imaging phenotype and surgical outcomes of FCD
type II (in particular type IIb) were further defined and validated. These
results pave the way for the design of an integrated clinico-pathological and
genetic classification system, as recently recommended by the WHO for the
classification of malignant brain tumours. On the other hand, little new
information was acquired on FCD types I and III. Focal cortical dysplasia type I
subtypes are still lacking a comprehensive description of clinical phenotypes,
reproducible imaging characteristics, and specific molecular/genetic biomarkers.
Associated FCD III subtypes also became rare in published literature. Despite
temporal lobe epilepsy being the most common focal epilepsy in adults, we have
not identified neurophysiological, imaging, histopathological and/or genetic
biomarkers to reliably classify FCD III with or without hippocampal sclerosis. In
respect of pathogenesis, FCD adjacent to a non-developmental, postnatally
acquired lesion is difficult to explain and perhaps does not exist. This update
may help foster shared efforts towards a better understanding of FCD, potential
future updates of classification and novel targeted treatments.
PMID- 29359400
TI - Biosynthesis and Characterization of Copper Nanoparticles Using Shewanella
oneidensis: Application for Click Chemistry.
AB - Copper nanoparticles (Cu-NPs) have a wide range of applications as heterogeneous
catalysts. In this study, a novel green biosynthesis route for producing Cu-NPs
using the metal-reducing bacterium, Shewanella oneidensis is demonstrated. Thin
section transmission electron microscopy shows that the Cu-NPs are predominantly
intracellular and present in a typical size range of 20-40 nm. Serial block-face
scanning electron microscopy demonstrates the Cu-NPs are well-dispersed across
the 3D structure of the cells. X-ray absorption near-edge spectroscopy and
extended X-ray absorption fine-structure spectroscopy analysis show the
nanoparticles are Cu(0), however, atomic resolution images and electron energy
loss spectroscopy suggest partial oxidation of the surface layer to Cu2 O upon
exposure to air. The catalytic activity of the Cu-NPs is demonstrated in an
archetypal "click chemistry" reaction, generating good yields during azide-alkyne
cycloadditions, most likely catalyzed by the Cu(I) surface layer of the
nanoparticles. Furthermore, cytochrome deletion mutants suggest a novel metal
reduction system is involved in enzymatic Cu(II) reduction and Cu-NP synthesis,
which is not dependent on the Mtr pathway commonly used to reduce other high
oxidation state metals in this bacterium. This work demonstrates a novel, simple,
green biosynthesis method for producing efficient copper nanoparticle catalysts.
PMID- 29359401
TI - An independent race model involving an abort and re-plan strategy explains reach
redirecting movements during planning and execution.
AB - Although race models have been extensively used to study inhibitory control, the
mechanisms that enable change of reach plans in the context of race models remain
unexplored. We used a redirect task in which targets occasionally changed their
locations to study the control of reaching movements during movement planning and
execution phases. We tested nine different race model architectures that could
explain the redirect behavior of reaching movements. We show that an independent
GO-STOP-GO model that reflects a plan-abort-re-plan strategy involving non
interacting elements successfully explained the various behavioral measures such
as the compensation function and the pattern of error response reaction times. By
extending the same race model to the execution phase, we could explain the extent
and the pattern of hypometric trials. Interestingly, the race model also provided
evidence that redirecting a movement during planning and execution shared the
same inhibitory mechanism. Taken together, this study demonstrates the
applicability of an independent race model to understand the computational
mechanisms underlying the control of reach movements.
PMID- 29359402
TI - Incidence, predictors, and midterm clinical outcomes of left ventricular
obstruction after transcatheter aortic valve implantation.
AB - OBJECTIVES: We aimed to evaluate the incidence and midterm clinical outcomes of
left ventricular obstruction (LVO) after transcatheter aortic valve implantation
(TAVI). BACKGROUNDS: LVO is occasionally unmasked following valve replacement for
severe aortic stenosis. However, little is known about the prevalence and effects
of LVO after TAVI. METHODS: A total of 158 patients who underwent TAVI in our
center between October 2013 and November 2015 received echocardiographic
evaluations at baseline; before hospital discharge; and at 3, 6, and 12 months
after TAVI. LVO was defined as a peak pressure gradient >30 mm Hg. RESULTS: Over
1 year of follow-up after TAVI, 21 patients (13.3%) demonstrated postprocedural
LVO. The incidence was highest at 3-months follow-up and decreased at 6 months or
later. Of the 21 patients with LVO, 20 (95.2%) demonstrated midventricular
obstruction (MVO), whereas only 1 (4.8%) showed obstruction of the outflow tract
(LVOT) with systolic anterior motion (SAM) of the mitral leaflet. In a
multivariate analysis, the LVOT diameter (odds ratio [OR], 0.45; 95% confidence
interval [CI], 0.30-0.67; P < 0.001), transvalvular velocity (OR, 2.44; 95% CI,
1.13-5.26; P = 0.023), and the presence of accelerated intraventricular flow at
baseline (OR, 6.13; 95% CI, 1.49-25.2; P = 0.012) were associated with the
occurrence of LVO. Postprocedural LVO was not associated with midterm all-cause
death or heart failure events. CONCLUSION: In patients who underwent TAVI, MVO
occurred more often than LVOT obstruction. However, the occurrence of
postprocedural LVO was not associated with worsened clinical outcomes in these
patients.
PMID- 29359403
TI - Previous exposure to chronic intermittent hypoxia blunts the development of one
kidney, one-clip hypertension in rats.
AB - NEW FINDINGS: What is the central question of this study? Chronic intermittent
hypoxia (CIH) and one-kidney, one-clip experimental models lead to sympathetic
overactivity and hypertension. The present study explored the impact of previous
exposure to CIH on one-kidney, one-clip renal hypertension; we hypothesized that
CIH potentiates its development. What is the main finding and its importance? The
development of one-kidney, one-clip renal hypertension was attenuated by previous
exposure to CIH, and this protective effect was eliminated by carotid body
denervation. These findings indicate that inputs from peripheral chemoreceptors
in CIH-preconditioned rats play a role in preventing the increase in sympathetic
activity and arterial pressure induced by one-kidney, one-clip renal
hypertension. ABSTRACT: Chronic intermittent hypoxia (CIH) and one-kidney, one
clip (1K, 1C) experimental models lead to sympathetic overactivity and
hypertension. We hypothesized that previous exposure to CIH potentiates the
development of 1K, 1C renal hypertension. Male rats were divided into the
following four groups: Control-1K, 1C, maintained under normoxia followed by 1K,
1C surgery (n = 19); Control-Sham, maintained under normoxia, followed by sham
surgery (n = 19); CIH-1K, 1C, exposed to CIH (10 days) and 1K, 1C surgery (n =
19); and CIH-Sham, exposed to CIH and sham surgery (n = 18). Animals were
catheterized 8 days after 1K, 1C or Sham surgeries and cardiovascular and
respiratory parameters recorded on the following day. Baseline mean arterial
pressure was higher in Control-1K, 1C than in Control-Sham rats (P < 0.05) and
was higher in CIH-1K, 1C than in CIH-Sham rats (P < 0.05). However, the increase
in mean arterial pressure in CIH-1K, 1C animals was significantly blunted in
comparison to Con-1K, 1C rats (P < 0.05), indicating that previous exposure to
CIH attenuates the development of renal hypertension. Systemic administration of
hexamethonium, a ganglionic blocker, promoted a larger hypotensive response in
Con-1K, 1C compared with CIH-1K, 1C rats (P < 0.05), suggesting that sympathetic
activity was attenuated in rats previously exposed to the CIH protocol. In
addition, removal of the carotid bodies before 1K, 1C renal hypertension
eliminated the protective effect of CIH preconditioning on the development of the
1K, 1C hypertension. We conclude that previous exposure to CIH attenuates the
development of renal hypertension via a carotid body-dependent mechanism.
PMID- 29359405
TI - Low-temperature, Low-Energy, and High-Efficiency Pretreatment Technology for
Large Wood Chips with a Redox Couple Catalyst.
AB - The pretreatment of lignocellulosic biomass plays a vital role in the conversion
of cellulosic biomass to bioethanol, especially for softwoods and hardwoods.
Although many pretreatment technologies have been reported so far, only a few
pretreatment methods can handle large woodchips directly. To improve the
efficiency of pretreatment, existing technologies require the grinding of the
wood into small particles, which is an energy-consuming process. Herein, for the
first time, we report a simple, effective, and low-temperature (~100 degrees C)
process for the pretreatment of hardwood (HW) and softwood (SW) chips directly by
using a catalytic system of FeCl3 /NaNO3 (FCSNRC). The pretreatment experiments
were conducted systematically, and a conversion of 71.53 and 70.66 % of cellulose
to sugar could be obtained for the direct use of large HW and SW chips. The new
method reported here overcomes one of the critical barriers in biomass-to-biofuel
conversion, and both grinding and thermal energies can be reduced significantly.
PMID- 29359404
TI - Heterogeneity of memory B cells.
AB - Potential solid organ transplant recipients broadly sensitized to HLA have long
wait times, low transplant rates and poor outcomes. The new kidney allocation
system has improved access to the most highly sensitized recipients; however,
their long-term outcomes are unknown. Emerging data suggest that memory B cell
repertoire is broader than the plasma cell repertoire, therefore, despite
refinements in anti-HLA antibody detection technology, donor-specific HLA-
specific memory B cells may in fact be present in some, if not most, highly
sensitized recipients with no detectable donor-specific antibodies. In addition,
new findings have underscored the heterogeneity in memory B cell generation, and
in the signals that determine memory versus plasma cell fate during primary
antigen encounter, as well as memory B cell differentiation upon antigen
reencounter into plasma cells or reentry into germinal centers to subsequently
emerge as higher affinity and class-switched plasma cells. Thus, heterogeneity
memory B cells generation may affect the efficacy of specific immunomodulation
during the recall response. We propose that the ability to quantify donor
specific B cell in transplant recipients is urgently required to provide insights
into the mechanisms of sensitization and recall, and for the early detection of
acute and chronic AMR.
PMID- 29359406
TI - Validation of the V-RESOLVE (Visual Estimation for Risk prEdiction of Side Branch
OccLusion in Coronary Bifurcation interVEntion) score system.
AB - OBJECTIVES: This study sought to validate the V-RESOLVE score system. BACKGROUND:
The V-RESOLVE score was developed to predict the risk of side branch (SB)
occlusion after stenting in the main vessel (MV) of coronary bifurcation lesions
based on visual estimation of the angiographic data, but it needed to be
validated. METHODS: From January to June 2013, 1,286 patients with 1,820
bifurcation lesions undergoing elective intervention with provisional strategy
were included. Angiographic data before MV stenting were reviewed, and the V
RESOLVE score was calculated. SB occlusion was defined as any decrease in
thrombolysis in myocardial infarction (TIMI) flow grade or the absence of flow in
the SB after MV stenting. The statistical performance of the prediction model was
assessed by its discrimination, calibration, and clinical usefulness. RESULTS: SB
occlusion occurred in 222 (12.20%) of 1,820 bifurcation lesions. The
discrimination of the V-RESOLVE score for the validation cohort was good [C
statistic: 0.80, 95% confidence interval (CI) 0.77-0.84]. Regarding calibration
performance, the calibration-in-the-large was -0.03 (95% CI: -0.181 to 0.12),
while the combined predictive effect was slightly enlarged (calibration slope:
1.25, 95% CI: 1.081-1.41) and, mainly attributed to the stronger predictive
effect of the diameter stenosis of the SB before MV stenting. Stratified by the V
RESOLVE score, the SB occlusion rate was significantly higher in the high-risk
group (26.18%) than in the non-high-risk group (3.48%). CONCLUSIONS: The V
RESOLVE score system is a useful tool to help risk prediction for SB occlusion
and decision-making in bifurcation intervention.
PMID- 29359408
TI - The "double stingray technique" for recanalizing chronic total occlusions with
bifurcation at the distal cap.
AB - Antegrade dissection re-entry is often discouraged for chronic total occlusions
(CTOs) with a bifurcation at the distal cap due to risk of side branch occlusion
that can lead to periprocedural myocardial infarction and incomplete
revascularization. Antegrade dissection re-entry, however, is often needed,
especially in complex cases. We present the novel "double Stingray technique" for
CTOs involving bifurcations, in which the Stingray system is used twice for re
entry into both vessel branches, followed by two-stent bifurcation stenting to
maintain the patency of both branches.
PMID- 29359407
TI - The T-cell fingerprint of MALT1 paracaspase revealed by selective inhibition.
AB - Mucosa-associated lymphoid tissue lymphoma translocation protein 1 (MALT1) is
essential for immune responses triggered by antigen receptors but the
contribution of its paracaspase activity is not fully understood. Here, we
studied how MALT1 proteolytic function regulates T-cell activation and fate after
engagement of the T-cell receptor pathway. We show that MLT-827, a potent and
selective MALT1 paracaspase inhibitor, does not prevent the initial phase of T
cell activation, in contrast to the pan-protein kinase C inhibitor AEB071.
However, MLT-827 strongly impacted cell expansion after activation. We
demonstrate this is the consequence of profound inhibition of IL-2 production as
well as reduced expression of the IL-2 receptor alpha subunit (CD25), resulting
from defective canonical NF-kappaB activation and accelerated mRNA turnover
mechanisms. Accordingly, MLT-827 revealed a unique transcriptional fingerprint of
MALT1 protease activity, providing evidence for broad control of T-cell signaling
pathways. Altogether, this first report with a potent and selective inhibitor
elucidates how MALT1 paracaspase activity integrates several T-cell activation
pathways and indirectly controls gamma-chain receptor dependent survival, to
impact on T-cell expansion.
PMID- 29359409
TI - Supra-annular valve strategy for an early degenerated transcatheter balloon
expandable heart valve.
AB - Currently, there are no recommendations regarding the selection of valve type for
a transcatheter heart valve (THV)-in-THV procedure. A supra-annular valve design
may be superior in that it results in a larger effective orifice area and may
have a lower chance of valve thrombosis after THV-in-THV. In this report, we
describe the use of a supra-annular valve strategy for an early degenerated THV.
PMID- 29359410
TI - Reply to the letter regarding our manuscript 'Development and internal validation
of the Simplified Mortality Score for the Intensive Care Unit (SMS-ICU)'.
PMID- 29359411
TI - Parainfluenza virus type 3 outbreak in a neonatal intensive care unit.
AB - Parainfluenza virus (PIV) is a respiratory pathogen in young children and is
second only to the respiratory syncytial virus (RSV) as a cause of lower
respiratory tract infection. PIV type 3 (PIV3) is the most severe. Herein we
describe an outbreak of PIV3 in three infants in a neonatal intensive care unit.
They were diagnosed on virus culture from pharyngeal swabs. We prevented the
spread of the virus using standard infection control procedures and isolation of
the symptomatic infants. One infant had severe chronic lung disease and was
complicated with recurrent wheezing for a long time. Because RSV and PIV have
many structural, pathogenic, epidemiologic, and clinical similarities, we
speculate that PIV infection causes recurrent wheezing, as observed with RSV
infection. Therefore, physicians must consider recurrent wheezing at the time of
treatment of PIV infection early in life.
PMID- 29359412
TI - Influences of dietary supplementation with Lepidium meyenii (Maca) on stallion
sperm production and on preservation of sperm quality during storage at 5
degrees C.
AB - Stallion semen is damaged by oxidative stress during cooling and transport. Semen
processing and extenders have been tested to improve the fertilizing capacity of
semen and to preserve semen during transport. Dietary supplementation with
natural antioxidants has been proposed to prevent oxidative damages. In this
study, for the first time, the effect of dietary supplementation with Lepidium
meyenii (Maca) on the characteristics of fresh and chilled stallion semen was
evaluated. Maca is a traditional Andean crop used as a nutraceutical for the
fertility-enhancing properties that are linked with antioxidant activity. The
diet of five stallions was supplemented with 20 g of Maca powder daily for a
total of 60 days. A control group of five stallions received the same diet
without Maca. Semen was collected once before the administration of Maca (D0),
twice during the administration at 30 and 60 days (D30 and D60), and finally
twice at 30 and 60 days after the end of the administration (D90 and D120).
Ejaculates were processed for cooled shipping at 5 degrees C and evaluated in
the laboratory for total and progressive motility, acrosome integrity, and lipid
peroxidation after collection and after 24, 48, and 72 h of storage. Dietary
supplementation with Maca improved sperm concentration (from 213 +/- 80.4 to 447
+/- 73.1 * 106 spz/mL) and total sperm count (from 10,880 +/- 4377 to 24,783 +/-
4419 * 106 spz). The beneficial effects of Maca supplementation on motility and
acrosome integrity in the raw semen were detected from the end of treatment with
Maca (D60) until the end of the study (D120). Furthermore, during cooling
storage, total motility, progressive motility, and acrosome integrity declined
more slowly in the Maca-treated group than in the control group. Lipid
peroxidation did not change during cooling storage in either group and did not
show a significant difference between the two groups. In this study, the dietary
supplementation with Maca increased sperm production and stabilized semen quality
during chilled storage.
PMID- 29359413
TI - Effects of chronic ketamine on hippocampal cross-frequency coupling: implications
for schizophrenia pathophysiology.
AB - Disrupted neuronal oscillations have been identified as a potentially important
biomarker for the perceptual and cognitive symptoms of schizophrenia. Emerging
evidences suggest that interactions between different frequency bands, cross
frequency coupling (CFC), serve an important role in integrating sensory and
cognitive information and may contribute to disease pathophysiology. In this
study, we investigated the effects of 14-day consecutive administration of
ketamine (30 mg/kg i.p.) vs. saline on alterations in amplitude and changes in
the coupling of low-frequency (0-30 Hz) phase and high-frequency (30-115 Hz)
amplitude in the CA1 hippocampus of Long Evans rats. Intracranial electrode
recordings were conducted pre- and post-injection while the animals performed a
foraging task on a four-arm rectangular maze. Permutation analysis of frequency
band-specific change in amplitudes revealed between-group differences in theta (6
12 Hz) and slow gamma (25-50 Hz) but not fast gamma (65-100 Hz) bands at both
slow and fast speeds. Chronic ketamine challenge resulted in decreased coupling
(pre to post) at slow speeds but increased coupling at faster speeds, compared to
either no or modest increased coupling in the saline group. These results
demonstrate that chronic ketamine administration alters the interaction of low
frequency phase and high-frequency oscillations chronically and that such
coupling varies as a function of locomotive speed. These findings provide
evidence for the potential relevance of CFC to the pathophysiology of
schizophrenia.
PMID- 29359414
TI - Maternal occupational cadmium exposure and nonsyndromic orofacial clefts.
AB - BACKGROUND: Cigarette smoking is a well-studied risk factor for orofacial clefts
(OFCs). Little is known about which constituents in cigarette smoke contribute to
this teratogenicity in humans. One constituent, cadmium, has been associated with
OFCs in animal studies; in humans, the role of maternal cadmium exposure on OFCs,
independent of cigarette smoke, is unclear. In particular, the relation between
maternal occupational cadmium exposure and OFCs is largely unexplored. METHODS:
Using data from a large, population-based case-control study, we compared expert
rater assessed maternal occupational cadmium exposure from self-reported
occupational histories during the period 1 month before through 3 months after
conception between OFC cases (n = 1,185) and unaffected controls (n = 2,832).
Multivariable logistic regression analyses were used to estimate adjusted odds
ratios (aORs) and 95% confidence intervals for any (yes/no) and cumulative (no,
low, high exposure) occupational cadmium exposures and all OFCs, cleft lip +/-
cleft palate (CL/P) and cleft palate (CP). RESULTS: Overall, 45 mothers (cases =
13, controls = 32) were rated as having occupational cadmium exposure. Comparing
all OFCs to controls, we observed inverse, nonsignificant aORs for any or low
exposure, and positive, nonsignificant aORs for high exposure. Where data were
available, aORs for CL/P and CP tended to parallel those for all OFCs.
CONCLUSION: To our knowledge, this is the first study to specifically examine
maternal occupational cadmium exposure and OFCs, using expert rater exposure
assessment. The small numbers of exposed mothers observed, however, led to
imprecise estimates. Continued research using more detailed occupational exposure
assessment and increased sample sizes is recommended.
PMID- 29359416
TI - Hospital Policy and Productivity - Evidence from German States.
AB - Total factor productivity (TFP) growth allows for additional healthcare services
under restricted resources. We examine whether hospital policy can stimulate
hospital TFP growth. We exploit variation across German federal states in the
period 1993-2013. State governments decide on hospital capacity planning (number
of hospitals, departments, and beds), ownership, medical students, and hospital
investment funding. We show that TFP growth in German hospital care reflects
quality improvements rather than increases in output volumes. Second-stage
regression results indicate that reducing the length of stay is generally a
proper way to foster TFP growth. The effects of other hospital policies depend on
the reimbursement scheme: Under activity-based (German Diagnosis-related Group)
hospital funding, scope-related policies (privatization and specialization) come
with TFP growth. Under fixed daily rate funding, scale matters to TFP (hospital
size and occupancy rates). Differences in capitalization in East and West Germany
allow to show that deepening capital may enhance TFP growth if capital is scarce.
We also show that there is less scope for hospital policies after large-scale
restructurings of the hospital sector. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 29359415
TI - Interprofessional education: evaluation of a radiation therapy and medical
physics student simulation workshop.
AB - INTRODUCTION: Interprofessional education (IPE) involves two or more professions
engaged in learning with, from and about each other. An initiative was undertaken
to explore IPE for radiation therapy (RT) and medical physics (MP) students
through a newly developed workshop based around simulated learning. The aims of
this study were to explore RT and MP students' perceptions of working as part of
a collaborative team and of their own and the other group's professional roles.
Student perceptions of the simulation education tool, the virtual environment for
radiotherapy training (VERT) system, were also investigated. METHODS: RT and MP
students were invited to participate in a 4-hour interprofessional workshop. Pre-
and post-workshop surveys were employed to collect demographic data, students'
perceptions of interdisciplinary education (interdisciplinary education
perception scale (IEPS)) and workshop evaluation (bespoke questionnaire).
RESULTS: Fifteen students attended the workshop (RT, n = 8; MP, n = 7). Thirteen
pre- and post-questionnaires were returned (Pre-questionnaire: RT, n = 6,
response rate, 75%; MP, n = 7, response rate, 100%; post-questionnaire: RT, n =
7, response rate, 87.5%; MP, n = 6, response rate 85.7%). For both student groups
combined, IEPS scores ranged from 64 to 108 and 71 to 108 in the pre- and post
questionnaires, respectively, with insignificant differences in the mean scores
post-intervention (Z = -1.305, P = 0.192). Satisfaction with VERT as a simulation
tool was high for both student groups. CONCLUSIONS: The interprofessional student
workshop served to promote interprofessional collaboration for RT and MP
students. VERT was reported as an appropriate education tool for this purpose,
enabling access to virtual clinical equipment common to both student groups. It
is suggested that IPE continues to be offered and investigated in RT and MP
students, in order to improve effective interprofessional strategies which may
enrich future professional collaboration.
PMID- 29359417
TI - Real-world experience with use of Antihemophilic Factor (Recombinant), PEGylated
for prophylaxis in severe haemophilia A.
AB - INTRODUCTION: Prophylaxis with extended half-life factor VIII (FVIII) is approved
for haemophilia A, but data regarding routine clinical use are limited. AIM: To
assess real-world experience of ADYNOVATE(r) (Antihemophilic Factor
(Recombinant), PEGylated prophylaxis in children and adults with haemophilia A.
METHODS: A retrospective chart review was conducted in three US haemophilia
treatment centres. Records of all patients who began Adynovate prophylaxis in
routine clinical practice were identified. Demographic, clinical and patient
reported information beginning 6 months before initiation of Adynovate until the
record review was analysed. RESULTS: Fifteen patients (aged 9 months to 28
years), with median 9 months' use of Adynovate (range 1-15 months), were
identified. All had switched from another prophylactic regimen, 13 (87%) from
standard half-life recombinant FVIII. Nine (60%) patients had >=1 bleed within 6
months preswitch. The most frequent reason for switching was to reduce infusion
frequency (14 patients). After switching, infusion frequency reduced for 13
patients, and overall weekly factor consumption decreased by 19%. Eight (53%)
patients had no bleeds postswitch, three (20%) had spontaneous joint bleeds (vs
four pre-switch), and three (20%) had only mild traumatic bleeds.
Patient/parental satisfaction with Adynovate was documented as positive in 13 of
15 (87%) cases; 2 patients were not satisfied and discontinued Adynovate. No
adverse events were considered related to Adynovate. CONCLUSION: In patients who
switched from a standard half-life FVIII to Adynovate prophylaxis in routine
clinical practice, bleeding control was generally improved or maintained, with a
lower infusion frequency and factor consumption in most patients.
PMID- 29359418
TI - Effective shunt closure for pulmonary hypertension and liver dysfunction in
congenital portosystemic venous shunt.
AB - OBJECTIVE: Congenital portosystemic venous shunt (CPSVS) is a rare vascular
malformation with a high risk of mortality from pulmonary arterial hypertension
(PAH), but the treatment outcome of CPSVS closure remains elusive. Our aim was to
investigate the clinical features and establish the optimal management of CPSVS
with or without PAH. METHODS: Twenty-four patients with CPSVS treated in Kyushu
University Hospital between 1990 and 2015 were enrolled in this study. The
patients were divided into a PAH group (n = 9) and a non-PAH group (n = 15).
Clinical characteristics and outcomes were evaluated. RESULTS: The first
manifestation of CPSVS at diagnosis (28.5 [1-216] months) was hypergalactosemia
in 13 (54%) or PAH in six (25%) patients. PAH was the cause of all three deaths.
The PAH group had higher levels of serum total bile acid, manganese, and total
bilirubin, along with higher pulmonary vascular resistance index (PVRI) than the
non-PAH group (7.2 [5.1-38.1] vs 1.2 [0.5-3.3] unit/m2 , P < 0.001). Five of nine
PAH patients underwent CPSVS closure at a median of 38 months (range 21-118)
after PAH diagnosis. Pulmonary artery pressure improved after CPSVS closure with
PAH-specific therapy, but normal range was not achieved. CPSVS closure improved
the hepatic synthetic function of four PAH patients. Eigh-t of 15 non-PAH
patients who received CPSVS closure did not develop PAH for a median of 34.5
months (range 6-164) after the procedure. CONCLUSIONS: CPSVS closure with PAH
specific therapy successfully controlled PAH. Early CPSVS closure may prevent the
occurrence and progression of PAH with CPSVS.
PMID- 29359419
TI - Pluripotency Deconstructed.
AB - Pluripotency denotes the flexible capacity of single cells to give rise to all
somatic lineages and typically also the germline. Mouse ES cells and post
implantation epiblast-derived stem cells (EpiSC) are widely used pluripotent cell
culture systems. These two in vitro stem cell types have divergent
characteristics. They are considered as representative of distinct developmental
stages, distinguished by using the terms "naive" and "primed". A binary
description is an over-simplification, however. Here, we discuss an intermediate
stage of pluripotency that we term "formative". Formative pluripotency features a
gene regulatory network switch from the naive state and comprises capacitation of
enhancers, signaling pathways and epigenetic machinery in order to install
competence for lineage specification.
PMID- 29359420
TI - Copper-Catalyzed Highly Stereoselective Trifluoromethylation and
Difluoroalkylation of Secondary Propargyl Sulfonates.
AB - It is challenging to stereoselectively introduce a trifluoromethyl group (CF3 )
into organic molecules. To date, only limited strategies involving direct
asymmetric trifluoromethylation have been reported. Herein, we describe a new
strategy for direct asymmetric trifluoromethylation through the copper-catalyzed
stereospecific trifluoromethylation of optically active secondary propargyl
sulfonates. The reaction enables propargylic trifluoromethylation with high
regioselectivity and stereoselectivity. The reaction could also be extended to
stereospecific propargylic difluoroalkylation. Transformations of the resulting
enantiomerically enriched fluoroalkylated alkynes led to a variety of chiral
fluoroalkylated compounds, thus providing a useful protocol for applications in
the synthesis of fluorinated complexes.
PMID- 29359421
TI - Acute myelitis associated with human herpesvirus 7 infection.
PMID- 29359422
TI - Asthma breathomics-promising biomarkers in need of validation.
PMID- 29359423
TI - Sense and Sensitivity: A Response to the Commentary by Keller et al. (2018).
AB - This reply to the commentary by Keller et al. (2018) on the article "Universality
Without Uniformity: A Culturally Inclusive Approach to Sensitive Responsiveness
in Infant Caregiving" (Mesman et al., ) highlights key points of agreement
emphasizing the sense of investing in synergies across research traditions. These
include the importance of distinguishing between different parenting constructs,
the need for more studies to test the presented theoretical assumptions, and the
value of examining multiple caregiver sensitivity in relation to infants'
developing membership of a community. The only point of disagreement reflects the
rigidity versus flexibility of the sensitivity construct. This reply argues that
it is exactly the versatility of the sensitivity construct that makes it a
valuable building block for bridges between fields.
PMID- 29359424
TI - Exclusion of adrenoceptor alpha 2 variants in a horse insensitive to
medetomidine.
PMID- 29359425
TI - Mechanism underlying the effect of long-term exposure to low dose of pesticides
on DNA integrity.
AB - Pesticides, including herbicides, insecticides and fungicides, are widely used in
intensive agriculture. Recently, the long-term effects of pesticide exposure were
found to be associated with many diseases. In this study, we evaluated the long
term effect of low-level exposure to a mixture of pesticides on DNA damage
response (DDR) in relation to individual detoxifying variability. A residential
population chronically exposed to pesticides was enrolled,
biological/environmental pesticide levels; paroxonase 1 (PON-1) activity and 192
Q/R polymorphism and DDR were evaluated at three different periods of pesticide
exposure. OGG1-dependent DNA repair activity was decreased in relation to
pesticide exposure. The increase of DNA lesions and pesticide levels in the
intensive pesticide-spraying period was independent on PON-1 activity. Next,
human bronchial epithelial and neuronal cells were used as a model for in vitro
evaluation of the mechanistic effect of pesticides. Pesticides induced
mitochondrial dysfunction leading to ROS formation. ROS from mitochondria induced
DNA damage, which in turn induced OGG1-dependent DNA repair activity through 8
oxoguanine DNA glycosylase 1 (OGG1) expression and activation. Even though OGG1
was overexpressed, an inhibition of its activity, associated with DNA lesion
accumulation, was found at prolonged pesticide-exposure. A post-translational
regulation of OGG1 by pesticide may be postulated. Taken together, long-term
exposure to low-levels of pesticides affects DDR resulting in accumulation of DNA
lesions that eventually may lead to cancer or neurological disorders.
PMID- 29359426
TI - Ward nurses' experiences of the discharge process between intensive care unit and
general ward.
AB - BACKGROUND: Intensive care unit (ICU) discharges are challenging practices that
carry risks for patients. Despite the existing body of knowledge, there are still
difficulties in clinical practice concerning unplanned ICU discharges,
specifically where there is no step-down unit. AIMS AND OBJECTIVES: The aim of
this study was to explore general ward nurses' experiences of caring for patients
being discharged from an ICU. DESIGN AND METHODS: Data were collected from focus
groups and in-depth interviews with a total of 16 nurses from three different
hospitals in Sweden. An inductive qualitative design was chosen. FINDINGS: The
analysis revealed three themes that reflect the challenges in nursing former ICU
patients: a vulnerable patient, nurses' powerlessness and organizational
structure. The nurses described the challenge of nursing a fragile patient based
on several aspects. They expressed feeling unrealistic demands when caring for a
fragile former ICU patient. The demands were related to their own profession and
knowledge regarding how to care for this group of patients. The organizational
structure had an impact on how the nurses' caring practice could be realized.
This evoked ethical concerns that the nurses had to cope with as the
organization's care guidelines did not always favour the patients. CONCLUSIONS:
The structure of the organization and its leadership appear to have a significant
impact on the nurses' ability to offer patients the care they need. RELEVANCE TO
CLINICAL PRACTICE: This study sheds light on the need for extended outreach
services and intermediate care in order to meet the needs of patients after the
intensive care period.
PMID- 29359427
TI - Tobit regression for modeling mean survival time using data subject to multiple
sources of censoring.
AB - Mean survival time is often of inherent interest in medical and epidemiologic
studies. In the presence of censoring and when covariate effects are of interest,
Cox regression is the strong default, but mostly due to convenience and
familiarity. When survival times are uncensored, covariate effects can be
estimated as differences in mean survival through linear regression. Tobit
regression can validly be performed through maximum likelihood when the censoring
times are fixed (ie, known for each subject, even in cases where the outcome is
observed). However, Tobit regression is generally inapplicable when the response
is subject to random right censoring. We propose Tobit regression methods based
on weighted maximum likelihood which are applicable to survival times subject to
both fixed and random censoring times. Under the proposed approach, known right
censoring is handled naturally through the Tobit model, with inverse probability
of censoring weighting used to overcome random censoring. Essentially, the re
weighting data are intended to represent those that would have been observed in
the absence of random censoring. We develop methods for estimating the Tobit
regression parameter, then the population mean survival time. A closed form large
sample variance estimator is proposed for the regression parameter estimator,
with a semiparametric bootstrap standard error estimator derived for the
population mean. The proposed methods are easily implementable using standard
software. Finite-sample properties are assessed through simulation. The methods
are applied to a large cohort of patients wait-listed for kidney transplantation.
PMID- 29359428
TI - Assessment of mechanical properties of human head tissues for trauma modelling.
AB - Many discrepancies are found in the literature regarding the damage and
constitutive models for head tissues as well as the values of the constants
involved in the constitutive equations. Their proper definition is required for
consistent numerical model performance when predicting human head behaviour, and
hence skull fracture and brain damage. The objective of this research is to
perform a critical review of constitutive models and damage indicators describing
human head tissue response under impact loading. A 3D finite element human head
model has been generated by using computed tomography images, which has been
validated through the comparison to experimental data in the literature. The
threshold values of the skull and the scalp that lead to fracture have been
analysed. We conclude that (1) compact bone properties are critical in skull
fracture, (2) the elastic constants of the cerebrospinal fluid affect the
intracranial pressure distribution, and (3) the consideration of brain tissue as
a nearly incompressible solid with a high (but not complete) water content offers
pressure responses consistent with the experimental data.
PMID- 29359429
TI - Matriarchy, Buddhism, and food security in Sanephong, Thailand.
AB - Sanephong is a matriarchal Karen community located in western Thailand. The
community benefits greatly from the availability of local foods, such as cereals,
tubers, wild vegetables, mushrooms, fruits, and animals. In the first phase of
this project, 387 distinct local foods were identified, which were shown to be
good sources of energy, protein, and vitamins. Despite the availability of a
variety of nutritious local foods, the majority of households surveyed expressed
concern over a decline in local foods due to changing socio-economic and
environmental conditions. This study used a qualitative research approach to look
at the dual influences of matriarchy and Buddhism on food security in the
community. Through this approach, matriarchal values central to the community
were adopted as a framework; these included care, consensus, collaboration, and
cosmological respect. In Sanephong, women are central to life in the community,
and matriarchal cultural practices reflect a nurturing spirit-for both the earth
and family. The community practices Buddhism, which is very complementary to the
matriarchal system. A type of gift economy within the Buddhist context, known as
dhana, transfers food from the wealthy to the poor with no expectation of
reciprocity. Consequently, matriarchy and Buddhism jointly promote food security
in the community. Studies of matriarchal societies help society-at-large to
understand the potential benefits of systems that contrast the current
patriarchal paradigm.
PMID- 29359430
TI - Welcome from the International Union of Nutritional Sciences.
PMID- 29359431
TI - Mother and child nutrition among the Chakhesang tribe in the state of Nagaland,
North-East India.
AB - Despite the importance of the nutritional status and food systems of Indigenous
Peoples, the subject has received very little attention, especially in North-East
India. Therefore, a community-based cross-sectional descriptive study was carried
out among Chakhesang mothers with children under 5 years of age to evaluate their
nutritional status and prevalence of chronic diseases in the context of their
dietary habits. From 558 households (HHs), 661 children and 540 mothers were
covered using standard anthropometric measurements as well as blood collection
for haemoglobin and vitamin A. Data were collected from mothers on HH socio
demographic particulars and infant and young child feeding practices. The results
showed that the prevalence of underweight, stunting, and wasting among children
<5 years of age was 14%, 22%, and 7%, respectively. The prevalence of anaemia and
vitamin A deficiency was 26% and 33% among children <5 years, whereas it was 33%
and 26%, respectively, among mothers. Hypertension was observed in 16% of women,
whereas diabetes was seen in 0.8%. Approximately 35% and 24% of HHs suffered mild
or moderate food insecurity, respectively, which was associated with literacy of
the parents, per capita income, and family size. Utilization of the rich
agrobiodiversity and wild foods by the Chakhesangs appears to be a strong reason
for their better nutritional and health status as compared to the rest of India.
Therefore, this Indigenous knowledge and food system must be documented and kept
vital, especially in policies and intervention programmes addressing food and
nutrition security among the Chakhesangs.
PMID- 29359432
TI - Gender roles, food system biodiversity, and food security in Indigenous Peoples'
communities.
AB - Traditional knowledge and practice of Indigenous Peoples related to their food
use and well-being is a wealth of information for academic study and for public
health nutrition. Despite unique long-evolved heritages of knowledge of ecosystem
resources, Indigenous Peoples comprise 15% of the global poor, but only 5% of the
world's population, and they experience poverty, discrimination, and poor
nutritional health at far greater rates than mainstream populations in their
nations of residence. These disparities are unacceptable in all human rights
frameworks, and the call to alleviate them resonates through all human
development programmes and the United Nations organizations. The scholars
contributing to this special issue of Maternal and Child Nutrition describe how
gender roles and the right to food for several cultures of Indigenous Peoples can
be fostered to protect their unique foods and traditions, providing food
sovereignty and food and nutrition security benefits, especially for women and
children. Aspects of societal maternal or paternal lineality and locality,
division of labour, spirituality and decision-making are described. These factors
structure the impact of gender roles with Indigenous worldviews on the dynamics
of family food access, its availability and use, and the use of local food
biodiversity. Cultures of Indigenous Peoples in Ecuador, Nigeria, Thailand,
India, Canada, Japan, and Morocco are discussed. This publication is a work of
the Task Force on Traditional, Indigenous and Cultural Food and Nutrition of the
International Union of Nutritional Sciences.
PMID- 29359433
TI - Indigenous Peoples' food systems, nutrition, and gender: Conceptual and
methodological considerations.
AB - Indigenous Peoples, especially women and children, are affected
disproportionately by malnutrition and diet-related health problems. Addressing
this requires an investigation of the structural conditions that underlie unequal
access to resources and loss of traditional lifestyles and necessitates inclusive
approaches that shed light onto these issues and provide strategies to leverage
change. Indigenous Peoples' food systems are inextricably connected to land,
which in turn is interwoven with issues of self-determination, livelihoods,
health, cultural and spiritual heritage, and gender. Ongoing loss of land and the
dominant agri-food model further threaten Indigenous Peoples' food systems.
Continuing gender-based discrimination undermines the self-determination and
rights of women and negatively impacts their health, nutritional status, and
overall well-being, as well as the well-being of households and communities. We
suggest that feminist political ecology and modern matriarchal studies provide
holistic interlinking frameworks for investigating underlying issues of power and
inequality. We further argue that a focus on the principles of respect,
responsibility, and relationships, and an openness to different worldviews, can
facilitate a bridging of Indigenous and Western approaches in research and
community action conducted in partnership with Indigenous Peoples. This can
contribute to creating new ways of knowing regarding Indigenous Peoples' food
systems, equally valuing both knowledge systems. Indigenous Peoples' rights,
right to food, and food sovereignty are frames that, despite some tensions, have
the common goal of self-determination. Through their ability to inform, empower,
and mobilize, they provide tools for social movements and communities to
challenge existing structural inequalities and leverage social change.
PMID- 29359434
TI - Changing gender roles and relations in food provisioning among matrilineal Khasi
and patrilineal Chakhesang Indigenous rural People of North-East India.
AB - Women's position in society, gender roles, and gender division of labour affect
household food security, dietary diversity, nutritional status, and well-being of
all household members, especially children. Building on both primary and
secondary data, this study explores gender roles and relations in food
provisioning among the North-East India Indigenous matrilineal Khasi and
patrilineal Chakhesang Peoples, amid societal transition. With the use of a
combination of ethnographic and ethnobotanical research tools, a total number of
200 informants participated in 20 focus group discussions and 28 key informant
interviews. The feminist political ecology framework was used to analyse the
structural power relations influencing gender food-provisioning labour. Results
show that both matrilineal and patrilineal women play equally crucial roles in
agrobiodiversity management, subsistence agricultural production, and household
food provisioning. However, customary laws shape different gender relations,
women's status, and appreciation of women's work in the two societies. Gender
roles appeared more flexible in the matrilineal society and more clearly defined
in the patrilineal society, and gender relations more egalitarian among the
Khasis while more hierarchical among the Chakhesangs. Household food-provisioning
work and engagement in agricultural production did not seem to positively
contribute to the social status of Chakhesang women, because these were expected
as structural elements of the patriarchy. Current socio-cultural and economic
changes in both Indigenous societies have altered the traditional food system,
traditional livelihoods, and resource management practices, affecting women's
role in household food provisioning and leading to the deterioration of women's
status, influencing household dietary diversity, food, and nutritional security.
PMID- 29359435
TI - Photographic Section.
PMID- 29359436
TI - Gender roles, family relationships, and household food and nutrition security in
Ohafia matrilineal society in Nigeria.
AB - This study examined gender roles, family relationships, food security, and
nutritional status of households in Ohafia: a matrilineal society in Nigeria. A
cross-sectional descriptive study was conducted. Multistage sampling technique
was used to select 287 households from three villages: Akanu, Amangwu, and Elu.
Qualitative and quantitative data collection methods were adopted, namely, focus
group discussions and questionnaires. Anthropometric measurements (height and
weight for mothers and children and Mid-Upper Arm Circumference for young
children) were taken using standard techniques. The body mass index of women was
calculated. All nutritional indices were compared with reference standards. Food
insecurity was assessed using the Household Hunger Scale and Dietary Diversity
Score, then analysed using the Statistical Product for Service Solution version
21. Data analysis used descriptive statistics. Most (91.2%) of the respondents
were female. The matrilineal system known as ikwu nne or iri ala a nne
(inheritance through mothers' lineage) is still in place but is changing. One
important benefit of the system is the access to land by women. Whereas women
participated actively in agriculture, food preparation, and care of family, the
men were moving to off-farm activities. High prevalence of household food
insecurity (66%) and signs of malnutrition including moderate to severe stunting
(48.4%) and wasting (31.7%) in children, household hunger (34.5%), and overweight
(27.5%) and obesity (19.2%) among mothers were observed. These communities
urgently need gender sensitive food and nutrition interventions.
PMID- 29359438
TI - Mothers' groups enrich diet and culture through promoting traditional Quichua
foods.
AB - Indigenous Peoples in Latin America bear a disproportionate burden of
undernutrition, yet traditional foods, including wild leafy greens, can
contribute nutritional value to diets. As part of a community nutrition
intervention using local foods in highland Tungurahua, Ecuador, mothers' groups
promoted the consumption of wild leafy greens through community cooking clubs and
recipe competitions at local fairs. The objective was to assess the social,
cultural, and nutritional potential of a mothers' club intervention that promoted
2 indigenous greens (stinging nettle/Urtica dioica L. and round-leaved dock/Rumex
obtusifolius L.) into children's diets. Key informant interviews and focus groups
were conducted with 54 mothers and 16 elders to identify perceptions of the
intervention and traditional foods. Social and cultural dimensions were
identified through content analysis. The nutritional contribution of the leafy
greens was estimated through semiquantitative food frequency questionnaires
conducted with 160 participant mothers and 98 mothers living in comparison
communities who had not been exposed to the intervention. The use of local foods
generated pride for mothers and elders. Nonfood uses of the nettle proved an
initial barrier to acceptance; however, peer support within mothers' groups
enabled increased consumption. The greens were estimated to contribute an
additional 8% vitamin A, 7% iron, 12% vitamin C, and 27% folate to children's
recommended dietary intakes. By promoting wild leafy greens, mothers' groups
improved food security and the cultural and nutritional value of their diets.
Additionally, mothers' cooking clubs increased self-efficacy and cultural
identity for Quichua women, offering a highly acceptable nutrition intervention
model.
PMID- 29359437
TI - Nutritional status, food insecurity, and biodiversity among the Khasi in
Meghalaya, North-East India.
AB - A community-based cross-sectional study was carried out with 603 children ages 5
and under and 500 of their mothers from 510 households to examine the prevalence
of undernutrition and chronic diseases among the Khasis of North-East India.
Anthropometric measurements including Mid-Upper Arm Circumference, height, and
weight were taken. Dry blood spots to estimate haemoglobin and vitamin A were
collected from children and women separately by finger prick. Mothers provided
data about household socio-demographic particulars and infant and young child
feeding practices. The prevalence in children of underweight was 31%, stunting
was 57%, and wasting was 10%. Undernutrition was higher among boys as compared to
girls. Nutrient intakes were below recommended levels. The prevalence of anaemia
among children ages 1 to 5 years old was 68%, and vitamin A deficiency was 59%,
and they were 83% and 48%, respectively, among women. Hypertension was observed
in 15% of women, whereas diabetes was less than 1%. Only about 20% of households
were food secure, and this was associated with parental literacy, per capita
income, and family size. Undernutrition was unacceptably high among the Khasis
despite rich food biodiversity. Proper implementation of nutritional intervention
programs such as Integrated Child Development Services, Mid Day Meal, and the
Public Distribution System will improve the nutrient intake and nutritional
status of the population. Additionally, preservation of forest lands and products
paired with judicious use of the rich food biodiversity available will promote
dietary diversity and ultimately better nutrition and health.
PMID- 29359439
TI - Reclaiming food security in the Mohawk community of Kahnawa:ke through
Haudenosaunee responsibilities.
AB - Indigenous Peoples are reclaiming their food security, nutrition, and well-being
by revitalizing food systems, livelihoods, knowledge-systems, and governance. Our
food security research is guided by sustainable self-determination that focuses
on restoring Indigenous cultural responsibilities and relationships to land, each
other, and the natural world (Corntassel, 2008). Our Kanien'keha:ka (Mohawk)
research team from Kahnawa:ke, in Quebec, Canada, examines food insecurity
experiences in our community to explore ways of upholding our Haudenosaunee
responsibilities and enhancing local food security. We collaboratively designed
the study and interviewed Kahnawakehro:non (people from the Kahnawake community)
with traditional knowledge, extensive community experience, and interests in food
and culture. Interviews were audio-recorded, transcribed, and analysed by the
team. Analysis characterized food insecurity experiences and conditions that
challenge and enable food security with attention to traditional food systems,
relationships to land, and gender-related responsibilities. Findings show that
communal responsibilities generate resilient strategies that provide for all in
times of crisis, and long-term food insecurity is managed through social
programs, organized charities, and family support. Enhancing food security
involves healing and protecting a limited land-base for food production,
integrating food production with community priorities for education, training,
health, economic development, and scientific innovation. Nurturing spiritual
connections with tionhnhehkwen (life sustaining foods), the natural world, and
each other calls for accelerated teaching and practicing our original
instructions. Challenges in developing food security leadership, balancing
capitalism and subsistence economies, and strengthening social relationships are
rooted in the historical colonial and current settler-colonial context that
disrupts all aspects of Kanien'keha:ka society.
PMID- 29359440
TI - Foreword.
PMID- 29359441
TI - Food security and women's roles in Moroccan Berber (Amazigh) society today.
AB - Traditionally, the Berber diet was part of a semiautarkic economy. The
suitability of the diet to the regional ecosystem has guaranteed food security
for the Berber tribes of Morocco and other countries of North Africa. As part of
a patriarchal model, Berber dietary patterns are historically embedded in a
social system where women's and men's roles are complementary at all stages of
food production, processing, and conservation. Women have played a dominant role
in the conservation of Berber dietary patterns through the preservation of
biodiverse seeds and local varieties, the transmission of the Berber language
through generations, and the sharing of knowledge on food, medicinal plants, and
cultural practices related to diet and food security. Political, social,
demographic, economic, and cultural factors have affected the Berber dietary
model and the role of women in its preservation. The shift from a semiautarkic
traditional model to a model within a market economy has led to food importation,
the erosion of culinary components such as wild edible plants and dietary
homogenization. Despite these changes and the associated nutrition transition,
the Berber diet remains a cultural heritage because of its rich diversity. Berber
women play a crucial role in the preservation and sustainability of Berber
culinary heritage and food security.
PMID- 29359442
TI - Transmitting Ainu traditional food knowledge from mothers to their daughters.
AB - Since 2004, research has been conducted in the Ainu Indigenous community of the
Saru River Region of Biratori in Northern Japan examining traditional food use
knowledge. The purpose was to improve the socio-cultural environment for the Ainu
People by implementing interventions meant to reintroduce traditional Ainu food
use, so that they can live with dignity and in harmony with non-Ainu people in
the heterogeneous community where Japanese cultural values dominate. Ten years
after the start of this research, a series of interviews was conducted with Ainu
mothers and daughters active in the community to evaluate the result of the
interventions because, in accordance with culturally established Ainu gender
roles, the Ainu women prepare the Ainu dishes. The interviews indicated that the
community of both Ainu and non-Ainu people shared traditional Ainu food as a
communal food at community events organized by the Ainu members of the community.
The people in the community now identify traditional Ainu dishes with Ainu names,
indicating the establishment of culinary and linguistic boundaries between Ainu
traditional food and mainstream Japanese food. This also signals that the Ainu
People have begun to establish a basis for reconstructing their unique ethnic
identity, once suppressed by the government's former assimilation policy.
PMID- 29359443
TI - Preface.
PMID- 29359444
TI - Three patients with Schaaf-Yang syndrome exhibiting arthrogryposis and
endocrinological abnormalities.
AB - MAGEL2 is the paternally expressed gene within Prader-Willi syndrome critical
region at 15q11.2. We encountered three individuals in whom truncating mutations
of MAGEL2 were identified. Patients 1 and 2, siblings born to healthy, non
consanguineous Japanese parents, showed generalized hypotonia, lethargy, severe
respiratory difficulty, poor feeding, and multiple anomalies including
arthrogryposis soon after birth. We carried out whole-exome sequencing, which
detected a MAGEL2 mutation (c.1912C>T, p.Gln638*, heterozygous). The patients'
father was heterozygous for the mutation. Patient 3 was a female infant, showed
respiratory difficulty reflecting pulmonary hypoplasia, generalized hypotonia,
feeding difficulty and multiple anomalies soon after birth. Targeted next
generation sequencing detected a novel heterozygous mutation in MAGEL2
(c.3131C>A, p.Ser1044*). This mutation was not found in the parents. MAGEL2
mutations, first reported to be the cause of the Prader-Willi like syndrome with
autism by Schaaf et al. (2013) Nature Genetics, 45: 1405-1408 show the wide range
of phenotypic spectrum from lethal arthrogryposis multiplex congenital to autism
spectrum disorder (ASD) and mild intellectual disability (ID). Our results
indicate that MAGEL2 mutations cause multiple congenital anomalies and
intellectual disability accompanied by arthrogryposis multiplex congenita and
various endocrinologic abnormalities, supporting that the view that clinical
phenotypes of MAGEL2 mutations are variable.
PMID- 29359445
TI - Not all choices are equal.
PMID- 29359446
TI - Trends and uptake of new formulations of controlled-release oxycodone in Canada.
AB - PURPOSE: This study investigated the impact of changing availability of tamper
deterrent and non-tamper-deterrent oxycodone on prescribing patterns of
controlled-release oxycodone across Canada. METHODS: We conducted a population
based, serial cross-sectional study of controlled-release oxycodone dispensing
from community pharmacies across Canada between October 2007 and April 2016. We
calculated rates of dispensing (tablets per 100 population) and reported the
relative market share of generic non-tamper-deterrent controlled-release
oxycodone. All analyses were reported nationally and stratified by province.
RESULTS: After the introduction of a tamper-deterrent formulation, the national
rate of controlled-release oxycodone dispensing fell by 44.6% (from 26.4 to 14.6
tablets per 100 population from February 2012 to April 2016). Between December
2012 and July 2013, there was moderate uptake of generic non-tamper-deterrent
controlled-release oxycodone (968 452 tablets; 16.0% in July 2013), which
appeared to have little impact on the overall rate of controlled-release
oxycodone dispensing in Canada. However, the uptake of generic non-tamper
deterrent oxycodone varied considerably by province. By April 2016, 55.0% of all
controlled-release oxycodone tablets dispensed in Quebec were for the generic
formulation. Elsewhere in Canada, this prevalence was less than 30%, ranging
between 1.6% (Prince Edward Island) and 26.9% (British Columbia) at the end of
our study period. CONCLUSIONS: The changing availability of tamper-deterrent and
non-tamper-deterrent formulations of controlled-release oxycodone in Canada has
had variable influence on the rate of use of these products across Canada. Future
research should explore whether the availability of generic controlled-release
oxycodone has led to measurable changes in the safety of oxycodone use in Canada.
PMID- 29359447
TI - Does distance to a cystic fibrosis center impact health outcomes?
AB - INTRODUCTION: This paper considers the impact of geographic distance from cystic
fibrosis centers on lung function in children, young adults, and adults with
cystic fibrosis. METHODS: Clinical patient-level data on 20 351 patients from
1986 to 2011 were evaluated from the Cystic Fibrosis Foundation National Patient
Registry. We measure distance using a patient's zip code centroid to the center
where they received care. A heteroscedastic mixed effects model was used to
capture the association of distance with longitudinal variation in patients' lung
function. RESULTS: Children, young adults, and adults in lower socioeconomic
categories had a %FEV1 between 3 and 10 percentage-points lower than those living
in higher income areas and those privately insured. For patients who changed
distance categories, high distance was associated with lower lung function in
young adults (P-value <0.001). For older patients we observed the reverse,
suggesting that the choice to move farther away is associated with better health
(P-value <0.001). For patients who did not change distance categories, only
medium distance in children was significant (P-value = 0.01). Known confounding
factors including age and CFTR mutation class were statistically significantly
associated to health outcomes (P-value <0.001). CONCLUSIONS: This study shows
distance is not found to be associated with health lung function among patients
whose distance category remained unchanged during the analysis. For patients who
move, the association of health with distance depends on the age of the patient;
adult patients further from their care center are healthier. Overall, we find
that socioeconomic and genetic factors appear to impact health outcomes to a
greater extent.
PMID- 29359448
TI - Targeted gene panel sequencing prenatally detects two novel mutations of DYNC2H1
in a fetus with increased biparietal diameter and polyhydramnios.
AB - BACKGROUND: Genetic skeletal disorders (GSDs) are clinically and genetically
heterogeneous with more than 350 genes accounting for the diversity of disease
phenotypes. Prenatal diagnosis of these disorders has been challenging because of
the limited but variable prenatal phenotypes, highlighting the need of a novel
genetic approach. Short-rib polydactyly syndrome (SRPS) Type III is an autosomal
recessive GSD characterized by extreme narrowness of the thorax, severely
shortened tubular bones, polydactyly and multiple malformations. METHODS:
Cytogenetic and molecular analyses using GTG-banding, single nucleotide
polymorphism array and a novel GSDs targeted gene panel sequencing were performed
in a 24 weeks fetus with increased biparietal diameter (BPD), short limbs, narrow
thorax and polyhydramnios. RESULTS: No chromosomal abnormalities and pathogenic
copy number variations (CNVs) were detected in the fetus. Two novel compound
heterozygous mutations c.2992C > T and c.12836G > C in the DYNC2H1 gene were
identified by targeted genes panel sequencing. A literature review was performed
to delineate the prenatal phenotype of SRPS Type III. CONCLUSION: This is the
first report of prenatal diagnosis of DYNC2H1 mutations causing SRPS Type III in
a fetus with increased BPD associated with polyhydramnios in China. Our findings
expand the mutation spectrum of DYNC2H1 in this rare disease and demonstrate that
targeted gene panel capture followed by next-generation sequencing (NGS) is an
efficient and cost-effective method to perform a molecular prenatal diagnosis of
a rare genetic skeletal disorder.
PMID- 29359449
TI - PTEN mutation in a Japanese boy with autonomously functioning thyroid nodule.
PMID- 29359450
TI - A multi-source adaptive platform design for testing sequential combinatorial
therapeutic strategies.
AB - Traditional paradigms for clinical translation are challenged in settings where
multiple contemporaneous therapeutic strategies have been identified as
potentially beneficial. Platform trials have emerged as an approach for
sequentially comparing multiple trials using a single protocol. The Ebola virus
disease outbreak in West Africa represents one recent example which utilized a
platform design. Specifically, the PREVAIL II master protocol sequentially tested
new combinations of therapies against the concurrent, optimal standard of care
(oSOC) strategy. Once a treatment demonstrated sufficient evidence of benefit,
the treatment was added to the oSOC for all future comparisons (denoted as
segments throughout the manuscript). In the interest of avoiding bias stemming
from population drift, PREVAIL II considered only within-segment comparisons
between the oSOC and novel treatments and failed to leverage data from oSOC
patients in prior segments. This article describes adaptive design methodology
aimed at boosting statistical power through Bayesian modeling and adaptive
randomization. Specifically, the design uses multi-source exchangeability models
to combine data from multiple segments and adaptive randomization to achieve
information balance within a segment. When compared to the PREVAIL II design, we
demonstrate that our proposed adaptive platform design improves power by as much
as 51% with limited type-I error inflation. Further, the adaptive platform
effectuates more balance with respect to the distribution of acquired information
among study arms, with more patients randomized to experimental regimens.
PMID- 29359451
TI - The spleen of patients with myelofibrosis harbors defective mesenchymal stromal
cells.
AB - Splenic hematopoiesis is a major feature in the course of myelofibrosis (MF). In
fact, the spleen of patients with MF contains malignant hematopoietic stem cells
retaining a complete differentiation program, suggesting both a pivotal role of
the spleen in maintaining the disease and a tight regulation of hematopoiesis by
the splenic microenvironment, in particular by mesenchymal stromal cells (MSCs).
Little is known about splenic MSCs (Sp-MSCs), both in normal and in pathological
context. In this work, we have in vitro expanded and characterized Sp-MSCs from
25 patients with MF and 13 healthy subjects (HS). They shared similar phenotype,
growth kinetics, and differentiation capacity. However, MF Sp-MSCs expressed
significant lower levels of nestin, and favored megakaryocyte (Mk)
differentiation in vitro at a larger extent than their normal counterpart.
Moreover, they showed a significant upregulation of matrix metalloprotease 2
(MMP2) and fibronectin 1 (FN1) genes both at mRNA expression and at protein
level, and, finally, developed genetic abnormalities which were never detected in
HS-derived Sp-MSCs. Our data point toward the existence of a defective splenic
niche in patients with MF that could be responsible of some pathological features
of the disease, including the increased trafficking of CD34+ cells and the
expansion of the megakaryocytic lineage.
PMID- 29359452
TI - Prevalence, Presentation and Treatment of 'Balloon Undilatable' Chronic Total
Occlusions: Insights from a Multicenter US Registry.
AB - BACKGROUND: The prevalence, treatment and outcomes of balloon undilatable chronic
total occlusions (CTOs) have received limited study. METHODS: We examined the
prevalence, clinical and angiographic characteristics, and procedural outcomes of
percutaneous coronary interventions (PCIs) for balloon undilatable CTOs in a
contemporary multicenter US registry. RESULTS: Between 2012 and 2017 data on
balloon undilatable lesions were available for 425 consecutive CTO PCIs in 415
patients in whom guidewire crossing was successful: 52 of 425 CTOs were balloon
undilatable (12%). Mean patient age was 65 +/- 10 years and most patients were
men (84%). Patients with balloon undilatable CTOs were more likely to be diabetic
(67 vs. 41%, P < 0.001) and have heart failure (44 vs. 28%, P = 0.027). Balloon
undilatable CTOs were longer (40 mm [interquartile range, IQR 20-50] vs. 30 [IQR
15-40], P = 0.016), more likely to have moderate/severe calcification (87 vs.
54%, P < 0.001), and had higher J-CTO score (3.2 +/- 1.1 vs. 2.5 +/- 1.3, P <
0.001) and PROGRESS-CTO complications score (3.9 +/- 1.7 vs. 3.1 +/- 2.0, P <
0.005). They were associated with lower technical and procedural success (92 vs.
98%, P = 0.024; and 88 vs. 96%, P = 0.034, respectively) and higher risk for in
hospital major adverse events (8 vs. 2%, P = 0.008) due to higher perforation
rates. The most frequent treatments for balloon undilatable CTOs were high
pressure balloon inflations (64%), rotational atherectomy (31%), laser (21%), and
cutting balloons (15%). CONCLUSIONS: Balloon undilatable CTOs are common and are
associated with lower success and higher complication rates.
PMID- 29359453
TI - Strong xenoprotective function by single-copy transgenes placed sequentially at a
permissive locus.
AB - BACKGROUND: Multiple xenoprotective transgenes are best grouped at a single locus
to avoid segregation during breeding and simplify production of donor animals.
METHODS: We used transgene stacking to place a human CD55 transgene adjacent to a
human heme oxygenase 1 construct at the porcine ROSA26 locus. A transgenic pig
was analyzed by PCR, RT-PCR, droplet digital PCR, immunohistochemistry,
immunofluorescence, and flow cytometry. Resistance to complement-mediated cell
lysis and caspase 3/7 activation were determined in vitro. RESULTS: The ROSA26
locus was retargeted efficiently, and animals were generated by nuclear transfer.
RNA and protein analyses revealed abundant expression in all organs analyzed,
including pancreatic beta cells. Transgenic porcine kidney fibroblasts were
almost completely protected against complement-mediated lysis and showed reduced
caspase 3/7 activation. CONCLUSION: Step-by-step placement enables highly
expressed single-copy xenoprotective transgenes to be grouped at porcine ROSA26.
PMID- 29359454
TI - Repeatability and Reproducibility of the Ultrasonic Attenuation Coefficient and
Backscatter Coefficient Measured in the Right Lobe of the Liver in Adults With
Known or Suspected Nonalcoholic Fatty Liver Disease.
AB - OBJECTIVES: To assess the repeatability and reproducibility of the ultrasonic
attenuation coefficient (AC) and backscatter coefficient (BSC) measured in the
livers of adults with known or suspected nonalcoholic fatty liver disease
(NAFLD). METHODS: The Institutional Review Board approved this Health Insurance
Portability and Accountability Act-compliant prospective study; informed consent
was obtained. Forty-one research participants with known or suspected NAFLD were
recruited and underwent same-day ultrasound examinations of the right liver lobe
with a clinical scanner by a clinical sonographer. Each participant underwent 2
scanning trials, with participant repositioning between trials. Two transducers
were used in each trial. For each transducer, machine settings were optimized by
the sonographer but then kept constant while 3 data acquisitions were obtained
from the liver without participant repositioning and then from an external
calibrated phantom. Raw RF echo data were recorded. The AC and BSC were measured
within 2.6 to 3.0 MHz from a user-defined hepatic field of interest from each
acquisition. The repeatability and reproducibility were analyzed by random
effects models. RESULTS: The mean AC and log-transformed BSC (logBSC) were 0.94
dB/cm-MHz and -27.0 dB, respectively. Intraclass correlation coefficients were
0.88 to 0.94 for the AC and 0.87 to 0.95 for the logBSC acquired without
participant repositioning. For between-trial repeated scans with participant
repositioning, the intraclass correlation coefficients were 0.80 to 0.84 for the
AC and 0.69 to 0.82 for the logBSC after averaging results from 3 within-trial
images. The variability introduced by the transducer was less than the
repeatability error. CONCLUSIONS: Hepatic AC and BSC measures using a reference
phantom technique on a clinical scanner are repeatable and reproducible between
transducers in adults with known or suspected NAFLD.
PMID- 29359455
TI - Ancient and recent Middle Eastern maternal genetic contribution to North Africa
as viewed by mtDNA diversity in Tunisian Arab populations.
AB - OBJECTIVES: Through previous mitochondrial DNA studies, the Middle Eastern
maternal genetic contribution to Tunisian populations appears limited. In fact,
most of the studied communities were cosmopolitan, or of Berber or Andalusian
origin. To provide genetic evidence for the actual contribution of Middle Eastern
mtDNA lineages to Tunisia, we focused on two Arab speaking populations from
Kairouan and Wesletia known to belong to an Arab genealogical lineage. MATERIALS
AND METHODS: A total of 114 samples were sequenced for the mtDNA HVS-I and HVS-II
regions. Using these data, we evaluated the distribution of Middle Eastern
haplogroups in the study populations, constructed interpolation maps, and
established phylogenetic networks allowing estimation of the coalescence time for
three specific Middle Eastern subclades (R0a, J1b, and T1). RESULTS: Both studied
populations displayed North African genetic structure and Middle Eastern lineages
with a frequency of 12% and 28.12% in Kairouan and Wesletia, respectively. TMRCA
estimates for haplogroups T1a, R0a, and J1b in Tunisian Arabian samples were
around 15 000 YBP, 9000 to 5000 YBP, and 960 to 600 YBP, respectively.
CONCLUSIONS: The Middle Eastern maternal genetic contribution to Tunisian
populations, as to other North African populations, occurred mostly in deep
prehistory. They were brought in different migration waves during the Upper
Paleolithic, probably with the expansion of Iberomaurusian culture, and during
Epipaleolithic and Early Neolithic periods, which are concomitant with the
Capsian civilization. Middle Eastern lineages also came to Tunisia during the
recent Islamic expansion of the 7th CE and the subsequent massive Bedouin
migration during the 11th CE.
PMID- 29359456
TI - The Nature and Consequences of Essentialist Beliefs About Race in Early
Childhood.
AB - It is widely believed that race divides the world into biologically distinct
kinds of people-an essentialist belief inconsistent with reality. Essentialist
views of race have been described as early emerging, but this study found that
young children (n = 203, Mage = 5.45) hold only the more limited belief that the
physical feature of skin color is inherited and stable. Overall, children
rejected the causal essentialist view that behavioral and psychological
characteristics are constrained by an inherited racial essence. Although average
levels of children's causal essentialist beliefs about race were low, variation
in these beliefs was related to children's own group membership, exposure to
diversity, as well as children's own social attitudes.
PMID- 29359457
TI - The levonorgestrel-releasing intrauterine system is associated with a reduction
in dysmenorrhoea and dyspareunia, a decrease in CA 125 levels, and an increase in
quality of life in women with suspected endometriosis.
AB - BACKGROUND AND AIMS: The aim of this study was to investigate the effectiveness
of a levonorgestrel-releasing intrauterine device (LNG-IUS) in the symptomatic
relief of pain in women with endometriosis and additionally, to assess the
changes in women's life quality and serum cancer antigen (CA) 125 levels.
MATERIALS AND METHODS: All women who had an LNG-IUS inserted for the treatment of
dysmenorrhea, chronic pelvic pain or both for more than six months over a two
year period were included in the study. Each woman was asked to complete
questionnaires of the Short Form-36 and visual analogue scales (VAS) in the first
visit and the third, sixth, ninth and twelfth months after the LNG-IUS insertion.
CA 125 levels were measured at each visit. RESULTS: Forty-five women were
included in the study. At the end of 12 months, mean dysmenorrhoea VAS score
decreased from 6.13 to 2.88, mean dsyspareunia VAS score from 6.04 to 2.61 and CA
125 level from 50.67 to 22.45. Endometriomas reduced in size in six women (mean
size decreased from 31 to 20 mm) and disappeared in three. CONCLUSIONS: Several
favourable outcomes were found following LNG-IUS insertion: (i) dyspareunia and
dysmenorrhoea were clearly reduced; (ii) the size of endometriomas were
decreased; (iii) CA 125 levels significantly decreased; (iv) a few women
experienced the typical systemic adverse effects of progestogens; however, LNG
IUS-related adverse events were generally tolerable and the discontinuation rate
was as low as 6.66% (3/45).
PMID- 29359458
TI - Dose-dependent relationships between weight status and clinical outcomes among
infants hospitalized with respiratory syncytial virus infections.
AB - BACKGROUND: Associations between weight status and manifestations of respiratory
syncytial virus infections remain unclear, especially in infants. OBJECTIVE: This
study investigated the dose-response relationships between weight status and
clinical outcomes among infants hospitalized with respiratory syncytial virus
infections. METHODS: We obtained hospital discharge records for inpatients aged
<12 months with diagnoses of bronchitis/pneumonia and respiratory syncytial virus
infections, using a Japanese national inpatient database. The patients were
classified into underweight, normal-weight, or overweight/obese groups using
weight-for-length according to World Health Organization criteria. We compared
need for intensive care, 30-day readmission, mean total hospitalization costs,
and length of hospital stay across the three groups using multivariable mixed
effects regression models and restricted cubic spline functions. RESULTS:
Overall, 42 698 patients were identified, comprising 3697 underweight, 35 849
normal-weight, 3152 overweight/obese patients. The underweight group had
significantly higher risk of intensive care (adjusted odds ratio, 1.35; 95%
confidence interval, 1.18-1.82) and longer length of stay (adjusted difference,
0.12 days; 95% confidence interval, 0.04-0.20 days) than the normal-weight group.
No significant differences in 30-day readmission or hospitalization costs were
observed among the three groups. The lower and upper thresholds for a significant
association between weight status and intensive care were weight-for-length z
scores of -0.64 (26th percentile) and 2.08 (98th percentile), respectively.
CONCLUSIONS: These findings demonstrate that abnormal weight status is an
independent risk factor for greater illness severity among infants hospitalized
with respiratory syncytial virus infections.
PMID- 29359459
TI - Distinct effect of age, sex, and CMV seropositivity on dendritic cells and
monocytes in human blood.
AB - We analyzed the impact of age, sex, and CMV on blood monocyte and dendritic cell
(DC) subpopulations in 256 healthy individuals aged from 19 to 96 years. Flow
cytometry was performed on whole blood within the 4 h following blood drawing.
Myeloid (mDC) and plasmacytoid DC (pDC), classical, intermediate, and
nonclassical monocytes were enumerated by means of TruCount tubes (BD
Biosciences). We provided reference values for mDC, pDC and the three monocyte
subpopulations. The numbers of classical, intermediate, and nonclassical
monocytes slightly increased with age while the numbers of mDC and pDC did not
vary significantly. The level of expression of CD64 and CD163 on monocytes
significantly increased with age while HLA-DR expression did not vary
significantly. More precisely, CD163 expression level on intermediate monocyte
slightly increased with age in women only (Spearman P = 0.019) while CD64
expression increased on monocytes in CMV-positive individuals only. We observed
that sex had almost no impact on the numbers of monocytes and DC and on their
expression level of CD64 and HLA-DR. We observed a significant decrease in the
numbers of pDC with age in CMV-positive individuals, but not in CMV negative
individuals. This suggests that the lifelong subclinical infection by CMV could
influence the number of circulating DC of lymphoid origin. In contrast, CMV
serostatus had no significant impact on absolute numbers of mDC and monocytes.
PMID- 29359460
TI - Resolution of methylphenidate osmotic release oral system-induced hair loss in
two siblings after dose escalation.
AB - This report describes the cases of two siblings who experienced hair loss after
treatment with methylphenidate (MPH) osmotic release oral system (OROS). Hair
loss was resolved after discontinuation of the drug, but the children re
initiated treatment, after which hair loss again occurred, but they continued the
treatment. After dose escalation, the hair loss resolved. This is the first
report to describe resolution of OROS-MPH-induced hair loss after dose
escalation.
PMID- 29359462
TI - Automatic detection of multisize pulmonary nodules in CT images: Large-scale
validation of the false-positive reduction step.
AB - PURPOSE: Currently reported computer-aided detection (CAD) approaches face
difficulties in identifying the diverse pulmonary nodules in thoracic computed
tomography (CT) images, especially in heterogeneous datasets. We present a novel
CAD system specifically designed to identify multisize nodule candidates in
multiple heterogeneous datasets. METHODS: The proposed CAD scheme is divided into
two phases: primary phase and final phase. The primary phase started with the
lung segmentation algorithm and the segmented lungs were further refined using
morphological closing process to include the pleural nodules. Next, we
empirically formulated three subalgorithms modules to detect different sizes of
nodule candidates (>=3 and <6 mm; >=6 and <10 mm; and >=10 mm). Each subalgorithm
module included a multistage flow of rule-based thresholding and morphological
processes. In the final phase, the nodule candidates were augmented to boost the
performance of the classifier. The CAD system was trained using a total number of
nodule candidates = 201,654 (after augmentation) and nonnodule candidates =
731,486. A rich set of 515 features based on cluster, texture, and voxel-based
intensity features were utilized to train a neural network classifier. The
proposed method was trained on 899 scans from the Lung Image Database
Consortium/Image Database Resource Initiative (LIDC-IDRI). The CAD system was
also independently tested on 153 CT scans taken from the AAPM-SPIE-LungX Dataset
and two subsets from the Early Lung Cancer Action Project (ELCAP and PCF).
RESULTS: For the LIDC-IDRI training set, the proposed CAD scheme yielded an
overall sensitivity of 85.6% (1189/1390) and 83.5% (1161/1390) at 8 FP/scan and 1
FP/scan, respectively. For the three independent test sets, the CAD system
achieved an average sensitivity of 68.4% at 8 FP/scan. CONCLUSION: The authors
conclude that the proposed CAD system can identify dissimilar nodule candidates
in the multiple heterogeneous datasets. It could be considered as a useful tool
to support radiologists during screening trials.
PMID- 29359463
TI - Curiosity and Science.
AB - Using curiosity as the starting point for careful observation of nature and
society is a nontrivial skill, and a starting point for new intellectual
endeavors and adventures. It is one essential contributor to creativity in
science, and a start in forcing new ideas into inflexible professional
orthodoxies.
PMID- 29359461
TI - Risk factors for 30-day complications after cancer surgery in geriatric patients:
a secondary analysis.
AB - BACKGROUND: The aim was to analyse the association between severity of
complications up to 30 days after surgery and pre-operative nutritional and
physical performance parameters. METHODS: The participants were a subsample of
the previously published PERATECS study (ClinicalTrials.gov: NCT01278537) and
included 517 onco-geriatric patients aged >= 65 years, undergoing
thoracoabdominal, gynaecological, or urological surgery. Post-operative
complications were classified according to the Clavien Classification System
(CCS). Independent risk factors related to the severity of complications, defined
as major complications (CCS IIIa-V) and graded complications (CCS grade 0-V),
were analysed using logistic and ordinal regression, respectively. RESULTS: In
total, 132 patients suffered major post-operative complications. The development
of major post-operative complications was independently associated with body mass
index (BMI) < 20 kg/m2 , hypoalbuminaemia (< 30 g/l), longer duration of surgery,
and specific tumour sites (upper gastrointestinal, gynaecological, colorectal)
(all P < 0.05). Higher-grade complications were predicted by Timed Up and Go
(TUG) > 20 s, hypoalbuminaemia (< 30 g/l), higher American Society of
Anesthesiologists (ASA) status III-IV, longer duration of surgery (> 165 min),
and specific tumour sites (upper gastrointestinal, gynaecological) (all P <
0.05). Mini Nutritional Assessment (MNA) scores and weight loss were not
independent risk factors for the severity of complications. CONCLUSIONS:
Nutritional and physical performance risk factors that predicted the severity of
complications differed between major and higher-grade post-operative
complications, but hypoalbuminaemia independently predicted both. The results
support the need for pre-operative risk screening. Due to the explorative nature
of the study, further research is required in larger cohorts to corroborate these
findings.
PMID- 29359464
TI - A prospective analysis for prevalence of complications in Thai nontransfusion
dependent Hb E/beta-thalassemia and alpha-thalassemia (Hb H disease).
AB - Recently, complications in patients with nontransfusion-dependent thalassemia
(NTDT), in particular those with beta-thalassemia intermedia (beta-TI), were
found to be significantly different from those in patients with transfusion
dependent thalassemia (TDT), mainly beta-thalassemia major (beta-TM). However,
this information is rather limited in other forms of NTDT. In this prospective
study, adult Thai NTDT patients were interviewed and clinically evaluated for
thalassemia related complications. Fifty-seven NTDT patients (age 18-74 years),
59.6% Hb E/beta-thalassemia and 40.4% Hb H disease, were recruited; 26.4% were
splenectomized. The most common complications were gallstones (68.4%),
osteoporosis (26.3%), and pulmonary hypertension (15.8%). Splenectomy was
associated with higher rate of gallstones and serious infection (P = .001 and
.052, respectively), consistent with a multivariate analysis (RR = 9.5, P = .044,
and RR = 15.1, P = .043, respectively). In addition, a higher hemoglobin level
was inversely associated with gallstones in both univariate and multivariate
analyses (P = .01 and .022, respectively). Serum ferritin was associated with
abnormal liver function (P = .002). In contrast to the previous study, the
prevalence of thrombosis was less common in our population (1.7%), probably due
to differences in transfusion therapy, ethnicity, and underlying genotypes. For
the first time, this prospective study provided the current prevalence of NTDT
related complications in a Southeast Asian population with a different underlying
genetic basis compared with previous studies. Although individual prevalence of
each complication might differ from other studies, several important clinical
factors such as splenectomy, degree of anemia, and iron overload seem to be
determining risks of developing these complications consistently across different
ethnicities.
PMID- 29359465
TI - An efficient scheme for purification of a novel recombinant immunotoxin,
rCCK8PE38, for anti-tumour experiments.
AB - rCCK8PE38 is a novel immunotoxin that targets choleystokinin B receptor, which is
over-expressed in some tumor tissues. Although we constructed a prokaryotic
expression vector to express rCCK8PE38 in our laboratory, thorough purification
was necessary to quantitatively assess its anti-tumor effect. In this study, we
established a purification protocol to obtain rCCK8PE38 with high purity from E.
coli. Three different types of chromatography, hydrophobic chromatography, ion
exchange chromatography and size exclusion chromatography, were used in
combination. The purification technological parameters of each chromatography
type were optimized. The whole process of purification was arranged to minimize
the purification steps and achieve purity and bioactivity. Finally, through this
optimized scheme, we obtained a recombinant protein with a purity of >95%; then,
the protein was stored at -80 degrees C after lyophilization. The purified
protein was used in a tumor inhibition experiment and was effective in killing
tumor cells that over-expressed choleystokinin B receptor. The results of this
study may provide some valuable information about protein purification and lay
the foundation for further clinical experiments with rCCK8PE38.
PMID- 29359466
TI - Wnt/beta-Catenin/TCF Pathway Is a Phase-Dependent Promoter of Colony Formation
and Mesendodermal Differentiation During Human Somatic Cell Reprogramming.
AB - Somatic cell reprogramming is a biphasic phenomenon that goes through a
mesenchymal-to-epithelial transition, called initiation phase, followed by a
maturation phase wherein reprogramming cells acquire pluripotency. Here, we show
that these phases display a differential response to Wnt signaling activation.
Wnt signaling increases colony formation by promoting cellular epithelialization
during the initiation phase in a TCF7-dependent manner. However, during
maturation phase, it is also responsible for inducing mesendodermal
differentiation, which is negatively regulated by TCF7L1. Thus, Wnt signaling
inhibition or TCF7L1 overexpression downregulates mesendodermal gene expression
without perturbing pluripotency. Together, our results demonstrate that a phase
specific modulation of Wnt signaling leads to an improved reprogramming
efficiency in terms of colony output and pluripotency acquisition. This work
provides new insights into the cell context-dependent roles of Wnt signaling
during human somatic cell reprogramming. Stem Cells 2018;36:683-695.
PMID- 29359467
TI - Is side branch lesion length an independent predictor of acute side branch
occlusion in provisional strategy? Analysis of 524 consecutive bifurcation
lesions.
AB - OBJECTIVES: To detect the impact of side branch (SB) lesion length on acute SB
occlusion after main vessel (MV) stenting. METHODS: Five hundred sixteen
consecutive patients with 524 bifurcation lesions undergoing one-stent techniques
were studied. Multivariate logistic regression analysis was performed to identify
independent predictors of acute SB occlusion. The lesions were also further
divided into two groups according to the median SB lesion length. The incidences
of SB occlusion and lesion characteristics in the two subgroups were compared.
RESULTS: The SB lesion length was not significantly different between lesions
with and without SB occlusion. In the SB occlusion group, the distance between
the position of the minimal lumen diameter and SB ostium was significantly
shorter than that in the non-SB occlusion group (1.76 +/- 1.04 mm vs. 2.72 +/-
2.65 mm; P = 0.0003). Multivariate logistic regression analysis showed that high
BA before stenting, plaque accumulation located on the same side as the SB, the
Thrombolysis In Myocardial Infarction (TIMI) flow grade of the SB before
stenting, and the DS of the SB before MV stenting were independently predictive
of SB occlusion. CONCLUSIONS: SB lesion length cannot be regarded as an
independent predictor of acute SB occlusion after MV stenting.
PMID- 29359468
TI - A Neonatologist's Perspective: Is the Quest for an "Ideal" Lipid Emulsion Over?
PMID- 29359470
TI - Shining LIGHT on the metabolic role of the cytokine TNFSF14 and the implications
on hepatic IL-6 production.
AB - The cytokine Tumor Necrosis Factor Superfamily member 14, TNFSF14 (or LIGHT), is
a controversial player in numerous diseases. We investigated the role of
endogenously expressed TNFSF14 in diet-induced obesity in vivo. Firstly, we
studied the effects of Tnfsf14 ablation on the development of obesity, glucose
intolerance, insulin resistance, steatosis, tissue inflammation, and
mitochondrial respiration in the liver. Secondly, we examined the role of TNFSF14
expression in hematopoietic cells on obesity and insulin sensitivity. Male
Tnfsf14 knockout (KO) and wild type mice were fed chow or high fat diet (HFD) for
12 weeks and were assessed for weight gain, glucose intolerance, insulin
resistance, hepatosteatosis, mitochondrial dysfunction, and cytokine expression.
Wild-type mice were also reconstituted with bone marrow cells from Tnfsf14
knockout mice and were fed chow or HFD for 12 weeks. These mice were examined for
weight gain and insulin resistance. HFD fed mice had elevated circulating levels
of serum TNFSF14. Liver and white adipose tissue are potential sources of this
elevated TNFSF14. Tnfsf14 deficient mice displayed increased obesity, glucose
intolerance, insulin resistance, hepatosteatosis, and mitochondrial dysfunction
compared to control mice on a HFD. Hepatic cytokine profiling pointed to a
potential novel role of decreased IL-6 in the metabolic disturbances in
obesogenic Tnfsf14 knockout mice. Bone marrow cells from Tnfsf14 deficient mice
appeared to promote diet-induced obesity, insulin resistance and reduced FGF21
levels in white adipose tissue and liver. Our novel data suggest that Tnfsf14
ablation exacerbates parameters of the metabolic syndrome under high fat feeding
conditions and provides evidence to support the development of TNFSF14 agonists
as potential therapeutics in diet-induced obesity.
PMID- 29359471
TI - A high plasma: red blood cell transfusion ratio during liver transplantation is
associated with decreased blood utilization.
AB - BACKGROUND: During massive transfusion, the volume ratio of administered plasma
(PL Vol) to red blood cell (RBC Vol) appears to be associated with reduced blood
utilization and improved survival. The aim of this study was to evaluate the
optimal component ratio in the setting of liver transplantation. METHODS: This is
a retrospective chart review of patients who underwent liver transplantation and
received at least 500 ml of red blood cells from January 2013 through December
2015. Kernel smoothing analysis determined the proper component ratios to
evaluate were a >=0.85:1 ratio (high) to a <=0.85:1 ratio (low). Two groups,
plasma volume to RBC volume (PL Vol/RBC Vol) and plasma contained in the platelet
units added to the plasma calculation [PL + PLT (platelet)] Vol/RBC Vol, were
used to evaluate the component ratios. RESULTS: A total of 188 patients were
included in the analysis. In the PL Vol/RBC Vol evaluation, a low ratio revealed
that 1238 ml (977-1653 ml) (P < 0.0001) and 1178 ml (747-1178) (P < 0.0001) of
RBC were used in excess compared to the high ratio, in the univariable and
multivariable analysis, respectively. In the PL +PLT Vol/RBC Vol evaluation, a
low ratio used 734 ml (193-1275) (P = 0.008) and 886 ml (431-1340) (P < 0.0001)
of RBC in excess when compared to high ratio in the univariable and multivariable
analysis, respectively. CONCLUSION: In patients undergoing liver transplantation,
the transfusion of plasma to RBC ratio >=0.85 was associated with decreased need
of RBC transfusions.
PMID- 29359469
TI - P- and E-selectin receptor antagonism prevents human leukocyte adhesion to
activated porcine endothelial monolayers and attenuates porcine endothelial
damage.
AB - BACKGROUND: Alongside the need to develop more effective and less toxic
immunosuppression, the shortage of human organs available for organ
transplantation is one of the major hurdles facing the field. Research into
xenotransplantation, as an alternative source of organs, has unveiled formidable
challenges. Porcine lungs perfused with human blood rapidly sequester the
majority of circulating neutrophils and platelets, which leads to inflammation
and organ failure within hours, and is not significantly attenuated by genetic
modifications to the pig targeted to diminish antibody binding and complement and
coagulation cascade activation. METHODS: Here, we model the interaction of
freshly isolated human leukocytes with xenotransplanted vasculature under
physiologic flow conditions using microfluidic channels coated with porcine
endothelial cells. Both isolated human neutrophils and whole human blood were
perfused over transgenic pig aortic endothelial cells that had been activated
with rhTNF-alpha or rhIL-4 using the BioFlux system. Novel compounds GMI-1271 and
rPSGL1.Fc were tested as E- and P- selectin antagonists, respectively. Cellular
adhesion and rolling events were tracked using FIJI (imageJ). RESULTS: Porcine
endothelium activated with either rhTNF-alpha or rhIL-4 expressed high amounts of
selectins, to which isolated human neutrophils readily rolled and tethered. Both
E-and P-selectin antagonism significantly reduced the number of neutrophils
rolling and rolling distance in a dose-dependent manner, with near total
inhibition at higher doses (P < .001). Similarly, with whole human blood,
selectin blocking compounds exhibited dose-dependent inhibition of prevalent
leukocyte adhesion and severe endothelial injury (Untreated: 394 +/- 97 PMNs/hpf,
57 +/- 6% loss EC; GMI1271+rPSGL1.Fc: 23 +/- 9 PMNs/hpf, 8 +/- 6% loss EC P <
.01). CONCLUSIONS: Selectin blockade may be useful as part of an integrated
strategy to prevent neutrophil-mediated organ xenograft injury, especially during
the early time points following reperfusion.
PMID- 29359473
TI - Impacts of Adolescent and Young Adult Civic Engagement on Health and
Socioeconomic Status in Adulthood.
AB - The present study examines links between civic engagement (voting, volunteering,
and activism) during late adolescence and early adulthood, and socioeconomic
status and mental and physical health in adulthood. Using nationally
representative data from the National Longitudinal Study of Adolescent to Adult
Health, a propensity score matching approach is used to rigorously estimate how
civic engagement is associated with outcomes among 9,471 adolescents and young
adults (baseline Mage = 15.9). All forms of civic engagement are positively
associated with subsequent income and education level. Volunteering and voting
are favorably associated with subsequent mental health and health behaviors, and
activism is associated with more health-risk behaviors and not associated with
mental health. Civic engagement is not associated with physical health.
PMID- 29359472
TI - Silencing GRAS2 reduces fruit weight in tomato.
AB - GRAS family transcription factors are involved in multiple biological processes
in plants. Here, we report that GRAS2 plays a vital role in regulating fruit
weight in tomato (Solanum lycopersicum). We establish that the expression of
GRAS2 was elevated in ovaries and maintained at a constant level in fertilized
ovules. Reduction of GRAS2 expression in transgenic plants reduced fruit weight
through modulating ovary growth and cell size. At the metabolic level,
downregulation of GRAS2 decreased activities of the gibberellic acid biosynthesis
and signal transduction pathways, leading to insufficient levels of active
gibberellic acid during the initial ovary development of tomato. Moreover,
genotypic diversity of GRAS2 was consistent with the molecular basis of fruit
weight evolution, suggesting that GRAS2 contributes to the molecular basis of the
evolution of fruit weight in tomato. Collectively, these findings enhance our
understanding of GRAS2 functions, in fruit development of tomato, and demonstrate
a strong association between the GRAS gene family and fruit development.
PMID- 29359474
TI - Authors' reply re: Peripartum hysterectomy: an economic analysis of direct
healthcare costs using routinely collected data.
PMID- 29359475
TI - Incidence of neuromyelitis optica spectrum disorder in the Central Denmark
Region.
AB - OBJECTIVES: Neuromyelitis optica (NMO)/NMO spectrum disorder (NMOSD) may be
misdiagnosed as multiple sclerosis. The aim of this study was to (i) to measure
AQP4-IgG in patients who fulfilled the clinical and radiological criteria of
NMOSD in the Central Denmark Region and (ii) to estimate the incidence of NMOSD
in the region, according to both the 2006 Wingerchuk criteria and the 2015
International Panel for NMO Diagnosis criteria. MATERIALS AND METHODS: Medical
records of all patients diagnosed with a demyelinating disorder in the region
from 1 January 2012 to 31 December 2013 were reviewed. Patients were classified
as having (i) "NMO" if the 2006 criteria were met, (ii) "NMOSD with AQP4-IgG" or
(iii) "NMOSD without/unknown AQP-IgG" if the new 2015 NMOSD criteria were met.
Patients with core symptoms were invited to provide a blood sample for AQP4-IgG
analysis with an enzyme-linked immunosorbent assay and a cell-based indirect
immunofluorescence assay. RESULTS: In 191 patients with core symptoms, one met
the 2015 NMOSD with AQP4-IgG criteria. Two patients met the 2006 NMO and 2015
NMOSD without/unknown AQP4-IgG criteria. Among 108 patients providing a blood
sample, all were seronegative. The estimated incidence of NMO (2006 criteria) and
NMOSD (2015 criteria) was 0.08 and 0.12 per 100 000 person-years, respectively.
CONCLUSION: NMO/NMOSD is a rare disease in the Central Denmark Region, with a
considerably lower incidence rate than previously estimated in a neighbouring
region.
PMID- 29359476
TI - Spatial cognition and science achievement: The contribution of intrinsic and
extrinsic spatial skills from 7 to 11 years.
AB - BACKGROUND: Prior longitudinal and correlational research with adults and
adolescents indicates that spatial ability is a predictor of science learning and
achievement. However, there is little research to date with primary-school aged
children that addresses this relationship. Understanding this association has the
potential to inform curriculum design and support the development of early
interventions. AIMS: This study examined the relationship between primary-school
children's spatial skills and their science achievement. METHOD: Children aged 7
11 years (N = 123) completed a battery of five spatial tasks, based on a model of
spatial ability in which skills fall along two dimensions: intrinsic-extrinsic;
static-dynamic. Participants also completed a curriculum-based science
assessment. RESULTS: Controlling for verbal ability and age, mental folding
(intrinsic-dynamic spatial ability), and spatial scaling (extrinsic-static
spatial ability) each emerged as unique predictors of overall science scores,
with mental folding a stronger predictor than spatial scaling. These spatial
skills combined accounted for 8% of the variance in science scores. When
considered by scientific discipline, mental folding uniquely predicted both
physics and biology scores, and spatial scaling accounted for additional variance
in biology and variance in chemistry scores. The children's embedded figures task
(intrinsic-static spatial ability) only accounted for variance in chemistry
scores. The patterns of association were consistent across the age range.
CONCLUSION: Spatial skills, particularly mental folding, spatial scaling, and
disembedding, are predictive of 7- to 11-year-olds' science achievement. These
skills make a similar contribution to performance for each age group.
PMID- 29359477
TI - Understanding the determinants of hemoglobin and iron status: adolescent-adult
women comparisons in SANHANES-1.
AB - The study compared hemoglobin (Hb) and serum ferritin levels between adolescent
and adult women with different body mass indices, dietary intake, and
sociodemography. A secondary analysis of data for 3177 South African women ?15
years of age who participated in the SANHANES-1 study was undertaken. Abnormal Hb
(<=12 g/dL) and serum ferritin (<15 MUg/mL) were based on the World Health
Organization's criteria for nonpregnant women aged ?15 years. Data were analyzed
using STATA version 11. Overall, anemia was detected in 740 (23.3%) participants.
Of the individuals in the subsample (n = 1123, 15-35 years) who had serum
ferritin measured, 6.0% presented with iron depletion (ID) and 10.8% presented
with iron-deficiency anemia (IDA). The highest prevalences of anemia, ID, and IDA
were in 15- to 18-year-olds (11.2%, 8.8%, and 20.2%, respectively). Black young
adults (19-24 years) were up to 40 times more likely to present with ID compared
with their non-black counterparts. While overweight adolescents were three times
more likely to be anemic, overweight and obese young adults, as well as obese
older adults (25-35 years), were less likely to be anemic compared with normal
weight women of all age groups. Overconsumption of dietary fat increased ID by up
to 54- and 11-fold (adolescents and 25- to 35-year-olds, respectively). In South
Africa, anemia is most prevalent in adolescents and black women. Anemia is also
an indicator of overconsumption of dietary fat and a marker of socioeconomic
disadvantage.
PMID- 29359478
TI - Femoral rotational asymmetry is a common anatomical variant.
AB - The sulcus line (SL) is a three-dimensional landmark that corrects for individual
variation in the coronal alignment of the trochlear groove in contrast to the
traditional Whiteside's line (WL). Femoral rotational asymmetry (FRA) is an
anatomical variation in which the posterior condyles and trochlear groove are not
perpendicular to each other. This study aims to measure the SL and assess its
reliability relative to WL, in addition to measuring and classifying the FRA. A
retrospective analysis of a series of 191 CT scans of nonarthritic knees was
performed. Measurements were taken of rotational landmarks in three-dimensional
reconstructions. The variability and outlier rate of SL was less than WL (P <
0.05), however, it was also greater than the posterior condylar line (PC) (P <
0.05). Averaging the PC + 3 degrees and the SL did not change the rate of
femoral malrotation relative to the surgical epicondylar axis (SEA) (P > 0.05),
however it decreased the rate of change of the rotational alignment of the
trochlear groove between the native knee and the prosthetic knee from 31% to 5%
(P < 0.05). FRA was classified and was >5 degrees in 56/191 (29%) of cases. The
SL technique is more accurate than WL for determining the rotational alignment of
the trochlear groove. Nonarthritic femora have a high rate of rotational
asymmetry. Identifying and classifying FRA in individual cases allows the femoral
component to be inserted in a position which gives the best possible match to
both the native posterior condyles and trochlear groove. Clin. Anat. 31:551-559,
2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29359479
TI - Myhre syndrome: Age-dependent progressive phenotype.
PMID- 29359481
TI - Letter to 'Knowledge and perceptions of Zika virus among reproductive-aged women
after public announcement of local mosquito-borne transmission'.
PMID- 29359480
TI - The effects of fish oil on gene expression in patients with polycystic ovary
syndrome.
AB - BACKGROUND: This study was conducted to determine the effects of fish oil
administration on gene expression related to insulin, lipid and inflammation in
women with polycystic ovary syndrome (PCOS). MATERIALS AND METHODS: This
randomized, double-blind, placebo-controlled trial was conducted among 40
subjects with PCOS, aged 18-40 years. Subjects were randomly allocated into two
groups to take either 1000 mg omega-3 fatty acids from fish oil (n = 20) or
placebo (n = 20) twice a day for 12 weeks. Gene expression related to insulin,
lipid and inflammation was quantified in peripheral blood mononuclear cells
(PBMC) of PCOS women with RT-PCR method. RESULTS: Our study demonstrated that
after the 12-week intervention, compared with the placebo, fish oil
supplementation upregulated gene expression of peroxisome proliferator-activated
receptor gamma (PPAR-gamma) (P < .001) in PBMC of subjects with PCOS. In
addition, compared to the placebo, taking fish oil supplements downregulated gene
expression of interleukin-1 (IL-1) (P = .02) and interleukin-8 (IL-8) (P = .01)
in PBMC of subjects with PCOS. We did not observe any significant effect of fish
oil supplementation on gene expression of lipoprotein(a) [LP(a)], low-density
lipoprotein receptor (LDLR), glucose transporter 1 (GLUT-1), tumour necrosis
factor alpha (TNF-alpha) and transforming growth factor beta (TGF-beta) in PBMC
of subjects with PCOS. CONCLUSIONS: Overall, fish oil supplementation for 12
weeks to subjects with PCOS significantly improved gene expression of PPAR-gamma,
IL-1 and IL-8, but did not influence gene expression of LP(a), LDLR, GLUT-1, TNF
alpha and TGF-beta.
PMID- 29359482
TI - Systems thinking and incivility in nursing practice: An integrative review.
AB - BACKGROUND AND PURPOSE: There is a critical need for nurses and interprofessional
healthcare providers to implement systems thinking (ST) across international
borders, addressing incivility and its perilous effects on patient quality and
safety. An estimated one million patients die in hospitals worldwide due to
avoidable patient-related errors. Establishing safe and civil workplaces using ST
is paramount to promoting clear, level-headed thinking from which patient
centered nursing actions can impact health systems. The purpose of the paper is
to answer the research question, What ST evidence fosters the effect of workplace
civility in practice settings? METHODS: Whittemore and Knafl's integrative review
method guided this study. The quality of articles was determined using Chu et
al.'s Mixed Methods Assessment Tool. RESULTS: Thirty-eight studies were reviewed.
Themes emerged describing antecedents and consequences of incivility as embedded
within complex systems, suggesting improvements for civility and systems/ST in
nursing practice. IMPLICATIONS FOR PRACTICE: This integrative review provides
information about worldwide incivility in nursing practice from a systems
perspective. Several models are offered as a means of promoting civility in
nursing practice to improve patient quality and safety. Further study is needed
regarding incivility and resultant effects on patient quality and safety.
PMID- 29359483
TI - Highly Sensitive Bioluminescent Probe for Thiol Detection in Living Cells.
AB - The sensitive detection of thiols including glutathione and cysteine is desirable
owing to their roles as indispensable biomolecules in maintaining intracellular
biological redox homeostasis. Herein, we report the design and synthesis of SEluc
1 (sulfinate ester luciferin), a chemoselective probe exhibiting a ratiometric
and turn-on response towards thiols selectively in fluorescence and
bioluminescence, respectively. The probe, which was designed based on the "caged"
luciferin strategy, displays excellent selectivity, high signal/noise ratio (>240
in the case of bioluminescence), and a biologically relevant limit of detection
(LOD, 80 nm for cysteine), which are all desirable traits for a sensitive
bioluminescent sensor. SEluc-1 was further applied to fluorescence imaging of
thiol activity in living human cervical cancer HeLa cell cultures, and was
successfully able to detect fluctuations in thiol concentrations induced by
oxidative stress in a bioluminescent assay utilizing African green monkey
fibroblast COS-7 cells and human breast adenocarcinoma MCF-7 cells.
PMID- 29359485
TI - Australian family carer responses when a loved one receives a diagnosis of Motor
Neurone Disease-"Our life has changed forever".
AB - While the experiences of family members supporting a person with a terminal
illness are well documented, less is known about the needs of carers of people
with neurological diseases, in particular, Motor Neurone Disease (MND). This
paper describes the qualitative data from a large Australian survey of family
carers of people with MND, to ascertain their experiences of receiving the
diagnosis. The aim of the study was to describe the experiences of family carers
of people with MND in receiving the diagnosis in order to inform and improve ways
in which the diagnosis is communicated. Anonymous postal surveys were sent to
people with MND in Australia and their family carers respectively. The perceived
ability/skills of neurologists was assessed using a five-point scale from
excellent to poor. Attributes of communication of bad news was measured by the
SPIKES protocol. Each survey question invited further written responses. Eight
hundred and sixty-four questionnaires were posted to people with MND and their
family carers, with assistance from MND associations. One hundred and ninety-six
family carers submitted responses, of which 171 (88%) were patient-carer dyads.
Analyses were conducted on 190 family carers. Five themes emerged from reading
and re-reading written responses: frustrations with the diagnosis; giving
information; family carer observations of the neurologist; the setting; and what
would have made the diagnosis easier? The delivery of the diagnosis is a pivotal
event in the MND trajectory. Satisfaction for patients and their family carers is
related to the neurologists showing empathy and responding appropriately to their
emotions, exhibiting knowledge and providing longer consultations. Neurologists
may benefit from education and training in communication skills to adequately
respond to patients' and families' emotions and development of best practice
protocols.
PMID- 29359484
TI - Utility of Pathology Imagebase for standardisation of prostate cancer grading.
AB - AIMS: Despite efforts to standardise grading of prostate cancer, even among
experts there is still a considerable variation in grading practices. In this
study we describe the use of Pathology Imagebase, a novel reference image
library, for setting an international standard in prostate cancer grading.
METHODS AND RESULTS: The International Society of Urological Pathology (ISUP)
recently launched a reference image database supervised by experts. A panel of 24
international experts in prostate pathology reviewed independently
microphotographs of 90 cases of prostate needle biopsies with cancer. A linear
weighted kappa of 0.67 (95% confidence interval = 0.62-0.72) and consensus was
reached in 50 cases. The interobserver weighted kappa varied from 0.48 to 0.89.
The highest level of agreement was seen for Gleason score (GS) 3 + 3 = 6 (ISUP
grade 1), while higher grades and particularly GS 4 + 3 = 7 (ISUP grade 3) showed
considerable disagreement. Once a two-thirds majority was reached, images were
moved automatically into a public database available for all ISUP members at
www.isupweb.org. Non-members are able to access a limited number of cases.
CONCLUSIONS: It is anticipated that the database will assist pathologists to
calibrate their grading and, hence, decrease interobserver variability. It will
also help to identify instances where definitions of grades need to be clarified.
PMID- 29359486
TI - Four-fold Channel-Nicked Human Ferritin Nanocages for Active Drug Loading and pH
Responsive Drug Release.
AB - Human ferritins are emerging platforms for non-toxic protein-based drug delivery,
owing to their intrinsic or acquirable targeting abilities to cancer cells and
hollow cage structures for drug loading. However, reliable strategies for high
level drug encapsulation within ferritin cavities and prompt cellular drug
release are still lacking. Ferritin nanocages were developed with partially
opened hydrophobic channels, which provide stable routes for spontaneous and
highly accumulated loading of FeII -conjugated drugs as well as pH-responsive
rapid drug release at endoplasmic pH. Multiple cancer-related compounds, such as
doxorubicin, curcumin, and quercetin, were actively and heavily loaded onto the
prepared nicked ferritin. Drugs on these minimally modified ferritins were
effectively delivered inside cancer cells with high toxicity.
PMID- 29359487
TI - Review article: long-term safety of oral anti-viral treatment for chronic
hepatitis B.
AB - BACKGROUND: Safety profile of nucleos(t)ide analogues is an important issue in
view of its widespread use for decades in patients with chronic hepatitis B
(CHB). AIM: To review and evaluate the latest evidence on the safety profiles of
the six approved nucleoside analogues. METHODS: Relevant articles related to
nucleoside analogue safety were selected for review following extensive language-
and date-unrestricted, electronic searches of the literature. RESULTS:
Nephrotoxicity has been well reported in patients receiving older generations of
nucleotide analogues, namely adefovir dipivoxil and tenofovir disoproxil fumarate
(TDF). Yet risks of renal failure and renal replacement therapy were similar in
patients treated with nucleoside analogues versus nucleotide analogues in real
life setting. Bone toxicity is closely related to nucleoside analogue effect on
renal proximal tubular and phosphaturia. Real-life data demonstrated increased
risk of hip fracture in patients receiving adefovir but not TDF. The newly
approved tenofovir alafenamide (TAF) has improved renal and bone safety profiles
compared to TDF. Long-term use of nucleoside analogues eg entecavir does not
increase the risk of other cancers. Muscular toxicity may be seen in telbivudine
treated patients so regular monitoring is advised. Peripheral neuropathy and
lactic acidosis are rare adverse events. Latest international guidelines support
the use of TDF, telbivudine and lamivudine during pregnancy; breastfeeding is not
contraindicated during TDF therapy. CONCLUSIONS: Long-term safety profile of
nucleoside analogues is now better defined with more data from large real-life
cohorts and clinical trials with long-term follow-up. The new nucleotide
analogue, TAF is now available with favourable renal and bone safety profiles.
PMID- 29359488
TI - Mechanoelectrical transduction in chondrocytes.
AB - Cartilage tissue lines the joints of mammals, helping to lubricate joint movement
and distribute mechanical loads. This tissue is comprised of isolated cells known
as chondrocytes which are embedded in an extracellular matrix. Chondrocytes
produce and maintain the cartilage by sensing and responding to changing
mechanical loads. Mechanosensitive ion channels have been implicated in
chondrocyte mechanotransduction and recent studies have shown that both PIEZO1
and TRPV4 can be activated by mechanical stimuli in these cells. The 2 channels
mediate separate but overlapping mechanoelectrical transduction pathways, PIEZO1
in response to stretch and substrate deflections and TRPV4 in response to
substrate deflections alone. These distinct pathways of mechanoelectrical
transduction suggest a mechanism by which chondrocytes can distinguish between
different stimuli that arise in their complex mechanical environment.
PMID- 29359490
TI - Taking Lillian at her word.
PMID- 29359489
TI - Knowledge, attitude and practice among Health Visitors in the United Kingdom
toward children's oral health.
AB - OBJECTIVES: The purpose of this study was to determine knowledge, attitude, and
practical behavior of health visitors regarding children's oral health in the
United Kingdom (UK). METHODS: A web-based self-administered survey with 18 closed
and 2 open ended questions was distributed to a convenience sample of
approximately 9,000 health visitors who were currently employed in the United
Kingdom and a member of the Institute of Health Visiting. RESULTS: A total of
1,088 health visitors completed the survey, resulting in a response rate of 12%.
One-third of the health visitors reported that they had not received oral health
training previously. Almost all agreed that oral health advice/promotion should
be included in their routine health visiting contacts. Previous oral health
training/education was associated with an increase in oral health knowledge;
confidence in entering a discussion with parents/caregivers and willingness to be
involved in dental referral process. CONCLUSIONS: The results of our study
support the need for health visitors to receive oral health training in oral
health promotion including oral health risk assessment, guidance on evidence
based up-to-date prevention measures, increasing the dental attendance prevalence
at early stages and awareness of including specific oral health guidelines/fact
sheets into their regular practice.
PMID- 29359491
TI - Does spotty calcification attenuate the response of nonculprit plaque to statin
therapy?: A serial optical coherence tomography study.
AB - OBJECTIVES: The aim of this study was to determine if spotty calcification
decreases the response of plaque progression to statin therapy. BACKGROUND:
Previous studies showed that the presence of spotty calcification is a marker of
vulnerable plaque. However, the relationship between spotty calcification and
plaque progression is not clear. METHODS: Ninety-six nonculprit lipid-rich
plaques in 69 patients who received serial optical coherence tomography (OCT)
imaging were included. Plaques were divided into three groups: spotty
calcification (n = 38), calcified (n = 12) and noncalcified (n = 46) plaques.
Spotty calcification was identified by the presence of a lesion <4 mm in length
with an arc of calcification <90 degrees . Changes in plaque characteristics and
fibrous cap thickness (FCT) at 6 and 12 months under statin therapy were analyzed
by OCT. RESULTS: The increase of FCT was sustained from baseline to 6 and 12
months in three groups: spotty calcification (62.8 +/- 20.9, 126.4 +/- 84.9, and
169.2 +/- 81.6 MUm, respectively; P < .001), calcified (59.8 +/- 17.0, 93.4 +/-
51.4, and 155.2 +/- 61.7 MUm, respectively; P < .001) and noncalcified (60.0 +/-
17.2, 125.5 +/- 62.1, and 161.0 +/- 80.5 MUm, respectively; P < .001). Intensive
statin induced a greater change in FCT at 12 months than moderate statin in the
spotty calcification group (P = 0.034). The mean lipid arc decreased
significantly at 12 months from baseline in the three groups (P = 0.004, P =
0.023, and P < .001, respectively). CONCLUSIONS: Statin therapy was effective for
plaque stabilization in plaques with and without spotty calcification. Patients
with spotty calcification benefitted more from intensive statin than from
moderate statin therapy.
PMID- 29359492
TI - Cost-effectiveness of universal and targeted newborn screening for congenital
cytomegalovirus infection.
PMID- 29359493
TI - Axially chiral Ni(II) complexes of alpha-amino acids: Separation of enantiomers
and kinetics of racemization.
AB - Herein we present design, synthesis, chiral HPLC resolution, and kinetics of
racemization of axially chiral Ni(II) complexes of glycine and di-(benzyl)glycine
Schiff bases. We found that while the ortho-fluoro derivatives are
configurationally unstable, the pure enantiomers of corresponding axially chiral
ortho-chloro-containing complexes can be isolated by preparative HPLC and show
exceptional configurational stability (t1/2 from 4 to 216 centuries) at ambient
conditions. Synthetic implications of this discovery for the development of new
generation of axially chiral auxiliaries, useful for general asymmetric synthesis
of alpha-amino acids, are discussed.
PMID- 29359494
TI - The Enantioselective Total Synthesis of Bisquinolizidine Alkaloids: A Modular
"Inside-Out" Approach.
AB - Bisquinolizidine alkaloids are characterized by a chiral bispidine core (3,7
diazabicyclo[3.3.1]nonane) to which combinations of an alpha,N-fused 2-pyridone,
an endo- or exo-alpha,N-annulated piperidin(on)e, and an exo-allyl substituent
are attached. We developed a modular "inside-out" approach that permits access to
most members of this class. Its applicability was proven in the asymmetric
synthesis of 21 natural bisquinolizidine alkaloids, among them more than ten
first enantioselective total syntheses. Key steps are the first successful
preparation of both enantiomers of C2 -symmetric 2,6-dioxobispidine by
desymmetrization of a 2,4,6,8-tetraoxo precursor, the construction of the alpha,N
fused 2-pyridone by using an enamine-bromoacrylic acid strategy, and the
installation of endo- or, optionally, exo-annulated piperidin(on)es.
PMID- 29359495
TI - Screening of a Drug Library Identifies Inhibitors of Cell Intoxication by CNF1.
AB - Cytotoxic necrotizing factor 1 (CNF1) is a toxin produced by pathogenic strains
of Escherichia coli responsible for extra-intestinal infections. CNF1 deamidates
Rac1, thereby triggering its permanent activation and worsening inflammatory
reactions. Activated Rac1 is prone to proteasomal degradation. There is no
targeted therapy against CNF1, despite its clinical relevance. In this work we
developed a fluorescent cell-based immunoassay to screen for inhibitors of CNF1
induced Rac1 degradation among 1120 mostly approved drugs. Eleven compounds were
found to prevent CNF1-induced Rac1 degradation, and five also showed a protective
effect against CNF1-induced multinucleation. Finally, lasalocid, monensin,
bepridil, and amodiaquine protected cells from both diphtheria toxin and CNF1
challenges. These data highlight the potential for drug repurposing to fight
several bacterial infections and Rac1-based diseases.
PMID- 29359496
TI - The transient receptor potential vanilloid-3 regulates hypoxia-mediated pulmonary
artery smooth muscle cells proliferation via PI3K/AKT signaling pathway.
AB - OBJECTVES: Transient receptor potential vanilloid 3 (TRPV3) is a member of the
TRP channels family of Ca2+ -permeant cation channels. In this study, we aim to
investigate the role of TRPV3 in pulmonary vascular remodeling and PASMCs
proliferation under hypoxia. MATERIALS AND METHODS: The expression of TRPV3 was
evaluated in patients with pulmonary arterial hypertension (PAH) and hypoxic
rats, using hematoxylin and eosin (H&E) and immunohistochemistry. In vitro, MTT
assay, flow cytometry, Western blotting and immunofluorescence were performed to
investigate the effects of TRPV3 on proliferation of PASMCs. RESULTS: We found
that, in vivo, the expression of TRPV3 was increased in patients with PAH and
hypoxic rats. Right ventricular hypertrophy measurements and pulmonary
pathomorphology data show that the ratio of the heart weight/tibia length
(HW/TL), the right ventricle/left ventricle plus septum (RV/LV+S) and the medial
width of the pulmonary artery were increased in chronic hypoxic rats. Moreover,
the expression of proliferating cell nuclear antigen (PCNA), Cyclin D, Cyclin E
and Cyclin A, phospho-CaMKII (p-CaMKII) were induced by hypoxia. In vitro, we
revealed that hypoxia promoted PASMCs viability, increased the expression of
PCNA, Cyclin D, Cyclin E, Cyclin A p-CaMKII, made more cells from G0 /G1 phase to
G2 /M + S phase, enhanced the microtubule formation, and increased [Ca2+ ]i ,
which could be suppressed by Ruthenium Red, an inhibitor of TRPV3, and TRPV3
silencing has similar effects. Furthermore, the up-regulated expression of PCNA,
Cyclin D, Cyclin E and Cyclin A, the increased number of cells in G2 /M and S
phase, and the enhanced activation and expression of PI3K and AKT proteins
induced by hypoxia and in presence of carvacrol (an agonist of TRPV3), was
significantly attenuated by incubation of LY 294002, a specific inhibitor for
PI3K/AKT. CONCLUSIONS: These findings suggest that TRPV3 is involved in hypoxia
induced pulmonary vascular remodeling and promotes proliferation of PASMCs and
the effect is, at least in part, mediated via the PI3K/AKT pathway.
PMID- 29359497
TI - Adoption of the transradial approach for percutaneous coronary intervention and
rates of vascular complications following transfemoral procedures: Insights from
NCDR.
AB - OBJECTIVES: This study was designed to examine the association between adopting
the transradial approach for percutaneous coronary intervention (PCI) and rates
of vascular complications following transfemoral PCI. BACKGROUND: Recent studies
raised concerns that operators adopting the transradial approach may lose their
transfemoral access skills and experience increased rates of vascular
complications. METHODS: Retrospective analysis of data from the NCDR CathPCI
Registry to examine the rates of vascular complications among physicians who were
femoral operators (>90% of cases) in 2010-2011 and later adopted the transradial
approach to PCI among low-, intermediate-, or high-level adopters (<=33%, 34-66%,
and >66%, respectively) in 2014-2015. Propensity score matching was used to
control for confounding factors. RESULTS: A total of 1,704,708 procedures
performed by 4,697 operators were included. Most operators were low-level
adopters (80.7%), followed by intermediate (15.7) and high-level adopters (3.6%).
Compared to the preadoption period, vascular complications of transfemoral PCIs
following transradial adoption increased among low-level adopters (1.29%-1.59%,
adjusted OR [95% CI]: 1.24[1.20-1.28], P < 0.001), intermediate-level adopters
(1.37%-1.92%, adjusted OR 1.40[1.29-1.53], P < 0.001), and high-level adopters
(1.54%-1.93%, adjusted OR 1.26[1.00-1.58], P = 0.053).In the post-adoption
period, there was increase in access site bleeding that is likely due to change
in registry definition. There was no increase in hematomas, retroperitoneal
bleeding or other vascular complications. CONCLUSIONS: Adoption of the
transradial approach for PCI is not associated with clinically meaningful
increase in rates of vascular complications of transfemoral PCIs.
PMID- 29359498
TI - Time-dependent differences in cortical measures and their associations with
behavioral measures following mild traumatic brain injury.
AB - There is currently a critical need to establish an improved understanding of time
dependent differences in brain structure following mild traumatic brain injury
(mTBI). We compared differences in brain structure, specifically cortical
thickness (CT), cortical volume (CV), and cortical surface area (CSA) in 54
individuals who sustained a recent mTBI and 33 healthy controls (HCs).
Individuals with mTBI were split into three groups, depending on their time since
injury. By comparing structural measures between mTBI and HC groups, differences
in CT reflected cortical thickening within several areas following 0-3 (time
point, TP1) and 3-6 months (TP2) post-mTBI. Compared with the HC group, the mTBI
group at TP2 showed lower CSA within several areas. Compared with the mTBI group
at TP2, the mTBI group during the most chronic stage (TP3: 6-18 months post-mTBI)
showed significantly higher CSA in several areas. All the above reported
differences in CT and CSA were significant at a cluster-forming p < .01
(corrected for multiple comparisons). We also found that in the mTBI group at
TP2, CT within two clusters (i.e., the left rostral middle frontal gyrus (L.
RMFG) and the right postcentral gyrus (R. PostCG)) was negatively correlated with
basic attention abilities (L. RMFG: r = -.41, p = .05 and R. PostCG: r = -.44, p
= .03). Our findings suggest that alterations in CT and associated
neuropsychological assessments may be more prominent during the early stages of
mTBI. However, alterations in CSA may reflect compensatory structural recovery
during the chronic stages of mTBI.
PMID- 29359499
TI - Laparoscopic transabdominal cerclage: Outcomes of 121 pregnancies.
AB - BACKGROUND: Cervical insufficiency is a significant cause of morbidity and
mortality. Cervical cerclage is one option in the management of cervical
insufficiency. AIM: To evaluate obstetric outcomes following insertion of a pre
pregnancy laparoscopic transabdominal cerclage in women at high risk for pre-term
labour and/or mid-trimester pregnancy loss. METHODS: A prospective observational
study of consecutive women who underwent laparoscopic transabdominal cerclage
from 2007 to 2017. Eligible women had a diagnosis of cervical insufficiency based
on previous obstetric history and/or a short or absent cervix and were considered
not suitable for a transvaginal cerclage. The primary outcome was neonatal
survival and the secondary outcome was delivery of an infant at >=34 weeks
gestation. Surgical morbidity and complications were also evaluated. RESULTS:
During the study period, 225 women underwent laparoscopic transabdominal
cerclage. We present the outcomes of 121 pregnancies resulting in 125 babies. The
perinatal survival rate of viable pregnancies was 98.5% with a mean gestational
age at delivery of 35.2 weeks; 79.7% of babies were delivered at >=34.0 weeks
gestation. CONCLUSION: Laparoscopic transabdominal cerclage is a safe and
effective procedure resulting in favourable obstetric outcomes in women with a
poor obstetric history. For optimal success the procedure requires the correct
surgical expertise, equipment and appropriate patient selection.
PMID- 29359500
TI - Simultaneous analysis of tropane alkaloids in teas and herbal teas by liquid
chromatography coupled to high-resolution mass spectrometry (Orbitrap).
AB - A new method has been developed for the simultaneous determination of 13 tropane
alkaloids in tea and herbal teas using high-performance liquid chromatography
coupled to an Exactive-Orbitrap analyzer. A mixture of methanol, water, and
formic acid was used for the extraction of the target compounds followed by a
solid-phase extraction step. The validated method provided recoveries from 75 to
128% with intra- and interday precision lower than or equal to 24% (except for
apoatropine). Limits of quantification ranged from 5 to 20 MUg/kg. Eleven tea and
herbal tea samples and two contaminated samples with Datura stramonium seeds were
analyzed. Tropane alkaloids were detected in six samples with concentrations from
5 (apoatropine) to 4340 MUg/kg (sum of physoperuvine, pseudotropine, and
tropine), whereas concentrations from 5 (apoatropine) to 1725 MUg/kg (sum of
physoperuvine, pseudotropine, and tropine) were found in the contaminated
samples.
PMID- 29359501
TI - Rhodium(I)-Catalyzed Cycloisomerization of Homopropargylallene-Alkynes through
C(sp3 )-C(sp) Bond Activation.
AB - Upon exposure to a catalytic amount of [RhCl(CO)2 ]2 in 1,4-dioxane,
homopropargylallene-alkynes underwent a novel cycloisomerization accompanied by
the migration of the alkyne moiety of the homopropargyl functional group to
produce six/five/five tricyclic compounds in good yields. A plausible mechanism
was proposed on the basis of an experiment with 13 C-labeled substrate. The
resulting tricyclic derivatives were further converted into the corresponding
bicyclo[3.3.0] skeletons with vicinal cis dihydroxy groups.
PMID- 29359502
TI - Survival rates indicate that correlations between community-weighted mean traits
and environments can be unreliable estimates of the adaptive value of traits.
AB - Correlations between community-weighted mean (CWM) traits and environmental
gradients are often assumed to quantify the adaptive value of traits. We tested
this assumption by comparing these correlations with models of survival
probability using 46 perennial species from long-term permanent plots in pine
forests of Arizona. Survival was modelled as a function of trait * environment
interactions, plant size, climatic variation and neighbourhood competition. The
effect of traits on survival depended on the environmental conditions, but the
two statistical approaches were inconsistent. For example, CWM-specific leaf area
(SLA) and soil fertility were uncorrelated. However, survival was highest for
species with low SLA in infertile soil, a result which agreed with expectations
derived from the physiological trade-off underpinning leaf economic theory. CWM
trait-environment relationships were unreliable estimates of how traits affected
survival, and should only be used in predictive models when there is empirical
support for an evolutionary trade-off that affects vital rates.
PMID- 29359503
TI - A General Strategy to Access Structural Information at Atomic Resolution in
Polyglutamine Homorepeats.
AB - Homorepeat (HR) proteins are involved in key biological processes and multiple
pathologies, however their high-resolution characterization has been impaired due
to their homotypic nature. To overcome this problem, we have developed a strategy
to isotopically label individual glutamines within HRs by combining nonsense
suppression and cell-free expression. Our method has enabled the NMR
investigation of huntingtin exon1 with a 16-residue polyglutamine (poly-Q) tract,
and the results indicate the presence of an N-terminal alpha-helix at near
neutral pH that vanishes towards the end of the HR. The generality of the
strategy was demonstrated by introducing a labeled glutamine into a pathological
version of huntingtin with 46 glutamines. This methodology paves the way to
decipher the structural and dynamic perturbations induced by HR extensions in
poly-Q-related diseases. Our approach can be extended to other amino acids to
investigate biological processes involving proteins containing low-complexity
regions (LCRs).
PMID- 29359504
TI - Stenting for middle aortic syndrome caused by Takayasu arteritis-immediate and
long-term outcomes.
AB - OBJECTIVE: To evaluate immediate and long-term clinical outcomes of stenting for
middle aortic syndrome (MAS) caused by Takayasu arteritis (TA). BACKGROUND:
Studies of endovascular stenting for the treatment of MAS caused by TA are scare.
METHODS: Data from 48 consecutive TA patients (mean 33.3 +/- 12.6 years) with MAS
treated by stenting in our institution between January 2010 and July 2016 were
collected and retrospectively analyzed. Thirty-day and long-term follow-up
clinical outcomes after aorta stenting were assessed. RESULTS: Stenting was
successful in all patients. The mean stenosis and peak systolic pressure gradient
of aorta lesions were reduced from 81.3 +/- 8.0% and 70.7 +/- 18.4 mm Hg to 14.7
+/- 8.3% and 14.0 +/- 5.8 mm Hg immediately after the 54 stents were implanted.
One patient developed retroperitoneal hemorrhage and one developed flow-limiting
dissection that involved bilateral renal arteries perioperatively. Both patients
recovered without sequela. Compared with baseline, the ankle brachial index (0.92
+/- 0.19 vs. 0.75 +/- 0.22), mean systolic blood pressure (149.5 +/- 19.1 vs.
179.0 +/- 28.4 mm Hg) and antihypertensive drugs (1.1 +/- 0.7 vs. 3.1 +/- 0.9)
significantly improved after an average follow-up of 3.1 years (all P < .001). A
total of 5 (10.9%) patients developed in-stent restenosis, which were resolved by
reintervention (restenting in 3 patients and re-angioplasty alone in 2 patients).
No major adverse events occurred during follow up. CONCLUSIONS: Percutaneous
aortic stenting is highly efficacious and safe in treating patients with MAS
caused by TA with good immediate and long-term clinical outcomes.
PMID- 29359505
TI - Maternal-choice caesarean section versus planned vaginal birth in low-risk
primigravid women.
AB - Rising rates of caesarean section (CS) have been attributed, in part, to maternal
choice CS (MCCS). There are few published data regarding maternal and perinatal
risks comparing MCCS with planned vaginal birth (VB) in uncomplicated first
pregnancies to inform choice. We report the results of a pragmatic patient
preference cohort study of private patients in Australia: 64 women planning MCCS
and 113 women planning VB. There were few differences in outcome between the two
groups. The study highlighted the well-recognised difficulties in undertaking
prospective research into MCCS.
PMID- 29359506
TI - First-in-human implantation of a novel self-expanding supra-annular transcatheter
heart valve for transcatheter aortic valve implantation inside a small
degenerated aortic surgical bioprosthesis.
AB - With next-generation valves such as the ALLEGRA valve from NewValve Technologies
(NVT), Hechingen Germany, there is a very likely treatment expansion for patients
with aortic valve disease. Besides treatment of native valvular aortic stenosis
with the ALLEGRA valve, the special implant mechanism seems to be an appealing
concept for patients with degenerated surgical bioprostheses. We report the first
case of a transfemoral implantation in small degenerated surgical bioprosthesis
of a 76-year-old woman.
PMID- 29359507
TI - Immune checkpoint inhibitors in cancer therapy: a focus on T-regulatory cells.
AB - Regulatory T cells (Tregs) play essential roles in immune homeostasis; however,
their role in tumor microenvironment (TME) is not completely evident. Several
studies reported that infiltration of Tregs into various tumor tissues promotes
tumor progression by limiting antitumor immunity and supporting tumor immune
evasion. Furthermore, in TME, Tregs include heterogeneous subsets of cells
expressing different immunosuppressive molecules favoring tumor progression. For
an effective cancer therapy, it is critical to understand the Treg heterogeneity
and biology in the TME. Recent studies have shown that immune checkpoint
molecules promote cancer progression through various antitumor inhibitory
mechanisms. Recent advances in cancer immunotherapy have shown the promising
potentials of immune checkpoint inhibitors (ICIs) in inducing antitumor immune
responses and clinical benefits in patients with cancer at late stages. Most
studies revealed the effect of ICIs on T effector cells, and little is known
about their effect on Tregs. In this review, we highlight the effects of the
ICIs, including anti-CTLA-4, anti-PD-1/PD-L1, anti-LAG-3, anti-TIM-3, and anti
TIGIT, on tumor-infiltrating and peripheral Tregs to elicit effector T-cell
functions against tumors. Additionally, we discuss how ICIs may target Tregs for
cancer immunotherapy.
PMID- 29359508
TI - Chromosomal deletions mediated by CRISPR/Cas9 in Helicoverpa armigera.
AB - Helicoverpa armigera, cotton bollworm, is one of the most disastrous pests
worldwide, threatening various food and economic crops. Functional genomic tools
may provide efficient approaches for its management. The clustered regularly
interspaced short palindromic repeats (CRISPR)/CRISPR-associated protein 9 (Cas9)
system, dependent on a single guide RNA (sgRNA), has been used to induce indels
for targeted mutagenesis in cotton bollworm. However, genomic deletions may be
more desirable to disrupt the function of noncoding genes or regulatory
sequences. By injecting two sgRNAs with Cas9 protein targeting different exons,
we obtained predictable genomic deletions of several hundred bases. We achieved
this type of modification with different combinations of sgRNA pairs, including
HaCad and HaABCC2. Our finding indicated that CRISPR/Cas9 can be used as an
efficient tool to engineer genomes with chromosomal deletion in H. armigera.
PMID- 29359509
TI - Survivin is a negative prognostic factor in malignant pleural effusion.
AB - BACKGROUND: Survivin is a well-known member of the inhibitor of apoptosis family,
and has been related to increased tumour aggressivity, both in tissue and in
pleural fluid. OBJECTIVES: In patients with malignant pleural effusion, we sought
to investigate the changes in pleural fluid survivin concentrations induced by
talc instillation into the pleural space. Those changes were also examined in
relation to pleurodesis outcome and patient survival. METHODS: We investigated 84
patients with malignant pleural effusion who underwent talc pleurodesis. Of them,
32 had breast cancer, 25 lung cancer and 27 had mesothelioma. Serial samples of
pleural fluid were obtained before thoracoscopy (baseline) and 24 hours
thereafter. RESULTS: Survivin levels were successfully quantified in all pleural
fluid samples, and they were significantly higher in samples obtained after
thoracoscopic talc poudrage compared with baseline (P < .001). Patients with
higher pleural fluid survivin levels at baseline had a significantly poorer
pleurodesis outcome (P = .004). A 30 pg/mL cut-off for baseline survivin in
pleural fluid predicted failure of pleurodesis with a 54% sensitivity and 79%
specificity (P = .009). Moreover, median postpleurodesis survival of patients
with baseline survivin levels >=30 pg/mL was 4 months (range: 0.1-38), compared
with 13 months (range: 0.1-259) in patients below that cut-off (P < .001).
CONCLUSION: Elevated pleural fluid survivin concentrations are useful to predict
failure of pleurodesis and are associated with shorter survival in patients with
malignant pleural effusion.
PMID- 29359510
TI - Impact of column and stationary phase properties on the productivity in chiral
preparative LC.
AB - By generating 1500 random chiral separation systems, assuming two-site Langmuir
interactions, we investigated numerically how the maximal productivity (PR,max )
was affected by changes in stationary phase adsorption properties. The relative
change in PR,max , when one adsorption property changed 10%, was determined for
each system and for each studied parameter the corresponding productivity change
distribution of the systems was analyzed. We could conclude that there is no
reason to have columns with more than 500 theoretical plates and larger
selectivity than 3. More specifically, we found that changes in selectivity have
a major impact on PR,max if it is below ~2 and, interestingly, increasing
selectivity when it is above ~3 decreases PR,max . Increase in relative
saturation capacity will have a major impact on PR,max if it is below ~40%, but
only modest above this percent. Increasing total monolayer saturation capacity,
or decreasing the first eluting enantiomer's retention factor, will have a modest
effect on PR,max and increased efficiency will have almost no effect at all on
PR,max unless it is below ~500 theoretical plates. Finally, we showed that chiral
columns with superior analytic performance might have inferior preparative
performance, or vice versa. It is, therefore, not possible to assess columns
based on their analytical performance alone.
PMID- 29359511
TI - Residence rule flexibility and descent groups dynamics shape uniparental genetic
diversities in South East Asia.
AB - OBJECTIVES: Social organization plays a major role in shaping human population
genetic diversity. In particular, matrilocal populations tend to exhibit less
mitochondrial diversity than patrilocal populations, and the other way around for
Y chromosome diversity. However, several studies have not replicated such
findings. The objective of this study is to understand the reasons for such
inconsistencies and further evaluate the influence of social organization on
genetic diversity. MATERIALS AND METHODS: We explored uniparental diversity
patterns using mitochondrial HV1 sequences and 17 Y-linked short tandem repeats
(STRs) in 12 populations (n = 619) from mainland South-East Asia exhibiting a
wide range of social organizations, along with quantitative ethno-demographic
information sampled at the individual level. RESULTS: MtDNA diversity was lower
in matrilocal than in multilocal and patrilocal populations while Y chromosome
diversity was similar among these social organizations. The reasons for such
asymmetry at the genetic level were understood by quantifying sex-specific
migration rates from our ethno-demographic data: while female migration rates
varied between social organizations, male migration rates did not. This
unexpected lack of difference in male migrations resulted from a higher
flexibility in residence rule in patrilocal than in matrilocal populations. In
addition, our data suggested an impact of clan fission process on uniparental
genetic patterns. CONCLUSIONS: The observed lack of signature of patrilocality on
Y chromosome patterns might be attributed to the higher residence flexibility in
the studied patrilocal populations, thus providing a potential explanation for
the apparent discrepancies between social and genetic structures. Altogether,
this study highlights the need to quantify the actual residence and descent
patterns to fit social to genetic structures.
PMID- 29359512
TI - Ex vivo allotransplantation engineering: Delivery of mesenchymal stem cells
prolongs rejection-free allograft survival.
AB - Current pharmacologic regimens in transplantation prevent allograft rejection
through systemic recipient immunosuppression but are associated with severe
morbidity and mortality. The ultimate goal of transplantation is the prevention
of allograft rejection while maintaining recipient immunocompetence. We
hypothesized that allografts could be engineered ex vivo (after allotransplant
procurement but before transplantation) by using mesenchymal stem cell-based
therapy to generate localized immunomodulation without affecting systemic
recipient immunocompetence. To this end, we evaluated the therapeutic efficacy of
bone marrow-derived mesenchymal stem cells in vitro and activated them toward an
immunomodulatory fate by priming in inflammatory or hypoxic microenvironments.
Using an established rat hindlimb model for allotransplantation, we were able to
significantly prolong rejection-free allograft survival with a single
perioperative ex vivo infusion of bone marrow-derived mesenchymal stem cells
through the allograft vasculature, in the absence of long-term pharmacologic
immunosuppression. Critically, transplanted rats rejected a second, nonengineered
skin graft from the same donor species to the contralateral limb at a later date,
demonstrating that recipient systemic immunocompetence remained intact. This
study represents a novel approach in transplant immunology and highlights the
significant therapeutic opportunity of the ex vivo period in transplant
engineering.
PMID- 29359513
TI - Vascular characteristics in young women-Effect of extensive endurance training or
a sedentary lifestyle.
AB - AIM: To explore whether high-level endurance training in early age has an
influence on the arterial wall properties in young women. METHODS: Forty-seven
athletes (ATH) and 52 controls (CTR), all 17-25 years of age, were further
divided into runners (RUN), whole-body endurance athletes (WBA), sedentary
controls (SC) and normally active controls (AC). Two-dimensional ultrasound
scanning of the carotid arteries was conducted to determine local common carotid
artery (CCA) geometry and wall distensibility. Pulse waves were recorded with a
tonometer to determine regional pulse wave velocity (PWV) and pulse pressure
waveform. RESULTS: Carotid-radial PWV was lower in WBA than in RUN (P < .05),
indicating higher arterial distensibility along the arm. Mean arterial pressure
was lower in ATH than in CTR and in RUN than in WBA (P < .05). Synthesized aortic
augmentation index (AI@75) was lower among ATH than among CTR (-12.8 +/- 1.6 vs
2.6 +/- 1.2%, P < .001) and in WBA than in RUN (-16.4 +/- 2.5 vs -10.7 +/- 2.0%,
P < .05), suggesting a diminished return of reflection waves to the aorta during
systole. Carotid-femoral PWV and intima-media thickness (IMT), lumen diameter and
radial distensibility of the CCA were similar in ATH and CTR. CONCLUSION: Elastic
artery distensibility and carotid artery IMT are not different in young women
with extensive endurance training over several years and in those with sedentary
lifestyle. On the other hand, our data suggest that long-term endurance training
is associated with potentially favourable peripheral artery adaptation,
especially in sports where upper body work is added. This adaptation, if
persisting later in life, could contribute to lower cardiovascular risk.
PMID- 29359514
TI - Photoluminescence of Visible and NIR-Emitting Lanthanide-Doped Bismuth-Organic
Materials.
AB - A bismuth-organic compound containing 2,2':6'2"-terpyridine (terpy) and 2
thiophenecarboxylate (TC), of the general formula (terpy)Bi(kappa2 -TC)3 ?0.47 H2
O (BiOM-1), has been synthesized under hydrothermal conditions. Addition of a
lanthanide nitrate solution to the reaction mixture led to statistical
replacement of the bismuth centers, and yielded isomorphous lanthanide containing
compounds Bi1-x Lnx OM-1 (Ln=Nd, Sm, Eu, Tb, Dy, Er, and Yb) that showed bismuth
and/or ligand sensitized lanthanide-centered emission, and the first example of
NIR emission from a lanthanide doped BiOM. The structure was determined by single
crystal X-ray diffraction, and the level and uniformity of lanthanide ion
incorporation into the bismuth host was determined by ICP-OES and electron
microprobe analysis. For the visible emitters, lifetime data and quantum yields
are presented. A high efficiency of sensitization was calculated for the europium
analog (50.1 %), showing significant improvement over previously reported
europium thiophenecarboxylates. These novel materials may provide strategies to
address concerns over the long-term sustainability of the rare earth elements,
especially relating to optical devices.
PMID- 29359515
TI - Bone marrow-derived mononuclear cell therapy for nonischaemic dilated
cardiomyopathy-A meta-analysis.
AB - OBJECTIVE: The therapeutic effects of bone marrow-derived mononuclear cells
(BMMNCs) transplantation in patients with nonischaemic dilated cardiomyopathy
(DCM) are still under debate. Current randomized controlled trials (RCTs)
reported conflicting results. The aim of this study was to assess the effects of
BMMNCs transplantation on left ventricular ejection fraction (LVEF) in patients
with nonischaemic DCM. METHODS: A comprehensive search of PubMed, EMBASE and
Cochrane Controlled Trials Register was performed. We included RCTs reporting
data on LVEF in patients with nonischaemic DCM after BMMNCs transplantation.
RESULTS: Seven RCTs including 463 patients were included. BMMNCs transplantation
significantly improved LVEF by 3.79% (95% CI: 0.56%-7.03%; P = .007) and LVESV by
-24.36 mL (95% CI: -46.36 to -2.36 mL; P = .03), while had no impact on the risk
of all-cause death (OR 0.92; 95% CI: 0.41 to 2.08%; P = .84). Subgroup analysis
demonstrated a more significant improvement of LVEF in patients with longer
follow-up (~15 months to 5 years) than shorter ones (12 months). Moreover, using
bone marrow mononuclear cells was more effective than using G-CSF-stimulated bone
marrow/peripheral blood stem cells in the improvement of LVEF in patients with
nonischaemic DCM. CONCLUSIONS: Bone marrow-derived mononuclear cells
transplantation is associated with a moderate, but significant, improvement in
LVEF in patients with nonischaemic DCM. This meta-analysis supports further RCT
conductions using BMMNCs transplantation with larger patient's population and
longer term follow-up.
PMID- 29359516
TI - Seminal SIRT1 expression in infertile oligoasthenoteratozoospermic men with
varicocoele.
AB - In a case-controlled study, we assessed the expressed seminal NAD-dependent
protein deacetylase (SIRT1) expression in infertile oligoasthenoteratozoospermic
(OAT) men associated with varicocoele. Our study involved 81 men, recruited from
the University hospitals, after ethical approval and informed consent. They were
allocated into fertile normozoospermic men (n = 23), infertile OAT men without
varicocoele (n = 23) and infertile OAT men with varicocoele (n = 35). Inclusion
criteria consisted of confirmation of abnormal semen parameters and normal female
partners whereas exclusion criteria were leukocytospermia, tobacco smoking,
hormonal therapy, immunological disorders, dyslipidemia, hypogonadism,
cardiovascular disorders, morbid obesity, and hepatic or renal failures. All
participants had an interview to assess clinical history, clinical examination,
semen analysis, and estimation of seminal SIRT1 expression. Seminal SIRT1
expression was significantly lower in infertile OAT men than fertile men. Among
infertile OAT men, seminal SIRT1 expression was significantly lower in those with
varicocoele than in those without. Additionally, seminal SIRT1 expression was
significantly lower in varicocoele grade III cases compared with other grades.
Seminal SIRT1 expression was positively correlated with sperm concentration (r =
0.327, p = 0.001), total sperm motility (r = 0.532, p = 0.001), and sperm normal
forms (r = 0.469, p = 0.001). Our results suggest that seminal SIRT1 expression
has a role of male infertility being significantly decreased in infertile OAT men
in general and in infertile OAT men associated with varicocoele in particular.
PMID- 29359517
TI - Fine-needle aspiration of a pancreatic neuroendocrine tumor with prominent
rhabdoid features.
AB - Pancreatic neuroendocrine tumors (PanNETs) are uncommon neoplasms that
conventionally possess architectural and cytomorphological features seen in
neuroendocrine neoplasms found at other sites. When present, these features often
allow rapid identification of neuroendocrine differentiation and an accurate
diagnosis. Here, we report the cytologic findings seen on fine-needle aspiration
(FNA) of a PanNET with distinct rhabdoid features. This morphology is rare in
PanNETs and has been reported in only two case series examining surgical
resection specimens and has not been described on FNA. It is important to
recognize this morphology as this variant appears to portend an aggressive
clinical course. Furthermore, unfamiliarity with this morphologic variant may
lead to a larger initial differential and thus delay final diagnosis.
PMID- 29359518
TI - Store-Operated Calcium Entries Control Neural Stem Cell Self-Renewal in the Adult
Brain Subventricular Zone.
AB - The subventricular zone (SVZ) is the major stem cell niche in the brain of adult
mammals. Within this region, neural stem cells (NSC) proliferate, self-renew and
give birth to neurons and glial cells. Previous studies underlined enrichment in
calcium signaling-related transcripts in adult NSC. Because of their ability to
mobilize sustained calcium influxes in response to a wide range of extracellular
factors, store-operated channels (SOC) appear to be, among calcium channels,
relevant candidates to induce calcium signaling in NSC whose cellular activities
are continuously adapted to physiological signals from the microenvironment. By
Reverse Transcription Polymerase Chain Reaction (RT-PCR), Western blotting and
immunocytochemistry experiments, we demonstrate that SVZ cells express molecular
actors known to build up SOC, namely transient receptor potential canonical 1
(TRPC1) and Orai1, as well as their activator stromal interaction molecule 1
(STIM1). Calcium imaging reveals that SVZ cells display store-operated calcium
entries. Pharmacological blockade of SOC with SKF-96365 or YM-58483 (also called
BTP2) decreases proliferation, impairs self-renewal by shifting the type of SVZ
stem cell division from symmetric proliferative to asymmetric, thereby reducing
the stem cell population. Brain section immunostainings show that TRPC1, Orai1,
and STIM1 are expressed in vivo, in SOX2-positive SVZ NSC. Injection of SKF-96365
in brain lateral ventricle diminishes SVZ cell proliferation and reduces the
ability of SVZ cells to form neurospheres in vitro. The present study combining
in vitro and in vivo approaches uncovers a major role for SOC in the control of
SVZ NSC population and opens new fields of investigation for stem cell biology in
health and disease. Stem Cells 2018;36:761-774.
PMID- 29359519
TI - Predicting corticosteroid-free endoscopic remission with vedolizumab in
ulcerative colitis.
AB - BACKGROUND: Vedolizumab is an effective therapy for ulcerative colitis (UC), but
costly and slow to work. New clinical responses occur after 30 weeks of therapy.
AIMS: To enable physicians, patients, and insurers to predict whether a patient
with UC will respond to vedolizumab at an early time point after starting
therapy. METHODS: The clinical study data request website provided the phase 3
clinical trial data for vedolizumab. Random forest models were trained on 70% and
tested on 30% of the data to predict corticosteroid-free endoscopic remission at
week 52. Models were constructed using baseline data, or data through week 6 of
vedolizumab therapy from 491 subjects. RESULTS: The AuROC for prediction of
corticosteroid-free endoscopic remission at week 52 using baseline data was only
0.62 (95% CI: 0.53-0.72), but was 0.73 (95% CI: 0.65-0.82) when using data
through week 6. A total of 47% of subjects were predicted to be remitters, and
59% of these subjects achieved corticosteroid-free endoscopic remission, in
contrast to 21% of the predicted non-remitters. A week 6 prediction using FCP
<=234 MUg/g was nearly as accurate. CONCLUSIONS: A machine learning algorithm
using laboratory data through week 6 of vedolizumab therapy was able to
accurately identify which UC patients would achieve corticosteroid-free
endoscopic remission on vedolizumab at week 52. Application of this algorithm
could have significant implications for clinical decisions on whom to continue on
this costly medication when the benefits of the vedolizumab are not clinically
apparent in the first 6 weeks of therapy.
PMID- 29359520
TI - National rates, trends and determinants of inpatient surgical management of tubal
ectopic pregnancy in the United States, 1998-2011.
AB - AIM: To describe the frequency and temporal trends of inpatient hospitalization
for tubal ectopic pregnancy as well as patients' characteristics, determinants
and the current national trends in surgical management of ectopic pregnancy.
METHODS: We conducted a retrospective, cross-sectional analysis of patients who
were treated for tubal ectopic pregnancy in an inpatient hospital setting in the
United States from 1998 to 2011 using data from the Nationwide Inpatient Sample
databases. National frequency and significant changes in the rate of surgical
management of tubal ectopic pregnancy in the inpatient setting are described.
RESULTS: The study included 334 639 tubal ectopic pregnancies for women aged 18
50 in the United States from 1998 to 2011. The rate of tubal ectopic pregnancy
(per 10 000 maternal admissions) decreased from 77.2 in 1998 to 40.5 in 2011. The
proportion of tubal ectopic pregnancies for which salpingostomy was performed
decreased from 17.0% in 1998 to 7.0% in 2011, while the rate of salpingectomy
increased from 69.3% in 1998 to 80.9% in 2011. The temporal change in surgical
choice was not different in states with comprehensive in vitro fertilization
insurance mandates. CONCLUSION: The rate of tubal ectopic pregnancy managed in
the inpatient setting in the United States decreased 5% annually between 1998 and
2011. The rate of salpingectomies performed annually increased whereas that of
salpingostomy decreased over time. The surgical approach selected for the
management of tubal ectopic pregnancies was not influenced by a state's in vitro
fertilization mandate status.
PMID- 29359521
TI - Neural correlates of lower limbs proprioception: An fMRI study of foot position
matching.
AB - Little is known about the neural correlates of lower limbs position sense,
despite the impact that proprioceptive deficits have on everyday life activities,
such as posture and gait control. We used fMRI to investigate in 30 healthy right
handed and right-footed subjects the regional distribution of brain activity
during position matching tasks performed with the right dominant and the left
nondominant foot. Along with the brain activation, we assessed the performance
during both ipsilateral and contralateral matching tasks. Subjects had lower
errors when matching was performed by the left nondominant foot. The fMRI
analysis suggested that the significant regions responsible for position sense
are in the right parietal and frontal cortex, providing a first characterization
of the neural correlates of foot position matching.
PMID- 29359522
TI - Use of olmesartan and enteropathy outcomes: a multi-database study.
AB - BACKGROUND: Multiple case reports suggest that olmesartan may be linked to sprue
like enteropathy; however, few epidemiological studies have examined this
association and results have been mixed. AIM: To assess whether olmesartan is
associated with a higher rate of enteropathy vs other angiotensin II receptor
blockers (ARBs). METHODS: We conducted a cohort study among ARB initiators in 5
US claims databases representing different health insurance programmes. Cox
regression models were used to estimate hazard ratios (HRs) and 95% confidence
intervals (CIs) for enteropathy-related outcomes, including coeliac disease,
malabsorption, concomitant diagnoses of diarrhoea and weight loss, and non
infectious enteropathy, comparing olmesartan initiators to initiators of other
ARBs after propensity score (PS) matching. RESULTS: We identified 1 928 469
eligible patients. The unadjusted incidence rates were 0.82, 1.41, 1.66 and 29.20
per 1000 person-years for coeliac disease, malabsorption, concomitant diagnoses
of diarrhoea and weight loss, and non-infectious enteropathy respectively. HRs
after PS matching comparing olmesartan to other ARBs were 1.21 (95% CI, 1.05
1.40), 1.00 (95% CI, 0.88-1.13), 1.22 (95% CI, 1.10-1.36) and 1.04 (95% CI, 1.01
1.07) for each outcome. HRs were larger for patients aged 65 years and older (eg
for coeliac disease, 1.57 [95% CI, 1.20-2.05]), for patients receiving treatment
for more than 1 year (1.62 [95% CI, 1.24-2.12]), and for patients receiving
higher cumulative olmesartan doses (1.78 [95% CI, 1.33-2.37]). CONCLUSIONS: This
large-scale, multi-database study found a higher rate of enteropathy in
olmesartan initiators as compared to initiators of other ARBs, although the
absolute incidence rate was low in both groups.
PMID- 29359523
TI - Kawasaki disease: Epidemiological differences between past and recent periods,
and implications of distribution dynamism.
AB - BACKGROUND: Epidemiological findings suggest that Kawasaki disease (KD) is
mediated by infection, which triggers its onset. Although the mechanism of onset
seems to involve preconditioning factors and triggering factors, the details
remain unclarified. METHODS: Data for 330 662 patients reported in KD nationwide
surveys in Japan implemented between 1961 and 2014 were chronologically compared
in terms of patient age distribution, estimated mean onset age, and male-to
female ratio during four periods: pre-epidemic (P1), epidemic (P2), stable (P3),
and recent (P4): 1961-1978, 1979-1986, 1987-1997, and 1998-2014, respectively.
RESULTS: During P2, the number of patients aged 6 months-2 years increased, and
the mean onset age was younger; during P4, however, the number of patients aged
<=1 year decreased, but the number of patients >=2 years increased, with a flat
onset age distribution chart, and the mean onset age was older. During P2,
increases in the number of patients were accompanied by younger mean onset age,
whereas during P4, increases in the number of patients were conversely
accompanied by older mean onset ages. The male: female ratio tended to decrease
during P2, but this tendency was not seen in P4. No outbreak occurred during a
recent 28 year period (P3, P4). Specific preconditioning factors might have been
present during the 8 years (P2) of the past three outbreaks. CONCLUSION: P2 and
P4 were significantly different in epidemiological features. It is likely that
they do not share the same mechanism of onset (preconditioning and/or trigger
factors).
PMID- 29359524
TI - Low Apgar scores at both one and five minutes are associated with long-term
neurological morbidity.
AB - AIM: This study evaluated the associations between low Apgar scores at one and
five minutes and long-term neurological impairments. METHODS: This study used
population-based data on 399,815 singletons born in Finland in 2004-2010 and
multivariable logistic regression to examine any associations between low (0-3)
and intermediate (4-6) Apgar scores and cerebral palsy, epilepsy, intellectual
disability and sensorineural defects by the age of four years. RESULTS: The odd
ratios (OR) and 95% confidence intervals (95% CI) showed that low Apgar scores
were associated with cerebral palsy at one and five minutes (ORs 2.08, 95% CI
1.32-3.26 and 5.19, 95% CI 3.06-8.80), epilepsy (ORs 1.62, 95% CI 1.13-2.33 and
4.79, 95% CI 3.03-7.56), and intellectual disability (ORs 2.46, 95% CI 1.45-4.16
and 6.21, 95% CI 3.33-11.58). Only a low five-minute Apgar score was associated
with sensorineural defects (OR 3.13, 95% CI 1.95-5.02). Neurological impairment
risks were increased by low Apgar scores at both one and five minutes (OR 11.1,
95% CI 8.6-14.5), but 90.3% of children with persistent low Apgar scores had no
impairment. CONCLUSION: Low one-minute and five-minute Apgar scores were
associated with long-term neurological morbidity, especially when both scores
were low.
PMID- 29359525
TI - The variation in chemical composition of barley feed with or without enzyme
supplementation influences nutrient digestibility and subsequently affects
performance in piglets.
AB - This study investigates the effect of dietary supplementation of a beta-glucanase
and beta-xylanase enzyme mix to barley based diets, at two different chemical
compositions achieved through different agronomical conditions on growth
performance, coefficient of apparent total tract digestibility (CATTD) of
nutrients, selected faecal microbial populations and faecal scores in piglets.
Sixty-four piglets (11.7 kg (SD 0.96)) housed in pens of two were assigned to one
of four dietary treatments (n = 8). The dietary treatments were as follows: (T1)
low quality barley diet, (T2) low quality barley diet containing a beta-glucanase
and beta-xylanase enzyme supplement, (T3) high quality barley diet and (T4) high
quality barley diet containing a beta-glucanase and beta-xylanase enzyme
supplement. Piglets offered the low quality barley-based diet had a higher (p <
.05) average daily gain (ADG) (0.73 vs. 0.69 kg, SEM 0.001), gain:feed (G:F)
ratio (0.61 vs. 0.58 kg, SEM 0.011) and a higher CATTD (p < .001) of dry matter
(DM), organic matter (OM), nitrogen (N), ash, gross energy (GE) and neutral
detergent fibre (NDF) compared with piglets offered the high quality barley diet.
Piglets offered the high quality barley-based diet had reduced faecal scores
compared to piglets offered the low quality barley-based diet (2.44 vs. 2.57, SEM
0.036) (p < .05). There was a higher population of Lactobacillus spp. (11.6 vs.
10.5 log gene copy number/g faeces, SEM 0.177) (p < .001) and total volatile
fatty acid (VFA) concentration (185 vs. 165 mmol/g faeces, SEM 5.658) (p < .001)
in the faeces of piglets offered the high quality barley-based diet compared to
piglets offered the low quality barley-based diet. The inclusion of a beta
glucanase and beta-xylanase enzyme complex had no effect on any variable
measured. In conclusion, the higher quality barley-based diet showed beneficial
effects on the faecal Lactobacillus spp. population and faecal scores of the
piglets; however, the higher level of beta-glucans in the diet decreased nutrient
digestibility and subsequently decreased the performance.
PMID- 29359527
TI - Treatment of premenstrual mood changes in a patient with schizophrenia using
dienogest: A case report.
AB - Dienogest is a fourth-generation progestin that is used for the treatment of
endometriosis. We report a case of premenstrual mood changes in a patient with
schizophrenia who was unresponsive to conventional treatment but successfully
managed with dienogest. A 37-year-old Japanese woman with schizophrenia was
referred to our hospital and diagnosed with premenstrual exacerbation of
schizophrenia or coexisting premenstrual dysphoric disorder with schizophrenia.
She had already taken maximal doses of selective serotonin reuptake inhibitors
and combined oral contraceptives produced intolerable side effects. Gonadotropin
releasing hormone agonist treatment was effective but was not suitable for long
term use. Dienogest was initiated to treat pelvic endometriosis and produced
subsequent improvements in mental status. The patient was able to return to work
and did not indicate any adverse effects. This case suggests that dienogest may
be useful for managing premenstrual mood changes in patients with schizophrenia,
that it can be safely administered over long periods of time.
PMID- 29359528
TI - Is it time for a more 'proactive' approach to metastatic prostate cancer?
PMID- 29359529
TI - Examining the role of centralisation of radical cystectomy for bladder cancer.
PMID- 29359530
TI - Selecting patients for prostate cancer treatment: the role of comorbidity.
PMID- 29359526
TI - Socioeconomic disadvantage and altered corticostriatal circuitry in urban youth.
AB - Socioeconomic disadvantage (SED) experienced in early life is linked to a range
of risk behaviors and diseases. Neuroimaging research indicates that this
association is mediated by functional changes in corticostriatal reward systems
that modulate goal-directed behavior, reward evaluation, and affective
processing. Existing research has focused largely on adults and within-household
measures as an index of SED, despite evidence that broader community-level SED
(e.g., neighborhood poverty levels) has significant and sometimes distinct
effects on development and health outcomes. Here, we test effects of both
household- and community-level SED on resting-state functional connectivity
(rsFC) of the ventral striatum (VS) in 100 racially and economically diverse
children and adolescents (ages 6-17). We observed unique effects of household
income and community SED on VS circuitry such that higher community SED was
associated with reduced rsFC between the VS and an anterior region of the medial
prefrontal cortex (mPFC), whereas lower household income was associated with
increased rsFC between the VS and the cerebellum, inferior temporal lobe, and
lateral prefrontal cortex. Lower VS-mPFC rsFC was also associated with higher
self-reported anxiety symptomology, and rsFC mediated the link between community
SED and anxiety. These results indicate unique effects of community-level SED on
corticostriatal reward circuitry that can be detected in early life, which
carries implications for future interventions and targeted therapies. In
addition, our findings raise intriguing questions about the distinct pathways
through which specific sources of SED can affect brain and emotional development.
PMID- 29359531
TI - The challenge with systematic reviews of non-randomised studies in urology.
PMID- 29359532
TI - Even 'low-dose' cabazitaxel requires careful and meticulous patient selection.
PMID- 29359533
TI - The City of Johannesburg can end AIDS by 2030: modelling the impact of achieving
the Fast-Track targets and what it will take to get there.
AB - INTRODUCTION: In 2014, city leaders from around the world endorsed the Paris
Declaration on Fast-Track Cities, pledging to achieve the 2020 and 2030 HIV
targets championed by UNAIDS. The City of Johannesburg - one of South Africa's
metropolitan municipalities and also a health district - has over 600,000 people
living with HIV (PLHIV), more than any other city worldwide. We estimate what it
would take in terms of programmatic targets and costs for the City of
Johannesburg to meet the Fast-Track targets, and demonstrate the impact that this
would have. METHODS: We applied the Optima HIV epidemic and resource allocation
model to demographic, epidemiological and behavioural data on 26 sub-populations
in Johannesburg. We used data on programme costs and coverage to produce baseline
projections. We calculated how many people must be diagnosed, put onto treatment
and maintained with viral suppression to achieve the 2020 and 2030 targets. We
also estimated how treatment needs - and therefore fiscal commitments - could be
reduced if the treatment targets are combined with primary HIV prevention
interventions (voluntary medical male circumcision (VMMC), an expanded condom
programme, and comprehensive packages for female sex workers (FSW) and young
females). RESULTS: If current programmatic coverage were maintained, Johannesburg
could expect 303,000 new infections and 96,000 AIDS-related deaths between 2017
and 2030 and 769,000 PLHIV by 2030. Achieving the Fast-Track targets would
require an additional 135,000 diagnoses and 232,000 people on treatment by 2020
(an increase in around 80% over 2016 treatment numbers), but would avert 176,000
infections and 56,500 deaths by 2030. Assuming stable ART unit costs, this would
require ZAR 29 billion (USD 2.15 billion) in cumulative treatment investments
over the 14 years to 2030. Plausible scale-ups of other proven interventions
(VMMC, condom distribution and FSW strategies) could yield additional reductions
in new infections (between 4 and 15%), and in overall treatment investment needs.
Scaling up VMMC in line with national targets is found to be cost-effective in
the medium term. CONCLUSIONS: The scale-up in testing and treatment programmes
over this decade has been rapid, but these efforts must be doubled to reach 2020
targets. Strategic investments in proven interventions will help Johannesburg
achieve the treatment targets and be on track to end AIDS by 2030.
PMID- 29359534
TI - Altered cortical activation and connectivity patterns for visual attention
processing in young adults post-traumatic brain injury: A functional near
infrared spectroscopy study.
AB - AIMS: This study aimed at understanding the neurobiological mechanisms associated
with inattention induced by traumatic brain injury (TBI). To eliminate the
potential confounding caused by the heterogeneity of TBI, we focused on young
adults postsports-related concussion (SRC). METHODS: Functional near-infrared
spectroscopy (fNIRS) data were collected from 27 young adults post-SRC and 27
group-matched normal controls (NCs), while performing a visual sustained
attention task. Task responsive cortical activation maps and pairwise functional
connectivity among six regions of interest were constructed for each subject.
Correlations among the brain imaging measures and clinical measures of attention
were calculated in each group. RESULTS: Compared to the NCs, the SRC group showed
significantly increased brain activation in left middle frontal gyrus (MFG) and
increased functional connectivity between right inferior occipital cortex (IOC)
bilateral calcarine gyri (CG). The left MFG activation magnitude was
significantly negatively correlated with the hyperactive/impulsive symptom
severity measure in the NCs, but not in the patients. The right hemisphere CG-IOC
functional connectivity showed a significant positive correlation with the
hyperactive/impulsive symptom severity measure in patients, but not in NCs.
CONCLUSION: The current data suggest that abnormal left MFG activation and hyper
communications between right IOC and bilateral CG during visual attention
processing may significantly contribute to behavioral manifestations of attention
deficits in patients with TBI.
PMID- 29359536
TI - Incidence and Seasonal Variation of Distal Radius Fractures in Korea: a
Population-based Study.
AB - BACKGROUND: The present study aimed to investigate the incidence and seasonal
variation of distal radius fractures (DRFs) in Korea. METHODS: We analyzed a
nationwide database acquired from the Korean Health Insurance Review and
Assessment Service from 2011 to 2015. We used International Classification of
Diseases, 10th revision codes and procedure codes to identify patients of all
ages with newly diagnosed DRFs. RESULTS: An average of about 130,000 DRFs
occurred annually in Korea. The incidence of DRF, by age group, was highest in
the 10 to 14-year-old age group for males and the highest in the 70s age group
for females, with a rapid increase of incidence after 50 years. The peak
incidence of DRF occurred during winter; however, the incidence greatly varied
annually when compared with that of other seasons. The incidence of DRFs during
the winter season was correlated with the average temperature. CONCLUSION: The
annual incidence of DRF was 130,000 in Korea. The incidence increased under an
intense cold surge during winter. Active preventive measures are recommended
especially in women exceeding 50 years considering the higher incidence in this
age group.
PMID- 29359535
TI - Trends and Cut-Point Changes in Obesity Parameters by Age Groups Considering
Metabolic Syndrome.
AB - BACKGROUND: Non-communicable diseases (NCDs) are an important issue worldwide.
Obesity has a close relationship with NCDs. Various age-related changes should be
considered when evaluating obesity. METHODS: National representative cohort data
from the National Health Insurance Service National Sample Cohort from 2012 to
2013 were used. Sex-specific and age group-specific (10-year intervals) means for
body mass index (BMI), waist circumference (WC), and waist-to-height ratio (WtHR)
were calculated. Optimal cut-points for obesity parameters were defined as the
value predicting two or more components of metabolic syndrome (except WC).
RESULTS: The mean value and optimal cut-point for BMI decreased with age for men.
The mean BMI value for women increased with age, but optimal cut-points showed no
remarkable difference. The mean WC of men increased with age, but the optimal cut
points were similar for age groups. For women, the mean value and optimal cut
point for WC increased with age. Regarding WtHR, the mean value and optimal cut
point increased with age for men and women. Differences across age groups were
larger for women. CONCLUSION: The mean values of the obesity indices and the
optimal cut-points were changed according to age groups. This study supports the
necessity of applying age group-specific cut-points for the various obesity
parameters.
PMID- 29359537
TI - Hospital-based Influenza Morbidity and Mortality (HIMM) Surveillance for A/H7N9
Influenza Virus Infection in Returning Travelers.
AB - Since 2013, the Hospital-based Influenza Morbidity and Mortality (HIMM)
surveillance system began a H7N9 influenza surveillance scheme for returning
travelers in addition to pre-existing emergency room (ER)-based influenza-like
illness (ILI) surveillance and severe acute respiratory infection (SARI)
surveillance. Although limited to eastern China, avian A/H7N9 influenza virus is
considered to have the highest pandemic potential among currently circulating
influenza viruses. During the study period between October 1st, 2013 and April
30th, 2016, 11 cases presented with ILI within seven days of travel return. These
patients visited China, Hong Kong, or neighboring Southeast Asian countries, but
none of them visited a livestock market. Seasonal influenza virus (54.5%, 6 among
11) was the most common cause of ILI among returning travelers, and avian A/H7N9
influenza virus was not detected during the study period.
PMID- 29359539
TI - Uveoretinal Adverse Effects Presented during Systemic Anticancer Chemotherapy: a
10-Year Single Center Experience.
AB - BACKGROUND: The present study describes our 10-year experience with uveoretinal
adverse events that manifest because of chemotherapy. METHODS: A retrospective
chart review was performed for all patients who presented to the ophthalmologic
department while undergoing systemic chemotherapy between July 2005 and June
2015. RESULTS: A total of 55 patients (mean age, 51.2 years, 38 women [69.1%])
suspected of having uveoretinal disease owing to the use of chemotherapeutic
agents alone were enrolled. Breast cancer was the predominant disease (36.4%);
noninfectious anterior uveitis (21.8%) was the most common condition. Bilateral
involvement was observed in 16 patients (29.1%). Although cisplatin (21.8%) was
the most commonly used drug, daunorubicin, cytarabine, tamoxifen, toremifene, and
imatinib were also frequently used. The median duration until ophthalmologic
diagnosis was 208.5 days (range, 19-5,945 days). The proportion of patients with
final visual acuity (VA) < 20/40 Snellen VA (0.5 decimal VA) was 32.7%. However,
no relationship was observed between final VA < 20/40 and age, sex, therapeutic
agents, and metastasis. CONCLUSION: Uveoretinal complications were mostly mild to
moderate and exhibited a favorable response to conservative therapy. A
considerable number of patients exhibited significant irreversible loss of vision
after cessation of the causative chemotherapeutic agent. Ophthalmological
monitoring is required during chemotherapy.
PMID- 29359538
TI - Risk of Emergency Operations, Adverse Maternal and Neonatal Outcomes according to
the Planned Gestational Age for Cesarean Delivery.
AB - BACKGROUND: The objective of this study was to assess the risk of emergency
cesarean deliveries (CDs) and adverse neonatal/maternal outcomes according to the
planned gestational age at delivery (GAD) for elective CD. METHODS: The study
population consisted of term singleton pregnant women who were booked for
elective CD and were subsequently delivered at term by CD, after excluding cases
with a trial of labor. The relationship between the planned GAD, risk of
emergency CD prior to planned date, and adverse neonatal/maternal outcomes were
determined. RESULTS: The frequency of emergency CD, adverse neonatal and maternal
outcomes were 9.5%, 4.5%, and 5.9%, respectively. The risk of emergency CD prior
to the planned delivery date increased significantly according to the planned GAD
(5.8% at 37 weeks, 8.2% at 38 weeks, 13.6% at 39 weeks, and 26.7% at 40 weeks or
more of planned GAD, P = 0.005). Emergency CD was associated with an increased
risk of adverse maternal outcomes, whereas the risk of adverse neonatal outcomes
did not differ. In the total study population including both cases with elective
and emergency CD, the risk of adverse maternal outcomes did not increase
according to the planned GAD, and the risk of adverse neonatal outcomes decreased
significantly according to the planned GAD. CONCLUSION: The risk of emergency CD
increased as the planned GAD increased, but the risk of adverse maternal outcomes
did not increase and the risk of adverse neonatal outcomes decreased
significantly according to the planned GAD in the total study population
including elective/emergency CD.
PMID- 29359541
TI - The Variations of MER Receptor Tyrosine Kinase and the Development of Chronic
Obstructive Pulmonary Disease.
PMID- 29359540
TI - Association between Genetic Variations of MERTK and Chronic Obstructive Pulmonary
Disease in Koreans.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is a debilitating lung
disease. To date, a large number of clinical studies have been conducted to
investigate the association between genetic variations and COPD. However, little
is known regarding the genetic susceptibility of Koreans to this disease. MER
receptor tyrosine kinase (MERTK) plays important roles in the inhibition of
inflammation and in the clearance of apoptotic cells. Here, we investigated the
association between genetic variations in MERTK and the development of COPD in
Koreans. METHODS: We conducted genetic analysis of MERTK using genomic DNA
samples from 87 patients with COPD and 88 healthy controls and compared the
frequency of each variation or haplotype between the patient and control groups.
Subsequently, the effect of each variation was evaluated using in vitro assays.
RESULTS: Ten variations were identified in this study, four of them for the first
time. In addition, we found that the frequency of each variation or haplotype was
comparable between the patient and control groups. However, we observed that the
frequency for the wild-type haplotype was higher in the control group, compared
to that in the group of patients with COPD, in the subgroup analysis of current
smokers, although the difference was not statistically significant (P = 0.080).
In in vitro assays, we observed that none of the variations affected the activity
of the promoter or the expression of MERTK. CONCLUSION: Our findings indicate
that the susceptibility to COPD is not related to the genetic variations or
haplotypes of MERTK in Koreans.
PMID- 29359542
TI - Heterotopic Ossification of the Xiphoid Process after Abdominal Surgery for
Traumatic Hemoperitoneum.
AB - Heterotopic ossification of the xiphoid process is extremely rare, with only
three cases previously reported. However, the surgical pathology for
postoperative elongation of the xiphoid process after abdominal surgery has not
yet been reported. We report a case of the postoperative elongation of the
xiphoid process, 8 years after abdominal surgery for traumatic hemoperitoneum in
a 53-year-old man. The patient underwent surgical excision of the elongated mass
of the xiphoid process. Histopathology revealed multiple exostoses. Heterotopic
ossification can occur after surgical trauma to soft or bone tissue. Surgical
excision with primary closure is the treatment of choice for symptomatic
heterotopic ossification.
PMID- 29359543
TI - Letter to the Editor: Genetic Contributions to Childhood Obesity: Association of
Candidate Gene Polymorphisms and Overweight/Obesity in Korean Preschool Children.
PMID- 29359544
TI - The Author's Response: Genetic Contributions to Childhood Obesity: Association of
Candidate Gene Polymorphisms and Overweight/Obesity in Korean Preschool Children.
PMID- 29359545
TI - Spatially extended versus frontal cerebral near-infrared spectroscopy during
cardiac surgery: a case series identifying potential advantages.
AB - Stroke due to hypoperfusion or emboli is a devastating adverse event of cardiac
surgery, but early detection and treatment could protect patients from an
unfavorable postoperative course. Hypoperfusion and emboli can be detected with
transcranial Doppler of the middle cerebral artery (MCA). The measured blood flow
velocity correlates with cerebral oxygenation determined clinically by near
infrared spectroscopy (NIRS) of the frontal cortex. We tested the potential
advantage of a spatially extended NIRS in detecting critical events in three
cardiac surgery patients with a whole-head fiber holder of the FOIRE-3000
continuous-wave NIRS system. Principle components analysis was performed to
differentiate between global and localized hypoperfusion or ischemic territories
of the middle and anterior cerebral arteries. In one patient, we detected a
critical hypoperfusion of the right MCA, which was not apparent in the frontal
channels but was accompanied by intra- and postoperative neurological correlates
of ischemia. We conclude that spatially extended NIRS of temporal and parietal
vascular territories could improve the detection of critically low cerebral
perfusion. Even in severe hemispheric stroke, NIRS of the frontal lobe may remain
normal because the anterior cerebral artery can be supplied by the contralateral
side directly or via the anterior communicating artery.
PMID- 29359547
TI - Functional Layer-by-Layer Thin Films of Inducible Nitric Oxide (NO) Synthase
Oxygenase and Polyethylenimine: Modulation of Enzyme Loading and NO-Release
Activity.
AB - Nitric oxide (NO) release counteracts platelet aggregation and prevents the
thrombosis cascade in the inner walls of blood vessels. NO-release coatings also
prevent thrombus formation on the surface of blood-contacting medical devices.
Our previous work has shown that inducible nitric oxide synthase (iNOS) films
release NO fluxes upon enzymatic conversion of the substrate l-arginine. In this
work, we report on the modulation of enzyme loading in layer-by-layer (LbL) thin
films of inducible nitric oxide synthase oxygenase (iNOSoxy) on polyethylenimine
(PEI). The layer of iNOSoxy is electrostatically adsorbed onto the PEI layer. The
pH of the iNOSoxy solution affects the amount of enzyme adsorbed. The overall
negative surface charge of iNOSoxy in solution depends on the pH and hence
determines the density of adsorbed protein on the positively charged PEI layer.
We used buffered iNOSoxy solutions adjusted to pHs 8.6 and 7.0, while saline PEI
solution was used at pH 7.0. Atomic force microscopy imaging of the outermost
layer shows higher protein adsorption with iNOSoxy at pH 8.6 than with a solution
of iNOSoxy at pH 7.0. Graphite electrodes with PEI/iNOSoxy films show higher
catalytic currents for nitric oxide reduction mediated by iNOSoxy. The higher
enzyme loading translates into higher NO flux when the enzyme-modified surface is
exposed to a solution containing the substrate and a source of electrons.
Spectrophotometric assays showed higher NO fluxes with iNOSoxy/PEI films built at
pH 8.6 than with films built at pH 7.0. Fourier transform infrared analysis of
iNOSoxy adsorbed on PEI at pH 8.6 and 7.0 shows structural differences of iNOSoxy
in films, which explains the observed changes in enzymatic activity. Our findings
show that pH provides a strategy to optimize the NOS loading and enzyme activity
in NOS-based LbL thin films, which enables improved NO release with minimum
layers of PEI/NOS.
PMID- 29359548
TI - Separation of PEGylated Gold Nanoparticles by Micellar Enhanced Electrospun Fiber
Based Ultrathin Layer Chromatography.
AB - Gold nanoparticles (AuNPs) are of great interest in many fields, especially in
biomedical applications. Thiol terminated polyethylene glycol (PEG) is the most
widely used polymer to increase the biocompatibility of nanoparticle
therapeutics. Herein, a rapid method for separation and characterization of
PEGylated AuNPs on an ultrathin layer chromatographic (UTLC) plate using
electrospun polyacrylonitrile (PAN) nanofibers as the stationary phase is
described. AuNPs with sizes ranging from 10 to 80 and 30 nm AuNPs coated with
various molecular weight of PEG (2, 5, 10, and 20 kDa) were all successfully
separated by UTLC using optimized conditions. The fabrication of electrospun UTLC
is simple, fast, and inexpensive. The UTLC, with much thinner sorbent layer (10*
thinner than traditional TLC) and small fiber size (~300 nm), requires minimal
mobile phase solvent and provides faster separation and higher resolution
compared to other separation methods for AuNPs. AuNPs with different sizes and
different PEG molecular weights were well separated within 5 min with lowest
plate height <2 MUm and resolution value >1.5. As an example of this method, the
size transformation of AuNPs in serum protein was determined quantitatively.
PMID- 29359549
TI - Robust Anticancer Efficacy of a Biologically Synthesized Tumor Acidity-Responsive
and Autophagy-Inducing Functional Beclin 1.
AB - As a potent autophagy inducer, Beclin 1 is essential for the initiation of
autophagic cell death, and triggering extensive autophagy by targeted delivery of
Beclin 1 to tumors has enormous potential to inhibit tumor growth. Yet, the
therapeutic application of Beclin 1 is hampered by its inability to internalize
into cells and nonselective biodistribution in vivo. To tackle this challenge, we
employed a novel Beclin 1 delivery manner by constructing a functional protein
(Trx-pHLIP-Beclin 1, TpB) composed of a thioredoxin (Trx) tag, a pH low insertion
peptide (pHLIP), and an evolutionarily conserved motif of Beclin 1. This protein
could effectively transport Beclin 1 to breast and ovarian cancer cell lines
under weakly acidic conditions (pH 6.5), markedly inhibit tumor cell growth and
proliferation, and induce obvious autophagy. Furthermore, the in vivo antitumor
efficacy of the functional Beclin 1 against an SKOV3 xenograft tumor mouse model
was tested via intravenous injection. TpB preferentially accumulated in tumors
and exhibited a significantly higher tumor growth inhibition than the nontargeted
Beclin 1 control, whereas no overt side effects were observed. Taken together,
this study sheds light on the potential application of TpB as a highly efficient
yet safe antitumor agent for cancer treatment.
PMID- 29359550
TI - Synthesis of Polyynes Using Dicobalt Masking Groups.
AB - Extended triisopropylsilyl end-capped polyynes have been prepared from the
corresponding tetracobalt complexes by removing the complexed dicobalt
tetracarbonyldiphenylphosphinomethane (Co2(CO)4dppm) moieties. Unmasking of this
"masked alkyne equivalent" was achieved under mild conditions with elemental
iodine at room temperature, making it possible to obtain fragile polyynes with up
to 20 contiguous sp-hybridized carbon atoms. The Co2(CO)4dppm moiety has a strong
geometric and steric effect on the polyyne but does not have a marked electronic
effect on the terminal alkyne, as indicated by NMR and IR spectroscopy, density
functional theory calculations, and X-ray crystallography. An unusual "alkyne
hopping" migration of the dicobalt group was noticed as a minor side reaction
during copper-catalyzed Eglinton coupling.
PMID- 29359551
TI - Degradable NIR-PTT Nanoagents with a Potential Cu@Cu2O@Polymer Structure.
AB - Cu@Cu2O@PSMA polymer nanoparticles (Cu@Cu2O@polymer NPs) with near-infrared (NIR)
absorption were successfully synthesized in a single-step oxidation reaction of
Cu@PSMA polymer NPs at 100 degrees C for 20 min. The shape, structure, and
optical properties of the Cu@Cu2O@polymer NPs were tailorable by controlling the
reaction parameters, for example, using the initial Cu@PSMA polymer NP as a
template and varying the halide ion content, heating temperature, and reaction
time. The Cu@Cu2O@polymer NPs exhibited robust NIR absorption between 650 and 710
nm and possessed superior oxidation resistance in water and culture media. In
vitro assays demonstrated the low cytotoxicity of the Cu@Cu2O@PSMA polymer NPs to
HeLa cells through an improved cell viability, high IC50, low injury incidence
from the supernatant of the partly dissociated Cu@Cu2O@PSMA polymer NPs, and
minor generation of reactive oxygen species. More importantly, we demonstrated
that the inorganic Cu-based nanocomposite [+0.34 V vs normal hydrogen electrode
(NHE)] was degradable in an endogenous H2O2 (+1.78 V vs NHE) environment. Cu ions
were detected in the urine of mice, which illustrates the possibility of
extraction after the degradation of the Cu-based particles. 'After an treatment
of the HeLa cells with the Cu@Cu2O@polymer NPs and a 660 nm light-emitting diode,
the photoablation of 50 and 90% cells was observed at NP doses of 20 and 50 ppm,
respectively. These results demonstrate that NIR-functional and moderate redox
active Cu@Cu2O@polymer NPs are potential next-generation photothermal therapy
(PTT) nanoagents because of combined features of degradation resistance in the
physiological environment, enabling the delivery of efficient PTT, a possibly
improved ability to selectively harm cancer cells by releasing Cu ions under high
H2O2 and/or low-pH conditions, and ability to be extracted from the body after
biodegradation.
PMID- 29359552
TI - Polycyclic Aromatic N-Ethoxycarbonyl Thioamide S-Oxides and Their Triflic Acid
Promoted Cyclization to Fluorescent Thiophene Imine-Fused Arenes.
AB - We present the synthesis of a series of polycyclic aromatic-N
ethoxycarbonylthioamide S-oxides and their triflic acid-promoted cyclization to
thiophene imine-fused arenes having 2H-naphtho[1,8-bc]thiophen-2-imine, 3H
pyreno[10,1-bc]thiophen-3-imine, 4H-pyreno[1,10-bc]thiophen-4-imine, 3H
pyreno[10,1-bc]thiophen-3-imine, 4H-pyreno[1,10-bc]thiophen-3-imine, 3H
pyreno[10,1-bc]thiophen-3-imine, and 4H-peryleno[3,4-bc]thiophen-4-imine cores.
The proposed reaction mechanism involves the intermediacy of a novel type of
electrophilic sulfur species, namely protonated iminosulfenic acid or
iminosulfenium cations. These species may attack the peri- or ipso-position of
the arene, leading in some cases to regioisomeric products. The reaction affords
in high yields novel polycyclic fluorophores emitting in the range of 500-606 nm
with quantum yields of 0.025-0.64. Comparison with the parent arenes reveals that
the fused iminothiophene moiety brings about significant bathochromic shifts of
the absorption and emission bands.
PMID- 29359553
TI - Tetraphenylphosphonium Bromide as a Cathode Buffer Layer Material for Highly
Efficient Polymer Solar Cells.
AB - Here, we introduced the role of small organic molecule tetraphenylphosphonium
bromide (QPhPBr) as an electron-transporting layer (ETL) material for fabricating
high-efficiency bulk heterojunction polymer solar cells (PSCs). Their
significantly higher power conversion efficiency (PCE) in well-known active layer
devices (PTB7-Th:PC71BM, PBDTTT-CT:PC71BM, and P3HT:PC71BM) was observed compared
to that of the bare Al cathode. The use of N719 as an ETL was also demonstrated.
Observed data reveal that QPhPBr-based devices exhibit high PCEs up to 9.18,
8.42, and 4.81% from PTB7-Th, PBDTTT-CT, and P3HT, respectively. For comparisons,
the bare Al devices show PCEs of 5.37, 4.75, and 3.01%, respectively. Moreover,
further enhancement of PSC efficiency (9.83, 8.69, and 5.35%) is achieved from
mixed binary solution of N719:QPhPBr because of modulated adjustment of the work
function of the Al electrode. Our results indicate the excellent function of
tetraphenylphosphonium bromide and its binary blend as effective small-molecule
organic materials to regulate the metal surface properties and the potential used
as excellent cathode buffer layer materials for realizing high-efficiency PSCs.
PMID- 29359554
TI - Metabolomics Reveals that Dietary Ferulic Acid and Quercetin Modulate Metabolic
Homeostasis in Rats.
AB - Phenolic compounds ingestion has been shown to have potential preventive and
therapeutic effects against various metabolic diseases such as obesity and
cancer. To provide a better understanding of these potential benefit effects, we
investigated the metabolic alterations in urine and feces of rat ingested ferulic
acid (FA) and quercetin (Qu) using NMR-based metabolomics approach. Our results
suggested that dietary FA and/or Qu significantly decreased short chain fatty
acids and elevated oligosaccharides in the feces, implying that dietary FA and Qu
may modulate gut microbial community with inhibition of bacterial fermentation of
dietary fibers. We also found that dietary FA and/or Qu regulated several host
metabolic pathways including TCA cycle and energy metabolism, bile acid, amino
acid, and nucleic acid metabolism. These biological effects suggest that FA and
Qu display outstanding bioavailability and bioactivity and could be used for
treatment of some metabolic syndromes, such as inflammatory bowel diseases and
obesity.
PMID- 29359555
TI - Correction to "Relative Reactivities of Hydroxyl Groups in Carbohydrate
Derivatives. Specific Nuclear Magnetic Resonance Spectral Assignments of Acetyl
Groups in Methyl alpha-d-Glucopyranoside Tetraacetate and Related Derivatives".
PMID- 29359557
TI - Inline Coupling of Electrokinetic Preconcentration Method to Taylor Dispersion
Analysis for Size-Based Characterization of Low-UV-Absorbing Nanoparticles.
AB - The inline coupling of the field-amplified sample injection (FASI) to Taylor
dispersion analysis (TDA) was used to characterize low-UV absorbing carboxylated
silica nanoparticles (cNPs). The hydrodynamic diameters (Dh) were measured by
using a commercial capillary electrophoresis instrument. The proposed methodology
did not require any complicated instruments or chromophoric dye to increase the
detection sensitivity. A practical method based on a half-Gaussian fitting was
proposed for the data processing. The results obtained by this method were
compared with those derived from dynamic light scattering (DLS) and transmission
electron microscopy (TEM) analyses. From these results, it appeared that the size
derived by TDA is in excellent agreement with those measured by DLS and TEM, as
demonstrated by stable nanoparticles with narrow size distributions. Intermediate
precision relative standard deviations less than 5% were obtained by FASI-TDA.
The effect of the FASI-induced cNP peak dispersion on the reliability of the
results was discussed in detail.
PMID- 29359556
TI - Linoleic Acid:Dihomo-gamma-Linolenic Acid Ratio Predicts the Efficacy of Zn
Biofortified Wheat in Chicken (Gallus gallus).
AB - The amount of Zn absorbed from Zn-biofortified wheat material has been determined
using an in vivo model of Zn absorption. The erythrocyte linoleic:dihomo -gamma
linolenic acid (LA:DGLA) ratio was used as a biomarker of Zn status. Two groups
of chickens (n = 15) were fed different diets: a high-Zn (46.5 MUg Zn g-1) and a
low-Zn wheat-based diet (32.8 MUg Zn g-1). Dietary Zn intakes, body weight, serum
Zn, and the erythrocyte fatty acid profile were measured, and tissues were taken
for gene expression analysis. Serum Zn concentrations were greater in the high Zn
group (p < 0.05). Duodenal mRNA expression of various Zn transporters
demonstrated expression upregulation in the birds fed a low Zn diet (n = 15, p <
0.05). The LA:DGLA ratio was higher in the birds fed the low Zn diet (p < 0.05).
The higher amount of Zn in the biofortified wheat resulted in a greater Zn
uptake.
PMID- 29359558
TI - Insulin Detection Using a Corona Phase Molecular Recognition Site on Single
Walled Carbon Nanotubes.
AB - Corona phase molecular recognition (CoPhMoRe) is a technique whereby an external,
adsorbed phase around a colloidal nanoparticle is selected such that its
molecular conformation or interaction recognizes a specific target analyte. In
this work, we employ a high-throughput screening of a library of poly(ethylene
glycol) (PEG)-conjugated lipids adsorbed onto near-infrared fluorescent single
walled carbon nanotubes to discover a corona phase selective for insulin. We find
that a C16-PEG(2000 Da)-ceramide causes a 62% fluorescent intensity decrease of
the (10,2) chirality nanotube in the presence of 20 MUg/mL insulin. The insulin
protein has no prior affinity toward the C16-PEG(2000 Da)-ceramide molecules in
free solution, verified by isothermal titration calorimetry, and the interaction
occurs only upon their adsorption onto the single-walled carbon nanotube
scaffolds. Testing a panel of proteins originating from human blood as well as
short 7 amino acid fragments of the insulin peptide rules out nonselective
recognition mechanisms such as molecular weight, isoelectric point, and
hydrophobicity-based detection. Interestingly, longer fragments of isolated alpha
and beta-peptide chains of insulin are detected by the construct, albeit with
lower affinity compared to that of the intact insulin protein, suggesting that
the construct recognizes insulin in its native form and conformation. Finally,
the insulin recognition and the quantification of its solution concentration were
demonstrated both in buffer and in blood serum, showing that the CoPhMoRe
construct works in this complex environment despite the presence of potential
nonspecific adsorption. Our results further motivate the search for nonbiological
synthetic recognition sites and open up a new path for continuous insulin
monitoring in vivo with the hope of improving glycemic control in closed-loop
artificial pancreas systems.
PMID- 29359559
TI - Phonon Scattering and Electron Doping by 2D Structural Defects in In/ZnO.
AB - In/ZnO bulk compounds have been synthesized using a simple solid-state process.
In this study, both the structural features and thermoelectric properties of the
Zn1-xInxO series with ultralow indium content (0 <= x <= 0.02) have been studied.
High-angle annular dark-field scanning transmission electron microscopy analyses
highlight that indium has the ability to create multiple basal plane and
pyramidal defects that produce ZnO domains with inverted polarity starting from
dopant concentrations as low as 0.25 atom %. Interestingly, the formation of
parallel inversion boundaries consisting of InO6 octahedra in the ZnO4 tetrahedra
matrix is responsible for phonon scattering while increasing electrical
conductivity, thereby enhancing the thermoelectric properties. This effect of
multiple extended two-dimensional defects on the thermoelectric properties of ZnO
is reported for the first time with such low indium doping. On the chemistry
side, the present results point toward a lack of In solubility in the ZnO
structure. Moreover, this study is a step forward to the synthesis of other
thermoelectric compounds where dopant-induced planar defects in bulk transition
metal compounds have the potential to enhance both phonon scattering and
electronic conductivity.
PMID- 29359560
TI - Cycloaddition Reactions of Azomethine Ylides and 1,3-Dienes on the C2v
Symmetrical Pentakisadduct of C60.
AB - The reactivity of the C2v-symmetric pentakisadduct of C60 with azomethine ylides
and conjugated dienes was studied experimentally and computationally. This
derivative possesses four [6,6] double bonds, each with unique electrophilicity.
The Diels-Alder reaction studied is a regiospecific, kinetically and
thermodynamically guided [4 + 2] process producing [5:1]-hexaadducts with an
octahedral addition pattern. The kinetically controlled Prato reaction gives a
mixture of regioisomeric [5:1]-hexaadducts. The synthesis of geometrically well
defined supramolecular architectures may benefit from these new types of highly
functionalized [5:1]-hexaadducts.
PMID- 29359561
TI - Synthesis of Fullerotetrahydroquinolines via [4 + 2] Cycloaddition Reaction of
[60]Fullerene with in Situ Generated Aza-o-quinone Methides.
AB - An efficient [4 + 2] cycloaddition reaction of [60]fullerene with the in situ
generated aza-o-quinone methides from N-(o-chloromethyl)aryl sulfonamides with
the assistance of Cu2O has been developed to afford a series of
fullerotetrahydroquinolines. This strategy exhibits a broad substrate scope and
excellent functional group tolerance. A tentative reaction pathway for the
formation of fullerotetrahydroquinolines is proposed on the basis of the
experimental results.
PMID- 29359562
TI - Enhanced Doubly Activated Dual Emission Fluorescent Probes for Selective Imaging
of Glutathione or Cysteine in Living Systems.
AB - The development of novel fluorescent probes for monitoring the concentration of
various biomolecules in living systems has great potential for eventual early
diagnosis and disease intervention. Selective detection of competitive species in
biological systems is a great challenge for the design and development of
fluorescent probes. To improve on the design of fluorescent coumarin-based
biothiol sensing technologies, we have developed herein an enhanced dual emission
doubly activated system (DACP-1 and the closely related DACP-2) for the selective
detection of glutathione (GSH) through the use of one optical channel and the
detection of cysteine (Cys) by another channel. A phenylselenium group present at
the 4-position completely quenches the fluorescence of the probe via photoinduced
electron transfer to give a nonfluorescent species. Probes are selective for
glutathione (GSH) in the red region and for cysteine/homocysteine (Cys/Hcy) in
the green region. When they were treated with GSH, DACP-1 and DACP-2 showed
strong fluorescence enhancement in comparison to that for closely related species
such as amino acids, including Cys/Hcy. Fluorescence quantum yields (PhiF)
increased for the red channel (<0.001 to 0.52 (DACP-1) and 0.48 (DACP-2)) and
green channel (Cys) (<0.001 to 0.030 (DACP-1) and 0.026 (DACP-2)), respectively.
Competing fluorescent enhancements upon addition of closely related species were
negligible. Fast responses, improved water solubility, and good cell membrane
permeability were all properly established with the use of DACP-1 and DACP-2.
Live human lung cancer cells and fibroblasts imaged by confocal microscopy, as
well as live mice tumor model imaging, confirmed selective detection.
PMID- 29359563
TI - Molecular Dynamics Study of Combustion Reactions in a Supercritical Environment.
Part 2: Boxed MD Study of CO + OH -> CO2 + H Reaction Kinetics.
AB - Oxy-fuel combustion technology holds a great promise in both increasing the
efficiency of the energy conversion and reducing environmental impact. However,
effects of the higher pressures and replacement of the nitrogen with carbon
dioxide diluent are not well understood at present. The title reaction is one of
the most important processes in combustion. Despite numerous studies, the effects
of supercritical carbon dioxide environment did not receive much attention in the
past. Here we report the results of boxed molecular dynamics simulations of these
effects at QM/MM theory level with periodical boundary conditions. The free
energy barriers for HOCO intermediate formation and decomposition were tabulated
in a wide range of pressures (1-1000 atm) and temperatures (400-1600 K). Pressure
dependence of calculated rate constants for these reaction steps and overall
reaction were analyzed. We found that the CO2 environment may increase these rate
constants up to a factor of 25, at near critical conditions. At higher
temperatures, this effect weakens significantly. Numerical values for parameters
of extended Arrhenius equation, suitable for combustion kinetic modeling are
reported.
PMID- 29359564
TI - Fluorometric Sniff-Cam (Gas-Imaging System) Utilizing Alcohol Dehydrogenase for
Imaging Concentration Distribution of Acetaldehyde in Breath and Transdermal
Vapor after Drinking.
AB - Understanding concentration distributions, release sites, and release dynamics of
volatile organic compounds (VOCs) from the human is expected to lead to methods
for noninvasive disease screening and assessment of metabolisms. In this study,
we developed a visualization system (sniff-cam) that enabled one to identify a
spatiotemporal change of gaseous acetaldehyde (AcH) in real-time. AcH sniff-cam
was composed of a camera, a UV-LED array sheet, and an alcohol dehydrogenase
(ADH)-immobilized mesh. A reverse reaction of ADH was employed for detection of
gaseous AcH where a relationship between fluorescence intensity from nicotinamide
adenine dinucleotide and the concentration of AcH was inversely proportional;
thus, the concentration distribution of AcH was measured by detecting the
fluorescence decrease. Moreover, the image differentiation method that calculated
a fluorescence change rate was employed to visualize a real-time change in the
concentration distribution of AcH. The dynamic range of the sniff-cam was 0.1-10
ppm which encompassed breath AcH concentrations after drinking. Finally, the
sniff-cam achieved the visualization of the concentration distribution of AcH in
breath and skin gas. A clear difference of breath AcH concentration was observed
between aldehyde dehydrogenase type 2 active and inactive subjects, which was
attributed to metabolic capacities of AcH. AcH in skin gas showed a similar time
course of AcH concentration to the breath and a variety of release concentration
distribution. Using different NADH-dependent dehydrogenases in the sniff-cam
could lead to a versatile method for noninvasive disease screening by acquiring
spatiotemporal information on various VOCs in breath or skin gas.
PMID- 29359565
TI - Discovery of the First Potent, Selective, and Orally Bioavailable Signal Peptide
Peptidase-Like 2a (SPPL2a) Inhibitor Displaying Pronounced Immunomodulatory
Effects In Vivo.
AB - Signal peptide peptidase-like 2a (SPPL2a) is an aspartic intramembrane protease
which has recently been shown to play an important role in the development and
function of antigen presenting cells such as B lymphocytes and dendritic cells.
In this paper, we describe the discovery of the first selective and orally active
SPPL2a inhibitor (S)-2-cyclopropyl-N1-((S)-5,11-dioxo-10,11-dihydro-1H,3H,5H
spiro[benzo[d]pyrazolo[1,2-a][1,2]diazepine-2,1'-cyclopropan]-10-yl)-N4-(5-fluoro
2-methylpyridin-3-yl)succinamide 40 (SPL-707). This compound shows adequate
selectivity against the closely related enzymes gamma-secretase and SPP and a
good pharmacokinetic profile in mouse and rat. Compound 40 significantly
inhibited processing of the SPPL2a substrate CD74/p8 fragment in rodents at doses
<=10 mg/kg b.i.d. po. Oral dosing of 40 for 11 days at >=10 mg/kg b.i.d.
recapitulated the phenotype seen in Sppl2a knockout (ko) and ENU mutant mice
(reduced number of specific B cells and myeloid dendritic cells). Thus, we
believe that SPPL2a represents an interesting and druggable pharmacological
target, potentially providing a novel approach for the treatment of autoimmune
diseases by targeting B cells and dendritic cells.
PMID- 29359566
TI - Complex Terahertz and Direct Current Inverse Spin Hall Effect in YIG/Cu1-xIrx
Bilayers Across a Wide Concentration Range.
AB - We measure the inverse spin Hall effect of Cu1-xIrx thin films on yttrium iron
garnet over a wide range of Ir concentrations (0.05 ? x ? 0.7). Spin currents are
triggered through the spin Seebeck effect, either by a continuous (dc)
temperature gradient or by ultrafast optical heating of the metal layer. The spin
Hall current is detected by electrical contacts or measurement of the emitted
terahertz radiation. With both approaches, we reveal the same Ir concentration
dependence that follows a novel complex, nonmonotonous behavior as compared to
previous studies. For small Ir concentrations a signal minimum is observed,
whereas a pronounced maximum appears near the equiatomic composition. We identify
this behavior as originating from the interplay of different spin Hall mechanisms
as well as a concentration-dependent variation of the integrated spin current
density in Cu1-xIrx. The coinciding results obtained for dc and ultrafast stimuli
provide further support that the spin Seebeck effect extends to terahertz
frequencies, thus enabling a transfer of established spintronic measurement
schemes into the terahertz regime. Our findings also show that the studied
material allows for efficient spin-to-charge conversion even on ultrafast time
scales.
PMID- 29359567
TI - Arynes in the Monoarylation of Unprotected Carbohydrate Amines.
AB - A CsF-mediated method has been developed for the N-arylation of amino sugars that
affords good to excellent yields of arylated products under mild conditions
involving the in situ generation of arynes. The reaction conditions tolerate a
variety of common carbohydrate protecting groups and also performs exceptionally
well on unprotected amino sugar derivatives. The reactions are scalable in
moderate to good yields with broad scope.
PMID- 29359568
TI - The prognostic analysis of different metastatic patterns in extensive-stage small
cell lung cancer patients: a large population-based study.
AB - AIM: To analyze the metastasis patterns and prognosis differences for extensive
stage small-cell lung cancer patients. METHODS: Log-rank tests were used to
calculate and compare survival estimates. Cox regression analyses were used to
evaluate the prognosis factors. RESULTS: The liver was the most common metastatic
site, and lung was the least common. In two metastatic sites, liver and bone
metastases were the most common combination of sites. An isolated liver
metastasis had the worst overall survival (OS) and cancer-specific survival (CSS)
among metastatic sites (both p < 0.001). Liver and lung metastases were
associated with worse CSS (p < 0.039) and OS (p < 0.015). However, for patients
with three metastatic sites showed no statistical differences in their CSS and OS
(all, p > 0.05). CONCLUSION: Extensive-stage small-cell lung cancer patients with
metastasis to the liver alone or in combination with other organs appear to have
worse outcomes.
PMID- 29359569
TI - Static metrics of impact for a dynamic problem: The need for smarter tools to
guide suicide prevention planning and investment.
AB - OBJECTIVES: This study investigates two approaches to estimate the potential
impact of a population-level intervention on Australian suicide, to highlight the
importance of selecting appropriate analytic approaches for informing evidence
based strategies for suicide prevention. METHODS: The potential impact of a
psychosocial therapy intervention on the incidence of suicide in Australia over
the next 10 years was used as a case study to compare the potential impact on
suicides averted using: (1) a traditional epidemiological measure of population
attributable risk and (2) a dynamic measure of population impact based on a
systems science model of suicide that incorporates changes over time. RESULTS:
Based on the population preventive fraction, findings suggest that the
psychosocial therapy intervention if implemented among all eligible individuals
in the Australian population would prevent 5.4% of suicides (or 1936 suicides)
over the next 10 years. In comparison, estimates from the dynamic simulation
model which accounts for changes in the effect size of the intervention over
time, the time taken for the intervention to have an impact in the population,
and likely barriers to the uptake and availability of services suggest that the
intervention would avert a lower proportion of suicides (between 0.4% and 0.5%)
over the same follow-up period. CONCLUSION: Traditional epidemiological measures
used to estimate population health burden have several limitations that are often
understated and can lead to unrealistic expectations of the potential impact of
evidence-based interventions in real-world settings. This study highlights these
limitations and proposes an alternative analytic approach to guide policy and
practice decisions to achieve reductions in Australian suicide.
PMID- 29359570
TI - Warning of cancer by the sensation of octopus tentacles in the mouth.
PMID- 29359571
TI - Strangulated child's psychological trauma intervened with imagery-based cognitive
therapy.
PMID- 29359572
TI - 2-year follow-up: Still keeping the body in mind.
PMID- 29359573
TI - Hydrochloric Acid Infusion for the Treatment of Metabolic Alkalosis in Surgical
Intensive Care Unit Patients.
AB - BACKGROUND: Older reports of use of hydrochloric acid (HCl) infusions for
treatment of metabolic alkalosis document variable dosing strategies and risk.
OBJECTIVES: This study sought to characterize use of HCl infusions in surgical
intensive care unit patients for the treatment of metabolic alkalosis. METHODS:
This retrospective review included patients who received a HCl infusion for >8
hours. The primary end point was to evaluate the utility of common acid-base
equations for predicting HCl dose requirements. Secondary end points evaluated
adverse effects, efficacy, duration of therapy, and total HCl dose needed to
correct metabolic alkalosis. Data on demographics, potential causes of metabolic
alkalosis, fluid volume, and duration of diuretics as well as laboratory data
were collected. RESULTS: A total of 30 patients were included, and the average
HCl infusion rate was 10.5 +/- 3.7 mEq/h for an average of 29 +/- 14.6 hours.
Metabolic alkalosis was primarily diuretic-induced (n = 26). Efficacy was
characterized by reduction in the median total serum CO2 from 34 to 27 mM/L ( P <
0.001). The change in chloride ion deficit and change in apparent strong ion
difference (SIDa) were not correlated with total HCl administered. There were no
documented serious adverse effects related to HCl infusions. CONCLUSION: HCl was
effective for treating metabolic alkalosis, and no serious adverse events were
seen. In this clinical setting, the baseline chloride ion deficit and SIDa were
not useful for prediction of total HCl dose requirement, and serial monitoring of
response is recommended.
PMID- 29359574
TI - Efficacy and Safety of Subcutaneous Neostigmine for Ileus, Acute Colonic Pseudo
obstruction, or Refractory Constipation.
AB - BACKGROUND: Neostigmine is traditionally administered intravenously for treatment
of acute colonic pseudo-obstruction (ACPO), though use is associated with
administration constraints and adverse effects. OBJECTIVE: To evaluate whether an
alternative route of administration for neostigmine via subcutaneous (SQ)
delivery is safe and effective in a broad cohort of medical and surgical
patients. METHODS: This multicenter, retrospective observational study included
adult patients administered SQ neostigmine for ileus, ACPO, or refractory
constipation. Efficacy indicators were time to first bowel movement (BM)
following initiation of the medication, total SQ neostigmine dose administered to
produce a BM, and administration of a rescue intervention to produce a BM. Safety
events evaluated were cardiac arrest, bradycardia, bronchospasm requiring
intervention, nausea requiring intervention, or severe salivation, lacrimation,
or diarrhea. RESULTS: A total of 182 patients were eligible for inclusion. The
most commonly utilized dosing strategy of neostigmine was 0.25 mg SQ 4 times
daily. The median time to first BM following initiation of SQ neostigmine was
29.19 hours (interquartile range = 12.18-56.84) with a median dose administered
before first BM of 1.25 mg. Three patients (1.65%) experienced an adverse drug
event leading to drug discontinuation, with 2 developing bradycardia that
resolved with drug discontinuation alone. CONCLUSIONS: SQ neostigmine may be
reasonable for management of ileus, ACPO, or refractory constipation, though use
should be avoided in patients with new-onset heart block, a history of second
degree heart block, or following bowel resection with primary anastomosis.
Despite the low incidence of adverse drug events observed, monitoring for
bradycardia with telemetry may be considered.
PMID- 29359575
TI - Safety of Insulin Lispro and a Biosimilar Insulin Lispro When Administered
Through an Insulin Pump.
AB - BACKGROUND: SAR342434 (U100; SAR-Lis; insulin lispro) is a biosimilar/follow-on
to insulin lispro (U100; Ly-Lis). Similar pharmacokinetics/pharmacodynamics
between the two products has been demonstrated in a hyperinsulinemic euglycemic
clamp study. The current study evaluated the safety of SAR-Lis and Ly-Lis when
administered by continuous subcutaneous insulin infusion (CSII; insulin pumps).
METHODS: This was a randomized, open-label, 2 * 4-week, two-arm crossover study
in 27 patients with type 1 diabetes mellitus (NCT02603510). The main outcome was
the incidence of infusion set occlusions (ISOs), defined as failure to correct
hyperglycemia (plasma glucose >=>= 300 mg/dl) by 50 mg/dl within 60 minutes by
insulin bolus via the pump. Secondary outcomes included intervals between
infusion set changes, treatment-emergent adverse events (TEAEs) including
infusion site, hypersensitivity reactions and hypoglycemic events, and safety.
RESULTS: The number of patients reporting at least one ISO was small: 6/25
patients on SAR-Lis reported 14 ISOs and 4/27 on Ly-Lis reported nine ISOs. The
estimated difference in ISO risk for SAR-Lis versus Ly-Lis was 7.9% (95% CI,
1.90 to 17.73). Mean interval between infusion set changes for any reason was
similar with SAR-Lis (3.09 days) and Ly-Lis (2.95 days). The event rate
(events/patient-month) of any hypoglycemia was similar with SAR-Lis (7.15) and Ly
Lis (7.98), as was the percentage of patients who experienced any TEAE (12.0% and
14.8%). CONCLUSION: Both SAR-Lis and Ly-Lis were well tolerated by patients using
insulin pumps. The results do not suggest a clinically significant difference in
the risk of ISO between SAR-Lis and Ly-Lis when used in CSII.
PMID- 29359576
TI - Transforming an idea into a scholarly project.
AB - OBJECTIVES: This article describes components of a workshop designed to orientate
psychiatric trainees to the task of conducting a scholarly project. The aims are:
to promote an approach that incorporates principles of adult learning to guide
trainees who are undertaking research; to allow trainees to transform their ideas
into more tangible research questions; and to enable supervisors to reflect on
delivering similar content in scholarly project workshops. METHODS: The workshop
comprised: creating a safe space to explore ideas; discussing the process of
posing a question or hypothesis; using group interactions to generate concepts;
and considering personal values that influence the choice of research methodology
to answer a question. RESULTS: Examples are provided from the workshop. The
process enabled trainees to generate and distil ideas into more concrete
questions and methods in three phases: introductory, exploratory and tangible.
CONCLUSIONS: Adult learning principles may assist trainees to develop their ideas
for a scholarly project into research questions that are relevant to clinical
practice. Harnessing the creative potential of a peer collective may encourage
deeper inquiry, shifts to a tangible output and a sustained interest in research.
PMID- 29359577
TI - Phage therapy in allergic disorders?
AB - Allergic disorders pose a growing challenge to medicine and our society.
Therefore, novel approaches to prevention and therapy are needed. Recent progress
in studies on bacterial viruses (phages) has provided new data indicating that
they have significant immunomodulating activities. We show how those activities
could be translated into beneficial effects in allergic disorders and present
initial clinical data that support this hope. Impact statement Allergic disorders
pose a growing challenge to medicine and our society, so new approaches to
prevention and therapy are urgently needed. Our article summarizes progress that
has been recently made and presents a shift in our understanding of the
immunobiological significance of bacterial viruses (phages). Currently, phages
may be considered not only as mere "bacteria eaters" but also as regulators of
immunity. The new understanding of phages as important factors in maintenance of
immune homeostasis opens completely new perspectives for their use in controlling
aberrant immune responses. It is likely that this new knowledge could be
translated into novel means of immunotherapy of allergic disorders.
PMID- 29359578
TI - The development and implementation of a discharge checklist for psychiatric
inpatients: a pilot study.
AB - OBJECTIVES: Readmission rates are a routinely used measure of patient and service
outcomes, potentially improved by discharge planning. This pilot study aimed to
develop a discharge checklist for psychiatric inpatients, exploring its
feasibility, applicability, and impact on readmission rates. METHODS: The study
used a quasi-experimental, pre-post intervention design. The checklist was
designed from an evidence-based literature review, and introduced for a three
month period, comparing 28-day readmission rates with the previous three months
using interrupted time series analysis. RESULTS: Checklists were completed for
80% of patients in the trial period, with 100% completion of checklist items.
Demographic and clinical details for pre- and post-intervention groups were
closely aligned. There was a small, but statistically non-significant, reduction
in readmission rates. CONCLUSIONS: There was a high rate of checklist completion.
The lack of significant reductions in readmission rates supports more development
of the checklist application and design before a longer implementation period and
re-evaluation.
PMID- 29359579
TI - Reliability and Validity of the Short Falls Efficacy Scale International in
English, Mandarin, and Bahasa Malaysia in Malaysia.
AB - While the prevalence of falls among Malaysian older adults is comparable to other
older populations around the world, little is currently known about fear of
falling in Malaysia. The Falls Efficacy Scale International (FES-I) and short FES
I scales to measure fear of falling have not yet been validated for use within
the Malaysian population, and are currently not available in Bahasa Malaysia
(BM). A total of 402 participants aged >=63 years were recruited. The
questionnaire was readministered to 149 participants, 4 to 8 weeks after the
first administration to determine test-retest reliability. The original version
of the 7-item short FES-I is available in English, while the Mandarin was adapted
from the 16-item Mandarin FES-I. The BM version was translated according to
protocol by four experts. The internal structure of the FES-I was examined by
factor analysis. The 7-item short FES-I showed good internal reliability and test
retest reliability for English, Mandarin, and BM versions for Malaysia.
PMID- 29359580
TI - Subjective Age and Its Correlates Among Middle-Aged and Older Adults.
AB - The present study evaluates discrepancies in subjective age as reported by middle
age persons (aged 44-64 years) in comparison to older adults (aged 65 years and
older), using a multidimensional definition of the concept. A convenience sample
of 126 middle-aged and 126 older adults completed subjective age measures (felt
age, desired age, and perceived old age), attitudes toward older adults,
knowledge about aging, and sociodemographic questionnaires. Overall, participants
reported feeling younger than they actually were and wanting to be younger than
their chronological age. Perceived mean for old age was about 69 years.
Discrepancies in felt age and desired age were significantly larger for the older
group compared to the middle-aged group. Regarding perceived old age, compared to
the younger group, older adults reported that old age begins at an older age.
Findings suggest that middle-aged and older adults' perceptions regarding
themselves and regarding old age in general are independent and need, therefore,
separate research and practical attention.
PMID- 29359581
TI - A review discussing fluciclovine (18F) PET/CT imaging in the detection of
recurrent prostate cancer.
AB - A significant number of patients radically treated for prostate cancer (PCa) will
develop prostate-specific antigen recurrence (27-53%). Localizing the anatomical
site of relapse is critical, in order to achieve the optimal treatment
management. To date the diagnostic accuracy of standard imaging is low. Several
desirable features have been identified for the amino-acid-based PET agent,
fluciclovine (18F) including: long 18F half-life which allows more practical use
in centers without a cyclotron onsite; acting as a substrate for amino acid
transporters upregulated in PCa or associated with malignant phenotype; lacking
of incorporation into protein; and limited urinary excretion. Fluciclovine (18F)
is currently approved both in USA and Europe with specific indication in adult
men with suspected recurrent PCa based on elevated prostate-specific antigen
following prior treatment.
PMID- 29359582
TI - Paliperidone palmitate three-month depot formulation: a helpful innovation with
practical pitfalls.
AB - OBJECTIVE: Paliperidone palmitate is now available as a three-month depot
injection. This paper will review the pharmacokinetics, pharmacodynamics,
efficacy and tolerability, as well as practical issues and pitfalls for
clinicians with this innovative treatment for schizophrenia. CONCLUSION: The
three-month depot formulation of paliperidone for the treatment of schizophrenia
is not a new compound. The nanocrystalline structure of the three-month
formulation is larger and takes longer to disperse than the one-month
formulation, hence its extended depot action. As expected, it is non-inferior to
one-month depot paliperidone, and superior to placebo, for the treatment of
schizophrenia. The side effect profile of three-month paliperidone is identical
to the one-month formulation. The relapse rate on treatment is low, and the
median time to relapse after ceasing the drug is 395 days. An understanding of
half-life and kinetics is crucial for clinicians using this compound, and the
loading strategy is important to ensure effectiveness. There are significant
challenges: ensuring timely administration and switching a three-month depot
treatment to another antipsychotic may be problematic. Paliperidone palmitate
three-month depot injection represents an advance for both convenience and
effectiveness in the long term psychopharmacological treatment of schizophrenia.
PMID- 29359583
TI - Onosmanones A and B, two novel quinonoid xanthenes from Onosma paniculatum.
AB - Onosmanones A (1) and B (2), two novel quinonoid xanthenes with two geranyl
groups, have been isolated from the whole plants of Onosma paniculatum. Their
structures were elucidated on the basis of one- and two-dimensional NMR
techniques.
PMID- 29359584
TI - In vitro comparative cytotoxicity study of aminated polystyrene, zinc oxide and
silver nanoparticles on a cervical cancer cell line.
AB - Nanoparticles use in nano-biotechnology applications have increased significantly
with Aminated polystyrene amine (AmPs NP), Zinc oxide (ZnO NP), and Silver (Ag
NP) nanoparticles utilized in wide variety of consumer products. This has
presented a number of concerns due to their increased exposure risks and
associated toxicity on living systems. Changes in the structural and
physicochemical properties of nanoparticles can lead to changes in biological
activities. This study investigates, compares, and contrasts the potential
toxicity of AmPs, ZnO and Ag NPs on an in vitro model (HeLa cells) and assesses
the associated mechanism for their corresponding cytotoxicity relative to the
surface material. It was noted that NPs exposure attributed to the reduction in
cell viability and high-level induction of oxidative stress. All three test
particles were noted to induce ROS to varying degrees which is irrespective of
the attached surface group. Cell cycle analysis indicated a G2/M phase cell
arrest, with the corresponding reduction in G0/G1 and S phase cells resulting in
caspase-mediated apoptotic cell death. These findings suggest that all three NPs
resulted in the decrease in cell viability, increase intracellular ROS
production, induce cell cycle arrest at the G2/M phase and finally result in cell
death by caspase-mediated apoptosis, which is irrespective of their differences
in physiochemical properties and attached surface groups.
PMID- 29359585
TI - A new coumarin and a new norlignan from Ficus tsiangii.
AB - Chemical investigation of the stem bark of Ficus tsiangii led to the isolation of
a new coumarin ficuscoumarin (1) and a new norlignan ficuslignan (2) by
chromatographic methods. Their structures were elucidated on the basis of
spectroscopic analyses.
PMID- 29359586
TI - Change of BNP between admission and discharge after ST-elevation myocardial
infarction (Killip I) improves risk prediction of heart failure, death, and
recurrent myocardial infarction compared to single isolated measurement in
addition to the GRACE score.
AB - OBJECTIVE: In ST-elevation myocardial infarction, 7-15% of patients admitted as
Killip I will develop symptomatic heart failure or decreased ejection fraction.
However, available clinical scores do not predict the risk of severe outcomes
well, such as heart failure, recurrent myocardial infarction, and sudden death in
these Killip I individuals. Therefore, we evaluated whether one vs two
measurements of BNP would improve prediction of adverse outcomes in addition to
the GRACE score in ST-elevation myocardial infarction/Killip I individuals.
METHODS: Consecutive patients with ST-elevation myocardial infarction/Killip I (
n=167) were admitted and followed for 12 months. The GRACE score was calculated
and plasma BNP levels were obtained in the first 12 h after symptom onset (D1)
and at the fifth day (D5). RESULTS: Fifteen percent of patients admitted as
Killip I developed symptomatic heart failure and/or decreased ejection fraction
in 12 months. The risk of developing symptomatic heart failure or ejection
fraction <40% at 30 days was increased by 8.7-fold (95% confidence interval: 1.10
662, p=0.046) per each 100 pg/dl increase in BNP-change. Both in unadjusted and
adjusted Cox-regressions, BNP-change as a continuous variable was associated with
incident sudden death/myocardial infarction at 30 days (odds ratio 1.032 per each
increase of 10 pg/dl, 95% confidence interval: 1.013-1.052, p<0.001), but BNP-D1
was not. The GRACE score alone showed a moderate C-statistic=0.709 ( p=0.029),
but adding BNP-change improved risk discrimination (C-statistic=0.831, p=0.001).
Net reclassification confirmed a significant improvement in individual risk
prediction by 33.4% (95% confidence interval: 8-61%, p=0.034). However, GRACE
+BNP-D1 did not improve risk reclassification at 30 days compared to GRACE (
p=0.8). At 12 months, BNP-change was strongly associated with incident sudden
death/myocardial infarction, but not BNP-D1. CONCLUSIONS: Only BNP-change
following myocardial infarction was associated with poorer short- and long-term
outcomes. BNP-change also improves risk reclassification in addition to the GRACE
score.
PMID- 29359587
TI - Secondary use of hospital information system data for safe bedside radiography in
terms of patient factors.
AB - OBJECTIVE: The purpose of this study was to use patient data gathered by a
hospital information system (HIS) to improve the safe performance of bedside
radiography. METHOD: Hierarchical cluster analysis was used to investigate the
factors of hospitalised patients who had undergone radiography in the X-ray room
or at the bedside. Logistic regression analysis was then performed to quantify
patient factors and calculate the probability of undergoing general radiography
or bedside radiography. RESULTS: Patients were grouped into six clusters by
hierarchical cluster analysis on the basis of their factors. We found a
remarkable difference between clusters for the ratio of bedside radiography.
Results indicated that "types of transportation" and "level of mobility" related
to the ratio of bedside radiography. Logistic regression analysis of the
associations between the probability of undergoing bedside radiography and
patient factors indicated that type of transportation and level of mobility were
highly correlated with bedside radiography or general radiography. CONCLUSION:
Our results suggested that the secondary use of HIS data for the quantitative
evaluation of patient factors and implementation of those quantitative values in
medical records may be useful for the safe performance of bedside radiography as
well as providing a method of decision support for doctors to order bedside
radiography.
PMID- 29359588
TI - Assessment of health provider readiness for telemedicine services in Uganda.
AB - BACKGROUND: There are few telemedicine projects in Africa that have reached
scale. One of the reasons proposed for this has been failure to assess health
provider readiness for telemedicine prior to implementation. OBJECTIVE: To assess
health provider readiness for implementation and integration of telemedicine
services at three levels of Uganda's health facilities, namely, a national
referral hospital (NRH), regional referral hospitals (RRHs) and level 4 health
centres (HC-IVs) and to investigate factors associated with readiness for
telemedicine. METHOD: A cross-sectional descriptive study was conducted at public
healthcare facilities in Uganda. One RRH and HC-IV was identified from each of
the Western, Eastern and Northern regions using a multistage random sampling
technique. Mulago Hospital, which doubles as an RRH and HC-IV in the central
region, was purposively identified for the study. After validation, a
questionnaire was distributed for self-administration to senior administrators
and doctors selected at the NRH, RRHs and HC-IVs. Data were analysed using
bivariate associations between the outcome and the potential independent
variables. RESULTS: In total, 114 healthcare workers completed the questionnaire.
Of the respondents, 24 (21%) were from HC-IVs, 44 (39%) were from RRHs, and 46
(40%) from NRH. Doctors made up 45.8% (11) of respondents at HC-IVs, 59% (26) at
RRHs, and 30.4% (14) at NRH. Administrators across all health facility levels
were more likely to integrate telemedicine into the healthcare system than
doctors (odd ratio = 1.39 [95% confidence interval = 0.38-4.95]). A significant
association existed between the state of readiness and type of health facility, p
< 0.001. The NRH and RRHs are more likely to integrate telemedicine into their
systems than the HC-IVs. Among the factors investigated (job title, health
facility, technology type, reason for referral and frequency of electronic
communication), the level of health facility and title or role of healthcare
worker were found to have a significant statistical association with being ready
to integrate telemedicine into the healthcare system. CONCLUSION: Health provider
readiness to integrate telemedicine services varies at the different levels of
the health facility and job title or role. However, referral hospitals and
administrators were more likely to integrate telemedicine than HC-IVs and
doctors, respectively. While this study shows physicians and administrators are
ready, other sectors (nurses, allied healthcare workers, public) will also need
to be assessed.
PMID- 29359589
TI - Four new dammarane-type triterpenes derivatives from hydrolyzate of total
Gynostemma pentaphyllum saponins and their bioactivities.
AB - Phytochemical investigation of hydrolysate of total G. pentaphyllum saponins led
to the isolation of four novel triterpenes, Gypensapogenin U (1), Gypensapogenin
V (2), Gypensapogenin W (3) and Gypensapogenin X (4). The structures of these
compounds were identified by 1D, 2D-NMR and HR-ESI-MS evidences. Additionally,
the protective activity of these new compounds against cardiomyocytes injury
induced by H2O2 and their cytotoxic activity against t-HSC/Cl-6 cells were
evaluated.
PMID- 29359590
TI - Association of carotid intima media thickness with atherogenic index of plasma,
apo B/apo A-I ratio and paraoxonase activity in patients with non-alcoholic fatty
liver disease.
AB - BACKGROUND: NAFLD patients have higher risk of atherosclerosis cardiovascular
disease (ASCVD). apo B/apoA-I ratio and atherogenic index of plasma (AIP) have
been suggested as biomarker for ASCVD. METHODS: apo A-I, apoB, aryl esterase
(ARE) and paraoxonase (PON) activities and carotid intima media thickness (cIMT)
were determined in 49 NAFLD patients and 33 controls. RESULTS: Plasma levels of
apo A-I, adiponectin, ARE and PON activities decreased in NAFLD patients, while
apo B, AIP and apoB/apo A-I ratio level were higher in NAFLD patients compared to
controls. Furthermore, cIMT showed a positive association with AIP, apo B/apo A-I
ratio and AIP + (apo B/apo A-I) in NAFLD patients. Strikingly, AIP + (apo B/apo A
I) showed a good ability to discriminating increased cIMT in NAFLD patients.
CONCLUSIONS: The result showed that AIP and apo B/apo A-I associated with cIMT in
NAFLD patients; however, more study are needed to prove this concept.
PMID- 29359591
TI - Bone marrow mesenchymal stem cells suppress IL-9 in adjuvant-induced arthritis.
AB - Interleukin-9 (IL-9) has been shown to be upregulated in rheumatoid arthritis
(RA). The exact role of IL-9 has not yet been effectively studied. Mesenchymal
stem cells (MSCs) have shown a promising immunomodulatory role towards repairing
cartilage and restoring joint function. One of the key problems influencing the
therapeutic efficacy of stem cell therapy is the poor cell survival following
transplantation. This is attributed to oxidative and inflammatory stresses at the
injured sites. Hesperidin (Hsd), a flavanone present in citrus fruits, has been
studied as potential therapeutic agents that have anti-oxidant and anti
inflammatory activities. The objective of this study is to evaluate the
therapeutic paracrine action of bone marrow MSCs on the IL-9 level in adjuvant
induced arthritis (AIA) and the enhancement effect of Hsd on transplanted MSCs.
Articular tissue inflammation and cartilage damage were assessed by histological
scoring. Antinuclear autoantibodies, tumour necrosis factor-alpha (TNF-alpha), IL
9, IL-4, interferon gamma (IFN-delta), and transforming growth factor-beta1 (TGF
beta1), as well as malondialdehyde (MDA), glutathione (GSH), and superoxide
dismutase (SOD) levels, were assessed in spleen tissue homogenates after
treatment with MSCs either alone or combined with Hsd for 4 weeks in an AIA rat
model. Results of this study confirmed that MSCs decreased IL-9 levels in AIA and
provide novel insights into the application of Hsd on MSC-based treatments.
Highlights Adjuvant-induced arthritis (AIA) is one of the most widely used models
that has a great similarity to rheumatoid arthritis (RA). Few studies in recent
years have estimated IL-9 in rheumatic diseases and it remains an understudied
cytokine. For the first time, bone marrow mesenchymal stem cells (MSCs) therapy
has a vital role in splenocytes IL-9 level and further studies are required.
Combined therapy of MSCs with antioxidants as hesperidin (Hsd) can alleviate
oxidative stress and enhance stem cells immunomodulatory action.
PMID- 29359592
TI - Performance and physiological analysis of 500 km non-stop cycling: a case study.
AB - Ultra-endurance sports have gained popularity over the last years. In this case,
a well-trained cyclist completed 503.5 km non-stop (33.3 km.h-1). Speed and power
output were reduced during the trial, being the reduction of power attributable
to changes in pedal velocity rather than pedal forces. Heart rate (HR) showed an
initial cardiovascular drift and progressively decreased independently of power.
A decreased HR variability, a marked inflammatory response, signs of muscle
damage and alterations of the haematological profile were observed after the
trial. These adverse physiological effects were still present 24-48 h after
exercise. A reduction in handgrip maximal voluntary contraction was observed
immediately after the trial and 24 h later despite these muscles being minimally
active during exercise. These findings show the high levels of stress to which
the organism is subjected during ultra-endurance exercise even in the case of a
trained cyclist.
PMID- 29359593
TI - Individuality matters for substrate-size preference in the Nile tilapia
juveniles.
AB - Preference tests have usually been used to identify nonhuman animal preferences
for welfare purposes (environmental enrichment), but they are mostly at the group
level-that is, group preferences for resources or environmental conditions.
However, a more robust method was developed to analyze animal preference, and
this method detected clear individual variation in preferences of Nile tilapia
fish (Oreochromis niloticus) selecting different background colors. Here, a clear
individual variability of preference was found for another type of enrichment-the
sizes of substrate. Despite this variability, a consistent response was detected
at the group level: Small gravel was less frequently preferred than avoided, and
the more decided fish (those who preferred only one substrate size) never
preferred gravel over sand-size substrate. That is, Nile tilapia avoided gravel
and preferred smaller substrate, and this finding was possibly associated with
their mouth gap. Considering that small gravel is a substrate often used for fish
rearing, these findings highlight fish keepers' incorrect perception of fish
needs, based mostly on arbitrary criteria instead of actual fish preferences and
without considering individual needs.
PMID- 29359594
TI - Methylcyclopentadienyl manganese tricarbonyl increases cell vulnerability to
oxidative stress on rat thymocytes.
AB - Methylcyclopentadienyl manganese tricarbonyl (MMT) is used as a gasoline
antiknock additive. However, the toxic effect of MMT is currently not well
understood. In this study, we investigated the toxic effect of MMT on rat
thymocytes using a flow cytometer and fluorescent probes. MMT at 100-300 uM
significantly increased the population of cells exhibiting propidium
fluorescence, i.e., the population of dead cells. The intensity of BES-So-AM
fluorescence significantly increased when using 100 uM MMT. In addition, the
intensity of oxonol fluorescence in rat thymocytes increased with the treatment
with MMT in a concentration-dependent manner (10-100 uM). The toxic effect of MMT
was inhibited by quercetin, antioxidant flavonoid. Moreover, co-treatment with 30
100 uM MMT and 100 uM H2O2 increased the cell lethality further. These results
indicate that MMT increases cell vulnerability to oxidative stress on rat
thymocytes. This study provides insight into the toxic effect of MMT on the
immune system.
PMID- 29359595
TI - Acute and developmental toxicity assessment of erincine A-enriched Hericium
erinaceus mycelia in Sprague-Dawley rats.
AB - This study aimed to establish an in vitro model to confirm the efficacy of
erinacine A-enriched Hericium erinaceus (EAHE) mycelia and investigate its
potential adverse effects in a preclinical experimental setting, including an
assessment on the oral administration of EAHE mycelia in acute and prenatal
developmental toxicity tests. At a single dose of 5000 mg/kg body weight, EAHE
mycelia elicited no death or treatment-related signs of toxicity in ten Sprague
Dawley rats of both sexes during the 14 days of the experimental period. After
considering the recommended dose range of EAHE mycelia from the acute toxicity
test as well as the therapeutic doses, EAHE mycelia was administered to 66
pregnant rats in the low, medium, and high-dose groups by gavage at 875, 1750,
and 2625 mg/kg body weight, respectively. All dams were subjected to a Caesarean
section on the 20th day of pregnancy, and the fetuses were examined for any
morphological abnormalities. Results indicated that weight of uterus, fetal body
weight, number of corpora lutea, implantation sites, pre-implantation loss, and
post-implantation loss of the treatment groups and the control group exhibited no
statistical difference. In addition, no significant differences were observed in
the fetal external, organ, and skeletal examinations. Taken together, it can be
concluded that EAHE mycelia is considered safe and practically nontoxic for
consumption within the appropriate doses and investigation period in this study.
PMID- 29359596
TI - Treatment of AO/OTA Type C Pilon Fractures Through the Anterolateral Approach
Combined With the Medial MIPO Technique.
AB - BACKGROUND: The purpose of this study was to evaluate the clinical and
radiographic results of the treatment of AO/OTA type C pilon fracture via the
anterolateral approach using a low-profile plate combined with medial minimally
invasive plate osteosynthesis (MIPO). METHODS: We retrospectively reviewed 28
ankles with AO/OTA type C pilon fractures that were treated using the
anterolateral approach combined with medial MIPO. Mean age was 46 years (range,
19 to 75), and the mean follow-up period was 25 months (range, 14 to 50).
Clinical results were assessed using the visual analogue scale (VAS) and the
American Orthopaedic Foot & Ankle Society (AOFAS) Ankle-Hindfoot Scale. Range of
motion (ROM) of the ankle joint was measured, and postoperative complications
were investigated via chart review. RESULTS: The VAS and AOFAS Ankle-Hindfoot
Scale were 2 and 89, respectively, at the last follow-up. Ankle ROM at the last
follow-up was 13 degrees (range, 5 to 20) in dorsiflexion and 38 degrees (range,
35 to 40) in plantarflexion. All the fractures united without additional surgery.
One patient (3.6%) had a deep infection at the fibular fracture site, and 1
patient (3.6%) had partial skin necrosis. CONCLUSION: This combined technique for
AO/OTA type C pilon fracture resulted in good ROM of the ankle joint with
reasonable function with a fairly low wound complication rate. However, further
research on defined indications with a comparison group from multiple centers is
necessary to determine if this technique is better than alternative surgical
approaches. LEVEL OF EVIDENCE: Level IV, case series.
PMID- 29359597
TI - Prevalence of Vitamin D Deficiency in Patients With Talar Osteochondral Lesions.
AB - BACKGROUND: Vitamin D deficiency affects over 1 billion people worldwide and is
common in foot and ankle patients. The prevalence in those with osteochondral
lesions of the talus (OLTs) is unknown. This study identified the prevalence and
risk factors for hypovitaminosis D in patients with an OLT. METHODS: Serum
25(OH)D levels were obtained from patients presenting with an OLT from May to
November during 2007 to 2016. Hypovitaminosis D was defined as 25(OH)D less than
30 ng/mL (75 nmol/L). Patients presenting with an acute ankle sprain (AS) during
the same months served as a control group. Specific medical risk factors for
hypovitaminosis D were recorded. The final OLT population included 46 patients
(31 women [67.4%]; mean [SD] age 43.6 [14.8] years). The comparison AS group had
40 patients (32 women [80.0%]; mean [SD] age 56.2 [13.0] years). RESULTS: The
mean (SD) 25(OH)D in the OLT and AS cohorts were 31.2 (12.6) ng/mL and 37.1
(13.5) ng/mL, respectively ( P = .039). Hypovitaminosis D was identified in 54%
of the OLT population and 28% of the AS population ( P = .012). CONCLUSION:
Hypovitaminosis D is intimately related to decreased bone mineral density. This
study identified a significantly higher rate of hypovitaminosis D in patients
with an OLT compared to a cohort of AS patients. These findings suggest that when
patients present with an OLT, health care providers should consider evaluating
for and treating hypovitaminosis D. LEVEL OF EVIDENCE: Level III, comparative
study.
PMID- 29359599
TI - Is the Moderating Effect of Social Support on New Korean Mothers' Psychological
Distress Contingent on Levels of Marital Quality?
AB - This study examines how levels of marital quality change the effect of social
support on postpartum psychological distress among new Korean mothers using the
Panel Study on Korean Children (N = 1585). In accord with findings from previous
studies, this study shows that low marital quality negatively affects new
mothers' mental health, but that social support alleviates psychological distress
independent of marital quality. The main finding of this research is that the
moderating effect of social support is contingent on levels of marital quality.
Aggregated social support moderates the effects of marital quality on new
mothers' mental health only when the level of marital quality is low.
Furthermore, each dimension of social support (emotional, informational, and
instrumental) only has a moderating effect when marital quality is low. The
findings highlight the fact that the moderating effect of social support varies
with the individual context and so customized social support that fits individual
needs matters for the mental health of new mothers.
PMID- 29359598
TI - Using 3D finite element models verified the importance of callus material and
microstructure in biomechanics restoration during bone defect repair.
AB - BACKGROUND: There is lack of further observations on the microstructure and
material property of callus during bone defect healing and the relationships
between callus properties and the mechanical strength. METHODS: Femur bone defect
model was created in rabbits and harvested CT data to reconstruct finite element
models at 1 and 2 months. Three types of assumed finite element models were
compared to study the callus properties, which assumed the material elastic
property as heterogeneous (R-model), homogenous (H-model) or did not change from
1 to 2 months (U-model). RESULTS: The apparent elastic moduli increased at 2
months (from 355.58 +/- 132.67 to 1139.30 +/- 967.43 MPa) in R-models. But there
was no significant difference in apparent elastic moduli between R-models (355.58
+/- 132.67 and 1139.30 +/- 967.43 MPa) and H-models (344.79 +/- 138.73 and
1001.52 +/- 692.12 MPa) in 1 and 2 months. A significant difference of apparent
elastic moduli was found between the R-model (1139.30 +/- 967.43 MPa) and U-model
group (207.15 +/- 64.60 MPa) in 2 months. CONCLUSIONS: This study showed that the
callus structure stability remodeled overtime to achieve a more effective
structure, while the material quality of callus tissue is a very important factor
for callus strength. At the meantime, this study showed an evidence that the
material heterogeneity maybe not as important as it is in bone fracture model.
PMID- 29359600
TI - Mental Health Indicators of Suicide in Cambodian Women.
AB - Global suicide rates are steadily increasing, and suicide completions in Asia
outnumber those in Western countries. Young females are especially at risk, with
higher rates of completion and lack of suicide support because of familial and
cultural stigma and constraints. Lack of infrastructure to systematically record
suicide deaths and attempts makes studying suicide in low- and middle-income
countries challenging. Given the critical public health need for suicide
intervention and prevention, research on suicide is crucial. The present study
adds to the lack of information regarding suicide in Cambodia by exploring
reports of attempted suicide by women from a nationally representative sample of
Cambodian women (N = 1813). In a series of logistic regression models, findings
indicate that a culturally salient measure of Cambodian syndromes, symptoms of
depression, and posttraumatic stress disorder contributed to increased odds of
attempting to commit suicide. Implications for policymakers and interventionists
within Cambodia and Asian contexts are discussed.
PMID- 29359601
TI - Rising Awareness of Palliative Care in the Asia-Pacific Region.
PMID- 29359602
TI - The Taiwan Adolescent to Adult Longitudinal Study (TAALS): Methodology and Cohort
Description.
AB - The objective of the study was to introduce the methodology and report on cohort
description of Taiwan Adolescent to Adult Longitudinal Study (TAALS). TAALS is
the first nationwide longitudinal survey among Taiwan adolescents, linked with
the National Health Insurance Research Database (NHIRD) to obtain complete
medical records of respondents in the future. The TAALS project employed the
principle of probability proportional to size (PPS) sampling method. Data were
collected by questionnaire from 18 064 school students participating in 2015
formal survey, with good sample representation via a goodness-of-fit test after
weighting adjustments. Through expert evaluation and statistics tests, TAALS
shows a well nationally representation, validity, and reliability. Results
indicate that the vocational school students had poor healthy behavior than other
education systems, supporting the hypothesis that different learning environment
will develop different health behaviors. TAALS can serve as a foundation for
analyzing health trajectories of Taiwan adolescents.
PMID- 29359603
TI - Parental Education Level and Dental Caries in School Children Living in Dili,
Timor-Leste.
AB - Timor-Leste struggles with problems of poverty and inequalities that underlie
many health disparities, including oral health. This study aimed to determine the
association between parental education and dental caries in school children aged
6 to 17 years from 40 randomly selected schools in 4 Dili subdistricts. A
questionnaire and oral examination collected data and multivariable log binomial
models were used for data analysis. Results indicate no association between
parental education level and the prevalence of untreated decay in deciduous teeth
( P = .96). There was an association between parental education level and
untreated decay in permanent teeth ( P = .03) and untreated decay overall ( P =
.01). Children whose parents had higher education levels have approximately half
the relative risk (aRR 0.47, 95% CI 0.25-0.89) of decay compared with children
whose parents had low levels of education.
PMID- 29359605
TI - Facilitators and barriers in the diagnostic process of vulvovaginal complaints
(vulvodynia) in general practice: a qualitative study.
AB - BACKGROUND: The gap between the relatively high prevalence of provoked vulvodynia
(PVD) in the general population and the low incidence in primary care can partly
be explained by physicians' lack of knowledge about the assessment and management
of PVD. OBJECTIVES: To recognize barriers and facilitators of GPs in the
diagnostic process of women presenting with recurrent vulvovaginal complaints.
METHODS: A qualitative focus group study in 17 Dutch GPs, five men and 12 women.
An interview guide, based on the scientific literature and the expertise of the
researchers, including a vignette of a patient, was used to direct the discussion
between the GPs. The interviews were audiotaped and transcribed verbatim. A
systematic text analysis of the transcripts was performed after data saturation
was reached. RESULTS: Analysis of the interviews generated three major themes:
Identifying and discussing sexual complaints, importance of gender in
professional experience, and coping with professional uncertainty. Within these
themes, the reluctance regarding sexual complaints, male gender, negative
emotional responses when faced with professional uncertainty, as well as lack of
education were barriers to the diagnostic process and management of PVD. Female
gender and understanding that patients can profit from enquiring about sexual
health issues were found to be facilitating factors. CONCLUSIONS: To improve the
care for women with PVD, attitude and skills of GPs regarding taking a sexual
history and performing a vulvovaginal examination should be addressed, as well as
GPs' coping strategies regarding their professional uncertainty.
PMID- 29359606
TI - Comorbidity and economic burden among moderate-to-severe psoriasis and/or
psoriatic arthritis patients in the US Department of Defense population.
AB - AIMS: To examine the comorbidity and economic burden among moderate-to-severe
psoriasis (PsO) and/or psoriatic arthritis (PsA) patients in the US Department of
Defense (DoD) population. MATERIALS AND METHODS: This retrospective cohort claims
analysis was conducted using DoD data from November 2010 to October 2015. Adult
patients with >=2 diagnoses of PsO and/or PsA (cases) were identified, and the
first diagnosis date from November 2011 to October 2014 was defined as the index
date. Patients were considered moderate-to-severe if they had >=1 non-topical
systemic therapy or phototherapy during the 12 months pre- or 1 month post-index
date. Patients without a PsO/PsA diagnosis during the study period (controls)
were matched to cases on a 10:1 ratio based on age, sex, region, and index year;
the index date was randomly selected. One-to-one propensity score matching (PSM)
was conducted to compare study outcomes in the first year post-index date,
including healthcare resource utilization (HRU), costs, and comorbidity
incidence. RESULTS: A total of 7,249 cases and 72,490 controls were identified.
The mean age was 48.1 years. After PSM, comorbidity incidence was higher among
cases, namely dyslipidemia (18.3% vs 13.5%, p < .001), hypertension (13.8% vs
8.7%, p < .001), and obesity (8.8% vs 6.1%, p < .001). Case patients had
significantly higher HRU and costs, including inpatient ($2,196 vs $1,642; p <
.0016), ambulatory ($8,804 vs 4,642; p < .001), emergency room ($432 vs $350; p <
.001), pharmacy ($6,878 vs $1,160; p < .001), and total healthcare costs ($18,311
vs $7,795; p < .001). LIMITATIONS: Claims data are collected for payment
purposes; therefore, such data may have limitations for clinical research.
CONCLUSIONS: During follow-up, DoD patients with moderate-to-severe PsO and/or
PsA experienced significantly higher HRU, cost, and comorbidity burden.
PMID- 29359607
TI - Benralizumab: an anti-IL-5 receptor alpha monoclonal antibody in the treatment of
asthma.
AB - IL-5 is a key cytokine responsible for the maturation, recruitment and survival
of eosinophils. The role of eosinophils in pathomechanisms of severe asthma and
association of those cells with frequent exacerbations are well accepted. Novel
biologic agents including anti-IL-5 antibodies (mepolizumab and reslizumab) as
well as anti-IL-5 receptor alpha chain (benralizumab) have been developed.
Benralizumab (FasenraTM) leads to reduced eosinophil counts in airway mucosa,
blood, sputum and a clear inhibition of eosinophil differentiation and maturation
in the bone marrow. In clinical studies, benralizumab significantly reduces the
rate of asthma exacerbations and has a clear oral glucocorticoid sparing effect.
The frequency and the type of reported adverse events do not differ between
active treatment and placebo arms.
PMID- 29359609
TI - Rare Skin Fistulas Relating to Ascending Colonic Carcinoma: An Unusual Mode of
Revelation.
AB - Chronic or nonhealing wounds is a complex disease influenced by a multitude of
factors, such as infection, ischemia, malnutrition, and diabetes and infrequently
relates to retroperitoneal carcinoma. We present a case of an adenocarcinoma of
ascending colon in a 68-year-old male who had lumbago and waist fistulas with
retroperitoneal abscesses preceding other signs or symptoms of colonic
malignancy. Supplemental information regarding the diagnosis and treatment of
nonhealing wounds and colon carcinoma has also been included in the report.
Adenocarcinoma of ascending colon is rarely associated with nonhealing wounds;
nevertheless, it should be considered in cases with long-term healing
complications. Precise diagnostic deliberation is crucial in the management and
treatment of all chronic and long-term nonhealing lesions, and appropriately
performed biopsies are essential to determine whether malignancy is the primary
cause.
PMID- 29359610
TI - Effectiveness of a bipolar vessel sealant device for ovariohysterectomy in cats
with pyometra.
AB - OBJECTIVES: The objective was to describe the use of a bipolar vessel sealant
device for complete ovariohysterectomy in cats (ie, removal of both the ovarian
pedicle and the uterine body and vessels) and to report on the feasibility of
ovariohysterectomy in cats with pyometra. METHODS: Cats with confirmed pyometra
and whose owners agreed to the surgical procedure were prospectively included in
the study. Ovaries were visualised and the vessel sealant device was used to
coagulate and cut the suspensory ligament, the ovarian pedicle and the broad
ligament up to the level of the uterine body. Once the uterine body was measured,
it was grasped, coagulated and transected just proximal to the cervix using the
vessel sealant device when its diameter was <0.9 cm. Immediate postoperative and
short-term follow-up were evaluated by clinical examination. RESULTS: Thirteen
cases were initially included between November 2015 and February 2017. Three
cases were subsequently excluded because of a uterine body diameter >0.9 cm. Of
the 10 cats finally included, all were intact females, with a median age of 2.7
years (range 0.9-9 years). Median weight was 3.7 kg (range 2.6-6.7 kg). The
median surgery time was 10.9 mins (range 9.8-15.2 mins). Median uterus diameter
was 0.51 cm (range 0.45-0.64 cm) and median skin incision was 4.1 cm (range 3.6
5.1 cm). No complications during the procedures occurred in any patient. All
cases were discharged from the hospital 1 day after surgery, without any
abnormality. No complications were noted during the postoperative period.
CONCLUSIONS AND RELEVANCE: The findings suggest that the bipolar vessel sealant
device used in this study is safe in performing ovariohysterectomy for pyometra
in cats, when the uterine body is <0.9 cm in diameter, without short-term
complications.
PMID- 29359608
TI - Tea polyphenols inhibit the proliferation, migration, and invasion of melanoma
cells through the down-regulation of TLR4.
AB - Melanoma is the most common skin cancer and malignant melanoma which can cause
skin cancer-related deaths. Toll-like receptor 4 (TLR4) had been reported to play
an important role in melanoma, and tea polyphenol (TP) is regarded as an
anticancer substance. However, the relationship between TP and TLR4 in melanoma
is not well explored. Therefore, our aim is to figure out how TP has an influence
on melanoma. Melanoma cell lines (B16F10 and A375) were treated with TP and
lipopolysaccharides (LPS). Western blot assay was used to examine TLR4
expression, and MTT assay was conducted to assess proliferation. Wound healing
assay was conducted to evaluate the migration of melanoma cells, and transwell
assay was used to examine the melanoma cells' invasiveness. Besides, in vivo
experiments were practiced for TP function in mice with melanoma cells. TP
inhibited the proliferation, migration and invasion ability of melanoma cells,
which displayed a dosage and time dependence. TLR4 was highly expressed in
melanoma cells compared with normal skin cells. TP could suppress TLR4 expression
both in normal melanomas and in stimulated melanomas by TLR4 agonist LPS.
Suppressing TLR4 in melanomas could inhibit cell function (proliferation,
migration, and invasion), and blocking the expression of 67LR could abolish TP
function on TLR4. TP can inhibit melanoma (B16F10) growth in vivo.
PMID- 29359611
TI - Evaluation of long-term outcome and prognostic factors of feline squamous cell
carcinomas treated with photodynamic therapy using liposomal phosphorylated meta
tetra(hydroxylphenyl)chlorine.
AB - OBJECTIVES: The aim of this study was to evaluate the efficacy, long-term outcome
and prognostic factors of feline squamous cell carcinoma (SCC) treated with
photodynamic therapy (PDT). METHODS: Cats with histologically verified SCC of the
head and neck received an intravenous injection of liposomal phosphorylated meta
tetra(hydroxylphenyl)chlorine (mTHPC) and 4 h later 652 nm light was delivered by
a diode laser. One group received ?10 J/cm2, the other 20 J/cm2. Tumour response
and duration were analysed with stage, tumour diameter, location and treatment
intensity as prognostic factors. RESULTS: In total, 63 lesions in 38 cats
underwent treatment with ?10 J/cm2 (n = 22) and 20 J/cm2 (n = 41). Overall
response rate was 84% (complete remission 61%, partial remission 22%) with a mean
progression-free interval of 35 months (median not reached) and a median overall
survival time of 40 months (95% confidence interval 33-47). With regard to tumour
stage, invasiveness yielded a highly significant worse outcome ( P <0.017). All
patients with invasive tumours showed progression at less than 6 months. Larger
lesions were associated with inferior control and treatment intensity, and tumour
location did not influence response and duration. CONCLUSIONS AND RELEVANCE: PDT
using a systemic photosensitiser leads to excellent long-term tumour control in
the majority of cats. However, invasive and large tumours had a clearly inferior
outcome, even if treated with the higher-dose intensity. This suggests that
advanced lesions are not indications for PDT.
PMID- 29359612
TI - A factor analytic investigation of the Mercy Evaluation of Multiple Sclerosis.
AB - OBJECTIVE: Neurocognitive deficits commonly are an accompanying feature of
Multiple Sclerosis (MS). A brief, yet comprehensive neuropsychological battery is
desirable for assessing the extent of these deficits. Therefore, the present
study examined the validity of the Mercy Evaluation of Multiple Sclerosis (MEMS)
for use with the MS population. METHODS: Archival data from individuals diagnosed
with MS (N = 378) by independent neurologists was examined. Cognitive domains
assessed included processing speed and attention, learning, and memory,
visuospatial, language, and executive functioning. A mean battery index was
calculated to provide a general indicator of cognitive impairment within the
current sample. RESULTS: Overall performance across participants was found to be
in the lower limits of the average range. Results of factor analytic statistical
procedures yielded a four-factor solution, accounting for 67% of total variance
within the MEMS. Four neurocognitive measures exhibited the highest sensitivity
in detecting cognitive impairment, constituting a psychometrically established
brief cognitive screening battery, which accounted for 83% of total variance
within the mean battery index score. CONCLUSION: Overall, the results of the
current study suggest appropriate construct validity of the MEMS for use with
individuals with MS, as well as provide support for previously established
cognitive batteries.
PMID- 29359613
TI - Eyelid arteriovenous malformation treated with pre-surgical embolization: A case
report.
AB - Background Arteriovenous malformations are potentially serious vascular anomalies
that are rarely encountered in the eyelid and require a multidisciplinary
approach. Objectives We would like to describe the technical and clinical aspects
related to the treatment of palpebral arteriovenous malformation with selective
embolization, followed by surgical resection. Methods A 40-year-old patient
presented with an isolated high-flow palpebral arteriovenous malformation.
Transarterial embolization, using a liquid embolic agent (PHILTM), was performed
in this patient. Results Angiographic and clinical follow-up revealed good
results with clinical regression of the mass. Conclusion Although endovascular
treatment of palpebral arteriovenous malformations is technically challenging,
good functional and cosmetic result was achieved. Arteriovenous malformation
embolization using PHILTM seems to be very effective and makes subsequent
surgical procedure safe and feasible. Level IV Evidence obtained from multiple
time series with or without the intervention, such as case studies. Dramatic
results in uncontrolled trials might also be regarded as this type of evidence.
PMID- 29359614
TI - Life-threatening autoimmune warm hemolytic anemia following treatment for
multiple sclerosis with alemtuzumab.
AB - BACKGROUND: Alemtuzumab is a humanized monoclonal antibody directed at CD52
approved as a disease-modifying therapy for relapsing forms of multiple sclerosis
(MS). OBJECTIVE: To describe a case of a life-threatening autoimmune anemia
occurring after a first course of alemtuzumab for relapsing-remitting MS in a 28
year-old male. METHODS: Case report. RESULTS: A 28-year-old male developed a life
threatening autoimmune anemia occurring 11 months after first alemtuzumab course.
CONCLUSION: We report the third case of autoimmune hemolytic anemia following
treatment with alemtuzumab in a young MS patient. Due to the severity of this
adverse event, neurologists using this treatment should be alert.
PMID- 29359615
TI - Correlation of Inflammatory Markers, Survival, and COX2 Expression in Oral Cancer
and Implications for Prognosis.
AB - Objective Peripheral blood-derived inflammation-based scores, such as the
neutrophil-lymphocyte ratio (NLR), platelet-lymphocyte ratio (PLR), and the
combination of platelet count and NLR, have recently been proposed as prognostic
markers in solid tumors. The purpose of this study was to investigate the
validity of inflammatory markers as predictive prognostic factors for locally
advanced oral squamous cell carcinoma (OSCC). In addition, we evaluated the
potential correlation between systemic inflammation and local expression of COX2.
Study Design Retrospective chart review and histologic analysis. Setting Tertiary
referral academic center. Subjects and Methods We conducted a retrospective
analysis of 94 patients with advanced OSCC treated with surgery at our hospital
between 2007 and 2015. The relationship among patient survival, systemic
inflammatory markers, and local COX2 expression was evaluated. Local COX2
expression in surgical specimens was measured by immunohistochemistry. Results
High NLR and high PLR were associated with significantly shorter overall survival
and cancer-specific survival. Multivariate analysis revealed that cN stage, NLR,
and postoperative radiation/chemoradiation were significantly associated with
overall survival and cancer-specific survival. PLR and combination of platelet
count and NLR were significantly correlated with tumor expression of COX2.
Finally, patients with cN2 stage disease and high local COX2 expression had a
significantly worse prognosis than other patient groups. Conclusion Pretreatment
inflammatory markers are useful as prognostic factors in advanced OSCC. Our study
suggests that local COX2 may be affected by systemic inflammation and that the
prognostic impact of COX2 expression depends on host factors and tumor
characteristics.
PMID- 29359617
TI - Simultaneous early-onset severe autoimmune hemolytic anemia and albuminuria
during alemtuzumab treatment for multiple sclerosis.
AB - BACKGROUND: Alemtuzumab, approved for multiple sclerosis (MS), can cause
secondary autoimmune adverse events including thyroid disorders, immune
thrombocytopenia (ITP), and glomerular nephropathies. Non-ITP autoimmune
cytopenias are rarely reported. OBJECTIVE: To report a case of autoimmune
hemolytic anemia (AIHA) and nephropathy in a MS patient treated with alemtuzumab.
CASE REPORT: A 34-year-old man with MS developed albuminuria and AIHA after the
first and only alemtuzumab treatment, with positive Coombs' direct and indirect
tests and IgG autoantibodies. Both AIHA and nephropathy resolved 1 month after
treatment with steroids and intravenous immunoglobulins. CONCLUSION: Our report
adds to literature on AIHA and nephropathy after alemtuzumab treatment and
suggests to add Coombs' tests to the screening panel required for alemtuzumab
treatment.
PMID- 29359618
TI - Surgical Fires in Otolaryngology: A Systematic and Narrative Review.
AB - Objective To bring attention to the epidemiology, prevention, management, and
consequences of surgical fires in otolaryngology by reviewing the literature.
Data Sources PubMed, EMBASE, Web of Science, and Scopus. Review Methods
Comprehensive search terms were developed, and searches were performed from data
source inception through August 2016. A total of 4506 articles were identified;
2351 duplicates were removed; and 2155 titles and abstracts were independently
reviewed. Reference review was also performed. Eligible manuscripts described
surgical fires involving patients undergoing otolaryngologic procedures. Results
Seventy-two articles describing 87 otolaryngologic surgical fire cases were
identified. These occurred during oral cavity or oropharyngeal procedures (11%),
endoscopic laryngotracheal procedures (25%), tracheostomies (36%), "other"
general anesthesia procedures (3%), and monitored anesthesia care or local
procedures (24%). Oxidizing agents consisted of oxygen alone (n = 63 of 81, 78%),
oxygen and nitric oxide (n = 17 of 81, 21%), and room air (n = 1 of 81, 1%). The
fractional inspired oxygen delivered was >30% in 97% of surgical fires in non
nitrous oxide general anesthesia cases (n = 35 of 36). Laser-safe tubes were used
in only 12% of endoscopic laryngotracheal cases with endotracheal tube
descriptions (n = 2 of 17). Eighty-six percent of patients experienced acute
complications (n = 76 of 87), including 1 intraoperative death, and 22% of
patients (n = 17 of 77) experienced long-term complications. Conclusion Surgical
fires in otolaryngology persist despite aggressive multi-institutional efforts to
curb their incidence. Guideline recommendations to minimize the concentration of
delivered oxygen and use laser-safe tubes when indicated were not observed in
many cases. Improved institutional fire safety practices are needed nationally
and internationally.
PMID- 29359616
TI - CCR2 upregulation in DRG neurons plays a crucial role in gastric hyperalgesia
associated with diabetic gastropathy.
AB - Background Diabetic gastropathy is a complex neuromuscular dysfunction of the
stomach that commonly occurs in diabetes mellitus. Diabetic patients often
present with upper gastrointestinal symptoms, such as epigastric discomfort or
pain. The aim of this study was to assess gastric sensation in streptozocin
induced diabetes mellitus (DM) rats and to determine the contribution of C-C
motif chemokine receptor 2 (CCR2) signaling to gastric hyperalgesia. Results DM
rats showed signs of neuropathy (cutaneous mechanical hyperalgesia) from two
weeks after streptozocin administration until the end of the experiment.
Accelerated solid gastric emptying was observed at two weeks after streptozocin
administration compared to the controls. Intense gastric hyperalgesia also
developed in DM rats at two weeks after streptozocin administration, which was
significantly reduced after intrathecal administration of the CCR2 antagonist
INCB3344. Immunochemical analysis indicated that CCR2 expression was
substantially upregulated in small and medium-sized dorsal root ganglia neurons
of DM rats, although the protein level of monocyte chemoattractant protein-1, the
preferred ligand for CCR2, was not significantly different between the control
and DM groups. Conclusions These data suggest that CCR2 activation in nociceptive
dorsal root ganglia neurons plays a role in the pathogenesis of gastric
hyperalgesia associated with diabetic gastropathy and that CCR2 antagonist may be
a promising treatment for therapeutic intervention.
PMID- 29359619
TI - Smoking cessation: Exploration of perceived technology-related information value.
AB - This study describes a unique approach to information transfer affecting the
perceived value of this information and related impact on smoker behavior. Data
were collected via survey, sampling approximately 120 participants. An online
survey tool was used for the survey creation, data collection and monitoring.
Another online tool was used by participants to create short animation videos as
a means of increasing their engagement with information in an experiential
fashion. Study findings included that the process experienced by the test group
was influential and facilitated participants' change of mind regarding enrollment
in a smoking cessation workshop. This was partly attributable to the IKEA effect.
The study provides evidence that a change in habits crucial to improve health and
enhance positive lifestyle choices can be stimulated through active engagement
with artifact creation in a technology-mediated environment.
PMID- 29359620
TI - Adverse Event extraction from Structured Product Labels using the Event-based
Text-mining of Health Electronic Records (ETHER)system.
AB - Structured Product Labels follow an XML-based document markup standard approved
by the Health Level Seven organization and adopted by the US Food and Drug
Administration as a mechanism for exchanging medical products information. Their
current organization makes their secondary use rather challenging. We used the
Side Effect Resource database and DailyMed to generate a comparison dataset of
1159 Structured Product Labels. We processed the Adverse Reaction section of
these Structured Product Labels with the Event-based Text-mining of Health
Electronic Records system and evaluated its ability to extract and encode Adverse
Event terms to Medical Dictionary for Regulatory Activities Preferred Terms. A
small sample of 100 labels was then selected for further analysis. Of the 100
labels, Event-based Text-mining of Health Electronic Records achieved a precision
and recall of 81 percent and 92 percent, respectively. This study demonstrated
Event-based Text-mining of Health Electronic Record's ability to extract and
encode Adverse Event terms from Structured Product Labels which may potentially
support multiple pharmacoepidemiological tasks.
PMID- 29359621
TI - Radio-scapho-capitate ligament reconstruction during proximal row carpectomy.
PMID- 29359622
TI - The virtual hand clinic: its use in optimizing trauma footfall in a hand trauma
unit.
PMID- 29359623
TI - Reporting suspected adverse reactions to new commercialized medicines in real
world.
PMID- 29359624
TI - Blocking of matrix metalloproteinases-13 responsive peptide in poly(urethane
urea) for potential cartilage tissue engineering applications.
AB - The matching of scaffold degradation rate with neotissue growth is required for
tissue engineering applications. Timely provision of proper spaces especially for
cartilage tissue engineering plays a pivotal role in chondrocyte cluster
formation. In this study, poly(urethane urea) was synthesized using conventional
two-stage method by extending the isocyanate group terminated prepolymers with
different amounts of GPLGLWARK peptide, which responses the degrading induced by
matrix metalloproteinase 13, the main proteinase for cartilage matrix
degradation. The Fourier transform infrared spectrometer with the attenuated
total reflection and 1H nuclear magnetic resonance spectra revealed that the
peptides were introduced to poly(urethane urea) according to the characteristic
absorption bands of the peptide and the newly formed urea bonds. The ultraviolet
visible spectroscopy spectra showed that the weight percentages of the peptide in
the three poly(urethane urea) were 25%, 32%, and 35%. Atomic force microscopy
images revealed that phase separation occurred in all poly(urethane urea) samples
and became increasingly apparent with increasing amount of peptides introduced.
Mechanical tests showed that the poly(urethane urea) strength increased with
increasing amount of peptides in poly(urethane urea). Poly(urethane urea)
proteolysis in matrix metalloproteinase 13 solution was more rapid than
hydrolysis in aqueous buffer, and proteolysis rate was dependent on the amount of
peptides in poly(urethane urea). Cell proliferation on the material surface in
vitro displayed nontoxicity for all synthesized poly(urethane urea). In vivo
subcutaneous implantation evaluation revealed the presence of local foreign body
reactions triggered by poly(urethane urea) but was not due to peptide in
poly(urethane urea). Moreover, the synthesized poly(urethane urea) with
significant phase separation did not degrade under the matrix metalloproteinase
13 free subcutaneous environment, but poly(urethane urea) with minimal phase
separation was degraded by attacking of the enzymes adsorbed on the hydrophobic
surface through non-specific adsorption.
PMID- 29359625
TI - Vision in Alzheimer's disease: a focus on the anterior afferent pathway.
AB - Visual dysfunction has long been recognized as a manifestation of Alzheimer's
disease (AD), particularly in the form of visuospatial impairment during all
stages of disease. However, investigations have revealed findings within the
anterior (i.e., pregeniculate) afferent visual pathways that rely on retinal
imaging and electrophysiologic methodologies for detection. Here we focus on the
anterior afferent visual pathways in AD and the measures used for assessment,
including optical coherence tomography, electrophysiology, color vision testing
and threshold visual field perimetry. A brief summary of higher order visual
dysfunction is also included to allow the reader to keep in context the broader
findings of afferent visual dysfunction in AD.
PMID- 29359626
TI - Cultural Self-Awareness as Awareness of Culture's Influence on the Self:
Implications for Cultural Identification and Well-Being.
AB - Cultural self-awareness refers to the awareness of how culture has influenced the
self. This research investigated how such awareness might be related to cultural
identification and well-being. In Study 1, we developed a scale measure that
differentiated individuals' awareness of how culture has influenced them
(cultural self-awareness) and how their own personality and personal experiences
have influenced them (personal self-awareness). Factor analysis and item analysis
showed a two-factor model with acceptable scale reliability. Study 2 showed that
cultural self-awareness positively predicted well-being through higher cultural
identification, whereas personal self-awareness positively predicted well-being
through higher behavioral authenticity. Study 3 manipulated the valence of
individuals' cultural experience. The indirect effect of cultural self-awareness
on well-being was stronger when cultural experience was positive (vs. negative).
Study 4 provided a specific cultural context for the well-being measures and
replicated the findings of Studies 2 and 3. Implications on the link between
culture and self were discussed.
PMID- 29359627
TI - Advice Giving: A Subtle Pathway to Power.
AB - We propose that interpersonal behaviors can activate feelings of power, and we
examine this idea in the context of advice giving. Specifically, we show (a) that
advice giving is an interpersonal behavior that enhances individuals' sense of
power and (b) that those who seek power are motivated to engage in advice giving.
Four studies, including two experiments ( N = 290, N = 188), an organization
based field study ( N = 94), and a negotiation simulation ( N = 124), demonstrate
that giving advice enhances the adviser's sense of power because it gives the
adviser perceived influence over others' actions. Two of our studies further
demonstrate that people with a high tendency to seek power are more likely to
give advice than those with a low tendency. This research establishes advice
giving as a subtle route to a sense of power, shows that the desire to feel
powerful motivates advice giving, and highlights the dynamic interplay between
power and advice.
PMID- 29359628
TI - Perceiving Relationship Success Through a Motivational Lens: A Regulatory Focus
Perspective.
AB - The current research examined the hypothesis that the relative importance of
growth- and security-related relationship qualities in establishing successful
relationships depends on the motivational orientation-regulatory focus (Higgins,
1997)-of the individual. Across four studies, we found that individuals in a
promotion focus, whether chronic (Studies 1-2, 4) or temporarily induced (Study
2), prioritized the presence of relationship growth versus security in perceiving
relationship success (Studies 1-2), rated their own relationship well-being
higher when growth (but not security) qualities were present (Study 3), and
experienced heightened relationship well-being when room for growth was
manipulated to appear abundant versus limited (Study 4). In contrast, prevention
focused individuals did not place as much emphasis on growth relative to security
(Studies 1 and 3) and, when forced to choose, preferred a relationship
characterized by security versus growth (Study 2). These findings suggest the
value of considering motivational orientations in understanding how relationship
success is experienced.
PMID- 29359629
TI - It Could Have Been True: How Counterfactual Thoughts Reduce Condemnation of
Falsehoods and Increase Political Polarization.
AB - This research demonstrates how counterfactual thoughts can lead people to excuse
others for telling falsehoods. When a falsehood aligned with participants'
political preferences, reflecting on how it could have been true led them to
judge it as less unethical to tell, which in turn led them to judge a politician
who told it as having a more moral character and deserving less punishment. When
a falsehood did not align with political preferences, this effect was
significantly smaller and less reliable, in part because people doubted the
plausibility of the relevant counterfactual thoughts. These results emerged
independently in three studies (two preregistered; total N = 2,783) and in meta-
and Bayesian analyses, regardless of whether participants considered the same
counterfactuals or generated their own. The results reveal how counterfactual
thoughts can amplify partisan differences in judgments of alleged dishonesty. I
discuss implications for theories of counterfactual thinking and motivated moral
reasoning.
PMID- 29359630
TI - Increasing Full Child Immunization Rates by Government Using an Innovative
Computerized Immunization Due List in Rural India.
AB - Increasing child vaccination coverage to 85% or more in rural India from the
current level of 50% holds great promise for reducing infant and child mortality
and improving health of children. We have tested a novel strategy called Rural
Effective Affordable Comprehensive Health Care (REACH) in a rural population of
more than 300 000 in Rajasthan and succeeded in achieving full immunization
coverage of 88.7% among children aged 12 to 23 months in a short span of less
than 2 years. The REACH strategy was first developed and successfully implemented
in a demonstration project by SHARE INDIA in Medchal region of Andhra Pradesh,
and was then replicated in Rajgarh block of Rajasthan in cooperation with Bhoruka
Charitable Trust (private partners of Integrated Child Development Services and
National Rural Health Mission health workers in Rajgarh). The success of the
REACH strategy in both Andhra Pradesh and Rajasthan suggests that it could be
successfully adopted as a model to enhance vaccination coverage dramatically in
other areas of rural India.
PMID- 29359631
TI - "We Take Care of People; What Happens to Us Afterwards?": Home Health Aides and
Bereavement Care in Hospice.
AB - After a hospice patient dies, hospice providers can experience a variety of
emotional responses. While work has been done on social workers' and nurses'
reactions to patient death, home health aides (HHAs) have been overlooked. To
address this gap, we conducted focus groups and individual qualitative interviews
with 14 hospice HHAs. Questions covered HHAs' grief responses and how they coped
with grief. We found a high burden of grief reactions; many HHAs often developed
very close patient relationships. HHAs also noted that they often started working
with new patients almost immediately after a death, leaving little time to
process the loss. However, HHAs found support from other HHAs, their supervisors,
as well as family, friends, and spiritual practices to be helpful in coping with
their grief. Future work should enhance support to HHAs around patient loss; for
example, grief support may be embedded into hospice team activities.
PMID- 29359632
TI - The efficacy of VIPP-V parenting training for parents of young children with a
visual or visual-and-intellectual disability: a randomized controlled trial.
AB - Video-feedback Intervention to promote positive parenting-visual (VIPP-V) or
visual-and-intellectual disability is an attachment-based intervention aimed at
enhancing sensitive parenting and promoting positive parent-child relationships.
A randomized controlled trial was conducted to assess the efficacy of VIPP-V for
parents of children aged 1-5 with visual or visual-and-intellectual disabilities.
A total of 37 dyads received only care-as-usual (CAU) and 40 received VIPP-V
besides CAU. The parents receiving VIPP-V did not show increased parental
sensitivity or parent-child interaction quality, however, their parenting self
efficacy increased. Moreover, the increase in parental self-efficacy predicted
the increase in parent-child interaction. In conclusion, VIPP-V does not appear
to directly improve the quality of contact between parent and child, but does
contribute to the self-efficacy of parents to support and to comfort their child.
Moreover, as parents experience their parenting as more positive, this may
eventually lead to higher sensitive responsiveness and more positive parent-child
interactions.
PMID- 29359633
TI - Assessing disorganized attachment representations: a systematic psychometric
review and meta-analysis of the Manchester Child Attachment Story Task.
AB - Narrative story stem measures are increasingly popular for the assessment of
attachment representations among early school-age children. Given their clinical
and theoretical importance, disorganized representations are typically a focus of
assessment procedures. The Manchester Child Attachment Story Task (MCAST) is one
such measure. A systematic literature review and meta-analysis was conducted to
evaluate the documented reliability and validity of the MCAST with a focus on
assessing disorganized representations. Twenty-five studies were included in the
review encompassing 1,876 children from nine countries. Results suggest that
inter-rater reliability for the MCAST is acceptable and the prevalence of
disorganization identified on the MCAST among various subgroups (e.g. community,
clinical, adopted/maltreated) is comparable to prevalence estimates from other
developmental periods using other assessment methods. In addition, prevalence of
disorganization on the MCAST differed in hypothesized ways between the various
subgroups. However, MCAST disorganization did not correlate in predictable ways
with many constructs when relationships were examined within samples. Few studies
examined the relationship of disorganization on the MCAST with parenting
variables, aspects of adoption and maltreatment, and emotion regulation skills.
Recommendations for further study of the MCAST and for how the tool might be
integrated into clinical and developmental research are provided.
PMID- 29359634
TI - Ebola and healthcare worker stigma.
AB - AIMS: Exposure to infection is a risk for all healthcare workers. This risk
acquires another dimension in an outbreak of highly contagious, lethal disease,
such as the Ebola epidemic in West Africa in 2014. Healthcare workers are usually
well and correctly informed about the risks from such diseases, but family,
neighbours, friends, or colleagues may react strongly to the risk that staff
might bring infection home from an epidemic overseas. Research around such
stigmatization is scarce. We wanted to investigate how common it is, which
expressions it assumes and how it is influenced by dissemination of information.
METHODS: We interviewed a sample of Swedish healthcare workers who had worked in
West Africa during the 2014 outbreak of Ebola, as well as one close contact for
each of them, about reactions before leaving and after returning, and also about
information received. RESULTS AND CONCLUSIONS: The majority of contact persons
reported no or little concern, neither when the healthcare worker revealed the
plan to leave, nor on the healthcare worker's return. The prevailing reason was
trust in the judgement of 'their' healthcare worker, mainly using information
received from the healthcare worker to assess risks, and relying little on other
information channels. This means that the person assessing the risk was at the
same time the hazard. There were indications that instructions regarding
quarantine and self-isolation were less stringently followed by healthcare
workers than by other aid workers in the outbreak, which could give confusing
signals to the public. Simple, clear and non-negotiable rules should be preferred
- also from an information perspective.
PMID- 29359635
TI - Early detection of lung cancer potential among Egyptian wood workers.
AB - Wood dust is known to be a human carcinogen, with a considerable risk of lung
cancer. The increased cancer risk is likely induced through its genotoxic effects
resulting from oxidative DNA damage. This study aimed at assessing the
genotoxicity of wood dust and demonstrating the role of sputum PCR as a screening
tool for early prediction of lung cancer among wood workers. The study was
carried out in the carpentry section of a modernized factory involved with the
manufacture of wooden furniture in Greater Cairo, Egypt. Environmental assessment
of respirable wood dust concentrations was done. Frequency of chromosomal
aberrations (CA%) and sister chromatid exchanges (SCE%) in peripheral blood
lymphocytes (PBL) was assessed and comet assays were performed in samples from
among the study population (n = 86). Levels of superoxide dismutase (SOD) and
glutathione peroxidase (GPx) enzymes were measured. The polymerase chain reaction
(PCR) was used to study hypermethylation of p16 and or O6-methylguanine-DNA
methyltransferase (MGMT) gene promoters in sputum DNA. The concentrations of
respirable wood dust exceeded the Egyptian and international permissible limits
with highest levels generated by sawing operations. Laboratory investigations
revealed statistically significantly higher frequencies of CA and SCE as well as
increased comet tail length associated with significant decrement in the levels
of SOD and GPx among exposed group. A statistically significant elevation in the
extent of hypermethylation was detected for the p16 and MGMT gene promoters in
the sputum DNA of studied wood workers. The study results support the conclusion
that prolonged unprotected occupational exposure to wood dust is associated with
possible genotoxicity and oxidative stress that might raise the risk for
carcinogenesis including lung cancer.
PMID- 29359637
TI - Science to the people: A 32-nation survey.
AB - In this article, we analyze Special Eurobarometer (2010) data via multilevel
regression modeling and answer two questions: (a) How a country's democratization
level is related to the rate of public engagement with science and (b) who are
those citizens who participate in science policy-shaping and express their
approval for democratic governance of science? Reflexive modernization and
institutional alienation perspectives are used to examine those issues. It has
been shown that more democratic societies on average have higher rates of public
participation in science and support for democratic control of it. Moreover,
those well educated and knowledgeable in the topic of science are more likely to
engage with science, which supports the reflexive modernization perspective.
However, distrust in scientists being considered as an indicator of institutional
alienation from science is also crucial in both predicting actual engagement and
support for public control over it.
PMID- 29359636
TI - Neuropsychological state of the population living in the Aral Sea region (zone of
ecological crisis).
AB - Background The Aral Sea crisis has led to harmful effects on human habitat. In
recent years, mild cognitive impairment is a growing problem. Objectives This
article provides the results of studying the neuropsychological state of
residents living in the crisis zone of the Aral Sea region in the case of Shalkar
city. We have provided an assessment of the neuropsychological state of examined
population and determined the leading pathology in this region. Methods The
survey sample included 344 persons of reproductive age from 21 to 45 years. We
have obtained results in biochemical studies, indicating perturbations of
proteometabolism and lipid metabolism. Results A correlation analysis showed
dependence between a decrease of albumin and high-density lipoproteins, an
increase of low-density lipoproteins and parameters of cognitive function.
Conclusions The research suggests a high prevalence of cerebrovascular pathology
among the population, changes in cognitive function parameters, long-term and
short-term memory problems and high levels of depression.
PMID- 29359638
TI - Determinants of hand dermatitis, urticaria and loss of skin barrier function in
professional cleaners in New Zealand.
AB - This study assessed the risk of dermatitis, urticaria and loss of skin barrier
function in 425 cleaners and 281 reference workers (retail workers and bus
drivers). Symptoms, atopy and skin barrier function were assessed by
questionnaire, skin prick tests, and measurement of transepidermal water loss.
Cleaners had an increased risk of current (past 3 months) hand/arm dermatitis
(14.8% vs. 10.0%; OR = 1.9, p < 0.05) and urticaria (11% vs. 5.3%; OR = 2.4, p <
0.05) and were more likely to have dermatitis as adults (17.6% vs. 11.4%; OR =
1.8, p < 0.05). The risk of atopy was not increased, but associations with
symptoms were more pronounced in atopics. Transepidermal water loss was
significantly higher in cleaners. Wet-work was a significant risk factor for
dermatitis and hand washing and drying significantly reduced the risk of
urticaria. In conclusion, cleaners have an increased risk of hand/arm dermatitis,
urticaria and loss of skin barrier function.
PMID- 29359640
TI - One wouldn't expect an expert bowler to hit only two pins: Hierarchical
predictive processing of agent-caused events.
AB - Evidence is accumulating that our brains process incoming information using top
down predictions. If lower level representations are correctly predicted by
higher level representations, this enhances processing. However, if they are
incorrectly predicted, additional processing is required at higher levels to
"explain away" prediction errors. Here, we explored the potential nature of the
models generating such predictions. More specifically, we investigated whether a
predictive processing model with a hierarchical structure and causal relations
between its levels is able to account for the processing of agent-caused events.
In Experiment 1, participants watched animated movies of "experienced" and
"novice" bowlers. The results are in line with the idea that prediction errors at
a lower level of the hierarchy (i.e., the outcome of how many pins fell down)
slow down reporting of information at a higher level (i.e., which agent was
throwing the ball). Experiments 2 and 3 suggest that this effect is specific to
situations in which the predictor is causally related to the outcome. Overall,
the study supports the idea that a hierarchical predictive processing model can
account for the processing of observed action outcomes and that the predictions
involved are specific to cases where action outcomes can be predicted based on
causal knowledge.
PMID- 29359641
TI - Representation of numerical magnitude in math-anxious individuals.
AB - Larger distance effects in high math-anxious individuals (HMA) performing
comparison tasks have previously been interpreted as indicating less precise
magnitude representation in this population. A recent study by Dietrich, Huber,
Moeller, and Klein limited the effects of math anxiety to symbolic comparison, in
which they found larger distance effects for HMA, despite equivalent size
effects. However, the question of whether distance effects in symbolic comparison
reflect the properties of the magnitude representation or decisional processes is
currently under debate. This study was designed to further explore the relation
between math anxiety and magnitude representation through three different tasks.
HMA and low math-anxious individuals (LMA) performed a non-symbolic comparison,
in which no group differences were found. Furthermore, we did not replicate
previous findings in an Arabic digit comparison, in which HMA individuals showed
equivalent distance effects to their LMA peers. Lastly, there were no group
differences in a counting Stroop task. Altogether, an explanation of math anxiety
differences in terms of less precise magnitude representation is not supported.
PMID- 29359639
TI - Free operant observing in humans: a translational approach to compulsive
certainty seeking.
AB - Excessive checking is reported in non-clinical populations and is a pervasive
symptom in obsessive compulsive disorder (OCD). We implemented a free-operant
task in humans, previously used in rats, wherein participants can "check" to
reduce uncertainty. Participants can press an observing key to ascertain which of
two main keys will, if pressed, currently lead to rewards. Over a series of
experiments, we found that punishment robustly increased observing in non
clinical participants and that observing persisted long after punishment was
removed. Moreover, participants appeared insensitive to the initial costs of
checking, and a threefold increase in the effort required to observe served to
deter participants only to a limited degree. We also assessed observing in OCD
patients with no known comorbidities. The patients observed more than control
participants and were abnormally insensitive to the introduction of punishment.
These findings support the translational value of the task, with similar
behaviours in humans and rodents. This paradigm may serve as a unifying platform,
promoting interaction between different approaches to analyse adaptive and
maladaptive certainty seeking behaviours. Specifically, we demonstrate how
seemingly disparate theoretical and empirical approaches can be reconciled
synergistically to promote a combined behavioural and cognitive account of
certainty seeking.
PMID- 29359642
TI - Editorial.
PMID- 29359643
TI - Impaired thiol-disulphide homeostasis in patients with axonal polyneuropathy.
AB - OBJECTIVE: The objective of this study is to examine thiol-disulphide homeostasis
in patients with polyneuropathy dominated by diabetic or non-diabetic axonal
degeneration. MATERIALS-METHODS: Fifty-four patients diagnosed with
polyneuropathy dominated by axonal damage and 41 healthy subjects were included
in the study. The patients were grouped into two groups according to whether or
not they had diabetes. The native thiol and total thiol concentrations were
measured with the newly developed automated method. RESULTS: While there was no
significant difference between the patients with diabetic and non-diabetic
polyneuropathy in terms of native thiol and total thiol levels (p > 0.05), the
native thiol and total thiol levels of the groups with both diabetic
polyneuropathy and non-diabetic polyneuropathy were significantly low compared to
the control group (p < 0.01). The level of disulphides in the patients with
diabetic polyneuropathy was significantly higher than that of the patients with
non-diabetic polyneuropathy and the healthy individuals (p < 0.05). The loss in
the sural nerve sensory neural action potential amplitude was positively
correlated with the decrease in the levels of both native thiol and total thiol
(p < 0.05). DISCUSSION: In our study, we observed that the thiol-disulphide
balance was also impaired in patients with non-diabetic polyneuropathy similar to
patients with diabetic polyneuropathy, and we therefore considered that impaired
the thiol-disulphide homeostasis could be the last common path in patients with
polyneuropathy with axonal damage, regardless of the aetiology. Therefore,
fortification of thiol deficiency with N-acetyl cysteine or alpha-lipoic acid can
fix the thiol-disulphide balance and help decelerate the axonal damage.
PMID- 29359644
TI - Osseous changes of the mandibular condyle affect backward-rotation of the
mandibular ramus in Angle Class II orthodontic patients with idiopathic condylar
resorption of the temporomandibular joint.
AB - OBJECTIVE: To evaluate whether osseous changes of the temporomandibular joint
(TMJ) condyle affect backward rotation of the mandibular ramus in Angle Class II
orthodontic patients with idiopathic condylar resorption (ICR). METHODS: Twenty
Japanese women with Class II malocclusion with ICR (ICR group) and 24 women with
Class II malocclusion without ICR (non-ICR group) were examined. Pre-treatment
panoramic radiographs were used to measure condylar ratios. Pre-treatment lateral
cephalograms were used to evaluate maxillofacial morphology. RESULTS: The ICR
group had a significantly smaller condylar ratio, greater backward rotation of
the ramus, less labially inclined upper incisors, and a steeper occlusal plane.
The increased backward rotation of the ramus in the ICR group was significantly
associated with a smaller condylar ratio. CONCLUSION: Angle Class II patients
with ICR had shorter condylar height attributable to osseous changes of the TMJ
condyle, and the shorter condylar height may affect subsequent backward rotation
of the ramus.
PMID- 29359645
TI - Chronic Hepatitis C Screening, Evaluation, and Treatment Update in the Age of
Direct-Acting Antivirals.
AB - Globally, hepatitis C virus (HCV), the cause of one of the most common infectious
diseases, infects approximately 4 million to 5 million Americans with
approximately half of infected individuals undiagnosed. Some workplaces screen
employees for HCV exposure and other bloodborne pathogens (BBP) after needlestick
injuries, but it is not well known whether employers screen employees for HCV
without an occupational exposure. New guidelines from the Centers for Disease
Control and Prevention (CDC) recommend that all individuals born between 1945 and
1965 should be screened for HCV regardless of risk; this provides an opportunity
at the worksite for HCV outreach to employees, dependents, and retirees. To
understand this recommendation, the management of HCV in the age of direct-acting
antivirals (DAAs) should be reviewed. Now that new DAA treatment can cure HCV,
occupational health nurses should identify potential HCV-positive individuals at
the worksite via HCV education, screening, testing, and, if positive, linking to
specialists for treatment.
PMID- 29359646
TI - The role of coach-athlete relationship quality in team sport athletes'
psychophysiological exhaustion: implications for physical and cognitive
performance.
AB - The present study aimed to examine associations between the quality of the coach
athlete relationship and athlete exhaustion by assessing physiological and
cognitive consequences. Male and female athletes (N = 82) representing seven
teams across four different sports, participated in a quasi-experimental study
measuring physical performance on a 5-meter multiple shuttle test, followed by a
Stroop test to assess cognitive performance. Participants provided saliva samples
measuring cortisol as a biomarker of acute stress response and completed
questionnaires measuring exhaustion, and coach-athlete relationship quality.
Structural equation modelling revealed a positive relationship between the
quality of the coach-athlete relationship and Stroop performance, and negative
relationships between the quality of the coach-athlete relationship and cortisol
responses to high-intensity exercise, cognitive testing, and exhaustion. The
study supports previous research on socio-cognitive correlates of athlete
exhaustion by highlighting associations with the quality of the coach-athlete
relationship.
PMID- 29359647
TI - Palm-Based Neopentyl Glycol Diester: A Potential Green Insulating Oil.
AB - BACKGROUND: The transesterification of high oleic palm oil methyl ester (HOPME)
with neopentyl glycol (NPG) has been investigated. The present study revealed the
application of low-pressure technology as a new synthesis method to produce NPG
diesters. Single variable optimization and response surface methodology (RSM)
were implemented to optimize the experimental conditions to achieve the maximum
composition (wt%) of NPG diesters. OBJECTIVE: The main objective of this study
was to optimize the production of NPG diesters and to characterize the optimized
esters with typical chemical, physical and electrical properties to study its
potential as insulating oil. METHODS: The transesterification reaction between
HOPME and NPG was conducted in a 1L three-neck flask reactor at specified
temperature, pressure, molar ratio and catalyst concentration. For the
optimization, four factors have been studied and the diester product was
characterized by using gas chromatography (GC) analysis. The synthesized esters
were then characterized with typical properties of transformer oil such as flash
point, pour point, viscosity and breakdown voltage and were compared with mineral
insulating oil and commercial NPG dioleate. For formulation, different samples of
NPG diesters with different concentration of pour point depressant were prepared
and each sample was tested for its pour point measurement. RESULTS: The optimum
conditions inferred from the analyses were: molar ratio of HOPME to NPG of 2:1.3,
temperature = 182 degrees C, pressure = 0.6 mbar and catalyst concentration of
1.2%. The synthesized NPG diesters showed very important improvement in fire
safety compared to mineral oil with flash point of 300 degrees C and 155 degrees
C, respectively. NPG diesters also exhibit a relatively good viscosity of 21 cSt.
The most striking observation to emerge from the data comparison with NPG diester
was the breakdown voltage, which was higher than mineral oil and definitely in
conformance to the IEC 61099 limit at 67.5 kV. The formulation of synthesized NPD
diesters with VISCOPLEX(r) pour point depressant has successfully increased the
pour point of NPG diester from -14 degrees C to -48 degrees C. CONCLUSION: The
reaction time for the transesterification of HOPME with NPG to produce NPG
diester was successfully reduced to 1 hour from the 14 hours required in the
earlier synthesis method. The main highlight of this study was the excess
reactant which is no longer methyl ester but the alcohol (NPG). The optimum
reaction conditions for the synthesis were molar ratio of 2:1.13 for NPG:HOPME,
182 degrees C, 0.6 mbar and catalyst concentration of 1.2 wt%. The maximum NPG
diester yield of 87 wt% was consistent with the predicted yield of 87.7 wt%
obtained from RSM. The synthesized diester exhibited better insulating properties
than the commercial products especially with regards to the breakdown voltage,
flash point and moisture content.
PMID- 29359648
TI - Ligand Induced Folding of the First Identified CBM69 Starch Binding Domain AmyP
SBD.
AB - AmyP is an alpha-amylase which shows preferential degradation to soluble starch.
In this substrate preference its Starch Binding Domain (SBD), which was recently
assigned to a new Carbohydrate Binding Module (CBM) family 69, plays an important
role. In the present study, the SBD of AmyP (AmyP-SBD) was recombinantly
expressed, purified, and structurally characterized. Using Circular Dichroism
(CD), intrinsic fluorescence, and nuclear magnetic resonance (NMR) spectroscopy,
the structures of AmyP-SBD in the absence and presence of substrate analogue beta
cyclodextrin were measured. The results intriguingly showed that free form AmyP
SBD is partially unfolded, like a compact molten globule, and could be induced by
the ligand to fold into a relatively rigid state. Further structure determination
for folded AmyP-SBD revealed a topology distinctive from those of SBDs from other
CBM families. Our data indicate AmyP-SBD is a structurally novel SBD, and this
may be helpful for understanding the properties of AmyP-SBD and CBM69 and
elucidation of functioning mechanism of AmyP.
PMID- 29359649
TI - Laccases, Manganese Peroxidases and Xylanases Used for the Bio-bleaching of Paper
Pulp: An Environmental Friendly Approach.
AB - BACKGROUND: The paper and pulp industry is a capital and resource-intensive
industry that contributes to ecosystem toxicity and affects human beings.
OBJECTIVE: The study aimed to appraise the potential of xylanases, laccases and
manganese peroxidase for the bio-bleaching of paper pulp and to highlight the
role of these enzymes as a promising substitute for chlorine-based chemical
methods in the bleaching process. METHODS: The ligninolytic enzymes including
xylanase, laccase and manganese peroxidase isolated from white rot fungi were
used for pre-bleaching and bleaching of oven-dried wheat straw pulp. RESULTS:
During the sequential enzymatic treatment of oven-dried pulp the brightness was
improved and kappa number was reduced by 3.1% and 3.1 points respectively after
xylanase treatment, 0.3% and 0.4 points after laccase treatment and 3% and 0.2
points after MnP treatment. During separate treatment of pulp samples with
individual enzymes, brightness and kappa number improved by 8% and 3 points
respectively after xylanase treatment, by 5% and 1.7 points after laccase
treatment and 5% and 1.8 points after treatment with MnP. During subsequent
treatment with 4% sodium hypochlorite, the brightness was further improved by
27.9 % for xylanase treated pulp and 29% for the laccase and MnP treated pulp.
The xylanase was found most efficient in comparison to laccase and MnP in
reduction of kappa number and improvement of brightness. CONCLUSION: These
results clearly indicate the role of laccase, MnP and xylanase from white rot
fungi as effective bio-bleaching agents. Therefore, these enzymes can facilitate
the bleaching process without threat to environment.
PMID- 29359650
TI - Omics Technologies for Microalgae-based Fuels and Chemicals: Challenges and
Opportunities.
AB - BACKGROUND: Microalgae have been suggested as promising feedstocks of significant
biotechnological interest due to their enormous potential for the sustainable
production of industrially valuable compounds such as lipids/fatty acids,
proteins, metabolites, pigments, and biofuels. However, exploitation of algal
biomass for commercial purposes is still in its infancy due to the dearth of the
knowledge regarding state-of-the-art sophisticated technologies. OBJECTIVES: The
main objective of the study was to review the explosions of innovative strategies
that biological sciences have witnessed over the past several years, enabling the
scientific community and research-based organizations to scrutinize entire
classes of biomolecules from a cell type or whole organism, collectively titled
as 'Omics, including genomics, transcriptomics, proteomics and lipidomics.
METHODS: An effort has been made to analyze the relative advantages and drawbacks
of these technologies by reviewing and consulting the available literature.
RESULTS: Nevertheless, these 'Omics strategies have played a key role in the
better understanding of the underlying mechanisms of specific gene products,
regulatory constraints, metabolic pathways and potential genes and protein
targets which might be employed in tailoring microalgae for enhanced
productivity. In this critical review, an understanding of recent omics
technologies, their potential applications, and limitations for microalgae-based
fuel and other commodity chemicals are comprehensively discussed. CONCLUSION: In
the scenario of uncertain petro-based reserves, global warming and energy
insecurity, the exploration of metabolic potentialities of microalgae using
"Omics" are believed to be a green and environmentally-responsive approach that
will further expand its industrial and environmental scope.
PMID- 29359651
TI - Thermodynamics and Kinetics Parameters of Eichhornia crassipes Biomass for
Bioenergy.
AB - BACKGROUND: Eichhornia crassipes is an aquatic plant well known for its role in
soil reclamation due to the containment of valuable nutrients. Moreover, its
biomass is an abundant and low-cost biological resource. Pyrolysis of a biomass
offers one of the cleanest methods to harness the bioenergy stored in the
biomass. OBJECTIVE: The present study was focused on evaluating the bioenergy
potential of Eichhornia crassipes via pyrolysis. METHODS: Biomass of E. crassipes
was collected from a municipal wastewater pond. Oven dried powdered biomass of E.
crassipes was subjected to pyrolysis at three heating rates including 10, 30 and
50 degrees C min-1 in a simultaneous Thermogravimetry-Differential Scanning
Calorimetry analyzer under an inert environment containing nitrogen. Data
obtained were subjected to isoconversional models of Kissenger-Akahira-Sunose
(KSA) and Flynn-Wall-Ozawa (FWO) to understand the reaction chemistry. RESULTS:
Kinetic parameters have shown that the pyrolysis followed first-order reaction
kinetics. The average values of activation energies (129.71-133.03 kJ mol-1) and
thermodynamic parameters including high heating values (18.12 MJ kg-1), Gibb's
free energies (171-180 kJ mol-1) and enthalpy of reaction (124-127 kJ mol-1) have
shown the remarkable bioenergy potential of this biomass. CONCLUSION: This low
cost biomass may be used to produce liquids, gases, and biochar in a
costefficient and environmentally friendly way via pyrolysis or co-pyrolysis in
the future.
PMID- 29359652
TI - Engineering Ligninolytic Consortium for Bioconversion of Lignocelluloses to
Ethanol and Chemicals.
AB - BACKGROUND: Rising environmental concerns and recent global scenario of cleaner
production and consumption are leading to the design of green industrial
processes to produce alternative fuels and chemicals. Although bioethanol is one
of the most promising and eco-friendly alternatives to fossil fuels yet its
production from food and feed has received much negative criticism. OBJECTIVE:
The main objective of this study was to present the noteworthy potentialities of
lignocellulosic biomass as an enormous and renewable biological resource. The
particular focus was also given on engineering ligninolytic consortium for
bioconversion of lignocelluloses to ethanol and chemicals on sustainable and
environmentally basis. METHODS: Herein, an effort has been made to extensively
review, analyze and compile salient information related to the topic of interest.
Several authentic bibliographic databases including PubMed, Scopus, Elsevier,
Springer, Bentham Science and other scientific databases were searched with
utmost care, and inclusion/ exclusion criterion was adopted to appraise the
quality of retrieved peer-reviewed research literature. RESULTS: Bioethanol
production from lignocellulosic biomass can largely satisfy the possible
inconsistency of first-generation ethanol since it utilizes inedible
lignocellulosic feedstocks, primarily sourced from agriculture and forestry
wastes. Two major polysaccharides in lignocellulosic biomass namely, cellulose
and hemicellulose constitute a complex lignocellulosic network by connecting with
lignin, which is highly recalcitrant to depolymerization. Several attempts have
been made to reduce the cost involved in the process through improving the
pretreatment process. While, the ligninolytic enzymes of white rot fungi (WRF)
including laccase, lignin peroxidase (LiP), and manganese peroxidase (MnP) have
appeared as versatile biocatalysts for delignification of several lignocellulosic
residues. The first part of the review is mainly focused on engineering
ligninolytic consortium. In the second part, WRF and its unique ligninolytic
enzyme-based bio-delignification of lignocellulosic biomass, enzymatic
hydrolysis, and fermentation of hydrolyzed feedstock are discussed. The metabolic
engineering, enzymatic engineering, synthetic biology aspects for ethanol
production and platform chemicals production are comprehensively reviewed in the
third part. Towards the end information is also given on futuristic viewpoints.
CONCLUSION: In conclusion, given the present unpredicted scenario of energy and
fuel crisis accompanied by global warming, lignocellulosic bioethanol holds great
promise as an alternative to petroleum. Apart from bioethanol, the simultaneous
production of other value-added products may improve the economics of
lignocellulosic bioethanol bioconversion process.
PMID- 29359653
TI - Withdrawn: Impact of inhibitors on commercial cellulases in lignocellulosic
ethanol production.
PMID- 29359654
TI - Evaluating the Potential of Oleaginous Yeasts as Feedstock for Biodiesel
Production.
AB - BACKGROUND: Lipid-producing microorganisms, said to be oleaginous have been
recognized since several years. We had investigated the effects of medium
components and culturing situations on cell growth and lipid accumulation of
oleaginous yeasts which were analytically examined so as to enhance lipid yield
for biodiesel production. OBJECTIVE: The main objective of this study was to
explore oleaginous yeast, Yarrowia lipolytica isolated from soil and optimization
of culture conditions and medium components to obtained better quality microbial
oil for biodiesel production. METHODS: Fifty yeast strains were isolated from
soil from different regions of Lahore and eleven of them were selected for oil
production. The isolated yeast colonies were screened to further check their
lipid producing capabilities by the qualitative analysis. Five yeast strains were
designated as oleaginous because they produced more than 16% of oil based on
their biomass. To estimate the total lipid content of yeast cells, the extraction
of lipids was done by performing the procedure proposed by Bligh and Dyer. The
transesterification of yeast oils was performed by using different methods. There
were three different strategies customized to transesterifying microbial oil
using base catalyzed transesterification, acid catalyzed transesterification and
enzyme-based transesterification. After completion of transesterification, sample
was used for fatty acid methyl esters (FAMEs) were analyzed by gas-chromatograph
with ionization detector type MS. RESULTS: The isolate IIB-10 identified as
Yarrowia lipolytica produced maximum amount of lipids i.e. 22.8%. More amount of
biomass was obtained when cane molasses was utilized as carbon source where it
produced 29.4 g/L of biomass while sucrose and lactose were not utilized by IIB
10 and no biomass was obtained. Similarly, meat extracts showed best results when
it was used as nitrogen source because it resulted in 35.8 g/L biomass of
Yarrowia lipolytica IIB-10. The culturing conditions like size of inoculum,
effect of pH and time of incubation were also studied. The 10% of inoculum size
produced 25.4 g/L biomass at 120 h incubation time, while the pH 7 was the
optimum pH at which 24.8 g/L biomass was produced by Yarrowia lipolytica IIB-10.
GC-MS analysis showed that biodiesel produced by transesterification contained
similar fatty acids as found in vegetable oil for this reason it is widely
accepted feedstock for biodiesel production. CONCLUSION: The analysis of fatty
acids methyl esters showed the similar composition of microbial oil as in
vegetable oils and high amount of methyl esters were obtained after
transesterification. Therefore, potentially oleaginous yeast could be used to
generate a large amount of lipids for biodiesel production that will be the
better substitute of petroleum-based diesel and will also control the
environmental pollution.
PMID- 29359655
TI - Chloroplasts as Cellular Factories for the Cost-effective Production of
Cellulases.
AB - Chloroplasts are vital photosynthetic organelles in plant cells that carry out
several important cellular functions including synthesis of amino acids, fatty
acids, and lipids and metabolism of nitrogen, starch, and Sulphur to sustain the
homeostasis in plants. These organelles have got their own genome, and related
genetic machinery to synthesize required proteins for various plant functions.
Genetic manipulations of the chloroplast genome for different biotech
applications has been of great interest due to desired features including the
availability of operonal mode of gene expression, high copy number, and maternal
mode of inheritance (in the most field crops). Their capacity to often express
transgenes at high levels make it a cost-effective platform for the production of
foreign proteins, particularly high-value targets of industrial importance, at
large scale. This article reviews briefly the research work carried out to
produce cellulolytic enzymes in higher plant chloroplasts. The challenges and
future opportunities for the same are also discussed.
PMID- 29359656
TI - Enzymes: Plant-based Production and their Applications.
AB - BACKGROUND: Enzymes are biocatalysts that play key roles in the production of
biomolecules. Transgenic plants can be valuable cost effective resource to
produce enzymes with bona fide structure. Further, plants provide inexpensive
production platforms for pharmaceuticals and nutraceuticals. OBJECTIVE: This
review article summarizes the properties and importance of enzymes and describes
how foreign proteins/enzymes accumulate in plant cells that can be used for
commercial purposes. CONCLUSION: The instances illustrated in this review
evidently depict that plant enzymes involved in fundamental cellular activities
are of great importance regarding plant growth and development. Investigating
these enzymes and the metabolic pathways involved in their synthesis will
certainly help to improve plant and human health. Furthermore, enzymes of
industrial and pharmaceutical importance can be expressed in genetically modified
plants to obtain enhanced expression. Considering easiness of obtaining desired
expression, GM plants can offer a good alternate for large scale production of
enzymes.
PMID- 29359657
TI - Heterologous Synthesis and Recovery of Advanced Biofuels from Bacterial Cell
Factories.
AB - BACKGROUND: Microbial engineering to produce advanced biofuels is currently the
most encouraging approach in renewable energy. Heterologous synthesis of biofuels
and other useful industrial chemicals using bacterial cell factories has
radically diverted the attentions from the native synthesis of these compounds.
However, recovery of biofuels from the media and cellular toxicity are the main
hindrances to successful commercialization of advanced biofuels. Therefore,
membrane transporter engineering is gaining increasing attentions from all over
the world. OBJECTIVE: The main objective of this review is to explore the ways to
increase the microbial production of biofuels by counteracting the cellular
toxicity and facilitating their easier recovery from media. CONCLUSION: Microbial
synthesis of industrially viable compounds such as biofuels has been increased
due to genomic revolution. Moreover, advancements in protein engineering, gene
regulation, pathway portability, metabolic engineering and synthetic biology led
the focus towards the development of robust and cost-effective systems for
biofuel production. The most convenient way to combat cellular toxicity and to
secrete biofuels is the use of membrane transport system. The use of membrane
transporters is currently a serious oversight as do not involve chemical changes
and contribute greatly to efflux biofuels in extracellular milieu. However,
overexpression of transport systems can also be detrimental to cell, so, in
future, structure-based engineering of transporters can be employed to evaluate
optimum expression range, to increase biofuel specificity and transport rate
through structural studies of biofuel molecules.
PMID- 29359658
TI - Improving Xylose Utilization of Saccharomyces cerevisiae by Expressing the MIG1
Mutant from the Self-Flocculating Yeast SPSC01.
AB - BACKGROUND: The major carbohydrate components of lignocellulosic biomass are
cellulose and hemicelluloses. Saccharomyces cerevisiae cannot efficiently utilize
xylose derived upon the hydrolysis of hemicelluloses. Although engineering the
yeast with xylose metabolic pathway has been intensively studied, challenges are
still ahead for developing robust strains for lignocellulosic bioethanol
production. OBJECTIVE: The main objective of this study was to reveal the role of
the MIG1 mutant isolated from the self-flocculating S. cerevisiae SPSC01 in
xylose utilization, glucose repression and ethanol fermentation by S. cerevisiae.
METHODS: The MIG1 mutant was amplified from S. cerevisiae SPSC01 by PCR and MIG1-
overexpression-cassette was transformed into S. cerevisiae S288c and xylose
metabolizing strain YB-2625-T through homologous recombination. Yeast growth was
measured by colony assay on plates with or without xylose supplementation. Then
xylose utilization and ethanol production were further evaluated through flask
fermentation when mixed sugars of glucose and xylose at 3:1 and 2:1,
respectively, were supplied. Fermentation products were detected by HPLC, and
activities of xylose reductase (XR), xylitol dehydrogenase (XDH) and xylulokinase
(XK) were also measured. The transcription of genes regulated by the expression
of the MIG1 mutant was analyzed by RTqPCR. Evolutionary relationship of various
MIG1s was developed by gene sequencing and sequence alignment. RESULTS: No
difference was observed for S288c growing with xylose when it was engineered with
the overexpression or deletion of its native MIG1, but its growth was enhanced
when overexpressing the MIG1 mutant from SPSC01. The submerged culture of YB-2625
T MIG1-SPSC engineered with xylose-metabolic pathway and the MIG1 mutant
indicated that xylitol accumulation was decreased, and consequently, more biomass
was accumulated. Furthermore, improved activities of the key enzymes such as XR,
XDH and XK were detected in YB-2625-T MIG1-SPSC. Evolutionary analysis of MIG1s
amplified from S. cerevisiae strains commonly used for ethanol production
revealed a close relationship of SPSC01 and YB-2625. CONCLUSION: Our results
demonstrated the effect of the overexpression of the MIG1 mutant from SPSC01 on
xylose utilization of S. cerevisiae. This study could be an alternative strategy
for engineering S. cerevisiae with improved xylose utilization.
PMID- 29359659
TI - Lignocellulosic Biomass: A Sustainable Bioenergy Source for the Future.
AB - BACKGROUND: Increasing population and industrialization are continuously
oppressing the existing energy resources and depleting the global fuel
reservoirs. The elevated pollutions from the continuous consumption of non
renewable fossil fuels also seriously contaminating the surrounding environment.
The use of alternate energy sources can be an environment-friendly solution to
cope these challenges. Among the renewable energy sources biofuels (biomass
derived fuels) can serve as a better alternative to reduce the reliance on non
renewable fossil fuels. Bioethanol is one of the most widely consumed biofuels of
today's world. OBJECTIVE: The main objective of this review is to highlight the
significance of lignocellulosic biomass as a potential source for the production
of biofuels like bioethanol, biodiesel or biogas. METHODS: We discuss the
application of various methods for the bioconversion of lignocellulosic biomass
to end products i.e. biofuels. The lignocellulosic biomass must be pretreated to
disintegrate lignocellulosic complexes and to expose its chemical components for
downstream processes. After pretreatment, the lignocellulosic biomass is then
subjected to saccharification either via acidic or enzymatic hydrolysis.
Thereafter, the monomeric sugars resulted from hydrolysis step are further
processed into biofuel i.e. bioethanol, biodiesel or butanol etc. through the
fermentation process. The fermented impure product is then purified through the
distillation process to obtain pure biofuel. CONCLUSION: Renewable energy sources
represent the potential fuel alternatives to overcome the global energy crises in
a sustainable and eco-friendly manner. In future, biofuels may replenish the
conventional non-renewable energy resources due to their renewability and several
other advantages. Lignocellulosic biomass offers the most economical biomass to
generate biofuels. However, extensive research is required for the commercial
production of an efficient integrated biotransformation process for the
production of lignocellulose mediated biofuels.
PMID- 29359660
TI - Colchicine in Renal Diseases: Present and Future.
AB - Colchicine is a lipophilic alkaloid drug, which exhibits ant-inflammatory and
anti-fibrotic properties. Cardinal mechanisms of action of colchicine are the
disruption of the microtubule system and the inhibition of neutrophil adhesion
and recruitment. Colchicine is indicated in the prevention and treatment of gouty
arthritis and familial Mediterranean fever. In this review, we summarize current
and potentially future pharmacologic activities of colchicine in various renal
disease entities along with pharmacokinetic and pharmacodynamic properties.
Additionally, we will refer to main interactions of colchicine with medications
used in renal medicine, as well as dosing recommendations in patients with
reduced glomerular filtration rate.
PMID- 29359661
TI - Colchicine Pharmacokinetics and Mechanism of Action.
AB - Colchicine is a tricyclic, lipid-soluble alkaloid derived from the plant of the
Lily family Colchicum autumnale, sometimes called the "autumn crocus". It is
predominantly metabolized in the gastrointestinal tract. Two proteins, P
glycoprotein (P-gp) and CYP3A4 seem to play a pivotal role, governing its
pharmacokinetic. The commonest side effects are gastrointestinal (nausea,
vomiting and particularly dose-related-diarrhea) occurring in 5-10% of patients.
Colchicine exerts its unique action mainly through inhibition of microtubule
polymerization. Microtubule polymerization affects a variety of cellular
processes including maintenance of shape, signaling, division, migration, and
cellular transport. Colchicine interferes with several inflammatory pathways
including adhesion and recruitment of neutrophils, superoxide production,
inflammasome activation, the RhoA/Rho effector kinase (ROCK) pathway and the
tumor necrosis factor alpha (TNF-alpha) -induced nuclear factor kappaBeta (NF
kappaBeta) pathway attenuating the inflammatory response. This concise paper
attempts to give a brief review of its pharmacokinetic properties and its main
mechanisms of action.
PMID- 29359662
TI - Inflammatory Arthritis and Heart Disease.
AB - BACKGROUND: The term inflammatory joint disease (IJD) includes a group of chronic
conditions, particularly rheumatoid arthritis (RA), ankylosing spondylitis (AS)
and psoriatic arthritis (PsA), with predominant joint involvement and increased
risk of cardiovascular (CV) complications and premature mortality. OBJECTIVE: The
study aims to review of the most relevant CV manifestations from clinical point
of view associated with IJD. METHODS: To update the current knowledge on CV
manifestations in patients with IJD, we review the most relevant literature
studies published in English (PubMed database) from January 2007 to February
2017. RESULTS: Ischemic heart disease and congestive heart failure are the most
relevant complications and those causing higher mortality. Pericarditis and
myocarditis may be seen in patients with RA, especially in flares of disease,
although they are often asymptomatic. Left ventricular diastolic ventricular
dysfunction is an increasing recognized problem. Arrhythmias and cardiac
conduction disturbances may be observed in patients with IJD. Chronic
inflammation and fibrosis of the cardiac conduction system may be responsible for
these complications. Noninvasive diagnostic tools including cardiac magnetic
resonance imaging and echocardiography have improved considerably our
understanding of the cardiovascular disease in IJD. CONCLUSION: Cardiac
manifestations in IJD are frequent and they are the leading cause of an increased
morbimortality in IJD. Clinicians would be aware of that, given that early
diagnosis of these complications may reduce the frequency of CV events and
improve survival of patients with IJD.
PMID- 29359663
TI - A Review of the Clinical, Radiological and Biochemical Characteristics and
Genetic Causes of High Bone Mass Disorders.
AB - BACKGROUND: High bone mass (HBM) disorders are a group of clinically and
genetically heterogeneous bone diseases characterized by increased bone density
on radiographs, due to progressive bone overgrowth or impaired bone resorption,
or both. Some HBM cases are secondary to other diseases, such as chronic
hepatitis C virus infection. Despite the great advance in gene diagnostic
technology, the majority of HBM individuals remain undiagnosed. OBJECTIVE: In
this review, we will summarize the clinical, radiological and biochemical
characteristics of HBM cases due to varying etiologies, since these features are
helpful in the differential diagnosis of HBM. RESULTS: Each subgroup of HBM cases
shows distinctive clinical, radiological and biochemical characteristics. HBM,
due to bone overgrowth, was designated as sclerosteosis, as a result of mutations
located in genes critically involved in the Wnt/beta-catenin signal pathway.
Mutations in genes encoding factors relevant to the differentiation and
maturation of osteoclasts, or critical for the acidification and resorption of
osteoclasts may lead to osteopetrosis. Hepatitis C associated osteosclerosis is
characterized by a generalized increase in bone mass and markedly elevated serum
levels of bone specific alkaline phosphatase. CONCLUSION: The clarification of
the etiologies of HBM may have a breakthrough role in understanding the molecular
mechanisms involved in bone metabolism and may provide new pathways for the
intervention of osteoporosis.
PMID- 29359664
TI - Hypoperfusion of the Aortic Wall Secondary to Degeneration of Adventitial Vasa
Vasorum Causes Abdominal Aortic Aneurysms.
AB - BACKGROUND: An abdominal aortic aneurysm (AAA), which affects approximately 10%
of Japanese men aged >= 65 years, is frequently associated with hypertension,
dyslipidemia, and other lifestyle- related diseases. The development of an AAA is
attributed to chronic inflammation concomitant with arteriosclerotic changes.
However, an accurate pathomechanism associated with AAA remains uncertain, and
questions such as why only a particular group/percentage of patients with
arteriosclerosis develop aneurysms and how diabetes suppresses aneurysm
development remain unanswered. OBJECTIVE: We examined a novel mechanism to
develop AAA based on histopathological findings following analysis of the human
AAA tissues. Additionally, based on these findings, we developed a new animal
model of AAA, in which the histopathological characteristics are similar to human
AAA tissue. RESULTS: Recently, we identified stenosis of the vasa vasorum (VV) in
aortic segments showing dilatation. The aorta is the largest artery in our
circulatory system. Under physiological conditions, the inner layer of the aorta
is nourished via direct diffusion of nutrients from the luminal blood flow,
whereas the outer adventitia is primarily perfused by the VV. Therefore,
hypoperfusion of the VV induces hypoxia and subsequent inflammation and tissue
degeneration of the aortic wall, resulting in aneurysm formation. Based on these
findings, we established an AAA animal model by reducing the blood flow through
the VV to the aortic wall. AAA was successfully reproduced in our animal model.
Histopathological findings in this model were indistinguishable from those
observed in humans, and pronounced abnormality in lipid composition in blood
vessel adventitia was also observed. CONCLUSION: Thus, hypoperfusion of the
aortic wall appeared to be sufficient to cause inflammationinduced AAA. These
findings may provide potential targets for novel therapeutics for the management
of an AAA.
PMID- 29359665
TI - Pathogenic and Therapeutic Significance of Angiotensin II Type I Receptor in
Abdominal Aortic Aneurysms.
AB - BACKGROUND: Abdominal aortic aneurysm (AAA) is a chronic degenerative
inflammatory disease. Multi-factors including genetic, environmental and
lifestyle factors determine the onsets and progression of AAAs. Currently
surgical repair remains the only effective aneurysm treatment, but no
pharmacological therapy is available for limiting further enlargement of small
AAAs and fetal rupture. OBJECTIVE: This article is to review our current
understanding of angiotensin II (Ang II) and its type 1 receptor (AT1) in AAA
pathogenesis as well as the translational potential of AT1 receptor blocker (ARB)
treatment for treating clinical AAA disease. RESULTS: While many pathways or
molecules have been shown to associate with AAA formation and progression,
accumulating evidence indicates the most significant importance of peptide
hormone Ang II and its receptor AT1 in AAA pathogenesis and suggests the
translational value of targeting inhibition of AT1 in treating clinical AAA
disease. This review summarized the influences of AT1 deficiency and
pharmacological ARB treatment on experimental AAAs. A discussion has also been
made on whether and how ARB medication in AAA patients changes the natural course
of clinical AAAs, including aneurysm enlargement rate, rupture and AAA-specific
mortality. Additionally, we provided information on two registered clinical
trials which are to test the efficacy of telmisartan and valsartan in limiting
small AAA enlargement. CONCLUSION: Ang II/AT1 pathway plays a critical role in
aneurysmal pathogenesis. Targeting AT1 via ARB will help establishing novel
pharmacological therapies for limiting continuous enlargement of small AAAs in
patients.
PMID- 29359666
TI - Enhancing the Intestinal Permeation of the Chondroprotective Nutraceuticals
Glucosamine Sulphate and Chondroitin Sulphate Using Conventional and Modified
Liposomes.
AB - BACKGROUND: Liposomes are promising systems for the delivery of macromolecules
and poorly absorbed drugs, owing to their ability to compartmentalize drugs,
their biodegradability and biocompatibility. OBJECTIVE: The aim of the present
study was to formulate and evaluate conventional and modified glucosamine
sulphate (GluS) and chondroitin sulphate (CS) liposomal formulations, to enhance
their oral permeation for the treatment of osteoarthritis (OA). METHOD: Liposomal
formulations were prepared by the thin-film hydration method using two types of
phospholipids; Epikuron 200(c) and Epikuron 200(c) SH, and three permeation
enhancers; poloxamer 407, cetylpyridinium chloride, and sodium deoxycholate. In
vitro characterization of liposomal formulations was conducted in terms of
entrapment efficiency, particle size, zeta potential, viscosity, physical
stability and mucoadhesive strength. Surface morphology and vesicle shape, ex
vivo intestinal permeation, and histopathological studies were further carried
out on the selected formulation. RESULTS: Results showed that the liposomal
formulation containing sodium deoxycholate was the most optimum formula, showing
high entrapment efficiency (60.11% for GluS and 64.10% for CS) with a particle
size of 4.40 um, zeta potential of -17.2 mV and viscosity of 2.50 cP. CONCLUSION:
The aforementioned formula displayed the highest cumulative % permeated of GluS
and CS through rabbit intestinal mucosa compared to the solution of drugs and
other liposomal formulations (64.20% for GluS and 78.21% for CS) after 2 hours.
There were no histopathological alterations in the intestinal tissue, suggesting
the safety of the utilized liposomal formulation. In light of the above,
liposomes can be considered promising oral permeation-enhancer system for GluS
and CS, which is worthy of future bioavailability experimentation.
PMID- 29359667
TI - Preparation and Characterization of Stable Nanosuspension for Dissolution Rate
Enhancement of Furosemide: A Quality by Design (QbD) Approach.
AB - BACKGROUND: Nano drug delivery systems have the potential to address the
challenges of delivering BCS Class II and IV drugs like furosemide. The purpose
of the current study is to prepare stable nanosuspension and investigate in vitro
dissolution performance of the model compound furosemide using quality by design
(QbD) approach. METHODS: Nanosuspension batches with uniform particle size were
prepared for furosemide using the antisolvent precipitation method. A quality by
design (Qbd) approach was explored to understand the impact of process parameters
(stirring time, stirring speed, temperature, and injection rate) and material
attributes (drug concentration, stabilizer type, drug: stabilizer ratio, and
antisolvent: solvent ratio) on the quality attributes of furosemide
nanosuspension using a full factorial experimental design. Multiple linear
regression and ANOVA were employed to estimate and identify the critical process
parameters and material attributes. Injection rate and stirring time were
identified as the most critical process parameters' affecting the quality
attributes of furosemide nanosuspension. RESULTS: Individual material attributes
did not show significant impact on the average particle size of the nanocrystals,
however two-way interactions between material attributes (stabilizer type/drug
concentration and stabilizer type/antisolvent: solvent ratio) significantly
affected nanosuspension particle size distribution. Solid state characterization
(PXRD, DSC and SEM) did not exhibit any changes of physical form during
preparation and optimization of the furosemide nanosuspension. Dissolution of the
furosemide nanocrystals in gastric media was significantly higher than that
observed for micronized furosemide suspension and raw furosemide powder.
Stability study data suggests that optimized batches of furosemide
nanosuspensions were stable for three months at 4 degrees C and ambient
conditions. CONCLUSION: The antisolvent precipitation method can produce stable
furosemide nanosuspensions with desirable quality attributes and enhancement of
dissolution rate in the gastric medium as compared to the raw furosemide powder
and microsuspension.
PMID- 29359668
TI - Auditory Event-related Potentials in Mild Cognitive Impairment and Alzheimer's
Disease.
AB - BACKGROUND: Mild cognitive deficits are more likely to occur with increasing age,
and become more pronounced for people diagnosed with mild cognitive impairment
(MCI) and Alzheimer's disease (AD). Conventional methods to identify cognitive
declines (i.e., neuropsychological testing and clinical judgment) can lead to
false positive diagnoses of cognitive impairment. Tools such as
electroencephalography (EEG) offer additional measures of cognitive processing,
indexing the electrophysiological changes associated with aging, MCI and AD.
OBJECTIVE: We reviewed the literature on EEG to determine if auditory event
related potentials (ERPs) could distinguish between healthy aging, MCI, and AD.
METHOD: We searched two electronic databases (Medline and PyscInfo) for articles
published between January 2005 and April 2017. Articles were considered for
review if they included: i) participants 60 years of age or older; ii) healthy
older adults or those diagnosed with MCI or AD; iii) at least one auditory
elicited ERP component. RESULTS: Our search revealed 1532 articles (800 after
removing duplicates); 719 were excluded through title/abstract review, and of the
81 remaining articles, 30 satisfied inclusion criteria. All studies compared
cognitive function between at least two of the three selected populations. Our
findings suggest that the P300 and N200 components may distinguish between
healthy cognitive aging, MCI, and AD. CONCLUSION: ERPs may be sensitive to
progressive cognitive changes due to MCI and AD. The P300 and N200 may help
identify patients who are likely to progress from MCI to AD, and could be a
valuable clinical tool.
PMID- 29359669
TI - Bromelain Degrades Abeta1-42 Monomers and Soluble Aggregates: An In Vitro Study
in Cerebrospinal Fluid of Alzheimer's Disease Patients.
AB - BACKGROUND: Therapeutic approaches targeting amyloid beta42 (Abeta42) oligomers
may represent a promising neuroprotective strategy for the prevention and
treatment of Alzheimer's disease (AD). OBJECTIVE: In this study we evaluated the
ability of bromelain, a plant cysteine protease derived from pineapple stems, to
interact with synthetic Abeta42 monomers and oligomers. We also examined the
ability of bromelain to interfere in vitro with synthetic Abeta42 aggregates in
the cerebrospinal fluid (CSF) of Alzheimer's disease as well as of control
patients affected by other neurological diseases. METHOD: Both synthetic monomers
and aggregates of Abeta42 were incubated in CSF with varying concentrations of
bromelain. The effects of digestion were evaluated by Western Blot analysis using
the specific monoclonal antibody 4G8 to identify the patterns of residual content
of Abeta42. We further used rat primary cortical culture neurons (CN) to examine
the cytotoxic action of this natural compound. RESULTS: We found that bromelain
successfully degraded Abeta42 monomers and low and high molecular weight
oligomers. Indeed, when bromelain preparations of 3 and 6 mU were added to the
CSF, the residual amount of Abeta42 monomers and oligomers were significantly
reduced when compared to the same standard Abeta42 preparations incubated in CSF
without bromelain. Moreover, bromelain incubations of 0.1, 0.5, and 1 mU/ml were
not toxic to CN, as compared to vehicle treated cells. CONCLUSION: Overall, these
results represent an important insight into the action of bromelain on Abeta42
oligomers, suggesting its potential use in the therapy of AD.
PMID- 29359670
TI - Drusen in the Peripheral Retina of the Alzheimer's Eye.
AB - BACKGROUND: Recent work on Alzheimer's disease (AD) diagnosis focuses on
neuroimaging modalities; however, these methods are expensive, invasive, and not
available to all patients. Ocular imaging of biomarkers, such as drusen in the
peripheral retina, could provide an alternative method to diagnose AD. OBJECTIVE:
This study compares macular and peripheral drusen load in control and AD eyes.
METHODS: Postmortem eye tissues were obtained from donors with a
neuropathological diagnosis of AD. Retina from normal donors were processed and
categorized into younger (<55 years) and older (>55 years) groups. After fixation
and dissection, 3-6 mm punches of RPE/choroid were taken in macular and
peripheral (temporal, superior, and inferior) retinal regions. Oil red O positive
drusen were counted and grouped into two size categories: small (<63 MUm) and
intermediate (63-125 MUm). RESULTS: There was a significant increase in the total
number of macular and peripheral hard drusen in older, compared to younger,
normal eyes (p<0.05). Intermediate hard drusen were more commonly found in the
temporal region of AD eyes compared to older normal eyes, even after controlling
for age (p<0.05). Among the brain and eye tissues from AD donors, there was a
significant relationship between cerebral amyloid angiopathy (CAA) severity and
number of temporal intermediate hard drusen (r=0.78, p<0.05). CONCLUSION: Imaging
temporal drusen in the eye may have benefit for diagnosing and monitoring
progression of AD. Our results on CAA severity and temporal intermediate drusen
in the AD eye are novel. Future studies are needed to further understand the
interactions among CAA and drusen formation.
PMID- 29359671
TI - Does Perioperative Hemoglobin A1c Level Affect the Incidence, Pattern and
Mortality of Lower Extremity Amputation?
AB - We hypothesized that perioperative HbA1c influenced the pattern and outcomes of
lower extremity amputation (LEA). A retrospective analysis was conducted for all
patients who underwent LEA between 2000 and 2013. Patients were categorized into
5 groups according to their perioperative HbA1c values [Group 1 (<6.5%), Group 2
(6.5-7.4%), Group 3 (7.5-8.4%), Group 4 (8.5-9.4%) and Group 5 (>=9.5%)]. We
identified 848 patients with LEA; perioperative HbA1c levels were available in
547 cases (Group 1: 18.8%, Group 2: 17.7%, Group 3: 15.0%, Group 4: 13.5% and
Group 5: 34.9%). Major amputation was performed in 35%, 32%, 22%, 10.8% and
13.6%, respectively. The overall mortality was 36.5%; of that one quarter
occurred during the index hospitalization. Mortality was higher in Group 1
(57.4%) compared with Groups 2-5 (46.9%, 38.3%, 36.1% and 31.2%, respectively,
p=0.001). Cox regression analysis showed that poor glycemic control (Group 4 and
5) had lower risk of mortality post-LEA [hazard ratio 0.57 (95% CI 0.35-0.93) and
hazard ratio 0.46 (95% CI 0.31-0.69)]; this mortality risk persisted even after
adjustment for age and sex but was statistically insignificant. The rate of LEA
was greater among poor glycemic control patients; however, the mortality was
higher among patients with tight control. The effects of HbA1c on the immediate
and long-term LEA outcomes and its therapeutic implications need further
investigation.
PMID- 29359672
TI - Is there Sex-related Outcome Difference according to oral P2Y12 inhibitors in
Patients with Acute Coronary Syndromes? A Systematic Review and Meta-Analysis of
107,126 Patients.
AB - Background and objectives The majority of patients included in trials of anti
platelet therapy are male. This systematic review and meta-analysis aimed to
determine whether, in addition to aspirin, P2Y12 blockade is beneficial in both
women and men with acute coronary syndromes. Methods Electronic databases were
searched and nine eligible randomised controlled studies were identified that had
sex-specific clinical outcomes (n=107,126 patients). Risk ratios (RR) and 95%
confidence intervals (CI) were calculated for a composite of cardiovascular
death, myocardial infarction or stroke (MACE), and a safety endpoint of major
bleeding for each sex. Indirect comparison analysis was performed to
statistically compare ticagrelor against prasugrel. Results Compared to aspirin
alone, clopidogrel reduced MACE in men (RR, 0.79; 95% CI, 0.68 to 0.92; p=0.003),
but was not statistically significant in women (RR, 0.88; 95% CI, 0.75 to 1.02,
p=0.08). Clopidogrel therapy significantly increased bleeding in women but not
men. Compared to clopidogrel, prasugrel was beneficial in men (RR, 0.84; 95% CI,
0.73 to 0.97; p=0.02) but not statistically significant in women (RR, 0.94; 95%
CI, 0.83 to 1.06; p=0.30); ticagrelor reduced MACE in both men (RR, 0.85; 95% CI,
0.77 to 0.94; p=0.001) and women (RR, 0.84; 95% CI, 0.73 to 0.97; p=0.02).
Indirect comparison demonstrated no significant difference between ticagrelor and
prasugrel in either sex. Compared to clopidogrel, ticagrelor and prasugrel
increased bleeding risk in both women and men. Conclusion In summary, in
comparison to monotherapy with aspirin, P2Y12 inhibitors reduce MACE in women and
men. Ticagrelor was shown to be superior to clopidogrel in both sexes. Prasugrel
showed a statistically significant benefit only in men; however indirect
comparison did not demonstrate superiority of ticagrelor over prasugrel in women.
PMID- 29359673
TI - Non-traditional Cardiovascular Risk Markers in the Era of Established Major Risk
Factors and Multiple Guidelines.
AB - The non-traditional cardiovascular (CV) risk factors that appear to be of most
clinical interest include: apolipoprotein A (ApoA), apolipoprotein B (ApoB), high
sensitivity C-Reactive protein (hsCRP), homocysteine, interleukin 1 (IL1),
lipoprotein (a) [Lp(a)], the density of low-density lipoprotein (LDL) particles,
the LDL particle number, tissue/tumor necrosis factor-alpha (TNF-alpha) and uric
acid. These non-traditional risk factors may be of value in adding further
confirmation and attention to suspected significant CV risk. They can also
provide a better understanding of current concepts of atherogenesis (e.g. various
potential mechanisms associated with inflammation) as an etiology and in guiding
current plus future therapies. In the mid-20th century, atherosclerosis and CV
disease were considered mechanistic occurrences with essentially no attention to
possible metabolic and molecular etiologies. Therefore, the only treatments then
centered around mainly surgical procedures to try to improve blood flow, first
with peripheral arterial disease (PAD) and later coronary artery disease (CAD).
Now, failure to treat CV risk factors, especially where there is good evidence
based medicine, as in the case of statins for high CV risk patients, is
considered medical negligence. Nevertheless, many problems remain to be solved
regarding atherosclerosis prevention and treatment.
PMID- 29359674
TI - Patient adherence to Novel Oral Anticoagulants (NOACs) for the treatment of
Atrial Fibrillation and occurrence of associated bleeding events: A Systematic
Review and Meta-analysis.
AB - AIM: Real-world evidence from published observational studies of adherence to
novel oral anticoagulants (NOACs) medications and associated clinical outcome
events in atrial fibrillation (AF) patients, was reviewed systematically.
METHODS: Observational studies assessing patient adherence to NOACs conducted on
AF patients between September 2010 and June 2016 were identified by systematic
searching keywords to locate eligible studies, in accordance with Cochrane
guidelines. PubMed, Scopus and Google Scholar databases were searched to identify
the studies. Meta-analysis was performed using a random effects model with
DerSimonian-Laird weighting to obtain pooled effect sizes. RESULTS: From 185
potentially relevant citations, 6 studies, comprising 1.6 million AF patients,
were included. Among these, successful adherence to NOACs occurred in 75.6%.
Adherence levels were higher in patients treated with dabigatran (72.7%) compared
with those treated with apixaban (59.9%) or rivaroxaban (59.3%). However,
adherence was still suboptimal (relative to an expected 80% adherence rate).
Bleeding events in non-adherent patients were found to be 7.5%. CONCLUSION:
Suboptimal adherence to NOACs among AF patients was highlighted as a significant
risk factor that may affect clinical outcomes, with a higher percentage of non
adherent patients having bleeding events. There is an urgent need for research on
the effects of specific interventions to improve patient adherence to NOACs and
to assess the related outcome factors that may be associated with adherence.
PMID- 29359675
TI - Consistency of Toe Systolic Pressures, Brachial Systolic Pressures, and Toe
Brachial Indices in People with and without Diabetes.
AB - BACKGROUND & AIMS: Toe systolic blood pressures (TSPs) and toe-brachial indices
(TBIs) have been identified as useful adjuncts in the identification of pedal
ischemia, peripheral artery occlusive diseases, and risk for either nonhealing of
lower extremity wounds or for amputation. Valid measurement of TSPs and TBIs is
therefore essential. However, it could be jeopardized by rater, instrument, and
intratestee inconsistency. These three sources of inconsistency were examined in
this research. METHODS: Five publications addressing TSP and TBI consistency were
identified and their results were analyzed using intraclass correlation
coefficients. RESULTS: Moderate variability in TSPs was found across all studies;
greater variability was evidenced in brachial systolic pressure, particularly for
people who had diabetes; and TBI values also exhibited considerable variability,
but little difference between people who did and did not have diabetes.
CONCLUSIONS: These findings provide qualified evidence of consistency regarding
measurement of TSPs but challenge the TBI as a valid and useful indicator in
screening, prognostic, and monitoring contexts, particularly for people who have
diabetes. However, there is a prospect that TBI assessment could be improved by
adherence to standardized protocols and by obtaining multiple measurements from
toes and arms on a single occasion as well as on different occasions.
PMID- 29359676
TI - Computational Exploration of Natural Compounds to Target Cytosolic Phospholipase
A 2 Protein: A Novel Therapeutic Target for Spinal Cord Injury.
AB - BACKGROUND: Cytosolic Phospholipase A2 (cPLA2), an important isoform of PLA2 that
mediates the release of arachidonic acid, plays a role in the pathogenesis of
Spinal Cord Injury (SCI). The expression and activation of Cpla2 are
significantly higher in SCI, leading to neuronal death in spinal cord tissue.
Novel strategies are needed to substantially reverse the effect of cPLA2
activation; one such strategy is inhibiting cPLA2 by jamming its lipid binding C2
domain. OBJECTIVE: To develop a much needed strategy to treat SCI, we used a
Computer Aided Drug Design (CADD) method to discover novel cPLA2 inhibitors.
METHODS: we used a natural chemiome database for virtual screening, from which we
selected the compounds exhibiting the greatest drug-likeliness properties for
molecular docking simulation analysis. RESULTS: We studied the interaction of
lead compounds at the atomic level; the results yielded a cPLA2 inhibitor of
natural origin with the potential for ameliorating secondary tissue damage and
promoting recovery of function after SCI. The top compound, lead 4exibited a
binding energy of -10.02 Kcal/mol and formed three hydrogen bonds with the lipid
binding C2 domain of the cPLA2 protein. An evaluation of cell cytotoxicity
revealed an IC50 for lead4 of 134.2 +/- 6.8 uM. An in-vitro analysis of lead4 is
indicated anti-apoptotic activity via a decrease in caspase-3 expression.
CONCLUSION: We used the CADD method to make a novel lead discovery for the
treatment of SCI using compounds of natural origin. The selected natural
compounds are non-toxic promising drugs against cPLA2 protein, allowing us to
limits our focus on single compound for future in-vitro and invivo testing.
PMID- 29359677
TI - Comparative Randomized Crossover Clinical Study for the Evaluation of Erectile
Dysfunction Medications Via Novel Pentagon System.
AB - INTRODUCTION: Due to the chaos in the legislation in the Middle East, male
enhancement nutraceuticals may be sold without any registration or evaluation.
These products need to be evaluated with respect to safety and efficacy.
Furthermore, cultural and social considerations in the Middle East prevent the
use of international evaluations schemes for erectile dysfunction. AIM:
Evaluating the safety and efficacy parameters of generic and nutraceutical
products for erectile dysfunction in the Middle East through a custom-designed,
representable and simple system tailored to the regional culture. METHODS: 74
healthy male volunteers were enrolled into a comparative, simple randomized,
single dose, double blind, and crossover clinical study incorporated with a
tailored-designed questionnaire. Safety assessment included laboratory analysis
for liver functions and measuring blood pressure. MAIN OUTCOME MEASURES:
Subjective data regarding safety and efficacy were assessed from the validated
questionnaire. Blood pressure was measured. Blood samples were collected to
assess the drug/adulterants concentration and liver and kidney functions.
RESULTS: All tested nutraceuticals showed undeclared Sildenafil citrate in
patients. Questionnaire results showed high inter-patient variability with
respect to efficacy and comparable safety profile compared to Viagra(r).
CONCLUSION: The validated tailored-designed questionnaire effectively assessed
the efficacy and safety of male enhancement products. The male enhancement
nutraceuticals, sold in Egypt, claimed to be 100% natural are adulterated and of
questionable safety profile.
PMID- 29359678
TI - Different Sources of Stem Cells and their Application in Cartilage Tissue
Engineering.
AB - BACKGROUND: The articular cartilage is unique in that it contains only a single
type of cell and shows poor ability for spontaneous healing. Currently,
approaches for treating cartilage defects include surgical and nonsurgical
approaches, as well as cartilage tissue engineering. For standard cartilage
tissue engineering, three elements are required, i.e., a scaffold, growth
factors, and seed cells. With advancements in stem cell research, the main
sources of cells for cartilage tissue engineering are embryonic and mesenchymal
stem cells, which have been shown to be promising alternatives in recent years.
OBJECTIVE: In this review, we focus on the applications of various stem cells in
cartilage tissue engineering. RESULTS: Under certain conditions, several types of
stem cells, including embryonic stem cells, mesenchymal stem cells, induced
pluripotent stem cells, and cartilage progenitor cells, showed potential for
applications in chondrogenic differentiation. CONCLUSION: Stem cells can be
developed as important cell sources for cartilage tissue engineering if
appropriate microenvironments and bioactive factors are supplied. However,
further studies are needed to determine the ideal cell type for cartilage repair,
particularly using in vivo and clinical studies.
PMID- 29359679
TI - Emerging Metabolomics Biomarkers of Polycystic Ovarian Syndrome; Targeting the
Master Metabolic Disrupters for Diagnosis and Treatment.
AB - BACKGROUND AND OBJECTIVE: Metabolomics is a powerful exploratory tool for
discovering new diagnostic molecules or biomarkers due to its ability to
highlight several interactions between different biochemical molecules and
pathways in composition in health and disease thereby advancing our
understanding, to provide evidence based diagnosis and treatment of such a
complex disease including polycystic ovarian syndrome (PCOS). The aim of this
study was to review available literature on the use of metabolomic approach and
to critically evaluate and draw a synthesis to highlight novel biochemical
markers for clinical application in PCOS. METHOD: Studies that applied
metabolomic approach to investigate PCOS and those meeting selection criteria
were searched and, critically evaluated. RESULT: Here we highlighted the
metabolic reactions and perturbation of some metabolic pathways present in
patients with polycystic ovarian syndrome and normal subjects that can allow
better understanding of the disorder and help developing a new generation
diagnostic and treatment algorithm. CONCLUSION: A number of disease-related
metabolites have been discussed which have extraordinary potential for a clinical
utility as diagnostic and treatment monitoring biomarkers.
PMID- 29359680
TI - Withdrawn: Novel Ternary Polymeric Blends for Controlled Release Matrices
Containing Weakly Basic Model Drug.
PMID- 29359681
TI - Involvement of NLRP3 inflammasome in the impacts of sodium and potassium on
insulin resistance in normotensive Asians.
AB - Salt, promoting oxidative stress, contributes to insulin resistance, whereas K,
inhibiting oxidative stress, improves insulin sensitivity. Oxidative stress
activation of NLRP3 inflammasome is a central player in the induction of insulin
resistance. Therefore, we hypothesised that NLRP3 inflammasome may mediate the
effects of salt and K on insulin resistance. In all, fifty normotensive subjects
were recruited from a rural community of Northern China. The protocol included a
low-salt diet for 7 d, then a high-salt diet for 7 d and a high-salt diet with K
supplementation for another 7 d. In addition, THP-1 cells were cultured in
different levels of Na with and without K. The results showed that salt loading
elevated fasting blood glucose, insulin and C-peptide levels, as well as insulin
resistance, whereas K supplementation reversed them. Meanwhile, additional K
reversed the active effects of high salt on NLRP3 inflammasome in both the
subjects and THP-1 cells, and the change of insulin resistance index notably
related with the alteration of plasma IL-1beta, the index of NLRP3 inflammasome
activation, during intervention in the subjects. Additional K ameliorated
oxidative stress induced by high salt in both the subjects and cultured THP-1
cells, and the change of oxidative stress related with the alteration of plasma
IL-1beta during intervention in the subjects. In vitro, antioxidant N-acetyl-l
cysteine significantly prevented the active effects of high Na or oxidant Rosup
on NLRP3 inflammasome, so did K. Our study indicates that oxidative stress
modulation of NLRP3 inflammasome may be involved in the impacts of Na and K on
insulin resistance.
PMID- 29359682
TI - Fatty acid transport receptor soluble CD36 and dietary fatty acid pattern in type
2 diabetic patients: a comparative study.
AB - Recently, it has been remarked that dietary fatty acids and fatty acid receptors
might be involved in the aetiology of diabetes. Therefore, this study was
conducted to determine the relationship between dietary fatty acid pattern, fatty
food preferences and soluble CD36 (sCD36) and insulin resistance in type 2
diabetes mellitus (DM). The study was carried out with thirty-eight newly
diagnosed type 2 DM patients and thirty-seven healthy volunteers, aged 30-65
years. In the study, socio-demographic characteristics, dietary fat type and
fatty acid pattern of individuals were recorded. After anthropometric
measurements were taken, blood CD36, glucose, TAG and insulin levels were
analysed. The results showed that although the type of fatty acid intake did not
differ between the groups (P>0.05), the consumption of olive oil in the type 2 DM
group was lower than the control group (P0.05). Crucially, elevated sCD36 levels
increased the type 2 DM risk (OR 1.21, P<0.05). In conclusion, sCD36 level may be
a possible biomarker, independent from the dietary fatty acid pattern, for type 2
DM owing to its higher levels in these patients. Therefore, the new insights make
CD36 attractive as a therapeutic target for diabetes.
PMID- 29359684
TI - Invited commentary in response to PUFA status at birth and allergy-related
phenotypes in childhood: a pooled analysis of the Maastricht Essential Fatty Acid
Birth and Rhea birth cohorts.
PMID- 29359683
TI - PUFA status at birth and allergy-related phenotypes in childhood: a pooled
analysis of the Maastricht Essential Fatty Acid Birth (MEFAB) and RHEA birth
cohorts.
AB - Lower prenatal exposure to n-3 PUFA relative to n-6 PUFA has been hypothesised to
influence allergy development, but evidence remains largely inconsistent. In the
Dutch Maastricht Essential Fatty Acid Birth (MEFAB) (n 293) and Greek RHEA Mother
Child (n 213) cohorts, we investigated whether cord blood phospholipid PUFA
concentrations are associated with symptoms of wheeze, asthma, rhinitis and
eczema at the age of 6-7 years. Information on allergy-related phenotypes was
collected using validated questionnaires. We estimated relative risks (RR) and 95
% CI for associations of PUFA with child outcomes using multivariable generalised
linear regression models. In pooled analyses, higher concentration of the n-3
long-chain EPA and DHA and a higher total n-3:n-6 PUFA ratio were associated with
lower risk of current wheeze (RR 0.61; 95 % CI 0.45, 0.82 per sd increase in
EPA+DHA and 0.54; 95 % CI 0.39, 0.75 per unit increase in the n-3:n-6 ratio) and
reduced asthma risk (RR 0.50; 95 % CI 0.31, 0.79 for EPA+DHA and 0.43; 95 % CI
0.26, 0.70 for the n-3:n-6 ratio). No associations were observed for other
allergy-related phenotypes. The results were similar across cohorts. In
conclusion, higher EPA and DHA concentrations and a higher n-3:n-6 fatty acid
ratio at birth were associated with lower risk of child wheeze and asthma. Our
findings suggest that dietary interventions resulting in a marked increase in the
n-3:n-6 PUFA ratio, and mainly in n-3 long-chain PUFA intake in late gestation,
may reduce the risk of asthma symptoms in mid-childhood.
PMID- 29359685
TI - Cleavage activates dispatched for Sonic Hedgehog ligand release.
AB - Hedgehog ligands activate an evolutionarily conserved signaling pathway that
provides instructional cues during tissue morphogenesis, and when corrupted,
contributes to developmental disorders and cancer. The transmembrane protein
Dispatched is an essential component of the machinery that deploys Hedgehog
family ligands from producing cells, and is absolutely required for signaling to
long-range targets. Despite this crucial role, regulatory mechanisms controlling
Dispatched activity remain largely undefined. Herein, we reveal vertebrate
Dispatched is activated by proprotein convertase-mediated cleavage at a conserved
processing site in its first extracellular loop. Dispatched processing occurs at
the cell surface to instruct its membrane re-localization in polarized epithelial
cells. Cleavage site mutation alters Dispatched membrane trafficking and reduces
ligand release, leading to compromised pathway activity in vivo. As such,
convertase-mediated cleavage is required for Dispatched maturation and functional
competency in Hedgehog ligand-producing cells.
PMID- 29359686
TI - Targeting RAS-driven human cancer cells with antibodies to upregulated and
essential cell-surface proteins.
AB - While there have been tremendous efforts to target oncogenic RAS signaling from
inside the cell, little effort has focused on the cell-surface. Here, we used
quantitative surface proteomics to reveal a signature of proteins that are
upregulated on cells transformed with KRASG12V, and driven by MAPK pathway
signaling. We next generated a toolkit of recombinant antibodies to seven of
these RAS-induced proteins. We found that five of these proteins are broadly
distributed on cancer cell lines harboring RAS mutations. In parallel, a cell
surface CRISPRi screen identified integrin and Wnt signaling proteins as critical
to RAS-transformed cells. We show that antibodies targeting CDCP1, a protein
common to our proteomics and CRISPRi datasets, can be leveraged to deliver
cytotoxic and immunotherapeutic payloads to RAS-transformed cancer cells and
report for RAS signaling status in vivo. Taken together, this work presents a
technological platform for attacking RAS from outside the cell.
PMID- 29359687
TI - Microbial Biomass and Enzymatic Activity of the Surface Microlayer and Subsurface
Water in Two Dystrophic Lakes.
AB - Nutrient and organic matter concentration, microbial biomass and activities were
studied at the surface microlayers (SML) and subsurface waters (SSW) in two small
forest lakes of different water colour. The SML in polyhumic lake is more
enriched with dissolved inorganic nitrogen (0.141 mg l-1) than that of oligohumic
lake (0.124 mg l-1), the former also contains higher levels of total nitrogen
(2.66 mg l-1). Higher activities of lipase (Vmax 2290 nmol l-1 h-1 in oligo- and
6098 in polyhumic) and glucosidase (Vmax 41 nmol l-1 h-1 in oligo- and 49 in
polyhumic) were in the SMLs in both lakes. Phosphatase activity was higher in the
oligohumic SML than in SSW (Vmax 632 vs. 339 nmol l-1 h-1) while in polyhumic
lake was higher in SSW (Vmax 2258 nmol l-1 h-1 vs. 1908 nmol l-1 h-1).
Aminopeptidase activity in the SSW in both lakes was higher than in SMLs (Vmax
2117 in oligo- and 1213 nmol l-1 h-1 in polyhumic). It seems that solar radiation
does inhibit neuston microbial community as a whole because secondary production
and the share of active bacteria in total bacteria number were higher in SSW.
However, in the oligohumic lake the abundance of bacteria in the SML was always
higher than in the SSW (4.07 vs. 2.69 * 106 cells ml-1) while in the polyhumic
lake was roughly equal (4.48 vs. 4.33 * 106 cells ml-1) in both layers. Results
may also suggest that surface communities are not supplemented by immigration
from bulk communities. The SML of humic lakes may act as important sinks for
allochthonous nutrient resources and may then generate considerable energy pools
for microbial food webs.
PMID- 29359688
TI - Screening and Identification of Yeasts Antagonistic to Pathogenic Fungi Show a
Narrow Optimal pH Range for Antagonistic Activity.
AB - Microbes have evolved ways of interference competition to gain advantage over
their ecological competitors. The use of secreted antagonistic compounds by yeast
cells is one of the prominent examples. Although this killer behavior has been
thoroughly studied in laboratory yeast strains, our knowledge of the antagonistic
specificity of killer effects in nature remains limited. In this study, yeast
strains were collected from various niches and screened for antagonistic activity
against one toxin-sensitive strain of Saccharomyces cerevisiae and three
pathogenic fungi. We demonstrate that some strains with antagonistic activity
against these pathogenic fungi can be found in antagonist culture tests. These
yeasts were identified as members of Trichosporon asahii, Candida stellimalicola,
Wickerhamomyces anomalus, Ustilago esculenta, Aureobasidium pullulans, and Pichia
kluyveri. The results indicated that the antagonistic activity of these killer
yeasts has a narrow optimal pH range. Furthermore, we found that the antagonistic
activity of some species is strain-dependent.
PMID- 29359689
TI - Application of Metagenomic Analyses in Dentistry as a Novel Strategy Enabling
Complex Insight into Microbial Diversity of the Oral Cavity.
AB - The composition of the oral microbiome in healthy individuals is complex and
dynamic, and depends on many factors, such as anatomical location in the oral
cavity, diet, oral hygiene habits or host immune responses. It is estimated at
present that worldwide about 2 billion people suffer from diseases of the oral
cavity, mainly periodontal disease and dental caries. Importantly, the oral
microflora involved in local infections may spread and cause systemic, even life
threatening infections. In search for etiological agents of infections in
dentistry, traditional approaches are not sufficient, as about 50% of oral
bacteria are not cultivable. Instead, metagenomic analyses are particularly
useful for studies of the complex oral microbiome - both in healthy individuals,
and in patients with oral and dental diseases. In this paper we review the
current and future applications of metagenomic studies in evaluation of both the
composition of the oral microbiome as well as its potential pathogenic role in
infections in dentistry.
PMID- 29359690
TI - From Latent Tuberculosis Infection to Tuberculosis. News in Diagnostics
(QuantiFERON-Plus).
AB - It is estimated that one third of the world's population have latent tuberculosis
infection and that this is a significant reservoir for future tuberculosis cases.
Most cases occur within two years following initial infection. The identification
of individuals with latent tuberculosis infection is difficult due to the lack of
an ideal diagnostic assay and incomplete understanding of latent infection.
Currently, there are three tests: the oldest tuberculin skin test, T-SPOT.TB and
the latest QuantiFERON-Plus for the detection of Mycobacterium tuberculosis
infection. The interpretation of the test results must be used in the conjunction
with a patient's epidemiological history, risk assessment, current clinical
status, radiography and microbiological methods to ensure accurate diagnosis.
PMID- 29359691
TI - Dipicolinic Acid Release and the Germination of Alicyclobacillus acidoterrestris
Spores under Nutrient Germinants.
AB - The presence of Alicyclobacillus, a thermoacidophilic and spore-forming
bacterium, in acidic fruit juices poses a serious problem for the processing
industry. A typical sign of spoilage in contaminated juices is a characteristic
phenolic off-flavour associated with the production of guaiacol. Spores are
formed in response to starvation and in a natural environment re-access the
nutrients, e.g.: L-alanine and AGFK - a mixture of asparagine, glucose, fructose
and potassium, triggers germination. The aim of this study was to estimate the
impact of L-alanine and AGFK on the germination of the spores of two
Alicyclobacillus acidoterrestris strains and to evaluate the relationship of the
germination rate with dipicolinic acid (DPA) release. The spores were suspended
in apple juice or in buffers at pH 4 and pH 7, followed by the addition of L
alanine and AGFK. Suspensions were or were not subjected, to a temperature of 80
degrees C/10 min and incubated for various periods of time at 45 degrees C.
Optical density (OD660) was used to estimate the number of germinated spores. The
amount of DPA released was determined using HPLC. The results indicate that the
degree of germination of A. acidoterrestris spores depended on the strain and
time of incubation and the nutritious compounds used. The data obtained show that
the amount of DPA released correlated to the number of A. acidoterrestris spores
germinated.
PMID- 29359692
TI - Expression of the Fluoroquinolones Efflux Pump Genes acrA and mdfA in Urinary
Escherichia coli Isolates.
AB - Escherichia coli is one of the most frequent causes of urinary tract infections.
Efflux system overexpression is reported to contribute to E. coli resistance to
several antibiotics. Our aim in this study was to investigate the relation
between antibiotic resistance and the expression of the efflux pump genes acrA
and mdfA in E. coli by real-time reverse transcription-PCR. We tested the in
vitro susceptibilities to 12 antibiotics in 28 clinical isolates of E. coli
obtained from urine samples. We also determined the minimum inhibitory
concentrations of levofloxacin to these samples. We then revealed significant
correlations between the overexpression of both mdfA and acrA and MICs of
levofloxacin. In conclusion, we demonstrated that the increased expression of
efflux pump genes such as mdfA and acrA can lead to levofloxacin resistance in E.
coli. These findings contribute to further understanding of the molecular
mechanisms of efflux pump systems and how they contribute to antibiotic
resistance.
PMID- 29359693
TI - Vital Staining of Bacteria by Sunset Yellow Pigment.
AB - In this study, we describe a method for discriminating pathogenic bacteria with a
dye. First, we determined that among several colours tested, the sunset yellow
pigment easily coloured Escherichia coli bacteria yellow. Next, we demonstrated
that E. coli O157:H7, Shigella flexneri O301, Staphylococcus aureus and Bacillus
subtilis could all be well marked by sunset yellow pigment. Finally, we performed
bacterial viability assays and found there was no effect on bacterial growth when
in co-culture with sunset yellow. Our results suggest that sunset yellow is
suitable pigment to dye microorganisms.
PMID- 29359695
TI - Use of Amplification Fragment Length Polymorphism to Genotype Pseudomonas
stutzeri Strains Following Exposure to Ultraviolet Light A.
AB - Changes in ultraviolet light radiation can act as a selective force on the
genetic and physiological traits of a microbial community. Two strains of the
common soil bacterium Pseudomonas stutzeri, isolated from aquifer cores and from
human spinal fluid were exposed to ultraviolet light. Amplification length
polymorphism analysis (AFLP) was used to genotype this bacterial species and
evaluate the effect of UVA-exposure on genomic DNA extracted from 18 survival
colonies of the two strains compared to unexposed controls. AFLP showed a high
discriminatory power, confirming the existence of different genotypes within the
species and presence of DNA polymorphisms in UVA-exposed colonies.
PMID- 29359694
TI - A Comparative Study: Taxonomic Grouping of Alkaline Protease Producing Bacilli.
AB - Alkaline proteases have biotechnological importance due to their activity and
stability at alkaline pH. 56 bacteria, capable of growing under alkaline
conditions were isolated and their alkaline protease activities were carried out
at different parameters to determine their optimum alkaline protease production
conditions. Seven isolates were showed higher alkaline protease production
capacity than the reference strains. The highest alkaline protease producing
isolates (103125 U/g), E114 and C265, were identified as Bacillus licheniformis
with 99.4% and Bacillus mojavensis 99.8% based on 16S rRNA gene sequence
similarities, respectively. Interestingly, the isolates identified as Bacillus
safensis were also found to be high alkaline protease producing strains.
Genotypic characterizations of the isolates were also determined by using a wide
range of molecular techniques (ARDRA, ITS-PCR, (GTG)5-PCR, BOX-PCR). These
different techniques allowed us to differentiate the alkaliphilic isolates and
the results were in concurrence with phylogenetic analyses of the 16S rRNA genes.
While ITS-PCR provided the highest correlation with 16S rRNA groups, (GTG)5-PCR
showed the highest differentiation at species and intra-species level. In this
study, each of the biotechnologically valuable alkaline protease producing
isolates was grouped into their taxonomic positions with multi-genotypic
analyses.
PMID- 29359696
TI - Antimicrobial Effects of Platelet-rich Plasma against Selected Oral and
Periodontal Pathogens.
AB - Antimicrobial properties of platelet rich plasma (PRP) against various
microorganisms have been recently pointed out. PRP could be an alternative to
conventional antibiotics in preventing oral and periodontal infections. We
examined whether PRP has in vitro antimicrobial properties against
Aggregatibacter actinomycetemcomitans, Prophyromonas gingivalis, Staphylococcus
aureus and Candida albicans. PRP and platelet-poor plasma (PPP) were obtained
from whole blood of 10 healthy volunteers and 10 periodontitis patients. In vitro
laboratory susceptibility was carried out using the modified agar diffusion
method by measuring the diameters of inhibition zones on agar plates coated with
selected microbial strains. Both calcium chloride (CaCl2) activated and non
activated samples were tested. Both activated PRP and PPP, of both patients and
controls, effectively inhibited the growth of A. actinomycetemcomitans, P.
gingivalis and C. albicans. However, a statistically significant difference in
favor of PRP was found indicating more susceptibility to PRP than PPP (p < 0.05).
Non activated PRP and PPP exhibited negative zones of inhibition against the
studied microorganisms. There was no activity against S. aureus. No statistically
significant difference was found between the antimicrobial effects of PRP and/or
PPP obtained from patients and controls (p > 0.05). We conclude that PRP is a
potentially useful substance against oral and periodontal pathogens. Activated
PRP was found to be more active than activated PPP and the activation of
coagulation is a fundamental step. Additionally, the antimicrobial activity of
PRP and/or PPP seems not to be affected by periodontitis.
PMID- 29359697
TI - Susceptibility of Vascular Implants to Colonization in vitro by Staphylococcus
aureus, Staphylococcus epidermidis, Enterococcus faecalis and Pseudomonas
aeruginosa.
AB - We compared association of Staphylococcus aureus, Staphylococcus epidermidis,
Pseudomonas aeruginosa and Enterococcus faecalis with nine vascular implants
after co-culture. Vascular implants were composed of various materials such as
warp knitted polyester (with or without gelatin and silver ions), expanded
polytetrafluoroethylene and biological materials - surface treated porcine
pericardial patch and Omniflow II. The lowest overall number of associated
bacteria was detected for polytetrafluoroethylene implants and porcine
pericardial patch. The highest overall number of associated bacteria was detected
for Omniflow II implant. The major source of variation, i.e. primary factor
influencing colonization, is the implant type (56.22%), bacterial species is
responsible for only 1.81%, and interaction of those two factors - 13.09% of
variation.
PMID- 29359698
TI - Isolation and Characterization of Phosphate-Solubilizing Bacteria from Mushroom
Residues and their Effect on Tomato Plant Growth Promotion.
AB - Phosphorus is a major essential macronutrient for plant growth, and most of the
phosphorus in soil remains in insoluble form. Highly efficient phosphate
solubilizing bacteria can be used to increase phosphorus in the plant
rhizosphere. In this study, 13 isolates were obtained from waste mushroom
residues, which were composed of cotton seed hulls, corn cob, biogas residues,
and wood flour. NBRIP solid medium was used for isolation according to the
dissolved phosphorus halo. Eight isolates produced indole acetic acid (61.5%),
and six isolates produced siderophores (46.2%). Three highest phosphate
dissolving bacterial isolates, namely, M01, M04, and M11, were evaluated for
their beneficial effects on the early growth of tomato plants (Solanum
lycopersicum L. Wanza 15). Strains M01, M04, and M11 significantly increased the
shoot dry weight by 30.5%, 32.6%, and 26.2%, and root dry weight by 27.1%, 33.1%,
and 25.6%, respectively. Based on 16S rRNA gene sequence comparisons and
phylogenetic positions, strains M01 and M04 belonged to the genus Acinetobacter,
and strain M11 belonged to the genus Ochrobactrum. The findings suggest that
waste mushroom residues are a potential resource of plant growth-promoting
bacteria exhibiting satisfactory phosphate-solubilizing for sustainable
agriculture.
PMID- 29359699
TI - Outpatient Antibiotic Consumption Fluctuations in a View of Unreasonable
Antibacterial Therapy.
AB - Unreasonable antibacterial therapy is suspected to be the main reason of
emergence of multi-resistant bacteria. The connection between seasonal
variability of antibiotic use and reasonable antibacterial therapy has been
described. We examined the issue basing on the data obtained from the primary
care system in Szczecin (Poland) in order to verify the situation in this region
of Central Europe. Increase in antibiotic consumption in a viral infection season
was proved to be statistically significant. Statistically significant differences
in various drug forms dispensation were also observed. Increased consumption of
antibiotics in seasons of influenza-like illnesses might be connected with a lack
of proper diagnostics or numerous cases of bacterial co-infections.
PMID- 29359700
TI - Antiviral Resistance of Splenocytes in Aged Mice.
AB - We compared the susceptibility to viral infection of splenocytes, isolated from
young versus old CBA mice, and evaluated the antiviral actions of lactoferrin in
splenocytes infected with Encephalomyocarditis virus (EMCV). Recombinant mouse
lactoferrin (rmLF) and bovine lactoferrin (bLF) were used. There were no
differences in the susceptibility to EMCV infection in the studied age
categories. Both types of lactoferrins were protective in young and old mice. The
study confirmed the undisturbed viral resistance in old mice and the protective
actions of lactoferrin in viral infection. The antiviral action of the homologous
mouse lactoferrin was demonstrated for the first time.
PMID- 29359701
TI - Deletion of from Streptococcus pyogenes. Results in Hypervirulence in a Mouse
Model of Sepsis and is LuxS Independent.
AB - Group A Streptococcus (GAS) is a Gram-positive human pathogen that causes a
variety of diseases ranging from pharyngitis to life-threatening streptococcal
toxic shock syndrome. Recently, several global gene expression analyses have
yielded extensive new information regarding the regulation of genes encoding
known and putative virulence factors in GAS. A microarray analysis found that
transcription of the GAS gene M5005_Spy_1343 was significantly increased in
response to interaction with human polymorphonuclear leukocytes. M5005_Spy_1343
is predicted to encode a member of the LysR family of transcriptional regulators
and is located upstream of a putative operon containing six genes. Five of these
genes have sequence similarity to genes involved in short-chain fatty acid
metabolism, whereas the sixth gene (luxS) is found in many bacterial species and
is involved in quorum sensing. Unexpectedly, inactivation of the M5005_Spy_1343
gene resulted in hypervirulence in an intraperitoneal mouse model of infection.
Increased virulence was not due to changes in luxS gene expression. We postulate
that short-chain fatty acid metabolism is involved in GAS pathogenesis.
PMID- 29359702
TI - Suppressive Effect of Trichoderma spp. on toxigenic Fusarium species.
AB - The aim of the present study was to examine the abilities of twenty-four isolates
belonging to ten different Trichoderma species (i.e., Trichoderma atroviride,
Trichoderma citrinoviride, Trichoderma cremeum, Trichoderma hamatum, Trichoderma
harzianum, Trichoderma koningiopsis, Trichoderma longibrachiatum, Trichoderma
longipile, Trichoderma viride and Trichoderma viridescens) to inhibit the
mycelial growth and mycotoxin production by five Fusarium strains (i.e., Fusarium
avenaceum, Fusarium cerealis, Fusarium culmorum, Fusarium graminearum and
Fusarium temperatum). Dual-culture bioassay on potato dextrose agar (PDA) medium
clearly documented that all of the Trichoderma strains used in the study were
capable of influencing the mycelial growth of at least four of all five Fusarium
species on the fourth day after co-inoculation, when there was the first apparent
physical contact between antagonist and pathogen. The qualitative evaluation of
the interaction between the colonies after 14 days of co-culturing on PDA medium
showed that ten Trichoderma strains completely overgrew and sporulated on the
colony at least one of the tested Fusarium species. Whereas, the microscopic
assay provided evidence that only T. atroviride AN240 and T. viride AN255 formed
dense coils around the hyphae of the pathogen from where penetration took place.
Of all screened Trichoderma strains, T. atroviride AN240 was also found to be the
most efficient (69-100% toxin reduction) suppressors of mycotoxins
(deoxynivalenol, 3-acetyl-deoxynivalenol, 15-acetyl-deoxynivalenol, nivalenol,
zearalenone, beauvericin, moniliformin) production by all five Fusarium species
on solid substrates. This research suggests that T. atroviride AN240 can be a
promising candidate for the biological control of toxigenic Fusarium species.
PMID- 29359703
TI - Charge and orbital orders and structural instability in high-pressure quadruple
perovskite CeCuMn6O12.
AB - We prepared a quadruple perovskite CeCuMn6O12 under high-pressure and high
temperature conditions at 6 GPa and about 1670 K and investigated its structural,
magnetic and transport properties. CeCuMn6O12 crystallizes in space group Im-3
above T CO = 297 K; below this temperature, it adopts space group R-3 with the
1:3 (Mn4+:Mn3+) charge and orbital orders. Unusual compressed Mn3+O6 octahedra
are realized in CeCuMn6O12 similar to CaMn7O12 with the -Q 3 Jahn-Teller
distortion mode. Below about 90 K, structural instability takes place with phase
separation and the appearance of competing phases; and below 70 K, two R-3 phases
coexist. CeCuMn6O12 exhibits a ferromagnetic-like transition below T C = 140 K,
and it is a semiconductor with the magnetoresistance reaching about -40% at 140
K and 70 kOe. We argued that the valence of Ce is +3 in CeCuMn6O12 with the
Ce3+([Formula: see text])([Formula: see text])O12 charge distribution in the
charge-ordered R-3 phase and Ce3+([Formula: see text])([Formula: see text])O12 in
the charge-disordered Im-3 phase.
PMID- 29359704
TI - Biomaterials for revascularization and immunomodulation after spinal cord injury.
AB - Spinal cord injury (SCI) causes immediate damage to the nervous tissue
accompanied by loss of motor and sensory function. The limited self-repair
competence of injured nervous tissue underscores the need for reparative
interventions to recover function after SCI. The vasculature of the spinal cord
plays a crucial role in SCI and repair. Ruptured and sheared blood vessels in the
injury epicenter and blood vessels with a breached blood-spinal cord barrier
(BSCB) in the surrounding tissue cause bleeding and inflammation, which
contribute to the overall tissue damage. The insufficient formation of new
functional vasculature in and near the injury impedes endogenous tissue repair
and limits the prospect of repair approaches. Limiting the loss of blood vessels,
stabilizing the BSCB, and promoting the formation of new blood vessels are
therapeutic targets for spinal cord repair. Inflammation is an integral part of
injury-mediated vascular damage, which has deleterious and reparative
consequences. Inflammation and the formation of new blood vessels are intricately
interwoven. Biomaterials can be effectively used for promoting and guiding blood
vessel formation or modulating the inflammatory response after SCI, thereby
governing the extent of damage and the success of reparative interventions. This
review deals with the vasculature after SCI, the reciprocal interactions between
inflammation and blood vessel formation, and the potential of biomaterials to
support revascularization and immunomodulation in damaged spinal cord nervous
tissue.
PMID- 29359705
TI - A dual caudal-fin miniature robotic fish with an integrated oscillation and jet
propulsive mechanism.
AB - This paper presents the development of a biomimetic robotic fish that uses an
integrated oscillation and jet propulsive mechanism to enable good swimming
performance for small robotic fish. The designed robotic fish is driven by two
caudal fins that flap oppositely, which are equipped in parallel at the fish
tail. The propulsive mechanism of dual caudal fins is characterized by using
numerical analysis, in which the distance between the two caudal fins is a key
factor to the integrated mechanism and plays an important role to swimming
performance. This finding has been further verified by experiments performed on a
miniature robotic fish prototype with 100 mm length and 30 mm diameter.
Experimental results have demonstrated the influence of the distance between the
two caudal fins to swimming performance. The designed miniature robotic fish can
swim stably and efficiently while exhibiting good motion maneuverability such as
turning and braking. The developed robotic fish, with advantages of excellent
swimming performance and small size, can be potentially used for monitoring and
exploration in the underwater environment.
PMID- 29359706
TI - Refining non-invasive techniques to measure intracranial pressure: comparing
evoked and spontaneous tympanic membrane displacements.
AB - OBJECTIVE: Tympanic membrane displacements (TMDs) are used to non-invasively
gauge inner-ear fluid pressure. Inner-ear fluid pressure equalizes with
intracranial pressure (ICP) via the cochlear aqueduct and therefore TMDs can
indirectly evaluate ICP. We studied the relationship between two TMD modalities,
evoked and spontaneous. Evoked TMD is a reflex response to an auditory stimulus
and the established stapes-footplate mechanism explains how evoked TMDs change
with ICP. Spontaneous TMD refers to a pulsatile TMD waveform expressed in the
form of pulse amplitudes (TMD-PAs), the origins of which are poorly understood.
We investigated whether both modalities respond similarly to an ICP change,
suggesting a common mechanism. APPROACH: ICP was manipulated in 20 healthy
volunteers by a postural change from sitting (lower ICP) to supine (higher ICP).
Differences between paired sitting and supine TMD results generated DeltaEvoked
and DeltaSpontaneous values. MAIN RESULTS: Evoked TMDs became more inward on
lying supine while spontaneous TMDs became more outward. There was no evidence of
a correlation between DeltaEvoked and DeltaSpontaneous (Right ears: r = -0.38,
p = 0.10, 95% CI -0.75 to 0.21; Left ears: r = 0.34, p = 0.16, 95% CI
0.17 to 0.75). SIGNIFICANCE: This suggests the stapes-footplate mechanism is not
the primary mechanism explaining how spontaneous TMDs respond to changing ICP.
PMID- 29359707
TI - Comparison of surface structures of corundum Cr2O3(0 0 0 1) and V2O3(0 0 0 1)
ultrathin films by x-ray photoelectron diffraction.
AB - Thin Cr2O3(0 0 0 1) layers are formed by oxidation of a Cr(1 1 0) single crystal.
This surface is further modified by growing an epitaxial ultrathin V2O3(0 0 0 1)
film by reactive vapor deposition. Synchrotron based soft-x-ray photoemission
spectroscopy and x-ray photoelectron diffraction are used to characterize the
surface layers of these two corundum-structured oxides. By comparison of
experimental XPD patterns with simulated electron multiple scattering
calculations, two distinctively different surface terminations are extracted for
the two oxides. While for V2O3 we confirm the previously proposed vanadyl
terminated surface structure, we propose a new surface structure for Cr2O3 that
consists of excess chromium atoms occupying interstitial sub-surface sites.
PMID- 29359708
TI - Devising tissue ingrowth metrics: a contribution to the computational
characterization of engineered soft tissue healing.
AB - The paradigm shift brought about by the expansion of tissue engineering and
regenerative medicine away from the use of biomaterials, currently questions the
value of histopathologic methods in the evaluation of biological changes. To
date, the available tools of evaluation are not fully consistent and satisfactory
for these advanced therapies. We have developed a new, simple and inexpensive
quantitative digital approach that provides key metrics for structural and
compositional characterization of the regenerated tissues. For example, metrics
provide the tissue ingrowth rate (TIR) which integrates two separate indicators;
the cell ingrowth rate (CIR) and the total collagen content (TCC) as featured in
the equation, TIR% = CIR% + TCC%. Moreover a subset of quantitative indicators
describing the directional organization of the collagen (relating structure and
mechanical function of tissues), the ratio of collagen I to collagen III
(remodeling quality) and the optical anisotropy property of the collagen
(maturity indicator) was automatically assessed as well. Using an image analyzer,
all metrics were extracted from only two serial sections stained with either
Feulgen & Rossenbeck (cell specific) or Picrosirius Red F3BA (collagen specific).
To validate this new procedure, three-dimensional (3D) scaffolds were
intraperitoneally implanted in healthy and in diabetic rats. It was hypothesized
that quantitatively, the healing tissue would be significantly delayed and of
poor quality in diabetic rats in comparison to healthy rats. In addition, a
chemically modified 3D scaffold was similarly implanted in a third group of
healthy rats with the assumption that modulation of the ingrown tissue would be
quantitatively present in comparison to the 3D scaffold-healthy group. After 21
days of implantation, both hypotheses were verified by use of this novel
computerized approach. When the two methods were run in parallel, the
quantitative results revealed fine details and differences not detected by the
semi-quantitative assessment, demonstrating the importance of quantitative
analysis in the performance evaluation of soft tissue healing. This automated and
supervised method reduced operator dependency and proved to be simple, sensitive,
cost-effective and time-effective. It supports objective therapeutic comparisons
and helps to elucidate regeneration and the dynamics of a functional tissue.
PMID- 29359709
TI - Field-induced magnetic phase transitions and memory effect in bilayer ruthenate
Ca3Ru2O7 with Fe substitution.
AB - Bilayer ruthenate Ca3(Ru1-x Fe x )2O7 (x = 0.05) exhibits an incommensurate
magnetic soliton lattice driven by the Dzyaloshinskii-Moriya interaction. Here we
report complex field-induced magnetic phase transitions and memory effect in this
system via single-crystal neutron diffraction and magnetotransport measurements.
We observe first-order incommensurate-to-commensurate magnetic transitions upon
applying the magnetic field both along and perpendicular to the propagation axis
of the incommensurate spin structure. Furthermore, we find that the metastable
states formed upon decreasing the magnetic field depend on the temperature and
the applied field orientation. We suggest that the observed field-induced
metastability may be ascribable to the quenched kinetics at low temperature.
PMID- 29359710
TI - Initial organ distribution and biological safety of Mg2+ released from a Mg alloy
implant.
AB - Magnesium (Mg) alloys are considered promising materials for biodegradable
medical devices; however, the initial effects and distribution of released Mg2+
ions following implantation are unclear. This is addressed in the present study,
using two types of Mg alloys implanted into rats. An in vitro immersion test was
first carried out to quantify Mg2+ ions released from the alloys at early stages.
Based on these data, we performed an in vivo experiment in which large amounts of
alloys were subcutaneously implanted into the backs of rats for 1, 5, 10, and 25
h. Mg2+ accumulation in organs was measured by inductively coupled plasma mass
spectrometry. In vivo, blood and urine Mg2+ concentrations were higher in rats
receiving the implants than in controls after 1 h; however, the levels were
within clinically accepted guidelines. The Mg2+ concentration in bone was
significantly higher in the 25 h implanted group than in the other groups. Our
results suggest that homeostasis is maintained by urinary excretion and bone
accumulation of released Mg2+ ions in response to sudden changes in Mg2+ ion
concentration in the body fluid in a large number of Mg alloy implants at the
early stages.
PMID- 29359712
TI - Zinc Oxide Decorated Multiwalled Carbon Nanotubes: Their Bolometric Properties.
AB - We report the synthesis of MWNTs/ZnO hybrid nanostructures. A simple, affordable,
chemical procedure to functionalize MWNT with ZnO nanoparticles was performed. A
significant portion of the surface of MWNTs is covered with ZnO nanoparticles,
such particles form highly porous spherical nodules of 50-150 nm in diameter,
sizes that are in values one order of magnitude larger than similar ZnO
nanonodules reported in the literature. Hence, in the self-assembled
nanocomposite the ZnO exhibits a large surface to volume ratio, which is a very
advantageous property for potential catalytic applications. The resultant
MWNTs/ZnO nanocomposites were characterized by X-ray diffraction, scanning and
high-resolution transmission electron microscopy, and UV-Vis and Raman
spectroscopies. The temperature coefficient of resistance (TCR) of the
nanocomposites was measured and reported. The average TCR value goes from
5.6%/K, and up to -18%/K, on temperature change intervals from 10 K to 1 K,
respectively. Based on TCR results, the nanocomposite MWNTs/ZnO prepared in this
work is a promising material with potential application as a bolometric sensor.
PMID- 29359713
TI - Low-cost synthesis of pure ZnO nanowalls showing three-fold symmetry.
AB - ZnO nanowalls (NWLs) represent a non-toxic, Earth abundant, high surface-to
volume ratio, semiconducting nanostructure which has already showed potential
applications in biosensing, environmental monitoring and energy. Low-cost
synthesis of these nanostructures is extremely appealing for large scale
upgrading of laboratory results, and its implementation has to be tested at the
nanoscale, at least in terms of chemical purity and crystallographic orientation.
Here, we have produced pure and texturized ZnO NWLs by using chemical bath
deposition (CBD) synthesis followed by a thermal treatment at 300 degrees C. We
examined the NWL formation process and the new obtained structure at the
nanoscale, by means of scanning and transmission electron microscopy in
combination with x-ray diffraction and Rutherford backscattering spectrometry. We
have shown that only after annealing at 300 degrees C in nitrogen does the as
grown material, composed of a mixture of Zn compounds NWLs, show its peculiar
crystal arrangement. The resulting ZnO sheets are in fact made by ZnO wurtzite
domains (4-5 nm) that show a particular kind of texturization; indeed, they are
aligned with their own c-axis always perpendicular to the sheets forming the wall
and rotated (around the c-axis) by multiples of 20 degrees from each other. The
presented data show that low-cost CBD, followed by an annealing process, gives
pure ZnO with a peculiarly ordered nanostructure that shows three-fold symmetry.
Such evidence at the nanoscale will have significant implications for realizing
sensing or catalyst devices based on ZnO NWLs.
PMID- 29359711
TI - Real-time detection and discrimination of visual perception using
electrocorticographic signals.
AB - OBJECTIVE: Several neuroimaging studies have demonstrated that the ventral
temporal cortex contains specialized regions that process visual stimuli. This
study investigated the spatial and temporal dynamics of electrocorticographic
(ECoG) responses to different types and colors of visual stimulation that were
presented to four human participants, and demonstrated a real-time decoder that
detects and discriminates responses to untrained natural images. APPROACH: ECoG
signals from the participants were recorded while they were shown colored and
greyscale versions of seven types of visual stimuli (images of faces, objects,
bodies, line drawings, digits, and kanji and hiragana characters), resulting in
14 classes for discrimination (experiment I). Additionally, a real-time system
asynchronously classified ECoG responses to faces, kanji and black screens
presented via a monitor (experiment II), or to natural scenes (i.e. the face of
an experimenter, natural images of faces and kanji, and a mirror) (experiment
III). Outcome measures in all experiments included the discrimination performance
across types based on broadband gamma activity. MAIN RESULTS: Experiment I
demonstrated an offline classification accuracy of 72.9% when discriminating
among the seven types (without color separation). Further discrimination of grey
versus colored images reached an accuracy of 67.1%. Discriminating all colors and
types (14 classes) yielded an accuracy of 52.1%. In experiment II and III, the
real-time decoder correctly detected 73.7% responses to face, kanji and black
computer stimuli and 74.8% responses to presented natural scenes. SIGNIFICANCE:
Seven different types and their color information (either grey or color) could be
detected and discriminated using broadband gamma activity. Discrimination
performance maximized for combined spatial-temporal information. The
discrimination of stimulus color information provided the first ECoG-based
evidence for color-related population-level cortical broadband gamma responses in
humans. Stimulus categories can be detected by their ECoG responses in real time
within 500 ms with respect to stimulus onset.
PMID- 29359714
TI - [Children and adolescents in situations of social distress].
AB - The review article addresses transnational problems of socially stressful events
and their contribution to the mental state of children and adolescents. The
authors suggest the concept of distorted socialization in minors, who had
experienced adverse events, with the formation of the concept of socially
maladaptive distress reactions (SMDR). This typology has not just the age-related
dynamics but also the gender specificity. Research methodology and systemic
approach to SMDR from the perspective of understanding by specialists of various
professions are significant for psychologists, neurologists and children's and
adolescents' psychiatrists, including the forensic-psychiatric experts. The
combined approach is important for the diagnostic qualification of conditions,
development of correctional psychotherapeutic programs and expert approaches with
reliance on gender signs, qualitative and quantitative characteristics of
personal response in identifying SMDR.
PMID- 29359715
TI - [Clinical and neuroimaging signs of cardioembolic stroke in children].
AB - AIM: To study clinical and neuroimaging signs of cardioembolic stroke (CES) in
children. MATERIAL AND METHODS: A group of 100 patients with arterial ischemic
stroke (AIS), aged from 1 month to 15 years, was stratified into the main group
(10 patients with CES) and the comparison group (90 patients with other subtypes
of AIS). CT and MRI, MR-angiography, ultrasound study as well as assessment of
clinical symptoms on PedNIHSS were performed. The duration of follow-up was from
2 month to 14 years. The follow-up allowed the assessment of occurrence of
secondary strokes, severity of residual neurological symptoms on the PSOM and
fatal outcomes. Special attention was drawn to the use of CASSADE criteria for
CES. RESULTS AND CONCLUSION: Clinical features that help to diagnose CES, even in
the absence of adequate neuroimaging, in patients with heart disease, which is a
potential high risk factor for cardioembolia, were established. These factors
include early disease onset, acute development and progression of neurological
deficit to the maximal level in the first 5 min., marked severity of the acute
period (PedNIHSS > 15), early recovery of neurological functions, significant
residual neurological symptoms (PSOM > 2). These symptoms should be used in
addition to the CASCADE criteria in the diagnosis of CES of AIS type in children.
PMID- 29359716
TI - [Epileptic syndromes in childhood associated with secondary generalized tonic
clonic seizures].
AB - AIM: To study a group of patients with secondary generalized tonic-clonic
seizures (SGTCS) in view of nosology, medical history, clinical,
electroencephalographic and neuroimaging features. MATERIAL AND METHODS: The
study included 471 patients, 244 (51.8%) men and 227 (48.2%) women. RESULTS:
SGTCS were observed in many epileptic syndromes. The most frequent were
symptomatic focal epilepsy (33.8%), cryptogenic focal epilepsy (23.8%), rolandic
epilepsy (12.6%), FEBL-BEDC syndrome (12.3%). Other forms of epilepsy were less
frequent. The onset of epilepsy ranged over a wide age range from the first month
of life to 18 years. The average age of onset was 5.7+/-4.96 years. SGTCS as the
only type of paroxysms were observed in 28.3% of cases. Two or more types of
seizures were observed in 71.7% of patients, three or more types in 39.3%.
Epileptiform activity on EEG during long VEM was detected in 91.3% of patients
with SGTCS. In 37.2% of patients, benign epileptiform discharges of childhood
were recorded. Treatment with antiepileptic drugs (AEP) led to complete remission
in 57.1% of cases of epilepsy associated with SGTCS. A reduction of the frequency
of seizures by 50% or more was found in 33.6% of patients treated with AEP. No
effect was observed in 9.3% of patients. CONCLUSION: Significant differences in
the prognosis and therapeutic approaches to specific epileptic syndromes
associated with SGTCS necessitate the use of the entire spectrum of diagnostic
measures, which should include careful history taking, clinical examination,
video-EEG monitoring with the inclusion of sleep dynamics, MRI / CT brain,
genetic testing.
PMID- 29359717
TI - [Neuropsychological evaluation of children in low conciseness state after a
severe traumatic brain injury].
AB - AIM: To follow up patterns of cognitive recovery in children (6-17 years of age)
at the first four months after a severe traumatic brain injury (TBI). MATERIAL
AND METHODS: Seventeen children with TBI (GCS <=8) were evaluated with the Coma
Recovery Scale-R (CRS). Children were stratified into three groups according to
their consciousness recovery. Seven children regained their consciousness
completely and were assessed by the Luria Neuropsychological Battery test. Six
children remained in the minimally conscious state (MCS) and were tested by the
adapted procedure of neuropsychological assessment during the first four months.
Four children with low level of consciousness were evaluated with CRS. RESULTS
AND CONCLUSION: The most destroying functions at the early recovery period were
the processing speed (neurodynamics of mental activity), executive functions and
memory. Children with the anterior cortex damage had the slowest dynamics of
recovery. The slower dynamics of consciousness recovery was combined with severe
primary damages of visual gnosis, speech and executive functions according to
neuropsychological examination. The positive dynamics of consciousness recovery
was combined with early behavioral changes and the greater rate of behavioral
changes.
PMID- 29359718
TI - [Neurological disorders in preterm children with neuropathy].
AB - AIM: To establish the correlation between the frequency and severity of hypoxic
CNS lesions in preterm children with neuropathy and improve the early diagnosis
of lesions of the brain structures based on clinical ophthalmologic results.
MATERIAL AND METHODS: The authors examined 712 premature infants with body mass
<1500 g born before 30 weeks of gestation during 2006-2016. Ophthalmological
monitoring of retinopathy (RP), an analysis of medical history, neurological
examination and neurosonography were performed. RESULTS AND CONCLUSION: RP was
found in 367 (51.5%) children. In 255 children, the disease regressed naturally.
One hundred and twelve (15.7%) children, underwent laser coagulation of the
avascular retina due to the severity of RP. Signs of intraventricular hemorrhages
(IVH) were noted in 434 (61%) children in the neonatal period. IVH were found in
285 (77.6%) children with RP. RP with the regression after laser coagulation was
combined with IVH in 98% of cases, with the higher frequency (55.3%) of IVH, 3rd
degree. Periventricular leucomalation (PVL) was found in 10% of children without
RP, in 22.3% of children with RP with naturally regression and in 51,7% of
children with RP with laser coagulation of the retina. In 70 children,
neurosonographic signs of ischemia of the head of caudate nucleus were identified
on the 14-15th days of life. In this group, RP developed in 54 (77%) children, 27
(38.5%) children needed laser coagulation of the retina. The correlation found
between the severity of RP and hypoxic CNS lesions in highly preterm infants
might allow the prognosis of visual and neurosomatic disturbances in the early
age and timely effective rehabilitation.
PMID- 29359719
TI - [Pediatric acute-onset neuropsychiatric syndrome: clinical/laboratory
characteristics].
AB - AIM: Based on the current conceptions on the genesis of hyperkinetic syndromes in
children and adolescents, to single out a group of patients with suggestive PANS,
compare clinical and laboratory results and determine clinical/laboratory
characteristics of this syndrome. MATERIAL AND METHODS: Sixty-nine patients with
tics were studied using neurological examination, questionnaires and
international scales for assessment of tics, obsessive-compulsive disorders and
attention deficit hyperactivity disorder (ADHD). Laboratory tests included
general blood tests, antistreptolysin O test, determination of rheumatoid factor,
C-reactive protein, circulating immune complexes, nasopharyngeal wash for beta
hemolytic streptococcus, antineuronal antibodies and immunoglobulins A, M, G, E,
CD4, CD8-lymphocytes. The same tests were performed in the control group. RESULTS
AND CONCLUSION: Clinical symptoms were different by the severity and
phenomenology of tic hyperkineses in patients with PANS compared to the patients
with tics without immune disorders. Most of the patients were diagnosed with
Tourette syndrome. ADHD was the most common diagnosis in the PANS group. Its
frequency was 2.5 higher in the male patients. A chronic focus of infection did
no predict the development of PANS. Based on the laboratory results, one can
assume that beta-hemolytic streptococcus A infection, lower JgM levels and an
elevated CD8+ lymphocytes predict the development of autoimmune mental and
neurological disorders in the group of PANS patients.
PMID- 29359720
TI - [The course and the development of epilepsy in patients with typical variant of
Rett syndrome and mutations].
AB - AIM: Studying data of anamnesis, clinical state, electro-encephalographic, brain
MRI in patients with Rett syndrome (MESR2). MATERIAL AND METHODS: We studied 11
patients (female) from three to 23 years old with Rett syndrome and MeCP2
mutations. Observation continued 10 years (2006-2015). We analyzed the results of
the neurological status, night sleep video-EEG monitoring, MRI. RESULTS AND
CONCLUSION: Epilepsy diagnosed in six cases (54, 5%). The overage age of debut of
epileptic seizures was 3 years 9 months. There are some types of seizures:
generalized, myoclonic, myotonic, tonic, versive, focal motor, atypical absences.
Status epilepticus evolved in one patient. Generalized seizures were 56, 25%,
focal seizures - 43, 75%. EEG changing marked in nine patients (81, 8%): slowdown
back activity, episodes of periodic regional slowdown, regional epileptiform
activity, and diffuse epileptiform activity like benign focal epileptiform
discharges (BFED). five patients took antiepileptic drugs. All of them had
improved during treatment. There were reducing of frequency of the seizures up
50% - 4 cases (80%). one patients with resistant epilepsy was taken combination
of drugs (levetirecetam, topiromat, zonisamide, benzodiazepine) with stopping of
seizures in the night sleep and decreasing of frequency of daytime seizures to
50%. We believe there is very important of study epilepsy in patients with Rett
syndrome and improvement of its treatment.
PMID- 29359721
TI - [Niemann-Pick type C disease in a child].
AB - The authors consider a clinical case of Niemann-Pick disease type C, an orphan
hereditary autosomal recessive neurodegenerative disease belonging to the group
of lysosomal storage disease, in an 11-year female patient with the late
infantile form of the disease. The combination of psychomotor retardation,
polymorphic neurological symptoms and physical changes in the form of isolated
splenomegaly suggested the diagnosis of Niemann-Pick type C disease. DNA testing
was carried out using direct automated sequencing. The patient was treated with
miglustat.
PMID- 29359722
TI - [Cytoflavin efficacy in the treatment of disseminated encephalomyelitis in
children].
AB - AIM: To evaluate the efficacy of cytoflavin in the complex therapy of
disseminated encephalomyelitis in children. MATERIAL AND METHODS: A blind,
placebo - controlled, randomized study was carried out. Thirty-two patients, aged
form 1 to 17 years, received cytoflavin from the first day after admission in
intravenous drips in 5% glucose solution during 10 days. The control group
consisted of 30 children who received a standard infusion therapy. Clinical and
laboratory evaluation was carried out on the 11th and 30th days, MRT was
performed before hospitalization and on the 30th day. RESULTS AND CONCLUSION:
Cytoflavin had a positive effect on the rate of recovery of the majority of
neurological symptoms. The average EDSS score decreased by 1.5 times to the 30th
day compared with the control group. The complete regression of clinical symptoms
and focal changes on MRI on the 30th day was observed in 25% of children of the
main group and in 3.3% in the control group (r=0.001). The drug exerted the
endothelium protective and antioxidant effects, improved blood rheology and
restored the content of circulating endothelial cells, the level of D-dimer and
indicators of free radical oxidation to the 30th day of treatment.
PMID- 29359723
TI - [A strategy for increasing the efficiency of psychopharmacological treatment of
hyperkinetic behavior disorder with pantogam].
AB - AIM: To assess short-term efficiency of hopantenic acid (pantogam) in the
treatment of children with hyperkinetic behavior disorder, in whom the previous
treatment with atomoxetine was not efficient. MATERIAL AND METHODS: Twenty-four
children (16 boys and 8 girls), aged 6-11 years, diagnosed with hyperkinetic
behavior disorder (ICD-10 item F90.1) were enrolled in this open non-randomized
study. RESULTS AND CONCLUSION: A short-term positive therapeutic dynamics was
observed when introducing hopantenic acid (pantogam) augmentation strategy to
existing atomoxetine therapy. Qualitative improvements in children's state were
found not only in the ability to control symptoms but also in their social
functioning levels and quality of life. The proposed therapeutic strategy can
help to improve treatment outcomes for children with certain clinical forms of
hyperkinetic behavior disorder.
PMID- 29359724
TI - [Treatment of speech disorders in preschool children].
AB - AIM: To study the efficacy of neyroferon in developmental speech disorders (SDD).
MATERIAL AND METHODS: Thirty preschool children were stratified into 3 groups: 4
5 years (n=8), 5-6 years (n=10) and 6-7 years (n=12). The children were treated
with neyroferon in dose 1 tablet three times a day during 2 month. A multifaceted
study of speech disorders using several methods was conducted. General mental
state, emotional and behavioral characteristics of the children were assessed as
well. RESULTS AND CONCLUSION: A therapeutic effect of neyroferon (the improvement
of auditory-speech memory, expressive speech, phonemic perception, articulatory
movements, vocabulary activity, connected speech etc) as well as the decrease in
anxiety, hyperactivity and attention deficit was found in children with SDD. The
safety of the drug was confirmed. The results should be treated as preliminary
ones.
PMID- 29359725
TI - [Monoamine metabolism in children with hyperkinetic disorder].
AB - In this review article, the authors consider a role of interaction between
noradrenergic and dopaminergic systems in children with hyperkinetic disorder
without impairment of the operational system of intelligence. The uneven
development of higher mental functions observed in these cases is determined by
the specificity of the formation of functional systems and the inclusion of
compensatory mechanisms as well.
PMID- 29359726
TI - [Sleep quality and its parameters in schoolchildren].
AB - AIM: Sleep disorders are very common among teenagers. One of possible reasons is
a discrepancy between social and biological activity of children. It is known
that adolescents with a late chronotype (e.g., a later midpoint of sleep) have a
lowered academic achievement. The aim of our study was to evaluate sleep quality
and other sleep-wake characteristics in relation to academic scores self-reported
by teenagers living in urban and rural areas of Republic of Karelia. MATERIAL AND
METHODS: Five hundred respondents, aged 10-17 years, participated in a
questionnaire survey of chronotype and sleep quality. The Munich chronotype
questionnaire (MCTQ) and the Pittsburgh Sleep Quality Index (PSQI) were used.
Sleep duration during week and weekend, sleep quality and hygiene were assessed.
RESULTS AND CONCLUSION: A decrease in sleep duration in adolescents, aged 10-18
years, was observed. A larger social jetlag was significantly higher in girls
compared to boys. Gender had a significant impact on sleep quality. More than 10%
of the total variation in academic achievement of Karelian teenagers can be
explained by the difference in their gender and average sleep duration.
PMID- 29359728
TI - [Gut human microbiota and multiple sclerosis].
AB - Recently the relationship between gut microbiota changes and the development of
immune-mediated diseases of the central nervous system (CNS) has been reported.
This review presents literature data on the effect of gut microbiota on the
function of the immune and nervous systems. The authors discuss possible
mechanisms of the relationship between gut microbiota changes and CNS diseases on
the model of multiple sclerosis (MS).
PMID- 29359727
TI - [The association of intrathecal production of immunoglobulin free light chains
and progression of multiple sclerosis].
AB - AIM: To assess an impact of immunoglobulin free light chains (FLC) on short-term
and long-term prognosis of clinical and radiological activity and progression of
disability in multiple sclerosis (MS). MATERIAL AND METHODS: A sample of 381
patients with definite MS was divided into 2 groups. In group 1, lumbar puncture
was performed at the time of clinically isolated syndrome, and patients were
prospectively followed up to 2 years (short-term prognosis group, n=97). In group
2, MS was diagnosed immediately after lumbar puncture, and retrospective analysis
of the disease course with the duration not less than 5 years was performed (long
term prognosis group, n=284). The Expanded Disability Status Scale (EDSS) and the
Multiple Sclerosis Severity Score (MSSS) were used to assess patient's status.
Concentrations of kappa and lambda FLC in the CSF (K-FLCCSF, L-FLCCSF) and serum
(K-FLCSERUM, L-FLCSERUM) as well as quotients of concentrations (Q-K and Q-L)
were determined. Patients were stratified into subgroups with high and low
concentrations of K-FLC and L-FLC using cut-offs from our previous studies: K
FLCCSF=0.595 mcg/l and L-FLCCSF=0.127 mcg/l. RESULTS: In group 1, significant
correlations were found only between EDSS score and concentrations of K-FLCCSF
(r=0.377, p=0.00019) and Q-K (r=0.366, p=0.0012). FLC concentrations did not
correlate with the number of relapses and new T2 lesions. The age and EDSS score
at the disease onset didn't differ between patients with high and low K-FLC and L
FLC (K-FLCCSF: r=0.2658; L-FLCCSF: r=0.5502). A significant decrease of EDSS
score after the disease onset was observed in all groups except for patients with
high concentrations of K-FLCCSF (p=0.1844), so the EDSS score after 2 years was
significantly higher in this subgroup of patients (p=0.0006). In group 2,
significant correlations of K-FLC with EDSS score (r=0.181, p=0.002) and MSSS
score (r=0.121, r=0.044) for long-term prognosis (median (IQR) = 8 (6-13) years)
were found. No correlations of FLC concentrations with the number of relapses
during the first 5 years were found. Survival analysis showed that high
concentrations of K-FLCCSF were associated with the high risk of progression to
EDSS 6 (HR=2.055, p=0.026) but not with EDSS 4 (HR=2.388, p=0.08). CONCLUSION:
Concentrations of kappa FLC can help to define the prognosis of MS early at the
disease course. Although low concentrations of FLC do not exclude a severe
disease phenotype, patients with high K-FLCCSF concentrations are at greater risk
for faster MS progression, probably, due to impaired reparation of neural tissue.
Measurement of FLC concentrations can be used to determine a therapeutic tactics
in patients with MS.
PMID- 29359729
TI - [Area postrema lesion as a cause of intractable nausea, vomiting and hiccups in
neuromyelitis optica spectrum disorders].
AB - Neuromyelitis optica (Devic's disease) is a chronic autoimmune disease associated
with the production of anti-bodies to aquaporin-4 (AQP4). Area postrema lesions
is the third, after optic neuritis and myelitis, syndrome of opticomyelitis
related disorders. Clinical symptoms of this disorder include intractable nausea,
vomiting and hiccups. In many cases, area postrema syndrome manifests as the
first clinical symptom of a neuromyelitis optica spectrum disorder that hampers
the diagnosis. The authors present a case report of a female patient with area
postrema lesions developed several months before the first disabling attack of
myelitis.
PMID- 29359730
TI - [Syndrome CLIPPERS (literature review and a case report)].
AB - This paper presents a brief literature review on chronic lymphocytic inflammation
with pontine perivascular enhancement responsive to steroids (CLIPPERS) syndrome.
Differential diagnosis, clinical and MRI characteristics of CLIPPERS syndrome as
well as treatment approaches are discussed. The authors present a case of a 56
year old patient with CLIPPERS syndrome in West Siberia.
PMID- 29359731
TI - [Primary progressive multiple sclerosis: current issues of timely diagnosis].
AB - This article presents a review of international data on primary progressive
multiple sclerosis (PPMS) and an analysis of factors influencing timely diagnosis
of PPMS in a number of regions of the Russian Federation.
PMID- 29359732
TI - [The efficacy of the exoskeleton ExoAtlet to restore walking in patients with
multiple sclerosis].
AB - AIM: To investigate the efficacy and safety of the exoskeleton ExoAtlet in
complex therapy of patients with multiple sclerosis (MS). MATERIAL AND METHODS: A
pilot study within the prospective open controlled program was conducted.
Eighteen patients with relapsing-remitting MS (RRMS) in remission and secondary
progressive MS (SPMS) with the level of neurological deficit on the EDSS from 3
to 7 points have completed the study. EDSS, MSFC, HADS, MoCA scales were
administered and the force measuring insoles F-Scan Tekscan (USA) were used to
study the biomechanics of walking. RESULTS AND CONCLUSION: Good tolerability of
workload within 30-40 min. was observed. The improvement in the EDSS was detected
in 9 patients, in whole, a significant positive trend (p<0.01) was shown. The
study of the biomechanics of the walk showed its significant impairment compared
to healthy individuals: reduction of parameters of rate, speed and step length,
significant instability, pronounced asymmetry, the decrease in support and shock
lower limb function, high coefficient of variability of the parameters, the
phenomenon of recurrence of the vertical component of support reactions. After a
course of exercise of walking in the exoskeleton, the walking speed and stability
increased, oscillation of the body decreased, support function increased, the
phenomenon of cyclical changes of the vertical component of support reactions
reduced. The results of the pilot study showed promising future research
opportunities for robotic-assisted walking and maintenance of the vertical
posture with the help of the exoskeleton ExoAtlet to restore the abilities of
movement in MS patients with locomotor disorders.
PMID- 29359733
TI - [The search for optimal decision in the treatment of multiple sclerosis: to
improve adherence not reducing the efficacy].
AB - Long-term disease modifying therapy (DMD) therapy is the basis of modern MS
treatment, effiecacy of which is modulated by the patient's adherence to therapy.
One of the possible solutions of low adherence improvement is the use of
innovative drugs and the development of more convenient regimens of injectable
medications. This article gives a brief review of peg-interferon beta-1a clinical
trials.
PMID- 29359734
TI - [An analysis of clinical trials on the registration of similars of the original
disease-modifying therapies].
AB - The article discusses the design and results of recently published study of an
analog of the original glatiramer acetate. The necessity of the correct planning
and analysis of the data of such studies is stressed, showing equal efficacy,
tolerability and safety of analog and original drug. The optimal design of such
studies, their duration, selection of primary endpoint, adequate assessment of
clinical and MRI changes and side-effects are discussed. The authors reckon that
it is impossible to plan studies the results of which are based on the design,
i.e. the absence of differences from the original drug. The authors invite
specialists in MS to participate in the discussion.
PMID- 29359736
TI - Notes from 2017, hopes for 2018.
PMID- 29359737
TI - Repairing the female pelvic floor: when good enough is not good enough.
PMID- 29359735
TI - An OLTAM system for analysis of brown/beige fat thermogenic activity.
AB - BACKGROUND/OBJECTIVES: Thermogenic fat is present in humans and emerging evidence
indicates that increasing the content and activity of these adipocytes may lead
to weight loss and improved metabolic health. Multiple reporter systems have been
developed to assay thermogenic fat activity based on the transcriptional and
translational activation of Ucp1, the key molecule that mediates nonshivering
thermogenesis. Our study aims to develop a much-needed tool to monitor
thermogenic fat activity through a mechanism independent of Ucp1 regulation,
therefore effectively assaying not only canonical beta-adrenergic activation but
also various non-UCP1-mediated thermogenic pathways that have been increasingly
appreciated. METHODS: We detected increased luciferase activity upon thermogenic
activation in interscapular brown and inguinal subcutaneous fat in ODD-Luc mice,
a hypoxia reporter mouse model. We then developed an OLTAM (ODD-Luc based
Thermogenic Activity Measurement) system to assay thermogenic fat cell activity.
RESULTS: In both primary murine and human adipocytes and an immortalized adipose
cell line that were transduced with the OLTAM system, luciferase activity can be
readily measured and visualized by bioluminescence imaging in response to a
variety of stimuli, including UCP1-independent thermogenic signaling. This system
can offer a convenient method to assay thermogenic activity for both basic and
translational research. CONCLUSIONS: The OLTAM system offers a convenient way to
measure the activation of thermogenic fat and presents opportunities to discover
novel signaling pathways and unknown compounds targeting metabolically active
adipocytes to counteract human obesity.
PMID- 29359738
TI - Prostate cancer: Revealing mechanisms of resistance.
PMID- 29359739
TI - Prostate cancer: Developing CAR T cell therapy.
PMID- 29359740
TI - The germination of germanium.
PMID- 29359742
TI - Solution-phase reaction dynamics: Gaining control.
PMID- 29359741
TI - Iridium-catalysed arylation of C-H bonds enabled by oxidatively induced reductive
elimination.
AB - Direct arylation of C-H bonds is in principle a powerful way of preparing value
added molecules that contain carbon-aryl fragments. Unfortunately, currently
available synthetic methods are not sufficiently effective to be practical
alternatives to conventional cross-coupling reactions. We propose that the main
problem lies in the late portion of the catalytic cycle where reductive
elimination gives the desired carbon-aryl bond. Accordingly, we have developed a
strategy where the Ir(III) centre of the key intermediate is first oxidized to
Ir(IV). Density functional theory calculations indicate that the barrier to
reductive elimination is reduced by nearly 19 kcal mol-1 for this oxidized
complex compared with that of its Ir(III) counterpart. Various experiments
confirm this prediction, affording a new methodology capable of directly
arylating C-H bonds at room temperature with a broad substrate scope and in good
yields. This work highlights how the oxidation states of intermediates can be
targeted deliberately to catalyse an otherwise impossible reaction.
PMID- 29359743
TI - Erratum: Activate lattice oxygen redox reactions in metal oxides to catalyse
oxygen evolution.
AB - This corrects the article DOI: 10.1038/nchem.2695.
PMID- 29359745
TI - Mass spectrometry: Raw protein from the top down.
PMID- 29359744
TI - An integrated native mass spectrometry and top-down proteomics method that
connects sequence to structure and function of macromolecular complexes.
AB - Mass spectrometry (MS) has become a crucial technique for the analysis of protein
complexes. Native MS has traditionally examined protein subunit arrangements,
while proteomics MS has focused on sequence identification. These two techniques
are usually performed separately without taking advantage of the synergies
between them. Here we describe the development of an integrated native MS and top
down proteomics method using Fourier-transform ion cyclotron resonance (FTICR) to
analyse macromolecular protein complexes in a single experiment. We address
previous concerns of employing FTICR MS to measure large macromolecular complexes
by demonstrating the detection of complexes up to 1.8 MDa, and we demonstrate the
efficacy of this technique for direct acquirement of sequence to higher-order
structural information with several large complexes. We then summarize the unique
functionalities of different activation/dissociation techniques. The platform
expands the ability of MS to integrate proteomics and structural biology to
provide insights into protein structure, function and regulation.
PMID- 29359746
TI - Direct alpha-C-H bond functionalization of unprotected cyclic amines.
AB - Cyclic amines are ubiquitous core structures of bioactive natural products and
pharmaceutical drugs. Although the site-selective abstraction of C-H bonds is an
attractive strategy for preparing valuable functionalized amines from their
readily available parent heterocycles, this approach has largely been limited to
substrates that require protection of the amine nitrogen atom. In addition, most
methods rely on transition metals and are incompatible with the presence of amine
N-H bonds. Here we introduce a protecting-group-free approach for the alpha
functionalization of cyclic secondary amines. An operationally simple one-pot
procedure generates products via a process that involves intermolecular hydride
transfer to generate an imine intermediate that is subsequently captured by a
nucleophile, such as an alkyl or aryl lithium compound. Reactions are
regioselective and stereospecific and enable the rapid preparation of bioactive
amines, as exemplified by the facile synthesis of anabasine and (-)-solenopsin A.
PMID- 29359747
TI - Phosphorylation, oligomerization and self-assembly in water under potential
prebiotic conditions.
AB - Prebiotic phosphorylation of (pre)biological substrates under aqueous conditions
is a critical step in the origins of life. Previous investigations have had
limited success and/or require unique environments that are incompatible with
subsequent generation of the corresponding oligomers or higher-order structures.
Here, we demonstrate that diamidophosphate (DAP)-a plausible prebiotic agent
produced from trimetaphosphate-efficiently (amido)phosphorylates a wide variety
of (pre)biological building blocks (nucleosides/tides, amino acids and lipid
precursors) under aqueous (solution/paste) conditions, without the need for a
condensing agent. Significantly, higher-order structures (oligonucleotides,
peptides and liposomes) are formed under the same phosphorylation reaction
conditions. This plausible prebiotic phosphorylation process under similar
reaction conditions could enable the systems chemistry of the three classes of
(pre)biologically relevant molecules and their oligomers, in a single-pot aqueous
environment.
PMID- 29359748
TI - Thermally activated delayed photoluminescence from pyrenyl-functionalized CdSe
quantum dots.
AB - The generation and transfer of triplet excitons across semiconductor nanomaterial
molecular interfaces will play an important role in emerging photonic and
optoelectronic technologies, and understanding the rules that govern such
phenomena is essential. The ability to cooperatively merge the photophysical
properties of semiconductor quantum dots with those of well-understood and
inexpensive molecular chromophores is therefore paramount. Here we show that 1
pyrenecarboxylic acid-functionalized CdSe quantum dots undergo thermally
activated delayed photoluminescence. This phenomenon results from a near
quantitative triplet-triplet energy transfer from the nanocrystals to 1
pyrenecarboxylic acid, producing a molecular triplet-state 'reservoir' that
thermally repopulates the photoluminescent state of CdSe through endothermic
reverse triplet-triplet energy transfer. The photoluminescence properties are
systematically and predictably tuned through variation of the quantum dot
molecule energy gap, temperature and the triplet-excited-state lifetime of the
molecular adsorbate. The concepts developed are likely to be applicable to
semiconductor nanocrystals interfaced with molecular chromophores, enabling
potential applications of their combined excited states.
PMID- 29359750
TI - C-H carbonylation: In situ acyl triflates ace it.
PMID- 29359749
TI - Biocatalytic site- and enantioselective oxidative dearomatization of phenols.
AB - The biocatalytic transformations used by chemists are often restricted to simple
functional-group interconversions. In contrast, nature has developed complexity
generating biocatalytic reactions within natural product pathways. These
sophisticated catalysts are rarely employed by chemists, because the substrate
scope, selectivity and robustness of these catalysts are unknown. Our strategy to
bridge the gap between the biosynthesis and synthetic chemistry communities
leverages the diversity of catalysts available within natural product pathways.
Here we show that, starting from a suite of biosynthetic enzymes, catalysts with
complementary substrate scope as well as selectivity can be identified. This
strategy has been applied to the oxidative dearomatization of phenols, a chemical
transformation that rapidly builds molecular complexity from simple starting
materials and cannot be accomplished with high selectivity using existing
catalytic methods. Using enzymes from biosynthetic pathways, we have successfully
developed a method to produce ortho-quinol products with controlled site- and
stereoselectivity. Furthermore, we have capitalized on the scalability and
robustness of this method in gram-scale reactions as well as multi-enzyme and
chemoenzymatic cascades.
PMID- 29359751
TI - Corrigendum: Structural snapshots of concerted double E-H bond activation at a
transition metal centre.
AB - This corrects the article DOI: 10.1038/nchem.2792.
PMID- 29359752
TI - A dual role for a polyketide synthase in dynemicin enediyne and anthraquinone
biosynthesis.
AB - Dynemicin A is a member of a subfamily of enediyne antitumour antibiotics
characterized by a 10-membered carbocycle fused to an anthraquinone, both of
polyketide origin. Sequencing of the dynemicin biosynthetic gene cluster in
Micromonospora chersina previously identified an enediyne polyketide synthase
(PKS), but no anthraquinone PKS, suggesting gene(s) for biosynthesis of the
latter were distant from the core dynemicin cluster. To identify these gene(s),
we sequenced and analysed the genome of M. chersina. Sequencing produced a short
list of putative PKS candidates, yet CRISPR-Cas9 mutants of each locus retained
dynemicin production. Subsequently, deletion of two cytochromes P450 in the
dynemicin cluster suggested that the dynemicin enediyne PKS, DynE8, may
biosynthesize the anthraquinone. Together with 18O-labelling studies, we now
present evidence that DynE8 produces the core scaffolds of both the enediyne and
anthraquinone, and provide a working model to account for their formation from
the programmed octaketide of the enediyne PKS.
PMID- 29359753
TI - Introducing organic.
PMID- 29359754
TI - Acceleration of a ground-state reaction by selective femtosecond-infrared-laser
pulse excitation.
AB - Infrared (IR) excitation of vibrations that participate in the reaction
coordinate of an otherwise thermally driven chemical reaction are believed to
lead to its acceleration. Attempts at the practical realization of this concept
have been hampered so far by competing processes leading to sample heating. Here
we demonstrate, using femtosecond IR-pump IR-probe experiments, the acceleration
of urethane and polyurethane formation due to vibrational excitation of the
reactants for 1:1 mixtures of phenylisocyanate and cyclohexanol, and toluene-2,4
diisocyanate and 2,2,2-trichloroethane-1,1-diol, respectively. We measured
reaction rate changes upon selective vibrational excitation with negligible
heating of the sample and observed an increase of the reaction rate up to 24%.
The observation is rationalized using reactant and transition-state structures
obtained from quantum chemical calculations. We subsequently used IR-driven
reaction acceleration to write a polyurethane square on sample windows using a
femtosecond IR pulse.
PMID- 29359755
TI - Programmable autonomous synthesis of single-stranded DNA.
AB - DNA performs diverse functional roles in biology, nanotechnology and
biotechnology, but current methods for autonomously synthesizing arbitrary single
stranded DNA are limited. Here, we introduce the concept of primer exchange
reaction (PER) cascades, which grow nascent single-stranded DNA with user
specified sequences following prescribed reaction pathways. PER synthesis happens
in a programmable, autonomous, in situ and environmentally responsive fashion,
providing a platform for engineering molecular circuits and devices with a wide
range of sensing, monitoring, recording, signal-processing and actuation
capabilities. We experimentally demonstrate a nanodevice that transduces the
detection of a trigger RNA into the production of a DNAzyme that degrades an
independent RNA substrate, a signal amplifier that conditionally synthesizes long
fluorescent strands only in the presence of a particular RNA signal, molecular
computing circuits that evaluate logic (AND, OR, NOT) combinations of RNA inputs,
and a temporal molecular event recorder that records in the PER transcript the
order in which distinct RNA inputs are sequentially detected.
PMID- 29359756
TI - Decarboxylative alkylation for site-selective bioconjugation of native proteins
via oxidation potentials.
AB - The advent of antibody-drug conjugates as pharmaceuticals has fuelled a need for
reliable methods of site-selective protein modification that furnish homogeneous
adducts. Although bioorthogonal methods that use engineered amino acids often
provide an elegant solution to the question of selective functionalization,
achieving homogeneity using native amino acids remains a challenge. Here, we
explore visible-light-mediated single-electron transfer as a mechanism towards
enabling site- and chemoselective bioconjugation. Specifically, we demonstrate
the use of photoredox catalysis as a platform to selectivity wherein the
discrepancy in oxidation potentials between internal versus C-terminal
carboxylates can be exploited towards obtaining C-terminal functionalization
exclusively. This oxidation potential-gated technology is amenable to endogenous
peptides and has been successfully demonstrated on the protein insulin. As a
fundamentally new approach to bioconjugation this methodology provides a
blueprint toward the development of photoredox catalysis as a generic platform to
target other redox-active side chains for native conjugation.
PMID- 29359757
TI - Artificial muscle-like function from hierarchical supramolecular assembly of
photoresponsive molecular motors.
AB - A striking feature of living systems is their ability to produce motility by
amplification of collective molecular motion from the nanoscale up to macroscopic
dimensions. Some of nature's protein motors, such as myosin in muscle tissue,
consist of a hierarchical supramolecular assembly of very large proteins, in
which mechanical stress induces a coordinated movement. However, artificial
molecular muscles have often relied on covalent polymer-based actuators. Here, we
describe the macroscopic contractile muscle-like motion of a supramolecular
system (comprising 95% water) formed by the hierarchical self-assembly of a
photoresponsive amphiphilic molecular motor. The molecular motor first assembles
into nanofibres, which further assemble into aligned bundles that make up
centimetre-long strings. Irradiation induces rotary motion of the molecular
motors, and propagation and accumulation of this motion lead to contraction of
the fibres towards the light source. This system supports large-amplitude motion,
fast response, precise control over shape, as well as weight-lifting experiments
in water and air.
PMID- 29359758
TI - Coherent wavepackets in the Fenna-Matthews-Olson complex are robust to excitonic
structure perturbations caused by mutagenesis.
AB - Femtosecond pulsed excitation of light-harvesting complexes creates oscillatory
features in their response. This phenomenon has inspired a large body of work
aimed at uncovering the origin of the coherent beatings and possible implications
for function. Here we exploit site-directed mutagenesis to change the excitonic
level structure in Fenna-Matthews-Olson (FMO) complexes and compare the
coherences using broadband pump-probe spectroscopy. Our experiments detect two
oscillation frequencies with dephasing on a picosecond timescale-both at 77 K and
at room temperature. By studying these coherences with selective excitation pump
probe experiments, where pump excitation is in resonance only with the lowest
excitonic state, we show that the key contributions to these oscillations stem
from ground-state vibrational wavepackets. These experiments explicitly show that
the coherences-although in the ground electronic state-can be probed at the
absorption resonances of other bacteriochlorophyll molecules because of
delocalization of the electronic excitation over several chromophores.
PMID- 29359759
TI - Theory-driven design of high-valence metal sites for water oxidation confirmed
using in situ soft X-ray absorption.
AB - The efficiency with which renewable fuels and feedstocks are synthesized from
electrical sources is limited at present by the sluggish oxygen evolution
reaction (OER) in pH-neutral media. We took the view that generating transition
metal sites with high valence at low applied bias should improve the activity of
neutral OER catalysts. Here, using density functional theory, we find that the
formation energy of desired Ni4+ sites is systematically modulated by
incorporating judicious combinations of Co, Fe and non-metal P. We therefore
synthesized NiCoFeP oxyhydroxides and probed their oxidation kinetics with in
situ soft X-ray absorption spectroscopy (sXAS). In situ sXAS studies of neutral
pH OER catalysts indicate ready promotion of Ni4+ under low overpotential
conditions. The NiCoFeP catalyst outperforms IrO2 and retains its performance
following 100 h of operation. We showcase NiCoFeP in a membrane-free CO2
electroreduction system that achieves a 1.99 V cell voltage at 10 mA cm-2,
reducing CO2 into CO and oxidizing H2O to O2 with a 64% electricity-to-chemical
fuel efficiency.
PMID- 29359760
TI - Oxidase catalysis via aerobically generated hypervalent iodine intermediates.
AB - The development of sustainable oxidation chemistry demands strategies to harness
O2 as a terminal oxidant. Oxidase catalysis, in which O2 serves as a chemical
oxidant without necessitating incorporation of oxygen into reaction products,
would allow diverse substrate functionalization chemistry to be coupled to O2
reduction. Direct O2 utilization suffers from intrinsic challenges imposed by the
triplet ground state of O2 and the disparate electron inventories of four
electron O2 reduction and two-electron substrate oxidation. Here, we generate
hypervalent iodine reagents-a broadly useful class of selective two-electron
oxidants-from O2. This is achieved by intercepting reactive intermediates of
aldehyde autoxidation to aerobically generate hypervalent iodine reagents for a
broad array of substrate oxidation reactions. The use of aryl iodides as
mediators of aerobic oxidation underpins an oxidase catalysis platform that
couples substrate oxidation directly to O2 reduction. We anticipate that
aerobically generated hypervalent iodine reagents will expand the scope of
aerobic oxidation chemistry in chemical synthesis.
PMID- 29359761
TI - Labelling and determination of the energy in reactive intermediates in solution
enabled by energy-dependent reaction selectivity.
AB - Any long-lived chemical structure in solution is subject to statistical energy
equilibration, so the history of any specific structure does not affect its
subsequent reactions. This is not true for very short-lived intermediates because
energy equilibration takes time. Here, this idea is applied to achieve the
'energy labelling' of a reactive intermediate. The selectivity of the ring
opening alpha-cleavage reaction of the 1-methylcyclobutoxy radical is found here
to vary broadly depending on how the radical was formed. Reactions that provide
little excess energy to the intermediate lead to a high selectivity in the
subsequent cleavage (measured as a kinetic isotope effect), whereas reactions
that provide more excess energy to the intermediate exhibit a lower selectivity.
Accounting for the expected excess energy allows the prediction of the observed
product ratios and, in turn, the product ratios can be used to determine the
energy present in an intermediate.
PMID- 29359762
TI - DNA-imprinted polymer nanoparticles with monodispersity and prescribed DNA-strand
patterns.
AB - As colloidal self-assembly increasingly approaches the complexity of natural
systems, an ongoing challenge is to generate non-centrosymmetric structures. For
example, patchy, Janus or living crystallization particles have significantly
advanced the area of polymer assembly. It has remained difficult, however, to
devise polymer particles that associate in a directional manner, with controlled
valency and recognition motifs. Here, we present a method to transfer DNA
patterns from a DNA cage to a polymeric nanoparticle encapsulated inside the cage
in three dimensions. The resulting DNA-imprinted particles (DIPs), which are
'moulded' on the inside of the DNA cage, consist of a monodisperse crosslinked
polymer core with a predetermined pattern of different DNA strands covalently
'printed' on their exterior, and further assemble with programmability and
directionality. The number, orientation and sequence of DNA strands grafted onto
the polymeric core can be controlled during the process, and the strands are
addressable independently of each other.
PMID- 29359763
TI - A general approach to intermolecular carbonylation of arene C-H bonds to ketones
through catalytic aroyl triflate formation.
AB - The development of metal-catalysed methods to functionalize inert C-H bonds has
become a dominant research theme in the past decade as an approach to efficient
synthesis. However, the incorporation of carbon monoxide into such reactions to
form valuable ketones has to date proved a challenge, despite its potential as a
straightforward and green alternative to Friedel-Crafts reactions. Here we
describe a new approach to palladium-catalysed C-H bond functionalization in
which carbon monoxide is used to drive the generation of high-energy
electrophiles. This offers a method to couple the useful features of metal
catalysed C-H functionalization (stable and available reagents) and electrophilic
acylations (broad scope and selectivity), and synthesize ketones simply from aryl
iodides, CO and arenes. Notably, the reaction proceeds in an intermolecular
fashion, without directing groups and at very low palladium-catalyst loadings.
Mechanistic studies show that the reaction proceeds through the catalytic build
up of potent aroyl triflate electrophiles.
PMID- 29359764
TI - Structure-based inhibitors of tau aggregation.
AB - Aggregated tau protein is associated with over 20 neurological disorders, which
include Alzheimer's disease. Previous work has shown that tau's sequence segments
VQIINK and VQIVYK drive its aggregation, but inhibitors based on the structure of
the VQIVYK segment only partially inhibit full-length tau aggregation and are
ineffective at inhibiting seeding by full-length fibrils. Here we show that the
VQIINK segment is the more powerful driver of tau aggregation. Two structures of
this segment determined by the cryo-electron microscopy method micro-electron
diffraction explain its dominant influence on tau aggregation. Of practical
significance, the structures lead to the design of inhibitors that not only
inhibit tau aggregation but also inhibit the ability of exogenous full-length tau
fibrils to seed intracellular tau in HEK293 biosensor cells into amyloid. We also
raise the possibility that the two VQIINK structures represent amyloid polymorphs
of tau that may account for a subset of prion-like strains of tau.
PMID- 29359765
TI - Silicon chemistry in zero to three dimensions: from dichlorosilylene to
silafullerane.
AB - Silylenes and silanides, prominent Si(ii) species, are not only interesting in
their own right, but also constitute important building blocks in oligosilane and
organosilane chemistry. The past decade has witnessed tremendous advances in the
understanding of the ambiphilic behavior of silylenes and the nucleophilic
properties of silanides, as well as the mutual relationships between both
species. Especially the readily available SiCl2/[SiCl3]- system is intriguing,
because it features highly functionalized silicon centers, amenable to late-stage
modifications. Moreover, SiCl2 and [SiCl3]- are interconvertible by mere chloride
association/dissociation. This Feature Article first provides a brief
introduction to isolable (functionalized) silylenes and silanides and then
focusses on the SiCl2/[SiCl3]- couple. Classical high-temperature protocols for
the generation of SiCl2 are juxtaposed with convenient recent solution phase
methods that provide access to R3N-SiCl2 and [SiCl3]-via deprotonation of HSiCl3
or the amine-/chloride-induced disproportionation of Si2Cl6. We give a
comprehensive overview of key mechanistic issues and highlight the utility of R3N
SiCl2 and [SiCl3]- for the synthesis of open-chain and cyclic oligosilanes as
well as nanoscale, fullerene-type silicon clusters.
PMID- 29359766
TI - A lipid-based cell penetrating nano-assembly for RNAi-mediated anti-angiogenic
cancer therapy.
AB - Limited tumor tissue penetration is one of the key impeding factors retarding
successful in vivo exploitations of anti-angiogenic cancer therapy. Herein we
report on the design of a cell penetrating peptide-decorated lipid nano-assembly
which, upon systemic administration, induces significant mouse tumor growth
inhibition via enhanced tumor infiltration of encapsulated anti-angiogenic siRNA.
PMID- 29359767
TI - Computational studies on ground and excited state charge transfer properties of
peptidomimetics.
AB - Chemical modifications at various peptide positions result in peptidomimetics
with unique physical and chemical properties that can be used for a range of
applications. Among many peptidomimetics, ureidopeptides are interesting due to
their ability to act as donor-bridge-acceptor systems through which charge
transfer occurs in one direction and can be triggered by an electrochemical pulse
without perturbing the nuclear position. In this regard, some UP mimetics with
different chromophoric units are studied in this work to understand their role
using DFT based methods. Computational results and natural charge analysis
provide evidence for the extensive contribution of the substituents to the
excitation and hole migration dynamics. Further, the results show that the UP
backbone preserves its uni-directional charge transfer phenomenon from the ureido
to carboxylate terminal irrespective of the terminal groups and position.
However, the substituent affects the excitation energies and the time scales of
the hole migration. Among the substituents studied here, fluorine migrates to the
hole within a shorter time scale while phenyl groups take longer.
PMID- 29359770
TI - An ultra-small NiFe2O4 hollow particle/graphene hybrid: fabrication and
electromagnetic wave absorption property.
AB - Herein, ultra-small NiFe2O4 hollow particles, with the diameter and wall
thickness of only 6 and 1.8 nm, respectively, were anchored on a graphene surface
based on the nanoscale Kirkendall effect. The hybrid exhibits an excellent
electromagnetic wave absorption property, comparable or superior to that of most
reported absorbers. Our strategy may open a way to grow ultra-small hollow
particles on graphene for applications in many fields such as eletromagnetic wave
absorption and energy storage and conversion.
PMID- 29359771
TI - Correction: Rate constants, processivity, and productive binding ratio of
chitinase A revealed by single-molecule analysis.
AB - Correction for 'Rate constants, processivity, and productive binding ratio of
chitinase A revealed by single-molecule analysis' by Akihiko Nakamura et al.,
Phys. Chem. Chem. Phys., 2018, DOI: .
PMID- 29359772
TI - Ultrafine Co1-xS nanoparticles embedded in a nitrogen-doped porous carbon hollow
nanosphere composite as an anode for superb sodium-ion batteries and lithium-ion
batteries.
AB - Cobalt sulfides are attractive as intriguing candidates for anodes in SIBs and
LIBs owing to their unique chemical and physical properties. In this study, a
precursor of Co1-xS with a uniform and hollow nanospherical architecture is
obtained with a high yield via a mild solvothermal method in the presence of 2
methylimidazole at first. Then, Co1-xS, Co1-xS/C (ultrafine Co1-xS nanoparticles
embedded in the shells of the nitrogen-doped porous carbon hollow nanosphere),
and Co1-xS@C (Co1-xS nanoparticles entirely covered by an external amorphous
carbon layer) were selectively fabricated via direct calcination or PPy coating &
calcination of the obtained precursor. Co1-xS/C shows best electrochemical
performance than the other two materials as anodes for sodium-ion batteries
(SIBs). Besides the excellent rate performance, a high reversible discharge
capacity of 320 mA g-1 can be retained after 130 cycles at 1 A g-1. The
impressive performance may be attributed to the unique structure, higher
conductivity, and more active sites of Co1-xS/C. In addition, 559 mA h g-1 was
maintained after 100 cycles at 500 mA g-1 when the Co1-xS/C composite was applied
as an anode in lithium-ion batteries (LIBs). The high reversible capacity,
excellent cycle stability combined with the facile synthesis procedure render Co1
xS/C a prospective anode material for rechargeable batteries.
PMID- 29359775
TI - Correction: Escape of anions from geminate recombination in THF due to charge
delocalization.
AB - Correction for 'Escape of anions from geminate recombination in THF due to charge
delocalization' by Hung-Cheng Chen et al., Phys. Chem. Chem. Phys., 2017, 19,
32272-32285.
PMID- 29359776
TI - Solvent-sensitive signs and magnitudes of circularly polarised luminescence and
circular dichroism spectra: probing two phenanthrenes as emitters endowed with
BINOL derivatives.
AB - A C2-symmeric binaphthyl framework bearing phenanthrene as the emitter exhibited
circularly polarised luminescence (CPL) in dilute solutions. The CPL and circular
dichroism signs of the luminophores were altered by solvents (chloroform,
methanol, acetonitrile, and dimethylformamide). DFT and TD-DFT calculations
indicated that the dihedral angle between the phenanthrene and naphthyl rings was
responsible for the apparent sign inversion. The role of solvent molecules in the
ground and photoexcited states was discussed based on Hansen solubility
parameters (delta, deltad, deltap and deltah).
PMID- 29359777
TI - Correction: Model of protocell compartments - dodecyl hydrogen sulfate vesicles.
AB - Correction for 'Model of protocell compartments - dodecyl hydrogen sulfate
vesicles' by Bin Liu et al., Phys. Chem. Chem. Phys., 2018, DOI: .
PMID- 29359778
TI - 808 nm excited energy migration upconversion nanoparticles driven by a Nd3+
Trinity system with color-tunability and superior luminescence properties.
AB - We have developed energy migration upconversion (EMU) nanoparticles (UCNPs) with
optimal Nd3+-sensitization under excitation of an 808 nm laser to avoid over
heating effects caused by a 980 nm laser while maximizing the excitation
efficiency. To realize efficient 808 nm sensitization, a "Nd3+-Trinity system"
was implemented in the energy migration upconversion (EMU) cores
(NaGdF4:Yb,Tm@NaGdF4:Yb,X, X = Eu/Tb), resulting in a core-multishell structure
of EMU cores (accumulation layer@activation layer)@transition layer@harvest
layer@activation layer. The spatially separated dopants and optimized Yb3+/Nd3+
content effectively prevented severe quenching events in the UCNPs and their Nd3+
sensitized EMU-based photoluminescence mechanism was studied under 808 nm
excitation. These Nd3+-Trinity EMU system UCNPs presented enhanced upconversion
luminescence and prolonged lifetime compared to the 980 nm excited UCNPs of the
EMU system. It is proposed that 975 nm and 1056 nm NIR photons induced from the
Nd3+ -> Yb3+ energy transfer facilitate the Tm3+ accumulation process due to the
matched energy gaps, which contributes to the extended lifetimes. More
importantly, the synthesized UCNPs had a small average size of sub-15 nm and they
not only exhibited color-tunability via Eu3+/Tb3+ activators, but also released a
larger portion of Tm3+ red emission at 647 nm and had better penetration ability
in water under 808 nm excitation, which are favorable for bioimaging
applications.
PMID- 29359780
TI - Correction: Planar vs. three-dimensional X62-, X2Y42-, and X3Y32- (X, Y = B, Al,
Ga) metal clusters: an analysis of their relative energies through the turn
upside-down approach.
AB - Correction for 'Planar vs. three-dimensional X62-, X2Y42-, and X3Y32- (X, Y = B,
Al, Ga) metal clusters: an analysis of their relative energies through the turn
upside-down approach by Ouissam El Bakouri et al., Phys. Chem. Chem. Phys., 2016,
18, 21102-21110.
PMID- 29359781
TI - Generating plasmonic heterostructures by cation exchange and redox reactions of
covellite CuS nanocrystals with Au3+ ions.
AB - We demonstrate the fabrication of various types of heterostructures, including
core-shells and dimers. This is achieved by reacting platelet-shaped covellite
(CuS) nanocrystals (NCs) with Au3+ ions under various reaction conditions: the
exposure of CuS NCs to Au3+ ions, in the presence or in the absence of ascorbic
acid (AA), leads to the formation of CuS@Au core-shell nanostructures; the
reaction of CuS NCs with Au3+ ions in the presence of oleylamine (OM) leads to
the formation of CuS@Au2S; the presence of both OM and AA leads to the formation
of Au/CuS dimers. Depending on which condition is chosen, either cation exchange
(CE) between gold and copper ions is predominant (leading to amorphous Au2S) or
the reduction of Au3+ leads to the nucleation of metallic Au domains (which are
operated by the AA). In the heterostructures achieved by CE, the Au2S shell is
almost entirely amorphous, and can be converted to polycrystalline upon electron
beam irradiation. Finally, when both oleylamine and AA are present in the
reaction environment, Au/CuS dimers are formed due to the reduction of Au3+ to
metallic Au domains which nucleate on top of the CuS seeds. The experimental dual
plasmonic bands of the CuS@Au core-shells and Au/CuS dimers are in agreement with
the theoretical optical simulations. The procedures described here enable the
synthesis of core-shell nanostructures with tunable localized surface plasmon
resonances (LSPRs) in the near-infrared (NIR) region, and of plasmonic
metal/semiconductor heterostructures with LSPRs in both the NIR and the visible
regions.
PMID- 29359782
TI - Suppression of human breast cancer cells by tectorigenin through downregulation
of matrix metalloproteinases and MAPK signaling in vitro.
AB - Breast cancer is a major life-threatening malignancy and is the second highest
cause of mortality. The aim of the present study was to investigate the effects
of tectorigenin (Tec), a Traditional Chinese Medicine, against human breast
cancer cells in vitro. MDA-MB-231 and MCF-7 human breast cancer cells were
treated with various concentrations of Tec. Cell proliferation was evaluated
using the Cell Counting kit-8 assay, and apoptosis and the cell cycle were
examined by flow cytometry. The migratory and invasive abilities of these cells
were detected by Transwell and Matrigel assays, respectively. Metastasis-,
apoptosis- and survival-related gene expression levels were measured by reverse
transcription-quantitative polymerase chain reaction and western blotting. The
results indicated that Tec was able to inhibit the proliferation of MDA-MB-231
and MCF-7 cells in a dose- and time-dependent manner. Furthermore, Tec treatment
induced apoptosis and G0/G1-phase arrest, and inhibited cell migration and
invasion. Tec treatment decreased the expression of matrix metalloproteinase
(MMP)-2, MMP9, BCL-2, phosphorylated-AKT and components of the mitogen-activated
protein kinase (MAPK) signaling pathway, and increased the expression of BCL-2
associated X, cleaved poly [ADP-ribose] polymerase and cleaved caspase-3. In
conclusion, Tec treatment suppressed human breast cancer cells through the
downregulation of AKT and MAPK signaling and the upregulated expression and/or
activity of the caspase family in vitro. Therefore, Tec may be a potential
therapeutic drug to treat human breast cancer.
PMID- 29359783
TI - Aberrant methylation of HTATIP2 and UCHL1 as a predictive biomarker for
cholangiocarcinoma.
AB - Cholangiocarcinoma (CCA) is the most common primary liver cancer in Northeastern
Thailand where liver fluke infection is highly endemic. Although aberrant DNA
methylation in CCA has been reported by several investigators, little is known
regarding the associations between them. In the present study, the results
obtained from our previously published methylation array were analyzed and 10
candidate genes involved in DNA repair [protein phosphatase 4 catalytic subunit
(PPP4C)], apoptosis [runt related transcription factor 3 (RUNX3), interferon
regulatory factor 4 (IRF4), ubiquitin C-terminal hydrolase L1 (UCHL1) and tumor
protein p53 inducible protein 3 (TP53I3)], cell proliferation [cyclin D2 (CCND2)
and Ras association domain family member 1 (RASSF1)], drug metabolism [aldehyde
dehydrogenase 1 family member A3 (ALDH1A3) and solute carrier family 29 member 1
(SLC29A1)] and angiogenesis [human immunodeficiency virus-1 tat interactive
protein 2 (HTATIP2)] were selected for quantification of their methylation levels
in 54 CCA and 19 adjacent normal tissues using methylation-sensitive high
resolution melting. The associations between the methylation status of the
individual genes and clinical parameters were statistically analyzed. High
methylation levels were observed in UCHL1, IRF4, CCND2, HTATIP2 and TP53I3. The
median methylation level of UCHL1 was 57.3% (range, 3.15 to 88.7%) and HTATIP2
was 13.6% (range, 7.5 to 36.7%). By contrast, low methylation of HTATIP2 and
UCHL1 was identified in adjacent normal tissues. The methylation status of
HTATIP2 and UCHL1 was associated with patients' overall survival. CCA patients
with high methylation of HTATIP2 and low methylation of UCHL1 exhibited longer
overall survival. In addition, multivariate Cox regression analysis demonstrated
that UCHL1 methylation was an independent factor for CCA with hazard ratio of
1.81 (95% confidence interval, 1.01-3.25) in high methylation group. The
combination of HTATIP2 and UCHL1 methylation status strongly supported their
potential predictive biomarker in which patients with CCA who had high
methylation of HTATIP2 and low methylation of UCHL1 showed longer overall
survival than those with low HTATIP2 methylation and high UCHL1 methylation. In
conclusion, the present study revealed the value of aberrant DNA methylation of
HTATIP2 and UCHL1, which may serve as a potential predictive biomarker for CCA.
PMID- 29359784
TI - Puerarin protects endothelial progenitor cells from damage of angiotensin II via
activation of ERK1/2-Nrf2 signaling pathway.
AB - Endothelial progenitor cell (EPC) dysfunction is associated with the formation of
carotid atherosclerosis. It has been demonstrated that angiotensin II (Ang II)
may impair the function of EPCs and puerarin, a natural product, possesses
cardiovascular protective effects against oxidative stress and inflammation.
Therefore, the present study aimed to investigate the beneficial effects of
puerarin in Ang II-induced EPC injury, and to elucidate the underlying
mechanisms. Treatment with Ang II suppressed EPC proliferation and migration,
increased the expression of the senescence marker beta-galactosidase, and the
adhesion molecules intracellular adhesion molecule-1 and vascular cell adhesion
molecule-1. However, the above effects were markedly alleviated by treatment with
puerarin in a dose-dependent manner (1, 10 and 100 uM). In addition, Ang II
significantly increased reactive oxygen species production and the levels of the
inflammatory cytokine tumor necrosis factor-alpha and interleukin-6. Notably,
these effects were reversed by puerarin. However, it was identified that the
impaired EPC functions were due to inhibition of the phosphorylation of
extracellular signal-regulated kinase 1 and 2 (ERK1/2) and the degradation of
nuclear factor erythroid 2 like 2 (Nrf2), and treatment with puerarin activated
the ERK1/2-Nrf2 signaling pathway. The results of the present study indicated
that puerarin protected Ang II-induced EPC dysfunction via activation of the
ERK1/2-Nrf2 signaling pathway.
PMID- 29359785
TI - The association between an endothelial nitric oxide synthase gene polymorphism
and coronary heart disease in young people and the underlying mechanism.
AB - With the development of molecular biological technology, the association between
genes and diseases has drawn increasing attention of researchers; the endothelial
nitric oxide synthase (eNOS) gene has been reported to be a candidate gene for
cardiovascular disease (CHD). The present study aimed to investigate the
association between a polymorphism of eNOS and the risk of CHD in young people
(<=40 years old), in addition to the underlying mechanism. A total of 234 cases
of CHD in young individuals were collected as the CHD group and 228 cases of
healthy individuals as the control group. Peripheral blood was collected and the
genotype of the eNOS G894T polymorphism was identified by polymerase chain
reaction-restriction fragment length polymorphism, the gene frequency was
calculated and the distributions of genotype and allele frequency between the two
groups were compared. Bioinformatics tools were employed to analyze the
differences in the local protein structures of the eNOS G894T polymorphism and
the biological mechanism was preliminary discussed. The results demonstrated that
there were significant differences in the distribution of genotype frequency and
allele frequency of the eNOS G894T gene polymorphism between the CHD group and
control group (P<0.05). The risk of CHD in GT and TT genotypes were higher
compared with the GG genotype (P<0.05). The G894T polymorphism led to Glu298Asp
mutation of encoded protein, which is within the active site of eNOS, and partial
structures of the protein were converted from random coil to alpha-helix. In
conclusion, the eNOS G894T gene polymorphism was associated with the occurrence
and development of CHD in young people. The potential mechanism is that the G894T
polymorphism leads to altered protein structure, which affects the function of
eNOS in generating nitric oxide and cardiovascular diastole. The results of the
present study suggested a potential target gene for the prevention and treatment
of CHD in young people (<=40 years old).
PMID- 29359786
TI - Uric acid upregulates the adiponectin-adiponectin receptor 1 pathway in renal
proximal tubule epithelial cells.
AB - Adiponectin (APN) is a protein hormone that is primarily derived from adipocytes.
It can also be secreted by renal cells. Hypoadiponectinemia has been documented
in patients with hyperuricemia, however, whether soluble uric acid (SUA)
regulates the expression of APN and APN receptor 1 (AdipoR1) in renal proximal
tubule epithelial cells (PTECs) remains to be elucidated. The present study
investigated the expression of APN and AdipoR1 in cultured PTECs that were
exposed to SUA through immunofluorescence and western blot analysis. In addition,
Sprague-Dawley rats with oxonic acid-induced hyperuricemia (HUA) with or without
febuxostat treatment were employed as an animal model to measure 24 h urine
protein, serum creatinine, urea nitrogen, uric acid and homeostasis model
assessment of insulin resistance. Renal pathology was evaluated using hematoxylin
and eosin and immunohistochemical staining. APN and AdipoR1 expression in the
renal cortex were evaluated by western blotting. The results demonstrated that,
in PTECs, the expression of APN and AdipoR1 was constant and increased upon SUA
exposure. Similar observations were made within the proximal renal tubules of
rats, and the oxonic acid-induced increases in APN and AdipoR1 were offset by
febuxostat treatment. Furthermore, SUA-treated PTECs exhibited an increase in the
expression of NLR family pyrin domain-containing (NLRP) 3, which was dose
dependent. NLRP3 expression was also significantly increased in the renal cortex
of HUA rats compared with control and febuxostat-treated rats. In conclusion, SUA
enhanced the expression of APN and AdipoR1 in PTECs, which was associated with an
increase in NLRP3 expression. The APN-AdipoR1 pathway was demonstrated to have an
important role in in vitro and in vivo models of renal proximal tubule
inflammatory injury. Therefore, this pathway may be a potential therapy target in
urate nephropathy.
PMID- 29359787
TI - The differential effect of basic fibroblast growth factor and stromal cell
derived factor-1 pretreatment on bone morrow mesenchymal stem cells osteogenic
differentiation potency.
AB - In situ tissue engineering has become a novel strategy to repair periodontal/bone
tissue defects. The choice of cytokines that promote the recruitment and
proliferation, and potentiate and maintain the osteogenic differentiation ability
of mesenchymal stem cells (MSCs) is the key point in this technique. Stromal cell
derived factor-1 (SDF-1) and basic fibroblast growth factor (bFGF) have the
ability to promote the recruitment, and proliferation of MSCs; however, the
differential effect of SDF-1 and bFGF pretreatment on MSC osteogenic
differentiation potency remains to be explored. The present study comparatively
observed osteogenic differentiation of bone morrow MSCs (BMMSCs) pretreated by
bFGF or SDF-1 in vitro. The gene and protein expression levels of alkaline
phosphatase (ALP), runt related transcription factor 2 (Runx-2) and bone
sialoprotein (BSP) were detected using reverse transcription-quantitative
polymerase chain reaction and western blotting. The results showed that the
expression of ALP mRNA on day 3, and BSP and Runx-2 mRNA on day 7 in the bFGF
pretreatment group was significantly higher than those in SDF-1 pretreatment
group. Expression levels of Runx-2 mRNA, and ALP and Runx-2 protein on day 3 in
the SDF-1 pretreatment group were higher than those in the bFGF pretreatment
group. However, there was no significant difference in osteogenic differentiation
ability on day 14 and 28 between the bFGF- or SDF-1-pretreatment groups and the
control. In conclusion, bFGF and SDF-1 pretreatment inhibits osteogenic
differentiation of BMMSCs at the early stage, promotes it in the medium phase,
and maintains it in the later stage during osteogenic induction, particularly at
the mRNA level. Out of the two cytokines, bFGF appeared to have a greater effect
on osteogenic differentiation.
PMID- 29359789
TI - Glutamine synthetase gene knockout-human embryonic kidney 293E cells for stable
production of monoclonal antibodies.
AB - Previously, it was inferred that a high glutamine synthetase (GS) activity in
human embryonic kidney (HEK) 293E cells results in elevated resistance to
methionine sulfoximine (MSX) and consequently hampers GS-mediated gene
amplification and selection by MSX. To overcome this MSX resistance in HEK293E
cells, a GS-knockout HEK293E cell line was generated using the CRISPR/Cas9 system
to target the endogenous human GS gene. The GS-knockout in the HEK293E cell line
(RK8) was confirmed by Western blot analysis of GS and by observation of
glutamine-dependent growth. Unlike the wild type HEK293E cells, the RK8 cells
were successfully used as host cells to generate a recombinant HEK293E cell line
(rHEK293E) producing a monoclonal antibody (mAb). When the RK8 cells were
transfected with the GS expression vector containing the mAb gene, rHEK293E cells
producing the mAb could be selected in the absence as well as in the presence of
MSX. The gene copies and mRNA expression levels of the mAb in rHEK293E cells were
also quantified using qRT-PCR. Taken together, the GS-knockout HEK293E cell line
can be used as host cells to generate stable rHEK293E cells producing a mAb
through GS-mediated gene selection in the absence as well as in the presence of
MSX.
PMID- 29359788
TI - MicroRNA expression profiles in benign prostatic hyperplasia.
AB - Although alterations in microRNA (miRNA) expression have been previously
investigated prostate cancer, the expression of miRNAs specifically in benign
prostate hyperplasia (BPH) of the prostatic stroma remains to be fully
elucidated. In the present study, miRNAs and gene expression profiles were
investigated using microarray analysis and reverse transcription quantitative
polymerase chain reaction (RT-qPCR) in BPH tissue to clarify the associations
between miRNA expression and target genes. Prostate tissue samples from five
patients with BPH and five healthy men were analyzed using human Affymetrix miRNA
and mRNA microarrays and differentially expressed miRNAs were validated using RT
qPCR with 30 BPH and 5 healthy control samples. A total of 8 miRNAs, including
miRNA (miR)-96-5p, miR-1271-5p, miR-21-3p, miR-96-5p, miR-181a-5p, miR-143-3p,
miR-4428 and miR-106a-5p were upregulated and 8 miRNAs (miR-16-5p, miR-19b-5p,
miR-940, miR-25, miR-486-3p, miR-30a-3p, let-7c and miR-191) were downregulated.
Additionally, miR-96-5p was demonstrated to have an inhibitory effect on the mRNA
expression levels of the following genes: Mechanistic target of rapamycin (MTOR),
RPTOR independent companion of MTOR complex 2, syntaxin 10, autophagy-related
protein 9A, zinc finger E-box binding homeobox 1, caspase 2 and protein kinase c
epsilon. Additionally, 16 differentially expressed miRNAs were identified using
RT-qPCR analysis. This preliminary study provides a solid basis for a further
functional study to investigate the underlying regulatory mechanisms of BPH.
PMID- 29359790
TI - Pre- and post-transplant minimal residual disease predicts relapse occurrence in
children with acute lymphoblastic leukaemia.
AB - Relapse remains the leading cause of treatment failure in children with acute
lymphoblastic leukaemia (ALL) undergoing allogeneic haematopoietic stem cell
transplantation (HSCT). We retrospectively investigated the prognostic role of
minimal residual disease (MRD) before and after HSCT in 119 children transplanted
in complete remission (CR). MRD was measured by polymerase chain reaction in bone
marrow samples collected pre-HSCT and during the first and third trimesters after
HSCT (post-HSCT1 and post-HSCT3). The overall event-free survival (EFS) was 50%.
The cumulative incidence of relapse and non-relapse mortality was 41% and 9%. Any
degree of detectable pre-HSCT MRD was associated with poor outcome: EFS was 39%
and 18% in patients with MRD positivity <1 * 10-3 and >=1 * 10-3 , respectively,
versus 73% in MRD-negative patients (P < 0.001). This effect was maintained in
different disease remissions, but low-level MRD had a very strong negative impact
only in patients transplanted in second or further CR. Also, MRD after HSCT
enabled patients to be stratified, with increasing MRD between post-HSCT1 and
post-HSCT3 clearly defining cohorts with a different outcome. MRD is an important
prognostic factor both before and after transplantation. Given that MRD
persistence after HSCT is associated with dismal outcome, these patients could
benefit from early discontinuation of immunosuppression, or pre-emptive immuno
therapy.
PMID- 29359791
TI - Insights on the structure-activity relationship of peptides derived from
Sticholysin II.
AB - Sticholysin II (StII) is a pore-forming actinoporin from the sea anemone
Stichodactyla helianthus. A mechanistic model of its action has been proposed:
proteins bind to cell membrane, insert their N-termini into the lipid core and
assemble into homo-tetramer pores responsible for host-cell death. Because very
likely the first 10 residues of StII N-terminus are critical for membrane
penetration, to dissect the molecular details of that functionality, we studied
two synthetic peptides: StII1-30 and StII16-35 . They show diverse haemolytic and
candidacidal activity that correlate with distinct orientations in SDS micelles.
NMR shows that StII1-30 partly inserts into the micelle, while StII16-35 lays on
the micelle surface. These results justify the diverse concentration dependence
of their candidacidal activity supposing a different mechanism of action and
providing new hints on StII lytic activity at molecular level. Biotechnological
application of these peptides, focused on the development of therapeutic
immunocomplexes, may be envisaged.
PMID- 29359792
TI - PDCD1 and CTLA4 polymorphisms affect the susceptibility to, and clinical features
of, chronic immune thrombocytopenia.
AB - Programmed death-1 (PD-1, PDCD1) and cytotoxic T lymphocyte-associated antigen-4
(CTLA-4, CTLA4) play central roles in immune checkpoint pathways. Single
nucleotide polymorphisms (SNPs) of PDCD1 and CTLA4 have been reported to be
associated with susceptibility to some autoimmune diseases. However, the
potential association between SNPs in these immune checkpoint genes and risk of
chronic immune thrombocytopenia (cITP) remain controversial and obscure. The aims
of this study were to clarify the influence of PDCD1 and CTLA4 SNPs on the risk
of developing cITP and its clinical features. We obtained genomic DNA from 119
patients with cITP and 223 healthy controls; their genotypes were determined by
the polymerase chain reaction-restriction fragment length polymorphism (PCR-RFLP)
method. Patients with cITP had a significantly higher frequency of the PDCD1
+7209 TT genotype compared with healthy controls. The CTLA4 -1577 GG genotype and
CT60 GG genotype showed higher frequencies of platelet count <5 * 109 /l at
diagnosis, minimum platelet count <5 * 109 /l, and bleeding symptoms. Moreover,
the PDCD1 -606 AA genotype and +63379 TT genotype were significantly associated
with a lower number of patients who achieved a complete response to prednisolone
treatment. Our results suggest that the immune checkpoint polymorphisms may
affect the susceptibility to the clinical features of cITP, and treatment
response of the affected patients.
PMID- 29359793
TI - Correlates of students' internalization and defiance of classroom rules: A self
determination theory perspective.
AB - BACKGROUND: It is generally accepted that well-established classroom rules
prevent problem behaviour, while also supporting students' achievement gains.
Yet, there might be considerable variability in students' underlying motives to
comply or refrain from complying with classroom rules, with some students
adhering to them because they fully accept them as their own, and others feeling
compelled by external or internal demands to do so or even defying the rules
altogether. AIMS: Grounded in self-determination theory, this study aimed to
examine whether students' reasons for following (i.e., internalization) and for
refraining from following (i.e., defiance) classroom rules differentially and
uniquely predict student outcomes, including feelings of resentment, acting out,
cheating, and truancy. SAMPLE: A total of 1006 students (46.7% boys; M = 14.18
years +/- 1.73) out of 56 different secondary school classes participated in the
study. METHODS: Students were invited to fill out an online survey about
experiences with their head teacher. RESULTS: For three out of four outcomes,
identified regulation and external regulation to follow classroom rules were
found to be, respectively, negatively and positively related, whereas a null
relationship with introjected rule following was found. Controlled non-rule
following was most strongly predictive of maladaptive functioning, as indexed by
more feelings of resentment, acting out, cheating, and truancy. CONCLUSIONS:
Whereas students' ownership of rules is critical to prevent classroom
misbehaviour, their pressured non-adherence is a risk factor.
PMID- 29359794
TI - Association of EZH2 protein expression by immunohistochemistry in myelodysplasia
related neoplasms with mutation status, cytogenetics and clinical outcomes.
PMID- 29359795
TI - Recent advances in developing specific therapies for haemophilia.
AB - Haemophilia therapy has undergone very rapid evolution in the last 10 years. The
major limitation of current replacement therapy is the short half-life of factors
VIII and IX. These half-lives have been extended by the addition of various
moieties, allowing less frequent infusion regimens. Entirely novel approaches
have also entered the clinic, including a bispecific antibody that mimics factor
VIII and strategies that rebalance the haemostatic mechanism by reducing
antithrombin through inhibition of synthesis. These two treatments are available
by subcutaneous injection at infrequent intervals and both can be used in
patients with neutralising antibodies (inhibitors). Finally, a cure may be on the
horizon with preliminary evidence of success for gene therapy in haemophilia B
and A.
PMID- 29359796
TI - The DiPEP (Diagnosis of PE in Pregnancy) biomarker study: An observational cohort
study augmented with additional cases to determine the diagnostic utility of
biomarkers for suspected venous thromboembolism during pregnancy and puerperium.
AB - This study aimed to estimate the diagnostic utility of biomarkers for suspected
venous thromboembolism (VTE) in pregnancy and the puerperium. Research
nurses/midwives collected blood samples from 310 pregnant/postpartum women with
suspected pulmonary emboli (PE) and 18 with diagnosed deep vein thrombosis (DVT).
VTE was diagnosed using imaging, treatment and adverse outcome data. Primary
analysis was limited to women with conclusive imaging (36 with VTE, 247 without).
The area under the curve (AUC) for each biomarker was: activated partial
thromboplastin time 0.669 (95% confidence interval 0.570-0.768), B-type
natriuretic peptide 0.549 (0.453-0.645), C-reactive protein 0.542 (0.445-0.639),
Clauss fibrinogen 0.589 (0.476-0.701), D-Dimer (by enzyme-linked immunosorbent
assay) 0.668 (0.561-0.776), near-patient D-Dimer 0.651 (0.545-0.758), mid
regional pro-atrial natriuretic peptide 0.524 (0.418-0.630), prothrombin fragment
1 + 2 0.562 (0.462-0.661), plasmin-antiplasmin complexes 0.639 (0.536-0.742),
prothombin time 0.613 (0.508-0.718), thrombin generation lag time 0.702 (0.598
0.806), thrombin generation endogenous potential 0.559 (0.437-0.681), thrombin
generation peak 0.596 (0.478-0.715), thrombin generation time to peak 0.655
(0.541-0.769), soluble tissue factor 0.531 (0.424-0.638) and serum troponin 0.597
(0.499-0.695). No diagnostically useful threshold for diagnosing or ruling out
VTE was identified. In pregnancy and the puerperium, conventional and candidate
biomarkers have no utility either for their negative or positive predictive value
in the diagnosis of VTE.
PMID- 29359797
TI - Ibrutinib resistance in mantle cell lymphoma: clinical, molecular and treatment
aspects.
AB - Mantle cell lymphoma (MCL) is a lymphoproliferative disorder comprising about 6
10% of all B cell lymphoma cases. Ibrutinib is an inhibitor of Bruton tyrosine
kinase (BTK), a key component of early B-cell receptor (BCR) signalling pathways.
Although treatment with ibrutinib has significantly improved the outcome of MCL
patients, approximately one-third of the patients have primary drug resistance
while others appear to develop acquired resistance. Understanding the molecular
events leading to the primary and acquired resistance to ibrutinib is essential
for achieving better outcomes in patients with MCL. In this review, we describe
the biology of the BCR signalling pathway and summarize the landmark clinical
trials that have led to the approval of ibrutinib. We review the molecular
mechanisms underlying primary and acquired ibrutinib resistance as well as recent
studies dealing with overcoming ibrutinib resistance.
PMID- 29359798
TI - Early minimal residual disease assessment after AML induction with fludarabine,
cytarabine and idarubicin (FLAI) provides the most useful prognostic information.
PMID- 29359799
TI - Identification of sphingosine kinase 1 as a therapeutic target in B-lineage acute
lymphoblastic leukaemia.
PMID- 29359800
TI - WT1 mRNA level reflects disease changes and progression of myelodysplastic
syndromes patients with 'stable disease'.
PMID- 29359801
TI - Parent-reported health-related quality of life of children with Down syndrome: a
descriptive study.
AB - AIM: To describe health-related quality of life of Australian children and
adolescents with Down syndrome and compare it with norm-referenced data. METHOD:
A cross-sectional survey was conducted with parents of 75 children and
adolescents (43 males, 32 females) with Down syndrome aged 5 to 18 years (mean
age 13y 2mo, SD 4y 8mo). The proxy-report KIDSCREEN-27 questionnaire was
administered and five dimensions of health-related quality of life were measured.
Data were analysed descriptively and compared with normative data. RESULTS: Total
group mean scores for psychological well-being, autonomy and parent relation, and
school environment dimensions were within normal threshold values, whereas mean
scores for physical well-being, and social support and peers dimensions, were
poorer. For participants with Down syndrome aged 8 to 18 years, the difference
with normative data for proxy-reported physical well-being, psychological well
being, and social support and peers dimensions favoured typically developing
children. Adolescents (13-18y) with Down syndrome scored poorer on all dimensions
than children (5-12y) with Down syndrome. INTERPRETATION: Our findings assist a
better understanding of the lived experiences of children and adolescents with
Down syndrome, as perceived by their parents, and suggest aspects of health that
could be influenced to optimize their quality of life. WHAT THIS PAPER ADDS:
Proxy-reported psychological well-being and autonomy were within the normal range
for children with Down syndrome. Physical well-being and social support scores
were significantly lower than normative data. Proxy-reported scores for
adolescents with Down syndrome were consistently poorer than for children with
Down syndrome and the differences were clinically important.
PMID- 29359803
TI - Disruption of mammalian SWI/SNF and polycomb complexes in human sarcomas:
mechanisms and therapeutic opportunities.
AB - Soft-tissue sarcomas are increasingly characterized and subclassified by genetic
abnormalities that represent underlying drivers of their pathology. Hallmark
tumor suppressor gene mutations and pathognomonic gene fusions collectively
account for approximately one-third of all sarcomas. These genetic abnormalities
most often result in global transcriptional misregulation via disruption of
protein regulatory complexes which govern chromatin architecture. Specifically,
alterations to mammalian SWI/SNF (mSWI/SNF or BAF) ATP-dependent chromatin
remodeling complexes and polycomb repressive complexes cause disease-specific
changes in chromatin architecture and gene expression across a number of sarcoma
subtypes. Understanding the functions of chromatin regulatory complexes and the
mechanisms underpinning their roles in oncogenesis will be required for the
design and development of new therapeutic strategies in sarcomas. Copyright (c)
2018 Pathological Society of Great Britain and Ireland. Published by John Wiley &
Sons, Ltd.
PMID- 29359802
TI - Reduced orienting to audiovisual synchrony in infancy predicts autism diagnosis
at 3 years of age.
AB - BACKGROUND: Effective multisensory processing develops in infancy and is thought
to be important for the perception of unified and multimodal objects and events.
Previous research suggests impaired multisensory processing in autism, but its
role in the early development of the disorder is yet uncertain. Here, using a
prospective longitudinal design, we tested whether reduced visual attention to
audiovisual synchrony is an infant marker of later-emerging autism diagnosis.
METHODS: We studied 10-month-old siblings of children with autism using an eye
tracking task previously used in studies of preschoolers. The task assessed the
effect of manipulations of audiovisual synchrony on viewing patterns while the
infants were observing point light displays of biological motion. We analyzed the
gaze data recorded in infancy according to diagnostic status at 3 years of age
(DSM-5). RESULTS: Ten-month-old infants who later received an autism diagnosis
did not orient to audiovisual synchrony expressed within biological motion. In
contrast, both infants at low-risk and high-risk siblings without autism at
follow-up had a strong preference for this type of information. No group
differences were observed in terms of orienting to upright biological motion.
CONCLUSIONS: This study suggests that reduced orienting to audiovisual synchrony
within biological motion is an early sign of autism. The findings support the
view that poor multisensory processing could be an important antecedent marker of
this neurodevelopmental condition.
PMID- 29359804
TI - The effect of drought and nitrogen fertiliser addition on nitrate leaching risk
from a pasture soil; an assessment from a field experiment and modelling.
AB - BACKGROUND: A combination of field experiment and modelling tested the hypothesis
that dry summers increase the risk of nitrogen (N) leaching from pasture owing to
a combination of: soil N accumulation in a dry summer; slow recovery of drought
affected pasture in the autumn; and the resultant inefficient use of fertiliser N
by the pasture. RESULTS: In the experiment, pasture response to urea and apparent
N recovery in autumn after the drought was half that of irrigated pasture (7 vs
13 kg dry matter kg-1 N; 28 vs 52% apparent recovery; P < 0.05). There was more
soil mineral N at the start of drainage (P < 0.001) as a result of this
inefficient fertiliser N use. Modelling of pasture growth in six different
drought years demonstrated that subsequent N leaching risk after rewetting was
inversely related to pasture N uptake during rewetting in the autumn. CONCLUSION:
When the period between post-drought pasture recovery and the onset of drainage
is short, N leaching risk increases. Nitrogen leaching is determined by the type
of autumn (slow or fast growing conditions before drainage) and the amount of
fertiliser N applied. The latter can be managed by a farmer, but the former
cannot. (c) 2018 Society of Chemical Industry.
PMID- 29359805
TI - Biological evaluation and pharmacophore modeling of some benzoxazoles and their
possible metabolites.
AB - A series of benzoxazole derivatives and some possible primary metabolites were
evaluated as anticancer agents. In vitro anti-proliferative activities of the
compounds were tested using the SRB assay on cancerous (HeLa) and non-cancerous
(L929) cell lines. It was found that 17 of 21 tested compounds had cytotoxic
activity on HeLa cells and the cytotoxic activities of the compounds were 15-700
times higher than on L929 cells. We generated two distinct pharmacophore models
for the cytotoxic activities of the compounds on HeLa and L929 cells. While
active compounds such as camptothecin and X8 fitted the two models generated for
both cell lines, selective cytotoxic compounds such as XT3B fitted only the model
generated for HeLa cells. Evaluation of the genotoxic activities of the cytotoxic
compounds with the alkaline comet assay revealed that compounds X17 and XT3
showed strong genotoxic effects against HeLa cells at low concentrations whereas
they had no genotoxic effect on L929 cells. Due to the selective ability for
inducing DNA strand breaks only on cancerous cells, the compounds were identified
as effective derivatives for anticancer candidates.
PMID- 29359806
TI - The role of hypoxia-inducible factors in carotid body (patho) physiology.
AB - Hypoxia-inducible factors mediate adaptive responses to reduced O2 availability.
In patients with obstructive sleep apnoea, repeated episodes of hypoxaemia and
reoxygenation (intermittent hypoxia) are sensed by the carotid body (CB). The
ensuing CB chemosensory reflex activates the sympathetic nervous system and
increased secretion of catecholamines by the adrenal medulla, resulting in
hypertension and breathing abnormalities. In the CB, intermittent hypoxia induces
the formation of reactive oxygen species (ROS) and increased intracellular Ca2+
levels, which drive increased expression of hypoxia-inducible factor (HIF) 1alpha
and a decrease in the levels of HIF-2alpha. Intermittent hypoxia increases HIF
1alpha-dependent expression of Nox2, encoding the pro-oxidant enzyme NADPH
oxidase 2, and decreased HIF-2alpha-dependent expression of Sod2, encoding the
anti-oxidant enzyme superoxide dismutase 2. These changes in gene expression
drive persistently elevated ROS levels in the CB, brainstem, and adrenal medulla
that are required for the development of hypertension and breathing
abnormalities. The ROS generated by dysregulated HIF activity in the CB results
in oxidation and inhibition of haem oxygenase 2, and the resulting reduction in
the levels of carbon monoxide leads to increased hydrogen sulfide production,
triggering glomus cell depolarization. Thus, the pathophysiology of obstructive
sleep apnoea involves the dysregulation of O2 -regulated transcription factors,
gasotransmitters, and sympathetic outflow that affects blood pressure and
breathing.
PMID- 29359807
TI - Sympatholysis: the more we learn, the less we know.
PMID- 29359808
TI - Plant genetic resources for food and agriculture: opportunities and challenges
emerging from the science and information technology revolution.
AB - Contents Summary 1407 I. Introduction 1408 II. Technological advances and their
utility for gene banks and breeding, and longer-term contributions to SDGs 1408
III. The challenges that must be overcome to realise emerging R&D opportunities
1410 IV. Renewed governance structures for PGR (and related big data) 1413 V.
Access and benefit sharing and big data 1416 VI. Conclusion 1417 Acknowledgements
1417 ORCID 1417 References 1417 SUMMARY: Over the last decade, there has been an
ongoing revolution in the exploration, manipulation and synthesis of biological
systems, through the development of new technologies that generate, analyse and
exploit big data. Users of Plant Genetic Resources (PGR) can potentially leverage
these capacities to significantly increase the efficiency and effectiveness of
their efforts to conserve, discover and utilise novel qualities in PGR, and help
achieve the Sustainable Development Goals (SDGs). This review advances the
discussion on these emerging opportunities and discusses how taking advantage of
them will require data integration and synthesis across disciplinary,
organisational and international boundaries, and the formation of multi
disciplinary, international partnerships. We explore some of the institutional
and policy challenges that these efforts will face, particularly how these new
technologies may influence the structure and role of research for sustainable
development, ownership of resources, and access and benefit sharing. We discuss
potential responses to political and institutional challenges, ranging from
options for enhanced structure and governance of research discovery platforms to
internationally brokered benefit-sharing agreements, and identify a set of broad
principles that could guide the global community as it seeks or considers
solutions.
PMID- 29359809
TI - Use of two-dimensional speckle tracking echocardiography to predict cardiac
events: Comparison of patients with acute myocardial infarction and chronic
coronary artery disease.
AB - BACKGROUND: Two-dimensional speckle strain (2D STE) echocardiography can aid in
the prognosis of acute myocardial infarction (AMI) and chronic coronary artery
disease (CAD). HYPOTHESIS: Differences occur in the prediction of cardiac events
using 2D STE in AMI vs CAD patients. METHODS: In this prospective study, 94
patients with a first AMI and successful revascularization, and 137 patients with
stable CAD after complete revascularization were included. In all patients, we
performed echocardiography and myocardial deformation analysis for layer-specific
global circumferential strain (GCS) and longitudinal strain. Receiver operating
characteristic (ROC) curve analysis was used to predict the presence of a cardiac
event using strain values and baseline characteristics in different regression
models. RESULTS: Patients were followed for 3.6 +/- 0.8 years. Strain parameters
in AMI and CAD patients were significantly different with respect to the
occurrence of a cardiac event. Frequency of diabetes and hypertension was
associated with the presence of a cardiac event in CAD patients. Furthermore, in
CAD patients, ROC analysis demonstrated that the addition of endocardial GCS to
baseline characteristics and ejection fraction to a regression model
significantly improved the prediction of cardiac events (area under curve = 0.86,
cutoff value: 20%, sensitivity: 79%, specificity: 84%). In contrast, the addition
of strain parameters in AMI patients did not increase the prediction power for
cardiac events. CONCLUSIONS: Global strain parameters by 2D STE may be useful for
the prediction of cardiac events in patients with CAD but add no supplemental
information to baseline characteristic and ejection fraction in patients with
AMI.
PMID- 29359810
TI - Ectomycorrhization of Tricholoma matsutake with Quercus aquifolioides affects the
endophytic microbial community of host plant.
AB - Tricholoma matsutake (S. Ito et Imai) is an ectomycorrhizal basidiomycete
associated with Pinaceae and Fagaceae trees in the Northern Hemisphere. It is
still unknown whether the symbiotic relationship with this ectomycorrhiza could
affect the host plant's endophytic microbial community. In this study, we used
high throughput sequencing to analyze the endophytic microbial communities of
different Quercus aquifolioides tissues with or without T. matsutake partner.
About 35,000 clean reads were obtained per sample, representing 34 bacterial
phyla and 7 fungal phyla. We observed 3980 operational taxonomic units (OTUs) of
bacteria and 457 OTUs of fungi at a 97% similarity level. Three bacterial phyla,
Proteobacteria, Cyanobacteria, and Bacteroidetes, and the fungal phylum
Ascomycota were dominant in all tissues. The relative abundance of these taxa
differed significantly between Q. aquifolioides tissues with and without T.
matsutake partner (p < 0.05). The bacterial genus Pseudomonas and the fungal
genus Cryptosporiopsis were more abundant in mycorrhized roots than in control
roots. This study showed that the community structure and dominant species of
endophytic microbial communities in Q. aquifolioides tissues might be altered by
colonization with T. matsutake. This work provides a new insight into the
interactions between ectomycorrhizal fungus and host plant.
PMID- 29359811
TI - Rubisco is not really so bad.
AB - Ribulose-1,5-bisphosphate carboxylase/oxygenase (Rubisco) is the most widespread
carboxylating enzyme in autotrophic organisms. Its kinetic and structural
properties have been intensively studied for more than half a century. Yet
important aspects of the catalytic mechanism remain poorly understood, especially
the oxygenase reaction. Because of its relatively modest turnover rate (a few
catalytic events per second) and the competitive inhibition by oxygen, Rubisco is
often viewed as an inefficient catalyst for CO2 fixation. Considerable efforts
have been devoted to improving its catalytic efficiency, so far without success.
In this review, we re-examine Rubisco's catalytic performance by comparison with
other chemically related enzymes. We find that Rubisco is not especially slow.
Furthermore, considering both the nature and the complexity of the chemical
reaction, its kinetic properties are unremarkable. Although not unique to
Rubisco, oxygenation is not systematically observed in enolate and enamine
forming enzymes and cannot be considered as an inevitable consequence of the
mechanism. It is more likely the result of a compromise between chemical and
metabolic imperatives. We argue that a better description of Rubisco mechanism is
still required to better understand the link between CO2 and O2 reactivity and
the rationale of Rubisco diversification and evolution.
PMID- 29359812
TI - Novel insights into the disease dynamics of B-cell lymphomas in the Genomics Era.
AB - High-throughput sequencing has significantly contributed to revealing the
molecular underpinnings of B-cell lymphomagenesis and disease progression. It is
now a widely accepted concept that the diversity of clinical responses to front
line therapy and the development of relapsed/refractory disease are in part
explained by 'inter-patient' genetic heterogeneity measurable by individual sets
of somatic gene alterations in tumor genomes. Moreover, extensive 'intra-tumor'
heterogeneity on the genotypic and phenotypic levels is the product of ongoing
tumor evolution and adaptation to various selective pressures during cancer
initiation, progression, and therapeutic intervention. As the management of
disease progression remains one of the most significant clinical challenges, it
is becoming increasingly important to delineate how B-cell lymphomas evolve over
time and to develop progression-related biomarker assays. Toward this goal,
recent investigations have moved from studying lymphoma biology at initial
diagnosis to doing so at multiple time points during the disease course.
Profiling progressed tumors, and in particular paired biopsies at initial
diagnosis and disease progression of the same patients, has led to novel insights
into clonal tumor evolution and tumor microenvironment dynamics. This review
discusses the latest findings on genomic alterations and microenvironment biology
associated with relapsed/refractory B-cell lymphomas, with a particular emphasis
on alterations that are acquired or become more prevalent at disease progression.
We also describe overarching tumor evolution patterns, and highlight emerging
precision medicine methodologies that can aid in an improved understanding and
management of relapsed/refractory disease. Copyright (c) 2018 Pathological
Society of Great Britain and Ireland. Published by John Wiley & Sons, Ltd.
PMID- 29359813
TI - Clinical and prognostic relationships of pulmonary artery to aorta diameter ratio
in patients with heart failure: a cardiac magnetic resonance imaging study.
AB - BACKGROUND: The pulmonary artery (PA) distends as pressure increases. HYPOTHESIS:
The ratio of PA to aortic (Ao) diameter may be an indicator of pulmonary
hypertension and consequently carry prognostic information in patients with
chronic heart failure (HF). METHODS: Patients with chronic HF and control
subjects undergoing cardiac magnetic resonance imaging were evaluated. The main
PA diameter and the transverse axial Ao diameter at the level of bifurcation of
the main PA were measured. The maximum diameter of both vessels was measured
throughout the cardiac cycle and the PA/Ao ratio was calculated. RESULTS: A total
of 384 patients (mean age, 69 years; mean left ventricular ejection fraction,
40%; median NT-proBNP, 1010 ng/L [interquartile range, 448-2262 ng/L]) and 38
controls were included. Controls and patients with chronic HF had similar maximum
Ao and PA diameters and PA/Ao ratio. During a median follow-up of 1759 days
(interquartile range, 998-2269 days), 181 patients with HF were hospitalized for
HF or died. Neither PA diameter nor PA/Ao ratio predicted outcome in univariable
analysis. In a multivariable model, only age and NT-proBNP were independent
predictors of adverse events. CONCLUSIONS: The PA/Ao ratio is not a useful method
to stratify prognosis in patients with HF.
PMID- 29359814
TI - Resident cell lineages are preserved in pulmonary vascular remodeling.
AB - Pulmonary vascular remodeling is the main pathological hallmark of pulmonary
hypertension disease. We undertook a comprehensive and multilevel approach to
investigate the origin of smooth muscle actin-expressing cells in remodeled
vessels. Transgenic mice that allow for specific, inducible, and permanent
labeling of endothelial (Cdh5-tdTomato), smooth muscle (Acta2-, Myh11-tdTomato),
pericyte (Cspg4-tdTomato), and fibroblast (Pdgfra-tdTomato) lineages were used to
delineate the cellular origins of pulmonary vascular remodeling. Mapping the fate
of major lung resident cell types revealed smooth muscle cells (SMCs) as the
predominant source of cells that populate remodeled pulmonary vessels in chronic
hypoxia and allergen-induced murine models. Combining in vivo cell type-specific,
time-controlled labeling of proliferating cells with a pulmonary artery
phenotypic explant assay, we identified proliferation of SMCs as an underlying
remodeling pathomechanism. Multicolor immunofluorescence analysis showed a
preserved pattern of cell type marker localization in murine and human pulmonary
arteries, in both donors and idiopathic pulmonary arterial hypertension (IPAH)
patients. Whilst neural glial antigen 2 (chondroitin sulfate proteoglycan 4)
labeled mostly vascular supportive cells with partial overlap with SMC markers,
PDGFRalpha-expressing cells were observed in the perivascular compartment. The
luminal vessel side was lined by a single cell layer expressing endothelial
markers followed by an adjacent and distinct layer defined by SMC marker
expression and pronounced thickening in remodeled vessels. Quantitative flow
cytometric analysis of single cell digests of diverse pulmonary artery layers
showed the preserved separation into two discrete cell populations expressing
either endothelial cell (EC) or SMC markers in human remodeled vessels.
Additionally, we found no evidence of overlap between EC and SMC ultrastructural
characteristics using electron microscopy in either donor or IPAH arteries.
Lineage-specific marker expression profiles are retained during pulmonary
vascular remodeling without any indication of cell type conversion. The expansion
of resident SMCs is the major underlying and evolutionarily conserved paradigm of
pulmonary vascular disease pathogenesis. (c) 2018 The Authors. The Journal of
Pathology published by John Wiley & Sons Ltd on behalf of Pathological Society of
Great Britain and Ireland.
PMID- 29359815
TI - Understanding and predicting the diffusivity of organic compounds in
polydimethylsiloxane material for passive sampler applications using a simple
quantitative structure-property relationship model.
AB - The diffusivity of 145 compounds in polydimethylsiloxane (PDMS) material was
determined in the laboratory using a film stacking technique. The results were
pooled with available literature data, providing a final data set of 198
compounds with diffusivity (DPDMS ) spanning over approximately 5 log units. The
principal variables controlling the diffusivity of penetrants were investigated
by comparing DPDMS within and between different homologous series. The dipole
moment, molecular size, and flexibility of penetrants appear to be the most
prevalent factors controlling a compound's diffusivity. A nonlinear quantitative
structure-property relationship is proposed using as predicting variables the
molecular volume, the number of rotatable bonds, the topological polar surface
area, and the number of O and N atoms. The final relationship has a correlation
coefficient of R2 = 0.81 and a mean absolute error of 0.26 m2 s-1 (log unit),
approaching the average error for the experimentally determined values (0.12 m2 s
1 ). The model, based on a heuristic approach, is ready for use by analytical
chemists with no specific background in theoretical chemistry (notably for
passive sampler development). Environ Toxicol Chem 2018;37:1291-1300. (c) 2018
SETAC.
PMID- 29359816
TI - Multitasking Ska in Chromosome Segregation: Its Distinct Pools Might Specify
Various Functions.
AB - The human spindle and kinetochore associated (Ska) complex is required for proper
mitotic progression. Extensive studies have demonstrated its important functions
in both stable kinetochore-microtubule interactions and spindle checkpoint
silencing. We suggest a model to explain how various Ska functions might be
fulfilled by distinct pools of Ska at kinetochores. The Ndc80-loop pool of Ska is
recruited by the Ndc80 loop, or together with some of its flanking sequences, and
the recruitment is also dependent on Cdk1-mediated Ska3 phosphorylation. This
pool seems to play a more important role in silencing the spindle checkpoint than
stabilizing kinetochore-microtubule interactions. In contrast, the Ndc80-N
terminus pool of Ska is recruited by the N-terminal domains of Ndc80 and appears
to be more important for stabilizing kinetochore-microtubule interactions. Here,
we review and discuss the evidence that supports this model and suggest further
experiments to test the functioning mechanisms of the Ska complex.
PMID- 29359817
TI - New Layered Triazine Framework/Exfoliated 2D Polymer with Superior Sodium-Storage
Properties.
AB - The efficient synthesis of 2D polymers (2DPs) with tailorable structures and
properties is highly desired but remains a considerable challenge. Here, the
first solution synthesis of millimeter-size crystalline covalent triazine
frameworks (CTFs) with a clear lamellar structure, which can be exfoliated into
micrometer-size few-layer 2DP sheets via both micromechanical cleavage and liquid
sonication, is reported. The obtained CTFs or 2DPs show a unique staggered AB
stacking with a dominant pore size of ~0.6 nm, which is different from the common
eclipsed AA stacking in various covalent organic frameworks. The preference for
AB stacking is due to the specific interaction of triflic acid with CTFs as
revealed computationally. When explored as new polymeric anodes for sodium-ion
batteries, both crystalline bulk CTF and exfoliated 2DP exhibit very high
capacities (225 and 262 mA h g-1 at 0.1 A g-1 , respectively), impressive rate
capabilities (67 and 119 mA h g-1 at 5.0 A g-1 , respectively), and excellent
cycling stability (95% capacity retention after 1200 cycles) due to their robust
conjugated porous structure, outperforming most organic/polymeric sodium-ion
battery anodes ever reported.
PMID- 29359818
TI - The resolution of acyclic P-stereogenic phosphine oxides via the formation of
diastereomeric complexes: A case study on ethyl-(2-methylphenyl)-phenylphosphine
oxide.
AB - As an example of acyclic P-chiral phosphine oxides, the resolution of ethyl-(2
methylphenyl)-phenylphosphine oxide was elaborated with TADDOL derivatives, or
with calcium salts of the tartaric acid derivatives. Besides the study on the
resolving agents, several purification methods were developed in order to prepare
enantiopure ethyl-(2-methylphenyl)-phenylphosphine oxide. It was found that the
title phosphine oxide is a racemic crystal-forming compound, and the
recrystallization of the enantiomeric mixtures could be used for the preparation
of pure enantiomers. According to our best method, the (R)-ethyl-(2-methylphenyl)
phenylphosphine oxide could be obtained with an enantiomeric excess of 99% and in
a yield of 47%. Complete racemization of the enantiomerically enriched phosphine
oxide could be accomplished via the formation of a chlorophosphonium salt.
Characterization of the crystal structures of the enantiopure phosphine oxide was
complemented with that of the diastereomeric intermediate. X-ray analysis
revealed the main nonbonding interactions responsible for enantiomeric
recognition.
PMID- 29359819
TI - Low-Energy Electron-Induced Strand Breaks in Telomere-Derived DNA Sequences
Influence of DNA Sequence and Topology.
AB - During cancer radiation therapy high-energy radiation is used to reduce tumour
tissue. The irradiation produces a shower of secondary low-energy (<20 eV)
electrons, which are able to damage DNA very efficiently by dissociative electron
attachment. Recently, it was suggested that low-energy electron-induced DNA
strand breaks strongly depend on the specific DNA sequence with a high
sensitivity of G-rich sequences. Here, we use DNA origami platforms to expose G
rich telomere sequences to low-energy (8.8 eV) electrons to determine absolute
cross sections for strand breakage and to study the influence of sequence
modifications and topology of telomeric DNA on the strand breakage. We find that
the telomeric DNA 5'-(TTA GGG)2 is more sensitive to low-energy electrons than an
intermixed sequence 5'-(TGT GTG A)2 confirming the unique electronic properties
resulting from G-stacking. With increasing length of the oligonucleotide (i.e.,
going from 5'-(GGG ATT)2 to 5'-(GGG ATT)4 ), both the variety of topology and the
electron-induced strand break cross sections increase. Addition of K+ ions
decreases the strand break cross section for all sequences that are able to fold
G-quadruplexes or G-intermediates, whereas the strand break cross section for the
intermixed sequence remains unchanged. These results indicate that telomeric DNA
is rather sensitive towards low-energy electron-induced strand breakage
suggesting significant telomere shortening that can also occur during cancer
radiation therapy.
PMID- 29359820
TI - Terahertz Emission from Hybrid Perovskites Driven by Ultrafast Charge Separation
and Strong Electron-Phonon Coupling.
AB - Unusual photophysical properties of organic-inorganic hybrid perovskites have not
only enabled exceptional performance in optoelectronic devices, but also led to
debates on the nature of charge carriers in these materials. This study makes the
first observation of intense terahertz (THz) emission from the hybrid perovskite
methylammonium lead iodide (CH3 NH3 PbI3 ) following photoexcitation, enabling an
ultrafast probe of charge separation, hot-carrier transport, and carrier-lattice
coupling under 1-sun-equivalent illumination conditions. Using this approach, the
initial charge separation/transport in the hybrid perovskites is shown to be
driven by diffusion and not by surface fields or intrinsic ferroelectricity.
Diffusivities of the hot and band-edge carriers along the surface normal
direction are calculated by analyzing the emitted THz transients, with direct
implications for hot-carrier device applications. Furthermore, photogenerated
carriers are found to drive coherent terahertz-frequency lattice distortions,
associated with reorganizations of the lead-iodide octahedra as well as coupled
vibrations of the organic and inorganic sublattices. This strong and coherent
carrier-lattice coupling is resolved on femtosecond timescales and found to be
important both for resonant and far-above-gap photoexcitation. This study
indicates that ultrafast lattice distortions play a key role in the initial
processes associated with charge transport.
PMID- 29359821
TI - Biofunctionalized "Kiwifruit-Assembly" of Oxidoreductases in Mesoporous
ZnO/Carbon Nanoparticles for Efficient Asymmetric Catalysis.
AB - A mesoporous ZnO/carbon composite is designed for coimmobilization of two
oxidoreductases involving a novel "kiwifruit-assembly" pattern. The
coimmobilization of (S)-carbonyl reductase II-glucose dehydrogenase on
nanoparticles (SCRII-GDHnano ) exhibits 40-50% higher specific activity than the
free enzyme and significantly improves stabilities of enzymes to heat, pH and
solvents. It performs asymmetric catalysis of 75 * 10-3 m substrate with a
perfect yield of 100% and an excellent enantioselectivity of 99.9% within 1 h.
SCRII-GDHnano gives an over 72% yield and 99.9% enantioselectivity after it is
reused for ten times. Even with a highly concentrated (400 * 10-3 m) substrate,
it shows about 60% yield and 99.9% enantioselectivity within 4 h. SCRII-GDHnano
presents 4.5-8.0-fold higher productivity in 2.0-8.0-fold shorter reaction time
than the free enzyme. This work provides a general, facile, and unique approach
for the immobilization of two oxidoreductases and gives high catalytic
efficiency, long-term and good recycling stabilities by triggering radical proton
coupled electron transfer.
PMID- 29359822
TI - Switch-ON Near IR Fluorescent Dye Upon Protonation: Helically Twisted Bis(Boron
Difluoride) Complex of pi-Extended Corrorin.
AB - A novel helically twisted pi-extended corrorin derivative having two boron
dipyrrin (BODIPY) moieties at the periphery, a BODIPY DYEmer (6-BF2 ) cross
bridged with pi-conjugated dipyrrinylidene unit, was synthesized and
characterized. The neutral 6-BF2 is nonfluorescent due to the internal
photoinduced charge transfer (CT) character in the excited state as inferred from
the femtosecond transient absorption spectroscopy. However, upon protonation, the
CT process is suppressed and the species H6-BF2+ becomes near infrared (IR)
emissive. With the aid of rigid helical conformations in 6-BF2 , the helical
isomers (P- and M-forms) were resolved and their chiroptical property was
investigated. The distinct circular dichroism (CD) spectral changes of the
enantiomers were observed in the presence of acids, which demonstrates that 6-BF2
can be utilized for potential acid-responsive chiroptical materials.
PMID- 29359823
TI - Detection and characterization of colitis-associated cancer/dysplasia: Based on
reports from the JDDW 2017 and meta-analyses of prospective studies concerning
endoscopic procedure.
PMID- 29359824
TI - Evidence of Nitrogen Contribution to the Electronic Structure of the CH3 NH3 PbI3
Perovskite.
AB - Despite fast development of hybrid perovskite solar cells, there are many
fundamental questions related to the perovskite film which remain open. For
example, there are contradicting theoretical reports on the role of the organic
methylammonium cation (CH3 NH3+ ) in the methylammonium lead triiodide (CH3 NH3
PbI3 ) perovskite film. From one side it is reported that the organic cation does
not contribute to electronic structure of the CH3 NH3 PbI3 film. From the other
side, valence band maximum fluctuations, dependent on the CH3 NH3+ rotation, have
been theoretically predicted. The resonant X-ray photoelectron spectroscopy
results reported here show experimental evidence of nitrogen contribution to the
CH3 NH3 PbI3 electronic structure. Moreover, the observed strong resonances of
nitrogen with the I 5s and the Pb 5d-6s levels indicate that the CH3 NH3 PbI3
valence band is extended up to ~18 eV below the Fermi energy, and therefore one
should also consider these shallow core levels while modeling its electronic
structure.
PMID- 29359825
TI - Architected Lattices with High Stiffness and Toughness via Multicore-Shell 3D
Printing.
AB - The ability to create architected materials that possess both high stiffness and
toughness remains an elusive goal, since these properties are often mutually
exclusive. Natural materials, such as bone, overcome such limitations by
combining different toughening mechanisms across multiple length scales. Here, a
new method for creating architected lattices composed of core-shell struts that
are both stiff and tough is reported. Specifically, these lattices contain
orthotropic struts with flexible epoxy core-brittle epoxy shell motifs in the
absence and presence of an elastomeric silicone interfacial layer, which are
fabricated by a multicore-shell, 3D printing technique. It is found that
architected lattices produced with a flexible core-elastomeric interface-brittle
shell motif exhibit both high stiffness and toughness.
PMID- 29359826
TI - Cosensitized Quantum Dot Solar Cells with Conversion Efficiency over 12.
AB - The improvement of sunlight utilization is a fundamental approach for the
construction of high-efficiency quantum-dot-based solar cells (QDSCs). To boost
light harvesting, cosensitized photoanodes are fabricated in this work by a
sequential deposition of presynthesized Zn-Cu-In-Se (ZCISe) and CdSe quantum dots
(QDs) on mesoporous TiO2 films via the control of the interactions between QDs
and TiO2 films using 3-mercaptopropionic acid bifunctional linkers. By the
synergistic effect of ZCISe-alloyed QDs with a wide light absorption range and
CdSe QDs with a high extinction coefficient, the incident photon-to-electron
conversion efficiency is significantly improved over single QD-based QDSCs. It is
found that the performance of cosensitized photoanodes can be optimized by
adjusting the size of CdSe QDs introduced. In combination with titanium mesh
supported mesoporous carbon as a counterelectrode and a modified polysulfide
solution as an electrolyte, a champion power conversion efficiency up to 12.75%
(Voc = 0.752 V, Jsc = 27.39 mA cm-2 , FF = 0.619) is achieved, which is, as far
as it is known, the highest efficiency for liquid-junction QD-based solar cells
reported.
PMID- 29359827
TI - Functional Materials and Systems for Rewritable Paper.
AB - "Paper" has greatly contributed to the development and spread of civilization.
Even in today's "digitalized" world, paper continues to play a key role in
socioeconomic growth, as is evidenced by the growth in global paper consumption.
Unfortunately, the use of paper has its cost in terms of the exhaustion of
world's natural resources. Consequently, new, cost-effective technologies that
preserve natural resources are required for this purpose. Functional materials
have revolutionized the way people think about developing new technologies.
Especially important in this regard are "smart reactive materials," which are
capable of actively responding to external stimuli such as heat, light,
mechanical stress, and specific molecular orientations. Moreover, functionalized
chromogenic materials, which undergo reversible color switching upon external
stimulation, have attracted great attention in the context of developing
rewritable paper. Here, investigations of various materials and systems that are
devised for use as rewritable paper are reviewed with the hope that the coverage
will stimulate and guide future studies in this area.
PMID- 29359828
TI - Nestin expression and in vivo proliferative potential of tanycytes and ependymal
cells lining the walls of the third ventricle in the adult rat brain.
AB - There is a disagreement in the literature concerning the degree of proliferation
of cells in the walls of the third ventricle (3rdV) under normal conditions in
the adult mammalian brain. To address this issue, we mapped the cells expressing
the neural stem/progenitor cell marker nestin along the entire rostrocaudal
extent of the 3rdV in adult male rats and observed a complex distribution.
Abundant nestin was present in tanycyte cell bodies and processes and also was
observed in patches of ependymal cells as well as in isolated ependymal cells
throughout the walls of the 3rdV. However, we observed very limited ependymal
cell or tanycyte proliferation in normal adult rats as determined by
bromodeoxyuridine (BrdU) incorporation or the expression of Ki-67. Moreover,
fewer than 13% of the cells that were BrdU-positive (BrdU+) or Ki-67-positive (Ki
67+) expressed nestin. These observations stand in contrast to those made in the
subventricular zone of the lateral ventricle (SVZ) and subgranular zone of the
hippocampal formation (SGZ), where cell proliferation measured by BrdU
incorporation or Ki-67 expression is observed frequently in cells that also
express nestin. Thus, while ependymal cell or tanycyte cell proliferation can be
promoted by the addition of mitogens, dietary modifications or other in vivo
manipulations, the proliferation of ependymal cells and tanycytes in the walls of
the 3rdV is very limited in the normal adult male rat brain.
PMID- 29359829
TI - Highly Durable and Active Pt-Based Nanoscale Design for Fuel-Cell Oxygen
Reduction Electrocatalysts.
AB - Fuel cells are one of the promising energy-conversion devices due to their high
efficiency and zero emission. Although recent advances in electrocatalysts have
been achieved using various material designs such as alloys, core@shell
structures, and shape control, many issues still remain to be resolved.
Especially, material design issues for high durability and high activity are
recently accentuated owing to severe instability of nanoparticles under fuel-cell
operating conditions. To address these issues, fundamental understanding of
functional links between activity and durability is timely urgent. Here, the
activity and durability of nanoscale materials are summarized, focusing on the
nanoparticle size effect. In addition to phenomenological observation, two major
degradation origins, including atomic dissolution and particle size increase, are
discussed related to the activity decrease. Based on the fundamental
understanding of nanoparticle degradation, recent promising strategies for
durable Pt-based nanoscale electrocatalysts are introduced and the role of each
design for durability enhancement is discussed. Finally, short comments related
to the future direction of nanoparticle issues are provided in terms of
nanoparticle synthesis and analysis.
PMID- 29359830
TI - Integrated anatomical and physiological mapping of striatal afferent projections.
AB - The dorsomedial striatum, a key site of reward-sensitive motor output, receives
extensive afferent input from cortex, thalamus and midbrain. These projections
are integrated by striatal microcircuits containing both spiny projection neurons
and local circuit interneurons. To explore target cell specificity of these
projections, we compared inputs onto D1-dopamine receptor-positive spiny neurons,
parvalbumin-positive fast-spiking interneurons and somatostatin-positive low
threshold-spiking interneurons, using cell type-specific rabies virus tracing and
optogenetic-mediated projection neuron recruitment in mice. While the relative
proportion of retrogradely labelled projection neurons was similar between target
cell types, the convergence of inputs was systematically higher for projections
onto fast-spiking interneurons. Rabies virus is frequently used to assess cell
specific anatomical connectivity but it is unclear how this correlates to
synaptic connectivity and efficacy. To test this, we compared tracing data with
target cell-specific measures of synaptic efficacy for anterior cingulate cortex
and parafascicular thalamic projections using novel quantitative optogenetic
measures. We found that target-specific patterns of convergence were extensively
modified according to region of projection neuron origin and postsynaptic cell
type. Furthermore, we observed significant divergence between cell type-specific
anatomical connectivity and measures of excitatory synaptic strength,
particularly for low-threshold-spiking interneurons. Taken together, this
suggests a basic uniform connectivity map for striatal afferent inputs upon which
presynaptic-postsynaptic interactions impose substantial diversity of
physiological connectivity.
PMID- 29359832
TI - A Highly Reversible Mechanochromic Difluorobenzothiadiazole Dye with Near
Infrared Emission.
AB - A difluorobenzothiadiazole-based fluorescent material with a D-pi-A-pi-D
structure exhibits a reversible mechanofluorochromic characteristic in the solid
state. Its red fluorescent emission switches to near-infrared fluorescence upon
mechanical stimulation, but recover after fuming the ground solid powder with
dichloromethane.
PMID- 29359831
TI - Behavioural and neural sequelae of stressor exposure are not modulated by
controllability in females.
AB - The degree of behavioural control that an organism has over a stressor is a
potent modulator of the stressor's impact; controllable stressors produce none of
the neurochemical and behavioural sequelae that occur if the stressor is
uncontrollable. Research demonstrating the importance of control and the neural
mechanisms responsible has been conducted almost entirely with male rats. It is
unknown if behavioural control is stress blunting in females, and whether or not
a similar resilience circuitry is engaged. Female rats were exposed to
controllable, yoked uncontrollable or no tailshock. In separate experiments,
behavioural (juvenile social exploration, fear and shuttle box escape) and
neurochemical (activation of dorsal raphe serotonin and dorsal raphe-projecting
prelimbic neurons) outcomes, which are sensitive to the dimension of control in
males, were assessed. Despite successful acquisition of the controlling response,
behavioural control did not mitigate dorsal raphe serotonergic activation and
behavioural outcomes induced by tailshock, as it does in males. Moreover,
behavioural control failed to selectively engage prelimbic cells that project to
the dorsal raphe as in males. Pharmacological activation of the prelimbic cortex
restored the stress-buffering effects of control. Collectively, the data
demonstrate stressor controllability phenomena are absent in females and that the
protective prelimbic circuitry is present but not engaged. Reduced benefit from
coping responses may represent a novel approach for understanding differential
sex prevalence in stress-related psychiatric disorders.
PMID- 29359833
TI - Functional connectivity maps based on hippocampal and thalamic dynamics may
account for the default-mode network.
AB - The default-mode network (DMN) has been reported to comprise a set of inter
connected transmodal cortical areas, including the posterior cingulate cortex
(PCC), medial prefrontal cortex, posterior inferior parietal lobule, lateral
temporal region and others. However, the subcortical constituents of the DMN are
still not clear. This study aimed to examine whether the correlation maps derived
from subcortical structures may also account for neural pattern of the DMN.
Structural magnetic resonance imaging (MRI) and resting-state functional MRI
scans of 36 subjects were selected from the Rockland sample (Nathan Kline
Institute). The hippocampus and thalamus were chosen as subcortical regions of
interest (ROIs). Each ROI was partitioned into composite modules which in turn
provided simplified and representative dynamics of blood-oxygen-level-dependent
(BOLD) signals. PCC-seeded and ROI-based correlation maps were compared by
conjunction analyses and paired t-tests (corrected P < 0.05). Our results
unveiled that the hippocampus-, thalamus- and PCC-centred correlation patterns
actually overlapped to a substantial degree. Integrating the signals in the
thalamus and hippocampus altogether fully explained the PCC-seeded DMN.
Supplementary analyses based on the BOLD dynamics in several subcortical nuclei
(caudate, putamen and globus pallidus) were dissimilar to the DMN. The DMN
derived from the ROI/seed-based approach may represent combined limbic and region
specific informatics (and their closely interacting neural substrates). The
possible causes for previous methods of task-induced deactivation and seed-based
correlation that failed to depict the holistic limbic picture are discussed. The
neocortical manifestation of DMN may reflect the limbic information in the
transmodal brain regions.
PMID- 29359834
TI - Tuberculosis after liver transplantation in a large center in New York City:
QuantiFERON(r) -TB Gold-based pre-transplant screening performance and active
tuberculosis post-transplant.
AB - INTRODUCTION: Pre-transplant screening for latent tuberculosis infection (LTBI)
is a complex consideration that varies by institution. Inconsistent performance
of interferon-gamma release assay (IGRA) further complicates screening. Data
regarding LTBI screening outcomes and test characteristics in a large, foreign
born pre-transplant population within the United States are limited. METHODS: In
this retrospective study, patients who received QuantiFERON(r) -TB Gold (QFT)
prior to liver transplantation (LT) were included. Characteristics of patients
were compared by QFT result, and predictors of indeterminate results were
evaluated. Similar comparisons were performed between patients who developed
active TB and those who did not. RESULTS: Of 148 patients screened, the rate of
positive, indeterminate, and negative testing was 13.5% (20/148), 27% (40/148),
and 59% (88/148), respectively. An indeterminate QFT result was more than 16
times more likely in patients with a Model for End-stage Liver Disease score >25
(odds ratio [OR] 16.7; 95% confidence interval [CI], 2.1-132.0; P = .008) and
more than 4 times when performed in our institution's lab compared with
commercial lab (OR 4.1; 95% CI, 1.34-12.44; P = .013). The overall TB incidence
was 1102/100 000 transplant cases. No patient who developed active TB had a
positive QFT. All were born outside of the United States (P = .06) and had pre
transplantation chest imaging demonstrating granulomatous disease (P = .006).
CONCLUSION: Our experience further highlights the challenges of LTBI screening
prior to LT and suggests that QFT may be a poor predictor of active TB in higher
risk pre-transplant populations. Candidates should be screened as early as
possible to optimize QFT performance, and local epidemiological data should be
used to create institution-specific screening protocols in areas with large
populations from TB-endemic regions. Management should consider TB risk factors,
QFT, and imaging instead of reliance on QFT testing alone.
PMID- 29359835
TI - Synergistic N-Heterocyclic Carbene/Palladium-Catalyzed Reactions of Aldehyde Acyl
Anions with either Diarylmethyl or Allylic Carbonates.
AB - Benzylation and allylation of aldehyde acyl anions were enabled by the merger of
a thiazolium N-heterocyclic carbene (NHC) catalyst and a palladium/bisphosphine
catalyst in a synergistic manner. Owing to the mildness of the reaction
conditions, various functional groups were tolerated in the substrates.
PMID- 29359836
TI - Factors and outcomes in association with sepsis differ between simultaneous
pancreas/kidney and single kidney transplant recipients.
AB - BACKGROUND: As immunosuppressive therapy has improved in simultaneous
pancreas/kidney transplant recipients (SPKTRs), infection has become the major
limitation of disease-free survival. METHODS: We studied all SPKTRs and deceased
donor kidney transplant recipients (KTRs) between 2003 and 2015. Thirty-six of
134 SPKTRs (26.9%) were diagnosed with sepsis among which 13/36 SPKTRs (36.1%)
developed severe sepsis/septic shock. A control group of 98 SPKTRs without sepsis
and 61/538 KTRs (11.3%) with sepsis were used for comparison. RESULTS: Among
SPKTRs, female sex, low BMI, CMV seronegativity, CMV disease, and acute cellular
rejection increased the risk for sepsis (P < .05). Patient and allograft survival
was comparable among SPKTRs with and without sepsis (P > .05), but showed
inferior kidney allograft function (P < .05). While urosepsis was less common
among SPKTRs (45%), pneumonia (33%) and peritonitis (15%) as site of infections
were more frequent (P < .05). Here, gram-positive and fungal sepsis were more
common among SPKTRs compared to KTRs (P < .05). SPKTRs showed a higher incidence
and an earlier onset of sepsis compared to KTRs (P < .001). SPKTRs with severe
sepsis/septic shock were more likely to show pneumonia as site of infection with
gram-positive/polymicrobial bacteremia (P < .05). Mortality from severe sepsis
was 29% among SPKTRs compared to 58% among KTRs (P < .05). CONCLUSION:
Differences in incidence, site, causative pathogens, and onset of sepsis between
SPKTRs and KTRs may be attributed to more intense immunosuppression, major
surgery, and complications of diabetes among SPKTRs. Lower sepsis-related
mortality may reflect younger age and more timely diagnosis, but also supports
recent findings of less sepsis-related mortality among recipients of solid organ
transplantation.
PMID- 29359837
TI - A cluster of donor-derived Cryptococcus neoformans infection affecting lung,
liver, and kidney transplant recipients: Case report and review of literature.
AB - Donor-derived infections (DDIs) are a very rare but potentially devastating
complication of solid organ transplantation. Here we present a cluster of proven
donor-derived cryptococcal infection in the kidney, liver, and lung recipients
from a single donor. Remarkably, the onset of illness in the kidney and liver
recipients occurred more than 8-12 weeks after transplantation, which is beyond
the incubation period previously reported for donor-derived cryptococcosis. DDI
should always be considered in the differential diagnosis of transplant
recipients admitted with febrile illness, even when presenting beyond the first
month post-transplant. Communication between reference laboratories, transplant
centers, and organ procurement organizations is critical to improve outcomes.
PMID- 29359838
TI - Discrimination between the endoplasmic reticulum and mitochondria by
spontaneously inserting tail-anchored proteins.
AB - Tail-anchored (TA) proteins insert into their target organelles by incompletely
elucidated posttranslational pathways. Some TA proteins spontaneously insert into
protein-free liposomes, yet target a specific organelle in vivo. Two
spontaneously inserting cytochrome b5 forms, b5-ER and b5-RR, which differ only
in the charge of the C-terminal region, target the endoplasmic reticulum (ER) or
the mitochondrial outer membrane (MOM), respectively. To bridge the gap between
the cell-free and in cellula results, we analyzed targeting in digitonin
permeabilized adherent HeLa cells. In the absence of cytosol, the MOM was the
destination of both b5 forms, whereas in cytosol the C-terminal negative charge
of b5-ER determined targeting to the ER. Inhibition of the transmembrane
recognition complex (TRC) pathway only partially reduced b5 targeting, while
strongly affecting the classical TRC substrate synaptobrevin 2 (Syb2). To
identify additional pathways, we tested a number of small inhibitors, and found
that Eeyarestatin I (ESI ) reduced insertion of b5-ER and of another
spontaneously inserting TA protein, while not affecting Syb2. The effect was
independent from the known targets of ESI , Sec61 and p97/VCP. Our results
demonstrate that the MOM is the preferred destination of spontaneously inserting
TA proteins, regardless of their C-terminal charge, and reveal a novel, substrate
specific ER-targeting pathway.
PMID- 29359839
TI - Long-term follow-up of post renal transplantation Epstein-Barr virus-associated
smooth muscle tumors: Report of two cases and review of the literature.
AB - Epstein-Barr virus (EBV)-associated smooth muscle tumors (SMTs) following solid
organ transplantation are very rare slow growing neoplasms. Most tumors present
with non-specific symptoms mainly related to tumor location. Post-transplant EBV
associated small muscle tumors have been reported in various anatomical
locations. The tumors have a predilection to unusual sites for SMTs and tend to
be multifocal. The histologic appearance of these tumors generally does not
predict their clinical behavior. Surgery and reduction in immunosuppression are
the main stays of management. We herein report two cases of post renal transplant
EBV-associated SMTs with over 6 years of follow-up. A 33-year-old male patient
presented with hepatic lesions and a 49-year-old female patient presented with
multiple mesenteric and gluteal lesions. The tumors were diagnosed 6 and 10 years
after renal transplantation, respectively. Surgical resection and
reduction/discontinuation of immunosuppression were successful in delaying
progression of the disease; however, in both cases, the allografts failed during
the course of management.
PMID- 29359840
TI - Highly Fluorescent Chiral N-S-Doped Carbon Dots from Cysteine: Affecting Cellular
Energy Metabolism.
AB - Cysteine-based chiral optically active carbon dots (CDs) and their effects on
cellular energy metabolism, which is vital for essential cellular functions, have
been barely reported. A green and effective synthesis strategy for chiral N-S
doped CDs (fluorescence quantum yield ca. 41.26 %) based on hydrothermal
treatment of l- or d-cysteine at as low as 60 degrees C has been developed. This
suggested that cysteine was instable in aqueous solutions and acts as a warning
for high-temperature synthesis of nanomaterials using cysteine as stabilizer.
Human bladder cancer T24 cells treated with l-CDs showed up-regulated glycolysis,
while d-CDs had no similar effects. In contrast, no disturbance to the basal
mitochondrial aerobic respiration of T24 cells was caused by either chiral CD.
PMID- 29359841
TI - Autologous hematopoietic stem cell transplant in a patient with leprosy: Is it
safe?
AB - A patient with non-Hodgkin lymphoma, preparing for an autologous hematopoietic
stem cell transplant (HSCT), developed leprosy. The patient was successfully
treated with rifampicin, ofloxacin, and doxycycline, and the HSCT was performed
without complications, being the first report, to our knowledge, of leprosy in an
autologous HSCT patient.
PMID- 29359842
TI - Carbapenem-resistant Klebsiella pneumoniae vertebral osteomyelitis in a renal
transplant recipient treated with ceftazidime-avibactam.
AB - Ceftazidime-avibactam (CAZ-AVI) is a novel cephalosporin beta lactamase inhibitor
combination that has shown activity against carbapenem-resistant
Enterobactericeae. Data are limited on its utilization in the treatment of
carbapenem-resistant Klebsiella pneumoniae osteomyelitis in solid organ
transplant patients. We describe a case report on the use of CAZ-AVI in the
treatment of vertebral osteomyelitis in a renal transplant recipient.
PMID- 29359843
TI - Norovirus causing severe gastrointestinal disease following allogeneic
hematopoietic stem cell transplantation: A retrospective analysis.
AB - BACKGROUND: Norovirus (NV) can cause chronic and severe gastroenteritis with
possible lethal outcome in immunocompromised patients. The knowledge of NV
infections in allogeneic hematopoietic stem cell transplantation (HSCT)
recipients is limited. The aim of this study was to clarify the clinical
importance of NV in a large cohort of HSCT recipients. METHODS: All patients
undergoing HSCT and diagnosed with NV at Karolinska University Hospital from 2006
2012 were included in the study (63 patients). Clinical data were collected from
medical records, and statistics were performed using the logistic regression
method. RESULTS: The majority of patients (70%) had short-term symptoms (<=14
days). However, 54% of all patients required admission or prolonged
hospitalization owing to the infection. In 16% of the patients the symptoms were
chronic (>30 days), and in all but one of these patients the clinical picture
also was severe, with malnutrition requiring long-term TPN, or serious
dehydration. Severe combined immune deficiency (SCID) diagnosis was associated
with chronic symptoms of NV infection (OR 30.3, CI 2.5-368). CONCLUSION: NV is an
important pathogen in the HSCT setting, although the infection seems to be mild
in most patients. Increased knowledge is needed to further identify risk factors
for a severe course of NV infection in HSCT patients.
PMID- 29359844
TI - Hepatitis A, cardiomyopathy, aplastic anemia, and acute liver failure: A
devastating scenario.
AB - Hepatitis A virus (HAV) causes an acute infection and is usually asymptomatic in
children. When clinical manifestations appear, these include choluria, jaundice,
and abdominal pain. Although infrequent, extra-hepatic manifestations related to
HAV have been described, affecting the heart, bone marrow, blood vessels, and
other tissues.A 10-year-old boy from a rural area presented with a 15-day history
of malaise, fever, and jaundice; laboratory examinations were compatible with HAV
infection. The patient turned encephalopathic and was remitted to our center,
where laboratory examinations showed a medullary aplasia and fulminant hepatitis
requiring a liver transplant that was performed 72 hours after admission. At 24
hours post transplant, the patient developed a cardiomyopathy secondary to HAV,
and intravenous immunoglobulin was administered. The patient is still alive and
attending his medical check-ups.Although rare, extra-hepatic manifestations of
HAV infection have been described in 14% of cases. The groups of patients
affected are usually aged and present with high bilirubin levels. Acquired
aplastic anemia and myocarditis caused by HAV are uncommon, and its
pathophysiology has not yet been elucidated.HAV infection is usually asymptomatic
in children, although extra-hepatic manifestations can appear requiring early
detection and management.
PMID- 29359845
TI - Acanthamoeba endophthalmitis during treatment for cutaneous disease in a renal
transplant patient.
AB - Acanthamoeba infections are difficult to diagnose and treat. We present a renal
transplant patient who developed Acanthamoeba endophthalmitis on therapy with
posaconazole and miltefosine for cutaneous acanthamobiasis. The patient was
maintained on intracameral voriconazole injections, and oral azithromycin,
fluconazole, and flucytosine. This case highlights novel presentations and
treatments for acanthamoebic infection.
PMID- 29359846
TI - Progressive multifocal leukoencephalopathy after T-cell replete HLA
haploidentical transplantation with post-transplantation cyclophosphamide graft
versus-host disease prophylaxis.
AB - A 52-year-old man suffered from progressive multifocal leukoencephalopathy (PML)
after human leukocyte antigen (HLA)-haploidentical transplantation with post
transplantation cyclophosphamide (PTCY). Mirtazapine, mefloquine, and cytarabine
failed to improve his symptoms, and he finally died 4.5 months after PML onset.
This is the first case report of a patient with PML after HLA-haploidentical
transplantation with PTCY. Although T-cell replete HLA-haploidentical
transplantation with PTCY has enabled early immune reconstitution, PML should be
considered if a patient's mental condition deteriorates.
PMID- 29359847
TI - Successful resolution of hyperammonemia following hematopoietic cell
transplantation with directed treatment of Ureaplasma parvum infection.
AB - Hyperammonemia following hematopoietic cell transplantation (HCT) has been
characterized as idiopathic and is associated with a very high mortality. A
causal relationship between Ureaplasma infection and hyperammonemia in
immunocompromised lung transplant recipients has recently been described. We
document the first case of hyperammonemia following HCT associated with
Ureaplasma parvum. The initiation of appropriate antibiotics resulted in rapid
resolution of hyperammonemic encephalopathy and eradication of the implicating
organism.
PMID- 29359848
TI - Optimizing the management of hypoglycaemia in individuals with type 2 diabetes: A
randomized crossover comparison of a weight-based protocol compared with two
fixed-dose glucose regimens.
AB - AIMS: To determine whether an individualized body weight-based glucose treatment
in adults with type 2 diabetes (T2DM) is more likely to resolve hypoglycaemia
with a single treatment without excessive rebound hyperglycaemia compared to
fixed doses of 12 or 30 g of glucose. METHODS: Adults with T2DM were enrolled in
a cross-over study. Each episode of hypoglycaemia (capillary glucose <4.0 mmol/L)
was randomly assigned to 1 of 3 treatment protocols: 0.3 g glucose/kg body-weight
or a fixed dose of either 12 or 30 g glucose, independent of weight. All
participants received each treatment in random order for up to 15 hypoglycaemic
episodes. Glucose was re-tested 10 minutes after treatment, with a repeat dose if
still <4 mmol/L. RESULTS: Mean (SD) age of the 30 participants was 68 (8.1)
years, mean weight was 91.5 (16.8) kg and mean HbA1c was 58.7 (9.2) mmol/mol.
Among a total of 244 episodes of hypoglycaemia, 10 participants had 15 treatment
episodes and 18 participants had fewer than 10 treatment episodes. The odds
ratio, adjusted for multiple comparisons, for resolution of hypoglycaemia at 10
minutes, comparing weight-based treatment and 12 g treatment was 3.2 (95% CI, 1.1
9.0), P = .009, comparing 30 g treatment and 12 g treatment was 8.9 (95% CI, 2.2
36.6), P < .001, and comparing weight-based treatment and 30 g treatment was 0.36
(95% CI, 0.08-1.67) P = .10. CONCLUSION: In T2DM, both a weight-based 0.3 g/kg
treatment and a fixed 30 g glucose treatment result in higher blood glucose than
a 12 g treatment, along with increased probability of resolution of hypoglycaemia
after 10 minutes. Both treatments result in an excess of mild rebound
hyperglycaemia (>8 mmol/L) at 30 minutes.
PMID- 29359849
TI - Antibiotic exposure in early life and childhood overweight and obesity: A
systematic review and meta-analysis.
AB - We conducted a systematic review and meta-analysis of observational studies
investigating the association between antibiotic exposure in infancy and risk of
childhood overweight and obesity. Thirteen studies, including a total of 527 504
children, were included in the systematic review and 8 were included in meta
analyses. Exposure to antibiotics in infancy was associated with an increased
odds ratio (OR) of childhood overweight and obesity (OR 1.11, 95% confidence
interval [CI] 1.02-1.20). Whereas exposure to 1 treatment only and exposure
between 6 and 24 months were not associated with increased risk of childhood
overweight and obesity, exposure to >1 treatment was associated with an OR of
1.24 (95% CI 1.09-1.43) and exposure within the first 6 months of life was
associated with an OR of 1.20 (95% CI 1.04-1.37). In conclusion, antibiotic
exposure in infancy was associated with a slightly increased risk of childhood
overweight and obesity, mainly if children were exposed to repeated treatments or
treatment within the first 6 months of life. It is unclear whether this
association is mediated via direct effects of antibiotics on the gut microbiota.
PMID- 29359850
TI - Bioorthogonal Catalytic Activation of Platinum and Ruthenium Anticancer Complexes
by FAD and Flavoproteins.
AB - Recent advances in bioorthogonal catalysis promise to deliver new chemical tools
for performing chemoselective transformations in complex biological environments.
Herein, we report how FAD (flavin adenine dinucleotide), FMN (flavin
mononucleotide), and four flavoproteins act as unconventional photocatalysts
capable of converting PtIV and RuII complexes into potentially toxic PtII or RuII
-OH2 species. In the presence of electron donors and low doses of visible light,
the flavoproteins mini singlet oxygen generator (miniSOG) and NADH oxidase (NOX)
catalytically activate PtIV prodrugs with bioorthogonal selectivity. In the
presence of NADH, NOX catalyzes PtIV activation in the dark as well, indicating
for the first time that flavoenzymes may contribute to initiating the activity of
PtIV chemotherapeutic agents.
PMID- 29359851
TI - Do sodium-glucose co-transporter-2 inhibitors prevent heart failure with a
preserved ejection fraction by counterbalancing the effects of leptin? A novel
hypothesis.
AB - Sodium-glucose co-transporter-2 (SGLT2) inhibitors reduce the risk of serious
heart failure events in patients with type 2 diabetes, but little is known about
mechanisms that might mediate this benefit. The most common heart failure
phenotype in type 2 diabetes is obesity-related heart failure with a preserved
ejection fraction (HFpEF). It has been hypothesized that the synthesis of leptin
in this disorder leads to sodium retention and plasma volume expansion as well as
to cardiac and renal inflammation and fibrosis. Interestingly, leptin-mediated
neurohormonal activation appears to enhance the expression of SGLT2 in the renal
tubules, and SGLT2 inhibitors exert natriuretic actions at multiple renal tubular
sites in a manner that can oppose the sodium retention produced by leptin. In
addition, SGLT2 inhibitors reduce the accumulation and inflammation of
perivisceral adipose tissue, thus minimizing the secretion of leptin and its
paracrine actions on the heart and kidneys to promote fibrosis. Such fibrosis
probably contributes to the impairment of cardiac distensibility and glomerular
function that characterizes obesity-related HFpEF. Ongoing clinical trials with
SGLT2 inhibitors in heart failure are positioned to confirm or refute the
hypothesis that these drugs may favourably influence the course of obesity
related HFpEF by their ability to attenuate the secretion and actions of leptin.
PMID- 29359852
TI - Glass and Alchemy in Early Modern Europe: An Analytical Study of Glassware from
the Oberstockstall Laboratory in Austria.
AB - Glass distillation equipment from an early modern alchemical laboratory was
analyzed for its technology of manufacture and potential origin. Chemical data
show that the assemblage can be divided into sodium-rich, colorless distillation
vessels made with glass from Venice or its European imitation, and potassium-rich
dark-brown non-specialized forms produced within the technological tradition of
forest glass typical for central and north-western Europe. These results complete
our understanding of the supply of technical apparatus at one of the best
preserved alchemical laboratories and highlight an early awareness of the need
for high-quality instruments to guarantee the successful outcome of specialized
chemical operations. This study demonstrates the potential of archaeological
science to inform historical research around the practice of early chemistry and
the development of modern science.
PMID- 29359853
TI - Prolonged in vivo functional assessment of the mouse oviduct using optical
coherence tomography through a dorsal imaging window.
AB - The oviduct (or fallopian tube) serves as an environment for gamete transport,
fertilization and preimplantation embryo development in mammals. Although there
has been increasing evidence linking infertility with disrupted oviduct function,
the specific roles that the oviduct plays in both normal and impaired
reproductive processes remain unclear. The mouse is an important mammalian model
to study human reproduction. However, most of the current analyses of the mouse
oviduct rely on static histology or 2D visualization, and are unable to provide
dynamic and volumetric characterization of this organ. The lack of imaging access
prevents longitudinal live analysis of the oviduct and its associated
reproductive events, limiting the understanding of mechanistic aspects of
fertilization and preimplantation pregnancy. To address this limitation, we
report a 3D imaging approach that enables prolonged functional assessment of the
mouse oviduct in vivo. By combining optical coherence tomography with a dorsal
imaging window, this method allows for extended volumetric visualization of the
oviduct dynamics, which was previously not achievable. The approach is used for
quantitative analysis of oviduct contraction, spatiotemporal characterization of
cilia beat frequency and longitudinal imaging. This new approach is a useful in
vivo imaging platform for a variety of live studies in mammalian reproduction.
PMID- 29359855
TI - Descemet membrane endothelial keratoplasty developing spontaneous 'malignant
glaucoma' secondary to gas misdirection.
PMID- 29359854
TI - Clinical features, BTD gene mutations, and their functional studies of eight
symptomatic patients with biotinidase deficiency from Southern China.
AB - Biotinidase (BTD) deficiency is a rare autosomal recessive metabolic disease,
which develops neurological and cutaneous symptoms because of the impaired biotin
recycling. Pathogenic mutations on BTD gene cause BTD deficiency. Clinical
features and mutation analysis of Chinese children with BTD deficiency were
rarely described. Herein, for the first time, we reported the clinical features,
BTD gene mutations and their functional studies of eight symptomatic children
with BTD deficiency from southern China. Fatigue, hypotonia, proximal muscular
weakness, hearing deficits, rash and respiratory problems are common clinical
phenotype of our patients. Seizures are observed only in patients with profound
BTD deficiency. Five novel mutations were detected, among which c.637delC
(H213TfsTer51) was found in 50% of our patients and might be considered as a
common mutation. In vitro studies confirmed three mild mutations c.1368A>C
(Q456H), c.1613G>A (R538H), and c.644T>A (L215H) which retained 10-30% of wild
type enzyme activity, and six severe mutations c.235C>T (R79C), c.1271G>C
(C424S), c.1412G>A (C471Y), c.637delC (H213TfsTer51), c.395T>G (M132W), c.464T>C
(L155P), and c.1493dupT (L498FfsTer13) which retained <10% of wild type enzyme
activity. c.1330G>C (D444H) decreased the protein expression but not activity of
BTD enzyme, and H213TfsTer51 was structurally damaging while L498FfsTer13 was
functionally damaging. These results will be helpful in establishing the
definitive diagnosis of BTD deficiency at the gene level, offering appropriate
genetic counseling, and providing clues to structure/function relationships of
the enzyme.
PMID- 29359856
TI - Efficacy and safety of flumazenil injection for the reversal of midazolam
sedation after elective outpatient endoscopy.
AB - OBJECTIVE: Midazolam sedation during elective endoscopy is widely performed and
flumazenil is frequently administered after endoscopy to reverse sedation in
clinical practice. This study aimed to investigate the safety and efficacy of
flumazenil injections after elective endoscopy under midazolam sedation. METHODS:
Participants who underwent an upper endoscopy under midazolam sedation were
randomly divided into two groups. In group I, flumazenil was administered i.v. 10
min after the patient's transfer to the recovery room, and no antidote was
injected in group II. The time of stay in the recovery room and adverse events
were reviewed through the nursing records. We asked the patients about their pain
and degree of satisfaction according to a visual analogue scale (VAS), their
memory of the procedure, mental status and the presence of uncomfortable symptoms
on the day of the procedure and the day afterwards. RESULTS: The length of stay
in recovery was significantly shorter in group I than in group II. No significant
differences were found in the number of patients with pain (VAS >=1), adverse
events and discomfort between the two groups. Additionally, there were no
differences in the patients' memory of the procedure, satisfaction with sedation,
willingness to repeat the endoscopy and mental status. CONCLUSIONS: The time in
the recovery room after flumazenil administration was significantly shortened,
and the use of the drug did not increase the risk of adverse events or
discomfort. The use of flumazenil for reversing midazolam sedation seems to be
safe and effective.
PMID- 29359857
TI - Proteome Profile of American Hybrid Grape cv. Blanc du Bois during Ripening
Reveals Proteins Associated with Flavor Volatiles and Ethylene Production.
AB - The study of key control points in ripening is essential to improve grape wine
quality. Molecular basis of ripening is still far from being understood from the
Pierce's disease (PD)-tolerant grapes predominantly grown in the southeastern
United States. To identify proteins expressed during Blanc du Bois grape berry
green and ripening stages, proteome analysis from five different stages revealed
1091, 1131, 1078, 1042, and 1066 proteins. Differential expression analysis
revealed 551 common proteins across different stages of maturity that are
involved in various biochemical and metabolic pathways. The proteins identified
were associated with phenylpropanoids, isoquinoline alkaloids, fatty acids,
unsaturated fatty acids, and furanones. Our data provide the first step to
understand the complex biochemical changes during ripening of PD-tolerant
American hybrid grapes that are popular for their aroma and flavor profile in the
southeastern United States. Proteomics data are deposited to the ProteomeXchange
PXD004157.
PMID- 29359858
TI - 2DE Gels: A Story of Love and Hate in Proteomics.
PMID- 29359860
TI - Using Titer and Titer Normalized to Confluence Are Complementary Strategies for
Obtaining Chinese Hamster Ovary Cell Lines with High Volumetric Productivity of
Etanercept.
AB - The selection of clonally derived Chinese hamster ovary (CHO) cell lines with the
highest production rate of recombinant glycoproteins remains a big challenge
during early stages of cell line development. Different strategies using either
product titer or product titer normalized to cell number are being used to assess
suspension-adapted clones when grown statically in microtiter plates. However, no
reported study so far has performed a direct head-to-head comparison of these two
early reporters for predicting clone performance. Therefore, a screening platform
for high-throughput analysis of titer and confluence of etanercept-producing
clones is developed. Then an unbiased comparison of clone ranking based on either
titer or titer normalized to confluence (TTC) is performed. Using two different
suspension cultivation vessels, the authors demonstrate that titer- or TTC-based
ranking gives rise to the selection of clones with similar volumetric
productivity in batch cultures. Therefore, using both titer- and TTC-based
ranking is proposed, allowing for selection of distinct clones with both high
integral of viable cell density (IVCD) and high specific productivity features,
respectively. This contributes to selection of a versatile panel of clones that
can be further characterized and from which the final producer clone can be
selected that best fits the production requirements.
PMID- 29359861
TI - Silk-Based Bioinks for 3D Bioprinting.
AB - 3D bioprinting field is making remarkable progress; however, the development of
critical sized engineered tissue construct is still a farfetched goal. Silk
fibroin offers a promising choice for bioink material. Nature has imparted
several unique structural features in silk protein to ensure spinnability by
silkworms or spider. Researchers have modified the structure-property
relationship by reverse engineering to further improve shear thinning behavior,
high printability, cytocompatible gelation, and high structural fidelity. In this
review, it is attempted to summarize the recent advancements made in the field of
3D bioprinting in context of two major sources of silk fibroin: silkworm silk and
spider silk (native and recombinant). The challenges faced by current approaches
in processing silk bioinks, cellular signaling pathways modulated by silk
chemistry and secondary conformations, gaps in knowledge, and future directions
acquired for pushing the field further toward clinic are further elaborated.
PMID- 29359862
TI - Obesity does not influence prostate intrafractional motion.
AB - INTRODUCTION: Motion of the prostate is problematic in the accurate delivery of
external beam radiation therapy (EBRT) for prostate cancer. This study
investigated the relationship between body mass index (BMI), an easily measured
indicator of obesity, and prostate motion. METHODS: Prostate motion during EBRT
was assessed by measuring the displacement of fiducial markers implanted within
the prostate in 130 prostate cancer patients. Interfractional motion was
corrected on daily imaging through pre-treatment cone-beam-computed tomography
(CBCT) and intrafractional motion measured using movie sequences captured using
an electronic portal imaging device (EPID) during treatment delivery. RESULTS:
There was no statistically significant relationship between the mean
intrafractional motion and BMI, except in the left-right (LR) translation (P =
0.049) over the study population. For each BMI category, there was no statistical
significance (P > 0.05) between any of the translations/rotations except LR (P =
0.003). CONCLUSION: While intrafractional motion is an important consideration,
prostate motion cannot be reliably predicted through measurement of patient's
BMI.
PMID- 29359863
TI - Dose and Timing of N-Cadherin Mimetic Peptides Regulate MSC Chondrogenesis within
Hydrogels.
AB - The transmembrane glycoprotein N-cadherin (NCad) mediates cell-cell interactions
found during mesenchymal condensation and chondrogenesis. Here, NCad-derived
peptides (i.e., HAV) are incorporated into hyaluronic acid (HA) hydrogels with
encapsulated mesenchymal stem cells (MSCs). Since the dose and timing of NCad
signaling are dynamic, HAV peptide presentation is tuned via alterations in
peptide concentration and incorporation of an ADAM10-cleavable domain between the
hydrogel and the HAV motif, respectively. HA hydrogels functionalized with HAV
result in dose-dependent increases in early chondrogenesis of encapsulated MSCs
and resultant cartilage matrix production. For example, type II collagen and
glycosaminoglycan production increase ~9- and 2-fold with the highest dose of HAV
(i.e., 2 * 10-3 m), respectively, when compared to unmodified hydrogels, while
incorporation of an efficient ADAM10-cleavable domain between the HAV peptide and
hydrogel abolishes increases in chondrogenesis and matrix production. Treatment
with a small-molecule ADAM10 inhibitor restores the functional effect of the HAV
peptide, indicating that timing and duration of HAV peptide presentation is
crucial for robust chondrogenesis. This study demonstrates a nuanced approach to
the biofunctionalization of hydrogels to better emulate the complex cell
microenvironment during embryogenesis toward stem-cell-based cartilage
production.
PMID- 29359864
TI - Bifunctional Oxygen Reduction/Oxygen Evolution Activity of Mixed Fe/Co Oxide
Nanoparticles with Variable Fe/Co Ratios Supported on Multiwalled Carbon
Nanotubes.
AB - A facile strategy is reported for the synthesis of Fe/Co mixed metal oxide
nanoparticles supported on, and embedded inside, high purity oxidized multiwalled
carbon nanotubes (MWCNTs) of narrow diameter distribution as effective
bifunctional catalysts able to reversibly drive the oxygen evolution reaction
(OER) and the oxygen reduction reaction (ORR) in alkaline solutions. Variation of
the Fe/Co ratio resulted in a pronounced trend in the bifunctional ORR/OER
activity. Controlled synthesis and in-depth characterization enabled the
identification of an optimal Fe/Co composition, which afforded a low OER/OER
reversible overvoltage of only 0.831 V, taking the OER at 10 mA cm-2 and the ORR
at -1 mA cm-2 . Importantly, the optimal catalyst with a Fe/Co ratio of 2:3
exhibited very promising long-term stability with no evident change in the
potential for both the ORR and the OER after 400 charge/discharge (OER/ORR)
cycles at 15 mA cm-2 in 6 m KOH. Moreover, detailed investigation of the
structure, size, and phase composition of the mixed Fe/Co oxide nanoparticles, as
well as their localization (inside of or on the surface of the MWCNTs) revealed
insight of the possible contribution of the individual catalyst components and
their synergistic interaction in the catalysis.
PMID- 29359866
TI - Soy Protein/Cellulose Nanofiber Scaffolds Mimicking Skin Extracellular Matrix for
Enhanced Wound Healing.
AB - Historically, soy protein and extracts have been used extensively in foods due to
their high protein and mineral content. More recently, soy protein has received
attention for a variety of its potential health benefits, including enhanced skin
regeneration. It has been reported that soy protein possesses bioactive molecules
similar to extracellular matrix (ECM) proteins and estrogen. In wound healing,
oral and topical soy has been heralded as a safe and cost-effective alternative
to animal protein and endogenous estrogen. However, engineering soy protein-based
fibrous dressings, while recapitulating ECM microenvironment and maintaining a
moist environment, remains a challenge. Here, the development of an entirely
plant-based nanofibrous dressing comprised of cellulose acetate (CA) and soy
protein hydrolysate (SPH) using rotary jet spinning is described. The spun
nanofibers successfully mimic physicochemical properties of the native skin ECM
and exhibit a high water retaining capability. In vitro, CA/SPH nanofibers
promote fibroblast proliferation, migration, infiltration, and integrin beta1
expression. In vivo, CA/SPH scaffolds accelerate re-epithelialization and
epidermal thinning as well as reduce scar formation and collagen anisotropy in a
similar fashion to other fibrous scaffolds, but without the use of animal
proteins or synthetic polymers. These results affirm the potential of CA/SPH
nanofibers as a novel wound dressing.
PMID- 29359867
TI - Early infections in the intensive care unit after liver transplantation-etiology
and risk factors: A single-center experience.
AB - BACKGROUND: Infectious complications represent one of the main causes of
perioperative morbidity and mortality of liver transplant recipients. The primary
objective of this retrospective observational study was to evaluate incidence and
etiology of early (within 1 month from surgery and occurring in the intensive
care unit [ICU]) postoperative infections as well as donor- and recipient-related
risk factors. METHODS: The data of 280 patients undergoing 299 consecutive liver
transplant procedures from January 2012 to December 2015 were extracted from the
Italian ICU registry database and hospital registries. Perioperative risk
factors, etiology of infections, and antibiotic susceptibility of isolated
microorganisms were taken into consideration. RESULTS: Global incidence of
postoperative infections was 21%. Pneumonia was the most frequent infection and,
globally, gram-negative bacteria were the most common agents. Septic shock was
present in 22% of infection cases and hospital mortality was higher in patients
with postoperative infection. Preoperative chronic obstructive pulmonary disease,
malnutrition, preoperative ascites, encephalopathy, and early re-transplantation
were significantly associated to post orthotopic LT infections. CONCLUSION:
Infections represent a major cause of early postoperative morbidity and
mortality. The impact of single risk factors and the results of their
preoperative management should be further investigated in order to reduce the
incidence and evolution of postoperative infections.
PMID- 29359868
TI - Personalized subcutaneous administration of hepatitis B surface antibodies
without nucleos(t)ide analogs for patients at risk of renal failure after liver
transplantation: a prospective single center cohort study.
AB - Currently, nucleos(t)ide analogs (NAs) in monotherapy are favored as prophylaxis
against hepatitis B recurrence after liver transplantation. However, in patients
at risk of renal failure, renal safety of NAs is of concern. We investigated the
safety and efficacy of subcutaneous (SC) hepatitis B immunoglobulins (HBIG) in
monotherapy. This is a single-arm prospective trial in patients transplanted >1
year. We included 43 Caucasian patients. The majority was treated with
calcineurin inhibitors, and several patients had other risk factors for renal
impairment as well: diabetes mellitus (n = 10/43), arterial hypertension (n =
11/43), and hyperlipidemia (=10/43). At inclusion, 42% (n = 18) had chronic
kidney disease >= grade 3a. All patients were switched from IV HBIG with or
without NAs to SC HBIG without NAs. After one year, the targeted titer was
lowered to >=150 IU/l in patients with low risk of recurrence. Mean follow-up
time was 36 +/- 5 months. None of the patients had a relapse of HBsAg or HBV DNA.
The treatment was well tolerated, safe and the renal function remained unchanged
both in patients with (n = 18) or without (n = 25) renal impairment at baseline.
The mean HBsAb titer could be decreased from 343 +/- 163 to 199 +/- 81 IU/l in
the low-risk group (n = 17) and 218 +/- 71 IU/l in the high-risk group (n = 26).
In 86% (n = 37) doses, reductions were possible, which significantly lowered the
cost of treatment. SC HBIG without NAs had a 100% success rate in the long-term
prevention of HBsAg and HBV DNA reappearance, without deterioration of renal
function.
PMID- 29359869
TI - Erratum.
PMID- 29359870
TI - Breakthrough Exophiala dermatitidis infection during prophylactic administration
of micafungin during second umbilical cord blood transplantation after graft
failure.
AB - Exophiala dermatitidis infections in patients with hematological malignancies are
very rare. Our patient had a blood stream infection caused by E. dermatitidis
following the second umbilical cord blood transplantation (UCBT) after graft
failure during the first UCBT. To our knowledge, this is the first report
describing a breakthrough fungal infection caused by E. dermatitidis during the
prophylactic administration of micafungin (MCFG). Therefore, MCFG-treated
patients should be monitored for breakthrough E. dermatitidis infection during
hematopoietic stem cell transplantation.
PMID- 29359871
TI - Association between the time after transplantation and different
immunosuppressive medications with dental and periodontal treatment need in
patients after solid organ transplantation.
AB - OBJECTIVE: The aim of this study was to investigate the association of time after
transplantation and different immunosuppressive medications with dental and
periodontal treatment needs in patients after solid organ transplantation (SOT).
METHODS: After lung, liver, or kidney transplantation, patients were included and
divided into subgroups based on the time after SOT (0-1, 1-3, 3-6, 6-10, and >10
years) and immunosuppression (tacrolimus, cyclosporine, mycophenolate,
glucocorticoids, sirolimus, and monotherapy vs combination). Dental treatment
need was determined by the presence of carious lesions, while periodontal
treatment need was diagnosed based on a Periodontal Screening index score of 3-4.
The overall treatment need included both the dental and/or periodontal treatment
needs. Statistical analysis was performed using the Kruskal-Wallis test and chi
squared test (P < .05). RESULTS: A total of 169 patients were included after SOT.
A dental treatment need of 44%, a periodontal treatment need of 71%, and an
overall treatment need of 84% were detected in the total cohort. Only patients
with >10 years after SOT had a lower dental treatment need compared to the other
groups (P = .02). All other comparisons of dental, periodontal, and overall
treatment needs were comparable between subgroups depending on time since SOT.
Furthermore, no statistically significant differences were found in terms of the
dental, periodontal, or overall treatment needs following the administration of
different immunosuppressive medications. CONCLUSION: The high treatment need of
patients after SOT, irrespective of the time since transplantation, suggests
insufficient dental and periodontal treatment before and maintenance after organ
transplantation. Furthermore, immunosuppressive medication was not associated
with the treatment need.
PMID- 29359872
TI - Mycobacterium abscessus infections in lung transplant recipients: 15-year
experience from a single institution.
AB - PURPOSE: To evaluate our institutional experience with Mycobacterium abscessus
infections occurring in lung transplant recipients (LTR). METHODS: We
retrospectively reviewed our prospectively collected institutional adult lung
transplant database from 2001 to 2015 to identify patients with M. abscessus or
Mycobacterium chelonae/abscessus infection before or after transplantation.
Untreated, colonized patients were excluded from the study. Electronic health
records of nine out of 516 lung recipients (1.74%) with clinical infection were
reviewed to determine outcomes. RESULTS: Seven patients acquired the infection
after transplantation. Indications for transplantation were: idiopathic pulmonary
fibrosis (in 6), chronic obstructive pulmonary disease (in 2), and cystic
fibrosis (in 1). Five patients (55.5%) underwent bilateral lung transplantation;
one patient required bilateral re-transplantation for complications from
infection. M. abscessus was isolated from the respiratory tract with a median
time of 7.5 months (range: 3 days to 13 months) from transplantation. All
patients were treated using a multidrug regimen, with durations ranging from 3
days to 12 months. Complications from infection included death in one patient,
bronchial anastomotic dehiscence in one patient, delayed bronchial occlusions in
two patients, and osteomyelitis of the knee in one patient. Median survival time
from transplantation was 39 months (range: 11-96 months) and from the date of
first positive culture was 58 months (range: 3-91 months). Five patients (55.5%)
were cured but two had re-infections >1 year later. CONCLUSIONS: Mycobacterium
abscessus infection in LTR is rare and can lead to severe complications.
Eradication is difficult and usually requires prolonged combination antibiotic
therapy and occasionally surgical management.
PMID- 29359874
TI - Fusion map: A useful tool to guide ablation in oblique accessory pathways.
PMID- 29359873
TI - Predicting age from cortical structure across the lifespan.
AB - Despite interindividual differences in cortical structure, cross-sectional and
longitudinal studies have demonstrated a large degree of population-level
consistency in age-related differences in brain morphology. This study assessed
how accurately an individual's age could be predicted by estimates of cortical
morphology, comparing a variety of structural measures, including thickness,
gyrification and fractal dimensionality. Structural measures were calculated
across up to seven different parcellation approaches, ranging from one region to
1000 regions. The age prediction framework was trained using morphological
measures obtained from T1-weighted MRI volumes collected from multiple sites,
yielding a training dataset of 1056 healthy adults, aged 18-97. Age predictions
were calculated using a machine-learning approach that incorporated nonlinear
differences over the lifespan. In two independent, held-out test samples, age
predictions had a median error of 6-7 years. Age predictions were best when using
a combination of cortical metrics, both thickness and fractal dimensionality.
Overall, the results reveal that age-related differences in brain structure are
systematic enough to enable reliable age prediction based on metrics of cortical
morphology.
PMID- 29359875
TI - Pain in severe dementia: A comparison of a fine-grained assessment approach to an
observational checklist designed for clinical settings.
AB - BACKGROUND: Fine-grained observational approaches to pain assessment (e.g. the
Facial Action Coding System; FACS) are used to evaluate pain in individuals with
and without dementia. These approaches are difficult to utilize in clinical
settings as they require specialized training and equipment. Easy-to-use
observational approaches (e.g. the Pain Assessment Checklist for Limited Ability
to Communicate-II; PACSLAC-II) have been developed for clinical settings. Our
goal was to compare a FACS-based fine-grained system to the PACSLAC-II in
differentiating painful from non-painful states in older adults with and without
dementia. METHOD: We video-recorded older long-term care residents with dementia
and older adult outpatients without dementia, during a quiet baseline condition
and while they took part in a physiotherapy examination designed to identify
painful areas. Videos were coded using pain-related behaviours from the FACS and
the PACSLAC-II. RESULTS: Both tools differentiated between painful and non
painful states, but the PACSLAC-II accounted for more variance than the FACS
based approach. Participants with dementia scored higher on the PACSLAC-II than
participants without dementia. CONCLUSION: The results suggest that easy-to-use
observational approaches for clinical settings are valid and that there may not
be any clinically important advantages to using more resource-intensive coding
approaches based on FACS. We acknowledge, as a limitation of our study, that we
used as baseline a quiet condition that did not involve significant patient
movement. In contrast, our pain condition involved systematic patient movement.
Future research should be aimed at replicating our results using a baseline
condition that involves non-painful movements. SIGNIFICANCE: Examining older
adults with and without dementia, a brief observational clinical approach was
found to be valid and accounted for more variance in differentiating pain-related
and non-pain-related states than did a detailed time-consuming fine-grained
approach.
PMID- 29359877
TI - A genomic map of clinal variation across the European rabbit hybrid zone.
AB - Speciation is a process proceeding from weak to complete reproductive isolation.
In this continuum, naturally hybridizing taxa provide a promising avenue for
revealing the genetic changes associated with the incipient stages of speciation.
To identify such changes between two subspecies of rabbits that display partial
reproductive isolation, we studied patterns of allele frequency change across
their hybrid zone using whole-genome sequencing. To connect levels and patterns
of genetic differentiation with phenotypic manifestations of subfertility in
hybrid rabbits, we further investigated patterns of gene expression in testis.
Geographic cline analysis revealed 253 regions characterized by steep changes in
allele frequency across their natural region of contact. This catalog of regions
is likely to be enriched for loci implicated in reproductive barriers and yielded
several insights into the evolution of hybrid dysfunction in rabbits: (i)
incomplete reproductive isolation is likely governed by the effects of many loci,
(ii) protein-protein interaction analysis suggest that genes within these loci
interact more than expected by chance, (iii) regulatory variation is likely the
primary driver of incompatibilities, and (iv) large chromosomal rearrangements
appear not to be a major mechanism underlying incompatibilities or promoting
isolation in the face of gene flow. We detected extensive misregulation of gene
expression in testis of hybrid males, but not a statistical overrepresentation of
differentially expressed genes in candidate regions. Our results also did not
support an X chromosome-wide disruption of expression as observed in mice and
cats, suggesting variation in the mechanistic basis of hybrid male reduced
fertility among mammals.
PMID- 29359876
TI - A successful aggressive surgical and medical approach to pulmonary artery
obstruction due to Mycobacterium abscessus infection post lung transplantation.
AB - Mycobacterium abscessus infection following lung transplantation has historically
been associated with poor outcomes. We report a case of bilateral lung
retransplantation complicated by obstruction of the right pulmonary artery
secondary to M. abscessus mycotic aneurysm. Aggressive surgical management,
including reconstruction of the right pulmonary artery, was undertaken with
prolonged antimicrobial therapy. Thirty-six months later, antibiotics have been
discontinued and the patient has stable soft tissue chest wall disease with good
graft function. Mortality and morbidity associated with M. abscessus infection is
considerable but this case illustrates that with aggressive early management,
outcomes may be favorable.
PMID- 29359878
TI - Where Should You Publish? Choosing a Journal for Your Manuscript.
PMID- 29359879
TI - Considerations for Implementing Group-Level Prenatal Health Interventions in Low
Resource Communities: Lessons Learned From Haiti.
AB - Haiti's high maternal and infant mortality rates evidence an urgent need for
implementation of evidence-based strategies. A potential cost-effective strategy
to mitigate high maternal and infant mortality rates is group prenatal care, an
innovative model that combines antenatal clinical assessment with pregnancy
education. Despite research demonstrating the effectiveness of this model in high
resource settings, less is known about the challenges of implementing it in low
resource settings. The purpose of this article is to provide recommendations for
overcoming challenges of implementing group prenatal care in low-resources
communities globally. Challenges addressed include language, literacy, space,
cultural appropriateness of intervention content, and sociopolitical climate.
Using examples from work conducted in Haiti, this information can be used to
assist practitioners and researchers with overcoming challenges of implementing
models of group care in international low-resource communities.
PMID- 29359880
TI - Longitudinal analysis on the effect of insertion torque on delayed single
implants: A 3-year randomized clinical study.
AB - BACKGROUND: Implant stability is commonly related to insertion torque. Recently,
it has been suggested that higher insertion torque may lead to greater bone
resorption. PURPOSE: The aim of the present randomized clinical study was to
evaluate the role of different insertion torque values in terms of implant
success, marginal bone loss, and facial soft tissues recession. MATERIALS AND
METHODS: Patients requiring a single dental implant were recruited and randomized
to receive one of two implants with the same macro-geometry but different cutting
designs. First group consists of a 90 degrees cutting groove know as self-tapping
implant, and the second group known as BlossomTM cutting groove. (Intra-Lock,
Boca Raton, Florida). The insertion torque (IT) was assessed and two groups
followed: high-IT (>=50 Ncm) group and regular-IT (<50 Ncm) group. After 3
months, all the implants were restored. At baseline, buccal bone thickness (BBT)
was recorded. During the 3-year survey, the following outcomes had been
registered: implant failures and success, radiographic marginal bone level around
dental implant (MBL) and facial soft tissue level (FSTL). RESULTS: A hundred and
sixteen implants were placed in healed sites. The overall survival rate after 3
years was 96.5%. The Cumulative Success Rate was 91.3% for the High IT group and
98.2% for the Regular IT group. The mean marginal bone loss and facial soft
tissue recession, at a 3-year evaluation, were significantly greater for the High
IT group and in the mandible than that reached in the Regular-IT group and in the
maxilla. CONCLUSION: Present findings showed that implants placed with higher
insertion torque in mandible led to greater bone resorption and mucosal recession
than that registered for implants placed with a regular IT. Moreover, sites with
a thick buccal bone wall (>=1 mm) showed smaller recession at the facial soft
tissue level after 3 years.
PMID- 29359881
TI - 3-Year follow-up of temporary implantable nitinol device implantation for the
treatment of benign prostatic obstruction.
AB - OBJECTIVES: To report 3-year follow-up results of the first implantations with a
temporary implantable nitinol device (TIND(r) ; Medi-Tate Ltd., Or Akiva, Israel)
for the treatment of lower urinary tract symptoms (LUTS) secondary to benign
prostatic hyperplasia (BPH). PATIENTS AND METHODS: In all, 32 patients with LUTS
were enrolled in this prospective study. The study was approved by the local
Ethics Committee. Inclusion criteria were: age >50 years, International Prostate
Symptom Score (IPSS) >=10, peak urinary flow (Qmax ) <12 mL/s, and prostate
volume <60 mL. The TIND was implanted within the bladder neck and the prostatic
urethra under light sedation, and removed 5 days later in an outpatient setting.
Demographics, perioperative results, complications (according to Clavien-Dindo
classification), functional results, and quality of life (QoL) were evaluated.
Follow-up assessments were made at 3 and 6 weeks, and 3, 6, 12, 24 and 36 months
after the implantation. The Student's t-test, one-way analysis of variance and
Kruskal-Wallis tests were used for statistical analyses. RESULTS: At baseline,
the mean (standard deviation, sd) patient age was 69.4 (8.2) years, prostate
volume was 29.5 (7.4) mL, and Qmax was 7.6 (2.2) mL/s. The median (interquartile
range, IQR) IPSS was 19 (14-23) and the QoL score was 3 (3-4). All the
implantations were successful, with a mean total operative time of 5.8 min. No
intraoperative complications were recorded. The change from baseline in IPSS, QoL
score and Qmax was significant at every follow-up time point. After 36 months of
follow-up, a 41% rise in Qmax was achieved (mean 10.1 mL/s), the median (IQR)
IPSS was 12 (6-24) and the IPSS QoL was 2 (1-4). Four early complications (12.5%)
were recorded, including one case of urinary retention (3.1%), one case of
transient incontinence due to device displacement (3.1%), and two cases of
infection (6.2%). No further complications were recorded during the 36-month
follow-up. CONCLUSIONS: The extended follow-up period corroborated our previous
findings and suggests that TIND implantation is safe, effective and well
tolerated, for at least 36 months after treatment.
PMID- 29359882
TI - Open radical cystectomy in England: the current standard of care - an analysis of
the British Association of Urological Surgeons (BAUS) cystectomy audit and
Hospital Episodes Statistics (HES) data.
AB - OBJECTIVE: To establish the current standard for open radical cystectomy (ORC) in
England, as data entry by surgeons performing RC to the British Association of
Urological Surgeons (BAUS) database was mandated in 2013 and combining this with
Hospital Episodes Statistics (HES) data has allowed comprehensive outcome
analysis for the first time. PATIENTS AND METHODS: All patients were included in
this analysis if they were uploaded to the BAUS data registry and reported to
have been performed in the 2 years between 1 January 2014 and 31 December 2015 in
England (from mandate onwards) and had been documented as being performed in an
open fashion (not laparoscopic, robot assisted or the technique field left
blank). The HES data were accessed via the HES website. Office of Population
Censuses and Surveys Classification of Surgical Operations and Procedures version
4 (OPCS-4) Code M34 was searched during the same 2-year time frame (not including
M34.4 for simple cystectomy or with additional minimal access codes Y75.1-9
documenting a laparoscopic or robotic approach was used) to assess data capture.
RESULTS: A total of 2 537 ORCs were recorded in the BAUS registry and 3 043 in
the HES data. This indicates a capture rate of 83.4% of all cases. The median
operative time was 5 h, harvesting a median of 11-20 lymph nodes, with a median
blood loss of 500-1 000 mL, and a transfusion rate of 21.8%. The median length of
stay was 11 days, with a 30-day mortality rate of 1.58%. CONCLUSIONS: This is the
largest, contemporary cohort of ORCs in England, encompassing >80% of all
performed operations. We now know the current standard for ORC in England. This
provides the basis for individual surgeons and units to compare their outcomes
and a standard with which future techniques and modifications can be compared.
PMID- 29359883
TI - A clinician-centred programme for behaviour change in the optimal use of staging
investigations for newly diagnosed prostate cancer.
AB - OBJECTIVES: To improve imaging utilisation and reduce the widespread overuse of
staging investigations, in the form of computed tomography (CT) and whole-body
bone scans for men with newly diagnosed prostate cancer in the Hunter region of
NSW, Australia, by implementation of a multifaceted clinician-centred behaviour
change programme. PATIENTS AND METHODS: Records of all patients with a new
diagnosis of prostate cancer were reviewed prior to the intervention (July 2014
to July 2015), and the results of this audit were presented to participating
urologists by a clinical champion. Urologists then underwent focused education
based on current guidelines. Patterns of imaging use for staging were then re
evaluated (November 2015 to July 2016). Patients were stratified into low-,
intermediate- and high-risk groups as described by the D'Amico classification
system. RESULTS: A total of 144 patients were retrospectively enrolled into the
study cohort. The use of diagnostic imaging for staging purposes significantly
decreased in men with low- and intermediate-risk disease post intervention. In
low-risk patients, the use of CT decreased from 43% to 0% (P = 0.01). A total of
21% of patients underwent bone scans in the pre-intervention group compared
with18% in the post-intervention group (P = 0.84). In intermediate-risk patients,
the use of CT decreased from 89% to 34% (P < 0.001), whilst the use of bone scan
decreased from 63% to 37% (P = 0.02). In high-risk patients, the appropriate use
of imaging was maintained, with CT performed in 87% compared with 85% and bone
scan in 87% compared with 65% (P = 0.07). CONCLUSION: Our results show that a
focused, clinician-centred education programme can lead to improved guideline
adherence at a regional level. The assessment of trends and application of such a
programme at a state-based or national level could be further assessed in the
future with the help of registry data. This will be particularly important in
future with the advent of advanced imaging, such as prostate-specific membrane
antigen positron-emission tomography.
PMID- 29359885
TI - Mechano-Based Transductive Sensing for Wearable Healthcare.
AB - Wearable healthcare presents exciting opportunities for continuous, real-time,
and noninvasive monitoring of health status. Even though electrochemical and
optical sensing have already made great advances, there is still an urgent demand
for alternative signal transformation in terms of miniaturization, wearability,
conformability, and stretchability. Mechano-based transductive sensing, referred
to the efficient transformation of biosignals into measureable mechanical
signals, is claimed to exhibit the aforementioned desirable properties, and
ultrasensitivity. In this Concept, a focus on pressure, strain, deflection, and
swelling transductive principles based on micro-/nanostructures for wearable
healthcare is presented. Special attention is paid to biophysical sensors based
on pressure/strain, and biochemical sensors based on microfluidic pressure,
microcantilever, and photonic crystals. There are still many challenges to be
confronted in terms of sample collection, miniaturization, and wireless data
readout. With continuing efforts toward solving those problems, it is anticipated
that mechano-based transduction will provide an accessible route for multimode
wearable healthcare systems integrated with physical, electrophysiological, and
biochemical sensors.
PMID- 29359886
TI - Care of older people-pharmacy's contribution: call for papers.
PMID- 29359887
TI - Big Data.
PMID- 29359884
TI - Benign and malignant tumors in Rubinstein-Taybi syndrome.
AB - Rubinstein-Taybi syndrome (RSTS) is a multiple congenital anomalies syndrome
associated with mutations in CREBBP (70%) and EP300 (5-10%). Previous reports
have suggested an increased incidence of specific benign and possibly also
malignant tumors. We identified all known individuals diagnosed with RSTS in the
Netherlands until 2015 (n = 87) and studied the incidence and character of
neoplastic tumors in relation to their CREBBP/EP300 alterations. The population
based Dutch RSTS data are compared to similar data of the Dutch general
population and to an overview of case reports and series of all RSTS individuals
with tumors reported in the literature to date. Using the Nationwide Network and
Registry of Histopathology and Cytopathology in the Netherlands (PALGA
Foundation), 35 benign and malignant tumors were observed in 26/87 individuals.
Meningiomas and pilomatricomas were the most frequent benign tumors and their
incidence was significantly elevated in comparison to the general Dutch
population. Five malignant tumors were observed in four persons with RSTS
(medulloblastoma; diffuse large-cell B-cell lymphoma; breast cancer; non-small
cell lung carcinoma; colon carcinoma). No clear genotype-phenotype correlation
became evident. The Dutch population-based data and reported case studies
underscore the increased incidence of meningiomas and pilomatricomas in
individuals with RSTS. There is no supporting evidence for an increased risk for
malignant tumors in individuals with RSTS, however, due to the small numbers this
risk may not be fully dismissed.
PMID- 29359888
TI - Management of paediatric high-grade blunt renal trauma: a 10-year single-centre
UK experience.
AB - OBJECTIVE: To report the management and outcome of paediatric patients sustaining
high-grade blunt renal trauma. PATIENTS AND METHODS: Medical records were
examined for all American Association for the Surgery of Trauma (AAST) grade III
V blunt renal trauma cases admitted to a paediatric trauma centre from 2005 to
2015. Data collected and analysed included: demographics, imaging modalities,
management, length of hospital stay (LOS), complications, and follow-up outcomes.
RESULTS: In all, 18 children (12 boys, six girls) with mean (range) age 11 (4-15)
years were included. According to the AAST grading criteria, 39% (seven of 18) of
the patients had grade III, 50% (nine of 18) grade IV, and 11% (two of 18) grade
V injuries; 44% (eight of 18) had concomitant injuries. Most of the patients were
managed conservatively (89%, 16 of 18), although two of the 16 subsequently
needed JJ-stent insertion during inpatient stay for symptomatic urinoma(s). In
all, 11% (two of 18) of the patients required interventional radiology
service(s), involving selective embolisation for life-threatening renal tract
haemorrhage. Blood transfusion for renal injury exclusively was required in 11%
(two of 18) of the patients. In all, 89% (16 of 18) of the patients had at least
one follow-up imaging study before hospital discharge; most (13 of 16) had
ultrasonography and three required computed tomography. The median (range) LOS
was 11 (4-31) days. In all, 17% (three of 18) of the patients required hospital
re-admission within 30 days for complications and all required interventional
procedures: JJ stent for urinoma (one), embolisation of renal arterio-venous
fistula (one), and embolisation for a post-traumatic pseudoaneurysm (one).
Overall, the median (range) follow-up was 6 (2-60) months. In all, 78% (14 of 18)
of the patients had dimercaptosuccinic acid studies, with 11 showing reductions
in renal function (range 3-44%). CONCLUSIONS: This study supports a care pathway
strategy advocating conservative management of high-grade renal injuries in
children. However, patients may experience a relative decline in renal function
with higher grade injuries indicating the need for monitoring and follow-up.
PMID- 29359889
TI - Periodontal and chronic kidney disease association: a systematic review and meta
analysis.
AB - AIM: Chronic kidney disease (CKD) and kidney failure is increasing globally and
evidence from observational studies suggest periodontal disease may contribute to
kidney functional decline. METHODS: Electronic searches of the PubMed, EMBASE,
Web of Science, Scopus and Cochrane Library databases were conducted for the
purposes of conducting a systematic review. Hand searching of reference lists was
also performed. Meta-analysis of observational studies involving periodontal
disease and chronic kidney disease in adults was performed. RESULTS: A total of
17 studies were selected from an initial 4,055 abstracts. Pooled estimates
indicated the odds of having CKD were 60% higher among patients with
periodontitis: pooled OR 1.60 (95% CI 1.44 - 1.79, I2 35.2%, P=0.11) compared to
those without. Conversely, a similar magnitude but non-significant higher odds of
having periodontal disease was found among people with CKD 1.69 (95% CI: 0.84,
3.40, I2 =89.8%, P<0.00) versus non-CKD. Meta-regression revealed study quality
based on the Newcastle-Ottawa Scale and statistical adjustment for potential
confounders explained almost 35% of the heterogeneity in the studies
investigating the association between CKD and periodontitis. CONCLUSIONS:
Moderate evidence for a positive association between periodontitis and CKD
exists. Evidence for the opposite direction is extremely weak based on
significant heterogeneity between studies.
PMID- 29359890
TI - Performance comparison of two androgen receptor splice variant 7 (AR-V7)
detection methods.
AB - OBJECTIVES: To compare the performance of two established androgen receptor
splice variant 7 (AR-V7) mRNA detection systems, as paradoxical responses to next
generation androgen-deprivation therapy in AR-V7 mRNA-positive circulating tumour
cells (CTC) of patients with castration-resistant prostate cancer (CRPC) could be
related to false-positive classification using detection systems with different
sensitivities. MATERIALS AND METHODS: We compared the performance of two
established mRNA-based AR-V7 detection technologies using either SYBR Green or
TaqMan chemistries. We assessed in vitro performance using eight genitourinary
cancer cell lines and serial dilutions in three AR-V7-positive prostate cancer
cell lines, as well as in 32 blood samples from patients with CRPC. RESULTS: Both
assays performed identically in the cell lines and serial dilutions showed
identical diagnostic thresholds. Performance comparison in 32 clinical patient
samples showed perfect concordance between the assays. In particular, both assays
determined AR-V7 mRNA-positive CTCs in three patients with unexpected responses
to next-generation anti-androgen therapy. Thus, technical differences between the
assays can be excluded as the underlying reason for the unexpected responses to
next-generation anti-androgen therapy in a subset of AR-V7 patients. CONCLUSIONS:
Irrespective of the method used, patients with AR-V7 mRNA-positive CRPC should
not be systematically precluded from an otherwise safe treatment option.
PMID- 29359891
TI - Federal Employees Health Benefits Program: Removal of Eligible and Ineligible
Individuals From Existing Enrollments. Final rule.
AB - The United States Office of Personnel Management (OPM) is issuing a final rule
amending Federal Employees Health Benefits (FEHB) Program regulations to provide
a process for removal of certain identified individuals who are found not to be
eligible as family members from FEHB enrollments. This process would apply to
individuals for whom there is a failure to provide adequate documentation of
eligibility when requested. This action also amends Federal Employees Health
Benefits (FEHB) Program regulations to allow certain eligible family members to
be removed from existing self and family or self plus one enrollments.
PMID- 29359892
TI - Implementation of the Provision of the Comprehensive Addiction and Recovery Act
of 2016 Relating to the Dispensing of Narcotic Drugs for Opioid Use Disorder.
Final rule.
AB - The Comprehensive Addiction and Recovery Act (CARA) of 2016, which became law on
July 22, 2016, amended the Controlled Substances Act (CSA) to expand the
categories of practitioners who may, under certain conditions on a temporary
basis, dispense a narcotic drug in Schedule III, IV, or V for the purpose of
maintenance treatment or detoxification treatment. Separately, the Department of
Health and Human Services, by final rule effective August 8, 2016, increased to
275 the maximum number of patients that a practitioner may treat for opioid use
disorder without being separately registered under the CSA for that purpose. The
Drug Enforcement Administration (DEA) is hereby amending its regulations to
incorporate these statutory and regulatory changes.
PMID- 29359893
TI - Access to Health Insurance.
PMID- 29359894
TI - Access to Health Insurance: State Children's Health Insurance Program.
PMID- 29359895
TI - Business of Health: Business of Health Insurance.
PMID- 29359896
TI - A New Modality for Cancer Treatment--Nanoparticle Mediated Microwave Induced
Photodynamic Therapy.
AB - Photodynamic therapy (PDT) has attracted ever-growing attention as a promising
modality for cancer treatment. However, due to poor tissue penetration by light,
photodynamic therapy has rarely been used for deeply situated tumors. This
problem can be solved if photosensitizers are activated by microwaves (MW) that
are able to penetrate deeply into tissues. Here, for the first time, we report
microwave-induced photodynamic therapy and exploit copper cysteamine
nanoparticles as a new type of photosensitizer that can be activated by
microwaves to produce singlet oxygen for cancer treatment. Both in vitro and in
vivo studies on a rat osteosarcoma cell line (UMR 106-01) have shown significant
cell destruction using copper cysteamine (Cu-Cy) under microwave activation. The
heating effects and the release of copper ions from Cu-Cy upon MW stimulation are
the main mechanisms for the generation of reactive oxygen species that are lethal
bullets for cancer destruction. The copper cysteamine nanoparticle-based
microwave-induced photodynamic therapy opens a new door for treating cancer and
other diseases.
PMID- 29359897
TI - Business of Health: Business of Healthcare.
PMID- 29359899
TI - Business of Health: International Healthcare.
PMID- 29359898
TI - The Morphology of Self-Assembled Lipid-Based Nanoparticles Affects Their Uptake
by Cancer Cells.
AB - The morphology of nanoparticles (NPs) has been presumed to play an important role
in cellular uptake and in vivo stability. This report experimentally demonstrates
such dependence by using two types of uniform-sized self-assembled lipid-based
NPs, namely nanodiscs and nanovesicles, composed of identical lipid composition.
The morphology is characterized by small angle neutron scattering, dynamic light
scattering and transmission electron microscopy. Both NPs have similar bio
stability in serum and cellular cytotoxicity. However, cellular uptake of the
nanodiscs at 37 degrees C is consistently and significantly higher than that of
the vesicles according to the uptake results of several human cancer cell lines,
i.e., CCRFCEM, KB, and OVCAR-8, indicating a strong morphological dependence of
cellular internalization. Further studies on such morphological dependence using
CCRF-CEM reveals that vesicles only use Clathrin- and caveolae-mediated endocytic
pathways, while nanodiscs also take the additional routes of macropinocytosis and
microtubule-mediated endocytosis.
PMID- 29359900
TI - Emergency care.
PMID- 29359901
TI - Designing of Combined Nano and Microfiber Network by Immobilization of Oxidized
Cellulose Nanofiber on Polycaprolactone Fibrous Scaffold.
AB - In this study, the immobilization of oxidized cellulose nanofiber (OCNF) network
on the polycaprolactone (PCL) fibrous scaffold was performed by the aminolysis
procedure through electrospinning and layer by layer (LBL) techniques. The
morphology of the fibrous scaffold was examined by field emission scanning
electron microscopy (FE-SEM), and it indicated that after immobilization of OCNF
on PCL, the unique nanofiber and nano network was created. Moreover, the physical
and chemical properties of samples were examined using X-ray photoelectron
spectroscopy (XPS), Fourier transform spectroscopy (FT-IR), thermogravimetric
analysis (TGA), water contact angle measurement, and BSA adsorption properties.
Furthermore, the cellular responses to PCL scaffold with and without modification
by OCNF were examined by seeding rat bone marrow stem cells (RBMSCs) on the
fibrous scaffold for assessing cell attachment, cell viability, and
proliferation. Thus, the present study focused on preparation and
characterization of a membrane and tubular scaffold with a unique nanostructure,
which is an excellent candidate for use as a blood vessel scaffold graft.
PMID- 29359902
TI - Healthcare facilities.
PMID- 29359903
TI - Nanohybrid Electro-Coatings Toward Therapeutic Implants with Controlled Drug
Delivery Potential for Bone Regeneration.
AB - Coatings of metallic implants facilitate a new bioactive interface that favors
osteogenic responses and bone formation. Providing a therapeutic capacity to the
coatings, involving with a sustainable and controllable delivery of drug
molecules, significantly improves the bone regenerative potential. Here we design
a novel nanocomposite coating, made of mesoporous silica-shelled hydroxyapatite
(MS-HA) nanoparticles and chitosan (Chi), incorporating osteogenic drug
dexamethasone phosphate (Dex(P)) within the MS-HA, by the process of an
electrophoretic deposition (EPD). MS-HA, produced by a sol-gel reaction of silica
onto an HA nanorod, exhibited mono-dispersed core-shell nanoparticles with a size
of ~40 nm and a shell thickness of ~25 nm. The highly mesoporous structure
enabled an effective loading of Dex(P) onto the nanocarriers, showing a loading
capacity as high as 15% by weight. The Dex(P) loaded MS-HA were homogenized with
Chi in acidic ethanol/water to allow for the EPD process. Nanocomposite coatings
were produced well, forming thicknesses a few micrometers largely tunable with
EPD parameters and exhibiting MS-HA nanoparticles evenly distributed within Chi
matrix. While Dex(P) release from the bare MS-HA nanocarrier was very abrupt,
showing a complete release within 24 h, the Dex(P) release from the nanocomposite
coatings profiled a highly sustainable pattern over a month. Rat mesenchymal stem
cells cultured on the Dex(P)-releasing coatings were substantially stimulated to
an osteoblastic lineage, presenting enhanced alkaline phosphate activity and
higher levels of osteogenic genes, with respect to coatings free of Dex(P). An
indirect culture test also confirmed the long-term release effects of Dex(P) from
the coatings over 4 weeks. The currently-developed nanocomposite EPD coatings,
with a capacity to load osteogenic drug at large quantity and to deliver for a
long-term period, are considered as a promising therapeutic coating platform for
metallic bone implants.
PMID- 29359904
TI - Healthcare Workforce.
PMID- 29359905
TI - Healthcare Information Technology.
PMID- 29359906
TI - Long-Term Antibacterial Performance and Bioactivity of Plasma-Engineered Ag
NPs/TiO2
AB - We prepared TiO2 nanotubes (NT) on commercially pure titanium (cp-Ti) substrate
by plasma electrolyte oxidation and adapted magnetron sputtering for
incorporation of Ag-nanoparticles (Ag-NPs) onto the nanotubes (Ag-NPs/TiO2
nanotube). Power input to the Ag target per unit time was varied (5, 10, 15
W/cm2) to fabricate different shapes of Agnanoparticles onto the nanotubes while
net energy input was fixed by maintaining a constant total sputter time (30, 15,
10 s, respectively). For investigation of experimental samples' characteristics,
FE-SEM, TEM, EDS, XRD, XPS, SPM analysis and contact angles measurement was
carried out. Through these characterization, plasma engineered Ag-NPs was
successfully formed on/in the entire nanotube structure. In terms of
antibacterial ability, plasma engineered Ag-NPs/TiO2 nanotubes samples
significantly reduced S. aureus colony numbers compared with control. Also,
simulated body fluid immersion tests with hydroxyapatite showed ion precipitation
onto the surface of all experimental groups, confirmed by XRD and EDS analysis.
However, plasma engineered Ag-NPs/TiO2 nanotubes groups were not cytotoxic.
Furthermore, MC3T3-E1 cells were cultured on Ag-NPs/TiO2 nanotubes groups to
evaluate the effect of nanostructured surface on cell functionality such as a
cell proliferation and ALP activity. Ag-NPs/TiO2 nanotubes have both
biocompatible and antibacterial characteristics.
PMID- 29359907
TI - Medical Errors and Patient Safety: Reducing Medical Errors and Improving Patient
Safety.
PMID- 29359909
TI - Exploration of C-H Transformations of Aldehyde Hydrazones: Radical Strategies and
Beyond.
AB - The chemistry of hydrazones has gained great momentum due to their involvement
throughout the evolution of organic synthesis. Herein, we discuss the tremendous
developments in both the methodology and application of hydrazones. Hydrazones
can be recognized not only as synthetic equivalents to aldehydes and ketones but
also as versatile synthetic building blocks. Consequently, they can participate
in a range of practical synthetic transformations. Furthermore, hydrazone
derivatives display a broad array of biological activities and have been widely
applied as pharmaceuticals. Owing to the weak directing group effect of simple
aldehydes and ketones in C-H bond functionalizations, the C-H bond
functionalizations of hydrazones that have been developed in the past five years
represent a significant step forward. These novel transformations open a new door
to a broader library of functionalized and complex small molecules. Moreover, a
wide range of biologically important N-heterocycles (dihydropyrazoles, pyrazoles,
indazoles, cinnolines, etc.) can be efficiently synthesized in an atom- and step
economical manner through single, double, or triple C-H bond functionalizations
of hydrazones. Both radical C-H functionalizations and transition-metal-catalyzed
directing-group strategies have enhanced the synthetic utility of hydrazones in
the chemical community because these strategies solve the long-standing challenge
of C-H functionalizations adjacent to aldehydes and ketones. We began this study
based on our ongoing interest in visible-light photoredox catalysis. Visible
light photoredox catalysis has become a powerful tool in contemporary synthetic
chemistry due to its remarkable advantages in sustainability and use of radical
chemistry. By exploiting a photoredox-catalyzed aminyl radical polar crossover
(ARPC) strategy, we successfully achieved visible-light-induced C(sp2)-H
difluoroalkylation, trifluoromethylation, and perfluoroalkylation of aldehyde
derived hydrazones. This intriguing result was later applied in the C(sp2)-H
amination of hydrazones and a cascade cyclization reaction for the synthesis of
polycyclic compounds. Encouraged by this redox-neutral C-H functionalization of
aldehyde hydrazones, we extended the oxidative C-H/P-H cross-coupling method,
which represents a novel and efficient method for the synthesis of alpha
iminophosphine oxides. Furthermore, an elegant [3 + 2] cycloaddition of azides
and aldehyde hydrazones for the synthesis of functionalized tetrazoles was
advantageously developed during our investigation of the oxidative C(sp2)-H
azidation of aldehyde hydrazones with TMSN3. The sequential C(sp2)-H/C(sp3)-H
bond functionalization of aldehyde-derived hydrazones with simple 2,2-dibromo-1,3
dicarbonyls was achieved by employing relay photoredox catalysis, and it provides
a novel method of accessing bioactive fused dihydropyrazole derivatives. The
notable feature of this approach was further reflected in the formal [4 + 1]
annulation of aldehyde-derived N-tetrahydroisoquinoline hydrazones with 2-bromo
1,3-dicarbonyls. To complement these radical C-H functionalization strategies, we
recently applied a directing-group strategy in the Rh-catalyzed C(aldehyde)-H
functionalization of aldehyde-derived hydrazones for the synthesis of distinctive
and bioactive 1H-indazole scaffolds. In summary, this Account presents recent
contributions to the exploration, development, mechanistic insights, and
synthetic applications of C-H bond functionalizations of aldehyde hydrazones.
PMID- 29359908
TI - Potent Inhibitors of Mycobacterium tuberculosis Growth Identified by Using in
Cell NMR-based Screening.
AB - In-cell NMR spectroscopy was used to screen for drugs that disrupt the
interaction between prokaryotic ubiquitin like protein, Pup, and mycobacterial
proteasome ATPase, Mpa. This interaction is critical for Mycobacterium
tuberculosis resistance against nitric oxide (NO) stress; interruption of this
process was proposed as a mechanism to control latent infection. Three compounds
isolated from the NCI Diversity set III library rescued the physiological
proteasome substrate from degradation suggesting that the proteasome degradation
pathway was selectively targeted. Two of the compounds bind to Mpa with sub
micromolar to nanomolar affinity, and all three exhibit potency toward
mycobacteria comparable to antibiotics currently available on the market,
inhibiting growth in the low micromolar range.
PMID- 29359910
TI - Nitrogen-Doped Single-Walled Carbon Nanohorns as a Cost-Effective Carbon Host
toward High-Performance Lithium-Sulfur Batteries.
AB - Nitrogen-doped single-walled carbon nanohorns (N-SWCNHs) are porous carbon
material characterized by unique horn-shape structures with high surface areas
and good conductivity. Moreover, they can be mass-produced (tons/year) using a
novel proprietary process technology making them an attractive material for
various industrial applications. One of the applications is the encapsulation of
sulfur, which turns them as promising conductive host materials for lithium
sulfur batteries. Therefore, we explore for the first time the electrochemical
performance of industrially produced N-SWCNHs as a sulfur-encapsulating
conductive material. Fabrication of lithium-sulfur cells based on N-SWCNHs with
sulfur composite could achieve a remarkable initial gravimetric capacity of 1650
mA h g-1, namely equal to 98.5% of the theoretical capacity (1675 mA h g-1), with
an exceptional sulfur content as high as 80% in weight. Using cyclic
chronopotentiometry and impedance spectroscopy, we also explored the dissolution
mechanism of polysulfides inside the electrolyte.
PMID- 29359911
TI - Robust Coatings via Catechol-Amine Codeposition: Mechanism, Kinetics, and
Application.
AB - Bioinspired polyphenol/polyamine codeposition has been demonstrated by the
competence for surface modification; however, the reaction processes including
mechanism and kinetics remain superficially understood. In this work, the
catechol (CA)-amine reaction has been thoroughly investigated by using CA and two
amines m-phenylenediamine and piperazine. We verify that both primary and
secondary amines are prone to link with CA through Michael addition to form
polyphenol/polyamine oligomers under aerobic and mild-alkaline conditions.
Molecular simulations indicate that the Michael addition products are dominant
for both aromatic and aliphatic amines with CA, which supports the durable chem-
and phystability of the codeposited coatings. The aggregation kinetics of
polyphenol/polyamine is provided for the first time, and the formed aggregates
show high-adhesive properties, which can be deposited as the skin layers for high
performance nanofiltration membranes.
PMID- 29359912
TI - Tissue-Engineered Bone Immobilized with Human Adipose Stem Cells-Derived Exosomes
Promotes Bone Regeneration.
AB - Exosomes, nanoscale extracellular vesicles functioning as cell-to-cell
communicators, are an emerging promising therapeutic in the field of bone tissue
engineering. Here, we report the construction and evaluation of a novel cell-free
tissue-engineered bone that successfully accelerated the restoration of critical
sized mouse calvarial defects through combining exosomes derived from human
adipose-derived stem cells (hASCs) with poly(lactic-co-glycolic acid) (PLGA)
scaffolds. The exosomes were immobilized on the polydopamine-coating PLGA
(PLGA/pDA) scaffolds under mild chemical conditions. Specifically, we
investigated the effects of hASC-derived exosomes on the osteogenic,
proliferation, and migration capabilities of human bone marrow-derived
mesenchymal stem cells in vitro and optimized their osteoinductive effects
through osteogenic induction. Furthermore, an in vitro assay showed exosomes
could release from PLGA/pDA scaffold slowly and consistently and in vivo results
showed this cell-free system enhanced bone regeneration significantly, at least
partially through its osteoinductive effects and capacities of promoting
mesenchymal stem cells migration and homing in the newly formed bone tissue.
Therefore, overall results demonstrated that our novel cell-free system comprised
of hASC-derived exosomes and PLGA/pDA scaffold provides a new therapeutic
paradigm for bone tissue engineering and showed promising potential in repairing
bone defects.
PMID- 29359913
TI - Ultrathin Shape Change Smart Materials.
AB - With the discovery of graphene, significant research has focused on the
synthesis, characterization, and applications of ultrathin materials. Graphene
has also brought into focus other ultrathin materials composed of organics,
polymers, inorganics, and their hybrids. Together, these ultrathin materials have
unique properties of broad significance. For example, ultrathin materials have a
large surface area and high flexibility which can enhance conformal contact in
wearables and sensors leading to improved sensitivity. When porous, the short
transverse diffusion length in these materials allows rapid mass transport.
Alternatively, when impermeable, these materials behave as an ultrathin barrier.
Such controlled permeability is critical in the design of encapsulation and drug
delivery systems. Finally, ultrathin materials often feature defect-free and
single-crystal-like two-dimensional atomic structures resulting in superior
mechanical, optical, and electrical properties. A unique property of ultrathin
materials is their low bending rigidity, which suggests that they could easily be
bent, curved, or folded into 3D shapes. In this Account, we review the emerging
field of 2D to 3D shape transformations of ultrathin materials. We broadly define
ultrathin to include materials with a thickness below 100 nm and composed of a
range of organic, inorganic, and hybrid compositions. This topic is important for
both fundamental and applied reasons. Fundamentally, bending and curving of
ultrathin films can cause atomistic and molecular strain which can alter their
physical and chemical properties and lead to new 3D forms of matter which behave
very differently from their planar precursors. Shape change can also lead to new
3D architectures with significantly smaller form factors. For example, 3D
ultrathin materials would occupy a smaller space in on-chip devices or could
permeate through tortuous media which is important for miniaturized robots and
smart dust applications. Our Account highlights several differences between
ultrathin and traditional shape change materials. The latter is typically
associated with hydrogels, liquid crystals, or shape memory elastomers. As
compared to bulk materials, ultrathin materials can much more easily bend and
fold due to the significantly reduced bending modulus. Consequently, it takes
much less energy to alter the shape of ultrathin materials, and even small
environmental stimuli can trigger a large response. Further, the energy barriers
between different configurations are small which allow a variety of conformations
and enhances programmability. Finally, due to their ultrathin nature, the shape
changes are typically not slowed down by sluggish mass or thermal transport, and
thus, responses can be much faster than those of bulk materials. The latter point
is important in the design of high-speed actuators. Consequently, ultrathin
materials could enable low-power, rapid, programmable, and complex shape
transformations in response to a broad range of stimuli such as pH, temperature,
electromagnetic fields, or chemical environments. The Account also includes a
discussion of applications, important challenges, and future directions.
PMID- 29359914
TI - Kirigami-Inspired Structures for Smart Adhesion.
AB - Spatially controlled layouts of elasticity can provide enhanced adhesion over
homogeneous systems. Emerging techniques in kirigami, where designed cuts in
materials impart highly tunable stiffness and geometry, offer an intriguing
approach to create well-defined layouts of prescribed elastic regions. Here, we
show that kirigami-inspired structures at interfaces provide a new mechanism to
spatially control and enhance adhesion strength while providing directional
characteristics for smart interfaces. We use kirigami-inspired cuts to define
stiff and compliant regions, where above a critical, material-defined length
scale, bending rigidity and contact width can be tuned to enhance adhesive force
capacity by a factor of ~100 across a spatially patterned adhesive sheet. The
directional nature of these designs also imparts anisotropic responses, where
peeling in different directions results in anisotropic adhesion ratios of ~10.
Experimental results are well-supported by theoretical predictions in which the
bending rigidity and contact width of kirigami-inspired structures and
interconnects control the adhesive capacity. These new interfacial structures and
design criteria provide diverse routes for advanced adhesive functionality,
including spatially controlled systems, wearable kirigami-inspired electronics,
and anisotropic kirigami-inspired bandages that enable strong adhesive capacity
while maintaining easy release.
PMID- 29359915
TI - Preparation of Dual-Emitting Ln@UiO-66-Hybrid Films via Electrophoretic
Deposition for Ratiometric Temperature Sensing.
AB - Engineering novel dual-emitting metal-organic frameworks (MOFs) with wide
emission ranges for application as ratiometric temperature sensors is still a
challenge. In this paper, two novel dual-emitting MOFs with intergrated
lanthanide metals and luminescent ligand in a UiO-66-type structure, named Ln@UiO
66-Hybrid, were prepared via the combination of postsynthetic modification and
postsynthetic exchange methods. Subsequently, the as-synthesized MOFs were
deposited onto fluorine tin oxide substrates through electrophoretic deposition
by taking advantage of the charges from the unmodified carboxylic groups of the
MOFs. The as-prepared Tb@UiO-66-Hybrid and Eu@UiO-66-Hybrid films were applied to
detect temperature changes. The resulting Tb@UiO-66-Hybrid film exhibited good
temperature-sensing properties with a relative sensitivity of up to 2.76% K-1 in
the temperature range of 303-353 K. In addition, the Eu@UiO-66-Hybrid film showed
excellent temperature-sensing performance based on the energy transfer between
the luminescent ligand (H2NDC) and europium ions with a relative sensitivity of
up to 4.26% K-1 in the temperature range of 303-403 K.
PMID- 29359917
TI - Development of [ Carbonyl-11C]AZ13198083, a Novel Histamine Type-3 Receptor
Radioligand with Favorable Kinetics.
AB - The histamine subtype-3 receptor (H3R) is implicated in a range of central
nervous system disorders, and several radioligands have been developed for H3R
positron emission tomography imaging. However, a limitation of currently used PET
radioligands for H3R is the slow binding kinetics in high density brain regions.
To address this, we herein report the development of three novel candidate H3R
radioligands, namely, [ carbonyl-11C]AZ13153556 ([ carbonyl-11C]4), [ carbonyl
11C]AZD5213([ carbonyl-11C]5), and [ carbonyl-11C]AZ13198083 ([ carbonyl-11C]6),
and their subsequent preclinical evaluation in nonhuman primates (NHP).
Radioligands [ carbonyl-11C]4-6 were produced and isolated in high radioactivity
(>1000 MBq), radiochemical purity (>99%), and moderate molar activity (19-28
GBq/MUmol at time of injection) using a palladium-mediated 11C-aminocarbonylation
protocol. All three radioligands showed high brain permeability as well as a
regional brain radioactivity distribution in accordance with H3R expression
(striatum > cortex > cerebellum). [ Carbonyl-11C]6 displayed the most favorable
in vivo kinetics and brain uptake, with an early peak in the striatal time
activity curve followed by a progressive washout from the brain. The specificity
and on-target kinetics of [ carbonyl-11C]6 were next investigated in pretreatment
and displacement studies. After pretreatment or displacement with 5 (0.1 mg/kg),
a uniformly low distribution of radioactivity across the NHP brain was observed.
Collectively, this work demonstrates that [ carbonyl-11C]6 is a promising
candidate for H3R imaging in human subjects.
PMID- 29359916
TI - Functional Modulation of Voltage-Gated Sodium Channels by a FGF14-Based
Peptidomimetic.
AB - Protein-protein interactions (PPI) offer unexploited opportunities for CNS drug
discovery and neurochemical probe development. Here, we present ZL181, a novel
peptidomimetic targeting the PPI interface of the voltage-gated Na+ channel
Nav1.6 and its regulatory protein fibroblast growth factor 14 (FGF14). ZL181
binds to FGF14 and inhibits its interaction with the Nav1.6 channel C-tail. In
HEK-Nav1.6 expressing cells, ZL181 acts synergistically with FGF14 to suppress
Nav1.6 current density and to slow kinetics of fast inactivation, but antagonizes
FGF14 modulation of steady-state inactivation that is regulated by the N-terminal
tail of the protein. In medium spiny neurons in the nucleus accumbens, ZL181
suppresses excitability by a mechanism that is dependent upon expression of FGF14
and is consistent with a state-dependent inhibition of FGF14. Overall, ZL181 and
derivatives could lay the ground for developing allosteric modulators of Nav
channels that are of interest for a broad range of CNS disorders.
PMID- 29359918
TI - A Peptidomimetic Antibiotic Interacts with the Periplasmic Domain of LptD from
Pseudomonas aeruginosa.
AB - The outer membrane (OM) in Gram-negative bacteria is an asymmetric bilayer with
mostly lipopolysaccharide (LPS) molecules in the outer leaflet. During OM
biogenesis, new LPS molecules are transported from their site of assembly on the
inner membrane to the OM by seven LPS transport proteins (LptA-G). The complex
formed between the integral beta-barrel OM protein LptD and the lipoprotein LptE
is responsible for transporting LPS from the periplasmic side of the OM to its
final location on the cell surface. Because of its essential function in many
Gram-negative bacteria, the LPS transport pathway is an interesting target for
the development of new antibiotics. A family of macrocyclic peptidomimetics was
discovered recently that target LptD and inhibit LPS transport specifically in
Pseudomonas spp. The related molecule Murepavadin is in clinical development for
the treatment of life-threatening infections caused by P. aeruginosa. To
characterize the interaction of these antibiotics with LptD from P. aeruginosa,
we characterized the binding site by cross-linking to a photolabeling probe. We
used a hypothesis-free mass spectrometry-based proteomic approach to provide
evidence that the antibiotic cross-links to the periplasmic segment of LptD,
containing a beta-jellyroll domain and an N-terminal insert domain characteristic
of Pseudomonas spp. Binding of the antibiotic to the periplasmic segment is
expected to block LPS transport, consistent with the proposed mode of action and
observed specificity of these antibiotics. These insights may prove valuable for
the discovery of new antibiotics targeting the LPS transport pathway in other
Gram-negative bacteria.
PMID- 29359919
TI - Biocompatible Poly(catecholamine)-Film Electrode for Potentiometric Cell Sensing.
AB - Surface-coated poly(catecholamine) (pCA) films have attracted attention as
biomaterial interfaces owing to their biocompatible and physicochemical
characteristics. In this paper, we report that pCA-film-coated electrodes are
useful for potentiometric biosensing devices. Four different types of pCA film, l
dopa, dopamine, norepinephrine, and epinephrine, with thicknesses in the range of
7-27 nm were electropolymerized by oxidation on Au electrodes by using cyclic
voltammetry. By using the pCA-film electrodes, the pH responsivities were found
to be 39.3-47.7 mV/pH within the pH range of 1.68 to 10.01 on the basis of the
equilibrium reaction with hydrogen ions and the functional groups of the pCAs.
The pCA films suppressed nonspecific signals generated by other ions (Na+, K+,
Ca2+) and proteins such as albumin. Thus, the pCA-film electrodes can be used in
pH-sensitive and pH-selective biosensors. HeLa cells were cultivated on the
surface of the pCA-film electrodes to monitor cellular activities. The surface
potential of the pCA-film electrodes changed markedly because of cellular
activity; therefore, the change in the hydrogen ion concentration around the
cell/pCA-film interface could be monitored in real time. This was caused by
carbon dioxide or lactic acid that is generated by cellular respiration and
dissolves in the culture medium, resulting in the change of hydrogen
concentration. pCA-film electrodes are suitable for use in biocompatible and pH
responsive biosensors, enabling the more selective detection of biological
phenomena.
PMID- 29359920
TI - Rapid Screening of DNA-Ligand Complexes via 2D-IR Spectroscopy and ANOVA-PCA.
AB - Two-dimensional infrared spectroscopy (2D-IR) is well established as a
specialized, high-end technique for measuring structural and solvation dynamics
of biological molecules. Recent technological developments now make it possible
to acquire time-resolved 2D-IR spectra within seconds, and this opens up the
possibility of screening-type applications comparing spectra spanning multiple
samples. However, such applications bring new challenges associated with finding
accurate, efficient methodologies to analyze large data sets in a timely,
informative manner. Here, we demonstrate such an application by screening 2016 2D
IR spectra of 12 double-stranded DNA oligonucleotides obtained in the presence
and absence of binding therapeutic molecule Hoechst 33258. By applying analysis
of variance combined with principal component analysis (ANOVA-PCA) to 2D-IR data
for the first time, we demonstrate the ability to efficiently retrieve the base
composition of a DNA sequence and discriminate ligand-DNA complexes from unbound
sequences. We further show accurate differentiation of the induced-fit and rigid
body binding modes that is key to identifying optimal binding interactions of
Hoechst 33258, while ANOVA-PCA results across the full sequence range correlate
directly with thermodynamic indicators of ligand-binding strength that require
significantly longer data acquisition times to obtain.
PMID- 29359921
TI - Synchrotron-Based Fourier Transform Infrared Microspectroscopy (MUFTIR) Study on
the Effect of Alzheimer's Abeta Amorphous and Fibrillar Aggregates on PC12 Cells.
AB - Amyloid plaques made of aggregated Abeta amyloid peptide are a pathological
hallmark in brains affected by Alzheimer's disease (AD). Moreover, the amyloid
peptide may play a major role in the onset and development of the disease in
association to other factors such as oxidative stress. Although the molecular
nature of the amyloid toxic species is still unknown, there is experimental
evidence pointing to their nonfibrillar nature. In the present paper, we report
the use of synchrotron Fourier transform infrared microspectroscopy (MUFTIR) for
the study of the effect of two different types of Alzheimer's Abeta(1-40)
aggregates (amyloid fibrils and granular nonfibrillar aggregates) on PC12
cultured cells. The principal component analysis (PCA) of the infrared spectra
has been complemented with a correlation analysis, which permits one to study
different spectroscopic parameters as a function of peptide aggregation. The
results show that the treatment of PC12 cells with amorphous aggregates generates
a higher degree of oxidation in the vicinity of the amyloid aggregates than the
treatment with preformed amyloid fibrils. These results, which permit, for the
first time, the in situ colocalization of amyloid aggregates and oxidized
macromolecules in cell culture, are in agreement with previous data from our
group, showing that oxidation was higher in regions surrounding amyloid plaques
in human brain samples affected by AD.
PMID- 29359922
TI - Linear and Differential Ion Mobility Separations of Middle-Down Proteoforms.
AB - Comprehensive characterization of proteomes comprising the same proteins with
distinct post-translational modifications (PTMs) is a staggering challenge. Many
such proteoforms are isomers (localization variants) that require separation
followed by top-down or middle-down mass spectrometric analyses, but condensed
phase separations are ineffective in those size ranges. The variants for "middle
down" peptides were resolved by differential ion mobility spectrometry (FAIMS),
relying on the mobility increment at high electric fields, but not previously by
linear IMS on the basis of absolute mobility. We now use complete histone tails
with diverse PTMs on alternative sites to demonstrate that high-resolution linear
IMS, here trapped IMS (TIMS), broadly resolves the variants of ~50 residues in
full or into binary mixtures quantifiable by tandem MS, largely thanks to
orthogonal separations across charge states. Separations using traveling-wave
(TWIMS) and/or involving various time scales and electrospray ionization source
conditions are similar (with lower resolution for TWIMS), showing the
transferability of results across linear IMS instruments. The linear IMS and
FAIMS dimensions are substantially orthogonal, suggesting FAIMS/IMS/MS as a
powerful platform for proteoform analyses.
PMID- 29359923
TI - Unsupervised Analysis of Big ToF-SIMS Data Sets: a Statistical Pattern
Recognition Approach.
AB - We present a new method, fast and low demanding in terms of CPU performances,
which is able to extract latent chemical information from ToF-SIMS big data sets,
such as those arising from chemical imaging, by working on the unbinned raw data
files. The method is able to evaluate the similarity/dissimilarity of very low
intensity spectra, such as those arising from a single pixel, in terms of
symmetry and asymmetry relationships of the count distribution in the Fourier
transform domain. The tests performed so far on model samples show that the
method supplies results that, without sacrificing mass or spatial resolution, are
equivalent, at least, to those achievable by an experienced ToF-SIMS user by
applying PCA techniques.
PMID- 29359924
TI - Diffusion of Water through the Dual-Porosity Swelling Clay Mineral Vermiculite.
AB - Prediction of water and solute migration in natural clay-based materials requires
a detailed understanding of the roles played by different porosity types (around
or inside clay particles) on the overall transfer process. For smectite, a
reference material for the design of migration models, this discrimination is
complex because of osmotic swelling of the structure under water-saturated
conditions. Diffusion experiments with a water tracer (HDO) were conducted on 0.1
0.2, 1-2, and 10-20 MUm size fractions of Na-vermiculite, a swelling clay mineral
with no osmotic swelling. Results obtained for the two finest fractions suggest
that osmotic swelling and the associated impact on pore structure are responsible
for the low De values reported in the literature for smectite compared to those
of vermiculite. When considering only interparticle porosity, De values for
vermiculite are similar to those reported for nonporous grains (Na-kaolinite and
Na-illite). This indicates that interparticle porosity has a primary effect on
the overall water diffusion process, whereas interlayer porosity is shown to
imply a small proportion of HDO adsorption. This study provides evidence that
vermiculite is a promising reference mineral for the understanding of the roles
played by pore structure and mineral-water interaction in the transport
properties of water in claystones and for associated refinement of dual-porosity
diffusion models.
PMID- 29359925
TI - Biodegradation of Ethyl Carbamate and Urea with Lysinibacillus sphaericus MT33 in
Chinese Liquor Fermentation.
AB - It is important to reduce the concentration of ethyl carbamate (EC) in fermented
foods. However, controlling the formation of EC and its precursor urea is
difficult in spontaneous food fermentation because urea is a natural product of
nitrogen metabolism. Biodegradation is a better solution to reduce the
concentration of EC. This study aimed to reduce the concentration of EC in
Chinese liquor via an indigenous strain Lysinibacillus sphaericus MT33. This
strain produced urethanase (940 U/L) and urease (1580 U/L) and degraded 76.52% of
EC and 56.48% of urea. After inoculation in liquor fermentation, the maximal
relative abundance of Lysinibacillus increased from 0.02% to 8.46%, the final EC
and urea contents decreased by 41.77% and 28.15%. Moreover, the concentration of
EC decreased by 63.32% in liquor. The negative correlation between abundance of
Lysinibacillus and contents of EC and urea indicated the effect of L. sphaericus
on EC and urea degradation.
PMID- 29359926
TI - Reaction Chemistry of Silver(I) Trifluoromethanesulfonate Complexes of Nitrogen
Confused C-Scorpionates.
AB - Two new C-scorpionate ligands with a bis(3,5-dimethylpyrazol-1-yl)methyl group
bound to the 3 position of either an N-tosyl (TsL*) or an N-H pyrazole (HL*) ring
have been prepared. The silver(I) complexes of these new ligands and the two
previously reported analogous ligands with unsubstituted bis(pyrazol-1-yl)methyl
groups (TsL and HL) in both 1:1 and 2:1 ligand/metal ratios were investigated to
explore the effects of ligand sterics on their physical and chemical properties.
The structurally characterized derivatives of the type [Ag(L)2](OTf) are four
coordinate, where the confused pyrazolyl is not bound to the metal. On the other
hand, three 1:1 complexes [Ag(L)](OTf) had all pyrazolyls bound, while the MU
kappa1,kappa1-TsL derivative had an unbound confused pyrazolyl. The molecularity
of the latter four ranged from polymeric to dimeric to monomeric in the solid
with increasing steric bulk of the ligand. The utility of these complexes in
stoichiometric ligand-transfer reactions and in styrene aziridination was
demonstrated. Thus, tricarbonylmanganese(I) complexes were prepared as
kinetically inert models for comparative solution diffusion NMR studies. Also,
[Fe(HL)2](OTf)2 was prepared for similar reasons and to compare the effects of
anion on spin-crossover properties.
PMID- 29359927
TI - Phosphatase-Mediated Hydrolysis of Linear Polyphosphates.
AB - Polyphosphates are a group of phosphorus (P) containing molecules that are
produced by a wide range of microorganisms and human activities. Although
polyphosphates are ubiquitous in aquatic environments and are of environmental
significance, little is known about their transformation and cycling. This study
characterized the polyphopshate-hydrolysis mechanisms of several representative
phosphatase enzymes and evaluated the effects of polyphosphate chain length,
light condition, and calcium (Ca2+). 31P nuclear magnetic resonance (NMR)
spectroscopy was used to monitor the dynamic changes of P molecular configuration
during polyphosphate hydrolysis and suggested a terminal-only degradation pathway
by the enzymes. Such mechanism enabled the quantification of the hydrolysis rates
by measuring orthophosphate production over time. At the same initial
concentration of polyphosphate molecules, the hydrolysis rates were independent
of chain length. The hydrolysis of polyphosphate was also unaffected by light
condition, but was reduced by the presence of Ca2+. The released orthophosphates
formed Ca-phosphate precipitates in the presence of Ca2+, likely in amorphous
phases. Results from this study lay the foundation for better understanding the
chemical processes governing polyphosphate transport and transformation in
various environmental settings.
PMID- 29359928
TI - De Novo Sequencing of Tryptic Phosphopeptides Using Matrix-Assisted Laser
Desorption/Ionization Based Tandem Mass Spectrometry with Hydrogen Atom
Attachment.
AB - Phosphorylation is the most abundant protein modification, and tandem mass
spectrometry (MS/MS) with radical-based fragmentation techniques has proven to be
a promising method for phosphoproteomic applications, owing to its ability to
determine phosphorylation sites on proteins. The radical-induced fragmentation
technique involves the attachment or abstraction of hydrogen to peptides in an
ion trap mass spectrometer, in a process called hydrogen attachment/abstraction
dissociation (HAD), which has only been recently developed. In the present
investigation, we have analyzed model phosphopeptides and phosphoprotein digests
using HAD-MS/MS, combined with matrix-assisted laser desorption/ionization
(MALDI), in order to demonstrate the usefulness of the HAD-MS/MS-based analytical
method. The tryptic peptides were categorized as arginine- and lysine-terminated
peptides, and MALDI HAD-MS/MS is found to facilitate the sequencing of arginine
terminated tryptic peptides, because of the selective observation of C-terminal
side fragment ions. In contrast, MALDI HAD-MS/MS of lysine-terminated tryptic
peptides produced both N- and C-terminal side fragments, such that the mass
spectra were complex. The guanidination of peptide converted lysine into
homoarginine, which facilitated the interpretation of MALDI HAD-MS/MS mass
spectra. The present method was useful for de novo sequencing of tryptic
phosphopeptides.
PMID- 29359929
TI - Spectroscopic Indicators for Cytotoxicity of Chlorinated and Ozonated Effluents
from Wastewater Stabilization Ponds and Activated Sludge.
AB - We investigated chronic mammalian cell cytotoxicity of wastewaters from four
sources and their optical spectroscopic properties with or without chlorination
or ozonation. Samples from effluents of activated sludge, nitrification tower,
facultative waste stabilization pond, and maturation waste stabilization pond
were either chlorinated or ozonated. The wastewater samples were analyzed for
fluorescence excitation emission matrix, specific fluorescence index (SFI), and
specific UV absorbance at 254 nm (SUVA). Before and after disinfection the
wastewater samples were quantitatively analyzed for in vitro mammalian cell
cytotoxicity. We found that the organic extracts from the ozonated samples
induced lower cytotoxicity responses than those from the chlorinated or the
nondisinfected samples. To develop correlations between SFI, SUVA, and
cytotoxicity, we analyzed 21 independent samples. Significant linear correlations
found among these samples suggest that under the tested conditions, cytotoxicity
was preferentially influenced by the fluorescence and SUVA of their composite
organic agents. These two spectroscopic parameters may be used as indicators for
the potential cytotoxicity of nondisinfected, ozonated, or chlorinated municipal
wastewaters.
PMID- 29359930
TI - Large Anisotropy Barrier in a Tetranuclear Single-Molecule Magnet Featuring Low
Coordinate Cobalt Centers.
AB - The tetranuclear cobalt cluster compound [Co4(MU-NPtBu3)4][B(C6F5)4] (tBu = tert
butyl) was synthesized by chemical oxidation of Co4(NPtBu3)4 with
[FeCp2][B(C6F5)4] and magnetically characterized to study the effect of
electronic communication between low-coordinate metal centers on slow magnetic
relaxation in a transition metal cluster. The dc magnetic susceptibility data
reveal that the complex exhibits a well-isolated S = 9/2 ground state, which
persists even to 300 K and is attributed to the existence of direct metal-metal
orbital overlap. The ac magnetic susceptibility data further reveals that the
complex exhibits slow magnetic relaxation in the absence of an applied field, and
that the relaxation dynamics can be fit with a combination of Orbach, quantum
tunneling, and Raman relaxation processes. The effective spin reversal barrier
for this molecule is 87 cm-1, the largest reported to date for a transition metal
cluster, and arises due to the presence of a large easy-axis magnetic anisotropy.
The complex additionally exhibits waist-restricted magnetic hysteresis and
magnetic blocking below 3.6 K. Taken together, these results indicate that
coupling of low-coordinate metal centers is a promising strategy to enhance
magnetic anisotropy and slow magnetic relaxation in transition metal cluster
compounds.
PMID- 29359932
TI - Carbonyl-Phenol Adducts: An Alternative Sink for Reactive and Potentially Toxic
Lipid Oxidation Products.
AB - Different from the well-characterized function of phenolics as antioxidants,
their function as lipid-derived carbonyl scavengers is mostly unknown. However,
phenolics react with lipid-derived carbonyls as a function of the nucleophilicity
of their reactive groups and the electronic effects and steric hindrances present
in the reactive carbonyls. Furthermore, the reaction produces a wide variety of
carbonyl-phenol adducts, some of which are stable and have been isolated and
characterized but others polymerize spontaneously. This perspective updates
present knowledge about the lipid-derived carbonyl trapping ability of phenolics,
its competition with carbonyl-amine reactions produced in foods, and the presence
of carbonyl-phenol adducts in food products.
PMID- 29359931
TI - Self-Sorting of Heteroanions in the Assembly of Cross-Shaped Polyoxometalate
Clusters.
AB - Heteroanion (HA) moieties have a key role in templating of heteropolyoxometalate
(HPA) architectures, but clusters templated by two different templates are rarely
reported. Herein, we show how a cross-shaped HPA-based architecture can self-sort
the HA templates by pairing two different guests into a divacant {XYW15O54}
building block, with four of these building block units being linked together to
complete the cross-shaped architecture. We exploited this observation to
incorporate HA templates into well-defined positions within the clusters, leading
to the isolation of a collection of mixed-HA templated cross-shaped polyanions
[(XYW15O54)4(WO2)4]32-/36- (X = H-P, Y = Se, Te, As). The template positions have
been unambiguously determined by single crystal X-ray diffraction, NMR
spectroscopy, and high-resolution electrospray ionization mass spectrometry;
these studies demonstrated that the mixed template containing HPA clusters are
the preferred products which crystallize from the solution. Theoretical studies
using DFT calculations suggest that the selective self-sorting originates from
the coordination of the template in solution. The cross-shaped polyoxometalate
clusters are redox-active, and the ability of molecules to accept electrons is
slightly modulated by the HA incorporated as shown by differential pulse
voltammetry experiments. These results indicate that the cross-shaped HPAs can be
used to select templates from solution, and themselves have interesting
geometries, which will be useful in developing functional molecular architectures
based upon HPAs with well-defined structures and electronic properties.
PMID- 29359933
TI - Understanding and Controlling the Emission Brightness and Color of Molecular
Cerium Luminophores.
AB - Molecular cerium complexes are a new class of tunable and energy-efficient
visible- and UV-luminophores. Understanding and controlling the emission
brightness and color are important for tailoring them for new and specialized
applications. Herein, we describe the experimental and computational analyses for
series of tris(guanidinate) (1-8, Ce{(R2N)C(N iPr)2}3, R = alkyl, silyl, or
phenyl groups), guanidinate-amide [GA, A = N(SiMe3)2, G = (Me3Si)2NC(N iPr)2],
and guanidinate-aryloxide (GOAr, OAr = 2,6-di- tert-butylphenoxide) cerium(III)
complexes to understand and develop predictive capabilities for their optical
properties. Structural studies performed on complexes 1-8 revealed marked
differences in the steric encumbrance around the cerium center induced by various
guanidinate ligand backbone substituents, a property that was correlated to
photoluminescent quantum yield. Computational studies revealed that consecutive
replacements of the amide and aryloxide ligands by guanidinate ligand led to less
nonradiative relaxation of bright excited states and smaller Stokes shifts. The
results establish a comprehensive structure-luminescence model for molecular
cerium(III) luminophores in terms of both quantum yields and colors. The results
provide a clear basis for the design of tunable, molecular, cerium-based,
luminescent materials.
PMID- 29359935
TI - A Series of Enthalpically Optimized Docetaxel Analogues Exhibiting Enhanced
Antitumor Activity and Water Solubility.
AB - A dual-purpose strategy aimed at enhancing the binding affinity for microtubules
and improving the water solubility of docetaxel led to the design and synthesis
of a series of C-2- and C-3'-modified analogues. Both aims were realized when the
C-3' phenyl group present in docetaxel was replaced with a propargyl alcohol. The
resulting compound, 3f, was able to overcome drug resistance in cultured P-gp
overexpressing tumor cells and showed greater activity than docetaxel against
drug-resistant A2780/AD ovarian cancer xenografts in mice. In addition, the
considerably lower hydrophobicity of 3f relative to both docetaxel and paclitaxel
led to better aqueous solubility. A molecular model of tubulin-bound 3f revealed
novel hydrogen-bonding interactions between the propargyl alcohol and the polar
environment provided by the side chains of Ser236, Glu27, and Arg320.
PMID- 29359934
TI - Chitinase Chi1 from Myceliophthora thermophila C1, a Thermostable Enzyme for
Chitin and Chitosan Depolymerization.
AB - A thermostable Chitinase Chi1 from Myceliophthora thermophila C1 was homologously
produced and characterized. Chitinase Chi1 shows high thermostability at 40
degrees C (>140 h 90% activity), 50 degrees C (>168 h 90% activity), and 55
degrees C (half-life 48 h). Chitinase Chi1 has broad substrate specificity and
converts chitin, chitosan, modified chitosan, and chitin oligosaccharides. The
activity of Chitinase Chi1 is strongly affected by the degree of deacetylation
(DDA), molecular weight (Mw), and side chain modification of chitosan. Chitinase
Chi1 releases mainly (GlcNAc)2 from insoluble chitin and chito-oligosaccharides
with a polymerization degree (DP) ranging from 2 to 12 from chitosan, in a
processive way. Chitinase Chi1 shows higher activity toward chitin
oligosaccharides (GlcNAc)4-6 than toward (GlcNAc)3 and is inactive for (GlcNAc)2.
During hydrolysis, oligosaccharides bind at subsites -2 to +2 in the enzyme's
active site. Chitinase Chi1 can be used for chitin valorisation and for
production of chitin- and chito-oligosaccharides at industrial scale.
PMID- 29359936
TI - Multiplex Infrared Spectroscopy Imaging for Monitoring Spatially Resolved Redox
Chemistry.
AB - IR spectroscopy is an excellent method for understanding surface redox chemistry.
However, obtaining sufficient spatial resolution to analyze in situ surface redox
reactions is difficult because the aqueous sampling environments provide some
challenges for IR spectroscopy. These challenges arise because of the vibrational
contribution of water. In this letter, we demonstrate a solution to this problem,
where the key development enabling the coupling of spectromicroscopy with
electrochemical measurements is a CaF2/electrolyte/Au sandwich IR-sensitive
sample holder that acts as an electrochemical cell. In this system, there is a
very thin layer of aqueous electrolyte (~10 MUm), and it is possible to monitor,
in real time, the vibrational maps and changes to the Au surface modified with
iron(II, III) hexacyanoferrate(II, III) by varying the electrochemical potential.
By selecting specific vibrational modes with a focal plane array detector, which
allows the simultaneous collection of IR spectra from 4096 microscopic regions,
chemical maps showing the surface changes were obtained and analyzed using color,
providing new insights into how the charge transfer processes affect the chemical
composition in specific 2D spatially resolved regions.
PMID- 29359937
TI - Cu Nanoclusters-Encapsulated Liposomes: Toward Sensitive Liposomal
Photoelectrochemical Immunoassay.
AB - Herein we report the strategy of liposome-mediated Cu2+-induced exciton trapping
upon CdS quantum dots (QDs) for amplified photoelectrochemical (PEC) bioanalysis
application. Specifically, the Cu nanoclusters (NCs)-encapsulated liposomes were
first fabricated and then processed with antibodies bound to their external
surfaces. After the sandwich immunocomplexing, the confined liposomal labels were
subjected to sequential lysis treatments for the release of Cu NCs and numerous
Cu2+ ions, which were then directed to interact with the CdS QDs electrode. The
interaction of Cu2+ ions with CdS QDs could generate CuxS and form the trapping
sites to block the photocurrent generation. Since the photocurrent inhibition is
closely related with the Cu NCs-loaded liposomal labels, a novel and general
"signal-off" PEC immunoassay could thus be tailored with high sensitivity.
Meanwhile, a complementary "signal-on" fluorescent detection could be
accomplished by measuring the fluorescence intensity originated from the Cu NCs.
This work features the first use of Cu NCs in PEC bioanalysis and also the first
NCs-loaded liposomal PEC bioanalysis. More importantly, by using other specific
ions/reagents-semiconductors interactions, this protocol could serve as a common
basis for the general development of a new class of liposome-mediated PEC
bioanalysis.
PMID- 29359938
TI - Cluster Size and Quinary Structure Determine the Rheological Effects of Antibody
Self-Association at High Concentrations.
AB - The question of how nonspecific reversible intermolecular protein interactions
affect solution rheology at high concentrations is fundamentally rooted in the
translation of nanometer-scale interactions into macroscopic properties. Well
defined solutions of purified monoclonal antibodies (mAbs) provide a useful
system with which to investigate the manifold intricacies of weak protein
interactions at high concentrations. Recently, characterization of self
associating IgG1 antibody (mAb2) solutions has established the direct role of
protein clusters on concentrated mAb rheology. Expanding on our earlier work with
three additional mAbs (mAb1, mAb3, and mAb4), the observed concentration
dependent static light scattering and rheological data present a substantially
more complex relationship between protein interactions and solution viscosity at
high concentrations. The four mAb systems exhibited divergent correlations
between cluster formation (size) and concentrated solution viscosities dependent
on mAb primary sequence and solution conditions. To address this challenge, well
established features of colloidal cluster phenomena could be applied as a
framework for interpreting our observations. The initial stages of mAb cluster
formation were investigated with small-angle X-ray scattering (SAXS) and ensemble
optimized fit methods, to uncover shifts in the dimer structure populations which
are produced by changes in mAb interaction modes and association valence under
the different solution conditions. Analysis of mAb average cluster number and
effective hydrodynamic radii at high concentrations revealed cluster
architectures can have a wide range of fractal dimensions. Collectively, the
static light scattering, SAXS, and rheological characterization demonstrate that
nonspecific and anisotropic attractive intermolecular interactions produce
antibody clusters with different quinary structures to regulate the rheological
properties of concentrated mAb solutions.
PMID- 29359939
TI - Strong Hydrogen Bonds at the Interface between Proton-Donating and -Accepting
Self-Assembled Monolayers on Au(111).
AB - Hydrogen-bonding heterogeneous bilayers on substrates have been studied as a base
for new functions of molecular adlayers by means of atomic force microscopy
(AFM), X-ray photoelectron spectroscopy (XPS), infrared reflection absorption
spectroscopy (IRAS), and density functional theory (DFT) calculations. Here, we
report the formation of the catechol-fused bis(methylthio)tetrathiafulvalene
(H2Cat-BMT-TTF) adlayer hydrogen bonding with an imidazole-terminated
alkanethiolate self-assembled monolayer (Im-SAM) on Au(111). The heterogeneous
bilayer is realized by sequential two-step immersions in solutions for the
individual Im-SAM and H2Cat-BMT-TTF adlayer formations. In the measurements by
AFM, a grained H2Cat-BMT-TTF adlayer on Im-SAM is revealed. The coverage and the
chemical states of H2Cat-BMT-TTF on Im-SAM are specified by XPS. On the
vibrational spectrum measured by IRAS, the strong hydrogen bonds between H2Cat
BMT-TTF and Im-SAM are characterized by the remarkably red-shifted OH stretching
mode at 3140 cm-1, which is much lower than that for hydrogen-bonding water
(typically ~3300 cm-1). The OH stretching mode frequency and the adsorption
strength for the H2Cat-BMT-TTF molecule hydrogen bonding with imidazole groups
are quantitatively examined on the basis of DFT calculations.
PMID- 29359940
TI - Emergence of Strong Nonlinear Viscoelastic Response of Semifluorinated Alkane
Monolayers.
AB - Viscoelasticity of monolayers of fluorocarbon/hydrocarbon tetrablock amphiphiles
di(FnHm) ((CnF2n+1CH2)(Cm-2H2m-3)CH-CH(CnF2n+1CH2)(Cm-2H2m-3)) was characterized
by interfacial dilational rheology under periodic oscillation of the moving
barriers at the air/water interface. Because the frequency dispersion of the
response function indicated that di(FnHm) form two-dimensional gels at the
interface, the viscosity and elasticity of di(FnHm) were first analyzed with the
classical Kelvin-Voigt model. However, the global shape of stress response
functions clearly indicated the emergence of a nonlinearity even at very low
surface pressures (pi ~ 5 mN/m) and small strain amplitudes (u0 = 1%). The
Fourier-transformed response function of higher harmonics exhibited a clear
increase in the intensity only from odd modes, corresponding to the nonlinear
elastic component under reflection because of mirror symmetry. The emergence of
strong nonlinear viscoelasticity of di(FnHm) at low surface pressures and strain
amplitudes is highly unique compared to the nonlinear viscoelasticity of other
surfactant systems reported previously, suggesting a large potential of such
fluorocarbon/hydrocarbon molecules to modulate the mechanics of interfaces using
the self-assembled domains of small molecules.
PMID- 29359941
TI - Evaporation, Lifetime, and Robustness Studies of Liquid Marbles for Collision
Based Computing.
AB - Liquid marbles (LMs) have recently attracted interest for use as cargo carriers
in digital microfluidics and have successfully been implemented as signal
carriers in collision-based unconventional computing circuits. Both application
domains require LMs to roll over substantial distances and to survive a certain
number of collisions without degrading. To evaluate the lifetime of LMs being
subjected to movement and impact stresses, we have selected four types of coating
to investigate: polytetrafluoroethylene (PTFE), ultrahigh density polyethylene
(PE), Ni, and a mixture of Ni with PE (Ni-PE). Hierarchies of robustness have
been constructed which showed that pure PE LMs survived the longest when
stationary and in motion. Pure PTFE LMs were shown to be the least resilient to
multiple impacts. The PTFE coating provided minimal protection against
evaporative losses for small LM volumes (2 and 5 MUL) however, larger LMs (10
MUL) were shown to have good evaporative stabilities when stationary. Conversely,
PE LMs showed a remarkable ability to withstand multiple impacts and were also
stable when considering just passive evaporation. Hybrid Ni-PE LMs exhibited more
resilience to multiple impacts compared to Ni LMs. Thus, when designing LM
devices, it is paramount to determine impact pathways and select appropriate
coating materials.
PMID- 29359942
TI - Multiflorumisides A-G, Dimeric Stilbene Glucosides with Rare Coupling Patterns
from the Roots of Polygonum multiflorum.
AB - Multiflorumisides A-G (1-7), seven new dimeric stilbene glucosides with two rare
coupling patterns, were isolated from the roots of Polygonum multiflorum. The
structures of these new dimeric stilbene glucosides were elucidated through
comprehensive spectroscopic and chemical analyses. The absolute configurations of
3 and 5-7 were established by comparing their experimental and quantum-chemical
ECD data. Putative biosynthetic pathways toward the dimers and their suppressive
effects against nitric oxide production in lipopolysaccharide-stimulated RAW264.7
cells are also discussed.
PMID- 29359943
TI - Bouncing-to-Merging Transition in Drop Impact on Liquid Film: Role of Liquid
Viscosity.
AB - When a drop impacts on a liquid surface, it can either bounce back or merge with
the surface. The outcome affects many industrial processes, in which merging is
preferred in spray coating to generate a uniform layer and bouncing is desired in
internal combustion engines to prevent accumulation of the fuel drop on the wall.
Thus, a good understanding of how to control the impact outcome is highly
demanded to optimize the performance. For a given liquid, a regime diagram of
bouncing and merging outcomes can be mapped in the space of Weber number (ratio
of impact inertia and surface tension) versus film thickness. In addition,
recognizing that the liquid viscosity is a fundamental fluid property that
critically affects the impact outcome through viscous dissipation of the impact
momentum, here we investigate liquids with a wide range of viscosity from 0.7 to
100 cSt, to assess its effect on the regime diagram. Results show that while the
regime diagram maintains its general structure, the merging regime becomes
smaller for more viscous liquids and the retraction merging regime disappears
when the viscosity is very high. The viscous effects are modeled and subsequently
the mathematical relations for the transition boundaries are proposed which agree
well with the experiments. The new expressions account for all the liquid
properties and impact conditions, thus providing a powerful tool to predict and
manipulate the outcome when a drop impacts on a liquid film.
PMID- 29359945
TI - Cell Penetrating Peptide-Based Redox-Sensitive Vaccine Delivery System for
Subcutaneous Vaccination.
AB - In immunotherapy, induction of potent cellular immunity by vaccination is
essential to treat intracellular infectious diseases and tumors. In this work, we
designed a new synthetic peptide carrier, Cys-Trp-Trp-Arg8-Cys-Arg8-Cys-Arg8-Cys,
for vaccine delivery by integrating a redox-responsive disulfide bond cross
linking and cell-penetrating peptide arginine octamer. The carrier peptide bound
to the antigen protein ovalbumin (OVA) via electrostatic self-assembly to form
peptide/OVA nanocomposites. Then, the spontaneous oxidization of the thiols of
the cysteine residues induced interpeptide disulfide bond cross-linking to
construct denser peptide/OVA condensates. The cell-penetrating peptides
incorporated in the carrier peptide could increase antigen uptake by antigen
presenting cells. After being internalized by antigen presenting cells, the
antigen could be rapidly released in cytoplasm along with degradation of the
disulfide bonds by intracellular glutathione, which could promote potent CD8+ T
cell immunity. The cross-linked peptide/OVA condensates were used for
subcutaneous vaccination. The results showed that the peptide carrier mediated
potent antigen-specific immune response by significantly increasing IgG titer;
splenocyte proliferation; the secretion level of cytokines INF-gamma, IL-12, IL
4, and IL-10; immune memory function, and the activation and maturation of
dendritic cells. From the results, the low-molecular weight vaccine-condensing
peptide with definite chemical composition could be developed as a novel class of
vaccine delivery systems.
PMID- 29359944
TI - Metabolic Fate of 13C-Labeled Polydextrose and Impact on the Gut Microbiome: A
Triple-Phase Study in a Colon Simulator.
AB - The present study introduces a novel triple-phase (liquids, solids, and gases)
approach, which employed uniformly labeled [U-13C] polydextrose (PDX) for the
selective profiling of metabolites generated from dietary fiber fermentation in
an in vitro colon simulator using human fecal inocula. Employing 13C NMR
spectroscopy, [U-13C] PDX metabolism was observed from colonic digest samples.
The major 13C-labeled metabolites generated were acetate, butyrate, propionate,
and valerate. In addition to these short-chain fatty acids (SCFAs), 13C-labeled
lactate, formate, succinate, and ethanol were detected in the colon simulator
samples. Metabolite formation and PDX substrate degradation were examined
comprehensively over time (24 and 48 h). Correlation analysis between 13C NMR
spectra and gas production confirmed the anaerobic fermentation of PDX to SCFAs.
In addition, 16S rRNA gene analysis showed that the level of Erysipelotrichaceae
was influenced by PDX supplementation and Erysipelotrichaceae level was
statistically correlated with SCFA formation. Overall, our study demonstrates a
novel approach to link substrate fermentation and microbial function directly in
a simulated colonic environment.
PMID- 29359946
TI - Retraction: Pancreatic neuroendocrine tumors. Prognostic factors.
PMID- 29359947
TI - Recent development in the application of alternative sterilization technologies
to prepared dishes: A review.
AB - Sterilization is one of the most effective food preservation methods.
Conventional thermal sterilization commonly used in food industry usually causes
the deterioration of food quality. Flavor, aroma, and texture, among other
attributes, are significantly affected by thermal sterilization. However, demands
of consumers for nutritious and safe dishes with a minimum change in their
original textural and sensory properties are growing rapidly. In order to meet
these demands, new approaches have been explored in the last few years to extend
the shelf-life of dishes. This review discusses advantages and disadvantages of
currently available physical sterilization technologies, including irradiation
(eg. Gamma rays, X-rays, e-beams), microwave and radio frequency when used in
prepared dishes. The preservation effect of these technologies on prepared dishes
are normally evaluated by microbiological and sensory analyses.
PMID- 29359948
TI - Statutory Regulation of Traditional Medicine Practitioners and Practices: The
Need for Distinct Policy Making Guidelines.
AB - The World Health Organization (WHO) has called for the increased statutory
regulation of traditional and complementary medicine practitioners and practices,
currently implemented in about half of nations surveyed. According to recent WHO
data, however, the absence of policy guidelines in this area represents a
significant barrier to implementation of such professional regulations. This
commentary reviews several key challenges that distinguish the statutory
regulation of traditional medicine practitioners and practices from biomedical
professional regulation, providing a foundation for the development of policy
making parameters in this area. Foremost in this regard are the ongoing impacts
of the European colonial encounter, which reinforce biomedicine's
disproportionate political dominance across the globe despite traditional
medicine's ongoing widespread use (particularly in the global South). In this
light, the authors discuss the conceptual and historical underpinnings of
contemporary professional regulatory structures, the tensions between
institutional and informal traditional medicine training pathways, and the policy
challenges presented by the prospect of standardizing internally diverse
indigenous healing approaches. Epistemic and evidentiary tensions, as well as the
policy complexities surrounding the intersection of cultural and clinical
considerations, present additional challenges to regulators. Conceptualizing
professional regulation as an intellectual property claim under the law, the
authors further consider what it means to protect traditional knowledge and
prevent misappropriation in this context. Overall, the authors propose that
innovative professional regulatory approaches are needed in this area to address
safety, quality of care, and accessibility as key public interest concerns, while
prioritizing the redress of historical inequities, protection of diverse
indigenous knowledges, and delivery of care to underserved populations.
PMID- 29359949
TI - Comparison of peritumoral stromal tissue stiffness obtained by shear wave
elastography between benign and malignant breast lesions.
AB - Background Aggressive breast cancers produce abnormal peritumoral stiff areas,
which can differ between benign and malignant lesions and between different
subtypes of breast cancer. Purpose To compare the tissue stiffness of the inner
tumor, tumor border, and peritumoral stroma (PS) between benign and malignant
breast masses by shear wave elastography (SWE). Material and Methods We enrolled
133 consecutive patients who underwent preoperative SWE. Using OsiriX commercial
software, we generated multiple 2-mm regions of interest (ROIs) in a linear
arrangement on the inner tumor, tumor border, and PS. We obtained the mean
elasticity value (Emean) of each ROI, and compared the Emean between benign and
malignant tumors. Odds ratios (ORs) for prediction of malignancy were calculated.
Subgroup analyses were performed among tumor subtypes. Results There were 85
malignant and 48 benign masses. The Emean of the tumor border and PS were
significantly different between benign and malignant masses ( P < 0.05 for all).
ORs for malignancy were 1.06, 1.08, 1.05, and 1.04 for stiffness of the tumor
border, proximal PS, middle PS, and distal PS, respectively ( P < 0.05 for all).
Malignant masses with a stiff rim were significantly larger than malignant masses
without a stiff rim, and were more commonly associated with the luminal B and
triple negative subtypes. Conclusion Stiffness of the tumor border and PS
obtained by SWE were significantly different between benign and malignant masses.
Malignant masses with a stiff rim were larger in size and associated with more
aggressive pathologic subtypes.
PMID- 29359950
TI - Image quality in coronary computed tomography angiography: influence of adaptive
statistical iterative reconstruction at various radiation dose levels.
AB - Background Computed tomography (CT) technology is rapidly evolving and software
solution developed to optimize image quality and/or lower radiation dose. Purpose
To investigate the influence of adaptive statistical iterative reconstruction
(ASIR) at different radiation doses in coronary CT angiography (CCTA) in detailed
image quality. Material and Methods A total of 160 CCTA were reconstructed as
follows: 55 scans with filtered back projection (FBP) (650 mA), 51 scans (455 mA)
with 30% ASIR (ASIR30), and 54 scans (295 mA) with 60% ASIR (ASIR60). For each
reconstruction, subjective image quality was assessed by five independent
certified cardiologists using a visual grading analysis (VGA) with five
predefined image quality criteria consisting of a 5-point scale. Objective
measures were contrast, noise, and contrast-to-noise ratio (CNR). Results The
CTDIvol resulted in 10.3 mGy, 7.4 mGy, and 4.6 mGy for FBP, ASIR30, and ASIR60,
respectively. Homogeneity of the left ventricular lumen was the sole aspect in
which reconstruction algorithms differed with a decreasing effect for ASIR60
compared to FBP (estimated odds ratio [OR] = 0.49 [95% confidence interval (CI) =
0.32-0.76; P = 0.001]). Decreased sharpness and spatial- and low-contrast
resolutions were observed when using ASIR instead of FBP, but differences were
not statistically significant. Concerning objective measurements, noise increased
significantly for ASIR30 (OR = 1.08; 95% CI = 1.02-1.14; P = 0.006) and ASIR60
(OR = 1.06; 95% CI = 1.01-1.12; P = 0.034) compared to FBP. Conclusion ASIR
significantly decreased the subjectively assessed homogeneity of the left
ventricular lumen and increased the objectively measured noise compared to FBP.
Considering these results, ASIR at a reduced radiation dose should be implemented
with caution.
PMID- 29359951
TI - Spinal Manipulative Therapies in Visceral Conditions.
PMID- 29359952
TI - Pharmacological management of agitation among individuals with moderate to severe
acquired brain injury: A systematic review.
AB - OBJECTIVE: To conduct a systematic review examining the effectiveness of
pharmacological management of agitation among individuals with acquired brain
injury (ABI). DATA SOURCES: MEDLINE, CINAHL, EMBASE, and PsycINFO databases were
searched for all relevant articles published in English from 1980 to January
2016. STUDY SELECTION: Studies were included for analysis all participants had
moderate to severe ABI, n >= 3, and a pharmacological intervention was provided
for the treatment of agitation and its effectiveness was assessed. DATA
EXTRACTION: Data extracted included participant demographics, inclusion and
exclusion criteria, study design, outcome measure(s), and results. Study quality
was assessed using the Physiotherapy Evidence Database assessment scale for
randomized controlled trials (RCTs). A level of evidence was assigned to each
intervention using a modified Sackett scale. DATA SYNTHESIS: Among 165 retrieved
studies, 19 met inclusion criteria. The included studies consisted of 6 RCTs and
13 observational studies. Antipsychotic medications were studied predominately (n
= 6), followed by anticonvulsants (n = 4) and dopaminergic (n = 4),
antidepressants (n = 3), and beta-blockers (n = 2). Dopaminergic medications,
specifically amantadine, had the highest level of evidence (Level 1a). The
antipsychotic lithium carbonate was shown to be effective but was not recommended
for use due to high risk of neurotoxicity. CONCLUSIONS: Studies consistently
demonstrated that pharmacological treatment was effective in reducing agitation
post ABI; however, there was insufficient information to develop a conclusion due
to the limited number of studies and overall weakness of evidence for each
individual medication.
PMID- 29359953
TI - Energy issues in microwave food processing: A review of developments and the
enabling potentials of solid-state power delivery.
AB - The enormous magnitude and variety of microwave applications in household,
commercial and industrial food processing creates a strong motivation for
improving the energy efficiency and hence, sustainability of the process. This
review critically assesses key energy issues associated with microwave food
processing, focusing on previous energy performance studies, energy performance
metrics, standards and regulations. Factors affecting energy-efficiency are
categorised into source, load and source-load matching factors. This highlights
the need for highly-flexible and controllable power sources capable of receiving
real-time feedback on load properties, and effecting rapid control actions to
minimise reflections, heating non-uniformities and other imperfections that lead
to energy losses. A case is made for the use of solid-state amplifiers as
alternatives to conventional power sources, magnetrons. By a full-scale techno
economic analysis, including energy aspects, it is shown that the use of solid
state amplifiers as replacements to magnetrons is promising, not only from an
energy and overall technical perspective, but also in terms of economics.
PMID- 29359954
TI - Dietary lipids with potential to affect satiety: Mechanisms and evidence.
AB - Dietary fat has been implicated in the rise of obesity due to its energy density,
palatability and weak effects on satiety. As fat is a major contributor to
overall energy intake, incorporating fat with satiating properties could
potentially reduce energy intake. This review outlines the potential mechanisms,
as far as we know, by which Medium-Chain Triglycerides (MCT), Conjugated Linoleic
Acid (CLA), Short-Chain Fatty Acids (SCFA), Diacylglycerol (DAG), n-3 PUFA, and
Small Particle Lipids, exerts their satiating effects. The evidence suggests that
the lipid with the most potential to enhance satiety is MCT. SCFA can also
promote satiety, but oral administration has been linked to poor tolerability
rather than satiety. Data on the appetite effects of CLA is limited but does
suggest potential. Research comparing these lipids to each other is also lacking
and should be explored to elucidate which of these 'functional lipids' is the
most beneficial in enhancing satiety.
PMID- 29359955
TI - Can dynamic in vitro digestion systems mimic the physiological reality?
AB - During the last decade, there has been a growing interest in understanding the
fate of food during digestion in the gastrointestinal tract in order to
strengthen the possible effects of food on human health. Ideally, food digestion
should be studied in vivo on humans but this is not always ethically and
financially possible. Therefore simple static in vitro digestion models mimicking
the gastrointestinal tract have been proposed as alternatives to in vivo
experiments but these models are quite basic and hardly recreate the complexity
of the digestive tract. In contrast, dynamic models that allow pH regulation,
flow of the food and injection in real time of digestive enzymes in the different
compartments of the gastrointestinal tract are more promising to accurately mimic
the digestive process. Most of the systems developed so far have been compared
for their performances to in vivo data obtained on animals and/or humans. The
objective of this article is to review the validation towards in vivo data of
some of the dynamic digestion systems currently available in order to determine
what aspects of food digestion they are able to mimic. Eight dynamic digestion
systems are presented as well as their validation towards in vivo data.
Advantages and limits of each simulator is discussed. This is the result of a
cooperative international effort made by some of the scientists involved in
Infogest, an international network on food digestion.
PMID- 29359956
TI - Nutritional Assessment of the Children of the Beta Israel Community in Ethiopia:
A 2017 Update.
AB - BACKGROUND: Malnutrition is a common phenomenon worldwide and a major public
health problem, particularly in developing poorer countries like Ethiopia.
Although malnutrition can affect any age group, children are at a higher risk and
it is associated with an increased morbidity and mortality. The aim of this study
was to update and assess the nutritional status of children of the Beta Israel
community in the Gondar area of Ethiopia. METHODS: This was a community-based
cross-sectional anthropometrical study of all the children of the community age 0
60 months. A structured questionnaire was used to collect sociodemographic data,
nutritional history, and clinical parameters. Nutritional indices weight for age,
height for age, and weight for height were used to define the nutritional status
of the children. The 2006 World Health Organization (WHO) growth curves served as
reference parameters. Statistical analysis included binary logistical regression
analysis. RESULTS: A total of 489 children, representing over 90% of the
community's children were studied with the mean age and standard deviation of
36.5 and 18 months, respectively. The overall prevalence of malnutrition was
found to be 39.1% with wasting, underweight, and stunting occurring in 22.1%,
26.2%, and 18.4% of the children, respectively. Severe wasting, severe
underweight, and severe stunting occurred in 8.4%, 8.2% and 5.3% of the children,
respectively. Multivariate analysis showed that age was significantly associated
with the occurrence of malnutrition with younger children being at a higher risk
(p = 0.044). Gender of child, family income, maternal education, presence of
illness in the month preceding data collection, and household size did not show
any association with malnutrition prevalence. CONCLUSION: The prevalence of
malnutrition as measured by stunting, underweight, and wasting has remained high
among children younger than 5 years of the Beta Israel community in Gondar.
Moreover, younger children were found to be more malnourished than older
children.
PMID- 29359957
TI - Timing of cranioplasty and shunt placement.
PMID- 29359958
TI - Effect of resveratrol on blood pressure: A systematic review and meta-analysis of
randomized, controlled, clinical trials.
AB - INTRODUCTION: Results of previous clinical trials evaluating the effect of
resveratrol supplementation on blood pressure (BP) are controversial. PURPOSE: We
aimed to assess the impact of resveratrol on BP through systematic review of
literature and meta-analysis of available randomized, controlled clinical trials
(RCTs). METHODS: Literature search included SCOPUS, PubMed-Medline, ISI Web of
Science and Google Scholar databases up to 17th October 2017 to identify RCTs
investigating the impact of resveratrol on BP. Two review authors independently
extracted data on study characteristics, methods and outcomes. Overall, the
impact of resveratrol on BP was reported in 17 trials. RESULTS: Administration of
resveratrol did not significantly affect neither systolic BP [weighted mean
difference (WMD): -2.5 95% CI:(-5.5, 0.6) mmHg; p=0.116; I2=62.1%], nor diastolic
BP [WMD: -0.5 95% CI:(-2.2, 1.3) mmHg; p=0.613; I2=50.8], nor mean BP [MAP; WMD:
1.3 95% CI:(-2.8, 0.1) mmHg; p=0.070; I2=39.5%] nor pulse pressure [PP; WMD: -0.9
95% CI:(-3.1, 1.4) mmHg; p=0.449; I2=19.2%]. However, significant WMDs were
detected in subsets of studies categorized according to high resveratrol daily
dosage (>=300 mg/day) and presence of diabetes. Meta-regression analysis revealed
a positive association between systolic BP-lowering resveratrol activity (slope:
1.99; 95% CI: 0.05, 3.93; two-tailed p= 0.04) and Body Mass Index (BMI) at
baseline, while no association was detected neither between baseline BMI and MAP
lowering resveratrol activity (slope: 1.35; 95% CI: -0.22, 2.91; two-tailed p=
0.09) nor between baseline BMI and PP-lowering resveratrol activity (slope: 1.03;
95% CI: -1.33, 3.39; two-tailed p= 0.39). Resveratrol was fairly well-tolerated
and no serious adverse events occurred among most of the eligible trials.
CONCLUSION: The favourable effect of resveratrol emerging from the current meta
analysis suggests the possible use of this nutraceutical as active compound in
order to promote cardiovascular health, mostly when used in high daily dose
(>=300 mg/day) and in diabetic patients.
PMID- 29359959
TI - The ketogenic diet as a treatment for traumatic brain injury: a scoping review.
AB - Traumatic brain injury (TBI) is a leading cause of morbidity and mortality
worldwide. The ketogenic diet (KD) has been identified as a potential therapy to
enhance recovery after TBI. The purpose of this study is to complete a scoping
review and synthesize the evidence regarding the KD and its therapeutic effects
in TBI. The methodological framework of Arksey and O'Malley was employed.
Databases searched include Medline, EMBASE, CCRCT, CINAHL and WebOfScience. Two
reviewers independently screened titles, abstracts and full texts in a two-step
screening protocol to determine inclusion. Abstracted data included study setting
and therapeutic mechanism. The KD was demonstrated to reduce cerebral oedema,
apoptosis, improve cerebral metabolism and behavioural outcomes in rodent TBIs.
Additionally, the KD affected rodent TBIs in an age-dependent manner. Due to a
lack of relevant outcome measures, the human trials did not establish much
evidence with respect to the KD as a treatment for TBI; only its safety was
established. The KD is an effective treatment for TBI recovery in rats and shows
potential in humans. Future research should aim to better elucidate the KD's
mechanisms of action in human TBIs and determine if the KD's effectiveness on
clinical outcomes can be reproduced in humans.
PMID- 29359960
TI - The ISBER Self-Assessment Tool Indicates Main Pathways for Improvement in
Biobanks and Supports International Standardization.
PMID- 29359961
TI - Investigating relationships between ancestry, lifestyle behaviors and perceptions
of heart disease and breast cancer among Canadian women with British and with
South Asian ancestry.
AB - BACKGROUND: Ethnic minority groups including Asians in Canada have different
knowledge and perceptions of heart disease and breast cancer compared with the
ethnic majority group. AIM: Examine relationships between perceptions of heart
disease and breast cancer, and lifestyle behaviors for Canadian women with
British and with South Asian ancestry. METHODS: Women with South Asian ( n = 170)
and with British ( n = 373) ancestry ( Mage = 33.01, SD = 12.86) reported leisure
time physical activity, intended fruit and vegetable consumption, disease
perceptions (ability to reduce risk, control over getting the diseases, and
influence of family history), and demographic information. Mann-Whitney tests and
multiple hierarchical linear regressions were used to examine the relationships
between lifestyle behaviors and disease perceptions, with ancestry explored as a
possible moderator. RESULTS: Participants with South Asian ancestry believed they
had greater ability to reduce their risk and have control over getting breast
cancer than participants with British ancestry. Family history influences on
getting either disease was perceived as higher for women with British ancestry.
Age was positively related to all three perceptions in both diseases. Intended
fruit and vegetable consumption was positively related to perceptions of ability
to reduce risk and control of both diseases, but was stronger for women with
South Asian ancestry regarding perceptions of breast cancer. Leisure time
physical activity was positively related to perceptions of control over getting
heart disease for women with British ancestry. CONCLUSIONS: Women's disease
perceptions can vary by ancestry and lifestyle behaviors. Accurate representation
of diseases is essential in promoting effective preventative behaviors.
PMID- 29359962
TI - Enhancing Reuse of Data and Biological Material in Medical Research: From FAIR to
FAIR-Health.
AB - The known challenge of underutilization of data and biological material from
biorepositories as potential resources for medical research has been the focus of
discussion for over a decade. Recently developed guidelines for improved data
availability and reusability-entitled FAIR Principles (Findability,
Accessibility, Interoperability, and Reusability)-are likely to address only
parts of the problem. In this article, we argue that biological material and data
should be viewed as a unified resource. This approach would facilitate access to
complete provenance information, which is a prerequisite for reproducibility and
meaningful integration of the data. A unified view also allows for optimization
of long-term storage strategies, as demonstrated in the case of biobanks. We
propose an extension of the FAIR Principles to include the following additional
components: (1) quality aspects related to research reproducibility and
meaningful reuse of the data, (2) incentives to stimulate effective enrichment of
data sets and biological material collections and its reuse on all levels, and
(3) privacy-respecting approaches for working with the human material and data.
These FAIR-Health principles should then be applied to both the biological
material and data. We also propose the development of common guidelines for cloud
architectures, due to the unprecedented growth of volume and breadth of medical
data generation, as well as the associated need to process the data efficiently.
PMID- 29359963
TI - Melatonin Inhibits the Proliferation of Gastric Cancer Cells Through Regulating
the miR-16-5p-Smad3 Pathway.
AB - The incidence and mortality of gastric cancer is steadily increasing annually
around the world, which required further investigation about alternative therapy
strategies. Melatonin, an indoleamine synthesized in the pineal gland, has shown
dramatic anticancer effect in several cancers, however, the function of melatonin
in gastric cancer needs to be characterized. In this study, we found that
melatonin inhibited the growth and induced apoptosis of gastric cancer cells.
microRNAs (miRNAs) have been attractive targets for many anticancer drugs. To
explore the underlying molecular mechanism by which melatonin attenuated the
growth of cancer cells, miRNA microarray analysis was performed to screen the
miRNAs, which significantly altered after melatonin treatment. The result showed
that melatonin administration enhanced the expression of miR-16-5p. Further
molecular mechanism research revealed that miR-16-5p targeted Smad3 and
consequently negatively regulated the abundance of Smad3. Consistently, melatonin
exposure decreased the level of Smad3 and overexpression of Smad3 attenuated the
inhibitory effect of melatonin in gastric cancer cells. These results uncovered
the anticancer effect of melatonin and highlighted the critical roles of miR-16
5p-Smad3 pathway in melatonin-induced growth defects of gastric cancers.
PMID- 29359964
TI - miRNA-133a-5p Inhibits the Expression of Osteoblast Differentiation-Associated
Markers by Targeting the 3' UTR of RUNX2.
AB - Recent studies have recognized the involvement of microRNAs (miRNAs) in the
development of osteoporosis, which regulate the balance between osteogenesis and
osteoclasis. In this study, we investigated the regulation by miRNA-133a-5p on
the osteoblast differentiation-associated markers in the mouse osteoblast-like
MC3T3-E1 cells by RUNX2. First, we manipulated the miRNA-133a level in the MC3T3
E1 cells with 20 or 40 nM miR-133a-5p mimics, miR-133a-5p inhibitor, or scramble
miRNA. Then, we quantified with real-time polymerase chain reaction (qRT-PCR) the
expression of Collagen I, osteocalcin (OCN), and osteopontin (OPN) in the miR
133a-5p-manipulated MC3T3-E1 cells. And the confocal microscopy was also utilized
to confirm the regulation by miR-133a-5p on the expression of the three
molecules. We also investigated the extracellular matrix (ECM) mineralization and
the alkaline phosphatase (ALP) activity in the miR-133a-5p-manipulated MC3T3-E1
cells. In addition, we explored the possible targeting by miR-133a-5p on RUNX2,
which was a well-recognized promoter to osteoblast differentiation, with
luciferase reporter, qRT-PCR, and Western blotting assay. Results demonstrated
that the miRNA-133a-5p mimics markedly reduced, whereas the miRNA-133a-5p
inhibitor significantly promoted the expression of Collagen I, OCN, and OPN, the
ECM mineralization, and the ALP activity in MC3T3-E1 cells. The alignment
analysis demonstrated a high homology between miRNA-133a-5p and the 3' UTR of
RUNX2. Moreover, the luciferase reporter assay demonstrated that miRNA-133a-5p
targeted the 3' UTR of RUNX2, and inhibited the expression of RUNX2 in both mRNA
and protein levels. In conclusion, we identified the inhibition by miRNA-133a-5p
to the expression of osteoblast differentiation markers, to the ECM
mineralization, and to the ALP activity in MC3T3-E1 cells, by targeting the 3'
UTR of RUNX2. Our study suggests that miRNA-133a-5p might be an important target
to inhibit osteoblast differentiation in osteoporosis.
PMID- 29359965
TI - Tempol, a superoxide dismutase-mimetic drug, prevents chronic ischemic renal
injury in two-kidney, one-clip hypertensive rats.
AB - Tempol, a superoxide dismutase-mimetic drug, has been shown to attenuate radical
induced damage, exerting beneficial effects in the animal models of oxidative
stress and hypertension. This study evaluated the effect of Tempol on renal
structural and functional alterations in two-Kidney, one-Clip hypertensive rats.
In this study, young male Wistar rats had the left kidney clipped (2K1C), and
sham-operated animals (Sham) were used as controls. Animals received Tempol
(1mmol/L in drinking water) or vehicle for 5 weeks. Systolic blood pressure was
evaluated once a week. At the end of the experimental protocol, the animals were
placed in metabolic cages to collect urine (24h) and then anesthetized with
thiopental (70mg/kg i.p.) to collect blood by puncturing the descending aorta for
biochemical analysis, and the clipped kidney for morphological and
immunohistochemical analyses. The vasodilator effect of Tempol was evaluated in
mesenteric arterial bed (MAB) isolated from adult Wistar rats. The chronic
treatment with Tempol prevented the development of hypertension and the increased
plasma levels of urea, creatinine, and 8-isoprostane in 2K1C animals. Tempol also
improved both glomeruli number and kidney volume to normal levels in the
2K1C+Tempol group. In addition, the treatment prevented the increased collagen
deposition and immunostaining for renin, caspase-3, and 8-isoprostane in the
stenotic kidney of 2K1C animals. Moreover, Tempol induced a dose-dependent
vasodilator response in MAB from Wistar rats. These results suggest that Tempol
protects the stenotic kidney against chronic ischemic renal injury and prevents
renal dysfunction in the 2K1C model, probably through its antioxidant,
vasodilator and antihypertensive actions.
PMID- 29359966
TI - "What is the impact of utilizing so-called best practices in percutaneous
coronary intervention?": an interview with Atul Gupta.
AB - Atul Gupta, MD speaks to Adam Price-Evans, Managing Commissioning Editor of
Future Cardiology. Atul Gupta is the Global Chief Medical Officer for the
business group Image Guided Therapy at Philips, providing medical guidance to
Philips' clinical vision and strategy. As a practicing interventional and
diagnostic radiologist, he also serves as a key external clinical voice for Image
Guided Therapy. His key responsibilities include supporting innovation and
product development in cardiology, peripheral vascular, surgical, oncology
interventions, clinical education, office-based labs, medical affairs and new
business development and ventures. He went to medical school and completed his
postgraduate training in diagnostic radiology and a fellowship in interventional
radiology. He maintains a clinical practice, performing interventional and
diagnostic radiology in both hospital and office-based lab settings.
PMID- 29359967
TI - Is Searching for Martian Life a Priority for the Mars Community?
PMID- 29359968
TI - In vitro effects of doxorubicin and tetrathiomolybdate on canine hemangiosarcoma
cells.
AB - OBJECTIVE To assess the in vitro effects of doxorubicin and tetrathiomolybdate
(TM) on cells from a canine hemangiosarcoma cell line. SAMPLE Cultured cells from
the canine hemangiosarcoma-derived cell line DEN-HSA. PROCEDURES Cells were
treated with TM (0 to 1.5MUM), doxorubicin (0 to 5MUM), or both with or without
24 hours of pretreatment with ascorbic acid (750MUM). Degree of cellular
cytotoxicity was measured with a colorimetric assay. Long-term growth inhibition
was assessed with a 10-day colony-formation assay. Induction of apoptosis was
quantitated by fluorometric assessment of caspase-3 and -7 activation. Formation
of reactive oxygen species (ROS) was also detected fluorometrically. RESULTS
Exposure of cells to the combination of TM and doxorubicin resulted in a greater
decrease in proliferation and clonogenic survival rates than exposure to each
drug alone. This treatment combination increased ROS formation and apoptosis to a
greater extent than did doxorubicin or TM alone. Ascorbic acid inhibited both TM
induced ROS formation and apoptosis. CONCLUSIONS AND CLINICAL RELEVANCE Results
suggested that the enhancement in cytotoxic effects observed with DEN-HSA cell
exposure to the combination of doxorubicin and TM was achieved through an
increase in ROS production. These findings provide a rationale for a clinical
trial of this treatment combination in dogs with hemangiosarcoma.
PMID- 29359969
TI - Effects of two fractions of inspired oxygen during anesthesia on early
postanesthesia oxygenation in healthy dogs.
AB - OBJECTIVE To evaluate the effects of 2 fractions of inspired oxygen (Fio2s)
during anesthesia on postanesthesia Pao2 and other measures of oxygen exchange.
ANIMALS 22 healthy adult sexually intact female dogs undergoing
ovariohysterectomy by ventral midline celiotomy. PROCEDURES Dogs were randomly
assigned to receive either oxygen (Fio2 > 0.9 [100% oxygen]; n = 11; control
group) or a mixture of nitrogen and oxygen (Fio2 = 0.4; 11; 40% oxygen group) as
the carrier gas for isoflurane while anesthetized. All dogs were allowed to
breathe spontaneously while anesthetized. For each dog, the Pao2, Paco2, other
indices of oxygenation, and extent of sedation were monitored at predetermined
times during and for 1 hour after anesthesia. Measured variables were compared
between the 2 treatment groups and over time within each treatment group. RESULTS
None of the measured variables differed significantly between the control and 40%
oxygen groups at any time during the postanesthesia period. Within each treatment
group, the Paco2 and extent of sedation decreased over time during the
postanesthesia period. CONCLUSIONS AND CLINICAL RELEVANCE Results indicated that
indices of oxygenation did not differ significantly between healthy dogs in which
the Fio2 was maintained at > 0.9 and those in which the Fio2 was maintained at
0.4 while anesthetized for ovariohysterectomy. Thus, the addition of nitrogen to
the carrier gas for an inhalant anesthetic conferred neither an advantage nor
disadvantage in regard to oxygenation during the first hour of anesthesia
recovery.
PMID- 29359970
TI - Biomechanical evaluation of modified laryngoplasty by use of a toggle technique
for stabilization of arytenoid cartilage in specimens obtained from equine
cadavers.
AB - OBJECTIVE To biomechanically compare modified and standard laryngoplasty
constructs in monotonic load to failure and cyclic loading. SAMPLES 41 equine
cadaveric larynges. PROCEDURES Laryngoplasty constructs were created by use of a
standard technique on one side and a modified technique (with a toggle to anchor
suture to the arytenoid cartilage) on the other side. For monotonic loading,
laryngoplasty constructs were prepared and suture ends attached to a load frame;
constructs then were loaded until mechanical failure. Mean load at failure and
failure modes were compared between constructs. For cyclic loading, arytenoid
cartilages were maximally abducted and constructs were circumferentially loaded
for 10,000 cycles. Loss of arytenoid abduction was evaluated every 500 cycles
with a subjective grading scale and objective change in rima glottidis cross
sectional area. RESULTS In monotonic loading, modified laryngoplasty constructs
failed at a significantly higher mean +/- SD load (191 +/- 29 N) than did
standard laryngoplasty constructs (91 +/- 44 N). None of the modified
laryngoplasty constructs failed by suture pull-through of the muscular process of
the arytenoid cartilage, whereas most of the standard laryngoplasty constructs
failed in that manner. In cyclic testing, 11 of 20 standard laryngoplasty
constructs failed or achieved Dixon grade 3 abduction, whereas 0 of 20 modified
laryngoplasty constructs failed. Modified laryngoplasty constructs lost
significantly less rima glottidis cross-sectional area in circumferential
testing, compared with loss for standard laryngoplasty constructs. CONCLUSIONS
AND CLINICAL RELEVANCE The modified laryngoplasty technique was biomechanically
superior to the standard laryngoplasty technique in this ex vivo study.
PMID- 29359971
TI - Immunohistochemical expression of insulin, glucagon, and somatostatin in
pancreatic islets of horses with and without insulin resistance.
AB - OBJECTIVE To assess insulin, glucagon, and somatostatin expression within
pancreatic islets of horses with and without insulin resistance. ANIMALS 10
insulin-resistant horses and 13 insulin-sensitive horses. PROCEDURES For each
horse, food was withheld for at least 10 hours before a blood sample was
collected for determination of serum insulin concentration. Horses with a serum
insulin concentration < 20 MUU/mL were assigned to the insulin-sensitive group,
whereas horses with a serum insulin concentration > 20 MUU/mL underwent a
frequently sampled IV glucose tolerance test to determine sensitivity to insulin
by minimal model analysis. Horses with a sensitivity to insulin < 1.0 * 10-4
L*min-1*mU-1 were assigned to the insulin-resistant group. All horses were
euthanized with a barbiturate overdose, and pancreatic specimens were harvested
and immunohistochemically stained for determination of insulin, glucagon, and
somatostatin expression in pancreatic islets. Islet hormone expression was
compared between insulin-resistant and insulin-sensitive horses. RESULTS Cells
expressing insulin, glucagon, and somatostatin made up approximately 62%, 12%,
and 7%, respectively, of pancreatic islet cells in insulin-resistant horses and
64%, 18%, and 9%, respectively, of pancreatic islet cells in insulin-sensitive
horses. Expression of insulin and somatostatin did not differ between insulin
resistant and insulin-sensitive horses, but the median percentage of glucagon
expressing cells in the islets of insulin-resistant horses was significantly less
than that in insulin-sensitive horses. CONCLUSIONS AND CLINICAL RELEVANCE Results
suggested that, in insulin-resistant horses, insulin secretion was not increased
but glucagon production might be downregulated as a compensatory response to
hyperinsulinemia.
PMID- 29359972
TI - Effects of weight loss with a moderate-protein, high-fiber diet on body
composition, voluntary physical activity, and fecal microbiota of obese cats.
AB - OBJECTIVE To determine effects of restriction feeding of a moderate-protein, high
fiber diet on loss of body weight (BW), voluntary physical activity, body
composition, and fecal microbiota of overweight cats. ANIMALS 8 neutered male
adult cats. PROCEDURES After BW maintenance for 4 weeks (week 0 = last week of
baseline period), cats were fed to lose approximately 1.5% of BW/wk for 18 weeks.
Food intake (daily), BW (twice per week), body condition score (weekly), body
composition (every 4 weeks), serum biochemical analysis (weeks 0, 1, 2, 4, 8, 12,
and 16), physical activity (every 6 weeks), and fecal microbiota (weeks 0, 1, 2,
4, 8, 12, and 16) were assessed. RESULTS BW, body condition score, serum
triglyceride concentration, and body fat mass and percentage decreased
significantly over time. Lean mass decreased significantly at weeks 12 and 16.
Energy required to maintain BW was 14% less than National Research Council
estimates for overweight cats and 16% more than resting energy requirement
estimates. Energy required for weight loss was 11% more, 6% less, and 16% less
than American Animal Hospital Association recommendations for weight loss (80% of
resting energy requirement) at weeks 1 through 4, 5 through 8, and 9 through 18,
respectively. Relative abundance of Actinobacteria increased and Bacteroidetes
decreased with weight loss. CONCLUSIONS AND CLINICAL RELEVANCE Restricted feeding
of a moderate-protein, high-fiber diet appeared to be a safe and effective means
for weight loss in cats. Energy requirements for neutered cats may be
overestimated and should be reconsidered.
PMID- 29359973
TI - Magnetic resonance imaging evaluation of olfactory bulb angle and soft palate
dimensions in brachycephalic and nonbrachycephalic dogs.
AB - OBJECTIVE To determine from MRI measurements whether soft palate length (SPL) and
thickness are correlated in dogs, evaluate the association between the olfactory
bulb angle (OBA) and degree of brachycephalia, and determine the correlation
between soft palate-epiglottis overlap and OBA in dogs. ANIMALS 50 brachycephalic
and 50 nonbrachycephalic client-owned dogs without abnormalities of the head.
PROCEDURES Medical records and archived midsagittal T2-weighted MRI images of
brachycephalic and nonbrachycephalic dogs' heads were reviewed. Group assignment
was based on breed. Data collected included weight, SPL and thickness, OBA, and
the distance between the caudal extremity of the soft palate and the basihyoid.
Soft palate length and thickness were adjusted on the basis of body weight.
RESULTS Brachycephalic dogs had significantly thicker soft palates and lower
OBAs, compared with findings for nonbrachycephalic dogs. There was a significant
negative correlation (r2 = 0.45) between OBA and soft palate thickness. The
correlation between SPL and OBA was less profound (r2 = 0.09). The distance
between the caudal extremity of the soft palate and the basihyoid was shorter in
brachycephalic dogs than in nonbrachycephalic dogs. The percentage of epiglottis
soft palate overlap significantly decreased with increasing OBA (r2 = 0.31).
CONCLUSIONS AND CLINICAL RELEVANCE Results indicated that MRI images can be
consistently used to assess anatomic landmarks for measurement of SPL and
thickness, OBA, and soft palate-to-epiglottis distance in brachycephalic and
nonbrachycephalic dogs. The percentage of epiglottis-soft palate overlap was
significantly greater in brachycephalic dogs and was correlated to the degree of
brachycephalia.
PMID- 29359974
TI - Evaluation of self-injurious behavior, food intake, fecal output, and thermal
withdrawal latencies after injection of a high-concentration buprenorphine
formulation in rats (Rattus norvegicus).
AB - OBJECTIVE To evaluate effects of high-concentration buprenorphine (HCB) on self
injurious behavior, food intake, fecal output, and thermal withdrawal latencies
in healthy rats. ANIMALS 8 Sprague-Dawley rats. PROCEDURES Rats received 4 SC
treatments (HCB at 0.075, 0.15, or 0.30 mg/kg [HCB0.075, HCB0.15, and HCB0.30,
respectively] or 5% dextrose solution [0.20 mL/kg]) in a randomized, crossover
design study. Self-injurious behavior was assessed for 8 hours after injection.
Food intake and fecal output were assessed for predetermined periods before and
after treatment and separated into 12-hour light and dark periods for further
analysis. Withdrawal latencies were assessed before (time 0) and at predetermined
times after injection. Data were compared among treatments and time points.
RESULTS Self-injurious behavior was observed up to 8 hours after injection for
all HCB, but not dextrose, treatments. Preinjection food intake and fecal output
amounts were similar among groups and higher during the dark period than during
the light period. Food intake after all HCB treatments was higher during the
light period and lower during the dark period, compared with preinjection results
for the same treatments and with postinjection results for dextrose
administration. Light-period fecal output was lower after HCB0.15 and HCB0.30
administration, compared with preinjection values for the same treatments and
postinjection values for dextrose administration. Percentage change in withdrawal
latency was significantly higher than that at time 0 (ie, 0%) for only 1
treatment (HCB0.30) at 1 time point (1 hour after injection). CONCLUSIONS AND
CLINICAL RELEVANCE Although HCB0.30 produced a degree of thermal hypoalgesia in
healthy rats, self-injurious behavior and alterations in food intake and fecal
output were detected, potentially affecting clinical utility of the treatment.
PMID- 29359975
TI - Perfusion computed tomographic measurements of cerebral blood flow variables in
live Holstein calves.
AB - OBJECTIVE To measure cerebral blood flow (CBF) and cerebral blood volume (CBV) by
means of perfusion CT in clinically normal Holstein calves. ANIMALS 9 Holstein
calves. PROCEDURES Each of the 9 calves (mean age, 20.2 days) was anesthetized
and received an injection of iodinated contrast medium into the right jugular
vein at a rate of 4.0 mL/s. Dynamic CT scanning of the head at a level that
included the mandibular condyle was initiated at the time of the contrast medium
injection and continued for 100 seconds. A deconvolution method was used as an
analytic algorithm. RESULTS Among the 9 calves, the mean +/- SD CBF in the
cerebral cortex, white matter, and thalamus was 44.3 +/- 10.3 mL/100 g/min, 36.1
+/- 7.5 mL/100 g/min, and 40.3 +/- 7.5 mL/100 g/min, respectively. The CBF in
white matter was significantly lower than that in the cerebral cortex or
thalamus. The mean CBV in the cerebral cortex, white matter, and thalamus was 6.8
+/- 1.0 mL/100 g, 5.2 +/- 1.0 mL/100 g, and 5.7 +/- 0.7 mL/100 g, respectively.
The CBV in the cerebral cortex was significantly higher than that in the white
matter or thalamus. CONCLUSIONS AND CLINICAL RELEVANCE Measurement of CBF and CBV
in clinically normal calves by means of perfusion CT was feasible. The data
obtained may be useful as baseline values for use in future research or for
comparison with findings from calves with CNS diseases. Investigations to
determine the lower limit of blood flow at which brain function can still be
restored are warranted.
PMID- 29359976
TI - Assessment of tissue-specific cortisol activity with regard to degeneration of
the suspensory ligaments in horses with pituitary pars intermedia dysfunction.
AB - OBJECTIVE To identify signs of tissue-specific cortisol activity in samples of
suspensory ligament (SL) and neck skin tissue from horses with and without
pituitary pars intermedia dysfunction (PPID). SAMPLE Suspensory ligament and neck
skin tissue samples obtained from 26 euthanized horses with and without PPID.
PROCEDURES Tissue samples were collected from 12 horses with and 14 horses
without PPID (controls). Two control horses had received treatment with
dexamethasone; data from those horses were not used in statistical analyses. The
other 12 control horses were classified as old horses (>= 14 years old) and young
horses (<= 9 years old). Standard histologic staining, staining for proteoglycan
accumulation, and immunostaining of SL and neck skin tissue sections for
glucocorticoid receptors, insulin, 11beta hydroxysteroid dehydrogenase type 1,
and 11beta hydroxysteroid dehydrogenase type 2 were performed. Findings for
horses with PPID were compared with findings for young and old horses without
PPID. RESULTS Compared with findings for old and young control horses, there were
significantly more cells stained for glucocorticoid receptors in SL samples and
for 11 beta hydroxysteroid dehydrogenase type 1 in SL and skin tissue samples
from horses with PPID. Insulin could not be detected in any of the SL or skin
tissue samples. Horses with PPID had evidence of SL degeneration with
significantly increased proteoglycan accumulation. Neck skin tissue was found to
be significantly thinner in PPID-affected horses than in young control horses.
CONCLUSIONS AND CLINICAL RELEVANCE Results suggested that tissue-specific
dysregulation of cortisol metabolism may contribute to the SL degeneration
associated with PPID in horses.
PMID- 29359977
TI - Effect of trotting speed on kinematic variables measured by use of extremity
mounted inertial measurement units in nonlame horses performing controlled
treadmill exercise.
AB - OBJECTIVE To assess effects of speed on kinematic variables measured by use of
extremity-mounted inertial measurement units (IMUs) in nonlame horses performing
controlled exercise on a treadmill. ANIMALS 10 nonlame horses. PROCEDURES 6 IMUs
were attached at predetermined locations on 10 nonlame Franches Montagnes horses.
Data were collected in triplicate during trotting at 3.33 and 3.88 m/s on a high
speed treadmill. Thirty-three selected kinematic variables were analyzed.
Repeated-measures ANOVA was used to assess the effect of speed. RESULTS
Significant differences between the 2 speeds were detected for most temporal
(11/14) and spatial (12/19) variables. The observed spatial and temporal changes
would translate into a gait for the higher speed characterized by increased
stride length, protraction and retraction, flexion and extension, mediolateral
movement of the tibia, and symmetry, but with similar temporal variables and a
reduction in stride duration. However, even though the tibia coronal range of
motion was significantly different between speeds, the high degree of variability
raised concerns about whether these changes were clinically relevant. For some
variables, the lower trotting speed apparently was associated with more
variability than was the higher trotting speed. CONCLUSIONS AND CLINICAL
RELEVANCE At a higher trotting speed, horses moved in the same manner (eg, the
temporal events investigated occurred at the same relative time within the
stride). However, from a spatial perspective, horses moved with greater action of
the segments evaluated. The detected changes in kinematic variables indicated
that trotting speed should be controlled or kept constant during gait evaluation.
PMID- 29359978
TI - Effect of metoclopramide treatment of bitches during the first week of lactation
on serum prolactin concentration, milk composition, and milk yield and on weight
gain of their puppies.
AB - OBJECTIVE To investigate effects of metoclopramide orally administered to healthy
bitches on serum prolactin and milk lactose concentrations, gross energy, and dry
matter content and on puppy weight gain during early lactation. ANIMALS 20 client
owned bitches and their 121 puppies. PROCEDURES 10 bitches received
metoclopramide (0.2 mg/kg, PO, q 6 h for 6 days; treatment group) starting 10 to
24 hours after birth of the last puppy of the litter (day 0), and 10 bitches
served as the control group. Blood and milk samples from all bitches were
collected on days 0, 1, 2, 4, and 6. Milk samples for days 1 and 2 and days 4 and
6 were pooled because of small volume. Puppies were weighed twice daily. RESULTS
Serum prolactin concentration increased significantly over time in both groups,
and no treatment effect was detected. When day-to-day changes were analyzed, the
prolactin concentration increased from day 0 to day 1 in the treatment group but
not in the control group. Milk lactose concentration increased significantly and
was higher in the treatment group than in the control group. Milk dry matter
content was unchanged, whereas the time course for milk gross energy content
differed significantly between treatment and control bitches. Puppy weight gain
was not affected by metoclopramide treatment. CONCLUSIONS AND CLINICAL RELEVANCE
Oral administration of metoclopramide to healthy bitches after parturition
induced a transient increase in serum prolactin concentration and stimulated milk
lactose production. It is likely bitches with insufficient or delayed milk
production could benefit from metoclopramide treatment.
PMID- 29359980
TI - Genome-wide sequencing and quantification of circulating microRNAs for dogs with
congestive heart failure secondary to myxomatous mitral valve degeneration.
AB - OBJECTIVE To characterize expression profiles of circulating microRNAs via genome
wide sequencing for dogs with congestive heart failure (CHF) secondary to
myxomatous mitral valve degeneration (MMVD). ANIMALS 9 healthy client-owned dogs
and 8 age-matched client-owned dogs with CHF secondary to MMVD. PROCEDURES Blood
samples were collected before administering cardiac medications for the
management of CHF. Isolated microRNAs from plasma were classified into microRNA
libraries and subjected to next-generation sequencing (NGS) for genome-wide
sequencing analysis and quantification of circulating microRNAs. Quantitative
reverse transcription PCR (qRT-PCR) assays were used to validate expression
profiles of differentially expressed circulating microRNAs identified from NGS
analysis of dogs with CHF. RESULTS 326 microRNAs were identified with NGS
analysis. Hierarchical analysis revealed distinct expression patterns of
circulating microRNAs between healthy dogs and dogs with CHF. Results of qRT-PCR
assays confirmed upregulation of 4 microRNAs (miR-133, miR-1, miR-let-7e, and miR
125) and downregulation of 4 selected microRNAs (miR-30c, miR-128, miR-142, and
miR-423). Results of qRT-PCR assays were highly correlated with NGS data and
supported the specificity of circulating microRNA expression profiles in dogs
with CHF secondary to MMVD. CONCLUSIONS AND CLINICAL RELEVANCE These results
suggested that circulating microRNA expression patterns were unique and could
serve as molecular biomarkers of CHF in dogs with MMVD.
PMID- 29359982
TI - Vitamin D deficiency and its relationship with cardiac iron and function in
patients with transfusion-dependent thalassemia at Chiang Mai University
Hospital.
AB - BACKGROUND: Vitamin D deficiency is common in patients with thalassemia. Vitamin
D deficiency could be related to cardiac dysfunction. Increased parathyroid
hormone (PTH) is also known to be associated with heart failure. OBJECTIVES: To
determine the prevalence of Vitamin D deficiency and to explore the impact of
Vitamin D deficiency on cardiac iron and function in patients with transfusion
dependent thalassemia. METHOD: A cross-sectional study in patients with
Transfusion-dependent thalassemia was conducted. Patients with liver disease,
renal disease, type 1 diabetes, malabsorption, hypercortisolism, malignancy, and
contraindication for MRI were excluded. Calcium, phosphate, PTH, vitamin D-25OH
were measured. CardiacT2* and liver iron concentration (LIC) and left ventricular
ejection fraction (LVEF) were determined. Results Sixty-one (33M/28F) patients
with Transfusion-dependent thalassemia were enrolled. The prevalence of Vitamin D
deficiency was 50.8%. Patients with cardiac siderosis had tendency for lower D
25OH than those without siderosis (15.9 (11.7-20.0) vs. 20.2 (15.85-22.3) ng/mL);
p = 0.06). Serum calcium, phosphate, PTH, LIC, cardiac T2*, and LVEF were not
different between the groups with or without Vitamin D deficiency. Patients with
Vitamin D deficiency had significantly lower hemoglobin levels compared to those
without Vitamin D deficiency (7.5 (6.93-8.33) vs. 8.1 (7.30-8.50) g/dL; p =
0.04). The median hemoglobin in the last 12 months was significantly correlated
with D-25OH. Cardiac T2* had significant correlation with PTH. CONCLUSION:
Vitamin D deficiency is prevalent in patients with Transfusion-dependent
thalassemia. Vitamin D level is correlated with hemoglobin level. Vitamin D
status should be routinely assessed in these patients. Low PTH is correlated with
increased cardiac iron. This study did not demonstrate an association between
Vitamin D deficiency and cardiac iron or function in patients with Transfusion
dependent thalassemia.
PMID- 29359983
TI - Use of cord blood derived T-cells in cancer immunotherapy: milestones achieved
and future perspectives.
AB - INTRODUCTION: Hematopoietic cell transplantation is a potentially lifesaving
procedure for patients with hematological malignancies who are refractory to
conventional chemotherapy and/or irradiation treatment. Umbilical cord blood (CB)
transplantation, as a hematopoietic stem and progenitor cell (HSPC) source, has
several advantages over bone marrow transplantation with respect to matching and
prompt availability for transplantation. Additionally, CB has some inherent
features, such as rapid expansion of T cells, lower prevalence of graft-versus
host disease and higher graft versus tumor efficacy that make this HSPC cell
source more favorable over other HSPC sources. Areas covered: This review
summarizes the current CB and CB derived T cell applications aiming to better
disease control for hematological malignancies and discusses future directions to
more effective therapies. Expert commentary: CB transplantation could be used as
a platform to extract cord blood derived T cells for ex vivo expansion and/or
gene modification to improve cellular immunotherapies. In addition, combining
cord blood gene-engineered T cell products with vaccination strategies, such as
cord blood derived dendritic cell based vaccines, may provide synergistic
immunotherapies with enhanced anti-tumor effects.
PMID- 29359984
TI - Current approaches for identifying high-risk non-muscle invasive bladder cancer.
AB - INTRODUCTION: Management of high risk non-muscle invasive bladder cancer (NMIBC)
is challenging. It is vital to detect recurrences early and predict which tumors
are likely to progress. Areas covered: This manuscript reviews the current
approaches available for detection and surveillance of high risk NMIBCs. A
literature review was utilized to evaluate risk factors for recurrence and
progression as well as evaluating approaches including pathological aspects of
NMIBC, molecular subtyping, methods for tumor visualization, urine cytology,
urinary molecular markers, molecular tissue markers as well as the scoring
systems and nomograms. Expert commentary: Clinical and pathological factors are
still the mainstay of prediction of recurrence and progression. However, genomic
information such as molecular subtyping may improve understanding of prognosis.
White light cystoscopy is still a dominant approach but enhanced cystoscopy is
likely superior for detection of cancer especially carcinoma in situ. Urinary
biomarkers are evolving; however, they are not ready to replace cystoscopy and
trials are still necessary to determine optimal clinical utility. Prognostic
scoring systems and nomograms are available for counseling the patients but there
is room to improve predictive accuracy.
PMID- 29359985
TI - Corrigendum.
PMID- 29359986
TI - A retrospective and prospective look at strategies to increase adolescent HPV
vaccine uptake in the United States.
AB - The HPV vaccine debuted more than ten years ago in the United States and many
strategies have been evaluated to increase HPV vaccination rates, which include
not only improving current vaccination behaviors but also sustaining these
behaviors. Researchers and practitioners from a variety of backgrounds have
engaged in this work, which has included efforts directed at public health and
government policies, health education and health promotion programs, and clinical
and patient-provider approaches, as well as work aimed to respond to and combat
anti-HPV vaccination movements in society. Using a previously developed
conceptual model to organize and summarize each of these areas, this paper also
highlights the need for future HPV vaccine promotion work to adopt a multi-level
and, when possible, integrated approach in order to maximize impact on
vaccination rates.
PMID- 29359988
TI - Long-term outcomes following proton therapy for prostate cancer in young men with
a focus on sexual health.
AB - BACKGROUND: We investigated long-term outcomes for men <=60 years old treated
with proton therapy (PT). METHODS: Of 254 men <=60 years old were treated with
proton therapy alone for prostate cancer. Risk stratification included 56% with
low-, 42% with intermediate- and 2% with high-risk disease. Patients received 76
82 Gy at 2 Gy/fraction or 70-72.5 Gy at 2.5 Gy/fraction. Before treatment and
every 6-12 months for 5 years, patients were evaluated by a physician, answered
health-related quality of life surveys, including the EPIC, IIEF and IPSS, and
had PSA evaluated. RESULTS: Median follow-up for the cohort was 7.1 years; 7-year
biochemical-free survival was 97.8%. Eight men (one high-risk; five intermediate
risk and two low-risk) experienced biochemical progression, including one who
died of disease 9 years after treatment. Potency (erections firm enough for
sexual intercourse) was 90% at baseline and declined to 72% at the first-year
follow-up, but declined to only 67% at 5 years. Only 2% of patients developed
urinary incontinence requiring pads. The bowel habits mean score declined from a
baseline of 96 to 88 at 1 year, which improved over the following years to 93 at
5 years. CONCLUSIONS: Young men with prostate cancer continue to have excellent
results with respect to 7-year biochemical control and 5-year erectile function,
without clinically significant urinary incontinence 5 years after proton therapy.
Comparative effectiveness studies of proton therapy with surgery and IMRT are
needed.
PMID- 29359987
TI - Disparities in Postpartum Contraceptive Counseling and Provision Among Mothers of
Preterm Infants.
AB - OBJECTIVE: Use of effective contraception could be one method to decrease
recurrent preterm birth by increasing intervals between pregnancies. We assessed
correlates of contraceptive counseling and uptake among women who delivered
preterm. MATERIALS AND METHODS: This is a retrospective cohort study of women who
delivered live-born singletons or twins before 32 weeks' gestation. We assessed
documented contraceptive counseling and method uptake by postpartum discharge,
using inpatient medical records, and correlates of highly effective contraception
uptake by the postpartum visit using outpatient records. RESULTS: Of 594 eligible
women, 44.6% (n = 265) had documented inpatient contraceptive counseling, but
only 21.4% (n = 127) left the hospital using a World Health Organization (WHO)
tier 1 or 2 method. Women who were younger, non-Hispanic black, multiparous, and
delivered at earlier gestational ages were more likely to have inpatient
counseling documented. Compared with women with private insurance, women with
public insurance were more likely to have documented counseling (22.8% vs. 87.5%,
p < 0.001; adjusted odds ratio [aOR] 9.55, 95% confidence interval [CI] 5.31
17.2) and to uptake a WHO tier 1 or 2 method as an inpatient (5.8% vs. 52.0%, p <
0.001; aOR 9.51, 95% CI 4.78-18.9). Of the 175 women with outpatient records
available who attended a postpartum visit, only 54.9% (n = 96) adopted a WHO tier
1 or tier 2 method. CONCLUSION: Although all women in this cohort were at risk of
recurrent preterm birth, counseling about contraception after a preterm birth
(<32 weeks) was not universal. Women with multiple risk factors for recurrent
preterm birth, such as multiparity and public insurance, were more likely to have
received documented contraceptive counseling and highly effective contraceptives.
PMID- 29359990
TI - Dexmedetomidine and Magnesium Sulfate: A Good Combination Treatment for Acute
Lung Injury?
AB - OBJECTIVES: In this study, we aimed to investigate the therapeutic effects of
magnesium sulfate (MgSO4) and dexmedetomidine (dex) in a model of acute lung
injury (ALI). We determined whether concomitant administration decreased the
inflammatory effects of hydrochloric acid (HCl)-induced ALI in a synergistic
manner. MATERIALS AND METHODS: In this study, 42 Sprague-Dawley rats were
randomized into six groups: Group S (saline), Group SV (saline + mechanical
ventilation), Group HCl (HCl), Group Dex (Dex), Group Mag (MgSO4), and Group DM
(Dex + MgSO4). All groups except Group S were mechanically ventilated prior to
HCl-induced ALI. Saline or HCl was administered via tracheostomy. Prior to
treatment, HCl was administered to Group HCl, Group Dex, Group Mag, and Group DM
to induce ALI. Dex and MgSO4 were administered intraperitoneally. The rats were
monitored for 4 h after treatment to measure oxidative stress parameters in
blood, and prolidase enzyme activity. Lung tissue damage were determined via
histopathology. RESULTS: A significant increase in heart rate and rapid
desaturation was observed in HCl-administered groups. Treatment administration
decreased the pulse values. Increased saturation values and decreased oxidative
stress indices were observed in groups that were subsequently administered Dex
and MgSO4. Serum prolidase activity increased significantly in Group HCl. Severe
pathological findings were detected following HCl-induced ALI. Group Mag showed
greater improvement in the pathology of HCl-induced ALI than did Group Dex.
Administration of both Dex and MgSO4 did not improve the pathological scores.
CONCLUSIONS: The antioxidant and anti-inflammatory effects of Dex and MgSO4
ameliorated the detrimental effects of HCI-induced ALI. However, adverse effects
on hemodynamics and lung damage were observed when the two drugs were
administered together.
PMID- 29359989
TI - A role for endothelial cells in radiation-induced inflammation.
AB - PURPOSE: To unravel the role of the vasculature in radiation-induced brain tissue
damage. MATERIALS AND METHODS: Postnatal day 14 mice received a single dose of 10
Gy cranial irradiation and were sacrificed 6 h, 24 h or 7 days post-irradiation.
Endothelial cells were isolated from the hippocampus and cerebellum using
fluorescence-activated cell sorting, followed by cell cycle analysis and gene
expression profiling. RESULTS: Flow cytometric analysis revealed that irradiation
increased the percentage of endothelial cells, relative to the whole cell
population in both the hippocampus and the cerebellum. This change in cell
distribution indicates that other cell types are more susceptible to irradiation
induced cell death, compared to endothelial cells. This was supported by data
showing that genes involved in endothelial cell-specific apoptosis (e.g. Smpd1)
were not induced at any time point investigated but that genes involved in cell
cycle arrest (e.g. Cdkn1a) were upregulated at all investigated time points,
indicating endothelial cell repair. Inflammation-related genes, on the other
hand, were strongly induced, such as Ccl2, Ccl11 and Il6. CONCLUSIONS: We
conclude that endothelial cells are relatively resistant to ionizing radiation
but that they play an active, hitherto unknown, role in the inflammatory response
after irradiation. In the current study, this was shown in both the hippocampus,
where neurogenesis and extensive cell death after irradiation occurs, and in the
cerebellum, where neurogenesis no longer occurs at this developmental age.
PMID- 29359991
TI - Evaluation of alum-based adjuvant on the immunogenicity of salmonella enterica
serovar typhi conjugates vaccines.
AB - The function of adjuvant in maintaining the long-term immune response to Typhoid
conjugate vaccine (TCV) was evaluated in. Two TCV products, Vi-DT and Vi-TT, were
formulated in either aluminum phosphate (AlPO4) or aluminum hydroxide (AlOH) as
adjuvants and TCV formulated in phosphate buffer saline were used as controls. In
each case, a group of Balb/c mice was injected intramuscularly with two doses of
the formulated vaccine at two-week intervals. The anti-Vi IgG responses were
monitored by Enzyme-Linked Immunosorbent Assay and the levels of CD4+ T-cells
expressing cytokine were characterized using intracellular cytokine staining. All
mice immunized by TCV formulated in adjuvant elicited anti-Vi response to a
higher level than the group receiving TCV formulated in PBS. The extent of
adsorption of TCV in AlOH was greater than that in AlPO4, and this finding
correlated well with the observation that the mice immunized with two doses of Vi
DT(AlOH) elicited anti-Vi IgG to a level higher than that seen with Vi-DT(AlPO4).
The mice primed with Vi-TT(AlOH) produced lower anti-Vi IgG (25.901 GM) compared
to those receiving Vi-TT(AlPO4) (49.219 GM). However, after the second injection,
the former raised the antibody level significantly to 137.008 GM while the latter
provided a value of only 104.966 GM. The groups of mice vaccinated by TCV
formulated in AlOH expressed IL4 at higher levels than the other groups, which
correlated positively with the high Anti-Vi IgG in these animals. In conclusion,
AlOH could be recommended as an effective adjuvant for TCV to provide a long-term
immune response.
PMID- 29359992
TI - FcgammaRII-binding Centyrins mediate agonism and antibody-dependent cellular
phagocytosis when fused to an anti-OX40 antibody.
AB - Immunostimulatory antibodies against the tumor necrosis factor receptors (TNFR)
are emerging as promising cancer immunotherapies. The agonism activity of such
antibodies depends on crosslinking to Fc gamma RIIB receptor (FcgammaRIIB) to
enable the antibody multimerization that drives TNFR activation. Previously, Fc
engineering was used to enhance the binding of such antibodies to Fcgamma
receptors. Here, we report the identification of Centyrins as alternative
scaffold proteins with binding affinities to homologous FcgammaRIIB and
FcgammaRIIA, but not to other types of Fcgamma receptors. One Centyrin, S29, was
engineered at distinct positions of an anti-OX40 SF2 antibody to generate
bispecific and tetravalent molecules named as mAbtyrins. Regardless of the
position of S29 on the SF2 antibody, SF2-S29 mAbtyrins could bind FcgammaRIIB and
FcgammaRIIA specifically while maintaining binding to OX40 receptors. In a
NFkappaB reporter assay, attachment of S29 Centyrin molecules at the C-termini,
but not the N-termini, resulted in SF2 antibodies with increased agonism owing to
FcgammaRIIB crosslinking. The mAbtyrins also showed agonism in T-cell activation
assays with immobilized FcgammaRIIB and FcgammaRIIA, but this activity was
confined to mAbtyrins with S29 specifically at the C-termini of antibody heavy
chains. Furthermore, regardless of the position of the molecule, S29 Centyrin
could equip an otherwise Fc-silent antibody with antibody-dependent cellular
phagocytosis activity without affecting the antibody's intrinsic antibody
dependent cell-meditated cytotoxicity and complement-dependent cytotoxicity. In
summary, the appropriate adoption FcgammaRII-binding Centyrins as functional
modules represents a novel strategy to engineer therapeutic antibodies with
improved functionalities.
PMID- 29359993
TI - The economic and environmental cost of delayed GM crop adoption: The case of
Australia's GM canola moratorium.
AB - Incorporating socio-economic considerations (SECs) into national biosafety
regulations regarding genetically modified (GM) crops have opportunity costs.
Australia approved the cultivation of GM canola through a science-based risk
assessment in 2003, but allowed state moratoria to be instituted based on
potential trade impacts over the period 2004 to 2008 and 2010 in the main canola
growing states. This analysis constructs a counterfactual assessment using
Canadian GM canola adoption data to create an S-Curve of adoption in Australia to
measure the environmental and economic opportunity costs of Australia's SEC-based
moratoria between 2004 and 2014. The environmental impacts are measured through
the amount of chemical active ingredients applied during pest management, the
Environmental Impact Quotient indicator, and greenhouse gas emissions. The
economic impacts are measured through the variable costs of the weed control
programs, yield and the contribution margin. The environmental opportunity costs
from delaying the adoption of GM canola in Australia include an additional 6.5
million kilograms of active ingredients applied to canola land; a 14.3% increase
in environmental impact to farmers, consumers and the ecology; 8.7 million litres
of diesel fuel burned; and an additional 24.2 million kilograms of greenhouse gas
(GHG) and compound emissions released. The economic opportunity costs of the SEC
based moratoria resulted in foregone output of 1.1 million metric tonnes of
canola and a net economic loss to canola farmers' of AU$485.6 million. The paper
provides some of the first quantified, post-adoption evidence on the opportunity
cost and environmental impacts of incorporating SECs into GM crop regulation.
PMID- 29359994
TI - The regulation of host cellular and gut microbial metabolism in the development
and prevention of colorectal cancer.
AB - Metabolism regulation is crucial in colorectal cancer (CRC) and has emerged as a
remarkable field currently. The cellular metabolism of glucose, amino acids and
lipids in CRC are all reprogrammed. Each of them changes tumour microenvironment,
modulates bacterial composition and activity, and eventually promotes CRC
development. Metabolites such as short chain fatty acids, secondary bile acids, N
nitroso compounds, hydrogen sulphide, polyphenols and toxins like fragilysin,
FadA, cytolethal distending toxin and colibactin play a dual role in CRC. The
relationship of gut microbe-metabolite is essential in remodelling intestinal
microbial ecology composition and metabolic activity. It regulates the metabolism
of colonic epithelial cells and changes the tumour microenvironment in CRC.
Microbial metabolism manipulation has been considered to be potentially
preventive in CRC, but more large-scale clinical trials are required before their
application in clinical practice in the near future.
PMID- 29359995
TI - Classification and reporting of severity experienced by animals used in
scientific procedures: FELASA/ECLAM/ESLAV Working Group report.
AB - Directive 2010/63/EU introduced requirements for the classification of the
severity of procedures to be applied during the project authorisation process to
use animals in scientific procedures and also to report actual severity
experienced by each animal used in such procedures. These requirements offer
opportunities during the design, conduct and reporting of procedures to consider
the adverse effects of procedures and how these can be reduced to minimize the
welfare consequences for the animals. Better recording and reporting of adverse
effects should also help in highlighting priorities for refinement of future
similar procedures and benchmarking good practice. Reporting of actual severity
should help inform the public of the relative severity of different areas of
scientific research and, over time, may show trends regarding refinement.
Consistency of assignment of severity categories across Member States is a key
requirement, particularly if re-use is considered, or the safeguard clause is to
be invoked. The examples of severity classification given in Annex VIII are
limited in number, and have little descriptive power to aid assignment.
Additionally, the examples given often relate to the procedure and do not attempt
to assess the outcome, such as adverse effects that may occur. The aim of this
report is to deliver guidance on the assignment of severity, both prospectively
and at the end of a procedure. A number of animal models, in current use, have
been used to illustrate the severity assessment process from inception of the
project, through monitoring during the course of the procedure to the final
assessment of actual severity at the end of the procedure (Appendix 1).
PMID- 29359996
TI - Antenatal care attendance and uptake of skilled delivery, Lokitaung Sub-County
Hospital, Turkana County, Kenya.
AB - Globally, nearly 500,000 women die annually from pregnancy- and childbirth
related complications. Antenatal care (ANC) is one of the most important factors
in preventing maternal and infant mortality. This study investigated whether ANC
attendance and sociodemographic characteristics of pregnant women influence
uptake of skilled delivery at Lokitaung Sub-County Hospital, Turkana County,
Kenya.
PMID- 29359997
TI - Scotland's GP paediatric scholarship: an evaluation.
AB - In a previous publication we described the implementation and early evaluation of
general practice paediatric scholarships in Scotland. We suggested that it was
too early to be able to determine whether this significant investment will
produce a return for Scotland in terms of enhanced roles in providing, leading or
developing children's services in primary care or at the primary care/secondary
care interface. This paper presents the results of a survey of the impact of the
scholarship for the first six cohorts of the scholarship (119 General
Practitioners). The response rate was 76%. Of the 90 respondents, almost half
(44) have developed roles or areas of special paediatric interest either within
or out with the practice, or in three cases both within and out with the
practice. A total of 37 (43%) of those that continue to work within general
practice reported that they have developed areas of special interest of benefit
to the practice. Qualitative analysis of free text questions suggested that
scholars had benefited from their experience in terms of increased confidence in
dealing with child health problems, developing links with secondary care
colleagues, and personal gain with respect to role development. What is already
known in this area: Changes in GP Training have been suggested in order to
provide a workforce that can meet the needs of infants, children and young
people. Studies have shown a positive impact of paediatric trainees and GP
trainees learning together. Little attention has however been given to the
potential to support trained GPs to develop their expertise in child health. What
this work adds: Early evaluation of the Scottish Paediatric Scholarship suggested
a high degree of satisfaction. This more robust evaluation suggests that almost
half (44/90 respondents) have developed roles or areas of special paediatric
interest either within or out with the practice, or in three cases both within
and out with the practice. Suggestions for future work in this area: A longer
follow-up supported by more rigorous qualitative evaluation would be beneficial
in understanding to what extent, and how scholars have played an enhanced role in
providing, leading or developing children's services in primary care, and what
role the scholarship has played in realising this. In addition an assessment of
value for money would be important to ensure that the significant investment in
the scholarship by NHS Scotland has had demonstrable impact. Ethical statement:
As an evaluation of a focused CPD programme, ethical approval was not considered
to be necessary.
PMID- 29359998
TI - Prolongation of liver-specific function for primary hepatocytes maintenance in 3D
printed architectures.
AB - Isolated primary hepatocytes from the liver are very similar to in vivo native
liver hepatocytes, but they have the disadvantage of a limited lifespan in 2D
culture. Although a sandwich culture and 3D organoids with mesenchymal stem cells
(MSCs) as an attractive assistant cell source to extend lifespan can be used, it
cannot fully reproduce the in vivo architecture. Moreover, long-term 3D culture
leads to cell death because of hypoxic stress. Therefore, to overcome the
drawback of 2D and 3D organoids, we try to use a 3D printing technique using
alginate hydrogels with primary hepatocytes and MSCs. The viability of isolated
hepatocytes was more than 90%, and the cells remained alive for 7 days without
morphological changes in the 3D hepatic architecture with MSCs. Compared to a 2D
system, the expression level of functional hepatic genes and proteins was higher
for up to 7 days in the 3D hepatic architecture. These results suggest that both
the 3D bio-printing technique and paracrine molecules secreted by MSCs supported
long-term culture of hepatocytes without morphological changes. Thus, this
technique allows for widespread expansion of cells while forming multicellular
aggregates, may be applied to drug screening and could be an efficient method for
developing an artificial liver.
PMID- 29360000
TI - Ambulation and physical function after eccentric resistance training in adults
with incomplete spinal cord injury: A feasibility study.
AB - BACKGROUND: Strengthening the lower extremities has shown to positively influence
walking mechanics in those with neurological deficiencies. Eccentric resistance
training (ERT) is a potent stimulus for the development of muscular strength with
low metabolic demand. Thereby, ERT may benefit those with incomplete spinal cord
injuries (iSCI) seeking to improve ambulatory capacity. DESIGN: This study was
aimed to determine the effect of ERT on walking speed, mobility, independence,
and at home function following iSCI. METHODS: Individuals with longstanding iSCI
trained twice a week for 12 weeks on an eccentrically biased recumbent stepper.
OUTCOME MEASURES: Walking speed (10 meter walk test; 10MWT), mobility (timed up
and go), independence (Walking Index for Spinal Cord Injury; WISCI), and at home
function (Spinal Cord Independence Measure; SCIM) were assessed at baseline,
after 6 weeks, and after 12 weeks of ERT. RESULTS: There were improvements in
walking mobility (158.36 + 165.84 seconds to 56.31 + 42.42 seconds, P = .034, d =
0.62), speed (0.34 + 0.42 m/s to 0.43 + 0.50 m/s, P = .005, d = .23), and
independence (8 + 7 to 13 + 7, P = .004, d = .73) after 12 weeks of ERT. At home
function remained unchanged (22 + 10 to 24 + 10, P = .10, d = .12). CONCLUSIONS:
Improving lower extremity strength translated to walking performance and
independence in those with iSCI. Additionally, ERT may diminish therapist burden
in programs designed to improve ambulatory capacity or strength in those with
iSCI.
PMID- 29360001
TI - Proposing a three-dimensional, holistic approach to lead the assessment of CPD
needs.
PMID- 29359999
TI - Burn Injury-Associated MHCII+ Immune Cell Accumulation Around Lymphatic Vessels
of the Mesentery and Increased Lymphatic Endothelial Permeability Are Blocked by
Doxycycline Treatment.
AB - It is theorized that toxic agents are transported from the hyperpermeable gut of
burn victims through the lymph, to the systemic circulation, causing global
injury. We believe that immune cells respond to leakage of "toxic lymph"
following trauma causing the attraction of these cells to the perilymphatic
space. To test this, we utilized a model of burn on rats to examine changes in a
single immune cell population associated with mesenteric lymphatic dysfunction.
We examined the ability of serum from these animals to increase permeability in
lymphatic endothelial monolayers and disrupt cellular junctions. We also treated
burn animals with doxycycline, an inhibitor of microvascular permeability, and
observed the effects on immune cell populations, morphometry, and lymphatic
endothelial permeability. Burn injury increased the number of MHCII+ immune cells
along the vessel (>50%). The size and shape of these cells also changed
significantly following burn injury. Serum from burn animals increased lymphatic
endothelial permeability (~1.5-fold) and induced breaks in VE-cadherin staining.
Doxycycline treatment blocked the accumulation of immune cells along the vessel,
whereas serum from doxycycline-treated animals failed to increase lymphatic
endothelial permeability. The size of cells along the vessel in doxycycline
treated burn animals was not affected, suggesting that the cells already present
on the lymphatic vessels still respond to substances in the lymph. These findings
suggest that factors produced during burn can induce lymphatic endothelial
barrier disruption and lymph produced during traumatic injury can influence the
attraction and morphology of immune cell populations along the vessel.
PMID- 29360002
TI - Does acute kidney injury affect survival in adults with acute respiratory
distress syndrome requiring extracorporeal membrane oxygenation?
AB - INTRODUCTION: Patients who develop severe acute respiratory distress syndrome
(ARDS) despite full medical management may require veno-venous extracorporeal
membrane oxygenation (VV ECMO) to support respiratory function. Survival outcomes
remain unclear in those who develop acute kidney injury (AKI) requiring
continuous renal replacement therapy (CRRT) during VV ECMO for isolated severe
respiratory failure in adult populations. METHODS: A retrospective chart review
(2010-2016) of patients who underwent VV ECMO for ARDS was conducted with
university institutional review board (IRB) approval. Patients supported by veno
arterial ECMO were excluded. AKI was defined by acute renal failure receiving
CRRT and the outcomes of patients on VV ECMO were compared between the AKI and
non-AKI groups. RESULTS: We identified 54 ARDS patients supported by VV ECMO
(mean ECMO days 12 +/- 6.7) with 16 (30%) in the AKI group and 38 (70%) in the
non-AKI group. No patient had previous renal failure and the serum creatinine was
not significantly different between the two groups at the time of ECMO
initiation. The AKI group showed a greater incidence of complications during
ECMO, including liver failure (38% vs. 5%, p=0.002) and hemorrhage (94% vs. 45%,
p=0.0008). ECMO survival of the AKI group (56% [9/16]) was inferior to the non
AKI group (87% [33/38], p=0.014). CONCLUSIONS: Our study demonstrated that VV
ECMO successfully manages patients with severe isolated lung injury. However,
once patients develop AKI during VV ECMO, they are likely to further develop
multi-organ dysfunction, including hepatic and hematological complications,
leading to inferior survival.
PMID- 29360003
TI - Evolving therapies for Fuchs' endothelial dystrophy.
AB - Fuchs' endothelial dystrophy (FED) is characterized by corneal endothelial
dysfunction and guttate excrescences on the posterior corneal surface, and is the
leading indication for corneal transplantation in developed countries. In severe
cases, keratoplasty is considered as the gold standard of treatment. However,
there have been significant developments in our understanding of FED over the
past decade. Attempts have been made to treat this disease with regenerative
therapy techniques such as primary descemetorhexis without an endothelial graft
or with a tissue-engineering approach. The discovery of a strong association
between the CTG18.1 trinucleotide repeat expansion sequence and FED may pave the
way for gene therapy strategies in the future. In this review, we evaluate these
novel therapeutic modalities as possible alternatives to keratoplasty as the
standard of care for FED.
PMID- 29360004
TI - Cholesterol-GalNAc Dual Conjugation Strategy for Reducing Renal Distribution of
Antisense Oligonucleotides.
AB - Recently, some studies have reported nephrotoxicity associated with a certain
class of antisense oligonucleotides (ASOs) in humans. One possibility for
reducing the potential nephrotoxicity of ASOs is to alter their pharmacokinetics.
In this study, we investigated the effect of a ligand conjugation strategy on the
renal accumulation of ASOs. We selected two ligands, cholesterol and N
acetylgalactosamine (GalNAc), with the purpose of reducing renal distribution and
liver targeting, and then designed a series of cholesterol-GalNAc dual conjugated
ASOs. The gene-silencing activity of the cholesterol-GalNAc dual conjugated ASO
in the liver was slightly lower than that of a GalNAc-conjugated ASO. On the
other hand, the renal distribution of the cholesterol-GalNAc dual conjugated ASO
was considerably decreased compared with the GalNAc-conjugated ASO, as we
expected. As dual conjugation was successful in reducing the renal distribution
of ASO, it should be an effective strategy for reducing the nephrotoxic potential
of ASOs.
PMID- 29360005
TI - Local to Systemic Use of Hypothermia.
PMID- 29360006
TI - Robotic Versus Laparoscopic Stapler Use for Rectal Transection in Robotic Surgery
for Cancer.
AB - BACKGROUND: This study was designed to compare the operative and short-term
postoperative outcomes of the robotic and laparoscopic staplers in patients
undergoing rectal surgery for cancer. MATERIALS AND METHODS: Between December
2014 and April 2017, patients consecutively undergoing robotic rectal surgery for
cancer were included in this study. Patients were grouped into two according to
the type of staplers for rectal transection [Robotic (45-mm) versus Laparoscopic
(60-mm) linear staplers]. Patient demographics, pathologic data, perioperative
outcomes, and short-term results were compared. RESULTS: One hundred seven
patients met our inclusion criteria. The number of male patients were higher in
robotic stapler group than in the laparoscopic stapler group (55% versus 76%, P =
.03). Age (59 versus 63 years, P = .40), body mass index (27 versus 27 kg/m2, P =
.60), American Society of Anesthesiologists score (2 versus 2, P = .20), number
of prior abdominal operations (31% versus 20%, P = .22) and number of patients
having neoadjuvant chemoradiotherapy (34% versus 36%, P = .86) were comparable
between the groups. The numbers of cartridges used were similar regardless of the
type of staplers (2 versus 2, P = .58). The overall complication was similar
between the groups (24% versus 31%, P = .32). Leak rates were 5% (n = 2) and 3%
(n = 2) in the robotic and laparoscopic stapler groups, respectively (p = 1).
There was no mortality. CONCLUSIONS: This is the first study evaluating the role
of robotic stapler specifically for rectal transection in comparative manner. The
use of robotic stapler for rectal transection was safe and feasible in rectal
surgery for cancer.
PMID- 29360007
TI - Could be serum uric acid a risk factor for thrombosis and/or uveitis in Behcet's
disease?
AB - Introduction Serum uric acid level increases in many inflammatory conditions.
Uric acid triggers the vascular inflammation and artery damage, which causes to
an increased risk of endothelial dysfunction and atherosclerosis. It is not clear
in the literature whether uric acid contributes to uveitis by similar mechanisms.
We investigated whether uric acid level increases in Behcet's disease patients
with thrombosis or anterior uveitis. Patients and methods We reviewed the medical
records of 914 Behcet's disease. After screening for exclusion criteria, there
were 50 Behcet's disease patients with thrombotic complication and as the control
group 202 BD patients without any vascular complication were included to the
study. In the Anterior uveitis group, there were 53 Behcet's disease patients.
The Control group consisted of 39 Behcet's disease patients without eye findings.
Results Mean uric acid value was 4.96 +/- 1.06 mg/dl in Behcet's disease patients
with thrombosis whereas 4.08 +/- 0.94 mg/dl in controls, indicating a significant
difference ( p < 0.001). There was no significant difference between the mean
ages of the patients in both groups. The mean age of the BD group without eye
findings was 39.31 +/- 10.47 years and that of the Behcet's disease with Anterior
Uveitis group was 37.72 +/- 9.65 years ( p = 0.453). The mean serum UA in the BD
group without eye findings was 4.21 +/- 1.21 mg/dl, while in the BD with Anterior
Uveitis group it was 4.57 +/- 1.37 mg/dl ( p = 0.201). Conclusion The extent of
increase in uric acid level was greater in Behcet's disease patients that have a
thrombotic complication compared to those without thrombotic complication. Uric
acid seems to play a role in the pathogenesis of thrombosis. It is concluded that
the elevation of serum uric acid level in patients with anterior uveitis with
Behcet's disease is not statistically significant.
PMID- 29360009
TI - Seroprevalence and Risk Factors of Chlamydia abortus Infection in Goats in Hunan
Province, Subtropical China.
AB - Chlamydia abortus is an obligate intracellular Gram-negative bacteria, which can
infect animals and human, including goats. However, little information on C.
abortus infection is available in goats in Hunan province, subtropical China. To
investigate the seroprevalence and risk factors of C. abortus infection in goats
in Hunan province, China, a total of 911 goat blood samples were collected
randomly from 14 herds having number of goats ranging from 1000 to 3000 from
March 2014 to December 2015. Seropositive animals were found in 11 out of 14
(78.57%) goat herds with seroprevalence ranging from 0.00% to 29.94% in
individual herds. Overall, the seroprevalence of C. abortus infection was
different among regions (southern Hunan: 1.78%; northeast Hunan: 5.47%; and west
Hunan: 15.29%), gender (male: 4.58% and female: 9.10%), seasons (spring: 5.97%;
summer: 2.61%; autumn: 16.88%; and winter: 10.94%), and ages (year <=1: 2.39%; 1
< year <=2: 9.58%; 2 < year <=3: 9.16%; and year >3: 17.57%). Risk factors for C.
abortus infection were associated with region, season, and age in this study. To
our knowledge, this is the first document to demonstrate the existence of C.
abortus infection in goats, and the seroprevalence was 8.45% out of 911 goats in
Hunan province.
PMID- 29360010
TI - Short-term survival after colorectal cancer in a screened versus unscreened
population.
AB - AIMS: United States' (US) colorectal cancer (CRC) screening and treatment
practices seek to reduce mortality. We examined the survival of US patients
compared with patients in the virtually unscreened Norwegian population. METHODS:
We compared short-term survival after CRC between the US and Norway using
relative survival (RS) and excess mortality (EMR) analyses. The CRC patients were
aged 50 and older diagnosed in the US (Surveillance, Epidemiology and End Results
registry, 2004, N=9511) and in Norway (Cancer Registry of Norway, 2003-2005,
N=8256). RESULTS: Death occurred within three years for 39% of the CRC patients.
Stage distributions were more favorable for US patients. Stage-specific survival
was similar for localized and regional cancers, but more favorable for US distant
cancers. In multivariate models of patient, tumor and treatment characteristics,
patients (especially below age 80) in the US experienced longer survival (EMR
0.9, CI 0.8-0.9). Stage-specific analyses showed, however, that survival for
localized cancers was relatively shorter in the US than in Norway (EMR 1.4, CI
1.1-1.8), but longer for distant cancers (EMR 0.8, CI 0.7-0.8). CONCLUSIONS: The
enhanced survival for US CRC patients likely reflects a screening-related earlier
diagnostic stage distribution, as well as prioritized life extension for patients
with metastatic cancers, reflecting vastly different health care systems in the
two countries. CRC screening is currently under consideration in Norway. For
survival outcomes, the current findings do not discourage such an implementation.
Other screening-related aspects such as feasibility and cost-benefit are,
however, also relevant and warrant further research within a socialized health
system.
PMID- 29360011
TI - Heart valve tissue engineering: an overview of heart valve decellularization
processes.
AB - Despite recent advances in medicine and surgery, many people still suffer from
cardiovascular diseases, which affect their life span and morbidity. Regenerative
medicine and tissue engineering are novel approaches based on restoring or
replacing injured tissues and organs with scaffolds, cells and growth factors.
Scaffolds are acquired from two major sources, synthetic materials and naturally
derived scaffolds. Biological scaffolds derived from native tissues and cell
derived matrix offer many advantages. They are more biocompatible with a higher
affinity to cells, which facilitate tissue reconstruction. Interestingly,
xenogeneic recipients generally tolerate their components. Therefore, heart valve
tissue engineering is increasingly benefiting from naturally derived scaffolds.
In this review, we investigated the different protocols and methods that have
been used for heart valve decellularization.
PMID- 29360008
TI - Autologous stem cell therapy for inherited and acquired retinal disease.
AB - The mammalian retina, derived from neural ectoderm, has little regenerative
potential. For conditions where irreversible retinal pigment epithelium or
photoreceptor cell loss occurs, advanced techniques are required to restore
vision. Inherited retinal dystrophies and some acquired conditions, such as age
related macular degeneration, have a similar end result of photoreceptor cell
death leading to debilitating vision loss. These diseases stand to benefit from
future regenerative medicine as dietary recommendations and current pharmacologic
therapy only seek to prevent further disease progression. Cell-based strategies,
such as autologously derived induced pluripotent stem cells, have come a long way
in overcoming previous technical and ethical concerns. Clinical trials for such
techniques are already underway. These trials and the preceding preclinical
studies will be discussed in the context of retinal disease.
PMID- 29360012
TI - A letter on a hand: Rotating together or separately?
AB - The motor system plays a role in some object mental rotation tasks, and
researchers have reported that people may use a strategy of motor simulation to
mentally rotate objects. In this study, we used images of a hand with a letter
printed on the palm to directly determine whether a hand image can be
automatically rotated during the deliberate mental rotation of an object and
whether the hand and object are rotated in the same trajectory. A total of 41
participants were shown the stimuli and asked to decide whether the letters,
which were upright or tilted at specific degrees, were normal or mirrored. The
hand images in the background showed either a left or a right hand in the palm
view, with fingers pointing upwards, medial, downwards, or lateral. Reaction
times and error rates were measured to determine the speed and accuracy of mental
rotation. A complex interaction between the hand posture and letter orientation
revealed that the hand image was mentally rotated automatically, together with
the deliberate mental rotation of the letter. The biomechanical constraints of
the hand also influenced reaction times, suggesting the involvement of the motor
system in the concomitant mental rotation of the hand image. Consistent with the
motor simulation theory, the participants seemed to imagine the hand carrying the
object in its movement. These behavioural data support the motor simulation
theory and elucidate specific processes of mental rotation that have not been
addressed by neuroimaging studies.
PMID- 29360013
TI - Time of presentation affects auditory distraction: Changing-state and deviant
sounds disrupt similar working memory processes.
AB - Four experiments tested conflicting predictions about which components of the
serial-recall task are most sensitive to auditory distraction. Changing-state
(Experiments 1a and 1b) and deviant distractor sounds (Experiments 2a and 2b)
were presented in one of four different intervals of the serial-recall task: (1)
during the first half of encoding, (2) during the second half of encoding, (3)
during the first half of retention, or (4) during the second half of retention.
According to the embedded-processes model, both types of distractors should
interfere with the encoding and rehearsal of targets in the focus of attention.
According to the duplex-mechanism account, changing-state distractors should
interfere only with rehearsal, whereas deviant distractors should interfere only
with encoding. Inconsistent with the latter view, changing-state and deviant
distractor sounds interfered with both the encoding and the retention of the
targets. Both types of auditory distraction were most pronounced during the
second half of encoding when the increasing rehearsal demands had to be
coordinated with the continuous updating of the rehearsal set. These findings
suggest that the two types of distraction disrupt similar working memory
mechanisms.
PMID- 29360014
TI - Anticipating the clinical adoption of regenerative medicine: building
institutional readiness in the UK.
AB - This perspective paper examines the challenges of implementing regenerative
medicine (RM) therapies within hospitals and clinics. Drawing on recent work in
the social sciences, the paper highlights dynamics within existing healthcare
systems that will present both hindrances and affordances for the implementation
of new RM technologies within hospitals and clinics. The paper argues that
identifying suitable locations for cell- and gene-therapy treatment centers
requires an assessment of their institutional readiness for RM. Some provisional
criteria for assessing institutional readiness are outlined, and the paper will
suggest that it is necessary to begin developing a program for the phased
introduction of RM in the longer term.
PMID- 29360016
TI - Blow/trauma to the chest and sudden cardiac death: Commotio cordis and contusio
cordis are leading causes.
AB - Background In forensic practice, a blow to the chest can lead to sudden cardiac
death (SCD). Commotio cordis and contusio cordis are leading causes. Methods From
a database of 4678 patients who suffered from SCD, we found three patients with
commotio cordis and two patients with contusio cordis. All the patients were
examined macroscopically and microscopically and had negative toxicology screen.
Results The three patients who died due to commotio cordis were young males (16,
23 and 38 years old). The circumstances of death were: a blow to the chest by a
football, by a friend during a party and during an assault. The hearts were
completely normal at autopsy. The two patients who had contusio cordis were older
males (42 and 63 years old). Both patients died during traffic accidents. At
autopsy, one had significant contusion over the left ventricle, and the second
had contusion over the right ventricle. Conclusion This study indicates that a
blow to the chest is very important to document in the circumstances of death,
and a detailed history is vital. It raises the left ventricular intra-cavitary
pressure, leading to commotio cordis with immediate death with a normal heart.
Blunt chest trauma can cause direct myocardial lesions, with acute changes
leading to contusio cordis.
PMID- 29360015
TI - Concomitant Regulation by a LacI-Type Transcriptional Repressor XylR on Genes
Involved in Xylan and Xylose Metabolism and the Type III Secretion System in Rice
Pathogen Xanthomonas oryzae pv. oryzae.
AB - The hypersensitive response and pathogenicity (hrp) genes of Xanthomonas oryzae
pv. oryzae, the causal agent of bacterial leaf blight of rice, encode components
of the type III secretion system and are essential for virulence. Expression of
hrp genes is regulated by two key hrp regulators, HrpG and HrpX; HrpG regulates
hrpX and hrpA, and HrpX regulates the other hrp genes on hrpB-hrpF operons. We
previously reported the sugar-dependent quantitative regulation of HrpX; the
regulator highly accumulates in the presence of xylose, followed by high hrp gene
expression. Here, we found that, in a mutant lacking the LacI-type
transcriptional regulator XylR, HrpX accumulation and hrp gene expression were
high even in the medium without xylose, reaching the similar levels present in
the wild type incubated in the xylose-containing medium. XylR also negatively
regulated one of two xylose isomerase genes (xylA2 but not xylA1) by binding to
the motif sequence in the upstream region of the gene. Xylose isomerase is an
essential enzyme in xylose metabolism and interconverts between xylose and
xylulose. Our results suggest that, in the presence of xylose, inactivation of
XylR leads to greater xylan and xylose utilization and, simultaneously, to higher
accumulation of HrpX, followed by higher hrp gene expression in the bacterium.
PMID- 29360017
TI - Intravenous rocuronium 0.3 mg/kg improves the conditions for tracheal intubation
in cats: a randomized, placebo-controlled trial.
AB - OBJECTIVES: We evaluated the use of rocuronium 0.3 mg/kg intravenously (IV) to
facilitate tracheal intubation in cats anesthetized for elective
ovariohysterectomy. METHODS: Thirty female cats were randomly allocated to
receive rocuronium 0.3 mg/kg IV or an equal volume of normal saline, following
induction of anesthesia with ketamine and midazolam. Thirty seconds after
induction, a single investigator, unaware of treatment allocation, attempted
tracheal intubation. The number of attempts and the time to complete intubation
were measured. Intubating conditions were assessed as acceptable or unacceptable
based on a composite score consisting of five different components. Duration of
apnea after induction was measured and cases of hemoglobin desaturation (SpO2
<90%) were identified. RESULTS: Intubation was completed faster (rocuronium 12 s
[range 8-75 s]; saline 60 s [range 9-120 s]) and with fewer attempts (rocuronium
1 [range 1-2]; saline 2 [range 1-3], both P = 0.006) in cats receiving
rocuronium. Unacceptable intubating conditions on the first attempt occurred in
3/15 cats with rocuronium and in 10/15 with saline ( P = 0.01). Apnea lasted 4 +/
1.6 mins with rocuronium and 2.3 +/- 0.5 mins with saline ( P = 0.0007). No
cases of desaturation were observed. CONCLUSIONS AND RELEVANCE: Rocuronium 0.3
mg/kg IV improves intubating conditions compared with saline, and reduces the
time and number of attempts to intubate with only a short period of apnea in
cats.
PMID- 29360018
TI - Investigation on electrical surface modification of waste to energy ash for
possible use as an electrode material in microbial fuel cells.
AB - With the world population expected to reach 8.5 billion by 2030, demand for
access to electricity and clean water will grow at unprecedented rates. Municipal
solid waste combusted at waste to energy (WtE) facilities decreases waste volume
and recovers energy, but yields ash as a byproduct, the beneficial uses of which
are actively being investigated. Ash is intrinsically hydrophobic, highly
oxidized, and exhibits high melting points and low conductivities. The research
presented here explores the potential of ash to be used as an electrode material
for a microbial fuel cell (MFC). This application requires increased conductivity
and hydrophilicity, and a lowered melting point. Three ash samples were
investigated. By applying an electric potential in the range 50-125 V across the
ash in the presence of water, several key property changes were observed: lower
melting point, a color change within the ash, evidence of changes in surface
morphologies of ash particles, and completely wetting water-ash contact angles.
We analyzed this system using a variety of analytical techniques including sector
field inductively coupled plasma mass spectrometry, scanning electron microscopy,
X-ray diffraction, differential scanning calorimetry, and tensiometry. Ability to
make such surface modifications and significant property changes could allow ash
to become useful in an application such as an electrode material for a MFC.
PMID- 29360019
TI - Plasmodium parasites of birds have the most AT-rich genes of eukaryotes.
AB - The genomic architecture of organisms, including nucleotide composition, can be
highly variable, even among closely-related species. To better understand the
causes leading to structural variation in genomes, information on distinct and
diverse genomic features is needed. Malaria parasites are known for encompassing
a wide range of genomic GC-content and it has long been thought that Plasmodium
falciparum, the virulent malaria parasite of humans, has the most AT-biased
eukaryotic genome. Here, I perform comparative genomic analyses of the most AT
rich eukaryotes sequenced to date, and show that the avian malaria parasites
Plasmodium gallinaceum, P. ashfordi, and P. relictum have the most extreme coding
sequences in terms of AT-bias. Their mean GC-content is 21.21, 21.22 and 21.60 %,
respectively, which is considerably lower than the transcriptome of P. falciparum
(23.79 %) and other eukaryotes. This information enables a better understanding
of genome evolution and raises the question of how certain organisms are able to
prosper despite severe compositional constraints.
PMID- 29360020
TI - Dentists' Prescribing of Analgesics for Children in British Columbia, Canada
AB - OBJECTIVE: Recently, there has been great interest in the use, abuse and over
prescribing of opioid analgesics for children. However, there is a paucity of
evidence on patterns of prescribing of both narcotic and non-narcotic analgesics
for children by dentists. METHODS: We used a population-wide prescription drug
database (PharmaNet) in British Columbia, Canada, to examine prescribing and
dispensing of analgesics surrounding dental procedures. We examined all drugs
prescribed for children by dentists between 1997 and 2013, as we had access to
data on drug doses and days of medication supply. We also examined trends in the
use of various narcotic and non-narcotic analgesics and benzodiazepines. RESULTS:
In total, 268 691 children were prescribed at least 1 study drug by a dentist.
Codeine was the most frequently prescribed: 50% of children received codeine for
more than 3 days. Duration of use of codeine was greatest among children >=12
years, the longest duration of use being 5 days. CONCLUSIONS: Our study reveals
that codeine prescription by dentists increased over the 16-year study period.
Codeine is prescribed by dentists for 50% of children; prescriptions are for too
long a duration to avoid potential morphine accumulation and are not in line with
current treatment guidelines.
PMID- 29360021
TI - Epidemiologic Investigations into Outbreaks of Rift Valley Fever in Humans, South
Africa, 2008-2011.
AB - Rift Valley fever (RVF) is an emerging zoonosis posing a public health threat to
humans in Africa. During sporadic RVF outbreaks in 2008-2009 and widespread
epidemics in 2010-2011, 302 laboratory-confirmed human infections, including 25
deaths (case-fatality rate, 8%) were identified. Incidence peaked in late summer
to early autumn each year, which coincided with incidence rate patterns in
livestock. Most case-patients were adults (median age 43 years), men (262; 87%),
who worked in farming, animal health or meat-related industries (83%). Most case
patients reported direct contact with animal tissues, blood, or other body fluids
before onset of illness (89%); mosquitoes likely played a limited role in
transmission of disease to humans. Close partnership with animal health and
agriculture sectors allowed early recognition of human cases and appropriate
preventive health messaging.
PMID- 29360022
TI - What If a Resident or Medical Student Is Raped? Hospitals' and Academic Medical
Centers' Title IX Obligations.
AB - Title IX of the Education Amendments of 1972 protects medical students and
residents from all forms of sexual discrimination, including sexual harassment
and assault. Hospitals that train residents as well as medical students must
follow Title IX mandates, including investigating and addressing all reports of
sexual discrimination, harassment, or violence. While these processes can help
eliminate potential barriers to women in medical training, the pressure to
participate in an internal investigation can discourage some medical students and
residents from seeking help. Hospitals should work closely with university Title
IX officials to design and implement effective policies and procedures to both
prevent and address all types of sexual discrimination as well as to support
trainees who have been victimized.
PMID- 29360023
TI - Does Volk v DeMeerleer Conflict with the AMA Code of Medical Ethics on Breaching
Patient Confidentiality to Protect Third Parties?
AB - A recent Washington State case revisits the obligation of mental health
clinicians to protect third parties from the violent acts of their patients.
Although the case of Volk v DeMeerleer raises multiple legal, ethical, and policy
issues, this article will focus on a potential ethical conflict between the case
law and professional guidelines, namely the American Medical Association's Code
of Medical Ethics.
PMID- 29360024
TI - Three Perspectives on Mental Health Told through StoryCorps' "Liza Long and
'Michael'".
AB - By examining mental illness through the lens of intimate firsthand accounts of
caregivers and patients, including caregiver blogposts and a conversation between
Liza Long and her son as recorded by StoryCorps, we demonstrate how new media can
be leveraged to shift societal perceptions of those with mental illness from
blameworthy potential perpetrators of terror to vulnerable persons in need of
compassion and support. Exploring patient, caregiver, and societal roles through
a close reading of new media firsthand accounts, we argue for shared
responsibility in caring for those with mental illness and, in particular, for
physicians to leverage their unique knowledge of the patient experience by
promoting media coverage of stories of mental illness recovery.
PMID- 29360025
TI - How Should Physicians Make Decisions about Mandatory Reporting When a Patient
Might Become Violent?
AB - Mandatory reporting of persons believed to be at imminent risk for committing
violence or attempting suicide can pose an ethical dilemma for physicians, who
might find themselves struggling to balance various conflicting interests. Legal
statutes dictate general scenarios that require mandatory reporting to supersede
confidentiality requirements, but physicians must use clinical judgment to
determine whether and when a particular case meets the requirement. In situations
in which it is not clear whether reporting is legally required, the situation
should be analyzed for its benefit to the patient and to public safety. Access to
firearms can complicate these situations, as firearms are a well-established risk
factor for violence and suicide yet also a sensitive topic about which physicians
and patients might have strong personal beliefs.
PMID- 29360026
TI - Should a Physician Comply with a Parent's Demands for a Forensic Exam on a 16
Year-Old Trauma Patient?
AB - Physicians must remain vigilant about their ethical duties to patients,
especially in high-stakes situations. The question raised by this case-whether a
physician should comply with a parent's demand for treatment against her underage
child's wishes-is not one of life or death in which a guardian might more
credibly argue her judgment should stand. Given that forcing a rape kit exam on a
patient who refuses to give assent could be further traumatizing, we argue that
the physician should not comply. Deciding upon a course of action in this
situation will involve considering what is in the patient's best interest and
what constitutes a physician's appropriate role in gathering evidence for
criminal investigations.
PMID- 29360027
TI - How the Health Sector Can Reduce Violence by Treating It as a Contagion.
AB - Violence is best understood as an epidemic health problem, and it can be
effectively prevented and treated using health methods to stop events and
outbreaks and to reduce its spread. This health framing is important because it
recognizes that violence is a threat to the health of populations, that exposure
to violence causes serious health problems, and that violent behavior is
contagious and can be treated as a contagious process. Relatively standard and
highly effective health approaches to changing behaviors and norms are
increasingly being applied to the problem of violence and are showing strong
evidence of impact among individuals and communities. We need to mobilize our
nation's health care and public health systems and methods to work with
communities and other sectors to stop this epidemic.
PMID- 29360028
TI - Continuing Medical Education and Firearm Violence Counseling.
AB - Firearm violence is a significant and increasing cause of mortality. Although
physicians view firearm counseling as their professional obligation, few engage
in the practice. This study examines medical education and firearm counseling
among physicians in North Carolina. While 65 percent of physicians reported
knowing how to counsel patients about gun safety, only 25 percent reported having
conversations with patients about firearms or firearm safety often or very often.
Physicians reporting continuing medical education (CME) attendance on gun safety,
however, were more likely to report providing patients with firearm safety
counseling and asking patients with depression about firearms. Increasing
availability of and physician participation in firearm violence prevention CME
could significantly increase physicians' knowledge of and engagement in firearm
counseling.
PMID- 29360029
TI - Law, Ethics, and Conversations between Physicians and Patients about Firearms in
the Home.
AB - Firearms in the home pose a risk to household members, including homicide,
suicide, and unintentional deaths. Medical societies urge clinicians to counsel
patients about those risks as part of sound medical practice. Depending on the
circumstances, clinicians might recommend safe firearm storage, temporary removal
of the firearm from the home, or other measures. Certain state firearm laws,
however, might present legal and ethical challenges for physicians who counsel
patients about guns in the home. Specifically, we discuss state background check
laws for gun transfers, safe gun storage laws, and laws forbidding physicians
from engaging in certain firearm-related conversations with their patients.
Medical professionals should be aware of these and other state gun laws but
should offer anticipatory guidance when clinically appropriate.
PMID- 29360030
TI - Stop Posturing and Start Problem Solving: A Call for Research to Prevent Gun
Violence.
AB - Gun violence is a major cause of preventable injury and death in the United
States, leading to more than 33,000 deaths each year. However, gun violence
prevention is an understudied and underfunded area of research. We review the
barriers to research in the field, including restrictions on federal funding. We
then outline potential areas in which further research could inform clinical
practice, public health efforts, and public policy. We also review examples of
innovative collaborations among interdisciplinary teams working to develop
strategies to integrate gun violence prevention into patient-doctor interactions
in order to interrupt the cycle of gun violence.
PMID- 29360031
TI - What Should Be the Scope of Physicians' Roles in Responding to Gun Violence?
AB - What role, if any, physicians should have in the response to gun violence is a
question not only of professionalism but also of law, culture, and ethics. We
argue that physicians do have important roles to play in the larger landscape of
advocacy, public opinion, and reduction of gun violence, but that it is not
ethically or legally appropriate for them to serve as gatekeepers of gun
privileges by assessing competency.
PMID- 29360032
TI - Clinicians' Need for an Ecological Approach to Violence Reduction.
AB - We now know that harmful social policies, such as those that deny health care to
some people, can generate structural violence and be far more harmful than any
type of direct violence. A health professional who engages in public health
promotion must thus consider the adverse effects of structural violence generated
by bad policies. On this view, the dictum, "first, do no harm," can be
interpreted as a mandate to protect patients from injustice. Health care
professionals' responsibilities extend to motivating policies that prevent
avoidable deaths and disabilities. As we exist within an ecology, we must each
recognize our responsibility to care for one another and for the larger human
community.
PMID- 29360033
TI - Vialation.
PMID- 29360034
TI - Smiles, Apologies, and Drawing Trauma-Informed Care in the PurpLE Clinic.
AB - This medical narrative highlights ways in which comics reflect the author's
experience as a primary care physician striving to offer sensitive care to people
who have experienced sexual violence.
PMID- 29360035
TI - Excitatory motor neurons are local oscillators for backward locomotion.
AB - Cell- or network-driven oscillators underlie motor rhythmicity. The identity of
C. elegans oscillators remains unknown. Through cell ablation, electrophysiology,
and calcium imaging, we show: (1) forward and backward locomotion is driven by
different oscillators; (2) the cholinergic and excitatory A-class motor neurons
exhibit intrinsic and oscillatory activity that is sufficient to drive backward
locomotion in the absence of premotor interneurons; (3) the UNC-2 P/Q/N high
voltage-activated calcium current underlies A motor neuron's oscillation; (4)
descending premotor interneurons AVA, via an evolutionarily conserved, mixed gap
junction and chemical synapse configuration, exert state-dependent inhibition and
potentiation of A motor neuron's intrinsic activity to regulate backward
locomotion. Thus, motor neurons themselves derive rhythms, which are dually
regulated by the descending interneurons to control the reversal motor state.
These and previous findings exemplify compression: essential circuit properties
are conserved but executed by fewer numbers and layers of neurons in a small
locomotor network.
PMID- 29360036
TI - ketu mutant mice uncover an essential meiotic function for the ancient RNA
helicase YTHDC2.
AB - Mechanisms regulating mammalian meiotic progression are poorly understood. Here
we identify mouse YTHDC2 as a critical component. A screen yielded a sterile
mutant, 'ketu', caused by a Ythdc2 missense mutation. Mutant germ cells enter
meiosis but proceed prematurely to aberrant metaphase and apoptosis, and display
defects in transitioning from spermatogonial to meiotic gene expression programs.
ketu phenocopies mutants lacking MEIOC, a YTHDC2 partner. Consistent with roles
in post-transcriptional regulation, YTHDC2 is cytoplasmic, has 3'->5' RNA
helicase activity in vitro, and has similarity within its YTH domain to an N6
methyladenosine recognition pocket. Orthologs are present throughout metazoans,
but are diverged in nematodes and, more dramatically, Drosophilidae, where Bgcn
is descended from a Ythdc2 gene duplication. We also uncover similarity between
MEIOC and Bam, a Bgcn partner unique to schizophoran flies. We propose that
regulation of gene expression by YTHDC2-MEIOC is an evolutionarily ancient
strategy for controlling the germline transition into meiosis.
PMID- 29360037
TI - Distributed rhythm generators underlie Caenorhabditis elegans forward locomotion.
AB - Coordinated rhythmic movements are ubiquitous in animal behavior. In many
organisms, chains of neural oscillators underlie the generation of these rhythms.
In C. elegans, locomotor wave generation has been poorly understood; in
particular, it is unclear where in the circuit rhythms are generated, and whether
there exists more than one such generator. We used optogenetic and ablation
experiments to probe the nature of rhythm generation in the locomotor circuit. We
found that multiple sections of forward locomotor circuitry are capable of
independently generating rhythms. By perturbing different components of the motor
circuit, we localize the source of secondary rhythms to cholinergic motor neurons
in the midbody. Using rhythmic optogenetic perturbation, we demonstrate
bidirectional entrainment of oscillations between different body regions. These
results show that, as in many other vertebrates and invertebrates, the C. elegans
motor circuit contains multiple oscillators that coordinate activity to generate
behavior.
PMID- 29360038
TI - FoxP2 isoforms delineate spatiotemporal transcriptional networks for vocal
learning in the zebra finch.
AB - Human speech is one of the few examples of vocal learning among mammals yet ~half
of avian species exhibit this ability. Its neurogenetic basis is largely unknown
beyond a shared requirement for FoxP2 in both humans and zebra finches. We
manipulated FoxP2 isoforms in Area X, a song-specific region of the avian
striatopallidum analogous to human anterior striatum, during a critical period
for song development. We delineate, for the first time, unique contributions of
each isoform to vocal learning. Weighted gene coexpression network analysis of
RNA-seq data revealed gene modules correlated to singing, learning, or vocal
variability. Coexpression related to singing was found in juvenile and adult Area
X whereas coexpression correlated to learning was unique to juveniles. The
confluence of learning and singing coexpression in juvenile Area X may underscore
molecular processes that drive vocal learning in young zebra finches and, by
analogy, humans.
PMID- 29360039
TI - Crk proteins transduce FGF signaling to promote lens fiber cell elongation.
AB - Specific cell shapes are fundamental to the organization and function of
multicellular organisms. Fibroblast Growth Factor (FGF) signaling induces the
elongation of lens fiber cells during vertebrate lens development. Nonetheless,
exactly how this extracellular FGF signal is transmitted to the cytoskeletal
network has previously not been determined. Here, we show that the Crk family of
adaptor proteins, Crk and Crkl, are required for mouse lens morphogenesis but not
differentiation. Genetic ablation and epistasis experiments demonstrated that Crk
and Crkl play overlapping roles downstream of FGF signaling in order to regulate
lens fiber cell elongation. Upon FGF stimulation, Crk proteins were found to
interact with Frs2, Shp2 and Grb2. The loss of Crk proteins was partially
compensated for by the activation of Ras and Rac signaling. These results reveal
that Crk proteins are important partners of the Frs2/Shp2/Grb2 complex in
mediating FGF signaling, specifically promoting cell shape changes.
PMID- 29360041
TI - Cryptosporidium apodemi sp. n. and Cryptosporidium ditrichi sp. n. (Apicomplexa:
Cryptosporidiidae) in Apodemus spp.
AB - Faecal samples from striped field mice (n = 72) and yellow-necked mice (n = 246)
were screened for Cryptosporidium by microscopy and PCR/sequencing. Phylogenetic
analysis of small-subunit rRNA, Cryptosporidium oocyst wall protein and actin
gene sequences revealed the presence of C. parvum, C. hominis, C. muris and two
new species, C. apodemi and C. ditrichi. Oocysts of C. apodemi are smaller than
C. ditrichi and both are experimentally infectious for yellow-necked mice but not
for common voles. Additionally, infection by C. ditrichi was established in one
of three BALB/c mice. The prepatent period was 7-9 and 5-6 days post infection
for C. apodemi and C. ditrichi, respectively. The patent period was greater than
30 days for both species. Infection intensity of C. ditrichi ranged from 4000
50,000 oocyst per gram of faeces and developmental stages of C. ditrichi were
detected in the jejunum and ileum. In contrast, neither oocysts nor endogenous
developmental stages of C. apodemi were detected in faecal or tissue samples,
although C. apodemi DNA was detected in contents from the small and large
intestine. Morphological, genetic, and biological data support the establishment
of C. apodemi and C. ditrichi as a separate species of the genus Cryptosporidium.
PMID- 29360042
TI - Morphological and molecular characterization of a new succulenticolous Physarum
(Myxomycetes, Amoebozoa) with unique polygonal spores linked in chains.
AB - A new plasmodiocarpic and sporocarpic species of myxomycete in the genus Physarum
is described and illustrated. This new species appeared on decayed leaves and
remains of succulent plants (Agave, Opuntia, Yucca) growing in arid zones. It
differs from all other species in the genus in having polyhedral spores linked in
chains like a string of beads, a unique feature within all known myxomycetes.
Apart from detailed morphological data, partial sequences of both the small
subunit ribosomal RNA and elongation factor 1-alpha genes, generated from four
isolates collected in two distant regions, i.e., Mexico and Canary Islands, are
also provided in this study. Combined evidence supports the identity of the
specimens under study as a new species.
PMID- 29360043
TI - Antiplasmodial and antimalarial activities of quinolone derivatives: An overview.
AB - Malaria remains one of the most deadly infectious diseases globally. Considering
the growing spread of resistance, development of new and effective antimalarials
remains an urgent priority. Quinolones, which are emerged as one of the most
important class of antibiotics in the treatment of various bacterial infections,
showed potential in vitro antiplasmodial and in vivo antimalarial activities,
making them promising candidates for the chemoprophylaxis and treatment of
malaria. This review presents the current progresses and applications of
quinolone-based derivatives as potential antimalarials to pave the way for the
development of new antimalarials.
PMID- 29360040
TI - Endosomal Rab cycles regulate Parkin-mediated mitophagy.
AB - Damaged mitochondria are selectively eliminated by mitophagy. Parkin and PINK1,
gene products mutated in familial Parkinson's disease, play essential roles in
mitophagy through ubiquitination of mitochondria. Cargo ubiquitination by E3
ubiquitin ligase Parkin is important to trigger selective autophagy. Although
autophagy receptors recruit LC3-labeled autophagic membranes onto damaged
mitochondria, how other essential autophagy units such as ATG9A-integrated
vesicles are recruited remains unclear. Here, using mammalian cultured cells, we
demonstrate that RABGEF1, the upstream factor of the endosomal Rab GTPase
cascade, is recruited to damaged mitochondria via ubiquitin binding downstream of
Parkin. RABGEF1 directs the downstream Rab proteins, RAB5 and RAB7A, to damaged
mitochondria, whose associations are further regulated by mitochondrial Rab-GAPs.
Furthermore, depletion of RAB7A inhibited ATG9A vesicle assembly and subsequent
encapsulation of the mitochondria by autophagic membranes. These results strongly
suggest that endosomal Rab cycles on damaged mitochondria are a crucial regulator
of mitophagy through assembling ATG9A vesicles.
PMID- 29360045
TI - X-band EPR setup with THz light excitation of Novosibirsk Free Electron Laser:
Goals, means, useful extras.
AB - Electron Paramagnetic Resonance (EPR) station at the Novosibirsk Free Electron
Laser (NovoFEL) user facility is described. It is based on X-band (~9 GHz) EPR
spectrometer and operates in both Continuous Wave (CW) and Time-Resolved (TR)
modes, each allowing detection of either direct or indirect influence of high
power NovoFEL light (THz and mid-IR) on the spin system under study. The optics
components including two parabolic mirrors, shutters, optical chopper and
multimodal waveguide allow the light of NovoFEL to be directly fed into the EPR
resonator. Characteristics of the NovoFEL radiation, the transmission and
polarization-retaining properties of the waveguide used in EPR experiments are
presented. The types of proposed experiments accessible using this setup are
sketched. In most practical cases the high-power radiation applied to the sample
induces its rapid temperature increase (T-jump), which is best visible in TR
mode. Although such influence is a by-product of THz radiation, this thermal
effect is controllable and can deliberately be used to induce and measure
transient signals of arbitrary samples. The advantage of tunable THz radiation is
the absence of photo-induced processes in the sample and its high penetration
ability, allowing fast heating of a large portion of virtually any sample and
inducing intense transients. Such T-jump TR EPR spectroscopy with THz pulses has
been previewed for the two test samples, being a useful supplement for the main
goals of the created setup.
PMID- 29360044
TI - Development of novel oxazolo[5,4-d]pyrimidines as competitive CB2 neutral
antagonists based on scaffold hopping.
AB - A series of novel oxazolo[5,4-d]pyrimidines was designed via a scaffold hopping
strategy and synthesized through a newly developed approach. All these compounds
were evaluated for their biological activity toward CB1/CB2 cannabinoid
receptors, their metabolic stability in mice liver microsomes and their
cytotoxicity against several cell lines. Eight compounds have been identified as
CB2 ligands with Ki values less than 1 MUM. It is noteworthy that 2-(2
chlorophenyl)-5-methyl-7-(4-methylpiperazin-1-yl) oxazolo[5,4-d]pyrimidine 47 and
2-(2-chlorophenyl)-7-(4-ethylpiperazin-1-yl)- 5-methyloxazolo[5,4-d]pyrimidine 48
showed CB2 binding affinity in the nanomolar range and significant selectivity
over CB1 receptors. Interestingly, functionality studies imply that they behave
as competitive neutral antagonists. Moreover, all tested compounds are devoid of
cytotoxicity toward several cell lines, including Chinese hamster ovary cells
(CHO) and human colorectal adenocarcinoma cells HT29.
PMID- 29360046
TI - Knowledge of performance feedback among boys with ADHD.
AB - BACKGROUND: Children with attention deficit-hyperactivity disorder (ADHD) often
experience delays in acquiring competence completing fundamental motor skills.
The effects of augmented prescriptive knowledge of performance feedback (PKP)
have not been explored as a possible component solution. AIMS: The purpose of
this study was to test the motor learning effects of KP among boys with ADHD.
METHODS AND PROCEDURES: Thirty-one boys with ADHD, randomly selected into either
a treatment or a control group, completed a series of cornhole games. It was
hypothesized that PKP feedback administered to treatment group participants would
increase motor learning. Dependent variables included cornhole scores and quality
of performance measures. OUTCOMES AND RESULTS: Both groups improved in cornhole
scores and improvement was not dependent upon KP. Treatment group participants
performed significantly better in quality of performance of the underhand toss
compared to the control group. CONCLUSIONS AND IMPLICATIONS: PKP feedback
improves motor skill performance learning among children with ADHD above
knowledge of results feedback only. Recreational program directors should
consider using KP feedback when teaching motor skills to boys with ADHD.
PMID- 29360047
TI - The effect of caregiver-delivered social-communication interventions on skill
generalization and maintenance in ASD.
AB - BACKGROUND: Although caregiver-delivered social communication interventions have
been considered scientifically proven practices for individuals with autism
spectrum disorders, it is not well examined if these types of intervention modes
are truly effective in promoting skill generalization and maintenance within
those individuals with autism spectrum disorders. AIMS: The purpose of this meta
analysis was to evaluate the status of the extant literature regarding the
measures on generalization and/or maintenance of effects of caregiver-delivered
communication interventions for children with autism spectrum disorders. METHODS
AND PROCEDURES: This systematic literature review and meta-analysis evaluated
peer-reviewed research regarding the effects of caregiver-implemented
interventions on the maintenance and generalization of the social-communication
skills for children with autism spectrum disorders. A total of 34 articles were
included following a systematic search. Articles were summarized according to the
following categories: (a) generalization dimension, (b) generalization assessment
design, (c) maintenance assessment design, (d) generalization/maintenance
teaching strategy, and (e) latency to maintenance probes. After application of
design standards, aggregate Tau-U and non-overlap of pairs effect sizes were
calculated with a total of 67 separate contrasts across seven studies. OUTCOMES
AND RESULTS: Overall, effect size scores ranged from small to large effects
across all comparisons. On average, most of the baseline to maintenance and
generalization comparisons produced moderate to large effects. Whereas, small to
moderate or even deteriorate effects were found in most of the intervention to
maintenance and generalization comparisons. CONCLUSIONS AND IMPLICATIONS: The
findings in this review suggest that caregiver-delivered social-communication
interventions are likely to result in mixed effects on skill generalization and
maintenance within children with autism spectrum disorders. Implications for
future research and practice are discussed.
PMID- 29360048
TI - Growth and body composition of preterm infants less than or equal to 32 weeks:
Cohort study.
AB - BACKGROUND: Extremely preterm infants with weights less than the 10th percentile
at discharge have a fat-free mass deficit. AIM: To analyze the relationship of
weight Z-scores less than -2SD at term age with fat-free mass and fat mass at
term age and at 1 and 3 months of corrected age in very preterm infants. STUDY
DESIGN: COHORT STUDY: Subjects: Sixty-six preterm infants born before or at 32
weeks gestation with birth weight equal or greater than the 10th percentile for
age were included at term age. They were classified according to weight Z-score
as either: "term (-)" (n = 18) if weight Z-scores were less -2SD or "term (+)" (n
= 48) if the weight Z-scores were equal or greater than -2SD at term age. OUTCOME
MEASURES: Growth and body composition by an air displacement plethysmography
system and bioimpedance were assessed at term age and 1 and 3 months of corrected
age. RESULTS: Lower fat-free mass persisted up to 3 months in the "term (-)"
group [4137 g (645) vs 4592 g (707), p < .01]. Fat mass was lower in the "term (
)" group at term and at 1 month but was similar at 3 months of corrected age
[1295 g (774) vs 1477 g (782), p = .109]. Weight, length and head circumference Z
scores were lower in the "term (-)" group compared to those in the "term (+)"
group. CONCLUSIONS: The lean tissue deficits were maintained in the "term (-)"
group while the differences in body fat percentage were not.
PMID- 29360049
TI - Analysis of the elastic bending characteristics of cementless short hip stems
considering the valgus alignment of the prosthetic stem.
AB - BACKGROUND: The resultant hip force causes a varus torque which must be
compensated by a shear force couple depending on the stem alignment of the
prosthesis. Since the prosthesis is substantially less flexible than the bone,
the interior of the femur is stiffened over the entire prosthesis length. The
present study thus aims at analyzing short-stem prostheses for its elastic
bending characteristics, considering inappropriate valgus alignment of the
prosthetic stem. METHODS: Five short stem prostheses were implanted each in
synthetic femora in a standardized manner - in neutral and valgus stem
alignments. Bending movements were recorded applying a tilting torque MX of +/
3.5 Nm in medio-lateral direction. Variance analyses and Friedman tests were
used. A P-value <.05 was considered statistically significant. FINDINGS: Bending
movements b1-b6 showed significant differences (P < .05). It could be shown that
different stem alignments (P < .05) and different measuring points had a highly
significant influence (P < .001) on the relative movements. Compared to the
AIDA(r), the MiniHipTM as well as the Metha(r) stiffened the femur to a higher
degree (P < .001). INTERPRETATION: Regarding the elastic bending behavior we see
a relevant influence of the stems' design. We conclude that the short-stem
principle does not necessarily require the shortest possible prosthesis but
rather a long and thin extending stem tip to optimize the lever ratios, ensuring
a more physiological bending behavior of the femur. In addition, without
sufficient anchoring of the prosthesis, the valgus stem alignment could favor
tilting of the implant and should therefore be avoided.
PMID- 29360050
TI - Achievable accuracy of hip screw holding power estimation by insertion torque
measurement.
AB - BACKGROUND: To ensure stability of proximal femoral fractures, the hip screw must
firmly engage into the femoral head. Some studies suggested that screw holding
power into trabecular bone could be evaluated, intraoperatively, through
measurement of screw insertion torque. However, those studies used synthetic
bone, instead of trabecular bone, as host material or they did not evaluate
accuracy of predictions. We determined prediction accuracy, also assessing the
impact of screw design and host material. METHODS: We measured, under highly
repeatable experimental conditions, disregarding clinical procedure complexities,
insertion torque and pullout strength of four screw designs, both in 120
synthetic and 80 trabecular bone specimens of variable density. For both host
materials, we calculated the root-mean-square error and the mean-absolute
percentage error of predictions based on the best fitting model of torque-pullout
data, in both single-screw and merged dataset. FINDINGS: Predictions based on
screw-specific regression models were the most accurate. Host material impacts on
prediction accuracy: the replacement of synthetic with trabecular bone decreased
both root-mean-square errors, from 0.54 / 0.76 kN to 0.21 / 0.40 kN, and mean
absolute-percentage errors, from 14 / 21% to 10 / 12%. However, holding power
predicted on low insertion torque remained inaccurate, with errors up to 40% for
torques below 1 Nm. INTERPRETATION: In poor-quality trabecular bone, tissue
inhomogeneities likely affect pullout strength and insertion torque to different
extents, limiting the predictive power of the latter. This bias decreases when
the screw engages good-quality bone. Under this condition, predictions become
more accurate although this result must be confirmed by close in-vitro simulation
of the clinical procedure.
PMID- 29360051
TI - Assessing advanced theory of mind and alexithymia in patients suffering from
enduring borderline personality disorder.
AB - Findings on the theory of mind (ToM) abilities in borderline personality disorder
(BPD) have been inconsistent. Surprisingly, no studies have focused on the
complex aspects of ToM while simultaneously measuring alexithymia as well as
comorbid depressive and anxiety symptoms, therefore, our study aimed to fill this
gap. 30 female patients with BPD and 38 healthy controls (HCs) completed the Faux
Pas Test (FPT) and the Toronto Alexithymia Scale-20 (TAS-20). The clinical and
intellectual assessment comprised of the Structured Clinical Interview for DSM-IV
TR Axis II Disorders (SCID-II), the Borderline Personality Inventory (BPI), the
State-Trait Anxiety Inventory (STAI), the Center for Epidemiologic Studies
Depression Scale-Revised (CESD-R), and the Raven's Progressive Matrices (RPM).
Women with BPD scored significantly worse than HCs on overall ToM abilities.
After controlling for the intelligence level, depressive symptoms, and state and
trait anxiety, both groups presented a similar overall level of alexithymia. No
correlation between the FPT and TAS-20 scores was found, suggesting that both
constructs might be unrelated in BPD. Because ToM dysfunctions seem not to result
from comorbid clinical symptoms, trait anxiety, or intellectual abilities, our
study results suggest that ToM deficits might be considered a core feature of
BPD.
PMID- 29360052
TI - The impact of affective temperaments on clinical and functional outcome of
Bipolar I patients that initiated or changed pharmacological treatment for mania.
AB - BACKGROUND: Affective temperaments have been shown to impact on the clinical
manifestations and the course of bipolar disorder. We investigated their
influence on clinical features and functional outcome of manic episode. METHOD:
In a naturalistic, multicenter, national study, a sample of 194 BD I patients
that initated or changed pharmacological treatment for DSM-IV-TR manic episode
underwent a comprehensive evaluation including briefTEMPS-M, CTQ, YMRS, MADRS,
FAST, and CGI-BP. Factorial, correlation and comparative analyses were conducted
on different temperamental subtypes. RESULTS: Depressive, cyclothymic, irritable
and anxious temperaments resulted significantly correlated with each other. On
the contrary, hyperthymic temperament scores were not correlated with the other
temperamental dimensions. The factorial analysis of the briefTEMPS-M sub-scales
total scores allowed the extraction of two factors: the Cyclothymic-Depressive
Anxious (Cyclo-Dep-Anx) and the Hyperthymic. At final evaluation Dominant Cyclo
Dep-Anx patients reported higer scores in MADRS and in CTQ emotional neglect and
abuse subscale scores than Dominant Hyperthymic patients. The latter showed a
greater functional outcome than Cyclo-Dep-Anx patients. CONCLUSIONS: Affective
temperaments seem to influence the course of mania. Childhood emotional abuse and
neglect were related to the cyclothymic disposition. Cyclothymic subjects showed
more residual depressive symptoms and Hyperthymic temperament is associated with
a better short-term functional outcome.
PMID- 29360053
TI - Childhood trauma in schizophrenia spectrum disorders as compared to substance
abuse disorders.
AB - The prevalence of childhood trauma (CT) in schizophrenia spectrum disorders
(SSDs) and substance abuse disorders (SUDs) is high. Direct comparisons of CT in
these disorders are lacking, and it is not known whether there are differences in
self-reported CT in SSDs as compared to SUDs. We aimed to compare the frequency,
severity and types of CT in SDDs and SUDs. Patients with SSDs (n = 57) and SUDs
(n = 57) were matched for age and gender. Overall levels of CT and CT subtypes
were measured retrospectively by the Childhood Trauma Questionnaire Short-Form
(CTQ-SF), and grouped into none/low and moderate/severe levels of CT. Group
differences in CTQ-SF sum score and subscale scores, as well as differences in
the severity of overall CT and CT subtypes were all non-significant. In both
groups, 64.9% reported >= 1 subtypes of CT above cut-off. Of those who reported
CT above the cut-off, 13.5% in the psychosis group reported >= 4 subtypes, as
compared to 2.7% in the substance abuse group. We did not find statistically
significant differences between SSDs and SUDs in terms of exposure to CT
frequency or severity, all effect sizes were small (r < 0.15).
PMID- 29360054
TI - Everyday memory problems in alcohol abuse and dependence: Frequency, patterns and
patient-proxy agreement.
AB - Using self-report to assess everyday memory in alcoholics presents challenges
given the presence of both memory and metamemory deficits. Accordingly,
evaluation of the reliability and validity of proxy ratings as well as the
frequency of these memory lapses are of clinical importance. In the present
study, 180 patient-proxy dyads completed the Prospective and Retrospective Memory
Questionnaire (PRMQ). 31.7% of proxy-rated versus 2.8% of patient-rated
prospective memory scores fell in the impaired to below average range. 15% of
proxy-rated retrospective memory scores were below average, whereas none of the
patients reported problems in this regard. Longer delays between intention
formation and action yielded better prospective memory performance, while the
opposite was true for retrospective memory. Agreement between patients and
proxies was generally poor to fair across severity levels and the magnitude of
observed differences was large (standardized response mean > 0.8). For all PRMQ
items, exact agreement occurred in 45.3% of the cases. Larger patient-proxy
discrepancy was associated with older age, less education and greater disease
severity. Proxy ratings were internally consistent, significantly correlated with
objective memory performance, and were sensitive to differences in overall PRMQ
performance between severity groups. Caution should be used in the
interpretations of patients' reports.
PMID- 29360055
TI - Pressure dependence of X-rays produced by an LiTaO3 single crystal over a wide
range of pressure.
AB - The energy spectra of X-rays produced by an LiTaO3 single crystal have been
measured at the pressures of 8 * 10-4-4Pa. The energy spectra showed that the
endpoint energy steadily increased with decrease of pressure at 2-4Pa and
gradually decreased with decrease of pressure at 0.1-2Pa, and became almost
constant below 0.1Pa. The amount of X-rays steadily increased with decrease of
pressure at 2-4Pa and almost saturated below 0.5Pa. The change of X-rays produced
by the LiTaO3 single crystal was compared with the previous works and discussed
in relation to the distance between the crystal surface and the target.
PMID- 29360056
TI - Temperature dependence of 63Ni-Si betavoltaic microbattery.
AB - This paper theoretically presented the temperature effects on the 63Ni-Si
betavoltaic microbattery irradiated by a source with different thicknesses and
activity densities at a temperature range 170-340K. Temperature dependences of
the monolayer and interbedded 63Ni-Si betavoltaics at 213.15-333.15K were tested
with respect to calculations. Results showed that the higher the thickness,
activity density, and average energy of the source, the lower is the betavoltaic
performance responds to temperature. With the increase in temperature, the Voc
and Pmax of the upper, lower, and interbedded betavoltaics decreased linearly at
low temperatures and decreased exponentially at high temperatures in the
experiment. As predicted, the measured Voc and Pmax sensitivities of the lower
betavoltaic with 4.90mCi/cm2 63Ni, -2.230mV/K and -1.132%, respectively, were
lower than those with 1.96mCi/cm2 63Ni, -2.490mV/K and -1.348%, respectively.
Compared with the calculated results, the prepared betavoltaics had lower Voc
sensitivity and higher Pmax sensitivity. In addition, the measured Voc
sensitivity of the interbedded betavoltaic in series is equal to the sum of those
of the upper and lower ones as predicted. Moreover, the measured Pmax sensitivity
of the interbedded betavoltaic is equal to the average of those of the two
monolayers.
PMID- 29360057
TI - Simulation and experimental measurement of radon activity using a multichannel
silicon-based radiation detector.
AB - In this study, high-precision radiation detector (HIPRAD), a new-generation
semiconductor microstrip detector, was used for detecting radon (Rn-222)
activity. The aim of this study was to detect radon (Rn-222) activity
experimentally by measuring the energy of particles in this detector. Count-ADC
channel, eta-charge, and dose-response values were experimentally obtained using
HIPRAD. The radon simulation in the radiation detector was theoretically
performed using the Geant4 software package. The obtained radioactive decay,
energy generation, energy values, and efficiency values of the simulation were
plotted using the root program. The new-generation radiation detector proved to
have 95% reliability according to the obtained dose-response graphs. The
experimental and simulation results were found to be compatible with each other
and with the radon decays and literature studies.
PMID- 29360058
TI - Rational design of aromatic surfactants for graphene/natural rubber latex
nanocomposites with enhanced electrical conductivity.
AB - HYPOTHESIS: Graphene nanoplatelets (GNPs) can be dispersed in natural rubber
matrices using surfactants. The stability and properties of these composites can
be optimized by the choice of surfactants employed as stabilizers. Surfactants
can be designed and synthesized to have enhanced compatibility with GNPs as
compared to commercially available common surfactants. Including aromatic groups
in the hydrophobic chain termini improves graphene compatibility of surfactants,
which is expected to increase with the number of aromatic moieties per surfactant
molecule. Hence, it is of interest to study the relationship between molecular
structure, dispersion stability and electrical conductivity enhancement for
single-, double-, and triple-chain anionic graphene-compatible surfactants.
EXPERIMENTS: Graphene-philic surfactants, bearing two and three chains phenylated
at their chain termini, were synthesized and characterized by proton nuclear
magnetic resonance (1H NMR) spectroscopy. These were used to formulate and
stabilize dispersion of GNPs in natural rubber latex matrices, and the properties
of systems comprising the new phenyl-surfactants were compared with commercially
available surfactants, sodium dodecylsulfate (SDS) and sodium
dodecylbenzenesulfonate (SDBS). Raman spectroscopy, field emission scanning
electron microscopy (FESEM), atomic force microscopy (AFM), and high-resolution
transmission electron microscopy (HRTEM) were used to study structural properties
of the materials. Electrical conductivity measurements and Zeta potential
measurements were used to assess the relationships between surfactant
architecture and nanocomposite properties. Small-angle neutron scattering (SANS)
was used to study self-assembly structure of surfactants. FINDINGS: Of these
different surfactants, the tri-chain aromatic surfactant TC3Ph3 (sodium 1,5-dioxo
1,5-bis(3-phenylpropoxy)-3-((3phenylpropoxy)carbonyl) pentane-2-sulfonate) was
shown to be highly graphene-compatible (nanocomposite electrical conductivity =
2.22 * 10-5 S cm-1), demonstrating enhanced electrical conductivity over nine
orders of magnitude higher than neat natural rubber-latex matrix (1.51 * 10-14 S
cm-1). Varying the number of aromatic moieties in the surfactants appears to
cause significant differences to the final properties of the nanocomposites.
PMID- 29360059
TI - Impact of viscous droplets on different wettable surfaces: Impact phenomena, the
maximum spreading factor, spreading time and post-impact oscillation.
AB - In this paper, we experimentally investigated the impact dynamics of different
viscous droplets on solid surfaces with diverse wettabilities. We show that the
outcome of an impinging droplet is dependent on the physical property of the
droplet and the wettability of the surface. Whereas only deposition was observed
on lyophilic surfaces, more impact phenomena were identified on lyophobic and
superlyophobic surfaces. It was found that none of the existing theoretical
models can well describe the maximum spreading factor, revealing the complexity
of the droplet impact dynamics and suggesting that more factors need to be
considered in the theory. By using the modified capillary-inertial time, which
considers the effects of liquid viscosity and surface wettability on droplet
spreading, a universal scaling law describing the spreading time was obtained.
Finally, we analyzed the post-impact droplet oscillation with the theory for
damped harmonic oscillators and interpreted the effects of liquid viscosity and
surface wettability on the oscillation by simple scaling analyses.
PMID- 29360060
TI - Epistemic companions: shared reality development in close relationships.
AB - We propose a framework outlining the development of shared reality in close
relationships. In this framework, we attempt to integrate disparate close
relationship phenomena under the conceptual umbrella of shared reality. We argue
that jointly satisfying epistemic needs-making sense of the world together-plays
an important but under-appreciated role in establishing and maintaining close
relationships. Specifically, we propose that dyads progress through four
cumulative phases in which new forms of shared reality emerge. Relationships are
often initiated when people discover Shared Feelings, which then facilitate the
co-construction of dyad-specific Shared Practices. Partners then form an
interdependent web of Shared Coordination and ultimately develop a Shared
Identity. Each emergent form of shared reality continues to evolve throughout
subsequent phases, and, if neglected, can engender relationship dissolution.
PMID- 29360061
TI - Pulse steroid therapy in multiple sclerosis and mood changes: An exploratory
prospective study.
AB - BACKGROUND: Several reports suggest a higher risk of psychiatric disorders after
high-dose corticosteroids (HDC), routinely used to treat clinical relapses in
multiple sclerosis (MS). The present study aimed to examine the possible effect
of HDC on mood in patients with MS and to determine the specific factors that
influence mood changes. METHODS: The study included MS patients prior to receive
HDC. The presence of depressive and bipolar symptoms was determined with the Beck
Depression Inventory-Second Edition (BDI-II) and the Mood Disorder Questionnaire
(MDQ). These assessments were made at three time points: prior to HDC initiation,
after HDC completion, and 1 month after HDC. RESULTS: The study included 101 MS
patients. At baseline, 32 (31.7%) patients had depressive symptoms (BDI-II scores
>= 14) and 20 (19.8%) patients had bipolar symptoms (MDQ scores >= 7). While it
was observed a reduction of BDI-II scores after HDC, an increase in MDQ score was
found in patients with MDQ positivity at baseline, resulting associated with a
higher number of HDC infusions (p 0.018). CONCLUSIONS: Our results emphasize the
importance of accurate screening for mood disorders in patients with MS prior to
HDC initiation, and indicate that HDC should be used with caution in patients
with MDQ positivity.
PMID- 29360062
TI - Intracardiac shunts following transcatheter aortic valve implantation: a
multicentre study.
AB - AIMS: The aim of this study was to describe the incidence, mechanisms, management
and outcomes of intracardiac shunts (ICS) following TAVI. METHODS AND RESULTS:
This was a multicentre registry across 10 centres aimed at gathering all cases of
ICS (1.1%) including infection-related (IRICS, 0.3%) or aseptic (AICS, 0.8%)
shunts. Patients presented porcelain aorta (24% vs. 6.8%, p=0.024) and had been
treated with predilation (88% vs. 68.5%, p=0.037) or post-dilation (59.1% vs.
19.3%, p<0.001) more often. Median time from intervention to diagnosis of ICS was
10 days (IQR: 2-108), being longer for IRICS (171 [63-249] vs. 3 [1-12] days,
p=0.002). Interventricular septum (55.6%) and anterior mitral leaflet (57.2%)
were the most common locations for AICS and IRICS, respectively. Most patients
(76%) developed heart failure but 64% were medically managed. Seven patients
(38.9%) underwent percutaneous closure of AICS. The in-hospital mortality rate
was 44% (IRICS 100%, AICS 27.8%) compared to global TAVI recipients (8.1%,
p<0.001). At one-year follow-up, 76% of the patients had died. ICS, logistic
EuroSCORE, and moderate-severe residual aortic regurgitation were independent
predictors of death. CONCLUSIONS: Post-TAVI ICS are an uncommon complication
independently associated with high early mortality. Currently, most therapeutic
alternatives yield poor results but percutaneous closure of AICS was feasible and
is a promising alternative.
PMID- 29360063
TI - Optimising mitral repair in functional regurgitation: transcatheter annuloplasty
can counteract left ventricle-mitral ring mismatch.
PMID- 29360064
TI - Overview and proposed terminology for the reverse controlled antegrade and
retrograde tracking (reverse CART) techniques.
AB - During recent years, equipment and techniques for percutaneous coronary
intervention (PCI) of chronic total occlusions (CTO) have improved significantly.
The retrograde approach remains critical to the improved success of CTO PCI.
Currently, the reverse controlled antegrade and retrograde tracking (CART)
technique has become the dominant retrograde wire crossing technique. In this
article, we propose a standardised terminology and classification for this
technique divided into three subtypes: a) conventional reverse CART, usually
involving the use of large balloons on the antegrade wire to achieve re-entry
within the CTO segment; b) "directed" reverse CART, which is characterised by
small antegrade balloon size and more active, intentional vessel tracking and
penetration with a controllable retrograde wire, still within the CTO segment;
and c) "extended" reverse CART, in which the intimal/subintimal dissection is
extended proximal or distal to the CTO segment, achieving re-entry outside the
CTO segment. The proposed standardised terminology will facilitate the
communication, teaching and adoption of the reverse CART techniques.
PMID- 29360065
TI - Balloon pulmonary angioplasty relieves haemodynamic stress towards untreated-side
pulmonary vasculature and improves its resistance in patients with chronic
thromboembolic pulmonary hypertension.
AB - AIMS: Chronic thromboembolic pulmonary hypertension (CTEPH) is characterised by
organised thrombotic obliteration of major vessels and small-vessel arteriopathy
in the non-thrombosed vessels. The aim of this study was to investigate the
impact of balloon pulmonary angioplasty (BPA) on the non-BPA-side pulmonary
vasculature in patients with CTEPH. METHODS AND RESULTS: This study explored the
outcomes of 20 unilateral BPA sessions in 13 CTEPH patients. We measured the
pulmonary vascular resistance (PVR), pulmonary artery (PA) flow in the BPA-side
and non-BPA-side lungs, respectively, using phase contrast MRI and cardiac
catheterisation. The interval from BPA to the follow-up evaluation was 92.8+/
52.0 days. A single session of BPA decreased mean PA pressure from 37.4+/-6.2 to
30.9+/-6.5 mmHg (p<0.001). In the BPA side, BPA increased the PA flow from 1.58+/
0.65 to 1.95+/-0.62 L/min (p=0.001) and decreased the PVR from 27.3+/-27.4 to
14.4+/-9.0 Wood units (p=0.004). In contrast, it decreased both the non-BPA-side
PA flow from 2.25+/-0.64 to 1.90+/-0.23 L/min (p=0.008) and the non-BPA-side PVR
from 14.8+/-6.6 to 12.8+/-3.9 Wood units (p=0.01). CONCLUSIONS: BPA could relieve
haemodynamic stress towards the non-BPA-side vasculature and decrease its PVR in
patients with CTEPH, suggesting that it can suppress or regress the progression
of the small-vessel arteriopathy in non-BPA-side vasculature, presumably due to
haemodynamic unloading.
PMID- 29360066
TI - PERKAT RV: first in vivo data of a novel right heart assist device.
AB - AIMS: Mechanical right ventricular (RV) support offers a treatment option for
critically ill patients with RV failure (RVF). We developed an assist device for
rapid percutaneous implantation. The aim of the present study was to investigate
the implantation procedure, haemodynamic performance and possible side effects of
the novel right ventricular assist device - PERKAT RV - in an animal model.
METHODS AND RESULTS: The PERkutane KATheterpumptechnologie RV (PERKAT RV) device
consists of a nitinol chamber covered by foil containing inflow valves. An outlet
tube is attached to its distal part. The system is designed for 18 Fr
percutaneous implantation. The chamber is unfolded in the inferior vena cava
while the outlet tube bypasses the right heart with the tip in the pulmonary
trunk. An IABP balloon is placed inside. Balloon deflation generates blood flow
into the chamber; during inflation, blood is guided into the pulmonary arteries.
Acute RVF was induced by venous injection of Sephadex in seven sheep for
evaluation of the device. The PERKAT RV was able to improve haemodynamics
immediately generating a median increase in cardiac output of 59%. Longer pump
support was evaluated in a second study. Four sheep were supported for eight
hours without any problems. CONCLUSIONS: The percutaneous implantation and
explantation of the PERKAT RV device was possible in the designed way. The sheep
studies proved beneficial haemodynamic effects in acute RVF. The system offers
easy and safe treatment in acute RVF.
PMID- 29360067
TI - One-year rehospitalisation after percutaneous coronary intervention: a
retrospective analysis.
AB - AIMS: The aim of the study was to evaluate the incidence and causes of
rehospitalisation within one year after percutaneous coronary intervention (PCI)
in a country where the National Health Service provides universal tax-supported
healthcare, guaranteeing residents free hospital access. METHODS AND RESULTS:
Between January 2010 and September 2014, 17,111 patients were treated with PCI in
two University Hospitals in Western Denmark. Patients who were readmitted within
one year after PCI were identified. The overall one-year readmission rate was
50.4%. The cause was angina/myocardial infarction (MI) in 4,282 patients (49.7%),
and other reasons in 4,334 (50.3%). Predictors of angina/MI-related readmissions
were female gender (odds ratio [OR] 1.15, 95% confidence interval [CI]: 1.07
1.25), diabetes (OR 1.14, 95% CI: 1.04-1.26), age (per 10-year increase) (OR
0.86, 95% CI: 0.83-0.88), and indication for index PCI (stable angina pectoris as
reference): ST-segment elevation myocardial infarction (OR 1.34, 95% CI: 1.23
1.47) and non-ST-segment elevation myocardial infarction (OR 1.18, 95% CI: 1.08
1.29). Predictors for other readmissions were female gender (OR 1.09, 95% CI:
1.01-1.18), diabetes (OR 1.29, 95% CI: 1.18-1.42), age (OR 1.30, 95% CI: 1.26
1.34) and Charlson comorbidity index >=3 (OR 3.03, 95% CI: 2.71-3.27).
CONCLUSIONS: In an unselected patient cohort treated with PCI, half of the
patients were rehospitalised within one year, highlighting the impact of
comorbidity in patients with ischaemic heart disease.
PMID- 29360068
TI - [Variation analysis of the number of copies and methylene patterns in region
15q11-q13].
AB - Human chromosome 15q11-q13 region is prone to suffer genetic alterations. Some
genes of this region have a differential monoallelic imprinting-regulated
expression pattern. Defects in imprinting regulation (IE), uniparental disomy
(UPD) or copy number variation (CNV) due to chromosomal breakpoints (BP) in 15q11
q13 region, are associated with several diseases. The most frequent are Prader
Willi syndrome, Angelman syndrome and 15q11-q13 microduplication syndrome. In
this work, we analyzed DNA samples from 181 patients with phenotypes which were
compatible with the above-mentioned diseases, using Methyl specific-multiplex
ligation-dependent probe amplification (MS-MLPA). We show that, of the 181
samples, 39 presented alterations detectable by MS-MLPA. Of those alterations,
61.5% (24/39) were deletions, 5.1% (2/39) duplications and 33.3% (13/39) UPD/IE.
The CNV cases were 4 times more frequent than UPD/IE (OR= 4; IC 95%: 1.56-10.25),
consistent with the literature. Among the CNVs, two atypical cases allow to
postulate new possible BP sites that have not been reported previously in the
literature.
PMID- 29360069
TI - [Calcium response to vitamin D supplementation].
AB - Several studies show the importance of serum vitamin D sufficient levels to
prevent multiple chronic diseases. However, vitamin D supplementation and its
effects on urine calcium excretion remain controversial. The objective of this
prospective and interventional study was to evaluate urine calcium excretion in
women with normal calciuria or hypercalciuria, once serum vitamin D sufficiency
was achieved. We studied 63 women with idiopathic hypercalciuria, (9 with renal
lithiasis) and 50 normocalciuric women. Both groups had serum vitamin D levels
low (deficiency or insufficiency). Baseline urine calcium excretion was measured
before being supplemented with vitamin D2 or D3 weekly or vitamin D3 100.000 IU
monthly. Once serum vitamin D levels were corrected achieving at least 30 ng/ml,
a second urine calcium excretion was obtained. Although in the whole sample we
did not observe significant changes in urine calcium excretion according to the
way of supplementation, some of those with weekly supplementation had significant
higher urine calcium excretion, 19% (n = 12) of hypercalciuric women and 12% (n =
6) of the normocalciuric group. Monthly doses, also showed higher urine calcium
excretion in 40% of hypercalciuric women (n = 4/10) and in 44% (n = 4/9) of the
renal lithiasis hypercalciuric patients. In conclusion, different ways of vitamin
D supplementation and adequate serum levels are safe in most patients, although
it should be taken into account a subgroup, mainly with monthly loading doses,
that could increase the calciuria significantly eventually rising renal lithiasis
risk or bone mass loss, if genetically predisposed.
PMID- 29360070
TI - [Reduction of inappropriate prescriptions and adverse effects to medications in
hospitalized elderly patients].
AB - Together, potentially inappropriate prescribing of medications (PIP) and
appropriate prescribing omission (APO) constitute a problem that requires
multiple interventions to reduce its size and the occurrence of adverse drug
events (ADE). This study aims to assess PIP, APO, ADE before and after the
intervention of a clinical pharmacist over medical prescriptions for elderly
hospitalized patients. In a before-after study, a total of 16 542 prescriptions
for 1262 patients were analyzed applying the criteria defined in both STOPP-
START (screening tool of older people's prescriptions and screening tool to alert
to right treatment). The intervention consisted in lectures and publications on
STOPP-START criteria made available to all the areas of the hospital and
suggestions made by the clinical pharmacist to the physician on each individual
prescription. Before intervention, PIM was 48.9% on admission and 46.1% at
discharge, while after the intervention it was 47.4% on admission and 16.7% at
discharge. APO was 10% on admission and 7.6% at discharge, while after
intervention it was 12.2% on admission and 7.9% at discharge. ADE were 50.9%
before and 34.4% after intervention. The frequency of return to emergency was
12.2% and 4.7% before and after intervention. PIM, EAM, conciliation error,
clinically serious drug interaction, and delirium were reduced to statistically
significant levels. In line with various international studies, the intervention
showed to attain positive results.
PMID- 29360071
TI - [Scientific production from public hospitals of the City of Buenos Aires, 2017].
AB - The number of publications in the scientific literature coming from an
institution is an indicator of its scientific production. The scientific
production of the hospitals of the Government of the City of Buenos Aires (GCBA)
has been evaluated previously, but without discriminating how much of that
production corresponded to other academic institutions settled there (University
of Buenos Aires, UBA, National Council of Scientific Research and Techniques,
CONICET). Our objective was to evaluate the publications included in PubMed that
correspond to hospitals of the GCBA, describe their main characteristics, and
discriminate the contribution of other academic institutions (UBA and CONICET).
It is a cross-sectional study based on a PubMed search, using the name of each of
the 34 GCBA hospitals, CONICET and UBA in the "affiliation" field. In total, 2727
publications from GCBA hospitals were identified (4.6% of Argentine
publications); 73.9% in English, 78.9% in relation to humans, 37.2% in the last 5
years; 6.4% with high level of evidence (clinical trials and meta-analysis), and
28.4% including children. Compared to the national total, the GCBA publications
include fewer works in English, more research in humans, more clinical trials and
more research in children. Of the publications corresponding to hospitals of the
GCBA, 90.4% did not share the affiliation with CONICET or with UBA. In
conclusion, the GCBA hospitals generated 4.6% of the total Argentine publications
in PubMed; and 90% of these was not shared with UBA or CONICET. Publications from
GCBA institutions include more clinical trials and research in children.
PMID- 29360072
TI - [Dengue, zika, chikungunya and the development of vaccines].
AB - Dengue (DENV), zika (ZIKV) and chikungunya (CHIKV), three arbovirosis transmitted
by Aedes mosquitoes, have spread in recent decades in humid tropical and
subtropical zones. Dengue is epidemic in subtropical areas of Argentina. DENV
infection confers lasting immunity against the infecting serotype but increases
the risk of serious disease upon reinfection by any of the other three. The
recombinant tetravalent vaccine Dengvaxia(r) prevents severe dengue and
hospitalization in seropositive subjects. In 2017, Dengvaxia was approved in
Argentina, for ages 9 to 45, but is not included in the national vaccination
calendar. Two other vaccines are in Phase III evaluation: one developed by NIAID
/ Instituto Butantan and the other by Takeda. ZIKV, a virus associated with
microcephaly in newborns in Brazil, circulates since 2016 in Argentina. There is
still not effective treatment nor vaccine with proven activity against ZIKV.
There has been no active circulation of CHIKV in Argentina in 2017. Outbreaks of
CHIKV fever have a complication: the development of chronic post-disease
rheumatism. There are not approved vaccines for humans nor effective antiviral
therapies. The seriousness of these virosis has contributed to a rapid progress
in the knowledge of the infection processes and the immune response. For now,
Aedes aegypti and A. albopictus vectors continue to expand, suggesting that the
vaccine will be the most effective means of controlling these viruses. Here we
summarize information about these arbovirosis in Argentina and Brazil and
describe advances in the development and evaluation of vaccines.
PMID- 29360073
TI - Immunostimulatory monoclonal antibodies for hepatocellular carcinoma therapy.
Trends and perspectives.
AB - Hepatocellular carcinoma (HCC) is the second cause of cancer-related death in the
world and is the main cause of death in cirrhotic patients. Unfortunately, the
incidence of HCC has grown significantly in the last decade. Curative treatments
such as surgery, liver transplantation or percutaneous ablation can only be
applied in less than 30% of cases. The multikinase inhibitor sorafenib is the
first line therapy for advanced HCC. Regorafenib is the standard of care for
second-line patients. However, novel and more specific potent therapeutic
approaches for advanced HCC are still needed. The liver constitutes a unique
immunological microenvironment, although anti-tumor immunity seems to be feasible
with the use of checkpoint inhibitors such as nivolumab. Efficacy may be further
increased by combining checkpoint inhibitors or by applying loco-regional
treatments. The success of immune checkpoint blockade has renewed interest in
immunotherapy in HCC.
PMID- 29360074
TI - [Pituitary spindle cell oncocytoma].
AB - Spindle cell oncocytoma is an infrequent benign non-endocrine sellar neoplasm.
Due to its similar morphology to pituitary adenomas, consideration of this
differential diagnosis would conduce to a more careful surgical approach in order
to avoid intraoperative bleeding and aiming to a complete resection, on which
depends long-term outcomes. We present the case of a 60-year-old male who
complained about visual abnormalities, with computerized visual field
confirmation. On biochemistry, a panhypopituitarism was detected. The brain
magnetic resonance images showed a sellar mass. A non-functioning pituitary
macroadenoma was presumptively diagnosed and due to the visual impairment,
surgical transesphenoidal treatment was indicated. The histological diagnosis was
spindle cell oncocytoma. Five months after surgery, the control image
demonstrated a lesion that was considered as remnant tumor, hence radiosurgery
was performed. During the follow-up, the tumor reduced its size and four years
after initial treatment, the sellar resonance imaging showed disappearance of the
residual tumor. Communication of new cases of this rare entity will enlarge the
existing evidence and will help to determinate the most effective treatment and
prognosis.
PMID- 29360075
TI - [Hemophagocytosis secondary to dengue fever].
AB - Dengue virus infection constitutes a major public health problem worldwide. It is
caused by a virus belonging to the Flaviviridae family. It produces a wide range
of clinical presentations, from asymptomatic infection to severe forms of the
disease with hemorrhagic fever or shock secondary to capillary leak syndrome.
Four serotypes have been described; serotype 2 and serotype 3 are associated with
the most severe forms of the disease. The diagnosis is based on laboratory tests
aimed to detect antibodies, viral RNA, or antigens in serum. The hemophagocytic
syndrome is generated by a dysfunction of the immune system with clinical,
hematological, biochemical and histological manifestations. The association
between these two entities is described as an unusual and severe presentation of
dengue fever. We present a case of an adult patient with this association and
very high blood levels of ferritin, who responded favorably to supportive care.
PMID- 29360076
TI - [Isolated intestinal angioedema induced by enalapril].
AB - Angioedema induced by angiotensin converting enzyme inhibitors is a rare entity
characterized by skin and mucosal edema, due to increased vascular permeability
caused by inhibition of the converting enzyme and subsequent increase in
bradykinin. It frequently presents with facial and mucosal involvement, being
uncommon the intestinal or airway compromise. Intestinal angioedema may be
associated with facial or isolated angioedema, the latter being exceptional. It
is associated with recurrent episodes of pain, abdominal distention and watery
diarrhea which complete recovery in two or three days. Although it is a rare
entity, the fact that it is associated with frequently used drugs makes us
include it in the differential diagnosis of recurrent abdominal pain. We report a
case of isolated intestinal angioedema associated with the use of enalapril.
PMID- 29360077
TI - [Struma ovarii associated with Graves' disease].
AB - Hyperthyroidism is defined as an excessive production of thyroid hormones by
eutopic or ectopic mature thyroid tissue. The overall prevalence of
hyperthyroidism is 1.2% and the most common cause is Graves' disease. Struma
ovarii represents 1% of all ovarian tumors and is an uncommon cause of ectopic
hyperthyroidism. It is benign in >90% of the cases; usually asymptomatic, and
only 8% are presented with thyrotoxicosis, being rare its association with
Graves' disease. We report the case of a patient with this association.
PMID- 29360078
TI - [Solitary fibrous tumor and Doege-Potter syndrome].
AB - The solitary fibrous tumor is uncommon. Even though it frequently locates in the
pleura, a few cases have been reported in the retroperitoneum. Differentiation
from other neoplasms is difficult, and therefore the diagnosis is always attained
through histological examination. Although solitary fibrous tumors have variable
clinical behaviors, a better comprehension of the location and its imaging
characteristics would help to decrease the list of differential diagnoses. We
report a solitary fibrous tumor located in the retroperitoneum whose diagnosis
was confirmed by histological examination.
PMID- 29360079
TI - [4pp Mucocutaneous manifestations of methotrexate toxicity].
AB - Methotrexate is an antimetabolite analog to folic acid that competitively
inhibits the enzyme dihydrofolate reductase and thymidylate synthetase, essential
for the synthesis of DNA and RNA. It is widely used in dermatology and its
adverse effects on the skin and mucous membranes are varied, including mild and
severe reactions. The appearance of erosions and skin ulcers as a manifestation
of methotrexate cytotoxicity are quite infrequent. These would represent an early
cutaneous sign of pancytopenia due to marrow toxicity secondary to this drug. In
most of the cases there are cutaneous diseases prior to ulceration, mainly
psoriasis. In the absence of underlying dermatitis, the presence of ulcerations
is very rare. We present eight cases of patients with cutaneous signs of
methotrexate poisoning, with and without previous dermatoses. Most of them
associated mucositis and bone marrow involvement. Treatment guidelines are
recommended.
PMID- 29360081
TI - [A century away from the University Reform and beyond football: Does science have
social value?]
PMID- 29360080
TI - [Hooke and Company. Science and literature].
PMID- 29360082
TI - [Diabetes by immunotherapy].
PMID- 29360083
TI - [New insulin analogs. Its use in patients with chronic kidney disease].
PMID- 29360084
TI - [Antibiotics and omeprazole].
PMID- 29360085
TI - Editorial.
PMID- 29360086
TI - Surgical Results and Complications of Cochlear Implantation in Far-Advanced
Otosclerosis.
AB - OBJECTIVE: To report surgical results and complications of cochlear implantation
in patients with far-advanced otosclerosis (FAO). MATERIALS AND METHODS: This was
a retrospective chart review of surgical results in terms of electrode insertion
as well as peri- and postoperative complications. Ten cochlear implantations
(CIs) were performed in eight patients with FAO. A prior stapedotomy had been
performed in all cases. RESULTS: Full electrode insertion was achieved in nine of
the 10 operations (90%) and partial insertion in one operation. An unintended
opening of the vestibule during drilling was the only perioperative complication.
Postoperative complications occurred as two cases of vertigo (one prolonged). No
chorda tympani syndrome and no cases of facial nerve stimulation were noted.
CONCLUSION: Although based on a limited number of cases, we conclude that full
electrode insertion can be achieved in almost all cases and that major
complications are infrequent in CI in patients with FAO. Postoperative vertigo
appears to be the most commonly occurring complication.
PMID- 29360087
TI - Changes in Proinflammatory Cytokines in the Cochlea in Relation to Hearing
Thresholds in Noise-Exposed Rats.
AB - OBJECTIVE: Our aim was to investigate time effects in proinflammatory cytokines
and the auditory brainstem response (ABR) thresholds of rat cochlea exposed to
noise. MATERIALS AND METHODS: Twenty-one rats were divided into two groups: the
control group and the noise group. As high as 115 dB sound pressure of white
noise was administered to the noise group of 16 rats for 3 h a day for 10 days.
This group was further split into four subgroups based on the timing of
sacrifice: 3rd hour group, 12th hour group, 24th hour group, and 28th day group.
ABR thresholds were measured in all the rats, after the noise exposure and right
before being sacrificed. Proinflammatory cytokine levels (IL-6, IL-1beta, and TNF
alpha) at the cochlea were measured. RESULTS: We found a significant difference
between the first ABR thresholds (5 dB nHL) and the post-exposure ABR thresholds
in each group (25 dBnHL, 35 dBnHL, 15 dBnHL, and 17.50 dBnHL for the 3rd hour
group, 12th hour group, 24th hour group, and 28th day group, respectively). The
IL-1beta levels in the 3rd hour group and 12th hour group were significantly
higher than those in the control group and other noise subgroups. The TNF-alpha
level in the 3rd hour group was significantly higher than that in the control
group and other noise subgroups. CONCLUSION: It seems reasonable to point out a
direct correlation between the cytokine levels and hearing threshold levels after
the noise exposure. This correlation was the highest for IL-1beta. This result
suggested a significant role of proinflammatory cytokines in hearing
deterioration after noise exposure.
PMID- 29360088
TI - The Adenoid Microbiome in Recurrent Acute Otitis Media and Obstructive Sleep
Apnea.
AB - OBJECTIVE: To compare the microbial flora of adenoids from patients with
recurrent acute otitis media (AOM) and patients with obstructive sleep apnea
(OSA). MATERIALS AND METHODS: This study was prospective and controlled. Adenoids
were obtained from children undergoing adenoidectomy for recurrent AOM (n=7) or
OSA (n=13). Specimens were processed for total deoxyribonucleic acid (DNA)
isolation. 16s DNA 454-pyrosequencing was performed on AOM (n=5) and OSA (n=5)
specimens. All specimens were analyzed by real-time polymerase chain reaction for
the quantification of the oral commensal bacteria, Streptococcus salivarius.
RESULTS: All adenoid specimens had evidence of microbes. Haemophilus influenzae,
Moraxella catarrhalis, Streptococcus pneumoniae, Pseudomonas aeruginosa, and
Staphylococcus aureus were among the dominant species in all samples. S.
salivarius, Prevotella sp. and Terrahaemophilus aromaticivorans were more common
on adenoids from OSA patients (p<0.05). Bradyrhizobium sp. was more common on
adenoids from patients with recurrent AOM (p<0.05). The microbial profiles
associated with recurrent AOM were different from, but overlapped with OSA. S.
salivarius quantified by real-time PCR was not different between the two groups.
CONCLUSION: Microbes are present on all adenoid specimens, though the microbial
profile differs between recurrent AOM and OSA. The clinical significance of these
differences remains to be determined.
PMID- 29360089
TI - BPIFA1 Gene Expression in the Human Middle Ear Mucosa.
AB - OBJECTIVE: The bactericidal/permeability-increasing, fold-containing family
member A1 (BPIFA1) gene codes a secretory protein (BPIFA1), which is present in
the respiratory tract mucosa, and is part of the innate immune system. This study
aimed to prove that BPIFA1 gene expression exists in the human middle ear mucosa.
MATERIALS AND METHODS: In total, 32 patients participated in the study between
March 2016 and September 2016. Seventeen patients had chronic otitis media with
cholesteatoma (COMC) and 15 had bilateral sensorineural hearing loss (BSHL). The
patients with COMC underwent radical mastoidectomy with cholesteatoma removal and
those with BSHL underwent cochlear implantation. Part of the processus mastoideus
mucosa was examined for BPIFA1 gene expression and the two groups were compared.
RESULTS: For the first time, BPIFA1 gene expression was examined in the mucosa of
the human middle ear, and it was verified in 100% (n=32) of the participants. We
confirmed that there is a difference in the BPIFA1 expression in 83.33% of the
patients with COMC compared to the patients with BSHL but this difference was not
statistically significant (p=0.947; probably due to the low number of
participants in this group). CONCLUSION: It is highly likely that the BPIFA1
protein participates in the non-specific immune defense of the middle ear and is
relevant to the pathogenesis of the inflammatory diseases of the middle ear.
PMID- 29360090
TI - Clinical and Audiologic Characteristics of Tinnitus in Subjects Aged <65 and >65
Years.
AB - OBJECTIVE: This study compared the characteristics of tinnitus, by audiologic
tests and questionnaires, in older and younger subjects. MATERIALS AND METHODS:
Medical records of 258 outpatients with tinnitus were retrospectively reviewed.
Characteristics compared in subjects aged <65 and >65 years included patient
history and the results of physical examinations, questionnaires, and audiologic
tests. RESULTS: Fifty-nine patients were aged 65 years and older (older group),
and 199 were less than 65 years old (younger group). The prevalence of chronic
tinnitus was significantly higher in the older group (p<0.05). Assessments of
audiologic configuration showed that the rate of high frequency steeply sloping
configuration was significantly higher (p<0.05). The rate of sensorineural
hearing loss was significantly higher in the older group (p<0.05). On
tinnitograms, loudness was significantly higher in the older group (p<0.05).
Auditory brainstem responses analysis showed that V latency was longer in the
older group (p<0.05). The older group showed lower responses at every frequency
on distortion product otoacoustic emissions (p<0.05) and lower signal-to-noise
ratio at every frequency on transient evoked otoacoustic emissions (p<0.05).
CONCLUSION: The clinical manifestations of tinnitus and audiological results
differ between older and younger subjects with tinnitus.
PMID- 29360091
TI - Ear Fullness as a Symptom of Endolymphatic Hydrops in non-Meniere's Patient.
AB - OBJECTIVE: 1) To determine if unexplained ear fullness might be a symptom of
endolymphatic hydrops (EH) by using Electrocochleography (ECochG) SP/AP area and
amplitude ratios. 2) To assess if individuals with unexplained ear fullness
without vertigo differ significantly from individuals with ear fullness due to
Meniere's disease (MD). MATERIALS AND METHODS: In a case-control study in our
tertiary care center, we evaluated 62 ears across 49 patients, including 18
normal healthy ears across 12 control patients, 26 ears with unexplained ear
fullness across 20 patients (6 had bilateral symptoms of ear fullness), and 18
ears with definite MD across 17 patients (1 bilateral disease). Outcome measures
were SP/AP amplitude and area ratio, hearing threshold, and air-bone gap.
RESULTS: The analysis of auditory thresholds revealed a significant group effect
for air conduction [F(2,50)=49.627; p<0.001] and for bone conduction
[F(2,50)=45.625; p<0.001]. We observed significant differences between MD
(36.36+/-4.87) and control patients (19.85+/-2.55) (p=0.015) for amplitude ratio.
Moreover, significant differences were noted between MD (5.32+/-1.06) and
controls (1.36+/-0.07) (p=0.035) and between ear fullness (5.16+/-1.17) and
controls (p=0.026) for the area ratio parameter. No significant correlation was
observed between SP/AP area or amplitude ratios and air-bone gap at any of the
tested frequencies. The amplitude ratio was not significantly different between
the ear fullness and control groups (p=0.406). The area and amplitude ratios did
not reveal significant differences between MD and ear fullness (p=1.00).
CONCLUSION: EH can be present even in the absence of vertigo and when patients
report unexplained ear fullness. This study, to our knowledge, is the first to
possibly allow early identification of cochlear EH in patients suffering from ear
fullness without vertigo.
PMID- 29360092
TI - Management of Necrotizing Otitis Externa: Our Experience with Forty-Three
Patients.
AB - OBJECTIVE: To assess the impact of the introduction of a dedicated management
protocol of necrotizing otitis externa patients with joint care between
otorhinolaryngology and infectious diseases. MATERIALS AND METHODS: Retrospective
review of case notes and the otorhinolaryngology department database of all
adults admitted with necrotizing otitis externa at our teaching hospital over a 5
year period. The patients were split into two groups (first group of 10 patients
prior to the introduction of the dedicated management protocol, and a second
group of 33 patients managed after the introduction of this protocol). RESULTS:
Of the 43 patients included in the study, diabetes mellitus was present in 83.7%.
Pseudomonas aeruginosa was grown in 67.4% of patients. All 43 patients underwent
computed tomography and magnetic resonance imaging (with contrast) scans.
Surgical intervention was undertaken in 25.6% of patients. Mean follow-up was 10
months (SD, +/-7 months). Of the 43 patients, 79.1% made a full recovery and were
discharged. Relapse occurred in 9.3% of patients. One patient died because of a
myocardial infarction 4 months after treatment. The mean length of stay was
significantly lower after the protocol was introduced (25.6+/-5.3 vs. 14.2+/-3.8
days, p=0.001), and the duration of treatment was also significantly lower after
the protocol (21.2+/-6.8 vs. 14.3+/-4.3 weeks, p=0.01). CONCLUSION: The
introduction of a dedicated management protocol and joint care with
otorhinolaryngology and infectious diseases resulted in improved care and
decreased length of stay in patients. Early diagnosis and involvement with the
relevant teams as well as prompt intervention are the key factors that reduce
morbidity and mortality.
PMID- 29360093
TI - Discussion about Visual Dependence in Balance Control: European Society for
Clinical Evaluation of Balance Disorders.
AB - : The executive committee of the European Society for the clinical evaluation of
balance disorders meets annually to address equilibrium problems that are not
well understood. This is a review paper on discussions in the latest meeting we
held. MATERIALS AND METHODS: Seeing patients with vestibular disorders who end up
depending on visual information as part of their compensation process is a common
clinical occurrence. However, this "visual dependence" can generate symptoms,
which include nausea, sensations of imbalance, and anxiety. It is unclear how
this develops, as symptoms can be widely variable from patient to patient. There
are several triggering factors to this symptom set, and quantifying it in a given
patient is extremely difficult Results: The committee agreed that the presence of
this symptom set can be suggestive of vestibular pathology, but the pathology
does not have to be present. As a result, there is no correlation between symptom
severity and test results. CONCLUSION: Visual dependence can often be present in
a patient, although little, if any, measurable pathology is present. It is
important to emphasize that although we cannot accurately measure this with
either standardized testing or pertinent questionnaires, "hypersensitive"
patients have a genuine disease and their symptoms are not of psychiatric origin.
PMID- 29360094
TI - Moderate-Severe Hearing Loss in Children: A Diagnostic and Rehabilitative
Challenge.
AB - Hearing loss in children represents a relevant topic, which needs an increasing
attention by clinicians and researchers. Unfortunately, most cases of hearing
loss still remain idiopathic (most frequently reported causes are genetic,
infectious, toxic). An early diagnosis is crucial, as if not properly recognized,
hearing disorders may impact negatively on children development and on quality of
life. Literature data show that also children with mild-moderate, or even
monolateral, hearing loss may present learning or verbal language disorders, if
not adequately managed. The diagnostic work-up of hearing loss in children, which
starts with universal neonatal hearing screening at birth, is complex, has to
define hearing threshold, and, when possible, its etiology, often by
multidisciplinary approach. At the same time, the audiological follow-up of those
affected by moderate-severe hearing loss must be tight, as it is necessary to
verify constantly: (i) hearing threshold levels, (ii) adequateness of hearing
aids fitting, and (iii) language development. This review focuses specifically on
the diagnostic work-up and the rehabilitative features of moderate-severe hearing
loss in children.
PMID- 29360095
TI - Cochleovestibular Transient Ischemic Attack as a Manifestation of Patent Foramen
Ovale.
AB - Paradoxical embolization is the most commonly proposed mechanism for ischemia in
patients with patent foramen ovale (PFO). We hypothesize that these patients can
experience a microembolic genesis of cochleovestibular dysfunction, with a
peripheral vestibular syndrome and simultaneous cochlear signs. Hence, we report
the first case in literature associating PFO and acute transitory audiovestibular
loss, which occurred in a male who had had an ischemic mesencephalic stroke
several months ago. The patient's history and duration of the current event of
less than 24 hours following complete functional recovery without any damage
highlight the vascular cause in cochleovestibular dysfunction and support the
existence of a clinical entity that could be named "cochleovestibular transient
ischemic attack".
PMID- 29360096
TI - Simultaneous Contralateral Vestibular Schwannoma and Middle Ear Paraganglioma
Tumor.
AB - To the best of our knowledge, only 2 cases of a simultaneous contralateral
vestibular schwannoma (VS) and middle ear paraganglioma (MEP) have previously
been reported in literature. We report the third case observed in a 43-year-old
male, who presented with an 11-year history of right-sided hearing loss and a 1
year history of left-sided pulsatile tinnitus. A magnetic resonance imaging (MRI)
showed a VS on the right side and computer tomography (CT) identified a Fisch
type A1 paraganglioma on the left side. The VS was treated using a
translabyrinthine approach and the MEP was kept under radiological observation
for 1 year. Due to the growth of the MEP (Fisch type A2), it was treated with
excision via a retroauricular approach. Our case was very challenging because
there was a different and important pathology on each side, both carrying a risk
of deafness as a consequence of the disease and/or the treatments.
PMID- 29360097
TI - Renunciation of health care by people living with HIV in France is still
associated with discrimination in health-care services and social insecurity -
results from the ANRS-VESPA2 survey.
AB - BACKGROUND: This study aimed to estimate the frequency of renunciation of health
care among people living with HIV (PLHIV) in France, including health care
unrelated to HIV, and to characterize associated socioeconomic and psychosocial
risk factors. METHODS: The cross-sectional ANRS-VESPA2 survey was conducted on
adult PLHIV attending French hospitals in 2011. Correlates of health-care
renunciation in the 12 months before the survey were assessed through logistic
modelling. RESULTS: Among the 3,020 PLHIV included in the sample, 17% declared
health-care renunciation during the preceding year and 42% had a high level of
social insecurity. During the previous 2 years, 8% and 11%, respectively, were
discriminated against by medical staff and family. In multivariate analysis,
positive associations were found between health-care renunciation and a high
level of social insecurity (adjusted odds ratio [95% CI] 3.44 [2.54, 4.65];
P<0.001), having children (1.52 [1.10, 2.10]; P=0.01), smoking tobacco (1.50
[1.13, 1.98]; P=0.01), discrimination by medical staff (1.53 [1.22, 2.29];
P=0.04) or family (2.48 [1.75, 3.52]; P<0.001), major depressive episodes (1.46
[1.02, 2.09]; P=0.04), past or current drug injection (1.54 [1.03, 2.30];
P=0.04), and younger age (0.98 [0.97, 1.00]; P=0.03). Health-care renunciation
was also negatively associated with HIV diagnosis after 1996 (1996-2002: 0.64
[0.46, 0.90]; P=0.01; >=2003: 0.56 [0.40, 0.77]; P=0.001). CONCLUSIONS: In spite
of universal health insurance in France, barrier- and refusal-renunciation of
health care by PLHIV remain frequent. Poor psychosocial outcomes and
discrimination by families and health-care providers compound the negative effect
of social insecurity on health-care seeking in this population. To ensure optimal
medical care, strategies are needed to prevent discrimination against PLHIV in
health-care services. Special attention must be provided to patients experiencing
social insecurity.
PMID- 29360098
TI - Dependence of subject-specific parameters for a fast helical CT respiratory
motion model on breathing rate: an animal study.
AB - To determine if the parameters relating lung tissue displacement to a breathing
surrogate signal in a previously published respiratory motion model vary with the
rate of breathing during image acquisition. An anesthetized pig was imaged using
multiple fast helical scans to sample the breathing cycle with simultaneous
surrogate monitoring. Three datasets were collected while the animal was
mechanically ventilated with different respiratory rates: 12 bpm (breaths per
minute), 17 bpm, and 24 bpm. Three sets of motion model parameters describing the
correspondences between surrogate signals and tissue displacements were
determined. The model error was calculated individually for each dataset, as well
asfor pairs of parameters and surrogate signals from different experiments. The
values of one model parameter, a vector field denoted [Formula: see text] which
related tissue displacement to surrogate amplitude, determined for each
experiment were compared. The mean model error of the three datasets was 1.00 +/
0.36 mm with a 95th percentile value of 1.69 mm. The mean error computed from
all combinations of parameters and surrogate signals from different datasets was
1.14 +/- 0.42 mm with a 95th percentile of 1.95 mm. The mean difference in
[Formula: see text] over all pairs of experiments was 4.7% +/- 5.4%, and the
95th percentile was 16.8%. The mean angle between pairs of [Formula: see text]
was 5.0 +/- 4.0 degrees, with a 95th percentile of 13.2 mm. The motion model
parameters were largely unaffected by changes in the breathing rate during image
acquisition. The mean error associated with mismatched sets of parameters and
surrogate signals was 0.14 mm greater than the error achieved when using
parameters and surrogate signals acquired with the same breathing rate, while
maximum respiratory motion was 23.23 mm on average.
PMID- 29360099
TI - Electronic structure and magnetic properties of Pr-Co intermetallics: ab initio
FP-LAPW calculations and correlation with experiments.
AB - First-principle calculations combining density functional theory and the full
potential linearized augmented plane wave (FP-LAPW) method are performed to
investigate the electronic and magnetic structure of Pr2Co7 in its two
polymorphic forms, (2:7 H) and (2:7 R), for the first time. This type of
calculation was also performed for PrCo5 and PrCo2 intermetallics. We have
computed the valence density of states separately for spin-up and spin-down
states in order to investigate the electronic band structure. This is governed by
the strong contribution of the partial DOS of 3d-Co bands compared to the partial
DOS of the 4f-Pr bands. Such a high ferromagnetic state is discussed in terms of
the strong spin polarization observed in the total DOS. The magnetic moments
carried by the Co and Pr atoms located in several sites for all compounds are
computed. These results mainly indicate that cobalt atoms make a dominant
contribution to the magnetic moments. The notable difference in the atomic
moments of Pr and Co atoms between different structural slabs is explained in
terms of the magnetic characteristics of the PrCo2 and PrCo5 compounds and the
local chemical environments of the Pr and Co atoms in different structural slabs
of Pr2Co7. From spin-polarized calculations we have simulated the 3d and 4f band
population to estimate the local magnetic moments. These results are in
accordance with the magnetic moments calculated using the FP-LAPW method. In
addition, the exchange interactions J ij are calculated and used as input for
M(T) simulations. Involving the data obtained from the electronic structure
calculations, the appropriate Pade Table is applied to simulate the magnetization
M(T) and to estimate the mean-field Curie temperature. We report a fairly good
agreement between the ab initio calculation of magnetization and Curie
temperature with the experimental data.
PMID- 29360100
TI - A model for the integration of conflicting exogenous and endogenous signals by
dendritic cells.
AB - Cells of the immune system are confronted with opposing pro- and anti
inflammatory signals. Dendritic cells (DC) integrate these cues to make informed
decisions whether to initiate an immune response. Confronted with exogenous
microbial stimuli, DC endogenously produce both anti- (IL-10) and pro
inflammatory (TNFalpha) cues whose joint integration controls the cell's final
decision. Backed by experimental measurements we present a theoretical model to
quantitatively describe the integration mode of these opposing signals. We
propose a two step integration model that modulates the effect of the two types
of signals: an initial bottleneck integrates both signals (IL-10 and TNFalpha),
the output of which is later modulated by the anti-inflammatory signal. We show
that the anti-inflammatory IL-10 signaling is long ranged, as opposed to the
short-ranged pro-inflammatory TNFalpha signaling. The model suggests that the
population averaging and modulation of the pro-inflammatory response by the anti
inflammatory signal is a safety guard against excessive immune responses.
PMID- 29360101
TI - 6,7-dimethoxy-coumarin as a probe of hydration dynamics in biologically relevant
systems.
AB - Coumarin derivatives are well known fluorescence reporters for investigating
biological systems due to their strong micro-environment sensitivity. Despite
having wide range of environment sensitive fluorescence probes, the potential of
6,7-dimethoxy-coumarin has not been studied extensively so far. With a
perspective of its use in protein studies, namely using the unnatural amino acid
technology or as a substrate for hydrolase enzymes, we study acetyloxymethyl-6,7
dimethoxycoumarin (Ac-DMC). We investigate the photophysics and hydration
dynamics of this dye in aerosol-OT (AOT) reverse micelles at various water
contents using the time dependent fluorescence shift (TDFS) method. The TDFS
response in AOT reverse micelles from water/surfactant ratio of 0 to 20 confirms
its sensitivity towards the hydration and mobility of its microenvironment.
Moreover, we show that the fluorophore can be efficiently quenched by halide
ions. Hence, we conclude that the 6,7-dimethoxy-methylcoumarin fluorophore is
useful for studying hydration parameters in biologically relevant systems.
PMID- 29360102
TI - Treatment of colorectal injuries in the civil war and the factors affecting
mortality.
AB - AIM: Colorectal injuries are one of the most common causes of mortality in war.
Mainstay treatment of these injuries include primary repair or stoma creation.
METHODS: Clinical data of the patients were evaluated retrospectively. Time from
injury to hospital admission, method of treatment, the colorectal area affected,
injury severity score ISS, hemodynamic instability, and mortality rate were
determined. RESULTS: Of the 61 patients included in the study. Mean time from
injury to hospital admission was 160+/-19 minutes. The injury was in the right
colon in 24 patients 39.3%, in the left colon in 18 29.5%, and in the rectum in
19 31.2% patients. Median ISS value of 61 patients was 16, IQR 5. Mortality and
complication rates were higher in patients with hemodynamic instability and stoma
requirement was also higher in this group p<0.05. Total mortality occurred in 15
24.5% patients. Of these, 10 66.6% patients had hemodynamic instability.
DISCUSSION: Hemodynamic instability is the most important factor affecting the
mortality and the treatment method in wartime colorectal injuries. CONCLUSION: We
believe that in victims of war with colorectal injuries, surgical intervention
before the development of hemodynamic instability may reduce the rate of
mortality and stoma requirement. KEY WORDS: Colorectal injury, Firearm injury,
Hemodynamic instability, Stoma.
PMID- 29360103
TI - Introducing the Global Register of Introduced and Invasive Species.
AB - Harmonised, representative data on the state of biological invasions remain
inadequate at country and global scales, particularly for taxa that affect
biodiversity and ecosystems. Information is not readily available in a form
suitable for policy and reporting. The Global Register of Introduced and Invasive
Species (GRIIS) provides the first country-wise checklists of introduced
(naturalised) and invasive species. GRIIS was conceived to provide a sustainable
platform for information delivery to support national governments. We outline the
rationale and methods underpinning GRIIS, to facilitate transparent, repeatable
analysis and reporting. Twenty country checklists are presented as exemplars;
GRIIS Checklists for close to all countries globally will be submitted through
the same process shortly. Over 11000 species records are currently in the 20
country exemplars alone, with environmental impact evidence for just over 20% of
these. GRIIS provides significant support for countries to identify and
prioritise invasive alien species, and establishes national and global baselines.
In future this will enable a global system for sustainable monitoring of trends
in biological invasions that affect the environment.
PMID- 29360104
TI - Design and implementation of multi-signal and time-varying neural
reconstructions.
AB - Several efficient procedures exist to digitally trace neuronal structure from
light microscopy, and mature community resources have emerged to store, share,
and analyze these datasets. In contrast, the quantification of intracellular
distributions and morphological dynamics is not yet standardized. Current
widespread descriptions of neuron morphology are static and inadequate for
subcellular characterizations. We introduce a new file format to represent
multichannel information as well as an open-source Vaa3D plugin to acquire this
type of data. Next we define a novel data structure to capture morphological
dynamics, and demonstrate its application to different time-lapse experiments.
Importantly, we designed both innovations as judicious extensions of the classic
SWC format, thus ensuring full back-compatibility with popular visualization and
modeling tools. We then deploy the combined multichannel/time-varying
reconstruction system on developing neurons in live Drosophila larvae by
digitally tracing fluorescently labeled cytoskeletal components along with
overall dendritic morphology as they changed over time. This same design is also
suitable for quantifying dendritic calcium dynamics and tracking arbor-wide
movement of any subcellular substrate of interest.
PMID- 29360105
TI - The impact of new transportation modes on population distribution in Jing-Jin-Ji
region of China.
AB - This paper conducts a novel study in China's Jing-Jin-Ji region to investigate
the determinants of population distribution and short-term migration based on a
comprehensive dataset including traditional census data, earth observation data,
and emerging Internet data. Our results show that due to the high level of
urbanization in this region, natural conditions are no longer the strongest
determinants of population distribution. New transportation modes, such as high
speed rail, have arisen as a significant determinant of population distribution
and short-term migration, particularly in large cities. Socio-economic factors
such as GDP, investment, urbanization level, and technology, which are
traditionally assumed to govern population distribution and short-term migration,
have less influence although education still remains an important factor
affecting population distribution. These findings will contribute valuable
information to regional planning decision-making in the Jing-Jin-Ji region.
PMID- 29360106
TI - Structure of the human activated spliceosome in three conformational states.
AB - During each cycle of pre-mRNA splicing, the pre-catalytic spliceosome (B complex)
is converted into the activated spliceosome (Bact complex), which has a well
formed active site but cannot proceed to the branching reaction. Here, we present
the cryo-EM structure of the human Bact complex in three distinct conformational
states. The EM map allows atomic modeling of nearly all protein components of the
U2 small nuclear ribonucleoprotein (snRNP), including three of the SF3a complex
and seven of the SF3b complex. The structure of the human Bact complex contains
52 proteins, U2, U5, and U6 small nuclear RNA (snRNA), and a pre-mRNA. Three
distinct conformations have been captured, representing the early, mature, and
late states of the human Bact complex. These complexes differ in the orientation
of the Switch loop of Prp8, the splicing factors RNF113A and NY-CO-10, and most
components of the NineTeen complex (NTC) and the NTC-related complex. Analysis of
these three complexes and comparison with the B and C complexes reveal an ordered
flux of components in the B-to-Bact and the Bact-to-B* transitions, which
ultimately prime the active site for the branching reaction.
PMID- 29360108
TI - Commentary: But Is It really Art? The Classification of Images as "Art"/"Not Art"
and Correlation with Appraisal and Viewer Interpersonal Differences.
PMID- 29360109
TI - Corrigendum to "Does Comorbidity Increase the Risk of Dengue Hemorrhagic Fever
and Dengue Shock Syndrome?"
AB - [This corrects the article DOI: 10.1155/2013/139273.].
PMID- 29360111
TI - Corrigendum to "The Severity of Retinopathy in the Extremely Premature Infants".
AB - [This corrects the article DOI: 10.1155/2017/4781279.].
PMID- 29360110
TI - Size Control and Fluorescence Labeling of Polydopamine Melanin-Mimetic
Nanoparticles for Intracellular Imaging.
AB - As synthetic analogs of the natural pigment melanin, polydopamine nanoparticles
(NPs) are under active investigation as non-toxic anticancer photothermal agents
and as free radical scavenging therapeutics. By analogy to the widely adopted
polydopamine coatings, polydopamine NPs offer the potential for facile aqueous
synthesis and incorporation of (bio)functional groups under mild temperature and
pH conditions. However, clear procedures for the convenient and reproducible
control of critical NP properties such as particle diameter, surface charge, and
loading with functional molecules have yet to be established. In this work, we
have synthesized polydopamine-based melanin-mimetic nanoparticles (MMNPs) with
finely controlled diameters spanning ~25 to 120 nm and report on the pH
dependence of zeta potential, methodologies for PEGylation, and the incorporation
of fluorescent organic molecules. A comprehensive suite of complementary
techniques, including dynamic light scattering (DLS), cryogenic transmission
electron microscopy (cryo-TEM), X-ray photoelectron spectroscopy (XPS), zeta
potential, ultraviolet-visible (UV-Vis) absorption and fluorescence spectroscopy,
and confocal microscopy, was used to characterize the MMNPs and their properties.
Our PEGylated MMNPs are highly stable in both phosphate-buffered saline (PBS) and
in cell culture media and exhibit no cytotoxicity up to at least 100 MUg mL-1
concentrations. We also show that a post-functionalization methodology for
fluorophore loading is especially suitable for producing MMNPs with stable
fluorescence and significantly narrower emission profiles than previous reports,
suggesting they will be useful for multimodal cell imaging. Our results pave the
way towards biomedical imaging and possibly drug delivery applications, as well
as fundamental studies of MMNP size and surface chemistry dependent cellular
interactions.
PMID- 29360112
TI - Corrigendum to "Seroprevalence of Dengue IgG Antibodies among Healthy Adult
Population in Lahore, Pakistan".
AB - [This corrects the article DOI: 10.1155/2013/521396.].
PMID- 29360113
TI - Exploring radiative and nonradiative decay paths in indole, isoindole, quinoline,
and isoquinoline.
AB - Radiative and nonradiative decay paths from the first excited singlet electronic
state (S1) in four heteroaromatics, indole, isoindole, quinoline, and
isoquinoline, were systematically explored. Three decay processes, i.e., internal
conversion (IC), intersystem crossing (ISC), and fluorescence emission (FE), were
compared. Minimum energy conical intersection structures between the electronic
ground and first excited states were investigated to determine the most preferred
IC path. The minimum energy seam of crossing (MESX) geometries between S1 and the
lowest-lying triplet states and the spin-orbit couplings at these MESX structures
were computed to identify the most feasible ISC path. The oscillator strength was
calculated at each S1 local minimum to reveal the contribution of the FE process.
The calculations clearly showed that indole had the highest fluorescent quantum
yield, consistent with the experimental data. The present calculations also
explained other experimental properties of the heteroaromatics such as ISC
quantum yields.
PMID- 29360114
TI - Correction: TiO2/vanadate (Sr10V6O25, Ni3V2O8, Zn2V2O7) heterostructured
photocatalysts with enhanced photocatalytic activity for photoreduction of CO2
into CH4.
AB - Correction for 'TiO2/vanadate (Sr10V6O25, Ni3V2O8, Zn2V2O7) heterostructured
photocatalysts with enhanced photocatalytic activity for photoreduction of CO2
into CH4' by Yabin Yan et al., Nanoscale, 2016, 8, 949-958.
PMID- 29360115
TI - Modeling in environmental chemistry.
PMID- 29360107
TI - Erratum: Sequence data and association statistics from 12,940 type 2 diabetes
cases and controls.
AB - This corrects the article DOI: 10.1038/sdata.2017.179.
PMID- 29360116
TI - Responses of deposition and bioaccumulation in the Great Lakes region to policy
and other large-scale drivers of mercury emissions.
AB - Mercury (Hg) emissions pose a global problem that requires global cooperation for
a solution. However, neither emissions nor regulations are uniform world-wide,
and hence the impacts of regulations are also likely to vary regionally. We
report here an approach to model the effectiveness of regulations at different
scales (local, regional, global) in reducing Hg deposition and fish Hg
concentrations in the Laurentian Great Lakes (GL) region. The potential effects
of global change on deposition are also modeled. We focus on one of the most
vulnerable communities within the region, an Indigenous tribe in Michigan's Upper
Peninsula (UP) with a high fish consumption rate. For the GL region, elements of
global change (climate, biomass burning, land use) are projected to have modest
impacts (<5% change from the year 2000) on Hg deposition. For this region, our
estimate of the effects of elimination of anthropogenic emissions is a 70%
decrease in deposition, while our minimal regulation scenario increases emissions
by 35%. Existing policies have the potential to reduce deposition by 20% with
most of the reduction attributable to U.S. policies. Local policies within the
Great Lakes region show little effect, and global policy as embedded in the
Minamata Convention is projected to decrease deposition by approximately 2.8%.
Even within the GL region, effects of policy are not uniform; areas close to
emission sources (Illinois, Indiana, Ohio, Pennsylvania) experience larger
decreases in deposition than other areas including Michigan's UP. The UP
landscape is highly sensitive to Hg deposition, with nearly 80% of lakes
estimated to be impaired. Sensitivity to mercury is caused primarily by the
region's abundant wetlands. None of the modeled policy scenarios are projected to
reduce fish Hg concentrations to the target that would be safe for the local
tribe. Regions like Michigan's UP that are highly sensitive to mercury deposition
and that will see little reduction in deposition due to regulations require more
aggressive policies to reduce emissions to achieve recovery. We highlight
scientific uncertainties that continue to limit our ability to accurately predict
fish Hg changes over time.
PMID- 29360117
TI - Photophysics and peripheral ring size dependent aggregate emission of cross
conjugated enediynes: applications to white light emission and vapor sensing.
AB - Photophysical understanding of organic fluorophores with pi-conjugated scaffolds
is crucial as such dyes are central to optoelectronic applications. This work
presents a detailed photophysical investigation of a class of cross-conjugated
homo- and hetero-enediynes (Y-shaped) peripherally attached to common aromatic
moieties such as benzene, naphthalene, and anthracene. The cross-communicated
electronic communication among the three aromatic units located at the tri-poles
of the Y-shaped enediynes results in a broad S0 -> S1 absorption band and locally
excited (LE) emission signals. In addition to the LE emission band, a red-shifted
aggregate emission is observed for some of the dyes in non-aqueous solvents where
a clear size dependence of the peripheral aromatic rings is noted for the
appearance of the aggregate fluorescence. The aggregates are static in nature as
is evident from ground-state absorption spectral changes and the absence of rise
time in the time-resolved fluorescence decay studies, which are substantiated
further through nuclear magnetic resonance spectroscopy and single-crystal X-ray
diffraction experiments. Molecular orbital calculations support the local nature
of the dominant electronic transition. The optimized ground state geometries of
the dyes from partially to fully propeller shaped structures confirm the ring
size dependence of the aggregates. The LE and aggregate state emissions are
judiciously exploited to generate single-component white light emission in binary
solvent mixtures. The excited state photophysics are further applied toward polar
aprotic vapor sensing in the solid state.
PMID- 29360119
TI - Strong spin-orbit interaction and magnetotransport in semiconductor Bi2O2Se
nanoplates.
AB - Semiconductor Bi2O2Se nanolayers of high crystal quality have been realized via
epitaxial growth. These two-dimensional (2D) materials possess excellent electron
transport properties with potential application in nanoelectronics. It is also
strongly expected that the 2D Bi2O2Se nanolayers can be an excellent material
platform for developing spintronic and topological quantum devices if the
presence of strong spin-orbit interaction in the 2D materials can be
experimentally demonstrated. Herein, we report the experimental determination of
the strength of spin-orbit interactions in Bi2O2Se nanoplates through
magnetotransport measurements. The nanoplates are epitaxially grown by chemical
vapor deposition, and the magnetotransport measurements are performed at low
temperatures. The measured magnetoconductance exhibits a crossover behavior from
weak antilocalization to weak localization at low magnetic fields with increasing
temperature or decreasing back gate voltage. We have analyzed this transition
behavior of magnetoconductance based on an interference theory, which describes
quantum correction to the magnetoconductance of a 2D system in the presence of
spin-orbit interaction. Dephasing length and spin relaxation length are extracted
from the magnetoconductance measurements. Compared to the case of other
semiconductor nanostructures, the extracted relatively short spin relaxation
length of ~150 nm indicates the existence of a strong spin-orbit interaction in
Bi2O2Se nanolayers.
PMID- 29360118
TI - Multiple single cell screening and DNA MDA amplification chip for oncogenic
mutation profiling.
AB - The oncogenic mutation heterogeneity of the cancer cell population has been
proven to be essential for predicting both drug-response and drug-resistance of
targeted therapies, such as tyrosine kinase inhibitors. It is necessary to
accurately evaluate the mutation heterogeneity, oncogenic mutation and resistant
mutation profiling at a single cell level. However, there are two major hurdles
in the process. First, majority of the cells in tumor tissue are non-cancer
cells, which cause background noise. Second, the work load and cost of next
generation sequencing on dozens of single cells are prohibitive. To address both
these issues, we developed a microfluidic chip for profiling of dozens of
selected cells. With the help of a novel tri-states valve structure, which
performs precise controlling of the cell/reagent movement, as well as active
mixing of different reagents, trapping/identification/lysis and in situ MDA
amplification was achieved at a single cell level on the same chip. Using a proof
of-concept assay mimicking EGFR targeting drug Gefitinib treatment of lung cancer
cells, the new method was validated as capable of not only detecting the
existence of multiple mutations, but also providing complete information of the
mutation scenario at the single cell level by using cost-effective Sanger's
sequencing.
PMID- 29360120
TI - Secondary relaxation in ultrastable etoricoxib: evidence of correlation with
structural relaxation.
AB - Secondary relaxations are fundamental for their impact in the properties of
glasses and for their inseparable connection to the structural relaxation.
Understanding their density dependence and aging behavior is key to fully address
the nature of glasses. Ultrastable glasses establish a new benchmark to study the
characteristics of secondary relaxations, since their enthalpy and density levels
are unattainable by other routes. Here, we use dielectric spectroscopy at ambient
and elevated pressures to study the characteristics of the secondary relaxation
in ultrastable etoricoxib, reporting a 71% decrease in dielectric strength and
one decade increase in relaxation time compared to the ordinary glass.
Interestingly, we find an unprecedented connection between secondary and
structural relaxations in ultrastable etoricoxib in exactly the same manner as in
the ordinary glass, manifested through different properties, such as aging and
devitrification. These results further support and extend the general validity of
the connection between the secondary and structural relaxation.
PMID- 29360122
TI - Substrate-orientation dependent epitaxial growth of highly ordered diamond
nanosheet arrays by chemical vapor deposition.
AB - Three-dimensional ordering of two-dimensional nanomaterials has long been a
challenge. Simultaneously, diamond nanomaterials are difficult to synthesize due
to the harsh synthesizing conditions required. Here, we report substrate-crystal
orientation dependent growth of diamond nanosheets (DNSs) by chemical vapor
deposition, which generates different DNS arrays on different substrates. The
DNSs are grown by the in-plane epitaxy of the diamond {111} planes. So the arrays
are highly ordered and solely determined by the spatial orientation of the {111}
planes in the diamond FCC structure. The DNSs grown on the {110}, {111}, {001},
and {113} oriented substrates show inclination angles ranging from 90 to 29.5
degrees . The DNSs with larger inclination angles grow preferentially, forming
parallelogram arrays with inclination angles of 90 degrees on the {110}
substrates and parallel-line arrays with inclination angles of 80 degrees on the
{113} substrates. The density, thickness, size, and morphology of the DNSs have
been well controlled. The present understanding and materials are highly
promising for many applications such as sensors, catalysis, photonics, thermal
management, and electronics.
PMID- 29360121
TI - Why does the Y326I mutant of monoamine oxidase B decompose an endogenous
amphetamine at a slower rate than the wild type enzyme? Reaction step elucidated
by multiscale molecular simulations.
AB - This work investigates the Y326I point mutation effect on the kinetics of
oxidative deamination of phenylethylamine (PEA) catalyzed by the monoamine
oxidase B (MAO B) enzyme. PEA is a neuromodulator capable of affecting the
plasticity of the brain and is responsible for the mood enhancing effect caused
by physical exercise. Due to a similar functionality, PEA is often regarded as an
endogenous amphetamine. The rate limiting step of the deamination was simulated
at the multiscale level, employing the Empirical Valence Bond approach for the
quantum treatment of the involved valence states, whereas the environment
(solvated protein) was represented with a classical force field. A comparison of
the reaction free energy profiles delivered by simulation of the reaction in the
wild type MAO B and its Y326I mutant yields an increase in the barrier by 1.06
kcal mol-1 upon mutation, corresponding to a roughly 6-fold decrease in the
reaction rate. This is in excellent agreement with the experimental kinetic
studies. Inspection of simulation trajectories reveals possible sources of the
point mutation effect, namely vanishing favorable electrostatic interactions
between PEA and a Tyr326 side chain and an increased amount of water molecules at
the active site due to the replacement of tyrosine by a less spacious isoleucine
residue, thereby increasing the dielectric shielding of the catalytic environment
provided by the enzyme.
PMID- 29360123
TI - Health effects of wind turbines in working environments - a scoping review.
AB - Objectives The wind industry is a growing economic sector, yet there is no
overview summarizing all exposures emanating from wind turbines throughout their
life cycle that may pose a risk for workers' health. The aim of this scoping
review was to survey and outline the body of evidence around the health effects
of wind turbines in working environments in order to identify research gaps and
to highlight the need for further research. Methods A scoping review with a
transparent and systematic procedure was conducted using a comprehensive search
strategy. Two independent reviewers conducted most of the review steps. Results
Twenty articles of varying methodical quality were included. Our findings of the
included studies indicate that substances used in rotor blade manufacture (epoxy
resin and styrene) cause skin disorders, and respectively, respiratory ailments
and eye complaints; exposure to onshore wind turbine noise leads to annoyance,
sleep disorders, and lowered general health; finally working in the wind industry
is associated with a considerable accident rate, resulting in injuries or
fatalities. Conclusions Due to the different work activities during the life
cycle of a wind turbine and the distinction between on- and offshore work, there
are no specific overall health effects of working in the wind sector. Previous
research has primarily focused on evaluating the effects of working in the wind
industry on skin disorders, accidents, and noise consequences. There is a need
for further research, particularly in studying the effect of wind turbine work on
psychological and musculoskeletal disorders, work-related injury and accident
rates, and health outcomes in later life cycle phases.
PMID- 29360124
TI - ?
PMID- 29360125
TI - ?
PMID- 29360127
TI - ?
PMID- 29360126
TI - ?
PMID- 29360128
TI - ?
PMID- 29360130
TI - ?
PMID- 29360129
TI - ?
PMID- 29360131
TI - ?
PMID- 29360132
TI - ?
AB - The ABC of atrial fibrillation at the emergency care department Atrial
fibrillation (AF) is the most common tachyarrhythmia. When handling patients with
AF at the emergency care department it is of utmost importance to take a
structural approach, make the right diagnosis, take care of the risk and/or
trigger factors, treat and make sure there is an adequate follow-up.
PMID- 29360133
TI - ?
AB - Correct electrode placement - a prerequisite for correct ECG interpretation
Different lead misplacements may present with typical ECG changes, which may
influence the management of the patient, if not identified and corrected. It is
important, both for the ECG technician and for the interpreting physician, to
recognize typical patterns of lead misplacement to avoid misinterpretation of the
ECG.
PMID- 29360134
TI - ?
AB - The understanding of health inequalities requires further development of
epidemiological capacity and must have a broad focus on social determinants of
health The article by Agardh et al (1) in this issue of Lakartidningen compares
the trend of population health in Stockholm county versus the rest of the
country. The article represents an important attempt to monitor and understand
the driving forces of geographical inequalities in health. However, such
inequalities also depend heavily on migration patterns and how these are linked
to social determinants of health. Therefore it is important to include
determinants from a broad range of policy sectors (e.g. education, labour market,
family welfare, as well as health care services) in order to interpret the deeper
causes of geographic inequalities in health. Monitoring population health and
health inequalities should be linked to a general follow-up of Agenda 2030 and a
sustainable development.
PMID- 29360135
TI - ?
AB - Falsely elevated plasma creatinine due to monoclonal gammopathy Analytical
interference may give rise to falsely elevated as well as reduced clinical
biochemical results. Hemolysis, icterus and lipemia in patient samples are well
known causes of analytical interference. Laboratories usually automatically check
for these interferences and take them into account. However, other causes of
interference are more difficult to detect, such as those caused by heterophilic
antibodies and in this case a falsely elevated plasma creatinine level caused by
a monoclonal IgM gammopathy. Analytical interference should be considered in
cases with unexpected laboratory results and inconsistent pattern of results, in
which contact and discussion with the laboratory is advised.
PMID- 29360136
TI - ?
AB - Previous studies in Sweden have focused on a number of indicators to assess and
compare health conditions at regional levels over time. In this study we aimed to
give a more complete picture of the health situation in Stockholm County compared
to the rest of Sweden, by using the DALY measure (disability-adjusted life
years). DALY combines life lost to premature death (YLL) and years lived with
disability (YLD) in one measure, and also allow comparisons of fatal and non
fatal conditions. This approach reveals that low back and neck pain and ischemic
heart disease dominated the disease burden in 2015. Moreover, the health progress
in Stockholm County has been better than the rest of Sweden since 1990, and the
main reason is the decrease in premature death (YLL). This can partly be
explained by a decrease in risk factors such as unhealthy diets, high blood
pressure, tobacco smoking, high BMI and physical inactivity. The development of
YLD has been relatively constant since 1990 in both Stockholm County and the rest
of Sweden, implying that Sweden has been more successful in preventing death than
reducing disability.
PMID- 29360137
TI - cHCC-CCA: Consensus terminology for primary liver carcinomas with both
hepatocytic and cholangiocytic differentation.
AB - : Primary liver carcinomas with both hepatocytic and cholangiocytic
differentiation have been referred to as "combined (or mixed) hepatocellular
cholangiocarcinoma." These tumors, although described over 100 years ago, have
attracted greater attention recently because of interest in possible stem cell
origin and perhaps because of greater frequency and clinical recognition.
Currently, because of a lack of common terminology in the literature, effective
treatment and predictable outcome data have been challenging to accrue. This
article represents a consensus document from an international community of
pathologists, radiologists, and clinicians who have studied and reported on these
tumors and recommends a working terminology for diagnostic and research
approaches for further study and evaluation. CONCLUSION: It is recommended that
diagnosis is based on routine histopathology with hematoxylin and eosin (H&E);
immunostains are supportive, but not essential for diagnosis. (Hepatology
2018;68:113-126).
PMID- 29360138
TI - Interventions to reduce acute and late adverse gastrointestinal effects of pelvic
radiotherapy for primary pelvic cancers.
AB - BACKGROUND: An increasing number of people survive cancer but a significant
proportion have gastrointestinal side effects as a result of radiotherapy (RT),
which impairs their quality of life (QoL). OBJECTIVES: To determine which
prophylactic interventions reduce the incidence, severity or both of adverse
gastrointestinal effects among adults receiving radiotherapy to treat primary
pelvic cancers. SEARCH METHODS: We conducted searches of CENTRAL, MEDLINE, and
Embase in September 2016 and updated them on 2 November 2017. We also searched
clinical trial registries. SELECTION CRITERIA: We included randomised controlled
trials (RCTs) of interventions to prevent adverse gastrointestinal effects of
pelvic radiotherapy among adults receiving radiotherapy to treat primary pelvic
cancers, including radiotherapy techniques, other aspects of radiotherapy
delivery, pharmacological interventions and non-pharmacological interventions.
Studies needed a sample size of 20 or more participants and needed to evaluate
gastrointestinal toxicity outcomes. We excluded studies that evaluated dosimetric
parameters only. We also excluded trials of interventions to treat acute
gastrointestinal symptoms, trials of altered fractionation and dose escalation
schedules, and trials of pre- versus postoperative radiotherapy regimens, to
restrict the vast scope of the review. DATA COLLECTION AND ANALYSIS: We used
standard Cochrane methodology. We used the random-effects statistical model for
all meta-analyses, and the GRADE system to rate the certainty of the evidence.
MAIN RESULTS: We included 92 RCTs involving more than 10,000 men and women
undergoing pelvic radiotherapy. Trials involved 44 different interventions,
including radiotherapy techniques (11 trials, 4 interventions/comparisons), other
aspects of radiotherapy delivery (14 trials, 10 interventions), pharmacological
interventions (38 trials, 16 interventions), and non-pharmacological
interventions (29 trials, 13 interventions). Most studies (79/92) had design
limitations. Thirteen studies had a low risk of bias, 50 studies had an unclear
risk of bias and 29 studies had a high risk of bias. Main findings include the
following:Radiotherapy techniques: Intensity-modulated radiotherapy (IMRT) versus
3D conformal RT (3DCRT) may reduce acute (risk ratio (RR) 0.48, 95% confidence
interval (CI) 0.26 to 0.88; participants = 444; studies = 4; I2 = 77%; low
certainty evidence) and late gastrointestinal (GI) toxicity grade 2+ (RR 0.37,
95% CI 0.21 to 0.65; participants = 332; studies = 2; I2 = 0%; low-certainty
evidence). Conformal RT (3DCRT or IMRT) versus conventional RT reduces acute GI
toxicity grade 2+ (RR 0.57, 95% CI 0.40 to 0.82; participants = 307; studies = 2;
I2 = 0%; high-certainty evidence) and probably leads to less late GI toxicity
grade 2+ (RR 0.49, 95% CI 0.22 to 1.09; participants = 517; studies = 3; I2 =
44%; moderate-certainty evidence). When brachytherapy (BT) is used instead of
external beam radiotherapy (EBRT) in early endometrial cancer, evidence indicates
that it reduces acute GI toxicity (grade 2+) (RR 0.02, 95% CI 0.00 to 0.18;
participants = 423; studies = 1; high-certainty evidence).Other aspects of
radiotherapy delivery: There is probably little or no difference in acute GI
toxicity grade 2+ with reduced radiation dose volume (RR 1.21, 95% CI 0.81 to
1.81; participants = 211; studies = 1; moderate-certainty evidence) and maybe no
difference in late GI toxicity grade 2+ (RR 1.02, 95% CI 0.15 to 6.97;
participants = 107; studies = 1; low-certainty evidence). Evening delivery of RT
may reduce acute GI toxicity (diarrhoea) grade 2+ during RT compared with morning
delivery of RT (RR 0.51, 95% CI 0.34 to 0.76; participants = 294; studies = 2; I2
= 0%; low-certainty evidence). There may be no difference in acute (RR 2.22, 95%
CI 0.62 to 7.93, participants = 110; studies = 1) and late GI toxicity grade 2+
(RR 0.44, 95% CI 0.12 to 1.65; participants = 81; studies = 1) between a bladder
volume preparation of 1080 mls and that of 540 mls (low-certainty evidence). Low
certainty evidence on balloon and hydrogel spacers suggests that these
interventions for prostate cancer RT may make little or no difference to GI
outcomes.Pharmacological interventions: Evidence for any beneficial effects of
aminosalicylates, sucralfate, amifostine, corticosteroid enemas, bile acid
sequestrants, famotidine and selenium is of a low or very low certainty. However,
evidence on certain aminosalicylates (mesalazine, olsalazine), misoprostol
suppositories, oral magnesium oxide and octreotide injections suggests that these
agents may worsen GI symptoms, such as diarrhoea or rectal bleeding.Non
pharmacological interventions: Low-certainty evidence suggests that protein
supplements (RR 0.23, 95% CI 0.07 to 0.74; participants = 74; studies = 1),
dietary counselling (RR 0.04, 95% CI 0.00 to 0.60; participants = 74; studies =
1) and probiotics (RR 0.43, 95% CI 0.22 to 0.82; participants = 923; studies = 5;
I2 = 91%) may reduce acute RT-related diarrhoea (grade 2+). Dietary counselling
may also reduce diarrhoeal symptoms in the long term (at five years, RR 0.05, 95%
CI 0.00 to 0.78; participants = 61; studies = 1). Low-certainty evidence from one
study (108 participants) suggests that a high-fibre diet may have a beneficial
effect on GI symptoms (mean difference (MD) 6.10, 95% CI 1.71 to 10.49) and
quality of life (MD 20.50, 95% CI 9.97 to 31.03) at one year. High-certainty
evidence indicates that glutamine supplements do not prevent RT-induced
diarrhoea. Evidence on various other non-pharmacological interventions, such as
green tea tablets, is lacking.Quality of life was rarely and inconsistently
reported across included studies, and the available data were seldom adequate for
meta-analysis. AUTHORS' CONCLUSIONS: Conformal radiotherapy techniques are an
improvement on older radiotherapy techniques. IMRT may be better than 3DCRT in
terms of GI toxicity, but the evidence to support this is uncertain. There is no
high-quality evidence to support the use of any other prophylactic intervention
evaluated. However, evidence on some potential interventions shows that they
probably have no role to play in reducing RT-related GI toxicity. More RCTs are
needed for interventions with limited evidence suggesting potential benefits.
PMID- 29360139
TI - Hepatic stellate cell-derived platelet-derived growth factor receptor-alpha
enriched extracellular vesicles promote liver fibrosis in mice through SHP2.
AB - : Liver fibrosis is characterized by the activation and migration of hepatic
stellate cells (HSCs), followed by matrix deposition. Recently, several studies
have shown the importance of extracellular vesicles (EVs) derived from liver
cells, such as hepatocytes and endothelial cells, in liver pathobiology. While
most of the studies describe how liver cells modulate HSC behavior, an important
gap exists in the understanding of HSC-derived signals and more specifically HSC
derived EVs in liver fibrosis. Here, we investigated the molecules released
through HSC-derived EVs, the mechanism of their release, and the role of these
EVs in fibrosis. Mass spectrometric analysis showed that platelet-derived growth
factor (PDGF) receptor-alpha (PDGFRalpha) was enriched in EVs derived from PDGF
BB-treated HSCs. Moreover, patients with liver fibrosis had increased PDGFRalpha
levels in serum EVs compared to healthy individuals. Mechanistically, in vitro
tyrosine720-to-phenylalanine mutation on the PDGFRalpha sequence abolished
enrichment of PDGFRalpha in EVs and redirected the receptor toward degradation.
Congruently, the inhibition of Src homology 2 domain tyrosine phosphatase 2, the
regulatory binding partner of phosphorylated tyrosine720, also inhibited
PDGFRalpha enrichment in EVs. EVs derived from PDGFRalpha-overexpressing cells
promoted in vitro HSC migration and in vivo liver fibrosis. Finally,
administration of Src homology 2 domain tyrosine phosphatase 2inhibitor, SHP099,
to carbon tetrachloride-administered mice inhibited PDGFRalpha enrichment in
serum EVs and reduced liver fibrosis. CONCLUSION: PDGFRalpha is enriched in EVs
derived from PDGF-BB-treated HSCs in an Src homology 2 domain tyrosine
phosphatase 2-dependent manner and these PDGFRalpha-enriched EVs participate in
development of liver fibrosis. (Hepatology 2018;68:333-348).
PMID- 29360140
TI - Effects of Survey Mode on Consumer Assessment of Healthcare Providers and Systems
(CAHPS) Hospice Survey Scores.
AB - OBJECTIVES: To examine the effect of mode of survey administration on response
rates and response tendencies for the Consumer Assessment of Healthcare Providers
and Systems (CAHPS) Hospice Survey and develop appropriate adjustments. DESIGN:
Survey response data were obtained after sampling and fielding of the CAHPS
Hospice Survey in 2015. Sampled caregivers and decedents were randomized to one
of three modes: mail only, telephone only, and mixed mode (mail with telephone
follow-up). Linear regression analysis was used to examine the effect of mode on
individual responses to questions (6 composite measures and 2 global measures
that examine hospice quality). SETTING: U.S. hospice programs (N = 57).
PARTICIPANTS: Primary caregivers of individuals who died in hospice (N = 7,349).
MEASUREMENTS: Outcomes were 8 hospice quality measures (6 composite measures, 2
global measures). Analyses were adjusted for differences in case-mix (e.g.,
decedent age, payer for hospice care, primary diagnosis, length of final episode
of hospice care, respondent age, respondent education, relationship of decedent
to caregiver, survey language, and language spoken at home) between hospices.
RESULTS: Response rates were 42.6% for those randomized to mail only, 37.9%, for
those randomized to telephone only, and 52.6% for those randomized to mixed mode
(P < .001 for difference). There were significant mode effects (P < .05) for 10
of the 24 questions that compose the quality measures, with mail-only respondents
being significantly more likely to report better experiences than telephone-only
respondents. CONCLUSION: Unlike results observed in previous mode experiments for
hospital CAHPS, hospice primary caregivers tend to respond more negatively by
telephone than by mail. Valid comparisons of hospice performance require that
reported hospice scores be adjusted for survey mode.
PMID- 29360142
TI - Baseline ICIQ-UI score, body mass index, age, average birth weight, and
perineometry duration as promising predictors of the short-term efficacy of
Er:YAG laser treatment in stress urinary incontinent women: A prospective cohort
study.
AB - BACKGROUND AND OBJECTIVE: A growing body of evidence indicates that a non
invasive erbium yttrium-aluminum-garnet (Er:YAG) laser may be an effective and
highly tolerable treatment for stress urinary incontinence (SUI) in women. The
primary objective was to identify pre-intervention predictors of short-term
Er:YAG outcomes. The secondary objective was to identify patient segments with
the best Er:YAG laser treatment short-term outcomes. METHODS: A prospective
cohort study performed in 2016 at Ob/Gyn Clinic, Zagreb, Croatia, recruited 85
female patients who suffered from SUI. The intervention was performed with a 2940
nm wave length Er:YAG laser (XS Dynamis, Fotona, Slovenia). Outcomes were
absolute change in the International Consultation on Incontinence Questionnaire
Short Form (ICIQ-UI SF) and a relative decrease in ICIQ-UI score of >=30% 2-6
months after the intervention. RESULTS: Age and pre-intervention ICIQ-UI values
were independent significant predictors of laser treatment efficacy for SUI. A
decrease in ICIQ-UI score (minimum important difference, MID) of >=30% was
independently significantly associated with body mass index and ICIQ-UI values
before the intervention. All patients with four or five positive predictors saw a
clinically relevant decrease in ICIQ-UI of >=30%. The total accuracy of the
predictive model defined by the area under the curve was 0.83 (95%CI 0.74-0.91).
At the cut-off >=3 positive predictors, C-index was 0.80 (95%CI 0.71-0.90),
positive predictive value was 0.97 (95%CI 0.87-0.99), and negative predictive
value was 0.53 (95%CI 0.45-0.55). CONCLUSIONS: A relevant decrease in ICIQ-UI
(MID) of >=30% can be predicted based on age, body mass index, average birth
weight, perineometer squeeze duration, and ICIQ-UI scores before the
intervention. The association between Q-tip test and treatment outcome was
moderated by age. Q-tip was a significant predictor for patients between 44 and
53 years of age. The best results should be expected in younger women with a body
mass index of <=23.3, average birth weight of >3.6 kg, ICIQ-UI at a baseline of
<=10, and perineometer squeeze duration at a baseline of >=3.51 seconds. The
critical age for Er:YAG laser effect is 47.5 years. Lasers Surg. Med. (c) 2018
Wiley Periodicals, Inc.
PMID- 29360141
TI - Antenatal corticosteroids: a retrospective cohort study on timing, indications
and neonatal outcome.
AB - INTRODUCTION: An antenatal corticosteroid (ACS) delivery interval of 24 h to
seven days is commonly referred to as optimal timing. We aimed to investigate
whether the ACS delivery interval was associated with the obstetric indication
for treatment and with neonatal complications. MATERIAL AND METHODS: The study
was a retrospective chart review of clinical data from preterm neonates delivered
at the Skane University Hospital, Lund University, Sweden, from 1 January 2013 to
31 December 2016. The ACS delivery intervals were compared between groups of
women with various clinical scenarios and related to neonatal outcomes. RESULTS:
The study included 498 preterm neonates from 431 women. One to seven days before
delivery, 41% of the women received ACS. Women with preterm prelabor rupture of
membranes or vaginal bleeding had a median ACS delivery interval of 7.5 and eight
days, respectively, compared with women with maternal/fetal indications or
preterm labor (three and two days, respectively) (p < 0.001). Neonates with an
ACS delivery interval of more than seven days were at a higher risk of
respiratory distress syndrome [odds ratio (OR) 2.00, 95% confidence interval (CI)
1.05-3.79] and moderate or severe bronchopulmonary dysplasia (OR 2.78, 95% CI
1.45-5.33) than were neonates with an ACS delivery interval of one to seven days.
CONCLUSION: Optimal timing of ACS treatment varied significantly based on the
clinical indication. Women with preterm prelabor rupture of membranes or vaginal
bleeding were more likely to have an ACS delivery interval of more than seven
days. A prolonged ACS delivery interval was associated with an increased risk of
neonatal respiratory morbidity and a prolonged stay in the neonatal care unit,
but not with neonatal mortality.
PMID- 29360143
TI - Long-term prognostic value of combined free triiodothyronine and late gadolinium
enhancement in nonischemic dilated cardiomyopathy.
AB - BACKGROUND: Thyroid dysfunction and myocardial fibrosis are both associated with
cardiovascular events in patients with dilated cardiomyopathy (DCM). HYPOTHESIS:
The combination of thyroid hormone (TH) and myocardial fibrosis (detected by late
gadolinium enhancement [LGE]) is an independent and incremental predictor of
adverse events in DCM. METHODS: We consecutively enrolled 220 idiopathic DCM
patients with thyroid function and LGE assessment at Fuwai Hospital (China) from
January 2010 to October 2011 and followed up through December 2015. Patients were
divided into 4 groups according to the presence or absence of LGE and FT3 value
(median level of 2.79 pg/mL): LGE-positive + FT3 < 2.79 pg/mL, LGE-positive + FT3
>= 2.79 pg/mL, LGE-negative + FT3 < 2.79 pg/mL, and LGE-negative + FT3 >= 2.79
pg/mL. RESULTS: During a median follow-up of 61 months, 56 patients (25.5%) died,
with 27/56 (48.2%), 8/45 (17.8%), 12/54 (22.2%), and 9/65 (13.8%) among 4 groups
(P = 0.009), respectively. Multivariable Cox regression analysis identified LGE
positive and FT3 < 2.79 pg/mL as a significant independent predictor of all-cause
mortality (hazard ratio: 2.893, 95% confidence interval: 1.323-6.326, P = 0.008).
Combining the predictive value of FT3 and LGE status significantly improved risk
reclassification for all-cause mortality, as indicated by the net
reclassification improvement (0.28; P = 0.005) and integrated discrimination
improvement (0.058; P = 0.001). CONCLUSIONS: The findings suggest that the
combination of FT3 and LGE yielded a more accurate predictive value for long-term
prognosis in patients with DCM, which may improve patient selection for intensive
interventions.
PMID- 29360144
TI - Agreement between coding schemas used to identify bleeding-related
hospitalizations in claims analyses of nonvalvular atrial fibrillation patients.
AB - BACKGROUND: Schemas to identify bleeding-related hospitalizations in claims data
differ in billing codes used and coding positions allowed. We assessed agreement
across bleeding-related hospitalization coding schemas for claims analyses of
nonvalvular atrial fibrillation (NVAF) patients on oral anticoagulation (OAC).
HYPOTHESIS: We hypothesized that prior coding schemas used to identify bleeding
related hospitalizations in claim database studies would provide varying levels
of agreement in incidence rates. METHODS: Within MarketScan data, we identified
adults, newly started on OAC for NVAF from January 2012 to June 2015. Billing
code schemas developed by Cunningham et al., the US Food and Drug Administration
(FDA) Mini-Sentinel program, and Yao et al. were used to identify bleeding
related hospitalizations as a surrogate for major bleeding. Bleeds were
subcategorized as intracranial hemorrhage (ICH), gastrointestinal (GI), or other.
Schema agreement was assessed by comparing incidence, rates of events/100 person
years (PYs), and Cohen's kappa statistic. RESULTS: We identified 151 738 new
users of OAC with NVAF (CHA2DS2-VASc score = 3, [interquartile range = 2-4] and
median HAS-BLED score = 3 [interquartile range = 2-3]). The Cunningham, FDA Mini
Sentinel, and Yao schemas identified any bleeding-related hospitalizations in
1.87% (95% confidence interval [CI]: 1.81-1.94), 2.65% (95% CI: 2.57-2.74), and
4.66% (95% CI: 4.55-4.76) of patients (corresponding rates = 3.45, 4.90, and 8.65
events/100 PYs). Kappa agreement across schemas was weak-to-moderate (kappa =
0.47-0.66) for any bleeding hospitalization. Near-perfect agreement (kappa =
0.99) was observed with the FDA Mini-Sentinel and Yao schemas for ICH-related
hospitalizations, but agreement was weak when comparing Cunningham to FDA Mini
Sentinel or Yao (kappa = 0.52-0.53). FDA Mini-Sentinel and Yao agreement was
moderate (kappa = 0.62) for GI bleeding, but agreement was weak when comparing
Cunningham to FDA Mini-Sentinel or Yao (kappa = 0.44-0.56). For other bleeds,
agreement across schemas was minimal (kappa = 0.14-0.38). CONCLUSIONS: We
observed varying levels of agreement among 3 bleeding-related hospitalizations
schemas in NVAF patients.
PMID- 29360145
TI - Bile acids stimulate cholangiocyte fluid secretion by activation of transmembrane
member 16A Cl- channels.
AB - : Bile acids stimulate a bicarbonate-rich choleresis, in part, through effects on
cholangiocytes. Because Cl- channels in the apical membrane of cholangiocytes
provide the driving force for secretion and transmembrane member 16A (TMEM16A)
has been identified as the Ca2+ -activated Cl- channel in the apical membrane of
cholangiocytes, the aim of the present study was to determine whether TMEM16A is
the target of bile-acid-stimulated Cl- secretion and to identify the regulatory
pathway involved. In these studies of mouse, rat, and human biliary epithelium
exposure to ursodeoxycholic acid (UDCA) or tauroursodeoxycholic acid (TUDCA)
rapidly increased the rate of exocytosis, ATP release, [Ca2+ ]i , membrane Cl-
permeability, and transepithelial secretion. Bile-acid-stimulated Cl- currents
demonstrated biophysical properties consistent with TMEM16A and were inhibited by
pharmacological or molecular (small-interfering RNA; siRNA) inhibition of
TMEM16A. Bile acid-stimulated Cl- currents were not observed in the presence of
apyrase, suramin, or 2-aminoethoxydiphenyl borate (2-APB), demonstrating that
current activation requires extracellular ATP, P2Y, and inositol 1,4,5
trisphosphate (IP3) receptors. TUDCA did not activate Cl- currents during
pharmacologic inhibition of the apical Na+ -dependent bile acid transporter
(ASBT), but direct intracellular delivery of TUDCA rapidly activated Cl-
currents. CONCLUSION: Bile acids stimulate Cl- secretion in mouse and human
biliary cells through activation of membrane TMEM16A channels in a process
regulated by extracellular ATP and [Ca2+ ]i . These studies suggest that TMEM16A
channels may be targets to increase bile flow during cholestasis. (Hepatology
2018;68:187-199).
PMID- 29360147
TI - Carcinocythemia: First report in a cat and literature review.
AB - A 6-year-old female neutered European Shorthair cat was presented with a 2-day
history of lethargy and hyporexia. On physical examination, the cat was slightly
depressed and had a 2.5 cm nodule in the left 3rd mammary gland. The hemogram
revealed mild leukocytosis with mature neutrophilia and moderate
thrombocytopenia. On blood smear evaluation, rare pleomorphic cells, possibly of
epithelial origin, were observed mainly at the feathered edge. The animal died
about 12 hours after presentation, and a necropsy was performed. On
histopathology, the mammary nodule was diagnosed as a tubulopapillary
adenocarcinoma with vascular invasion and widespread metastases.
Immunocytochemical tests for cytokeratins (AE1/AE3) confirmed the epithelial
phenotype of the neoplastic cells observed on the blood smear. The present report
describes a feline mammary carcinoma with widespread metastases and the presence
of malignant epithelial cells in the peripheral blood referred to as
carcinocythemia. This condition has been previously described in people and dogs.
To the author's knowledge, this is the first reported case of feline
carcinocythemia. As in other species, the phenomenon was associated with a
terminal phase of systemic malignancy.
PMID- 29360148
TI - Na+ ,K+ /H+ antiporters regulate the pH of endoplasmic reticulum and auxin
mediated development.
AB - AtNHX5 and AtNHX6 are endosomal Na+ ,K+ /H+ antiporters that are critical for
growth and development in Arabidopsis, but the mechanism behind their action
remains unknown. Here, we report that AtNHX5 and AtNHX6, functioning as H+ leak,
control auxin homeostasis and auxin-mediated development. We found that nhx5 nhx6
exhibited growth variations of auxin-related defects. We further showed that nhx5
nhx6 was affected in auxin homeostasis. Genetic analysis showed that AtNHX5 and
AtNHX6 were required for the function of the endoplasmic reticulum (ER)-localized
auxin transporter PIN5. Although AtNHX5 and AtNHX6 were colocalized with PIN5 at
ER, they did not interact directly. Instead, the conserved acidic residues in
AtNHX5 and AtNHX6, which are essential for exchange activity, were required for
PIN5 function. AtNHX5 and AtNHX6 regulated the pH in ER. Overall, AtNHX5 and
AtNHX6 may regulate auxin transport across the ER via the pH gradient created by
their transport activity. H+ -leak pathway provides a fine-tuning mechanism that
controls cellular auxin fluxes.
PMID- 29360149
TI - Audiovisual biofeedback improves the correlation between internal/external
surrogate motion and lung tumor motion.
AB - PURPOSE: Breathing management can reduce breath-to-breath (intrafraction) and day
by-day (interfraction) variability in breathing motion while utilizing the
respiratory motion of internal and external surrogates for respiratory guidance.
Audiovisual (AV) biofeedback, an interactive personalized breathing motion
management system, has been developed to improve reproducibility of intra- and
interfraction breathing motion. However, the assumption of the correlation of
respiratory motion between surrogates and tumors is not always verified during
medical imaging and radiation treatment. Therefore, the aim of the study was to
test the hypothesis that the correlation of respiratory motion between surrogates
and tumors is the same under free breathing without guidance (FB) and with AV
biofeedback guidance for voluntary motion management. METHODS: For 13 lung cancer
patients receiving radiotherapy, 2D coronal and sagittal cine-MR images were
acquired across two MRI sessions (pre- and mid-treatment) with two breathing
conditions: (a) FB and (b) AV biofeedback, totaling 88 patient measurements.
Simultaneously, the external respiratory motion of the abdomen was measured. The
internal respiratory motion of the diaphragm and lung tumor was retrospectively
measured from 2D coronal and sagittal cine-MR images. The correlation of
respiratory motion between surrogates and tumors was calculated using Pearson's
correlation coefficient for: (a) abdomen to tumor (abdomen-tumor) and (b)
diaphragm to tumor (diaphragm-tumor). The correlations were compared between FB
and AV biofeedback using several metrics: abdomen-tumor and diaphragm-tumor
correlations with/without >=5 mm tumor motion range and with/without adjusting
for phase shifts between the signals. RESULTS: Compared to FB, AV biofeedback
improved abdomen-tumor correlation by 11% (p = 0.12) from 0.53 to 0.59 and
diaphragm-tumor correlation by 13% (p = 0.02) from 0.55 to 0.62. Compared to FB,
AV biofeedback improved abdomen-tumor correlation by 17% (p = 0.01) and diaphragm
tumor correlation by 15% (p < 0.01) while correcting 0.3 s (p = 0.54) and 0.2 s
(p = 0.19) phase shifts, respectively. In addition, AV biofeedback with >=5 mm
tumor motion range, compared to FB improved abdomen-tumor correlation by 14% (p =
0.18) and diaphragm-tumor correlation by 17% (p = 0.01). The highest abdomen
tumor and diaphragm-tumor correlations were found using >=5 mm tumor motion range
and phase shifts, resulting in a 12% improvement in AV biofeedback. CONCLUSIONS:
Our results demonstrated that AV biofeedback improves the correlation of
respiratory motion between surrogates and the tumor. This suggests a need for AV
biofeedback for respiratory guidance utilizing respiratory surrogates during
image-guided and MRI-guided radiotherapy in thoracic regions.
PMID- 29360150
TI - Correcting TG 119 confidence limits.
AB - PURPOSE: Task Group 119 (TG-119) has been adopted for evaluating the adequacy of
intensity-modulated radiation therapy (IMRT) commissioning and for establishing
patient-specific IMRT quality assurance (QA) passing criteria in clinical
practice. TG-119 establishes 95% confidence limits (CLs), which help clinics
identify systematic IMRT QA errors and identify outliers. In TG-119, the 95% CLs
are established by fitting the Gamma Gamma analysis passing rate results to an
assumed distribution, then calculating the limit in which 95% of the data fall.
CLs for a given dataset will depend greatly on the type of distribution used, and
those determined by following the TG-119 guidelines are only valid if the
underlying data follows a Gaussian distribution. Gaussian distributions assume
symmetry about the mean, which would imply the possibility of negative Gamma
analysis failing rates. This study demonstrates that the gamma distribution is a
more reasonable assumption for establishing CLs than the Gaussian distribution
used in TG-119. Thus, the gamma distribution is suggested as a replacement to the
conventional Gaussian statistical model used in TG-119. MATERIALS AND METHODS:
The moments estimator (ME) for the gamma family is used to obtain the CLs of the
failing rates for all Gamma analysis criteria. To demonstrate the congruence of
the gamma distribution, the root mean squared error and the CL values for the MEs
of the gamma and the Gaussian families were compared. RESULTS: In this study, the
empirical 95% CLs generated using 302 plans represent the ground truth, which
resulted in a 91.83% passing rate using 3%/3 mm error local criteria. The gamma
distribution underestimates the 95% CL by 0.09%, while the Gaussian distribution
overestimates the 95% CL by 4.12%. CONCLUSIONS: Although IMRT QA equipment may
vary between clinics, the mathematical formalism presented in this study applies
to any combination of planning and delivery systems. This study has demonstrated
that a gamma distribution should be favored over a Gaussian distribution when
establishing CLs for IMRT QA.
PMID- 29360151
TI - Compton scatter imaging: A promising modality for image guidance in lung
stereotactic body radiation therapy.
AB - PURPOSE: Lung stereotactic body radiation therapy (SBRT) requires delivering
large radiation doses with millimeter accuracy, making image guidance essential.
An approach to forming images of patient anatomy from Compton-scattered photons
during lung SBRT is presented. METHODS: To investigate the potential of scatter
imaging, a pinhole collimator and flat-panel detector are used for spatial
localization and detection of photons scattered during external beam therapy
using lung SBRT treatment conditions (6 MV FFF beam). MCNP Monte Carlo software
is used to develop a model to simulate scatter images. This model is validated by
comparing experimental and simulated phantom images. Patient scatter images are
then simulated from 4DCT data. RESULTS: Experimental lung tumor phantom images
have sufficient contrast-to-noise to visualize the tumor with as few as 10 MU
(0.5 s temporal resolution). The relative signal intensity from objects of
different composition as well as lung tumor contrast for simulated phantom images
agree quantitatively with experimental images, thus validating the Monte Carlo
model. Scatter images are shown to display high contrast between different
materials (lung, water, bone). Simulated patient images show superior (~double)
tumor contrast compared to MV transmission images. CONCLUSIONS: Compton scatter
imaging is a promising modality for directly imaging patient anatomy during
treatment without additional radiation, and it has the potential to complement
existing technologies and aid tumor tracking and lung SBRT image guidance.
PMID- 29360154
TI - Monte Carlo analysis of beam blocking grid design parameters: Scatter estimation
and the importance of electron backscatter.
AB - PURPOSE: Beam blocking grids provide a simple and direct measurement of the
scattered photon signal which degrades image quality in x-ray imaging systems,
such as cone-beam CT (CBCT). This study evaluates the scatter estimation accuracy
of the beam blocking method to optimize the design parameters of the grid system
(e.g., grid thickness, source-to-grid distance (SGD), septa width, air
interspace, and grid ratio) using Monte Carlo (MC) simulations. METHOD: A MC
model of a CBCT imaging system with a beam blocking grid in place is made using
code based on EGSnrc, with the x-ray tube portion of the simulation including
electron backscatter between the anode and cathode. The inclusion of the electron
backscatter allowed a more complete model of the contamination signal to be
estimated. The contamination signal consists of the off-focal radiation (OFR) and
source component scatter (photon scatter in source components such as tube
housing, filters, and collimators). The MC model was validated against
measurements collected on a bench top imaging system with a grid in place. The MC
model was used to simulate 11 different grid design configurations in addition to
a case with no grid. For each design a simulated projection with and without a
phantom in place was computed. The simulated projections were then used to
estimate the scatter and contamination portion of the signal using the signal
behind the grid septa. The estimated signals from the grid data were compared to
the actual signals labeled during the MC simulation. RESULTS: Simulated results
showed good agreeance with measured results with the importance of including
electron backscatter resulting in off-focal radiation in the simulation being
highlighted. When the source was free of contamination photons all grids
performed with an error less than 8% when estimating just the scatter from the
object. When the contamination photons were included in the simulation, the error
in estimating both the scatter and contamination signal rose by a factor of 4 on
average. In the case when both signals are present, increasing the grid
thickness, changing the SGD, and reducing septa width and air interspace sizes
all showed the ability to improve the grid-based estimates of the object scatter
and contamination portion signal. CONCLUSIONS: The inclusion of the contamination
signal in MC simulations of x-ray imaging systems is important in the design,
validation, and evaluation of measurement-based scatter methods. Beam blocking
grids show potential not only in object scatter estimation but in the estimation
of the contamination signal, but appropriate interpolation functions must be used
to account for higher frequencies found in contamination signal.
PMID- 29360152
TI - Effect of Thermostable alpha-Amylase Addition on Producing the Porous-Structured
Noodles Using Extrusion Treatment.
AB - : Problems with rehydration and palatability are considered as unacceptable
quality characteristics for the noodles produced using high-strength extrusion
technique. Thus, the aim of this study was to solve these problems by designing a
novel method to create a porous structure for the high-strength extruded noodles
(HENs). The quality indices of HENs were significantly improved after adding to
them thermostable alpha-amylase (TalphaA) at 0.05% to 0.10%. The microstructure
graphs showed that a well-developed porous structures was successfully created
throughout noodle strands. This indicated that the TalphaA has effectively worked
on starch granules in spite of the high-strength performance of the extrusion
process. MALLS-GFC, X-ray diffraction, and differential scanning calorimeter
investigations showed that the appearance of a porous structure was mainly
attributed to the internal collapse of alpha-1,4-glycosidic bonds and the
dissolution of water-soluble degradation products, such as dextrin and
oligosaccharides. Moreover, the slight inhibited effect of excess TalphaA on the
starch gelatinization was because of the fact that the high enzyme concentration
might cause TalphaA to adhere or overlay on it. PRACTICAL APPLICATION: The
rehydration and palatability properties of HENs were greatly improved by creating
a well-developed or honeycomb-like porous structure using TalphaA at low
concentration. The findings of this study could be applied to enhance the quality
characteristics of HENs and to encourage the research and development in the
noodle industry.
PMID- 29360156
TI - Erratum.
PMID- 29360157
TI - Feasibility study of range-based registration using daily cone beam CT for
intensity-modulated proton therapy.
AB - PURPOSE: Proton dose coverage is sensitive to proton beam range. The current
practice of CT number-based registration for patient positioning focuses on
matching the target and is not sufficient for proton therapy because the proton
range depends on the medium traversed by the beam. Patient body deformations and
anatomical changes result in range deviation in the target. We propose proton
range-based registration to minimize the range deviation. METHODS: The range was
calculated from cone beam-computed tomography (CBCT) of the patient on couch, and
the range deviation was the difference of the calculated range from that on the
initial (day 1) CBCT. In the investigated prostate cases in which the main cause
of range deviation was the rotation of femur bones, and in the investigated
abdomen cases in which the main cause of range deviation was body growth and
anatomic change, our range-based registration was used to obtain the optimal beam
angle by minimizing the range deviation. The new angle was limited to be +/-5
degrees from that planned to prevent potentially increased dose to the organs at
risk. To demonstrate the benefit of range-based registration, we investigated the
range at the voxels on the surface of the target volume. The calculation error of
range deviation due to CBCT scatter was investigated by using solid water
phantoms with different thicknesses. Range-based registration using both CBCTs
and CTs was performed in cases of two patients with pelvic rhabdomyosarcoma and
one patient with upper abdominal tumor. The range was represented by the water
equivalent thickness to shorten the computation for online application purposes.
RESULTS: In the phantom study, the calculation error of range deviation due to
CBCT scatter was within 2 mm for a 1-cm thickness change (the mean range
deviation was 0.8 mm). In the CT study of the prostate cases, the range deviation
(mean +/- root-mean-square deviation) on the contour in each slice was
efficiently reduced from 3.6 +/- 2.8 mm to 2.1 +/- 1.4 mm, with most slices being
within 3 mm; in the CT study of the abdomen cases, the range deviation of the
whole set was reduced from 4.4 +/- 1.9 mm to 3.5 +/- 2.1 mm. Both the mean and
root-mean-square deviation of the range deviation on each treatment day were
decreased. The dose coverage on the target was improved and the dose on the OARs
was only slightly changed. CONCLUSION: Range-based registration can efficiently
mitigate range deviation due to patient positioning and anatomical changes. It
can shorten patient positioning time and reduce the patient's dose from CBCT.
PMID- 29360158
TI - Characteristics and Outcomes of Adult Inpatients With Malnutrition.
AB - BACKGROUND: The diagnosis of malnutrition remains controversial. Furthermore, it
is unknown if physician diagnosis of malnutrition impacts outcomes. We sought to
compare outcomes of patients with physician diagnosed malnutrition to patients
recognized as malnourished by registered dietitians (RDs), but not physicians,
and to describe the impact of each of 6 criteria on the diagnosis of
malnutrition. METHODS: We conducted a retrospective cohort study of adult
patients identified as meeting criteria for malnutrition. Pediatric, psychiatric,
maternity, and rehabilitation patients were excluded. Patient demographics,
clinical data, malnutrition type and criteria, nutrition interventions, and
outcomes were abstracted from the electronic medical record. RESULTS: RDs
identified malnutrition for 291 admissions during our study period. This
represents 4.1% of hospital discharges. Physicians only diagnosed malnutrition on
93 (32%) of these cases. Physicians diagnosed malnutrition in 43% of patients
with a body mass index <18.5 but only 26% of patients with body mass index higher
than 18.5. Patients with a physician diagnosis had a longer length of stay (mean
14.9 days vs 7.1 days) and were more likely to receive parenteral nutrition (PN)
(20.4% vs 4.6%). Of the patients, 62% had malnutrition due to chronic illness. Of
the 6 criteria used to identify malnourished patients, weight loss and reduced
energy intake were the most common. CONCLUSIONS: Malnutrition is underrecognized
by physicians. However, further research is needed to determine if physician
recognition and treatment of malnutrition can improve outcomes. The most
important criteria for identifying malnourished patients in our cohort were
weight loss and reduced energy intake.
PMID- 29360159
TI - Potent and selective pharmacodynamic synergy between the metabotropic glutamate
receptor subtype 2-positive allosteric modulator JNJ-46356479 and levetiracetam
in the mouse 6-Hz (44-mA) model.
AB - OBJECTIVE: We previously demonstrated that positive allosteric modulators (PAMs)
of metabotropic glutamate subtype 2 (mGlu2 ) receptors have potential synergistic
interactions with the antiseizure drug levetiracetam (LEV). The present study
utilizes isobolographic analysis to evaluate the combined administration of JNJ
46356479, a selective and potent mGlu2 PAM, with LEV as well as sodium valproate
(VPA) and lamotrigine (LTG). METHODS: The anticonvulsant efficacy of JNJ-46356479
was evaluated in the 6-Hz model of psychomotor seizures in mice. JNJ-46356479 was
administered in combination with LEV using 3 fixed dose-ratio treatment groups in
the mouse 6-Hz (44-mA) seizure test. The combination of JNJ-46356479 with LEV was
also evaluated in the mouse corneal kindling model. The potential interactions of
JNJ-46356479 with the antiseizure drugs VPA and LTG were also evaluated using
fixed dose-ratio combinations. Plasma levels were obtained for analysis of
potential pharmacokinetic interactions for each combination studied in the mouse
6-Hz model. RESULTS: JNJ-46356479 was active in the 6-Hz model at both 32-mA and
44-mA stimulus intensities (median effective dose = 2.8 and 10.2 mg/kg,
respectively). Using 1:1, 1:3, and 3:1 fixed dose-ratio combinations (LEV:JNJ
46356479), coadministration was significantly more potent than predicted for
additive effects, and plasma levels suggest this synergism was not due to
pharmacokinetic interactions. Studies in kindled mice further demonstrate the
positive pharmacodynamic interaction of LEV with JNJ-46356479. Using 1:1 dose
ratio combinations of JNJ-46356479 with either VPA or LTG, there were no
significant differences observed for coadministration. SIGNIFICANCE: These
studies demonstrate a synergistic interaction of JNJ-46356479 with LEV, whereas
no such effect occurred for JNJ-46356479 with either VPA or LTG. The synergy
seems therefore to be specific to LEV, and the combination LEV/mGlu2 PAM has the
potential to result in a rational polypharmacy approach to treat patients with
refractory epilepsy, once it has been confirmed in clinical studies.
PMID- 29360160
TI - Trends in multiple myeloma presentation, management, cost of care, and outcomes
in the Medicare population: A comprehensive look at racial disparities.
AB - BACKGROUND: Outcomes have improved significantly in multiple myeloma (MM), but
racial disparities in health care access and survival exist. A comprehensive
analysis exploring MM care and racial disparities is warranted. METHODS: Patients
with MM from 1991 to 2010 in the Surveillance, Epidemiology, and End Results
Medicare database were evaluated for racial trends in clinical myeloma-defining
events (MDEs), the receipt of treatment (drugs and stem cell transplantation;
[SCT]), the cost of care, and overall survival (OS). RESULTS: Among 35,842
patients, the frequency of all MDEs at diagnosis increased over time; whereas, in
recent years (2006-2010), all MDEs with the exception of renal dialysis
decreased. Blacks had highest rates for all MDEs except bone fractures, which
were highest in whites. Over time, the proportion of patients who received any
treatment, multiple agents, and SCT increased significantly, and the largest
increase was observed in the receipt of immunomodulatory drugs and steroids.
There was greater receipt of bortezomib and SCT among whites and blacks and
higher receipt of immunomodulatory drugs among Hispanics and Asians (P < .001).
Medicare claims were highest during first 6 months after MM diagnosis for blacks
and at any time after MM diagnosis for Hispanics. Over time, Medicare claims
increased most steadily for Hispanics (P < .001). Hypercalcemia, renal
dysfunction, and bone fractures were associated with inferior OS. Blacks and
Asians had superior OS compared with whites, but racial differences in OS became
less pronounced during 2006 through 2010 (P = .182) compared with prior years (P
< .01). Better OS was noted among patients who had higher median incomes.
CONCLUSIONS: The current results indicate that there have been significant
changes in the management of patients with MM over time and provide an in-depth
understanding of the factors that may help explain racial disparities. Cancer
2018;124:1710-21. (c) 2018 American Cancer Society.
PMID- 29360161
TI - Germline mutation prevalence in individuals with pancreatic cancer and a history
of previous malignancy.
AB - BACKGROUND: Approximately 10% of pancreatic adenocarcinoma (PC) cases are
attributed to hereditary causes. Individuals with PC and a personal history of
another cancer associated with hereditary breast and ovarian cancer (HBOC) or
Lynch syndrome (LS) may be more likely to carry germline mutations. METHODS:
Participants with PC and a history of cancer were selected from a pancreatic
disease registry. Of 1296 individuals with PC, 149 had a relevant history of
cancer. If banked DNA was available, a multigene panel was performed for
individuals who had not 1) previously had a mutation identified through clinical
testing or 2) undergone clinical multigene panel testing with no mutations
detected. RESULTS: Twenty-two of 124 individuals with PC and another HBOC- or LS
related cancer who underwent genetic testing had a mutation identified in a PC
susceptibility gene (18%). If prostate cancer is excluded, the mutation
prevalence increased to 23% (21/93). Mutation carriers were more likely to have
more than 1 previous cancer diagnosis (P = .001), to have had clinical genetic
testing (P = .001), and to meet National Comprehensive Cancer Network (NCCN)
genetic testing criteria (P < .001). Approximately 23% of mutation carriers did
not meet NCCN HBOC or LS testing guidelines based on their personal cancer
history and reported cancer history in first-degree relatives. CONCLUSION: At
least 18% of individuals with PC and a personal history of other HBOC- or LS
related cancers carry mutations in a PC susceptibility gene based on our data,
suggesting that criteria for genetic testing in individuals with PC should
include consideration of previous cancer history. Cancer 2018;124:1691-700. (c)
2018 American Cancer Society.
PMID- 29360162
TI - Bone marrow versus mobilized peripheral blood stem cells in haploidentical
transplants using posttransplantation cyclophosphamide.
AB - BACKGROUND: Incidence of graft-versus-host disease (GVHD) in haploidentical bone
marrow (BM) transplants using posttransplantion cyclophosphamide (PT-Cy) is low,
whereas GVHD using mobilized peripheral blood stem cells (PBSC) ranges between
30% and 40%. METHODS: To evaluate the effect of stem cell source in
haploidentical transplantation with PT-Cy, we analyzed 451 patients transplanted
for acute myeloid leukemia or acute lymphoblastic leukemia reported to the
European Society for Blood and Marrow Transplantation. RESULTS: BM was used in
260 patients, and PBSC were used in 191 patients. The median follow-up was 21
months. Engraftment was lower in BM (92% vs 95%, P < 0.001). BM was associated
with a lower incidence of stage II-IV and stage III-IV acute GVHD (21% vs 38%, P
<= .01; and 4% vs 14%, P < .01, respectively). No difference in chronic GVHD,
relapse, or nonrelapse mortality were found for PBSC or BM. The 2-year overall
survival (OS) was 55% versus 56% (P = .57) and leukemia-free survival (LFS) was
49% versus 54% (P = .74) for BM and PBSC, respectively. On multivariate analysis,
PBSC were associated with an increased risk of stage II-IV (hazard ratio [HR],
2.1; P < .001) and stage III-IV acute GVHD (HR, 3.8; P < .001). For LFS and OS,
reduced intensity conditioning was the only factor associated with treatment
failure (LFS: HR, 1.40; P = .04) and relapse (HR, 1.62; P = .02). CONCLUSION: In
patients with acute leukemia in first or second remission receiving
haploidentical transplantation with PT-Cy, the use of PBSC increases the risk of
acute GVHD, whereas survival outcomes are comparable. Cancer 2018;124:1428-37.
(c) 2018 American Cancer Society.
PMID- 29360163
TI - Inflammation and Trajectory of Renal Function in Community-Dwelling Older Adults.
AB - OBJECTIVES: To examine the hypothesis that the inflammatory state of aging is a
risk factor for accelerated renal function (RF) decline using inflammatory
biomarkers and RF measures collected over 9 years of follow-up in relatively
healthy individuals enrolled in the Invecchiare in Chianti study. DESIGN:
Longitudinal. SETTING: Community. PARTICIPANTS: Individuals aged 60 and older
with baseline estimated glomerular filtration rate (eGFR) of 60 mL/min per 1.73
m2 and greater and no diabetes mellitus (DM) (N = 687). MEASURES: eGFR, as a
proxy for RF, was determined using the Chronic Kidney Disease Epidemiology
Collaboration (CKD-EPI) equation at baseline and 3-, 6-, and 9-year follow-up.
Incident chronic kidney disease (CKD) was defined as new-onset eGFR less than 60
mL/min per 1.73 m2 at each follow-up. Predictors included baseline and time
dependent inflammatory biomarkers: soluble tumor necrosis factor alpha receptors
(sTNFalpha-R1 and -R2), interleukin (IL)-6, IL-18, IL-1beta, IL-1 receptor
antagonist, and high-sensitivity C-reactive protein. RESULTS: Higher baseline
sTNFalpha-R1 was significantly associated with lower eGFR over 9 years,
independent of DM or blood pressure (baseline: beta^ = -0.39, P = .001; 3-year:
beta^ = -0.26, P = .001; 6-year: beta^ = -0.36, P = .001; 9-year: beta^ = -0.47,
P = .001). The rate of TNFalpha-R1 change was significantly associated with rate
of eGFR change (beta^ = -0.18, P = .001). Baseline sTNFalpha-R1 predicted
incident CKD (per 1-standard deviation increment: 3-year: relative risk (RR) =
1.3, 95% confidence interval (CI) = 1.1-1.5; 6-year: RR = 1.5, 95% CI = 1.1-2.2;
9-year RR = 1.6, 95% CI = 1.1-2.2). Similar results were found for sTNFalpha-R2.
CONCLUSION: Baseline TNFalpha-R levels and their rates of change were
significantly associated with RF decline and incident CKD in older adults
independent of DM or blood pressure.
PMID- 29360164
TI - Erratum.
PMID- 29360165
TI - Erratum.
PMID- 29360166
TI - Erratum.
PMID- 29360169
TI - Adrenomedullin surges are linked to acute episodes of the systemic capillary leak
syndrome (Clarkson disease).
AB - BACKGROUND: Systemic Capillary Leak Syndrome (SCLS) is an extremely rare and life
threatening vascular disorder of unknown etiology. SCLS is characterized by
abrupt and transient episodes of hypotensive shock and edema due to plasma
leakage into peripheral tissues. The disorder has garnered attention recently
because its initial presentation resembles more common vascular disorders
including systemic anaphylaxis, sepsis, and acute infections with the
Ebola/Marburg family of filoviruses. Although approximately 70-85% of patients
with SCLS have a concurrent monoclonal gammopathy of unknown significance (MGUS),
any contribution of the paraprotein to acute flares is unknown. PROCEDURE: To
identify circulating factors that might trigger acute SCLS crises, we profiled
transcriptomes of paired peripheral blood mononuclear cell fractions obtained
from patients during acute attacks and convalescent intervals by microarray.
RESULTS: This study uncovered 61 genes that were significantly up- or
downregulated more than 2.5-fold in acute samples relative to respective
baselines. One of the most upregulated genes was ADM, which encodes the
vasoactive peptide adrenomedullin. A stable ADM protein surrogate (pro-ADM) was
markedly elevated in SCLS acute sera compared to remission samples or sera from
healthy controls. Monocytes and endothelial cells (ECs) from SCLS subjects
expressed significantly more ADM in response to proinflammatory stimuli compared
to healthy control cells. Application of ADM to ECs elicited protective effects
on vascular barrier function, suggesting a feedback protective mechanism in SCLS.
CONCLUSIONS: Since ADM has established hypotensive effects, differentiating
between these dual actions of ADM is crucial for therapeutic applications aimed
at more common diseases associated with increased ADM levels.
PMID- 29360170
TI - A mendelian form of neural tube defect caused by a de novo null variant in
SMARCC1 in an identical twin.
AB - Neural tube defects (NTDs) are among the most common birth defects in humans and
yet their molecular etiology remains poorly understood. NTDs are believed to
result from the complex interaction of environmental factors with a multitude of
genetic risk factors in a classical multifactorial disease model. Mendelian forms
of NTDs in which single variants are sufficient to cause the disease are
extremely rare. We report a monozygotic twin with severe NTDs (occipital
encephalocele and myelomeningocele) and a shared de novo, likely truncating,
variant in SMARCC1. RTPCR analysis suggests the potential null nature of the
variant attributed to nonsense-mediated decay. SMARCC1 is extremely constrained
in humans and encodes a highly conserved core chromatin remodeler, BAF155. Mice
that are heterozygous for a null allele or homozygous for a hypomorphic allele
develop severe NTDs in the form of exencephaly. This is the first report of
SMARCC1 mutation in humans, and it shows a critical and conserved requirement for
intact BAF chromatin remodeling complex in neurulation. Ann Neurol 2018;83:433
436.
PMID- 29360168
TI - Activity-dependent plasticity of presynaptic GABAB receptors at parallel fiber
synapses.
AB - Parallel fiber synapses in the cerebellum express a wide range of presynaptic
receptors. However, presynaptic receptor expression at individual parallel fiber
synapses is quite heterogeneous, suggesting physiological mechanisms regulate
presynaptic receptor expression. We investigated changes in presynaptic GABAB
receptors at parallel fiber-stellate cell synapses in acute cerebellar slices
from juvenile mice. GABAB receptor-mediated inhibition of excitatory postsynaptic
currents (EPSCs) is remarkably diverse at these synapses, with transmitter
release at some synapses inhibited by >50% and little or no inhibition at others.
GABAB receptor-mediated inhibition was significantly reduced following 4 Hz
parallel fiber stimulation but not after stimulation at other frequencies. The
reduction in GABAB receptor-mediated inhibition was replicated by bath
application of forskolin and blocked by application of a PKA inhibitor,
suggesting activation of adenylyl cyclase and PKA are required. Immunolabeling
for an extracellular domain of the GABAB2 subunit revealed reduced surface
expression in the molecular layer after exposure to forskolin. GABAB receptor
mediated inhibition of action potential evoked calcium transients in parallel
fiber varicosities was also reduced following bath application of forskolin,
confirming presynaptic receptors are responsible for the reduced EPSC inhibition.
These data demonstrate that presynaptic GABAB receptor expression can be a
plastic property of synapses, which may compliment other forms of synaptic
plasticity. This opens the door to novel forms of receptor plasticity previously
confined primarily to postsynaptic receptors.
PMID- 29360171
TI - New perspectives in epilepsy neuropathology.
PMID- 29360175
TI - Addendum.
PMID- 29360173
TI - What are the origins and relevance of spontaneous bladder contractions? ICI-RS
2017.
AB - INTRODUCTION: Storage phase bladder activity is a counter-intuitive observation
of spontaneous contractions. They are potentially an intrinsic feature of the
smooth muscle, but interstitial cells in the mucosa and the detrusor itself, as
well as other muscular elements in the mucosa may substantially influence them.
They are identified in several models explaining lower urinary tract dysfunction.
METHODS: A consensus meeting at the International Consultation on Incontinence
Research Society (ICI-RS) 2017 congress considered the origins and relevance of
spontaneous bladder contractions by debating which cell type(s) modulate bladder
spontaneous activity, whether the methodologies are sufficiently robust, and
implications for healthy and abnormal lower urinary tract function. RESULTS: The
identified research priorities reflect a wide range of unknown aspects. Cellular
contributions to spontaneous contractions in detrusor smooth muscle are still
uncertain. Accordingly, insight into the cellular physiology of the bladder wall,
particularly smooth muscle cells, interstitial cells, and urothelium, remains
important. Upstream influences, such as innervation, endocrine, and paracrine
factors, are particularly important. The cellular interactions represent the key
understanding to derive the integrative physiology of organ function, notably the
nature of signalling between mucosa and detrusor layers. Indeed, it is still not
clear to what extent spontaneous contractions generated in isolated preparations
mirror their normal and pathological counterparts in the intact bladder. Improved
models of how spontaneous contractions influence pressure generation and sensory
nerve function are also needed. CONCLUSIONS: Deriving approaches to robust
evaluation of spontaneous contractions and their influences for experimental and
clinical use could yield considerable progress in functional urology.
PMID- 29360176
TI - Advancements of the sFIDA method for oligomer-based diagnostics of
neurodegenerative diseases.
AB - Early diagnosis of Alzheimer's disease (AD) is of great importance for the
development of therapeutics and their application in the clinical environment.
Amyloid beta (Abeta) oligomers are crucial for the onset and progression of AD
and represent a popular drug target, being presumably the most direct biomarker.
Efforts to measure Abeta oligomers in body fluids are hampered by the low analyte
concentration and presence of Abeta monomers. The surface-based fluorescence
intensity distribution analysis (sFIDA) features both highly specific and
sensitive oligomer quantitation as well as total insensitivity towards monomers.
In this Review, we highlight structural features of oligomeric and fibrillar
Abeta. Recent advancements in sFIDA assay development have been the successful
automation, adaption for additional biomarkers such as alpha-synuclein oligomers,
and significant improvement of essential assay parameters.
PMID- 29360177
TI - Low plasma protein Z levels are associated with an increased risk for
perioperative bleedings.
AB - BACKGROUND AND OBJECTIVES: Protein Z (PZ) deficiency has been implicated both in
bleeding diatheses and in thrombophilia. Considering its ambiguous nature and the
conflicting clinical data so far, we set out to evaluate the impact of low PZ on
perioperative bleeding in patients who underwent surgical (ENT) interventions
involving a high risk of bleeding. PATIENTS AND METHODS: After exclusion of other
coagulation disorders, 154 Patients were stratified into quartiles according to
PZ plasma concentrations to evaluate the relation between PZ and bleeding
complications. RESULTS: Low PZ levels were associated with increased blood loss
(P < .001), increased need for blood transfusions (P < .001), and a higher rate
of surgical revisions (P = .009) in a concentration-dependent fashion. Low PZ
caused earlier (within 24 hours) and repetitive bleedings (P = .005). The number
of major bleeding episodes was significantly increased when low PZ was combined
with bleeding history (P < .05). Finally, ROC analyses confirmed the predictive
value of low PZ for bleeding complications and PZ-thresholds for clinical
practice were determined. CONCLUSIONS: Low PZ appears to be an underestimated
risk factor for perioperative bleeding. Determination of PZ plasma concentrations
might be useful in the preoperative workup in patients with a bleeding history,
when detailed clotting analyses remain inconclusive.
PMID- 29360178
TI - Electronic Rearrangement in Molecular Plasmons: An Electron Density and
Electrostatic Potential-Based Study.
AB - Plasmonic modes in single-molecule systems have been previously identified by
scaling two-electron interactions in calculating excitation energies. Analysis of
transition dipole moments for states of polyacenes based on configuration
interaction is another method for characterising molecular plasmons. The
principal features in the electronic absorption spectra of polyacenes are a low
intensity, lower-in-energy peak and a high-intensity, higher-in-energy peak. From
calculations using time-dependent density functional theory with the B3LYP/cc
pVTZ basis set, both these peaks are found to result from the same set of
electronic transitions, that is, HOMO-n to LUMO and HOMO to LUMO+n, where n
varies as the number of fused rings increases. In this work, the excited states
of polyacenes, naphthalene through pentacene, are analysed using electron
densities and molecular electrostatic potential (MESP) topography. Compared to
other excited states the bright and dark plasmonic states involve the least
electron rearrangement. Quantitatively, the MESP topography indicates that the
variance in MESP values and the displacement in MESP minima positions, calculated
with respect to the ground state, are lowest for plasmonic states. The excited
state electronic density profiles and electrostatic potential topographies
suggest the least electron rearrangement for the plasmonic states. Conversely,
high electron rearrangement characterises a single-particle excitation. The
molecular plasmon can be called an excited state most similar to the ground state
in terms of one-electron properties. This is found to be true for silver (Ag6 )
and sodium (Na8 ) linear chains as well.
PMID- 29360179
TI - Hitchhiking in space: Ancestry in adapting, spatially extended populations.
AB - Selective sweeps reduce neutral genetic diversity. In sexual populations, this
"hitchhiking" effect is thought to be limited to the local genomic region of the
sweeping allele. While this is true in panmictic populations, we find that in
spatially extended populations the combined effects of many unlinked sweeps can
affect patterns of ancestry (and therefore neutral genetic diversity) across the
whole genome. Even low rates of sweeps can be enough to skew the spatial
locations of ancestors such that neutral mutations that occur in an individual
living outside a small region in the center of the range have virtually no chance
of fixing in the population. The fact that nearly all ancestry rapidly traces
back to a small spatial region also means that relatedness between individuals
falls off very slowly as a function of the spatial distance between them.
PMID- 29360180
TI - The enduring effect of laser iridoplasty.
PMID- 29360181
TI - The hidden work of a journal editor.
PMID- 29360182
TI - Research in Nursing & Health Author Guidelines.
PMID- 29360183
TI - Care coordination: Identifying and connecting the most appropriate care to the
patients.
AB - Although nurses are increasingly expected to fulfill the role of care
coordinator, the knowledge and skills required to be an effective care
coordinator are not well understood. The purpose of this study was to describe
the knowledge and skills required in care coordination practice using an
interpretive phenomenological approach. Fifteen care coordinators from 10
programs were interviewed over a 6-month period. Semi-structured face-to-face
interviews were audio recorded, transcribed, and analyzed using interpretive
phenomenology. The central theme of care coordination practice was bridging the
patient and the healthcare systems. To bridge, care coordinators needed to have
knowledge of the patient and healthcare system as well as the skills to identify
and negotiate treatments appropriate for the patient. The most salient finding
and new to this literature was that care coordinators who used their medical
knowledge about available treatment options to discern and negotiate for the most
appropriate care to the patient made differences in patient outcomes. Nurses with
medical and healthcare system knowledge, combined with the skills to navigate and
negotiate with others in an increasingly complex healthcare system, are well
situated to be care coordinators and generate optimal outcomes. Further
investigations of critical care coordinator competencies are needed to support
nurses currently enacting the role of care coordinator and to prepare future
nurses to fulfill the role.
PMID- 29360184
TI - Risk-adapted GVHD prophylaxis with post-transplantation cyclophosphamide in
adults after related, unrelated, and haploidentical transplantations.
AB - INTRODUCTION: Although a number of studies were published on the efficacy of post
transplantation cyclophosphamide (PTCy) for graft-versus-host disease (GVHD)
prophylaxis, no large studies prospectively evaluated this strategy in related,
unrelated, and haploidentical grafts. METHODS: In this study, GVHD prophylaxis
for 57 matched bone marrow (MBM) grafts consisted of single-agent PTCy, for 88
matched PBSC grafts (MPBSC) consisted of PTCy, tacrolimus, and mycophenolate
mofetil (MMF) 30 mg/kg, and for 55 mismatched grafts (MMGs) consisted of PTCy,
tacrolimus and MMF 45 mg/kg. RESULTS: The study met the primary endpoint to
demonstrate equivalent rates of acute GVHD grade II-IV (11%, 17%,19%, P = .46),
III-IV (7%, 2%, 6%, P = .41), and moderate and severe chronic GVHD (22%, 11%,
15%, P = .23). There was also no differences in non-relapse mortality (11% vs 15%
vs 17%, P = .75), overall survival (63% vs 71% vs 56%, P = .72), event-free
survival (51% vs 66% vs 48%, P = .32) for MBM, MPBSC, and MMG groups,
respectively. Toxicity was comparable between groups except higher incidence of
nephrotoxicity in combination arms (P = .0005) and higher incidence of graft
failures in MMG group (P = .004). CONCLUSION: The suggested risk-adapted PTCy
based prophylaxis is feasible and is associated with low GVHD incidence and
mortality in all types of grafts. The study was registered on clinicaltrials.gov
(NCT02294552).
PMID- 29360185
TI - Quantifying the effect of light activated outer and inner retinal inhibitory
pathways on glutamate release from mixed bipolar cells.
AB - Inhibition mediated by horizontal and amacrine cells in the outer and inner
retina, respectively, are fundamental components of visual processing. Here, our
purpose was to determine how these different inhibitory processes affect
glutamate release from ON bipolar cells when the retina is stimulated with full
field light of various intensities. Light-evoked membrane potential changes
(DeltaVm ) were recorded directly from axon terminals of intact bipolar cells
receiving mixed rod and cone inputs (Mbs) in slices of dark-adapted goldfish
retina. Inner and outer retinal inhibition to Mbs was blocked with bath applied
picrotoxin (PTX) and NBQX, respectively. Then, control and pharmacologically
modified light responses were injected into axotomized Mb terminals as command
potentials to induce voltage-gated Ca2+ influx (QCa ) and consequent glutamate
release. Stimulus-evoked glutamate release was quantified by the increase in
membrane capacitance (DeltaCm ). Increasing depolarization of Mb terminals upon
removal of inner and outer retinal inhibition enhanced the DeltaVm /QCa ratio
equally at a given light intensity and inhibition did not alter the overall
relation between QCa and DeltaCm . However, relative to control, light responses
recorded in the presence of PTX and PTX + NBQX increased DeltaCm unevenly across
different stimulus intensities: at dim stimulus intensities predominantly the
inner retinal GABAergic inhibition controlled release from Mbs, whereas the inner
and outer retinal inhibition affected release equally in response to bright
stimuli. Furthermore, our results suggest that non-linear relationship between
QCa and glutamate release can influence the efficacy of inner and outer retinal
inhibitory pathways to mediate Mb output at different light intensities.
PMID- 29360187
TI - Altered proportion of CCR2+ and CX3CR1+ circulating monocytes in neovascular age
related macular degeneration and polypoidal choroidal vasculopathy.
AB - BACKGROUND: We investigated the expression of chemokine receptors CCR2 (C-C
chemokine receptor) 2 and CX3CR1 (C-X3-C receptor 1) on circulating monocyte
subsets in patients with neovascular age-related macular degeneration (AMD) and
patients with polypoidal choroidal vasculopathy (PCV). METHODS: We recruited
patients with neovascular AMD, patients with PCV and age-matched healthy controls
for this prospective case-control study. All participants underwent comprehensive
clinical examination and imaging. Freshly sampled venous blood was prepared for
flow cytometry, where we determined the proportion of CCR2+ - and CX3CR1+
positive cells in monocyte subsets identified using monocyte identification and
subgrouping surface markers CD14, CD16 and HLA-DR. RESULTS: Patients with
neovascular AMD had significantly increased proportion of CCR2+ and CX3CR1+ non
classical monocytes. PCV type 1 was associated with significantly increased CCR2+
and CX3CR1+ in all monocyte subsets when compared to PCV type 2. CONCLUSIONS:
Neovascular AMD is associated with increased expression of angiogenesis
associated chemokine receptors in the pro-inflammatory non-classical monocytes.
PCV differs from neovascular AMD immunologically and show immunological
heterogeneity across angiographic subtypes.
PMID- 29360188
TI - Paracentral acute middle maculopathy associated with severe vision loss following
vitrectomy for vitreous haemorrhage.
PMID- 29360189
TI - Development of an overactive bladder assessment tool (BAT): A potential
improvement to the standard bladder diary.
AB - AIMS: To develop a comprehensive patient-reported bladder assessment tool (BAT)
for assessing overactive bladder (OAB) symptoms, bother, impacts, and
satisfaction with treatment. METHODS: Subjects were consented and eligibility was
confirmed by a recruiting physician; subjects were then scheduled for in-person
interviews. For concept elicitation and cognitive interviews, 30 and 20 subjects,
respectively, were targeted for recruitment from US sites. All interviews were
conducted face-to-face, audio-recorded, transcribed verbatim, anonymized, and
analyzed using a qualitative data analysis software program. A draft BAT was
created based on the results of the concept elicitation interviews and further
revised based on cognitive interviews as well as feedback from an advisory board
of clinical and patient-reported outcome (PRO) experts. RESULTS: Nocturia,
daytime frequency, and urgency were reported by all subjects (n = 30, 100.0%),
and incontinence was reported by most subjects (n = 25, 83.3%). The most
frequently reported impacts were waking up to urinate (n = 30, 100.0%),
embarrassment/shame (n = 24, 80.0%), stress/anxiety (n = 23, 76.7%), and lack of
control (n = 23, 76.7%). Following analysis, item generation, cognitive
interviews, and advisory board feedback, the resulting BAT contains four
hypothesized domains (symptom frequency, symptom bother, impacts, and
satisfaction with treatment) and 17 items with a 7-day recall period.
CONCLUSIONS: The BAT has been developed in multiple stages with input from both
OAB patients and clinical experts following the recommended processes included in
the FDA PRO Guidance for Industry. Once fully validated, we believe it will offer
a superior alternative to use of the bladder diary and other PROs for monitoring
OAB patients in clinical trials and clinical practice.
PMID- 29360190
TI - When words matter: A "suspicious" urinary tract cytology diagnosis improves
patient follow-up among nonurologists.
AB - BACKGROUND: Urinary tract cytology (UTC) specimens diagnosed using high-risk
indeterminate categories such as "atypical urothelial cells, cannot exclude high
grade urothelial carcinoma" (AUC-H) or "suspicious for high-grade urothelial
carcinoma" (SHGUC) have a high rate of detection of high-grade urothelial
carcinoma on subsequent biopsy. Although urologists are familiar with such
terminology, it is unclear whether patients receive appropriate follow-up when
UTC is ordered by nonurologists. In the current study, the authors investigated
whether the use of AUC-H versus SHGUC altered patient management among
nonurologists. METHODS: Specimens signed out as AUC-H or SHGUC were identified
from the archives of the study institution, which included periods of time before
the use of the standardized Johns Hopkins Hospital template, during use of the
Johns Hopkins Hospital template, and after institution of The Paris System for
Reporting Urinary Cytology. RESULTS: Approximately one-half of the specimens
diagnosed as AUC-H were not investigated further when ordered by nonurologists.
Patients with specimens diagnosed as AUC-H received fewer subsequent biopsies
(14% vs 53%; P < .001) when the specimens were ordered by nonurologists versus
urologists, despite having similar rates of high-grade urothelial carcinoma on
follow-up biopsy (67% vs 66%). When specimens ordered by nonurologists were
diagnosed as SHGUC, these patients received more follow-up (100%) compared with
those whose specimens were diagnosed as AUC-H (44%; P < .001). Patients with
specimens ordered by nonurologists also received more follow-up biopsies when
these were diagnosed as suspicious (60%) compared with patients whose specimens
were diagnosed as AUC-H (14%; P < .001). CONCLUSIONS: Use of the word
"suspicious" for the high-risk indeterminate category results in greater follow
up among nonurologists ordering UTC specimens. Cancer Cytopathol 2018;126:282-8.
(c) 2018 American Cancer Society.
PMID- 29360191
TI - Insulinoma-associated protein 1 is a sensitive and specific marker of
neuroendocrine lung neoplasms in cytology specimens.
AB - BACKGROUND: Recent studies suggest that insulinoma-associated protein 1 (INSM1)
is a sensitive and specific marker of neuroendocrine neoplasms. The aims of this
study were to determine whether INSM1 can be reliably used in cytology (Cellient)
cell blocks, to ascertain whether staining correlates with paired surgical
pathology specimens, and to compare its sensitivity and specificity with those of
synaptophysin (SYN), chromogranin (CHR), and CD56 for neuroendocrine lung tumors.
METHODS: Seventy-four primary lung neoplasms diagnosed on cytology were stained
with INSM1, SYN, CHR, and CD56: 41 small cell lung carcinomas (SCLCs), 1 large
cell neuroendocrine carcinoma (LCNEC), 10 carcinoid tumors, 11 adenocarcinomas, 9
squamous cell carcinomas, 1 mesothelioma and 1 poorly differentiated non-small
cell lung carcinoma, not otherwise specified. In 20 cases, a paired surgical
pathology specimen was also stained with INSM1. RESULTS: INSM1 was positive in 48
of 52 primary lung neuroendocrine neoplasms (92%), including 38 of 41 SCLCs
(93%), the only LCNEC (100%), and 9 of 10 carcinoid tumors (90%), and it was
negative in all 22 non-neuroendocrine primary lung tumors. For SCLC, the
sensitivity of INSM1 (93%) was lower than the sensitivity of CD56 (100%), equal
to the sensitivity of SYN (93%), and higher than the sensitivity of CHR (35%).
For carcinoid tumors, the sensitivity of INSM1 (90%) was lower than the
sensitivity of all other markers (100% each). The specificity of INSM1 for
neuroendocrine neoplasms as a group was 100%. INSM1 staining was concordant with
surgical pathology specimens in all 20 paired cases. CONCLUSIONS: INSM1 can be
used in cytopathology cell blocks, and it is sensitive and highly specific for
neuroendocrine lung tumors. INSM1 staining in cytology cell blocks correlates
well with surgical pathology specimens. Cancer Cytopathol 2018;126:243-52. (c)
2018 American Cancer Society.
PMID- 29360192
TI - Diagnostic criteria for disorders of arousal: A video-polysomnographic
assessment.
AB - OBJECTIVE: To assess video-polysomnographic (vPSG) criteria and their cutoff
values for the diagnosis of disorders of arousal (DOAs; sleepwalking, sleep
terror). METHODS: One hundred sixty adult patients with DOAs and 50 sex- and age
matched healthy participants underwent a clinical evaluation and vPSG assessment
to quantify slow wave sleep (SWS) interruptions (SWS fragmentation index,
slow/mixed and fast arousal ratios, and indexes per hour) and the associated
behaviors. First, a case-control analysis was performed in 100 patients and the
50 controls to define the optimal cutoff values using receiver operating
characteristic curves. Their sensitivity was then assessed in the other 60
patients with DOAs. RESULTS: The SWS fragmentation index and the mixed, slow, and
slow/mixed arousal indexes and ratios were higher in patients with DOAs than
controls. The highest area under the curve (AUC) values were obtained for the SWS
fragmentation and slow/mixed arousal indexes (AUC = 0.88 and 0.90, respectively).
The SWS fragmentation index cutoff value of 6.8/h reached a sensitivity of 79%
and a specificity of 82%. The slow/mixed arousal index had a sensitivity of 94%
for the 2.5/h cutoff, and 100% specificity for 6/h. Both parameters showed good
interrater agreement, and their sensitivities were confirmed in the second group
of patients. Combining electroencephalographic parameters and video-based
behavioral analyses increased the correct classification rate up to 91.3%.
INTERPRETATION: Frequent slow/mixed arousals in SWS and complex behaviors during
vPSG are strongly associated with DOAs, and could be promising biomarkers for the
diagnosis of non-rapid eye movement parasomnias. Ann Neurol 2018;83:341-351.
PMID- 29360195
TI - Reduction in late onset cytomegalovirus primary disease after discontinuation of
antiviral prophylaxis in kidney transplant recipients treated with de novo
everolimus.
AB - BACKGROUND: Donor (D)+/recipient (R)- serostatus is closely associated with a
higher risk of cytomegalovirus (CMV) infection and disease. Antiviral prophylaxis
is conventionally used in such patients, but late onset CMV infection/disease
still occurs after the discontinuation of prophylaxis. METHODS: We
retrospectively analyzed the data of 215 low immunological risk patients who
received kidney transplantation in our center between 2011 and 2016. RESULTS:
Ninety-seven patients received a combination of everolimus (EVL)/reduced doses of
calcineurin inhibitors (CNI) (EVL group) de novo, and 118 received a combination
of mycophenolic acid (MPA)/standard doses of CNI (MPA group) de novo. All
patients received induction by basiliximab, steroids, and standardized antiviral
prophylaxis depending on their CMV D/R serostatus. D+/R- recipients comprised 17%
(n = 16) of the EVL group and 19% (n = 22) of the MPA group (P = .722). In the
D+/R- subgroup, the 1-year incidence of late onset CMV primary disease after the
withdrawal of prophylaxis was lower in the EVL group than in the MPA group (6% vs
41%, P = .025) while the rate of CMV disease in the D+/R+ group (8% vs 6%, P = 1)
and the D-/R+ group (12% vs 9%, P = 1) were similar. Kaplan-Meier analysis of 1
year CMV primary disease-free survival in seronegative patients was significantly
better in the EVL group (P = .029, log-rank test). CONCLUSIONS: Our data suggest
that de novo use of EVL may reduce late onset CMV primary disease after the
withdrawal of antiviral prophylaxis in kidney transplantation patients.
PMID- 29360196
TI - Expression of microRNAs in the ascites of patients with peritoneal carcinomatosis
and peritonitis.
AB - BACKGROUND: Peritoneal carcinomatosis (PCA) has a prognostic role in patients
with gastrointestinal cancers. The differential diagnosis may be challenging due
to the low sensitivity of cytology. Although microRNAs (miRNAs) have been a focus
of various specimens and diseases, to the best of the authors' knowledge only
limited knowledge exists regarding ascites. Herein, the authors systematically
evaluated preanalytical factors and the potential of miRNAs as biomarkers of
ascites. METHODS: The authors prospectively analyzed samples from patients with
PCA, spontaneous bacterial peritonitis (SBP), and portal hypertension (no
SBP/PCA). Various preanalytical factors such as extraction kits, sample storage,
stability, and processing were systematically evaluated. MiRNA expression
profiling using TaqMan Low Density Array and quantitative reverse transcriptase
polymerase chain reaction were used to evaluate miRNA expression. RESULTS: All
selected miRNAs were found to be reliably detectable in ascites samples. Ascites
miRNAs were well preserved from degradation with required short-term and long
term stability. MiRNA expression profiling in patients with PCA compared with
those with no SBP/PCA revealed miR-21, miR-186, miR-222, and miR-483-5p to be
upregulated and miR-26b to be downregulated. MiRNA expression validation analysis
confirmed higher expression levels of miR-21 and miR-186 in patients with PCA
compared with those with no SBP/PCA, whereas miR-223 was significantly
upregulated in patients with SBP. A simple proportion score between miR-21 and
miR-223 allowed the authors to discriminate between the patients with PCA and
those with SBP with an area under the curve of 0.982 (95% confidence interval,
0.943-1.022). CONCLUSIONS: The data from the current study provide novel evidence
of the differential expression of miRNAs in ascites from patients with PCA and
SBP, which may offer an additional miRNA-based molecular approach for the
differential diagnosis of PCA. Cancer Cytopathol 2018;126:353-63. (c) 2018
American Cancer Society.
PMID- 29360197
TI - Clinical outcomes with distance-dominant multifocal and monofocal intraocular
lenses in post-LASIK cataract surgery planned using an intraoperative
aberrometer.
AB - IMPORTANCE: Studies evaluating the clinical benefits of intraoperative
aberrometry (IA) in cataract surgery are limited. BACKGROUND: The study was
designed to determine whether IA improved clinical outcomes of post-laser in situ
keratomileusis (LASIK) cataract surgery with different intraocular lenses (IOLs)
implanted. DESIGN: A retrospective chart review of clinical outcomes from one
surgeon at one surgical centre was conducted. It included post-LASIK cataract
surgeries where IA was used for the confirmation of IOL power, with either a
distant-dominant multifocal IOL or a monofocal IOL implanted. PARTICIPANTS:
Records for 44 eyes of 31 patients were analysed. METHODS: Differences in visual
acuity (VA) and refractions by lens type were compared, and the effects of IA
were evaluated. MAIN OUTCOME MEASURES: Uncorrected distance VA and the percentage
of eyes with a spherical equivalent refraction within 0.5D of the intended
correction were the primary outcome measures. RESULTS: There was no statistically
significant difference in the percentage of eyes with uncorrected distance VA of
20/25 or better between IOL groups (P = 0.41). More eyes in the multifocal group
had a refraction within 0.50D of intended (P = 0.03). In 39% of cases, the
preoperative and IA power calculations suggested the same IOL power. When not
equal, the IA results were not significantly more likely to be 'best' (P = 0.08).
CONCLUSIONS AND RELEVANCE: Results suggest that a history of previous LASIK is
not a contraindication to use of distant-dominant multifocal IOLs. IA did not
appear to improve clinical outcomes in post-LASIK eyes, although a positive trend
was evident.
PMID- 29360198
TI - The risk of malignancy of atypical urothelial cells of undetermined significance
in patients treated with chemohyperthermia or electromotive drug administration.
AB - BACKGROUND: Chemohyperthermia (C-HT) or electromotive drug administration (EMDA)
are alternative therapies to radical cystectomy in patients with non-muscle
invasive bladder cancer who do not respond to intravesical therapy with bacille
Calmette-Guerin. METHODS: The authors investigated a group of 87 patients with a
diagnosis of high-grade non-muscle-invasive bladder carcinoma or carcinoma in
situ. Of these, 45 patients received EMDA of mitomycin (EMDA/MMC) and 42 patients
were treated with C-HT and mitomycin therapy (C-HT/MMC). In accordance with the
Paris System for Reporting Urinary Cytology, a cytological diagnosis was made and
patients with diagnoses of atypical urothelial cells (AUC), suspicious high-grade
urothelial carcinoma (SHGUC), or high-grade urothelial carcinoma also underwent
histological bladder biopsies. RESULTS: In accordance with the Paris System for
Reporting Urinary Cytology, the AUC cases may have cytological features of SHGUC
present on atypical degenerated cells. In analyzing the AUC group without the
SHGUC cases diagnosed on the basis of degenerated urothelial cells, the authors
found a significant association between the AUC category and a negative
histological biopsy. The SHGUC group, including cases with a SHGUC diagnosis
rendered on degenerated urothelial cells, was associated with high-grade
urothelial carcinoma or carcinoma in situ (P = .0269 for patients treated with
EMDA/MMC and P = .0049 for patients treated with C-HT/MMC). CONCLUSIONS: In the
urine samples from patients treated with EMDA/MMC or C-HT/MMC, a diagnosis of
SHGUC could be made even on degenerated urothelial cells when considering
cellular degeneration as a "physiological" consequence of the treatment that
involves either normal or neoplastic cells. Cancer Cytopathol 2018;126:200-6. (c)
2018 American Cancer Society.
PMID- 29360199
TI - Trimodal system for in vivo skin cancer screening with combined optical coherence
tomography-Raman and colocalized optoacoustic measurements.
AB - A new multimodal system for rapid, noninvasive in vivo skin cancer screening is
presented, combining optical coherence tomography (OCT) and optoacoustic (OA)
modalities to provide precise tumor depth determination with a Raman
spectroscopic modality capable of detecting the lesion type and, thus, providing
diagnostic capability. Both OA and Raman setups use wide field skin illumination
to ensure the compliance with maximum permissible exposure (MPE) requirements.
The Raman signal is collected via the OCT scanning lens to maximize the signal-to
noise ratio of the measured signal while keeping radiation levels below MPE
limits. OCT is used to optically determine the tumor thickness and for volumetric
imaging whereas OA utilizes acoustic signals generated by optical absorption
contrast for thickness determination at potentially higher penetration depths
compared to OCT. Preliminary results of first clinical trials using our setup are
presented. The measured lesion depth is in good agreement with histology results,
while Raman measurements show distinctive differences between normal skin and
melanocytic lesions, and, moreover, between different skin areas. In future, we
will validate the setup presented for reliable detection of pathophysiological
parameters, morphology and thickness of suspicious skin lesions.
PMID- 29360201
TI - Erratum.
PMID- 29360203
TI - Organizations and agencies launch efforts to improve research in adolescent and
young adult cancers: Research and treatment in this unique population lags behind
that in children and adults.
PMID- 29360202
TI - Abdominal fat linked to cancer risk in postmenopausal women.
PMID- 29360200
TI - Measurement properties of tools used to assess depression in adults with and
without autism spectrum conditions: A systematic review.
AB - : Depression is the most commonly experienced mental health condition in adults
with autism spectrum conditions (ASC). However, it is unclear what tools are
currently being used to assess depression in ASC, or whether tools need to be
adapted for this group. This systematic review therefore aimed to identify tools
used to assess depression in adults with and without ASC, and then evaluate these
tools for their appropriateness and measurement properties. Medline, PsychINFO
and Web of Knowledge were searched for studies of depression in: (a) adults with
ASC, without co-morbid intellectual disability; and (b) adults from the general
population without co-morbid conditions. Articles examining the measurement
properties of these tools were then searched for using a methodological filter in
PubMed, and the quality of the evidence was evaluated using the COSMIN checklist.
Twelve articles were identified which utilized three tools to assess depression
in adults with ASC, but only one article which assessed the measurement
properties of one of these tools was identified and thus evaluated. Sixty-four
articles were identified which utilized five tools to assess depression in
general population adults, and fourteen articles had assessed the measurement
properties of these tools. Overall, two tools were found to be robust in their
measurement properties in the general population-the Beck Depression Inventory
(BDI-II), and the patient health questionnaire (PHQ-9). Crucially only one study
was identified from the COSMIN search, which showed weak evidence in support of
the measurement properties of the BDI-II in an ASC sample. Implications for
effective measurement of depression in ASC are discussed. Autism Res 2018, 11:
738-754. (c) 2018 The Authors Autism Research published by International Society
for Autism Research and Wiley Periodicals, Inc. LAY SUMMARY: Depression is the
most common mental health problem experienced by adults with autism. However, the
current study found very limited evidence regarding how useful tools developed
for the general population are for adults with autism. We therefore suggest how
these tools could be adapted to more effectively assess depression in adults with
autism, and improve these individuals access to mental health assessment and
support.
PMID- 29360204
TI - Analysis evaluates how employers can help cancer survivors return to work.
PMID- 29360206
TI - Erratum.
PMID- 29360207
TI - Erratum.
PMID- 29360208
TI - Progression of histopathological and behavioral abnormalities following mild
traumatic brain injury in the male ferret.
AB - White matter damage is an important consequence of traumatic brain injury (TBI)
in humans. Unlike rodents, ferrets have a substantial amount of white matter and
a gyrencephalic brain; therefore, they may represent an ideal small mammal model
to study human-pertinent consequences of TBI. Here we report immunohistochemical
and behavioral results after a controlled cortical impact (CCI) injury to the
sensorimotor cortex of adult male ferrets. We assessed inflammation in the
neocortex and white matter, and behavior at 1 day post injury and 1, 4, and 16
weeks post injury (WPI). CCI in the ferret produced inflammation that originated
in the neocortex near the site of the injury and progressed deep into the white
matter with time. The density of microglia and astrocytes increased in the
neocortex near the injury, peaking at 4WPI and remaining elevated at 16WPI.
Microglial morphology in the neocortex was significantly altered in the first 4
weeks, but showed a return toward normal at 16 weeks. Clusters of microglial
cells in the white matter persisted until 16WPI. We assessed motor and cognitive
behavior using the open field, novel object recognition, T-maze, and gait tests.
A transient deficit in memory occurred at 4WPI, with a reduction of rearing and
motor ability at 12 and 16WPI. Behavioral impairments coincide with features of
the inflammatory changes in the neocortex revealed by immunohistochemistry. The
ferret represents an important animal model to explore ongoing damage in the
white matter and cerebral cortex after TBI.
PMID- 29360209
TI - Erratum.
PMID- 29360210
TI - Biosimilars: what the dermatologist should know.
AB - Biosimilars are highly similar versions of approved branded biologics. In
contrast to generics, which are identical copies of the originator medicines,
biosimilars are considered unique but related molecules that differ from the
originator reference product as well as from each other. Owing to the complexity
of biologic medicines, such as therapeutic monoclonal antibodies, minor
differences between biosimilars and the reference products are acceptable
provided these differences do not result in any clinically meaningful differences
in safety or efficacy. In addition, minor changes in structure and function may
occur over time in originator biologic products as a result of alterations in
production materials (e.g. cell lines), processes or conditions. The
developmental process for biosimilars focuses on a 'totality of evidence'
approach that emphasizes a stepwise investigational process, including
comprehensive structural, functional, pharmacologic and clinical assessment for
similarity. The goal of the phase 3 clinical development programme for a
biosimilar is not to establish efficacy, per se, but to demonstrate that there
are no clinically meaningful differences between the proposed biosimilar and the
reference product. The requirement to show clinical similarity informs biosimilar
study design, including the selection of the patient population, disease state
(indication), study endpoints and statistical methods. Based on the clinical
trial results in a representative patient population, results may be extrapolated
to other indications provided scientific justification is demonstrated based on,
among other things, similar mechanism of action in the extrapolated indications.
This review presents the current state of knowledge with respect to biosimilars.
We aim to provide the practising clinician with a working knowledge of
biosimilars as well as provide some practical guidance on their use and potential
benefits in treating dermatologic diseases.
PMID- 29360211
TI - Emergency Department Patients With Acute Kidney Injury: Appropriately Discharged
but Inadequately Followed-Up?
PMID- 29360213
TI - Erratum.
PMID- 29360215
TI - Incidence of retinal vein occlusion in open-angle glaucoma: a nationwide,
population-based study using the Korean Health Insurance Review and Assessment
Database.
AB - IMPORTANCE: We determined the association between retinal vein occlusion (RVO)
and open-angle glaucoma (OAG) in a Korean population by reviewing a dataset
obtained from the Korean Health Insurance Review and Assessment (HIRA).
BACKGROUND: To compare the RVO incidence for OAG patients with that for the
general population in order to determine the association between RVO and OAG.
DESIGN: Nationwide population-based retrospective study. PARTICIPANTS: HIRA data
for 2011 through 2015. METHODS: The HIRA data for 2011 through 2015 was analysed
in order to determine the incidence rates of RVO in the general population and in
OAG patients. The standardized incidence ratios (SIRs) of RVO for OAG patients
were determined with respect to the age- and gender-matched general population.
MAIN OUTCOME MEASURES: SIRs of RVO. RESULTS: The RVO incidence rate for the
general population during the 4-year study period (2012-2015) was 74.16 per 100
000 person-years (95% confidence interval [CI], 73.79-74.54). A total of 272 143
OAG patients were identified in 2011 as the population at risk. The RVO incidence
rate for the OAG patients was 528.95 per 100 000 person-years (95% CI, 515.46
542.79). The expected incidences of RVO in the OAG patients were 1760.66 during
the 4-year study period. On the other hand, the observed incidences of RVO were
5758. The SIR of RVO for OAG patients in reference to the general population was
3.27 (95% CI, 3.19-3.35). CONCLUSIONS AND RELEVANCE: The RVO incidence rate for
OAG patients is significantly higher than that for the general population.
PMID- 29360216
TI - At the crossway of ER-stress and proinflammatory responses.
AB - Immune cells detect specific microbes or damage to tissue integrity in order to
initiate efficient immune responses. Abnormal accumulation of proteins in the
endoplasmic reticulum (ER) can be seen as a sign of cellular malfunction and
stress that triggers a collection of conserved emergency rescue programs. These
different signaling cascades, which favor ER proteostasis and promote cell
survival, are collectively known as the unfolded protein response (UPR). In
recent years, a synergy between the UPR and inflammatory cytokine production has
been unraveled, with different branches of the UPR entering in a cross-talk with
specialized microbe sensing pathways, which turns on or amplify inflammatory
cytokines production. Complementary to this synergetic activity, UPR induction
alone, can itself be seen as a danger signal, and triggers directly or indirectly
inflammation in different cellular and pathological models, this independently of
the presence of pathogens. Here, we discuss recent advances on the nature of
these cross-talks and how innate immunity, metabolism dysregulation, and ER
signaling pathways intersect in specialized immune cells, such as dendritic cells
(DCs), and contribute to the pathogenesis of inflammatory diseases.
PMID- 29360217
TI - Rhodium(I)-Catalyzed Carboacylation/Aromatization Cascade Initiated by
Regioselective C-C Activation of Benzocyclobutenones.
AB - Described here is the first example of a rhodium-catalyzed
carboacylation/aromatization cascade of a C=O bond by C-C activation. In this
transformation, a reactive rhodaindanone complex is regioselectively generated
and adds across a C=O bond with subsequent elimination, thus providing a unique
strategy to access a multisubstituted benzofuran scaffold. A diverse range of
benzofuran analogues were obtained in good yields. Mechanistic studies show a
tricyclic lactone was a viable intermediate. Application of this methodology to
the total synthesis of C13-deOH-viniferifuran and C13-deOH-diptoindonesin G was
achieved.
PMID- 29360218
TI - Methylparaben induces malformations and alterations on apoptosis, oxidant
antioxidant status, ccnd1 and myca expressions in zebrafish embryos.
AB - Methylparabens (MP) are widely used as preservatives in cosmetics, pharmacy, and
food industry. Although acute toxicity studies in animals indicated that parabens
are not significantly toxic, the effects of chronic exposure under sublethal
doses are still unknown and the number of related studies is limited. Our aim was
to evaluate the effects of MP on the development of zebrafish embryos focusing on
development, locomotor activity, oxidant-antioxidant status, apoptosis, and ccnd1
and myca expressions. The expressions of ccnd1 and myca were determined by RT
PCR. Lipid peroxidation (LPO), nitric oxide (NO), and glutathione-S-transferase
(GST) activities were determined spectrophotometrically. Apoptosis was determined
using acridine orange staining. Locomotor activity was measured using touch
evoked movement test. MP exposure increased malformations, LPO, apoptosis, ccnd1
and myca expressions, and decreased GST activities and NO levels compared with
the control group. Our findings will lead to further understanding of the
mechanism of MP toxicity, and merit further research.
PMID- 29360219
TI - Collagen proteins exchange O with demineralisation and gelatinisation reagents
and also with atmospheric moisture.
AB - RATIONALE: The oxygen isotope composition of collagen proteins is a potential
indicator of adult residential location, useful for provenancing in ecology,
archaeology and forensics. In acidic solution, proteins can exchange O from
carboxylic acid moieties with reagent O. This study investigated whether this
exchange occurs during demineralisation and gelatinisation preparation of
bone/ivory collagen. METHODS: EDTA and HCl demineralisation or gelatinisation
reagents were made up in waters with different delta18 O values, and were used to
extract collagen from four skeletal tissue samples. Aliquots of extracted
collagen were exposed to two different atmospheric waters, at 120 degrees C and
ambient temperature, and subsequently dried in a vacuum oven at 40 degrees C or
by freeze drying. Sample delta18 O values were measured by HT/EA pyrolysis-IRMS
using a zero-blank autosampler. RESULTS: Collagen samples exchanged O with both
reagent waters and atmospheric water, which altered sample delta18 O values.
Exchange with reagent waters occurred in all extraction methods, but was greater
at lower pH. Damage to the collagen samples during extraction increased O
exchange. The nature of exchange of O with atmospheric water depended on the
temperature of exposure: kinetic fractionation of O was identified at 120 degrees
C but not at ambient temperature. Exchange was difficult to quantify due to high
variability of delta18 O value between experimental replicates. CONCLUSION:
Studies of delta18 O values in collagen proteins should avoid extraction methods
using acid solutions.
PMID- 29360221
TI - Neuromuscular disease-specific questionnaire to assess quality of life in
patients with chronic inflammatory demyelinating polyradiculoneuropathy.
AB - To date, generic questionnaires have been used to investigate quality of life
(QoL) in chronic inflammatory demyelinating polyradiculoneuropathy (CIDP)
patients. Although these measures are very useful, they are not usually precise
enough to measure all specific characteristics of the disease. Our aim was to
investigate QoL using the neuromuscular disease-specific questionnaire
(individualized neuromuscular quality of life, INQoL) in a large cohort of
patients with CIDP. Our study comprised 106 patients diagnosed with CIDP. INQoL
questionnaire, Medical Research Council (MRC) sum score, Inflammatory Neuropathy
Cause and Treatment (INCAT) disability score, Visual Analogue Pain Scale, Beck
Depression Inventory, and Krupp's Fatigue Severity Scale were used in our study.
Physical domains of INQoL were more affected than mental, and the overall score
was 57 +/- 25. Significant predictors of higher INQoL score in our patients with
CIDP were severe fatigue (beta = 0.35, p < 0.01), higher INCAT disability score
at time of testing (beta = 0.29, p < 0.01), and being unemployed/retired (beta =
0.22, p < 0.05). QoL was reduced in our cohort of CIDP patients, which was more
pronounced in physical segments. Patients with fatigue, more severe disability,
and unemployed/retired need special attention of neurologists because they could
be at greater risk to have worse QoL.
PMID- 29360225
TI - Olfactory dysfunction and cognition among older adults in the United States.
AB - BACKGROUND: In this work we assess the association between olfactory dysfunction
and cognition in a nationally representative sample of older adults in the United
States. METHODS: Participants aged >=60 years (n = 1236) from the 2013-2014
National Health and Nutritional Examination Survey underwent both olfactory and
cognitive testing. Olfaction was assessed by both objective test (8-odor Pocket
Smell Test: smell impairment defined as score <=2) and self-report. Cognitive
assessment consisted of the Digit Symbol Substitution Test (DSST), the Animal
Fluency Test, and the Consortium to Establish a Registry for Alzheimer's Disease
(CERAD). Regression models were used to examine the association between olfaction
and cognition while adjusting for demographics, cardiovascular factors, and
associated medical history. RESULTS: The prevalence of smell impairment in US
older adults was 18.0% (95% confidence interval [CI], 14.0-22.0%) and 22.0% (95%
CI, 18.5-25.6%) based on objective smell test and self-report, respectively. In a
multivariate model adjusted for relevant factors, low smell test scores were
consistently associated with low scores on cognitive assessments, with a DSST
score difference of -1.5 (95% CI, -2.2 to -0.8), Animal Fluency Test score
difference of -0.4 (95% CI, -0.7 to -0.1), and CERAD Word List score difference
of -0.4 (95% CI, -0.6 to -0.2) per 1-point decrease in smell test score. There
was no association between self-reported smell impairment and cognition.
CONCLUSION: Objectively measured olfactory dysfunction is independently
associated with cognitive impairment. These findings are consistent with previous
studies and suggest the utility of objective olfactory tests as an indicator for
cognitive impairment as compared with self-reported olfactory dysfunction, which
is an uncertain indicator.
PMID- 29360226
TI - Modulation of transport and metabolism of bile acids and bilirubin by chlorogenic
acid against hepatotoxicity and cholestasis in bile duct ligation rats:
involvement of SIRT1-mediated deacetylation of FXR and PGC-1alpha.
AB - BACKGROUND: The purpose of the present study was to investigate the effect and
potential mechanism of chlorogenic acid (CA) on liver injury induced by
cholestasis in a rat model of bile duct ligation (BDL). METHODS: Rats received
vehicle or CA (20, 50, or 100 mg/kg per day) orally for 3 days. On the 4th day,
the rats underwent sham or BDL surgery, and were orally administrated vehicle or
CA for 3 or 7 days. mRNA and protein expression levels were evaluated by qRT-PCR
and western blot. RESULTS: After BDL, plasma levels of alanine aminotransferase
(ALT), aspartate aminotransferase (AST), total bilirubin (TBIL), and total bile
acids (TBA) were increased and typical pathological changes were observed in
liver morphology. Hepatic uptake transporters (Ntcp, Oatp 1a4, and Oatp 1b2) were
downregulated, while efflux transporters (Bsep and Mrp 2/3/4) were upregulated.
BDL inhibited the expressions of Cyp7a1, Cyp8b1, and Cyp27a1 and induced Ugt1a1.
CA treatment decreased ALT, AST, TBIL, and TBA (P < 0.05) and alleviated the
liver pathological changes. The degree of expression changes in the transporters
and enzymes was extended by CA (P < 0.05). SIRT1 protein was induced after CA
treatment in BDL rats. CONCLUSIONS: Chlorogenic acid attenuated hepatotoxicity
and cholestasis by decreasing the uptake and synthesis of bilirubin and bile
acids and accelerating the metabolism and efflux of bilirubin and bile acids.
PMID- 29360227
TI - Liver "lobe neutrality" in the era of donor safety. Could "safe" be safer?
PMID- 29360229
TI - Errata.
PMID- 29360230
TI - Capnocytophaga keratitis in dogs: clinical, histopathologic, and microbiologic
features of seven cases.
AB - OBJECTIVE: To describe the clinical, microbiologic, and histopathologic features
of Capnocytophaga keratitis in dogs. ANIMALS STUDIED: Seven dogs with naturally
acquired Capnocytophaga keratitis. PROCEDURES: Medical records of dogs with a
clinical diagnosis of keratitis and corneal cultures positive for Capnocytophaga
spp. were reviewed. Dog signalment, medical history, clinical findings, and
diagnostic assay results were recorded. RESULTS: Breeds included Boston terrier
(n = 3 dogs), Rat terrier (n = 2), and single cases of mixed breed and Pug. All
dogs examined had expansive corneal ulceration involving the majority of the
corneal surface. Marked corneal infiltrates, keratomalacia, and hypopyon were
present. Progression of corneal disease was rapid with extensive dissolution of
the corneal stroma. Corneal lesions progressed to catastrophic perforations
within 24 h of the initial examination in three dogs, requiring enucleation. One
globe was enucleated after failure to resolve with long-term medical therapy.
Globes and vision were retained in three dogs following aggressive medical
therapy (two dogs) or 360 degrees conjunctival graft surgery (one dog).
Capnocytophaga cynodegmi, Capnocytophaga canimorsus, or unspeciated
Capnocytophaga spp. were cultured from corneal samples of all dogs. Long, thin,
gram-negative rods were present during cytological evaluation of the cornea in
some dogs. Histopathologic evaluation of enucleated globes revealed severe and
diffuse neutrophilic and collagenolytic keratitis. CONCLUSIONS: Capnocytophaga
keratitis is a severe, rapidly progressive corneal infection in dogs that is
associated with diffuse corneal involvement, extensive keratomalacia, and a
relatively poor prognosis. Clinical features of canine Capnocytophaga keratitis
are similar to human cases of this infection.
PMID- 29360232
TI - Errata.
PMID- 29360234
TI - Dead cells certainly do matter, particularly when they can speak from the grave.
PMID- 29360235
TI - Obviating the need for sternotomy: Safety and effectiveness of microdebrider use
for retrosternal goiter.
AB - BACKGROUND: Most retrosternal goiter surgical removal can be performed via a
transcervical approach. However, it is often a challenging procedure, which might
include sternotomy. METHODS: We describe a surgical technique using a
microdebrider for intracapsular volume reduction that precedes an extracapsular
thyroidectomy, thereby avoiding the need for sternotomy, with decreased morbidity
and risk. The procedure is described in detail with 2 representative cases and a
summary of our experience in 26 cases. RESULTS: Twenty-six patients with
retrosternal goiters are included in our cohort. None of the patients needed a
sternotomy, and no major or permanent complications occurred. The average length
of hospital stay was 4.30 days after total thyroidectomy and 2.57 days after
hemithyroidectomy. CONCLUSION: The use of a microdebrider for intracapsular
volume reduction thyroidectomy is extremely helpful for transcervical removal of
retrosternal goiters in selected cases, and avoids the need for sternotomy, which
is especially beneficial in elderly patients, and those with comorbidities for
whom sternotomy should be avoided.
PMID- 29360236
TI - The NMR solution structure of Mycobacterium tuberculosis F-ATP synthase subunit
epsilon provides new insight into energy coupling inside the rotary engine.
AB - : Mycobacterium tuberculosis (Mt) F1 F0 ATP synthase (alpha3 :beta3
:gamma:delta:epsilon:a:b:b':c9 ) is essential for the viability of growing and
nongrowing persister cells of the pathogen. Here, we present the first NMR
solution structure of Mtepsilon, revealing an N-terminal beta-barrel domain (NTD)
and a C-terminal domain (CTD) composed of a helix-loop-helix with helix 1 and -2
being shorter compared to their counterparts in other bacteria. The C-terminal
amino acids are oriented toward the NTD, forming a domain-domain interface
between the NTD and CTD. The Mtepsilon structure provides a novel mechanistic
model of coupling c-ring- and epsilon rotation via a patch of hydrophobic
residues in the NTD and residues of the CTD to the bottom of the catalytic alpha3
beta3 -headpiece. To test our model, genome site-directed mutagenesis was
employed to introduce amino acid changes in these two parts of the epsilon
subunit. Inverted vesicle assays show that these mutations caused an increase in
ATP hydrolysis activity and a reduction in ATP synthesis. The structural and
enzymatic data are discussed in light of the transition mechanism of a compact
and extended state of Mtepsilon, which provides the inhibitory effects of this
coupling subunit inside the rotary engine. Finally, the employment of these data
with molecular docking shed light into the second binding site of the drug
Bedaquiline. DATABASE: Structural data are available in the PDB under the
accession number 5YIO.
PMID- 29360238
TI - Concentration of filaggrin monomers, its metabolites and corneocyte surface
texture in individuals with a history of atopic dermatitis and controls.
AB - BACKGROUND: Atopic dermatitis (AD) is characterized by skin barrier dysfunction.
Notably, a high number of nano-scale protrusions on the surface of corneocytes,
which can be expressed by the Dermal Texture Index (DTI), were recently
associated with paediatric AD, loss-of-function mutations in filaggrin gene (FLG)
and reduced levels of natural moisturizing factors (NMF). No study has so far
examined the association between these parameters and monomeric filaggrin levels
in adults. OBJECTIVE: To determine DTI, monomeric filaggrin and NMF in healthy
controls and a group of patients with controlled dermatitis. METHODS: A total of
67 adults (20 healthy controls and 47 dermatitis patients) were included. In the
patient population, a personal history of AD was diagnosed by the U.K. Working
Party's Diagnostic Criteria. All participants were tested for FLG mutations
(R501X, 2282del4, R2447X). Transepidermal water loss, monomeric filaggrin, DTI
and NMF were measured. RESULTS: In the patient population, 78.7% (37/47) had a
history of AD and 59.5% (28/47) had FLG mutations. Patients had significantly
higher levels of DTI and significantly lower levels of monomeric filaggrin and
NMF compared to the 20 healthy controls. Among patients, reduced level of
monomeric filaggrin and NMF correlated with the presence of FLG mutations and
clinical phenotypes such as xerosis, palmar hyperlinearity and AD. Among healthy
controls, DTI was significantly higher in the oldest age group compared to the
two younger age groups. CONCLUSION: A significant difference in DTI, monomeric
filaggrin and NMF levels was found when comparing dermatitis patients with
healthy controls. These findings suggest that even mild dermatitis or non-visible
inflammation has a significant and negative effect on the skin barrier as
inflammation is known to reduce filaggrin levels. DTI was significantly increased
in aged individuals in the healthy control group, suggesting a gradual change in
corneocyte morphology with age.
PMID- 29360239
TI - Silicon Carbide Nanoparticles as an Effective Bioadhesive to Bond Collagen
Containing Composite Gel Layers for Tissue Engineering Applications.
AB - Additive manufacturing via layer-by-layer adhesive bonding holds much promise for
scalable manufacturing of tissue-like constructs, specifically scaffolds with
integrated vascular networks for tissue engineering applications. However, there
remains a lack of effective adhesives capable of composite layer fusion without
affecting the integrity of patterned features. Here, the use of silicon carbide
is introduced as an effective adhesive to achieve strong bonding (0.39 +/- 0.03
kPa) between hybrid hydrogel films composed of alginate and collagen. The
techniques have allowed us to fabricate multilayered, heterogeneous constructs
with embedded high-resolution microchannels (150 um-1 mm) that are precisely
interspaced (500-600 um). Hydrogel layers are effectively bonded with silicon
carbide nanoparticles without blocking the hollow microchannels and high cell
viability (90.61 +/- 3.28%) is maintained within the scaffold. Nanosilica is also
tested and found to cause clogging of smaller microchannels when used for
interlayer bonding, but is successfully used to attach synthetic polymers (e.g.,
Tygon) to the hydrogels (32.5 +/- 2.12 mN bond strength). This allows us to form
inlet and outlet interconnections to the gel constructs. This ability to
integrate hollow channel networks into bulk soft material structures for
perfusion can be useful in 3D tissue engineering applications.
PMID- 29360237
TI - One-year weight losses in the Tianjin Gestational Diabetes Mellitus Prevention
Programme: A randomized clinical trial.
AB - AIMS: To report the weight loss findings after the first year of a lifestyle
intervention trial among women with gestational diabetes mellitus (GDM). METHODS:
A total of 1180 women with GDM were randomly assigned (1:1) to receive a 4-year
lifestyle intervention (intervention group, n = 586) or standard care (control
group, n = 594) between August 2009 and July 2011. Major elements of the
intervention included 6 face-to-face sessions with study dieticians and two
telephone calls in the first year, and two individual sessions and two telephone
calls in each subsequent year. RESULTS: Among 79% of participants who completed
the year 1 trial, mean weight loss was 0.82 kg (1.12% of initial weight) in the
intervention group and 0.09 kg (0.03% of initial weight) in the control group (P
= .001). In a prespecified subgroup analysis of people who completed the trial,
weight loss was more pronounced in women who were overweight (body mass index
>=24 kg/m2 ) at baseline: mean weight loss 2.01 kg (2.87% of initial weight) in
the intervention group and 0.44 kg (0.52% of initial weight) in the control group
(P < .001). Compared with those in the control group, women in the intervention
group had a greater decrease in waist circumference (1.76 cm vs 0.73 cm; P =
.003) and body fat (0.50% vs 0.05% increase; P = .001). CONCLUSION: The 1-year
lifestyle intervention led to significant weight losses after delivery in women
who had GDM, and the effect was more pronounced in women who were overweight at
baseline.
PMID- 29360240
TI - Effects of intramedullary nails composed of a new beta-type Ti-Nb-Sn alloy with
low Young's modulus on fracture healing in mouse tibiae.
AB - The influence of Young's moduli of materials on the fracture healing process
remains unclear. This study aimed to assess the effects of intramedullary nails
composed of materials with low Young's moduli on fracture repair. We previously
developed a beta-type Ti-Nb-Sn alloy with low Young's modulus close to that of
human cortical bone. Here, we prepared two Ti-Nb-Sn alloys with Young's moduli of
45 and 78 GPa by heat treatment, and compared their effects on fracture healing.
Fracture and nailing were performed in the right tibiae of C57BL/6 mice. The bone
healing process was evaluated by microcomputed tomography (micro-CT),
histomorphometry, and RT-PCR. We found larger bone volumes of fracture callus in
the mice treated with the 45-GPa Ti-Nb-Sn alloy as compared with the 78-GPa Ti-Nb
Sn alloy in micro-CT analyses. This was confirmed with histology at day 14, with
accelerated new bone formation and cartilage absorption in the 45-GPa Ti-Nb-Sn
group compared with the 78-GPa Ti-Nb-Sn group. Acp5 expression was lower in the
45-GPa Ti-Nb-Sn group than in the 78-GPa Ti-Nb-Sn group at day 10. These findings
indicate that intramedullary fixation with nails with a lower Young's modulus
offer a greater capacity for fracture repair. Our 45-GPa Ti-Nb-Sn alloy is a
promising material for fracture treatment implants. (c) 2018 Wiley Periodicals,
Inc. J Biomed Mater Res Part B: Appl Biomater, 106B: 2841-2848, 2018.
PMID- 29360241
TI - Exploring the frequency and location of prescribing errors in the use of topical
glaucoma medications.
AB - IMPORTANCE: Very little is known about health-care professional related
prescribing errors within the glaucoma setting. BACKGROUND: This study aims to
quantify these errors and to explore where they occur along the prescribing
pathway. DESIGN: Cross-sectional study of patients attending a specialty glaucoma
clinic over a 5-month period. PARTICIPANTS: Data was collected for 109 patients.
METHODS: We compared glaucoma drop regimes from four different sources: As
documented in the hospital notes for the last appointment, the letter sent to the
General Practitioner (GP), patient's self-reporting and bottles/prescriptions
brought to the clinic appointment. MAIN OUTCOME MEASURES: Discrepancies were
identified and errors were grouped into one of four categories depending on where
in the prescribing pathway they occurred: Incorrect prescribing of the drops by
the clinician, incorrect prescribing of the drops by the GP/failure to provide a
repeat prescription, incorrect dispensing of the drops by the pharmacist and
possible non-adherence by the patient. RESULTS: There was a total of 217
individual prescription items involving 266 active ingredients. Seventy-one
prescription items out of 217 (33%) had an error, of this 53 (75%) were due to
possible patient non-adherence and 18 (25%) were process related errors made by
health-care professionals. CONCLUSIONS AND RELEVANCE: An error was identified in
33% of prescriptions. About 8.3% of prescriptions had a health-care professional
related process error. These errors are preventable and recognition of these is
important to maximize drop adherence and minimize disease progression, requiring
increased consultations and interventions with quality of life and health
economic consequences.
PMID- 29360242
TI - The Grieving Nursing Diagnoses in the Primary Healthcare Setting.
AB - PURPOSE: To estimate the prevalence of Grieving, risk for Complicated Grieving,
and Complicated Grieving in the primary care setting. METHODS: Retrospective
epidemiological study, analyzing data from electronic health records (EHR).
FINDINGS: A total of 84% of the 9,063 records had diagnostic labels without
defining characteristics, related factors, or risk factors. A larger frequency of
complicated grieving was found in deceased mourners. CONCLUSIONS: The grieving
epidemiology opens new chances for the research using data from EHR. PRACTICE
IMPLICATIONS: The adequacy of the records is essential to develop a profile of
the patient at risk of complications after the loss. This research is an
important step to build an epidemiological basis for nursing diagnosis of
grieving in the primary health care setting.
PMID- 29360244
TI - Historical aspects of studies on roles of the inflammasome in the pathogenesis of
periodontal diseases.
AB - The proinflammatory cytokine interleukin-1beta (IL-1beta) is produced as inactive
proIL-1beta and then processed by caspase-1 to become active. In 2002, it was
demonstrated that the intracellular multiprotein complex known as the
inflammasome functions as a molecular platform to trigger activation of caspase
1. Inflammasomes are known to function as intracellular sensors for a broad
spectrum of various pathogen-associated and damage-associated molecular patterns.
In 1985, it was demonstrated that Porphyromonas gingivalis, a representative
bacterium causing chronic periodontitis, induces IL-1 production by murine
peritoneal macrophages. Since then, many studies have suggested that IL-1,
particularly IL-1beta plays key roles in the pathogenesis of periodontal
diseases. However, the term "inflammasome" was not used until the involvement of
inflammasomes in periodontal disease was suggested in 2009. Several subsequent
studies on the roles of the inflammasome in the pathogenesis of periodontal
diseases have been published. Interestingly, two contradictory reports on the
modulation of inflammasomes by P. gingivalis have been published. Some papers
have described how P. gingivalis activates the inflammasome to produce IL-1beta
whereas some stated that P. gingivalis inhibits inflammasome activation to
subvert immune responses. Several lines of evidence have suggested that the
inflammasome activation is modulated by periodontopathic bacteria other than P.
gingivalis. Hence, studies on the roles of inflammasomes in the pathogenesis of
periodontal diseases began only 8 years ago and many pathological roles of
inflammasomes remain to be clarified.
PMID- 29360245
TI - Memorial: Emmanuelle Caron 1967-2009.
PMID- 29360246
TI - Abstracts from the Third International Damage Associated Molecular Pattern
Molecules (DAMPs) and Alarmins Symposium.
PMID- 29360248
TI - Acute Kidney Injury: Who Should be Followed-up and by Whom?
PMID- 29360250
TI - Impact of electronic health record-based, pharmacist-driven valganciclovir dose
optimization in solid organ transplant recipients.
AB - BACKGROUND: Prophylaxis with valganciclovir reduces the incidence of
cytomegalovirus (CMV) infection following solid organ transplant (SOT). Under
dosing of valganciclovir is associated with an increased risk of CMV infection
and development of ganciclovir-resistant CMV. METHODS: An automated electronic
health record (EHR)-based, pharmacist-driven program was developed to optimize
dosing of valganciclovir in solid organ transplant recipients at a large
transplant center. Two cohorts of kidney, pancreas-kidney, and liver transplant
recipients from our center pre-implementation (April 2011-March 2012, n = 303)
and post-implementation of the optimization program (September 2012-August 2013,
n=263) had demographic and key outcomes data collected for 1 year post
transplant. RESULTS: The 1-year incidence of CMV infection dropped from 56
(18.5%) to 32 (12.2%, P = .05) and the incidence of breakthrough infections on
prophylaxis was cut in half (61% vs 34%, P = .03) after implementation of the
dose optimization program. The hazard ratio of developing CMV was 1.64 (95% CI
1.06-2.60, P = .027) for the pre-implementation group after adjusting for
potential confounders. The program also resulted in a numerical reduction in the
number of ganciclovir-resistant CMV cases (2 [0.7%] pre-implementation vs 0 post
implementation). CONCLUSIONS: An EHR-based, pharmacist-driven valganciclovir dose
optimization program was associated with reduction in CMV infections.
PMID- 29360249
TI - Pretreatment with standard-dose intravenous methylprednisolone does not improve
outcomes in newly diagnosed immune thrombocytopenia (ITP).
AB - OBJECTIVE: To assess the benefits and harms to initiate corticosteroids with
intravenous methylprednisolone at a conventional dose (1 mg/kg/d) to treat adults
with immune thrombocytopenia (ITP). METHODS: Population stemmed from the
prospective multicenter CARMEN registry and included newly diagnosed hospitalized
ITP adults with platelet counts<30 * 109 /L. We compared the patients treated
with conventional-dose methylprednisolone (CDMP) before continuing with oral
prednisone to patients treated with just conventional-dose oral prednisone
(CDOP). The primary outcome was the time until response. Secondary outcomes were
time until complete response, response rate, complete response rate, duration of
hospital stay, and occurrence of adverse drug reactions. Analyzes were adjusted
for propensity score and for exposure to intravenous immunoglobulin. RESULTS:
Among the included 87 patients, the median time to response was 3 days in the
CDMP group vs 4 in the CDOP group (adjusted hazard ratio [aHR]: 1.35; 95%CI: 0.76
2.41). The CDMP group had an earlier complete response (aHR: 2.29; 95%CI: 1.20
4.36). There was no difference between the groups regarding other secondary
outcomes. CONCLUSIONS: Initiating methylprednisolone at a conventional dose
provided no significant benefit compared to giving oral prednisone only to adults
with ITP.
PMID- 29360255
TI - Erratum.
PMID- 29360254
TI - Intravenous thrombolysis for acute ischaemic stroke in patients on direct oral
anticoagulants.
AB - BACKGROUND AND PURPOSE: Whereas intravenous thrombolysis (IVT) is allowed for
acute ischaemic stroke in patients on vitamin K antagonists with international
normalized ratio <=1.7, there are no similar recommendations for patients on
direct oral anticoagulants (DOACs), notably due to the lack of coagulation tests
to assess the therapeutic effects. Although the literature is scarce, consisting
of small case series and retrospective studies, considering the frequency of this
situation the French Vascular Neurology Society and the French Study Group on
Haemostasis and Thrombosis have worked on a joint position paper to provide a
practical position regarding the emergency management of ischaemic stroke in
patients on DOACs. METHOD: Based on a review of the literature, the authors wrote
a first text that was submitted to a broad panel of members from the two
societies. The text was then amended by the authors to address experts' comments
and to reach a consensus. RESULTS: In patients with normal renal function and who
stopped the DOAC for at least 48 h, the management should not differ from that in
patients without oral anticoagulant. In patients who are still on DOACs,
mechanical thrombectomy is encouraged preferentially when applicable in first
line. Otherwise, when specific tests are available, values <50 ng/ml indicate
that IVT is allowed. In the absence of specific tests, standard tests (thrombin
time, prothrombin time and activated partial thromboplastin time) can be used for
dabigatran and rivaroxaban, although interpretation of these tests may be less
reliable. In some patients on dabigatran, idarucizumab may be used before IVT.
CONCLUSIONS: In this expert opinion paper, it is suggested that IVT can be
performed in patients selected according to the time elapsed since the drug was
last taken, renal function, type of hospital where the patient is admitted and
plasma concentration of DOAC.
PMID- 29360259
TI - Classifiers and their Metrics Quantified.
AB - Molecular modeling frequently constructs classification models for the prediction
of two-class entities, such as compound bio(in)activity, chemical property
(non)existence, protein (non)interaction, and so forth. The models are evaluated
using well known metrics such as accuracy or true positive rates. However, these
frequently used metrics applied to retrospective and/or artificially generated
prediction datasets can potentially overestimate true performance in actual
prospective experiments. Here, we systematically consider metric value surface
generation as a consequence of data balance, and propose the computation of an
inverse cumulative distribution function taken over a metric surface. The
proposed distribution analysis can aid in the selection of metrics when
formulating study design. In addition to theoretical analyses, a practical
example in chemogenomic virtual screening highlights the care required in metric
selection and interpretation.
PMID- 29360260
TI - Diet soft drink is associated with increased odds of proliferative diabetic
retinopathy.
AB - IMPORTANCE: While consumption of soft drink may increase the risk of
cardiovascular disease, the relationship between soft drink consumption and
diabetes complications is unknown. BACKGROUND: To explore the association between
regular and diet soft drink consumption, and diabetic retinopathy (DR) and
diabetic macular oedema (DME). DESIGN: Clinical, cross-sectional study.
PARTICIPANTS: Adult patients with diabetes recruited from a tertiary eye hospital
(Melbourne, Australia) answered a Food Frequency Questionnaire. METHODS: None,
moderate and high soft drink consumption was defined as <1, 1-4 and >4
cans/bottles (375 mL) per week, respectively. Due to missing data, data were
imputed using the multiple imputation chained equation procedure. Multivariable
logistic regression models determined the associations between soft drink
consumption, and presence and severity of DR/DME. MAIN OUTCOME MEASURES: Presence
and severity of DR/DME. RESULTS: Of the 609 participants (mean age +/- standard
deviation: 64.6 +/- 11.6 years; males = 210), 285 (46.8%) and 190 (31.2%)
consumed diet and regular soft drink, respectively. A total of 230 (37.8%), 36
(5.9%), 154 (25.3%), 28 (4.6%) and 146 (24.0%) had no DR, mild non-proliferative
DR (NPDR), moderate NPDR, severe NPDR and proliferative DR (PDR), respectively.
High diet soft drink consumption was independently associated with increased
likelihood of having PDR (odds ratio = 2.51, 95% confidence interval = 1.05
5.98), compared to no consumption. In contrast, regular soft drink was not
associated with DR or DME. CONCLUSIONS AND RELEVANCE: Consuming >4 cans (1.5
L)/week of diet soft drink is associated with a more than twofold risk of having
PDR in patients with diabetes. Longitudinal studies are needed to further
elucidate the association and its underpinning mechanisms.
PMID- 29360258
TI - Liver function and dysfunction - a unique window into the physiological reach of
ER stress and the unfolded protein response.
AB - The unfolded protein response (UPR) improves endoplasmic reticulum (ER) protein
folding in order to alleviate stress. Yet it is becoming increasingly clear that
the UPR regulates processes well beyond those directly involved in protein
folding, in some cases by mechanisms that fall outside the realm of canonical UPR
signaling. These pathways are highly specific from one cell type to another,
implying that ER stress signaling affects each tissue in a unique way. Perhaps
nowhere is this more evident than in the liver, which-beyond being a highly
secretory tissue-is a key regulator of peripheral metabolism and a uniquely
proliferative organ upon damage. The liver provides a powerful model system for
exploring how and why the UPR extends its reach into physiological processes that
occur outside the ER, and how ER stress contributes to the many systemic diseases
that involve liver dysfunction. This review will highlight the ways in which the
study of ER stress in the liver has expanded the view of the UPR to a response
that is a key guardian of cellular homeostasis outside of just the narrow realm
of ER protein folding.
PMID- 29360261
TI - Advanced endoscopic imaging for diagnosis of inflammatory bowel diseases: Present
and future perspectives.
AB - Crohn's disease and ulcerative colitis are chronic inflammatory bowel diseases
(IBD) causing severe damage of the luminal gastrointestinal tract. Differential
diagnosis between both disease entities is sometimes awkward requiring a
multifactorial pathway, including clinical and laboratory data, radiological
findings, histopathology and endoscopy. Apart from disease diagnosis, endoscopy
in IBD plays a major role in prediction of disease severity and extent (i.e.
mucosal healing) for tailored patient management and for screening of colitis
associated cancer and its precursor lesions. In this state-of-the-art review, we
focus on current applications of endoscopy for diagnosis and surveillance of IBD.
Moreover, we will discuss the latest guidelines on surveillance and provide an
overview of the most recent developments in the field of endoscopic imaging and
IBD.
PMID- 29360262
TI - Immunocompromised patients with metastatic cutaneous nodal squamous cell
carcinoma of the head and neck: Poor outcome unrelated to the index lesion.
AB - BACKGROUND: Immunocompromised patients with metastatic cutaneous nodal head and
neck squamous cell carcinoma (HNSCC) have worse outcomes compared to the
immunocompetent. The purpose of this study was to investigate the characteristics
of the primary cutaneous squamous cell carcinoma (SCC), nodal pathology, and
outcome between these 2 groups. METHODS: Analysis of a prospective database was
performed. A 2:1 pooled analysis selected 46 immunocompetent patients matched
with 23 immunocompromised patients. Overall survival (OS) and relapse-free
survival (RFS) were calculated using the Kaplan-Meier method. RESULTS: No
significant difference was found in the primary tumor characteristics between the
2 groups. In the immunocompromised group, RFS (hazard ratio [HR] 2.70; P = .01)
and OS (HR 2.32; P = .04) were significantly worse. Extracapsular spread was
present in 100% of the immunocompromised patients. CONCLUSION: No significant
difference was identified in the primary cutaneous SCC between the
immunocompetent and immunocompromised patients. Immunosuppression predicted worse
outcome.
PMID- 29360263
TI - Usefulness of Sweep Imaging With Fourier Transform for Evaluation of Cortical
Bone in Diabetic Rats.
AB - BACKGROUND: Diabetes decreases bone strength, possibly because of cortical bone
changes. Sweep imaging with Fourier transform (SWIFT) has been reported to be
useful for cortical bone evaluation. PURPOSE: To evaluate cortical bone changes
in diabetic rats using SWIFT, assess the usefulness of this technique through
comparisons with microcomputed tomography (MUCT) and conventional MRI, and
clarify the mechanism underlying cortical bone changes using histomorphometry
STUDY TYPE: Animal cohort. ANIMAL MODEL: 8-week-old male Wistar/ST rats (N = 36)
were divided into diabetes (induced by streptozotocin injection) and control
groups. FIELD STRENGTH/SEQUENCE: 7.04T MRI, SWIFT. ASSESSMENT: Six animals from
each group were sacrificed at 2, 4, and 8 weeks after injection. Tibial bones
were extracted and evaluated using MUCT and MRI. The cortical bone mineral
density (BMD) was measured using MUCT. Proton density-weighted imaging (PDWI) and
SWIFT were also performed. The signal-to-noise ratio (SNR) was calculated for
each acquisition. The bone formation rate was evaluated using histomorphometry.
STATISTICAL TESTS: Findings at each timepoint were compared using Mann-Whitney U
tests. RESULTS: Cortical BMD was significantly lower in the diabetes group than
in the control group only at 8 weeks (P < 0.05). At all timepoints, PDWI-SNR
showed no significant differences between groups (P = 0.59, 0.70, and 0.82 at 2,
4, and 8 weeks, respectively). SWIFT-SNR was significantly lower in the diabetes
group than in the control group (P < 0.05 at 2 and 4 weeks and P < 0.01 at 8
weeks), and the bone formation rate was significantly lower in the diabetes group
than in the control group (P < 0.01 for all). DATA CONCLUSION: SWIFT can detect
cortical bone changes even before a decline in the cortical BMD in a diabetic
model. LEVEL OF EVIDENCE: 1 Technical Efficacy: Stage 3 J. MAGN. RESON. IMAGING
2018;48:389-397.
PMID- 29360264
TI - Diminished respiratory sinus arrhythmia response in infants later diagnosed with
autism spectrum disorder.
AB - : Indicators of risk for developing Autism Spectrum Disorder (ASD) are difficult
to detect within the first year of life. In this study, infants who were
originally followed prospectively to examine general developmental risks due to
substance exposure interacted with their mother and an unfamiliar experimenter
for 2-min episodes at 4 months of age. Electrocardiogram was collected to measure
respiratory sinus arrhythmia (RSA) and the session was video recorded for
behavioral coding. Two groups of infants were compared: infants with a diagnosed
ASD outcome (N = 8) and matched controls (N = 186). Infants were compared on mean
RSA and infant behavioral codes for each 2-min episode. No significant group
differences were revealed on RSA or behavior during interactions between the
infants and mothers. However, in response to play with a stranger, infants with
an ASD outcome had lower RSA (M = 2.49, 95% CI [2.30, 2.98]) than controls (M =
3.12, 95% CI [3.06, 3.18]). During the interaction with a stranger, lower RSA
response was associated with more protesting behaviors (P < 0.01), whereas higher
RSA response was associated with more social monitoring (P = 0.001). Lower RSA
suggests that 4-month-old infants later diagnosed with ASD exhibited poorer
autonomic regulation during interaction with an unfamiliar adult than did
controls. Physiological regulation during interactions with a new social partner
may be disrupted early in infancy in children with ASD, as indicated here by
lower RSA, and therefore be a potential target for developing early risk
screening tools for ASD. Autism Res 2018, 11: 726-731. (c) 2018 International
Society for Autism Research, Wiley Periodicals, Inc. LAY SUMMARY: Autism Spectrum
Disorder (ASD) emerges within the first years of life; however, it is difficult
to identify children who will develop autism before 12 months of age based on
behavioral measures. In a study of infants who were followed from birth, infants
who were later diagnosed with ASD had poorer physiological regulation during play
with a new adult. With additional evidence, poorer physiological regulation may
function as an early sign of ASD risk.
PMID- 29360265
TI - Effect of NADPH oxidase 1 and 4 blockade in activated human retinal endothelial
cells.
AB - BACKGROUND: Over-production of reactive oxygen species (ROS) and resulting
oxidative stress contribute to retinal damage in vascular diseases that include
diabetic retinopathy, retinopathy of prematurity and major retinal vessel
occlusions. NADPH oxidase (Nox) proteins are professional ROS-generating enzymes,
and therapeutic targeting in these diseases has strong appeal. Pharmacological
inhibition of Nox4 reduces the severity of experimental retinal vasculopathy. We
investigated the potential application of this drug approach in humans. METHODS:
Differential Nox enzyme expression was studied by real-time-quantitative
polymerase chain reaction in primary human retinal endothelial cell isolates and
a characterized human retinal endothelial cell line. Oxidative stress was
triggered chemically in endothelial cells, by treatment with
dimethyloxalylglycine (DMOG; 100 MUM); Nox4 and vascular endothelial growth
factor (VEGFA) transcript were measured; and production of ROS was detected by
2',7'-dichlorofluorescein. DMOG-stimulated endothelial cells were treated with
two Nox1/Nox4 inhibitors, GKT136901 and GKT137831; cell growth was monitored by
DNA quantification, in addition to VEGFA transcript and ROS production. RESULTS:
Nox4 (isoform Nox4A) was the predominant Nox enzyme expressed by human retinal
endothelial cells. Treatment with DMOG significantly increased endothelial cell
expression of Nox4 over 72 h, accompanied by ROS production and increased VEGFA
expression. Treatment with GKT136901 or GKT137831 significantly reduced DMOG
induced ROS production and VEGFA expression by endothelial cells, and the
inhibitory effect of DMOG on cell growth. CONCLUSIONS: Our findings in
experiments on activated human retinal endothelial cells provide translational
corroboration of studies in experimental models of retinal vasculopathy and
support the therapeutic application of Nox4 inhibition by GKT136901 and GKT137831
in patients with retinal vascular diseases.
PMID- 29360266
TI - Targeting glioma stem-like cell survival and chemoresistance through inhibition
of lysine-specific histone demethylase KDM2B.
AB - Glioblastoma (GBM) ranks among the most lethal cancers, with current therapies
offering only palliation. Inter- and intrapatient heterogeneity is a hallmark of
GBM, with epigenetically distinct cancer stem-like cells (CSCs) at the apex.
Targeting GSCs remains a challenging task because of their unique biology,
resemblance to normal neural stem/progenitor cells, and resistance to standard
cytotoxic therapy. Here, we find that the chromatin regulator, JmjC domain
histone H3K36me2/me1 demethylase KDM2B, is highly expressed in glioblastoma
surgical specimens compared to normal brain. Targeting KDM2B function genetically
or pharmacologically impaired the survival of patient-derived primary
glioblastoma cells through the induction of DNA damage and apoptosis, sensitizing
them to chemotherapy. KDM2B loss decreased the GSC pool, which was potentiated by
coadministration of chemotherapy. Collectively, our results demonstrate KDM2B is
crucial for glioblastoma maintenance, with inhibition causing loss of GSC
survival, genomic stability, and chemoresistance.
PMID- 29360268
TI - The Monoclonal Anti-CD157 Antibody Clone SY11B5, Used for High Sensitivity
Detection of PNH Clones on WBCs, Fails to Detect a Common Polymorphic Variant
Encoded by BST-1.
AB - BACKGROUND: CD157, encoded by BST-1, has been described as a useful flow
cytometric marker for the analysis of paroxysmal nocturnal hemoglobinuria (PNH)
as it is a glycosylphosphatidylinositol (GPI)-linked molecule highly expressed on
normal monocytes and neutrophils. We and others observed isolated CD157 signal
dropouts during intended PNH analysis. We hypothesize that these negative
populations occur due to an antibody failure. To investigate the reason for this
finding, we compared two different anti-CD157 antibody clones for PNH analysis.
METHODS: We sequenced BST-1 of CD157-negative probands that are not suffering
from PNH and expressed wild type and a discovered variant form of CD157 in HEK293
cells. We compared the binding patterns of two different anti-CD157 antibody
clones (SY11B5 and RF3) by flow cytometry and western blot analysis. RESULTS:
When sequencing two CD157-negative probands we detected a common SNP
(p.Arg145Gln) in exon 3 of BST-1. We found that only anti-CD157 antibody clone
RF3 but not the more widely used clone SY11B5 was able to detect both, the wild
type and the variant form of CD157 in flow cytometric experiments. CONCLUSION:
The failure of anti-CD157 antibody clone SY11B5 to detect a common SNP can
explain some CD157-negative cytometric data. This provides crucial knowledge for
laboratories performing PNH analyses as such results can potentially lead to
false-positive PNH interpretation. Our results confirm the importance of
published PNH guidelines. (c) 2018 International Clinical Cytometry Society.
PMID- 29360267
TI - MAD2L2 inhibits colorectal cancer growth by promoting NCOA3 ubiquitination and
degradation.
AB - Nuclear receptor coactivator 3 (NCOA3) is a transcriptional coactivator that has
elevated expression in multiple tumor types, including colorectal cancer (CRC).
However, the molecular mechanisms that regulate the tumorigenic functions of
NCOA3 in CRC remain largely unknown. In this study, we aimed to discover and
identify the novel regulatory proteins of NCOA3 and explore their mechanisms of
action. Immunoprecipitation (IP) coupled with mass spectrometry (IP-MS) analysis
was used to detect, identify, and verify the proteins that interacted with NCOA3
in CRC cells. The biological functions of the candidate proteins and the
underlying molecular mechanism were investigated in CRC cells and mouse model in
vitro and in vivo. The clinical significance of NCOA3 and its interaction partner
protein in CRC patients was also studied. We identified mitotic arrest deficient
2-like protein 2 (MAD2L2, also known as MAD2B or REV7), with two signal peptide
sequences of LIPLK and EVYPVGIFQK, to be an interaction partner of NCOA3.
Overexpression of MAD2L2 suppressed the proliferation, migration, and
clonogenicity of CRC cells by inducing the degradation of NCOA3. The mechanism
study showed that increased MAD2L2 expression in CRC cells activated p38, which
was required for the phosphorylation of NCOA3 that led to its ubiquitination and
degradation by the proteasome. Moreover, we found that MAD2L2 predicted favorable
prognosis in CRC patients. We have discovered a novel role of MAD2L2 in the
regulation of NCOA3 degradation and proposed that MAD2L2 serves as a tumor
suppressor in CRC.
PMID- 29360269
TI - Fabrication of functionalized citrus pectin/silk fibroin scaffolds for skin
tissue engineering.
AB - In this study, novel porous three-dimensional (3D) scaffolds from silk fibroin
(SF) and functionalized (amidated and oxidized) citrus pectin (PEC) were
developed for skin tissue engineering applications. Crosslinking was achieved by
Schiff's reaction in borax presence as crosslinking coordinating agent and CaCl2
addition. After freeze-drying and methanol treatment, plasma treatment (10 W, 3
min) was applied to remove surface skin layer formed on scaffolds. 3D matrices
had high porosity (83%) and interconnectivity with pore size about 120 um that
providing suitable microenvironment for cells. Modifications on PEC chain and
crosslinking of scaffolds were verified by fourier-transform infrared
spectroscopy (FTIR) analysis and spectrophotometric assay. Scaffolds showed low
weight loss (21.3% in 40 days) and high water uptake ability in phosphate
buffered saline (800% in 24 h). Mechanical properties of 3D matrices satisfied
the stability of scaffolds under compressive stress and supported adhesion,
proliferation and penetration of fibroblast cells. Our results suggested that
modified PEC-SF scaffolds would be proposed for use in tissue engineered skin
dermal substitutes. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part B:
Appl Biomater, 106B: 2625-2635, 2018.
PMID- 29360270
TI - In Situ Probing Intracellular Drug Release from Redox-Responsive Micelles by
United FRET and AIE.
AB - Redox-responsive micelles are versatile nanoplatforms for on-demand drug
delivery, but the in situ evaluation of drug release is challenging. Fluorescence
resonance energy transfer (FRET) technique shows potential for addressing this,
while the aggregation-caused quenching effect limits the assay sensitivity. The
aim of the current work is to combine aggregation-induced emission (AIE) probe
with FRET to realize drug release assessment from micelles. Tetraphenylethene
(TPE) is selected as AIE dye and curcumin (Cur) is chosen as the model drug as
well as FRET receptor. The drug is covalently linked to a block copolymer via the
disulfide bond linker and TPE is also chemically linked to the polymer via an
amide bond; the obtained amphiphilic polymer conjugate self-assembles into
micelles with a hydrodynamic size of ~125 nm. Upon the supplement of glutathione
or tris(2-carboxyethyl)phosphine) trigger (10 * 10-3 m), the drug release induces
the fluorescence increase of both TPE and Cur. Accompanied with the FRET decay,
absorption enhancement and particle size increase are observed. The same
phenomenon is observed in MCF-7 cells. The FRET-AIE approach can be a useful
addition to the spectrum of available methods for monitoring drug release from
stimuli-responsive nanomedicine.
PMID- 29360272
TI - New dimensions of asymmetric division in vertebrates.
AB - Traditionally, we imagine that cell division gives rise to two identical daughter
cells. Nevertheless, all cell divisions, to some degree, display asymmetry.
Asymmetric cell division is defined as the generation of two daughter cells with
different physical content and/or developmental potential. Several organelles and
cellular components including the centrosome, non-coding RNA, chromatin, and
recycling endosomes are involved in the process of asymmetric cell division.
Disruption of this important process is known to induce profound defects in
development, the immune response, regeneration of tissues, aging, and cancer.
Here, we discuss recent advances that expand our understanding of the mechanisms
and consequences of asymmetric cell division in vertebrate organisms.
PMID- 29360276
TI - Lymph node ratio as a prognostic factor in metastatic cutaneous head and neck
squamous cell carcinoma.
AB - BACKGROUND: The prognostic impact of the size and number of nodal metastases in
head and neck cutaneous squamous cell carcinoma (SCC) is well established. The
purpose of this study was to validate the prognostic significance of the lymph
node ratio in metastatic head and neck cutaneous SCC. METHODS: A retrospective
review of 326 patients with head and neck cutaneous SCC with parotid and/or
cervical nodal metastases was performed. The primary endpoints were overall
survival (OS) and disease-free survival (DFS). The minimal-P approach was used to
investigate the optimal lymph node ratio threshold. RESULTS: Our data included 77
recurrences and 101 deaths. A lymph node ratio of 6% was a significant predictor
of shorter DFS (hazard ratio [HR] 1.62; 95% confidence interval [CI] 1.11-2.38; P
= .01) and OS (HR 1.63; 95% CI 1.03-2.58; P = 0.04) on multivariable analysis.
CONCLUSION: The lymph node ratio is an independent prognosticator of survival
outcomes in patients presenting with metastatic head and neck cutaneous SCC. A
lymph node ratio >6% is a significant threshold to categorize patients into low
and high risk.
PMID- 29360277
TI - Comparative effectiveness of aerosolized versus oral ribavirin for the treatment
of respiratory syncytial virus infections: A single-center retrospective cohort
study and review of the literature.
AB - BACKGROUND: Respiratory syncytial virus (RSV) is a leading cause of viral
infections in immunocompromised hosts and is associated with significant
morbidity and mortality. In January 2015, our institution switched from
aerosolized to oral ribavirin (RBV) for primary treatment of RSV infection among
high-risk immunocompromised adult patients. The objective of the study was to
evaluate the clinical and economic outcomes associated with this switch. METHODS:
Retrospective cohort analysis of adult patients diagnosed with RSV infection and
treated with RBV between January 1, 2013, and May 31, 2016. RESULTS: Of 46
patients, 20 (43%) patients received oral RBV and 26 (57%) received aerosolized
RBV. Underlying conditions included lung transplant (n = 22), hematopoietic cell
transplant (n = 16), hematological malignancy (n = 5), and structural lung
diseases (n = 4). At the time of RSV diagnosis, 42 (91%) were hospitalized, 36
(78%) had clinical and radiographic evidence of lower respiratory tract infection
(RTI), 9 (20%) were admitted to the ICU, and 4 (9%) required intubation. There
were no differences in clinical outcomes between the 2 groups with regard to
adverse events, progression from upper to lower RTI, escalation of care, or 30
day mortality. Three (15%) in the oral group and 1 (4%) in the aerosolized group
died within 30 days (P = .33). The cost avoidance attributable to the switch in
therapy over 1 year is $1.2 million. CONCLUSION: Oral RBV appears to be a safe
and cost-effective alternative to aerosolized RBV for the management of RSV
infection in immunocompromised patients. Larger studies are needed to validate
the safety and efficacy of this approach.
PMID- 29360278
TI - Outcomes after free tissue transfer for composite oral cavity resections
involving skin.
AB - BACKGROUND: Resections involving oral cavity mucosa, bone, and skin present a
unique challenge. Optimizing outcomes often requires technically demanding
reconstruction. The purpose of this study is to evaluate outcomes of several
reconstructive approaches for patients with composite through-and-through
defects, with a focus on the osteocutaneous radial forearm free flap (RFFF).
METHODS: We conducted a retrospective evaluation of the cohort of patients
treated for composite through-and-through defects with cutaneous involvement who
underwent free flap reconstruction from August 2012 through October 2015.
RESULTS: Seventeen patients received a single flap (12 cases of osteocutaneous
RFFF), whereas 10 patients underwent a combination of flaps. Complication rates
and functional outcomes were favorable in patients who underwent osteocutaneous
RFFFs. The supraclavicular artery island flap (SCAIF) was used as a second flap
in 3 cases. CONCLUSION: The osteocutaneous RFFF provides a valuable
reconstructive option for complex composite resection defects involving skin.
When 2 flaps are required, the SCAIF is a viable alternative to a second free
flap or pectoralis flap.
PMID- 29360280
TI - Dialysis disequilibrium syndrome leading to sudden brain death in a chronic
hemodialysis patient.
AB - Dialysis disequilibrium syndrome (DDS) is a rare central nervous system (CNS)
disease which develops in patients receiving hemodialysis (HD). Although it has
been reported in patients receiving chronic HD, DDS is more common during or
immediately after the first HD treatment. The exact incidence of DDS is unknown.
Death in DDS is an extremely rare phenomenon and to the best of our knowledge
only 9 cases have been reported showing this association. We present a unique
case of a 42-year-old female on chronic HD, and no prior history of CNS disease,
who developed brain death in the setting of DDS 2 hours into the dialysis
treatment. A literature review of all previously reported cases was performed.
PMID- 29360281
TI - Ethylene Glycol Electrooxidation Based on Pentangle-Like PtCu Nanocatalysts.
AB - The research of active and stable electrocatalysts toward liquid-fuel oxidation
reaction is of great significance for the large-scale commercialization of fuel
cells. Although extensive efforts have been devoted to pursuing high-performance
nanocatalysts for fuel cells, both the high cost and sluggish reaction kinetics
have been two major drawbacks that limited its commercial development. In this
regard, we demonstrated a facile solvothermal method for the syntheses of an
advanced class of PtCu nanocatalysts with a unique pentangle-like shape. By
combining the merits of a highly active surface area as well as the synergistic
and electronic effects, the as-prepared pentangle-like Pt3 Cu nanocatalysts
showed superior electrocatalytic activity towards ethylene glycol oxidation with
a mass and specific activities of 5162.6 mA mg-1 and 9.7 mA cm-2 , approximately
5.0 and 5.1 times higher than the commercial Pt/C, respectively. More
significantly, the Pt3 Cu pentangle also showed excellent long-term stability
with less activity decay and negligible changes in structure after 500 cycles,
indicating another class of anode catalysts for fuel cells and beyond.
PMID- 29360282
TI - Left ventricular assist device patient maintained on home hemodialysis: A novel
class of patients to the home dialysis population.
AB - Severe heart failure is increasingly being managed by cardiac transplantation,
and in some cases mechanical support devices serve as destination therapies. Left
ventricular assist devices (LVADs) were approved for destination therapy for end
stage heart failure patients before the more advanced total artificial heart
modality became available. One common complication of mechanical assist device
placement is acute kidney injury. Historically, patients with mechanical support
devices have had to have inpatient hemodialysis until combined heart kidney
transplant. Though, some units have started accepting LVAD patients in outpatient
dialysis clinics. The cost of in center hemodialysis remains high and home
dialysis modalities are becoming increasingly popular. We report the first
patient with an LVAD to undergo training and successful home hemodialysis while
awaiting combined heart kidney transplantation.
PMID- 29360285
TI - Predictors of clinical-pathologic stage discrepancy in oral cavity squamous cell
carcinoma: A National Cancer Database study.
AB - BACKGROUND: Few studies have examined the frequency and survival implications of
clinicopathologic stage discrepancy in oral cavity squamous cell carcinoma (SCC).
METHODS: Oral cavity SCC cases with full pathologic staging information were
identified in the National Cancer Database (NCDB). Clinical and pathologic stages
were compared. Multivariate logistic regressions were performed to identify
factors associated with stage discrepancy. RESULTS: There were 9110 cases
identified, of which 67.3% of the cases were stage concordant, 19.9% were
upstaged, and 12.8% were downstaged. The N classification discordance (28.5%) was
more common than T classification discordance (27.6%). In cases of T
classification discordance, downstaging is more common than upstaging (15.4% vs
12.1% of cases), but in cases of N classification discordance, the reverse is
true; upstaging is much more common than downstaging (20.1 vs 8.4% of cases).
CONCLUSION: Clinicopathologic stage discrepancy in oral cavity SCC is a common
phenomenon that is associated with a number of clinical factors and has survival
implications.
PMID- 29360286
TI - Radiotherapy-related complications presenting to a urology department: a more
common problem than previously thought?
AB - OBJECTIVE: To quantify the burden of the side effects of radiotherapy on a
tertiary referral urology department. PATIENTS AND METHODS: A prospective study
of all urology admissions to a public urology department at a tertiary hospital
in a 6-month period was performed. Patients admitted with complications
attributable to radiotherapy were included in the study. Data obtained included
patient demographics, radiotherapy details, complication type and management
required. RESULTS: A total of 1198 patients were admitted; 921 (77%) were
elective and 277 (23%) were emergency admissions. Thirteen out of the 921 (1.4%)
elective admissions and 20 out of the 277 (7.2%) emergency admissions were
attributable to radiotherapy complications. Radiotherapy complications was the
fourth most common reason for emergency admission, ahead of acute urinary
retention. These 33 admissions were accounted for by 21 patients. A total of 39
separate complications attributable to radiotherapy were diagnosed, with some
patients having multiple complications. The median (interquartile range) time to
onset of complications was 4 (1-9) years. The surgical intervention rate was 67%.
The commonest procedures were washout with/without clot evacuation or diathermy
in theatre (15.8%) and urethral dilatation/bladder neck incision (15.8%). Two
urinary diversions and two cystoprostatectomies plus urinary diversion were
performed. CONCLUSION: Radiotherapy complications are consequential and account
for a substantial proportion of a tertiary urology department's emergency
workload. These complications generally occur years after radiotherapy and
frequently require surgical intervention.
PMID- 29360284
TI - Amyloid fibril polymorphism: a challenge for molecular imaging and therapy.
AB - The accumulation of misfolded proteins (MPs), both unique and common, for
different diseases is central for many chronic degenerative diseases. In certain
patients, MP accumulation is systemic (e.g. TTR amyloid), and in others, this is
localized to a specific cell type (e.g. Alzheimer's disease). In
neurodegenerative diseases, NDs, it is noticeable that the accumulation of MP
progressively spreads throughout the nervous system. Our main hypothesis of this
article is that MPs are not only markers but also active carriers of
pathogenicity. Here, we discuss studies from comprehensive molecular approaches
aimed at understanding MP conformational variations (polymorphism) and their
bearing on spreading of MPs, MP toxicity, as well as MP targeting in imaging and
therapy. Neurodegenerative disease (ND) represents a major and growing societal
challenge, with millions of people worldwide suffering from Alzheimer's or
Parkinson's diseases alone. For all NDs, current treatment is palliative without
addressing the primary cause and is not curative. Over recent years, particularly
the shape-shifting properties of misfolded proteins and their spreading pathways
have been intensively researched. The difficulty in addressing ND has prompted
most major pharma companies to severely downsize their nervous system disorder
research. Increased academic research is pivotal for filling this void and to
translate basic research into tools for medical professionals. Recent discoveries
of targeting drug design against MPs and improved model systems to study
structure, pathology spreading and toxicity strongly encourage future studies
along these lines to provide an opportunity for selective imaging, prognostic
diagnosis and therapy.
PMID- 29360287
TI - Percutaneous perfusion monitoring for the detection of hemodialysis induced
cardiovascular injury.
AB - INTRODUCTION: The safe delivery of hemodialysis (HD) faces dual challenges; the
accurate detection of systemic circulatory stress producing cardiovascular (CV)
injury, and the ability to enable effective preemptive intervention for such
injury. We performed a pilot study to examine the capability of a new
noninvasive, real-time monitoring system to detect the deleterious effects of HD
on CV stability. METHODS: Eight patients were evaluated with echocardiography
prior to the initiation of HD and again at peak HD stress. Continuous CV
physiologic monitoring was performed throughout using oximeter-based pulse
waveform analysis (CVInsight(r) Monitoring System, Intelomed, Inc., Warrendale,
PA, USA). Longitudinal strain (LS) values for 12 left ventricular segments were
generated using speckle-tracking software (EchoPac, GE), to assess the presence
of HD-induced regional wall motion abnormalities (RWMA), indicative of myocardial
stunning. FINDINGS: A reduction in pulse strength (PS) of >=40% detected by CVI
was associated with the development of RWMA (P = 0.005). This reduction occurred
in 6/8 patients, all of whom exhibited myocardial stunning. Two patients had no
significant reduction in PS nor evidence of myocardial stunning. In subjects with
cardiac stunning, the decrease in PS was evident early during HD, 11.49 +/- 10
minutes into HD treatment, prior to the detection of RWMA, which were assessed at
peak HD stress, mean 210 +/- 16.43 minutes into HD treatment. DISCUSSION:
Percutaneous perfusion monitoring, using pulse wave analysis, appears to be
useful in identifying circulatory stress during HD and predicting the development
of HD-induced myocardial stunning with a lead time long enough to consider timely
intervention.
PMID- 29360288
TI - Unexpected Falls During Clozapine Treatment Explained by Myoclonus.
PMID- 29360289
TI - Catatonia as a Manifestation of Cerebral Venous Sinus Thrombosis.
PMID- 29360290
TI - Facial Emotion Recognition Performance Differentiates Between Behavioral Variant
Frontotemporal Dementia and Major Depressive Disorder.
AB - OBJECTIVE: Misdiagnosis of early behavioral variant frontotemporal dementia
(bvFTD) with major depressive disorder (MDD) is not uncommon due to overlapping
symptoms. The aim of this study was to improve the discrimination between these
disorders using a novel facial emotion perception task. METHOD: In this
prospective cohort study (July 2013-March 2016), we compared 25 patients meeting
Rascovsky diagnostic criteria for bvFTD, 20 patients meeting DSM-IV criteria for
MDD, 21 patients meeting McKhann diagnostic criteria for Alzheimer's disease
dementia, and 31 healthy participants on a novel emotion intensity rating task
comprising morphed low-intensity facial stimuli. Participants were asked to rate
the intensity of morphed faces on the congruent basic emotion (eg, rating on
sadness when sad face is shown) and on the 5 incongruent basic emotions (eg,
rating on each of the other basic emotions when sad face is shown). RESULTS:
While bvFTD patients underrated congruent emotions (P < .01), they also overrated
incongruent emotions (P < .001), resulting in confusion of facial emotions. In
contrast, MDD patients overrated congruent negative facial emotions (P < .001),
but not incongruent facial emotions. Accordingly, ratings of congruent and
incongruent emotions highly discriminated between bvFTD and MDD patients, ranging
from area under the curve (AUC) = 93% to AUC = 98%. Further, an almost complete
discrimination (AUC = 99%) was achieved by contrasting the 2 rating types. In
contrast, Alzheimer's disease dementia patients perceived emotions similarly to
healthy participants, indicating no impact of cognitive impairment on rating
scores. CONCLUSIONS: Our congruent and incongruent facial emotion intensity
rating task allows a detailed assessment of facial emotion perception in patient
populations. By using this simple task, we achieved an almost complete
discrimination between bvFTD and MDD, potentially helping improve the diagnostic
certainty in early bvFTD.
PMID- 29360291
TI - Prospective Study of Brain Wave Changes Associated With Cranial Electrotherapy
Stimulation.
AB - Objective: To explore brain wave changes associated with cranial electrotherapy
stimulation (CES) among subjects receiving psychiatric care. Methods:
Quantitative electroencephalogram data were obtained before and after a 20-minute
session of CES. The investigators recruited active-duty military subjects from
Walter Reed National Military Medical Center's Psychiatry Continuity Service,
Bethesda, Maryland. Fifty subjects participated in this prospective, convenience
sample study from August 2016 through March 2017. The main outcome measures were
changes in brain wave activity and the Subjective Units of Distress Scale.
Results: The typical subject was mildly depressed and had severe trauma-related
symptoms and sleep problems. There was a significant increase (P = .000) in the
higher beta frequencies (18-21 Hz, 21-33 Hz, and 33-48 Hz) and a strong effect
(with the Cohen d around 1.5) immediately following the 20-minute CES. Ten
minutes after CES, slower wave activity (4-8 Hz and 8-12 Hz) significantly
decreased (P < .05), while higher beta wave activity (13-15 Hz, 18-21 Hz, and 21
33 Hz) increased. A strong effect (with the Cohen d around 1.5) persisted in the
beta brain wave bands 18-21 Hz and 21-33 Hz. Conclusions: Brain wave measurements
taken immediately after the 20-minute CES session showed a significant and strong
effect in the beta region, suggesting an increase in mental alertness, focus, and
concentration. Ten minutes after the CES session, an even more marked change in
brain wave activity occurred. The significant and strong effect in the beta
region persisted but was joined by a reduction in slower wave activity,
indicating an increase in mental alertness. Trial Registration:
ClinicalTrials.gov identifier NCT03298308.
PMID- 29360292
TI - The Brussels Statement on the Future Needs for Caries Epidemiology and
Surveillance in Europe.
AB - Following debate and discussion prompted by a focussed, day long pre-ORCA
Symposium in July 2015, the Alliance for a Cavity-Free Future Pan-European
Chapter, the Platform for Better Oral Health in Europe, and the European
Association of Dental Public Health have agreed this statement on the future
needs for caries epidemiology and surveillance in Europe. Each organisation
agreed to support the planned publication of the Statement, and will make it
available on their Organisation's websites and strive to implement its
recommendations.
PMID- 29360293
TI - The relationship between depression and periodontal diseases.
AB - OBJECTIVE: A cross-sectional study was conducted to investigate whether
depression is associated with periodontal diseases in a representative sample of
South Korean adults Methods: We used data from the sixth Korea National Health
and Nutrition Examination Survey (KNHANES VI), conducted in 2014. We included in
this study 4328 participants aged over 20 years (1768 males and 2560 females).
Depression was assessed with the Patient Health Questionnaire (PHQ-9) and history
of physician-diagnosed depression. Periodontal diseases were assessed a gingival
bleeding, calculus and periodontal pockets. The data were analyzed using the chi
square test and multiple logistic regression. RESULTS: People with any
periodontal diseases tended to be old, male, married, low income, poor education,
blue-collar occupation, diabetes mellitus, hypertension, overweight, smoking, not
using dental floss or interdental brush in univariate analysis. Neither self
reported nor diagnosed depression was associated with the presence of any or
severe periodontal disease in the total sample. In participants aged 20-29 years
only, the presence of any periodontal disease was associated with self-reported
depression (OR, 2.031; 95% CI, 1.011-4.078). In the same age group, the presence
of severe periodontal disease was associated with both self-reported depression
(OR, 6.532; 95% CI, 2.190-19.483) and diagnosed depression (OR, 7.729; 95% CI,
1.966-30.389). CONCLUSION: Self-reported depression was significantly associated
with the presence of any or severe periodontal disease, and diagnosed depression
was significantly associated with severe periodontal diseases only in
participants aged 20-29 years.
PMID- 29360294
TI - Medical Malpractice and Tort Reform.
PMID- 29360295
TI - Quality of Healthcare.
PMID- 29360296
TI - Healthcare Reform: Enforcement And Compliance.
PMID- 29360297
TI - Healthcare Reform: Administrative Rulemaking.
PMID- 29360298
TI - Healthcare Reform: Delivery Reform.
PMID- 29360299
TI - Healthcare Reform: Insurance Market Reform.
PMID- 29360300
TI - Healthcare Reform: Payment Reform.
PMID- 29360302
TI - Managed Care.
PMID- 29360301
TI - Healthcare Reform: State Specific Responses.
PMID- 29360303
TI - Mandated Benefits.
PMID- 29360304
TI - Long-Term Care: End-of-Life Issues.
PMID- 29360305
TI - Long-Term Care: Funding of Long-Term Care.
PMID- 29360306
TI - Long-Term Care: Facility Quality and Safety.
PMID- 29360307
TI - Long-Term Care: Home- and Community-Based Services.
PMID- 29360308
TI - Medicaid Copayments.
PMID- 29360309
TI - Nanochannel Implants for Minimally-Invasive Insertion and Intratumoral Delivery.
AB - Novel approaches to achieve local, intratumoral drug delivery have the dual
benefit of reducing systemic toxicity while enhancing efficacy for malignant
cells. We have developed a new implantable system combining a next-generation
BioNEMS nanofluidic membrane with parallel nanochannels that offers controlled
release of biomolecules. Based on concentration-driven diffusive transport,
nanochannel membranes provide a "drug agnostic" delivery mechanism. Integrating
this nanotechnology within a small implantable capsule permits multipurpose
functionality and compatibility with different therapeutic approaches as well as
diagnostic imaging capability. A minimally-invasive, percutaneous trocar delivery
mechanism enables serial implantation throughout a target tissue volume. In this
manuscript, we demonstrate that this platform is capable of sustained delivery
for chemotherapy, radiosensitization, immunomodulation, and imaging contrast,
among others. This platform's utility was established through release of
doxorubicin, OX86, FGK45, and Magnevist. Further proof-of-concept experiments
demonstrated successful in vivo implantation and intratumoral release of
antibodies and contrast agents, as well as the platform's MR-compatibility and
capability as a radiopaque fiducial. These results provide strong evidence for a
flexible, multifunctional nanofluidic implant capable of broadening local
delivery utility in the clinic.
PMID- 29360310
TI - Medicaid Provider Tax.
PMID- 29360311
TI - Benefits and Services.
PMID- 29360333
TI - Affordable Care Act Expansion.
PMID- 29360334
TI - Construction of 3-D Cellular Multi-Layers with Extracellular Matrix Assembly
Using Magnetic Nanoparticles.
AB - Construction of 3-dimensional (3-D) engineered tissue is increasingly being
investigated for use in drug discovery and regenerative medicine. Here, we
developed multi-layered 3-D cellular assembly by using magnetic nanoparticles
(MNP) isolated from Magnetospirillum sp. AMB-1 magnetotactic bacteria. Magnetized
human dermal fibroblasts (HDFBs) were prepared by treatment with the MNP, induced
to form 3-D assembly under a magnetic field. Analyses including LIVE/DEAD assay,
transmission electron microscopy revealed that the MNP were internalized via
clathrin-mediated endocytosis without cytotoxicity. The magnetized HDFBs could
build 3-D structure as a function of seeding density. When the highest seeding
density (5 * 105 cells/mm2 was used, the thickness of assembly was 41.90 +/- 1.69
MUm, with approximately 9.3 +/- 1.6 cell layers being formed. Immunofluorescence
staining confirmed homogeneous distribution of ECM and junction proteins
throughout the 3-D assembly. Real-time PCR analysis showed decrease in expression
levels of collagen types I and IV but increase in that of connexin 43 in the 3-D
assembly compared with the 2-D culture. Finally, we demonstrated that the
discernible layers can be formed hierarchically by serial assembly. In
conclusion, our study showed that a multi-layered structure can be easily
prepared using magnetically-assisted cellular assembly with highlighting cell
cell and cell-ECM communication.
PMID- 29360335
TI - Federal Medicaid Policy.
PMID- 29360336
TI - Plasmon Resonant Silica-Coated Silver Nanoplates as Contrast agents for Optical
Coherence Tomography.
AB - Silica-coated silver nanostructures are identified as potential contrast agents
for visible and near-infrared bio-imaging applications due to their high optical
extinction caused by localized surface plasmon resonance (LSPR), improved
chemical stability, and lower toxicity. We demonstrate the potential of plasmon
resonant silica-coated silver nanoplates as a contrast agent for optical
coherence tomography (OCT). It is shown that, triangular-shaped silica-coated
silver nanoplates (SSNPs) with a side length of 170 +/- 5 nm, base silver
thickness of 10 +/- 1 nm, and silica shell thickness of 40 +/- 2 nm, exhibit
higher optical extinction at a 1300 nm wavelength range, thus making them an
excellent contrast agent for OCT imaging. Optical extinction characterization
using OCT is found to be reasonably consistent with ultraviolet (UV)-Vis-near
infrared (NIR) spectroscopy and finite difference time-domain (FDTD)-based
analysis. Ex vivo studies on pig adipose tissue demonstrate that LSPR-induced
enhanced scattering in SSNPs contributes to the OCT signal, leading to images
with better contrast. Moreover, average A-scan profiles acquired at different
time delays show the downward propagation of SSNPs and the extension of signal
enhancement at the deeper regions. Speckle variance OCT images show that SSNPs
are efficiently distributed over the targeted tissue region, demonstrating their
applicability in a large lesion area.
PMID- 29360337
TI - Surface-Enhanced Raman Scattering and Fluorescence-Based Dual Nanoprobes for
Multiplexed Detection of Bacterial Pathogens.
AB - Surface-enhanced Raman scattering (SERS)-based biosensing has been of growing
interest for the detection of bacterial pathogens. Moreover, fluorescence (FL)
based bioimaging is also useful in that it is rapid, nearly non-destructive and
has high sensitivity. In this study, for the first time, we report the
preparation of dual nanoprobes based on both SERS and FL. These probes comprise
hierarchical nanostructures with metallic nanoparticle clusters (MNPCs). In
combination with magnetic beads (MBs), the probes were used for fast and
multiplexed detection of bacterial pathogens. Both MNPCs with different Raman
dyes and two sets of FL dyes were simultaneously encapsulated within polymeric
nanoparticles using electrohydrodynamic (EHD) jetting and chemically stabilized.
Two different sets of monoclonal antibodies (mAbs) against two kinds of bacterial
pathogens, Escherichia coli and Francisella tularensis, were separately
conjugated with the dual nanoprobes and the MBs. Sandwich-type immunocomplexes
composed of SERS-FL dual nanoprobes, pathogens, and MBs were formed in the
presence of E. coli and F. tularensis, and a linear correlation was observed
between Raman intensity and pathogen concentration in the range of 102-106
cells/mL; the limit of detection was less than 102 cells/mL. Also, selective
sandwich-type immunocomplexes against the pathogens were successfully imaged by
FL signals at 514 nm and 633 nm wavelength for excitation. In conclusion,
excellent capability of fast imaging and multiplexed detection of bacterial
pathogens was achieved using a new class of SERS-FL dual nanoprobes, providing a
powerful tool for qualitative and quantitative multiplexed biodetection of
pathogens.
PMID- 29360338
TI - Liposome-Protamine-DNA Nanoparticle-Mediated Delivery of Short Hairpin RNA
Targeting Brachyury Inhibits Chordoma Cell Growth.
AB - Recent evidence suggests that brachyury is a crucial molecular driver in the
initiation and propagation of chordoma. However, no small molecules have been
used to specifically target brachyury. Short hairpin RNA (shRNA) has therapeutic
promise for the genetic treatment of cancer, but the usage of shRNA therapeutics
is limited by obstacles related to effective delivery into the nuclei of target
cancer cells due to their inherent sensitivity to nucleases and large polyanionic
characteristics. To overcome instability and low transfection efficiency,
liposome-protamine-DNA (LPD) nanoparticles were synthesized and investigated as a
non-viral carrier of shRNA targeting brachyury in chordoma cells. The size, zeta
potential, affinity and transfection efficiency of LPD-shRNA complexes were
characterized, and their biological functions in chordoma cells were evaluated.
The transfection efficiency of LPD-shRNA was significant higher than naked shRNA.
LPD delivered brachyury shRNA into chordoma cells and inhibited brachyury
expression, induced apoptosis, upregulated the epithelial biomarker, E-cadherin,
downregulated the mesenchymal biomarker, Snail and Slug, and suppressed cell
growth. These data indicate that LPD might be a promising non-viral carrier for
shRNA in gene targeted therapy of chordoma.
PMID- 29360339
TI - Labeling of Hyaluronic Acids with a Rhenium-tricarbonyl Tag and Percutaneous
Penetration Studied by Multimodal Imaging.
AB - Hyaluronic acids were labeled with a rhenium-tricarbonyl used as single core
multimodal probe for imaging and their penetration into human skin biopsies was
studied using IR microscopy and fluorescence imaging (labeled SCoMPI). The
penetration was shown to be dependent on the molecular weight of the molecule and
limited to the upper layer of the skin.
PMID- 29360340
TI - Thermally Activated Delayed Fluorescence Pendant Copolymers with Electron- and
Hole-Transporting Spacers.
AB - To study the effect of hole- and electron-transporting spacers in copolymers on
the thermally activated delayed fluorescence (TADF) properties and device
efficiency of copolymers, two series of copolymers PCzPT-x and POPT-x have been
designed and synthesized successfully. In these copolymers, 2-(10H-phenothiazin
10-yl)dibenzothiophene-S,S-dioxide units give green-yellow TADF, while hole
transporting 9-(4-vinylphenyl)-9H-carbazole units or electron-transporting
diphenyl(4-vinylphenyl)phosphine oxide act as spacers or hosts. Their thermal,
electrochemical, photophysical, and electroluminescent properties and theoretical
calculations are systematically investigated to illustrate the relationships
between molecular structures and photophysical properties. By optimizing the
upconversion and radiative decay rate and managing the energy transfer, a green
yellow device based on POPT-25 achieves a maximum external quantum efficiency of
5.2%, a current efficiency of 16.8 cd/A, and a power efficiency of 7.8 lm/W with
CIE coordinates of (0.36, 0.50). Moreover, an external quantum efficiency of 3.5%
at the practical luminescence of 100 cd/m2 is obtained.
PMID- 29360341
TI - Fixed-Charge Trimethyl Pyrilium Modification for Enabling Enhanced Top-Down Mass
Spectrometry Sequencing of Intact Protein Complexes.
AB - Mass spectrometry of intact proteins and protein complexes has the potential to
provide a transformative level of information on biological systems, ranging from
sequence and post-translational modification analysis to the structures of whole
protein assemblies. This ambitious goal requires the efficient fragmentation of
both intact proteins and the macromolecular, multicomponent machines they
collaborate to create through noncovalent interactions. Improving technologies in
an effort to achieve such fragmentation remains perhaps the greatest challenge
facing current efforts to comprehensively analyze cellular protein composition
and is essential to realizing the full potential of proteomics. In this work, we
describe the use of a trimethyl pyrylium (TMP) fixed-charge covalent labeling
strategy aimed at enhancing fragmentation for challenging intact proteins and
intact protein complexes. Combining analysis of TMP-modified and unmodified
protein complexes results in a greater diversity of regions within the protein
that give rise to fragments, and results in an up to 2.5-fold increase in
sequence coverage when compared to unmodified protein alone, for protein
complexes up to 148 kDa. TMP modification offers a simple and powerful platform
to expand the capabilities of existing mass spectrometric instrumentation for the
complete characterization of intact protein assemblies.
PMID- 29360342
TI - Matrix Metalloproteinase-9-Responsive Nanogels for Proximal Surface Conversion
and Activated Cellular Uptake.
AB - Here, we have exploited the heightened extracellular concentration of matrix
metalloproteinase-9 (MMP-9) to induce surface-conversional properties of nanogels
with the aim of tumor-specific enhanced cellular uptake. A modular polymeric
nanogel platform was designed and synthesized for facile formulation and
validation of MMP-9-mediated dePEGylation and generation of polyamine-type
surface characteristics through peptide N-termini. Nanogels containing MMP-9
cleavable motifs and different poly(ethylene glycol) corona lengths (350 and 750
g/mol) were prepared, and enzymatic surface conversional properties were
validated by MALDI characterization of cleaved byproducts, fluorescamine assay
amine quantification, and zeta potential. The nanogel with a shorter PEG length,
mPEG350-NG, exhibited superior surface conversion in response to extracellular
concentrations of MMP-9 compared to that of the longer PEG length, mPEG750-NG.
Confocal microscopy images of HeLa cells incubated with both fluorescein-labeled
nanogels and DiI-encapsulated nanogels demonstrated greater uptake following MMP
9 "activation" for mPEG350-NG compared to its nontreated "passive" mPEG350-NG
parent, demonstrating the versatility of such systems to achieve stimuli
responsive uptake in response to cancer-relevant proteases.
PMID- 29360344
TI - Biosynthesis and Characterization of Polyhydroxyalkanoates with Controlled
Composition and Microstructure.
AB - Volatile fatty acids (VFA) C2:0 to C6:0 were used as the sole carbon source for
poly(3-hydroxybutyrate- co-3-hydroxyvalerate) (PHBV) production with controllable
composition and microstructure in Haloferax mediterranei. Feeding carbon-even VFA
gave >90 mol % poly(3-hydroxybutyrate) (3HB) PHBV, while carbon-odd VFA generated
>87 mol % poly(3-hydroxyvalerate) (3HV) PHBV. Bespoke random, block, and blend
copolymers with 0-100 mol % 3HV were synthesized using C4:0/C5:0 mixtures. The
copolymer 3HV fraction is proportional to the %C5:0 in the feed mixture, allowing
control over copolymer composition. Microstructure depends on the substrate
addition order: cofeeding generated random copolymers, while sequential feeding
created block and blend copolymers. On average, the PHBV had an ultrahigh
molecular weight of 3 * 106 g/mol. 3HV rich copolymers showed lower melting
temperatures, enhanced elasticity, and ductility. H. mediterranei is ideal for
large-scale production of PHBV due to its inherent bioprocessing advantages,
while control over the composition and microstructure of PHBV will facilitate the
production of biopolymers capable of meeting industrial criteria for specific
applications.
PMID- 29360343
TI - Site-Specific Incorporation of a Thioester Containing Amino Acid into Proteins.
AB - Here, we report the site-specific incorporation of a thioester containing
noncanonical amino acid (ncAA) into recombinantly expressed proteins.
Specifically, we genetically encoded a thioester-activated aspartic acid (ThioD)
in bacteria in good yield and with high fidelity using an orthogonal nonsense
suppressor tRNA/aminoacyl-tRNA synthetase (aaRS) pair. To demonstrate the utility
of ThioD, we used native chemical ligation to label green fluorescent protein
with a fluorophore in good yield.
PMID- 29360345
TI - Heme Binding to Porphobilinogen Deaminase from Vibrio cholerae Decelerates the
Formation of 1-Hydroxymethylbilane.
AB - Porphobilinogen deaminase (PBGD) is an enzyme that catalyzes the formation of
hydroxymethylbilane, a tetrapyrrole intermediate, during heme biosynthesis
through the stepwise polymerization of four molecules of porphobilinogen. PBGD
from Vibrio cholerae was expressed in Escherichia coli and characterized in this
study. Unexpectedly, spectroscopic measurements revealed that PBGD bound one
equivalent of heme with a dissociation constant of 0.33 +/- 0.01 MUM. The
absorption and resonance Raman spectra suggested that heme is a mixture of the 5
coordinate and 6-coordinate hemes. Mutational studies indicated that the 5
coordinate heme possessed Cys105 as a heme axial ligand, and His227 was
coordinated to form the 6-coordinate heme. Upon heme binding, the deamination
activity decreased by approximately 15%. The crystal structure of PBGD revealed
that His227 was located near Cys105, but the side chain of His227 did not point
toward Cys105. The addition of the cyanide ion to heme-PBGD abolished the effect
of heme binding on the enzymatic activity. Therefore, coordination of His227 to
heme appeared to induce reorientation of the domains containing Cys105, leading
to a decrease in the enzymatic activity. This is the first report indicating that
the PBGD activity is controlled by heme, the final product of heme biosynthesis.
This finding improves our understanding of the mechanism by which heme
biosynthesis is regulated.
PMID- 29360346
TI - Kinetic and Conformational Insights into Islet Amyloid Polypeptide Self-Assembly
Using a Biarsenical Fluorogenic Probe.
AB - Amyloid fibril formation and tissue deposition are associated with many diseases.
Studies have shown that prefibrillar intermediates, such as oligomers, are the
most toxic proteospecies of the amyloidogenic cascade. Thus, understanding the
mechanisms of formation and the conformational ensemble of prefibrillar species
is critical. Due to their transient and heterogeneous nature, detection and
characterization of prefibrillar species remain challenging. The fluorogenic
probe fluorescein arsenical hairpin (FlAsH), which recognizes a tetracysteine
motif, has been recently used to detect the oligomerization of amyloidogenic
peptides encompassing a Cys-Cys tag. In this study, we extended the FlAsH
detection method to gain novel kinetic and conformational insights into the self
assembly of islet amyloid polypeptide (IAPP), a 37-residue peptide hormone whose
deposition is associated with type II diabetes. By positional scanning of the Cys
Cys motif, the stability of the noncontiguous tetracysteine FlAsH-binding sites
formed during self-assembly was evaluated and revealed rapid monomer self
recognition through the convergence of C-terminal domains. On the other hand, the
N-terminal domains come close to each other only upon the formation of the cross
beta-sheet amyloid structure. We demonstrated that this method is well-suited to
detect thioflavin T-negative fibrils and to screen inhibitors of amyloid
formation. This study highlights that with positional scanning of the split
tetracysteine motif (Cys-Cys), the FlAsH detection method offers unique time
dependent conformational insights on the proteospecies assembled throughout the
amyloidogenic pathway.
PMID- 29360347
TI - Large Dendritic Monolayer MoS2 Grown by Atmospheric Pressure Chemical Vapor
Deposition for Electrocatalysis.
AB - The edge sites of MoS2 are catalytically active for the hydrogen evolution
reaction (HER), and growing monolayer structures that are edge-rich is desirable.
Here, we show the production of large-area highly branched MoS2 dendrites on
amorphous SiO2/Si substrates using an atmospheric pressure chemical vapor
deposition and explore their use in electrocatalysis. By tailoring the substrate
construction, the monolayer MoS2 evolves from triangular to dendritic morphology
because of the change of growth conditions. The rough edges endow dendritic MoS2
with a fractal dimension down to 1.54. The highly crystalline basal plane and the
edge of the dendrites are visualized at atomic resolution using an annular dark
field scanning transmission electron microscope. The monolayer dendrites exhibit
strong photoluminescence, which is indicative of the direct band gap emission,
which is preserved after being transferred. Post-transfer sulfur annealing
restores the structural defects and decreases the n-type doping in MoS2
monolayers. The annealed MoS2 dendrites show good and highly durable HER
performance on the glassy carbon with a large exchange current density of 32 MUA
cmgeo-2, demonstrating its viability as an efficient HER catalyst.
PMID- 29360348
TI - Engineering Erg10 Thiolase from Saccharomyces cerevisiae as a Synthetic Toolkit
for the Production of Branched-Chain Alcohols.
AB - Thiolases catalyze the condensation of acyl-CoA thioesters through the Claisen
condensation reaction. The best described enzymes usually yield linear
condensation products. Using a combined computational/experimental approach, and
guided by structural information, we have studied the potential of thiolases to
synthesize branched compounds. We have identified a bulky residue located at the
active site that blocks proper accommodation of substrates longer than acetyl
CoA. Amino acid replacements at such a position exert effects on the activity and
product selectivity of the enzymes that are highly dependent on a protein
scaffold. Among the set of five thiolases studied, Erg10 thiolase from
Saccharomyces cerevisiae showed no acetyl-CoA/butyryl-CoA branched condensation
activity, but variants at position F293 resulted the most active and selective
biocatalysts for this reaction. This is the first time that a thiolase has been
engineered to synthesize branched compounds. These novel enzymes enrich the
toolbox of combinatorial (bio)chemistry, paving the way for manufacturing a
variety of alpha-substituted synthons. As a proof of concept, we have engineered
Clostridium's 1-butanol pathway to obtain 2-ethyl-1-butanol, an alcohol that is
interesting as a branched model compound.
PMID- 29360349
TI - Realizing Large-Scale, Electronic-Grade Two-Dimensional Semiconductors.
AB - Atomically thin transition metal dichalcogenides (TMDs) are of interest for next
generation electronics and optoelectronics. Here, we demonstrate device-ready
synthetic tungsten diselenide (WSe2) via metal-organic chemical vapor deposition
and provide key insights into the phenomena that control the properties of large
area, epitaxial TMDs. When epitaxy is achieved, the sapphire surface
reconstructs, leading to strong 2D/3D (i.e., TMD/substrate) interactions that
impact carrier transport. Furthermore, we demonstrate that substrate step edges
are a major source of carrier doping and scattering. Even with 2D/3D coupling,
transistors utilizing transfer-free epitaxial WSe2/sapphire exhibit ambipolar
behavior with excellent on/off ratios (~107), high current density (1-10 MUA.MUm
1), and good field-effect transistor mobility (~30 cm2.V-1.s-1) at room
temperature. This work establishes that realization of electronic-grade epitaxial
TMDs must consider the impact of the TMD precursors, substrate, and the 2D/3D
interface as leading factors in electronic performance.
PMID- 29360350
TI - Arresting "Loose Bolt" Internal Conversion from -B(OH)2 Groups is the Mechanism
for Emission Turn-On in ortho-Aminomethylphenylboronic Acid-Based Saccharide
Sensors.
AB - Different mechanisms for the emission turn-on of ortho-aminomethylphenylboronic
acids with appended fluorophores in response to saccharide binding in aqueous
media have been postulated, such as photoinduced electron transfer (PET), "pKa
switch", and disaggregation. However, none of the hypotheses is consistent with
all the data for boronic acid-based sensors. To create a unifying theory that can
explain the data, we performed a series of experiments to explore the origin of
the emission turn-on with several boronic-acid based sensors upon binding
fructose. First, we showed that the receptors and their complexes with fructose
are solvent-inserted, with no B-N interactions. Second, we verified that the
sensors are not aggregated. Third, in pure methanol, that exchanges -B(OH)2 to
B(OMe)2 groups, we found no fluorescence response upon binding fructose. We
propose this occurs via lessening of internal conversion mechanisms. To
investigate this proposal further, we performed a solvent isotope effect study.
The fluorescence of the probes in D2O (-B(OH)2 -> -B(OD)2) does not change upon
fructose binding. It is well accepted that -OD oscillators are less efficient
energy acceptors due to their lower frequency vibrational modes. Thus, our
studies reveal that modulating the -B(OH)2-induced internal conversion (an
example of a "loose bolt effect") explains how potentially all ortho
aminomethylphenylboronic acid-based fluorescence sensors signal the presence of
sugars.
PMID- 29360351
TI - Weak Hydrogen Bonds Lead to Self-Healable and Bioadhesive Hybrid Polymeric
Hydrogels with Mineralization-Active Functions.
AB - Hydrogels with self-healing features that can spontaneously repair themselves
upon mechanical damage are increasingly attractive for biomedical applications.
Many attempts have been made to develop unique hydrogels possessing this
property, as well as stimuli-responsiveness and biocompatibility; however, the
hydrogel fabrication strategies often involve specific design of functional
monomers that are able to optimally provide reversible physical or chemical
interactions. Here, we report that weak hydrogen bonds, provided by
oligo(ethylene glycol) methacrylate (OEGMA) and methacrylic acid (MAA), a monomer
combination that is commonly used to prepare chemically cross-linking hydrogels,
can generate self-healable hydrogels with mechanically resilient and adhesive
properties through a facile one-step free radical copolymerization. The hydrogen
bonds break and reform, providing an effective energy dissipation mechanism and
synergic mechanical reinforcement. The physical properties can be simply tuned by
OEGMA/MAA ratio control and reversible pH adjustment. Furthermore, the hydrogel
can serve as a robust template for biomineralization to produce hydrogel
composite that facilitate cell attachment and proliferations. This work is
synthetically simple and dramatically increases the choice of amendable and
adhesive hydrogels for industrial and biomedical applications.
PMID- 29360352
TI - Substituent Effects at the beta-Positions of the Nonfused Pyrroles in a Quadruply
Fused Porphyrin on the Structure and Optical and Electrochemical Properties.
AB - We have synthesized 2, a derivative of zinc(II) quadruply fused porphyrinato
(ZnIIQFP) that is tetrabrominated at the beta-positions of the two nonfused
pyrroles, by treatment of ZnIIQFP with N-bromosuccinimide. X-ray diffraction
analysis of a single crystal obtained from a THF solution of 2 by vapor diffusion
of ethanol (EtOH) revealed that 2 formed an unprecedented dimeric structure, (2)2
L (L = EtOH), in which one of the brominated QFP ligands acts as a bridging
ligand in an unprecedented MU-eta3:eta1 coordination mode. In the dimeric
structure, the two QFP ligands showed a unique eta3 coordination mode for both
ZnII centers. In (2)2-EtOH, one of the pyrrolic nitrogen atoms of the two
nonfused pyrroles dissociates from the ZnII center, and the dissociated pyrrolic
nitrogen atom coordinates to the ZnII center of the other molecule in the dimer.
The ZnII center having the MU-eta3:eta1-QFP ligand is coordinated by an EtOH
molecule, and the other ZnII center is coordinated by the eta3-QFP ligand and one
nitrogen atom of the bridging QFP ligand. The dimeric structure is stable and
maintained even in a solution of noncoordinating solvents such as
dichloromethane. The bromo groups of 2 can be substituted with phenyl groups
under Suzuki coupling conditions to afford the tetraphenyl derivative, 3.
Furthermore, the effects of the substituents at the beta-positions on the optical
and electrochemical properties and Lewis acidity of the ZnII centers have been
investigated. The redox potentials were positively shifted by introduction of
electron-withdrawing groups at the beta-positions, and the shift widths exhibited
a linear correlation to the Hammett parameters of the substituents.
PMID- 29360353
TI - Diastereomerically Differentiated Excited State Behavior in Ruthenium(II)
Hexafluoroacetylacetonate Complexes of Diphenyl Thioindigo Diimine.
AB - Mono- and diruthenium hexafluoroacetylacetonate (hfac) complexes of the
thioindigo-N,N'-diphenyldiimine chelating ligand 3 have been prepared. The
thioindigo diimine ligand binds to ruthenium in a bidentate fashion in the
mononuclear compound 2 and serves as a bidentate chelating bridging ligand in the
diruthenium complexes 1a and 1b. Compound 2 was isolated as a racemic mixture
while the diruthenium complexes were isolated as the meso (DeltaLambda) 1a and
rac (DeltaDelta and LambdaLambda) 1b diastereomers. In-depth structural
characterization of the compounds was performed, including X-ray crystallography,
1H, 13C, and 19F nuclear magnetic resonance (NMR) spectroscopy, and 2D NMR
correlation experiments. Electrochemical properties were evaluated utilizing
cyclic voltammetry. Ground state optical properties of the complexes were
examined using UV-visible spectroscopy and spectroelectrochemistry. The excited
state dynamics of the series were investigated by ultrafast transient absorption
spectroscopy. Variable temperature NMR experiments demonstrated that the rac
diruthenium compound 1b undergoes conformational exchange with a rate constant of
8700 s-1 at 298 K, a behavior that is not observed in the meso diastereomer 1a.
The series of complexes possess metal-to-ligand charge transfer (MLCT) absorption
bands in the near-infrared (lambdamax 689-783 nm). The compounds do not display
photoluminescence in room temperature solution-phase experiments or in
experiments at 77 K. Transient absorption spectroscopy measurements revealed
excited states with picosecond lifetimes for 1a, 1b, and 2, and
spectroelectrochemical experiments confirmed assignment of the transient species
as arising from MLCT transitions. Unexpectedly, the transient absorption
measurements revealed disparate time constants for the excited state decay of
diastereomers 1a and 1b.
PMID- 29360354
TI - Insecticide Resistance Signals Negative Consequences of Widespread Neonicotinoid
Use on Multiple Field Crops in the U.S. Cotton Belt.
AB - The intensification of industrial agriculture has been enabled by improved crop
varieties, genetically engineered crops, fertilizers, and pesticides. Over the
past 15 years, neonicotinoid seed treatments have been adopted worldwide and are
used on a large proportion of U.S. field crops. Although neonicotinoids are used
widely, little is known about how large-scale deployment affects pest populations
over long periods. Here, we report a positive relationship between the deployment
of neonicotinoid seed-dressings on multiple crops and the emergence of
insecticide resistance in tobacco thrips (Frankliniella fusca), a polyphagous
insect herbivore that is an important pest of seedling cotton but not soybean or
maize. Using a geospatial approach, we studied the relationship between
neonicotinoid resistance measured in 301 F. fusca populations to landscape-scale
crop production patterns across nine states in the southeastern U.S. cotton
production region, in which soybean, maize and cotton are the dominant crops. Our
research linked the spatiotemporal abundance of cotton and soybean production to
neonicotinoid resistance in F. fusca that is leading to a dramatic increase in
insecticide use in cotton. Results demonstrate that cross-crop resistance
selection has important effects on pests and, in turn, drives pesticide use and
increases environmental impacts associated with their use.
PMID- 29360355
TI - Enantioselective alpha-Benzoyloxylation of beta-Keto Esters by N-Oxide Phase
Transfer Catalysts.
AB - An efficient and enantioselective alpha-benzoyloxylation of beta-keto esters has
been achieved by phase-transfer catalysis. This simple catalytic procedure is
applicable to a range of beta-keto esters with cinchona-derived N-oxide
asymmetric phase-transfer catalysts and gives the corresponding products in good
enantiopurity (up to 95% ee) and yield (up to 99%). This simple and effective
oxyfunctionalization is a useful synthetic strategy for introducing an oxygen
containing functional group at the alpha position of beta-dicarbonyl compounds.
PMID- 29360356
TI - Fluorescent Carbon Dots Derived from Maillard Reaction Products: Their
Properties, Biodistribution, Cytotoxicity, and Antioxidant Activity.
AB - Food-borne nanoparticles have received great attention because of their unique
physicochemical properties and potential health risk. In this study, carbon dots
(CDs) formed during one of the most important chemical reactions in the food
processing field, the Maillard reaction from the model system including glucose
and lysine, were investigated. The CDs purified from Maillard reaction products
emitted a strong blue fluorescence under ultraviolet light with a fluorescent
quantum yield of 16.30%. In addition, they were roughly spherical, with sizes of
around 4.3 nm, and mainly composed of carbon, oxygen, hydrogen, and nitrogen.
Their surface groups such as hydroxyl, amino, and carboxyl groups were found to
possibly enable CDs to scavenge DPPH and hydroxyl radicals. Furthermore, the
cytotoxicity assessment of CDs showed that they could readily enter HepG2 cells
while causing negligible cell death at low concentration. However, high CDs
concentrations were highly cytotoxic and led to cell death via interference of
the glycolytic pathway.
PMID- 29360357
TI - Origin of pi-Facial Stereoselectivity in Thiophene 1-Oxide Cycloadditions.
AB - We report a DFT computational study (M06-2X) of pi-facial selectivity in the
Diels-Alder reactions of thiophene 1-oxide. The preference for the syn
cycloaddition arises because the ground state geometry of thiophene 1-oxide is
predistorted into an envelope conformation that resembles the syn transition
state geometry. The syn distortion occurs to minimize the effect of
hyperconjugative antiaromaticity in the thiophene 1-oxide, arising from overlap
of the sigma*SO with the pi-system. The syn selectivity follows through to the
product structure that is stabilized by a pi-sigma*SO interaction, related to the
7-norbornenyl ion stability.
PMID- 29360358
TI - Design, Synthesis, and Preclinical Evaluation of Fused Pyrimidine-Based
Hydroxamates for the Treatment of Hepatocellular Carcinoma.
AB - Class I histone deacetylases (HDACs) are highly expressed and/or upregulated in
hepatocellular carcinoma (HCC) and are associated with aggressiveness, spread,
and increased mortality of HCC. Activation of phosphatidylinositol 3-kinase-Akt
mammalian target of rapamycin (PI3K/Akt/mTOR) signaling pathway was involved in
the development of HCC and acquired resistance to sorafenib. A series of purine
or 5H-pyrrolo[3,2-d]pyrimidine based hydroxamates were designed and developed as
multitarget drugs to modulate both HDACs and the PI3K/Akt/mTOR pathway. Among 39
cell lines screened, the molecules (e.g., 20e, 20f, and 20q) were the most
selective against leukemia, lymphoma, and HCC cells; they also demonstrated
target modulation in cancer cell lines and in mice bearing MV4-11 and HepG2
tumors. Compound 20f in particular showed significant single agent oral efficacy
in hypervascular liver cancer models (e.g., HepG2, HuH-7, and Hep3B) and was well
tolerated. These encouraging results, along with its favorable target profile and
tissue distribution, warrant further development of 20f.
PMID- 29360359
TI - Quadratic String Method for Locating Instantons in Tunneling Splitting
Calculations.
AB - The ring-polymer instanton (RPI) method is an efficient technique for calculating
approximate tunneling splittings in high-dimensional molecular systems. In the
RPI method, tunneling splitting is evaluated from the properties of the minimum
action path (MAP) connecting the symmetric wells, whereby the extensive sampling
of the full potential energy surface of the exact quantum-dynamics methods is
avoided. Nevertheless, the search for the MAP is usually the most time-consuming
step in the standard numerical procedures. Recently, nudged elastic band (NEB)
and string methods, originaly developed for locating minimum energy paths (MEPs),
were adapted for the purpose of MAP finding with great efficiency gains [ J.
Chem. Theory Comput. 2016 , 12 , 787 ]. In this work, we develop a new quadratic
string method for locating instantons. The Euclidean action is minimized by
propagating the initial guess (a path connecting two wells) over the quadratic
potential energy surface approximated by means of updated Hessians. This allows
the algorithm to take many minimization steps between the potential/gradient
calls with further reductions in the computational effort, exploiting the
smoothness of potential energy surface. The approach is general, as it uses
Cartesian coordinates, and widely applicable, with computational effort of
finding the instanton usually lower than that of determining the MEP. It can be
combined with expensive potential energy surfaces or on-the-fly electronic
structure methods to explore a wide variety of molecular systems.
PMID- 29360360
TI - Benchmark ab Initio Characterization of the Complex Potential Energy Surfaces of
the X- + NH2Y [X, Y = F, Cl, Br, I] Reactions.
AB - We report a comprehensive high-level explicitly correlated ab initio study on the
X- + NH2Y [X,Y = F, Cl, Br, I] reactions characterizing the stationary points of
the SN2 (Y- + NH2X) and proton-transfer (HX + NHY-) pathways as well as the
reaction enthalpies of various endothermic additional product channels such as H-
+ NHXY, XY- + NH2, XY + NH2-, and XHY- + NH. Benchmark structures and harmonic
vibrational frequencies are obtained at the CCSD(T)-F12b/aug-cc-pVTZ(-PP) level
of theory, followed by CCSD(T)-F12b/aug-cc-pVnZ(-PP) [n = Q and 5] and core
correlation energy computations. In the entrance and exit channels we find two
equivalent hydrogen-bonded C1 minima, X-...HH'NY and X-...H'HNY connected by a Cs
first-order saddle point, X-...H2NY, as well as a halogen-bonded front-side
complex, X-...YNH2. SN2 reactions can proceed via back-side attack Walden
inversion and front-side attack retention pathways characterized by first-order
saddle points, submerged [X-NH2-Y]- and high-energy [H2NXY]-, respectively.
Product-like stationary points below the HX + NHY- asymptotes are involved in the
proton-transfer processes.
PMID- 29360361
TI - Nitroxoline Molecule: Planar or Not? A Story of Battle between pi-pi Conjugation
and Interatomic Repulsion.
AB - The conformational properties of the nitro group in nitroxoline (8-hydroxy-5
nitroquinoline, NXN) were investigated in the gas phase by means of gas electron
diffraction (GED) and quantum chemical calculations, and also with solid-state
analysis performed using terahertz time-domain spectroscopy (THz-TDS). The
results of the GED refinement show that in the equilibrium structure the NO2
group is twisted by angle phi = 8 +/- 3 degrees with respect to the 8
hydroxyoquinoline plane. This is the result of interatomic repulsion of oxygen in
the NO2 group from the closest hydrogen, which overcomes the energy gain from the
pi-pi conjugation of the nitro group and aromatic system of 8-hydroxyoquinoline.
The computation of equilibrium geometry using MP2/cc-pVXZ (X = T, Q) shows a
large overestimation of the phi value, while DFT with the cc-pVTZ basis set
performs reasonably well. On the other hand, DFT computations with double-zeta
basis sets yield a planar structure of NXN. The refined potential energy surface
of the torsion vibration the of nitro group in the condensed phase derived from
the THz-TDS data indicates the NXN molecule to be planar. This result stays in
good agreement with the previous X-ray structure determination. The strength of
the pi-system conjugation for the NO2 group and 8-hydroxyoquinoline is discussed
using NBO analysis, being further supported by comparison of the refined
semiexperimental gas-phase structure of NXN from GED with other nitrocompounds.
PMID- 29360362
TI - Taccalonolide Microtubule Stabilizers Generated Using Semisynthesis Define the
Effects of Mono Acyloxy Moieties at C-7 or C-15 and Disubstitutions at C-7 and C
25.
AB - The taccalonolides are a unique class of microtubule stabilizers isolated from
Tacca spp. that have efficacy against drug-resistant tumors. Our previous studies
have demonstrated that a C-15 acetoxy taccalonolide, AF, has superior in vivo
antitumor efficacy compared to AJ, which bears a C-15 hydroxy group. With the
goal of further improving the in vivo efficacy of this class of compounds, we
semisynthesized and tested the biological activities of 28 new taccalonolides
with monosubstitutions at C-7 or C-15 or disubstitutions at C-7 and C-25,
covering a comprehensive range of substituents from formic acid to anthraquinone
2-carbonyl chloride. The resulting taccalonolide analogues with diverse C-7/C
15/C-25 modifications exhibited IC50 values from 2.4 nM to >20 MUM, allowing for
extensive in vitro structure-activity evaluations. This semisynthetic strategy
was unable to provide a taccalonolide with improved therapeutic window due to
hydrolysis of substituents at C-7 or C-15 regardless of size or steric bulk.
However, two of the most potent new taccalonolides, bearing isovalerate
modifications at C-7 or C-15, demonstrated potent and highly persistent antitumor
activity in a drug-resistant xenograft model when administered intratumorally.
This study demonstrates that targeted delivery of the taccalonolides to the tumor
could be an effective, long-lasting approach to treat drug-resistant tumors.
PMID- 29360363
TI - Capillary Condensation of Binary and Ternary Mixtures of n-Pentane-Isopentane-CO2
in Nanopores: An Experimental Study on the Effects of Composition and
Equilibrium.
AB - Confinement in nanopores can significantly impact the chemical and physical
behavior of fluids. While some quantitative understanding is available for how
pure fluids behave in nanopores, there is little such insight for mixtures. This
study aims to shed light on how nanoporosity impacts the phase behavior and
composition of confined mixtures through comparison of the effects of static and
dynamic equilibrium on experimentally measured isotherms and chromatographic
analysis of the experimental fluids. To this end, a novel gravimetric apparatus
is introduced and validated. Unlike apparatuses that have been previously used to
study the confinement-induced phase behavior of fluids, this apparatus employs a
gravimetric technique capable of discerning phase transitions in a wide variety
of nanoporous media under both static and dynamic conditions. The apparatus was
successfully validated against data in the literature for pure carbon dioxide and
n-pentane. Then, isotherms were generated for binary mixtures of carbon dioxide
and n-pentane using static and flow-through methods. Finally, two ternary
mixtures of carbon dioxide, n-pentane, and isopentane were measured using the
static method. While the equilibrium time was found important for determination
of confined phase transitions, flow rate in the dynamic method was not found to
affect the confined phase behavior. For all measurements, the results indicate
qualitative transferability of the bulk phase behavior to the confined fluid.
PMID- 29360364
TI - Classical Trajectory Study of Collision Energy Transfer between Ne and C2H2 on a
Full Dimensional Accurate Potential Energy Surface.
AB - Collision energy transfer plays an important role in gas phase reaction kinetics
and relaxation of excited molecules. However, empirical treatments are generally
adopted for the collisional energy transfer in the master equation based
approach. In this work, classical trajectory approach is employed to investigate
the collision energy transfer dynamics in the C2H2-Ne system. The entire
potential energy surface is described as the sum of the C2H2 potential and
interaction potential between C2H2 and Ne. It is highlighted that both parts of
the entire potential are highly accurate. In particular, the interaction
potential is fit to ~41 300 configurations determined at the level of CCSD(T)
F12a/cc-pCVTZ-F12 with the counterpoise correction. Collision energy transfer
dynamics are then carried out on this benchmark potential and the widely used
Lennard-Jones and Buckingham interaction potentials. Energy transfers and related
probability densities at different collisional energies are reported and
discussed.
PMID- 29360365
TI - Hydrated Ion Clusters in Hydrophobic Liquid: Equilibrium Distribution, Kinetics,
and Implications.
AB - Hydrophilic ions in oil phase tend to be hydrated in the presence of trace water
and form hydrated clusters. The present paper elucidates the equilibrium size
distribution of hydrated ion clusters and the microscopic rates of adsorption and
desorption of water with the help of molecular dynamics simulations. The size
distribution is derived from reversible work of hydration, which is nearly
constant over the hydration number except for small clusters. The intrinsic rate
constants of adsorption and desorption are evaluated to be in several psec order
after correcting the diffusion. The microscopic hydration properties of ions in
the oil phase play key roles in chemical reactions involving both hydrophilic and
hydrophobic reactants as well as in the transport and reactivity of the ions in
oil phase and at the water-oil interface.
PMID- 29360366
TI - Deconstructing Prominent Bands in the Terahertz Spectra of H7O3+ and H9O4+:
Intermolecular Modes in Eigen Clusters.
AB - We report experimental vibrational action spectra (210-2200 cm-1) and calculated
IR spectra, using recent ab initio potential energy and dipole moment surfaces,
of H7O3+ and H9O4+. We focus on prominent far-IR bands, which postharmonic
analyses show, arise from two types of intermolecular motions, i.e., hydrogen
bond stretching and terminal water wagging modes, that are similar in both
clusters. The good agreement between experiment and theory further validates the
accuracy of the potential and dipole moment surfaces, which was used in a recent
theoretical study that concluded that infrared photodissociation spectra of the
cold clusters correspond to the Eigen isomer. The comparison between theory and
experiment adds further confirmation of the need of postharmonic analysis for
these clusters.
PMID- 29360367
TI - Binding of Divalent Cations to Insulin: Capillary Electrophoresis and Molecular
Simulations.
AB - In the present study, we characterize the binding of divalent cations to insulin
in aqueous salt solutions by means of capillary electrophoresis and molecular
dynamics simulations. The results show a strong pH dependence. At low pH, at
which all the carboxylate groups are protonated and the protein has an overall
positive charge, all the cations exhibit only weak and rather unspecific
interactions with insulin. In contrast, at close to neutral pH, when all the
carboxylate groups are deprotonated and negatively charged, the charge
neutralizing effect of magnesium, calcium, and zinc, in particular, on the
electrophoretic mobility of insulin is significant. This is also reflected in the
results of molecular dynamics simulations showing accumulation of cations at the
protein surface, which becomes smaller in magnitude upon effective inclusion of
electronic polarization via charge rescaling.
PMID- 29360368
TI - Jahn-Teller Effect on Framework Flexibility of Hybrid Organic-Inorganic
Perovskites.
AB - Here we study the Jahn-Teller (JT) effect on framework flexibility of two
analogous hybrid organic-inorganic perovskites, [C(NH2)3][Zn(HCOO)3] (1-Zn) and
[C(NH2)3][Cu(HCOO)3] (2-Cu). Single-crystal nanoindentation measurements show
that the elastic moduli and hardnesses of 1-Zn are up to ~52.0% and ~25.0%
greater than those of the JT active 2-Cu. Temperature-dependent X-ray diffraction
measurements indicate that the thermal expansion along the b-axis is switched
from negative to positive by replacing Zn2+ with Cu2+ on the B-site. These stark
distinctions in framework flexibility are primarily attributed to the ~10.0%
elongation of Cu-O bonds induced by the JT effect and associated alterations in
octahedral tilting and hydrogen-bonding. Our results demonstrate the prominence
of the JT effect in the emerging hybrid perovskites and highlight the
possibilities of tuning materials' properties using orbital order.
PMID- 29360369
TI - Optical Properties of Saturated and Unsaturated Carbonyl Defects in Polyethylene.
AB - Polyethylene (PE), one of the simplest and most used aliphatic polymers, is
generally provided with a number of additives, in particular antioxidants,
because of its tendency to get oxidized. Carbonyl defects, a product of the
oxidation of PE, are occurring in various forms, in particular saturated ones,
known as ketones, where a C?O double bond substitutes a CH2 group, and various
unsaturated ones, i.e., with further missing hydrogens. Many experimental
investigations of the optical properties in the visible/UV range mainly attribute
the photoluminescence of PE to one specific kind of unsaturated carbonyls,
following analogies to the emission spectra of similar small molecules. However,
the reason why saturated carbonyls should not be optically detected is not clear.
We investigated the optical properties of PE with and without carbonyl defects
using perturbative GW and the Bethe-Salpeter equation in order to take into
account excitonic effects. We discuss the calculated excitonic states in
comparison with experimental absorption/emission energies and the stability of
both saturated and unsaturated carbonyl defects. We conclude that the unsaturated
defects are indeed the best candidate for the luminescence of oxidized PE, and
the reason is mainly due to oscillator strengths.
PMID- 29360370
TI - Organocatalytic Asymmetric Synthesis of alpha-Oxetanyl and alpha-Azetidinyl
Tertiary Alkyl Fluorides and Chlorides.
AB - Asymmetric thiourea and squaramide catalysis provides access to synthetically
versatile alpha-oxetanyl and alpha-azetidinyl alkyl halides exhibiting a
tetrasubstituted chiral carbon center with high yields and enantioselectivities.
The products are readily transformed with negligible erosion of enantiopurity and
excellent diastereoselectivity to a diverse group of multifunctional compounds
including fluorooxindoles with two contiguous chirality centers, fluorinated
heterocyclic spiranes, and polyspiro compounds.
PMID- 29360371
TI - Thermolysis-Induced Two- or Multicomponent Tandem Reactions Involving Isocyanides
and Sulfenic-Acid-Generating Sulfoxides: Access to Diverse Sulfur-Containing
Functional Scaffolds.
AB - Direct reaction of isocyanides with some sulfenic-acid-generating sulfoxides led
to the effective formation of the corresponding thiocarbamic acid S-esters in
good to high yields. A multicomponent reaction involving isocyanide, sulfoxide,
and a suitable nucleophile has also been developed, providing ready access to a
diverse range of sulfur-containing compounds, including isothioureas,
carbonimidothioic acid esters, and carboximidothioic acid esters.
PMID- 29360372
TI - Kinetics of Polymer Desorption from Colloids Probed by Aggregation-Induced
Emission Fluorophore.
AB - Polymer adsorption and desorption are fundamental in many industrial and
biomedical applications. Here, we introduce a new method to monitor the polymer
desorption kinetics in situ based on the behavior of aggregation-induced
emission. Poly(ethylene oxide) and colloidal silica (SiO2) were used as a model
system. It was found that the aggregation-induced emission method could be
successfully used to determine the polymer desorption kinetics, and the polymer
desorption followed the first-order kinetics. It was also found that the polymer
desorption rate constant decreased with the increasing molecular weight, which
could be described by a power law function kd ~ M-0.28, close to that of the
adsorption rate constant.
PMID- 29360373
TI - 1,6-Addition of Tertiary Carbon Radicals Generated From Alcohols or Carboxylic
Acids by Visible-Light Photoredox Catalysis.
AB - The addition of tertiary carbon radicals generated by an Ir-catalyzed visible
light photocatalyst to electron-deficient 1,3-dienes proceeds in good yields to
append a delta-substituted beta,gamma-unsaturated carbonyl fragment to a tertiary
alcohol or carboxylic acid precursor and construct a new quaternary carbon
center.
PMID- 29360374
TI - Vanadium Diboride (VB2) Synthesized at High Pressure: Elastic, Mechanical,
Electronic, and Magnetic Properties and Thermal Stability.
AB - Vanadium diboride (VB2) with an AlB2-type structure has been synthesized at 8 GPa
and 1700 K in a D-DIA-type multianvil apparatus. The obtained bulk modulus is B0
= 262(2) GPa with fixed B' = 4.0 for VB2 via high-pressure X-ray diffraction
measurements. Meanwhile, VB2 has also been demonstrated to possess a high Vickers
hardness of 27.2 +/- 1.5 GPa, a high thermal stability of 1410 K in air, among
the highest for transition-metal borides, and an extremely low resistivity value
(41 MUOmega cm) at room temperature. Results from first-principles calculations
regarding the mechanical and electronic properties of VB2 are largely consistent
with the experimental observations and further suggest that VB2 possesses
simultaneously the properties of a hard and refractory ceramic and those of an
excellent electric conductor.
PMID- 29360375
TI - Stable Magnetic Skyrmion States at Room Temperature Confined to Corrals of
Artificial Surface Pits Fabricated by a Focused Electron Beam.
AB - Stable confinement of elemental magnetic nanostructures, such as a single
magnetic domain, is fundamental in modern magnetic recording technology. It is
well-known that various magnetic textures can be stabilized by geometrical
confinement using artificial nanostructures. The magnetic skyrmion, with novel
spin texture and promise for future memory devices because of its topological
protection and dimension at the nanometer scale, is no exception. So far,
skyrmion confinement techniques using large-scale boundaries with limited
geometries such as isolated disks and stripes prepared by conventional
microfabrication techniques have been used. Here, we demonstrate an alternative
technique confining skyrmions to artificial nanostructures (corrals) built from
surface pits fabricated by a focused electron beam. Using aberration-corrected
differential phase contrast scanning transmission electron microscopy, we
directly visualized stable skyrmion states confined at a room temperature to
corrals made of artificial surface pits on a thin plate of Co8Zn8Mn4. We observed
a stable single-skyrmion state confined to a triangular corral and a unique
transition into a triple-skyrmions state depending on the perpendicular magnetic
field. Furthermore, we made an array of stable single-skyrmion states by using
concatenated triangular corrals. Artificial control of skyrmion states with the
present technique should be a powerful way to realize future nonvolatile memory
devices using skyrmions.
PMID- 29360376
TI - Transition-Metal-Free Suzuki-Type Cross-Coupling Reaction of Benzyl Halides and
Boronic Acids via 1,2-Metalate Shift.
AB - Cross-coupling of organoboron compounds with electrophiles (Suzuki-Miyaura
reaction) has greatly advanced C-C bond formation and has been well received in
medicinal chemistry. During the past 50 years, transition metals have played a
central role throughout the catalytic cycle of this important transformation. In
this process, chemoselectivity among multiple carbon-halogen bonds is a common
challenge. In particular, selective oxidative addition of transition metals to
alkyl halides rather than aryl halides is difficult due to unfavorable transition
states and bond strengths. We describe a new approach that uses a single organic
sulfide catalyst to activate both C(sp3) halides and arylboronic acids via a
zwitterionic boron "ate" intermediate. This "ate" species undergoes a 1,2
metalate shift to afford Suzuki coupling products using benzyl chlorides and
arylboronic acids. Various diaryl methane analogues can be prepared, including
those with complex and biologically active motifs. The reactions proceed under
transition-metal-free conditions, and C(sp2) halides, including aryl bromides and
iodides, are unaffected. The orthogonal chemoselectivity is demonstrated in the
streamlined synthesis of highly functionalized diaryl methane scaffolds using
multi-halogenated substrates. Preliminary mechanistic experiments suggest both
the sulfonium salt and the sulfur ylide are involved in the reaction, with the
formation of sulfonium salt being the slowest step in the overall catalytic
cycle.
PMID- 29360377
TI - Silver(I)-Catalyzed C4-H Amination of 1-Naphthylamine Derivatives with
Azodicarboxylates.
AB - A simple and efficient protocol for silver(I)-catalyzed picolinamide directed C4
H amination of 1-naphthylamine derivatives with readily available
azodicarboxylates has been developed, demonstrating a new approach to 1,4
naphthalenediamine derivatives in high yields. Note that this reaction system
could proceed under external-oxidant- and additive-free conditions (only requires
5 mol % of AgOAc as the catalyst in acetone).
PMID- 29360378
TI - Triligustilides A and B: Two Pairs of Phthalide Trimers from Angelica sinensis
with a Complex Polycyclic Skeleton and Their Activities.
AB - Two pairs of enantiomeric phthalide trimers [(-)/(+) triligustilides A (1a/1b)
and (-)/(+) triligustilides B (2a/2b)] with complex polycyclic skeletons
simultaneously possessing bridged, fused, and spiro ring systems were isolated
from Angelica sinensis, together with two pairs of new phthalide dimers. The
biogenetic pathways of new phthalides were proposed, and their bioactivities were
also evaluated. This is the first time optically pure polymeric phthalides have
been obtained from racemates, and their absolute configurations are reported.
PMID- 29360379
TI - Ionic Specificity in Rapid Coagulation of Silica Nanoparticles.
AB - The Smoluchowski theory has been widely accepted as the basic theory to estimate
the rapid coagulation rate of colloidal particles in electrolyte solutions.
However, because the size and specificity of molecules and ions are not taken
into account, the theory is applicable only if the particle size is large enough
to neglect the effects caused by the structured layers composed of water
molecules, ions, and hydrated ions adsorbed on the colloidal surface. In the
present study, the rapid coagulation rates of silica nanoparticles in
concentrated chloride and potassium solutions were measured by using a low-angle
light-scattering apparatus, and the dependence of the experimental value of rapid
coagulation rate, KER, on the particle diameter, Dp, and also on the Gibbs free
energy of hydration of ions, DeltaGhyd, was investigated extensively. The
following were found. (1) When the particle size was small enough, the value of
KER reduced exponentially not only with the decreasing particle size but also
with the increasing value of (-DeltaGhyd) of cations (counterions) in the case of
chloride solutions and with that of anions (coions) in the case of potassium
solutions. (2) Silica nanoparticles of Dp ? 70 nm in 1 M KNO3 and KSCN solutions
did not coagulate at all, although they coagulated at Dp ? 100 nm as in the other
potassium solutions. These unexpected phenomena were explained by the proposed
mechanisms.
PMID- 29360380
TI - Ultraslow Phase Transitions in an Anion-Anion Hydrogen-Bonded Ionic Liquid.
AB - A Raman spectroscopy study of 1-ethyl-3-methylimidazolium hydrogen sulfate,
[C2C1im][HSO4], as a function of temperature, has been performed to reveal the
role played by anion-anion hydrogen bond on the phase transitions of this ionic
liquid. Anion-anion hydrogen bonding implies high viscosity, good glass-forming
ability, and also moderate fragility of [C2C1im][HSO4] in comparison with other
ionic liquids. Heating [C2C1im][HSO4] from the glassy phase results in cold
crystallization at ~245 K. A solid-solid transition (crystal I -> crystal II) is
barely discernible in calorimetric measurements at typical heating rates, but it
is clearly revealed by Raman spectroscopy and X-ray diffraction. Raman
spectroscopy indicates that crystal I has extended ([HSO4]-)n chains of hydrogen
bonded anions but crystal II has not. Raman spectra recorded at isothermal
condition show the ultraslow dynamics of cold crystallization, solid-solid
transition, and continuous melting of [C2C1im][HSO4]. A brief comparison is also
provided between [C2C1im][HSO4] and [C4C1im][HSO4], as Raman spectroscopy shows
that the latter does not form the crystalline phase with extended anion-anion
chains.
PMID- 29360381
TI - Combination Rules for Morse-Based van der Waals Force Fields.
AB - In traditional force fields (FFs), van der Waals interactions have been usually
described by the Lennard-Jones potentials. Conventional combination rules for the
parameters of van der Waals (VDW) cross-termed interactions were developed for
the Lennard-Jones based FFs. Here, we report that the Morse potentials were a
better function to describe VDW interactions calculated by highly precise quantum
mechanics methods. A new set of combination rules was developed for Morse-based
FFs, in which VDW interactions were described by Morse potentials. The new set of
combination rules has been verified by comparing the second virial coefficients
of 11 noble gas mixtures. For all of the mixed binaries considered in this work,
the combination rules work very well and are superior to all three other existing
sets of combination rules reported in the literature. We further used the Morse
based FF by using the combination rules to simulate the adsorption isotherms of
CH4 at 298 K in four covalent-organic frameworks (COFs). The overall agreement is
great, which supports the further applications of this new set of combination
rules in more realistic simulation systems.
PMID- 29360382
TI - Does 8-Nitroguanine Form 8-Oxoguanine? An Insight from Its Reaction with *OH
Radical.
AB - 8-Nitroguanine (8-nitroG) formed due to nitration of guanine base of DNA plays an
important role in mutagenesis and carcinogenesis. In the present contribution,
state-of-the-art quantum chemical calculations using M06-2X density functional
and domain-based local pair natural orbital-coupled cluster theory with single,
double, and perturbative triple excitations (DLPNO-CCSD(T)) methods have been
carried out to investigate the mechanism of reaction of *OH radical with 8-nitroG
leading to the formation of 8-oxoguanine (8-oxoG) (one of the most mutagenic and
carcinogenic derivatives of guanine) in gas phase and aqueous media. Calculations
of barrier energies and rate constants involved in the addition reactions of *OH
radical at different sites of 8-nitroguanine show that C8 and C2 sites are the
most and least reactive sites, respectively. Relative stability and Boltzmann
populations of adducts show that the adduct formed at the C8 site occurs
predominantly in equilibrium. Our calculations reveal that 8-nitroG is very
reactive toward *OH radical and is converted readily into 8-oxoG when attacked by
*OH radicals, in agreement with available experimental observations.
PMID- 29360383
TI - Cu-Catalyzed Synthesis of Fluoroalkylated Isoxazoles from Commercially Available
Amines and Alkynes.
AB - A one-pot protocol for the construction of fluoroalkylated isoxazoles directly
from commercially available amines and alkynes is described. The reaction is
scalable, operationally simple, regioselective, mild, and tolerant of a broad
range of functional groups. As such, it could be viewed as a "click synthesis" of
fluoroalkylated isoxazoles. Preliminary mechanistic investigations reveal that
the transformation involves an unprecedented Cu-catalyzed cascade sequence
involving RfCHN2.
PMID- 29360384
TI - Facile Integration between Si and Catalyst for High-Performance Photoanodes by a
Multifunctional Bridging Layer.
AB - Designing high-quality interfaces is crucial for high-performance
photoelectrochemical (PEC) water-splitting devices. Here, we demonstrate a facile
integration between polycrystalline n+p-Si and NiFe-layered double hydroxide
(LDH) nanosheet array by a partially activated Ni (Ni/NiOx) bridging layer for
the excellent PEC water oxidation. In this model system, the thermally deposited
Ni interlayer protects Si against corrosion and makes good contact with Si, and
NiOx has a high capacity of hole accumulation and strong bonding with the
electrodeposited NiFe-LDH due to the similarity in material composition and
structure, facilitating transfer of accumulated holes to the catalyst. In
addition, the back illumination configuration makes NiFe-LDH sufficiently thick
for more catalytically active sites without compromising Si light absorption.
This earth-abundant multicomponent photoanode affords the PEC performance with an
onset potential of ~0.78 V versus reversible hydrogen electrode (RHE), a
photocurrent density of ~37 mA cm-2 at 1.23 V versus RHE, and retains good
stability in 1.0 M KOH, the highest water oxidation activity so far reported for
the crystalline Si-based photoanodes. This bridging layer strategy is efficient
and simple to smooth charge transfer and make robust contact at the
semiconductor/electrocatalyst interface in the solar water-splitting systems.
PMID- 29360385
TI - Enantioselective, Protecting-Group-Free Total Synthesis of Boscartin F.
AB - In this work, the protecting-group-free total synthesis and stereochemical
assignment of (-)-boscartin F have been reported. The key steps, including
Sharpless asymmetric epoxidation, I2-mediated iodoetherification, aldol reaction,
and ring-closing metathesis, allowed for rapid and highly stereoselective access
to boscartin F. In addition, single-crystal X-ray crystallographic analysis of
the semicarbazone derivative 22 confirmed the stereochemistry of boscartin F.
PMID- 29360386
TI - Lesion Size Does Not Predict Outcomes in Fresh Osteochondral Allograft
Transplantation.
AB - BACKGROUND: Cartilage repair algorithms use lesion size to choose surgical
techniques when selecting a cartilage repair procedure. The association of fresh
osteochondral allograft (OCA) size with graft survivorship and subjective patient
outcomes is still unknown. PURPOSE: To determine if lesion size (absolute or
relative) affects outcomes after OCA transplantation. STUDY DESIGN: Cohort study;
Level of evidence, 3. METHODS: The study included 156 knees in 143 patients who
underwent OCA transplantation from 1998 to 2014 for isolated femoral condyle
lesions. The mean age was 29.6 +/- 11.4 years, and 62.9% were male. The majority
of patients (62.2%) presented for cartilage repair because of osteochondritis
dissecans. The mean graft area, used as a surrogate for absolute size of the
lesion, was 6.4 cm2 (range, 2.3-11.5 cm2). The relative size of the lesion was
calculated as the tibial width ratio (TWR; ratio of graft area to tibial width)
and affected femoral condyle ratio (AFCR; ratio of graft area to affected femoral
condyle width) using preoperative radiographs. All patients had a minimum follow
up of 2 years. Further surgical procedures were documented, and graft failure was
defined as revision OCA transplantation or conversion to arthroplasty.
International Knee Documentation Committee (IKDC) pain, function, and total
scores were obtained. Satisfaction with OCA transplantation was assessed.
RESULTS: The mean follow-up among patients with grafts remaining in situ was 6.0
years (range, 1.9-16.5 years). The OCA failure rate was 5.8%. Overall
survivorship of the graft was 97.2% at 5 years and 93.5% at 10 years. No
difference in postoperative outcomes between groups was found in absolute or
relative size. Change in IKDC scores (from preoperative to latest follow-up) was
greater for knees with large lesions compared to knees with small lesions, among
all measurement methods. Overall satisfaction with the results of OCA
transplantation was 89.8%. CONCLUSION: The size of the lesion, either absolute or
relative, does not influence outcomes after OCA transplantation for isolated
femoral condyle lesions of the knee.
PMID- 29360387
TI - Superfruits: Phytochemicals, antioxidant efficacies, and health effects - A
comprehensive review.
AB - The term "superfruit" has gained increasing usage and attention recently with the
marketing strategy to promote the extraordinary health benefits of some exotic
fruits, which may not have worldwide popularity. This has led to many studies
with the identification and quantification of various groups of phytochemicals.
This contribution discusses phytochemical compositions, antioxidant efficacies,
and potential health benefits of the main superfruits such as acai, acerola, camu
camu, goji berry, jaboticaba, jambolao, maqui, noni, and pitanga. Novel product
formulations, safety aspects, and future perspectives of these superfruits have
also been covered. Research findings from the existing literature published
within the last 10 years have been compiled and summarized. These superfruits
having numerous phytochemicals (phenolic acids, flavonoids, proanthocyanidins,
iridoids, coumarins, hydrolysable tannins, carotenoids, and anthocyanins)
together with their corresponding antioxidant activities, have increasingly been
utilized. Hence, these superfruits can be considered as a valuable source of
functional foods due to the phytochemical compositions and their corresponding
antioxidant activities. The phytochemicals from superfruits are bioaccessible and
bioavailable in humans with promising health benefits. More well-designed human
explorative studies are needed to validate the health benefits of these
superfruits.
PMID- 29360388
TI - Personality correlates of pro-environmental attitudes.
AB - There is considerable scientific interest in the psychological correlates of pro
environmental attitudes and concerns. While much research has focused on
demographic and social-psychological characteristics of individuals with pro
environmental attitudes, our study explores the relations between personality
traits and pro-environmental behaviours. The study found that Honesty,
Agreeableness, Openness, Proactive personality and Pro-environmental attitude
predict environmental behaviour. The main implication of the study is the fact
that responsible environmental behaviour could be enhanced through programmes
focused on the increase of the environmental awareness and the development of a
more pro-ecological view.
PMID- 29360389
TI - The real and subjective indoor environmental quality in schools.
AB - Investigation of IEQ and subjective evaluation of IEQ by pupils is the main
objective of this research work. For the monitoring two classrooms in primary
school and two classrooms in art school were chosen. Very high CO2 levels were
determined in both investigated schools. In three of four classrooms the
statistically significant differences (p < 0.01) were reported between TVOC
levels in autumn and spring. The recommended value for TVOC levels was exceeded
in the range from 52 % to 53 % in autumn and from 52 % to 70 % in spring.
Significant (p < 0.05) seasonal changes were found also for PM concentrations in
each classroom. Significance difference in perception of IEQ factors between
genders were observed for IAQ. This study showed a good consistency between data
obtained from the measurement and data from the questionnaire study and thus,
pointed to the good ability of pupils to evaluate the IEQ in their classrooms.
PMID- 29360390
TI - Rigor Is Needed When Making Comparative Analyses of Biologics in Severe Asthma.
PMID- 29360391
TI - "Failure-to-Identify" Hunting Incidents: A Resilience Engineering Approach.
AB - Objective The objective was to develop an understanding, using the Functional
Resonance Analysis Method (FRAM), of the factors that could cause a deer hunter
to misidentify their intended target. Background Hunting is a popular activity in
many communities. However, hunters vary considerably based on training,
experience, and expertise. Surprisingly, safety in hunting has not received much
attention, especially failure-to-identify hunting incidents. These are incidents
in which the hunter, after spotting and targeting their quarry, discharge their
firearm only to discover they have been spotting and targeting another human, an
inanimate object, or flora by mistake. The hunter must consider environment,
target, time of day, weather, and many other factors-continuously evaluating
whether the hunt should continue. To understand how these factors can relate to
one another is fundamental to begin to understand how incidents happen. Method
Workshops with highly experienced and active hunters led to the development of a
FRAM model detailing the functions of a "Hunting FRAM." The model was evaluated
for correctness based on confidential and anonymous near-miss event submissions
by hunters. Results A FRAM model presenting the functions of a hunt was produced,
evaluated, and accepted. Using the model, potential sources of incidents or other
unintended outcomes were identified, which in turn helped to improve the model.
Conclusion Utilizing principles of understanding and visualization tools of the
FRAM, the findings create a foundation for safety improvements potentially
through training or safety messages based on an increased understanding of the
complexity of hunting.
PMID- 29360392
TI - Accuracy of optoelectronic plethysmography in childhood exercise-induced asthma.
AB - OBJECTIVE: To assess the variations of end-expiratory volume of chest wall
(EEVcw) measured by optoelectronic plethysmography (OEP) as a diagnostic tool in
exercise-induced asthma (EIA) among asthmatic preschool children. METHODS: Forty
children diagnosed with asthma were included in the study. Spirometry was used as
a gold standard test for comparison with OEP. A 10% decline in forced expiratory
volume in 1 second was considered positive for EIA. OEP was performed with 8
cameras at a frequency of 60 Hz and 89 markers were placed on the thoraco
abdominal surface of participants. Following bronchoprovocation testing on a
treadmill, series of OEP and spirometry were conducted between 5 and 30 minutes
after exercise. To obtain the ideal cut-off point, a receiver operating
characteristic (ROC) curve was constructed for the largest EEVcw. The highest
Youden index was used as criteria to obtain the cut-off point with the best
sensitivity and specificity. RESULTS: Of the 40 children studied, 16 had EIA.
According to the ROC curve, the cut-off point of 0.185% for EEWcw provided mean
sensitivity (95% confidence interval) of 93.75% (0.69-0.99), for a specificity of
83.33% (0.63-0.95), when using the largest increase in the period of 5-30 minutes
post-exercise. The low area of the ROC was 0.93 (0.85-1.00) for p < 0.001.
CONCLUSION: OEP can be accurately used to replace spirometry in asthmatic
children unable to adequately execute the required manoeuvres.
PMID- 29360394
TI - Chemical methods and techniques to monitor early Maillard reaction in milk
products; A review.
AB - Maillard reaction is an extensively studied, yet unresolved chemical reaction
that occurs as a result of application of the heat and during the storage of
foods. The formation of advanced glycation end products (AGEs) has been the focus
of several investigations recently. These molecules which are formed at the
advanced stage of the Maillard reaction, are suspected to be involved in
autoimmune diseases in humans. Therefore, understanding to which extent this
reaction occurs in foods, is of vital significance. Because of their composition,
milk products are ideal media for this reaction, especially when application of
heat and prolonged storage are considered. Thus, in this work several chemical
approaches to monitor this reaction in an early stage are reviewed. This is
mostly done regarding available lysine blockage which takes place in the very
beginning of the reaction. The most popular methods and their applications to
various products are reviewed. The methods including their modifications are
described in detail and their findings are discussed. The present paper provides
an insight into the history of the most frequently-used methods and provides an
overview on the indicators of the Maillard reaction in the early stage with its
focus on milk products and especially milk powders.
PMID- 29360393
TI - Disproportionate Right Ventricular Dysfunction and Poor Survival in Group 3
Pulmonary Hypertension.
PMID- 29360395
TI - A novel rodent model of pregnancy complications associated with genetically
determined angiotensin-converting enzyme (ACE) activity.
AB - Brown Norway (BN) and Lewis (LW) inbred rat strains harbor different angiotensin
converting enzyme ( Ace) polymorphisms that result in higher ACE activity in BN
than LW rats. Thus we hypothesized that pregnant BN rats would show pregnancy
complications linked to angiotensin II (AII) activity. We performed longitudinal
and cross-sectional studies in pregnant LW and BN rats. We found that BN rats
have significantly higher ACE activity and AII levels at prepregnancy and
throughout pregnancy compared with LW rats, except at midgestation. BN placentas
and maternal kidneys had significantly higher expression of AII receptor 1
(AGTR1) and lower expression of AGTR2 than the respective LW placentas and
maternal kidneys. Renin-angiotensin system activation in BN rats correlated with
hypertension and proteinuria at gestational days 17-21, which were resolved after
delivery. In addition, BN rat pregnancies were characterized by significant fetal
loss, restricted growth in surviving fetuses, decreased uteroplacental blood
flows, and decreased trophoblast remodeling of uterine arteries compared with LW
pregnancies. Short-term losartan treatment significantly increased uteroplacental
blood flow and fetal weight and decreased maternal blood pressure (BP) and
proteinuria in BN pregnancies. In contrast, losartan treatment significantly
decreased uteroplacental blood flow and fetal weight but had no significant
effect on maternal BP in LW pregnancies. We conclude that Ace polymorphisms play
an important role in the reproductive phenotype of BN and LW rats and that BN
rats are a novel model of pregnancy complications in association with genetically
controlled, increased ACE activity.
PMID- 29360396
TI - Impact of weight loss achieved through a multidisciplinary intervention on
appetite in patients with severe obesity.
AB - The impact of lifestyle-induced weight loss (WL) on appetite in patients with
obesity remains controversial. This study aimed to assess the short- and long
term impact of WL achieved by diet and exercise on appetite in patients with
obesity. Thirty-five (22 females) adults with severe obesity (body mass index:
42.5 +/- 5.0 kg/m2) underwent a 2-yr WL program focusing on diet and exercise.
Body weight (BW), cardiovascular fitness (Vo2max), appetite feelings, and plasma
concentrations of insulin, active ghrelin (AG), glucagon-like peptide 1 (GLP-1),
peptide YY (PYY), and cholecystokinin (CCK), in the fasting and postprandial
states, were measured at baseline (B), week 4 (W4), and 1 and 2 yr (and average
values for all fasting and postprandial time points computed). BW was
significantly reduced and Vo2max (ml.kg-1.min-1) increased at all time points
compared with B (3.5, 8.1, and 8.4% WL and 7, 11, and 8% increase at W4 and 1 and
2 yr, respectively). Basal hunger and average hunger and desire to eat were
significantly increased at 1 and 2 yr. Basal fullness was significantly increased
at W4, and average ratings were reduced at 1 yr. Average AG and PYY were
significantly increased, and insulin was reduced, at all time points compared
with B. Average GLP-1 was reduced at W4, and CCK was increased at 2 yr. After
lifestyle-induced WL, patients with severe obesity will, therefore, have to deal
with increased hunger in the long term. In conclusion, sustained WL at 2 yr
achieved with diet and exercise is associated with increased hunger feelings and
ghrelin concentration but also increased postprandial concentrations of satiety
hormones.
PMID- 29360398
TI - Effect of High Pressures in Combination with Temperature on the Inactivation of
Spores of Nonproteolytic Clostridium botulinum Types B and F.
AB - The impact of high pressure processing on the inactivation of spores of
nonproteolytic Clostridium botulinum is important in extended shelf life chilled
low-acid foods. The three most resistant C. botulinum strains (Ham-B, Kap 9-B,
and 610-F) were selected for comparison of their thermal and pressure-assisted
thermal resistance after screening 17 nonproteolytic C. botulinum strains (8 type
B, 7 type E, and 2 type F). Spores of strains Ham-B, Kap 9-B, and 610-F were
prepared using a biphasic media method, diluted in N-(2-acetamido)-2
aminoethanesulfonic acid (ACES) buffer (0.05 M, pH 7.00) to 105 to 106 CFU/mL,
placed into a modified sterile transfer pipette, heat sealed, and subjected to a
combination of high pressures (600 to 750 MPa) and high temperatures (80 to 91
degrees C) using laboratory and pilot-scale pressure test systems. Diluted spores
from the same crops were placed in nuclear magnetic resonance tubes, which were
heat sealed, and subjected to 80 to 91 degrees C in a Fluke 7321 high precision
bath with Duratheram S oil as the heat transfer fluid. After incubation for 3
months, survivors in both studies were determined by the five-tube most-probable
number method using Trypticase-peptone-glucose-yeast extract broth. The highest
(>5.0) log reductions in spore counts for Ham-B, Kap 9-B, and 610-F occurred at
the highest temperature and pressure combination tested (91 degrees C and 750
MPa). Thermal D-values of Ham-B, Kap 9-B, and 610-F decreased as the process
temperature increased from 80 to 87 degrees C, decreasing to <1.0 min at 87
degrees C for these strains. Pressure-assisted thermal D-values of Ham-B, Kap 9
B, and 610-F decreased as the process temperature increased from 80 to 91 degrees
C with any pressure combination and decreased to <1.0 min as the pressure
increased from 600 to 750 MPa at 91 degrees C. Based on the pressure-assisted
thermal D-values, pressure exerted a more protective effect on spores of Ham-B,
Kap 9-B, and 610-F when processed at 83 to 91 degrees C combined with pressures
of 600 to 700 MPa when compared with thermal treatment only. No protective effect
was observed when the spores of Ham-B, Kap9-B, and 610-F were treated at lower
temperatures (80 to 83 degrees C) in combination with 750 MPa. However, at higher
temperatures (87 to 91 degrees C) in combination with 750 MPa, a protective
effect was seen for Ham-B, Kap9-B, and 610-F spores based on the calculated
pressure-assisted thermal D-values.
PMID- 29360397
TI - Practice patterns for the radical treatment of nasopharyngeal cancer by head and
neck oncologists in the United Kingdom.
AB - OBJECTIVE: Advances in radiation delivery, imaging techniques, and chemotherapy
have significantly improved treatment options for non-metastatic nasopharyngeal
cancers (NPC). However, their impact on the practice in the United Kingdom (UK),
where this tumour is rare, is unknown. This study examined the current attitudes
of UK head and neck oncologists to the treatment of NPC. METHODS: UK head and
neck oncologists representing 19/23 cancer networks were sent an invitation email
with a personalised link to a web-based survey designed to identify the influence
of tumour and nodal staging on current NPC management practices. RESULTS: 26/42
(61%) of clinicians responded. Induction chemotherapy followed by concomitant
chemoradiation was the treatment of choice for Stage III (69%) and IVa/b (96%),
with cisplatin and 5-fluorouracil combination being the most commonly used
induction chemotherapy regimen (88%). 16 centres (61%) used a geometric approach,
adding variable margins of 0-10 mm to the gross tumour volume to define their
therapeutic dose clinical target volume. 54% of respondents used 3 radiotherapy
(RT) prescription doses to treat NPC. Retropharyngeal nodal region irradiation
policy was inconsistent, with nearly one-quarter treating the entire group to a
radical dose. CONCLUSION: Significant heterogeneity currently exists in the RT
practice of NPC in the UK. A consensus regarding the optimal curative, function
sparing treatment paradigm for NPC is necessary to ensure cancer survivors have
satisfactory long-term health-related quality of life. Advances in knowledge:
This is the first study to highlight the significant variation in RT practice of
NPC in the UK.
PMID- 29360399
TI - Prevalence, Antimicrobial Resistance, and Genetic Diversity of Listeria spp.
Isolated from Raw Chicken Meat and Chicken-Related Products in Malaysia.
AB - Listeria spp. are ubiquitous in nature and can be found in various environmental
niches such as soil, sewage, river water, plants, and foods, but the most
frequently isolated species are Listeria monocytogenes and Listeria innocua. In
this study, the presence of Listeria spp. in raw chicken meat and chicken-related
products sold in local markets in Klang Valley, Malaysia was investigated. A
total of 44 Listeria strains (42 L. innocua and 2 L. welshimeri) were isolated
from 106 samples. Antibiotic susceptibility tests of the L. innocua strains
revealed a high prevalence of resistance to clindamycin (92.9%), ceftriaxone
(76.2%), ampicillin (73.8%), tetracycline (69%), and penicillin G (66.7%).
Overall, 31 L. innocua and 1 L. welshimeri strain were multidrug resistant, i.e.,
nonsusceptible to at least one antimicrobial agent in three or more antibiotic
classes. The majority of the L. innocua strains were placed into five AscI
pulsogroups, and overall 26 distinct AscI pulsotypes were identified. The
detection of multidrug-resistant Listeria strains from different food sources and
locations warrants attention because these strains could serve as reservoirs for
antimicrobial resistance genes and may facilitate the spread and emergence of
other drug-resistant strains.
PMID- 29360400
TI - Surfactant-Enhanced Organic Acid Inactivation of Tulane Virus, a Human Norovirus
Surrogate.
AB - Combination treatments of surfactants and phenolic or short-chain organic acids
(SCOA) may act synergistically or additively as sanitizers to inactive foodborne
viruses and prevent outbreaks. The purpose of this study was to investigate the
effect of gallic acid (GA), tannic acid, p-coumaric acid, lactic acid (LA), or
acetic acid (AA), in combination with sodium dodecyl sulfate (SDS), against
Tulane virus (TV), a surrogate for human norovirus. An aqueous stock solution of
phenolic acids or SCOA with or without SDS was prepared and diluted in a twofold
dilution series to 2* the desired concentration with cell growth media (M119 plus
10% fetal bovine serum). The solution was inoculated with an equal proportion of
6 log PFU/mL TV with a treatment time of 5 min. The survival of TV was quantified
using a plaque assay with LLC-MK2 cells. The minimum virucidal concentration was
0.5:0.7% (v/v) for LA-SDS at pH 3.5 (4.5-PFU/mL reduction) and 0.5:0.7% (v/v) AA
SDS at pH 4.0 (2.6-log PFU/mL reduction). GA and SDS demonstrated a minimum
virucidal concentration of 12.5 mM GA-SDS at pH 7.0 (0.2:0.3% GA-SDS) with an 0.8
log PFU/mL reduction and 50 mM GA-SDS (0.8:1.4% GA-SDS at pH 7.0) increased log
reduction to 1.6 log PFU/mL. The combination treatments of AA or LA with SDS at
pH 7.0 did not produce significant log reduction, nor did individual treatments
of tannic acid, GA, p-coumaric acid, AA, LA, or SDS. This study demonstrates that
a surfactant, such as SDS, aids in the phenolic acid and SCOA toxicities against
viruses. However, inactivation of TV by combination treatments is contingent upon
the pH of the sanitizing solution being lower than the pKa value of the organic
acid being used. This information can be used to develop sanitizing washes to
disinfect food contact surfaces, thereby aiding in the prevention of foodborne
outbreaks.
PMID- 29360401
TI - Backyard Farms Represent a Source of Wide Host Range Salmonella Phages That Lysed
the Most Common Salmonella Serovars.
AB - The genus Salmonella has more than 2,600 serovars, and this trait is important
when considering interventions for Salmonella control. Bacteriophages that are
used for biocontrol must have an exclusively lytic cycle and the ability to lyse
several Salmonella serovars under a wide range of environmental conditions.
Salmonella phages were isolated and characterized from 34 backyard production
systems (BPSs) with a history of Salmonella infections. BPSs were visited once,
and cloacal or fecal samples were processed for phage isolation. Four hosts,
Salmonella serovars Enteritidis, Heidelberg, Infantis, and Typhimurium, were used
for phage isolation. The host range of the phages was later characterized with a
panel of 23 Salmonella serovars (serovar diversity set) and 31 isolates obtained
from the same farms (native set). Genetic relatedness for 10 phages with a wide
host range was characterized by restriction fragment length polymorphism, and
phages clustered based on the host range. We purified 63 phages, and 36 phage
isolates were obtained on Salmonella Enteritidis, 16 on Salmonella Heidelberg,
and 11 on Salmonella Infantis. Phages were classified in three clusters: (i)
phages with a wide host range (cluster I), (ii) phages that lysed the most
susceptible Salmonella serovars (serogroup D) and other isolates (cluster II),
and (iii) phages that lysed only isolates of serogroup D (cluster III). The most
susceptible Salmonella serovars were Enteritidis, Javiana, and Dublin. Seven of
34 farms yielded phages with a wide host range, and these phages had low levels
of genetic relatedness. Our study showed an adaptation of the phages in the
sampled BPSs to serogroup D Salmonella isolates and indicated that isolation of
Salmonella phages with wide host range differs by farm. A better understanding of
the factors driving the Salmonella phage host range could be useful when
designing risk-based sampling strategies to obtain phages with a wide lytic host
range for biocontrol purposes.
PMID- 29360402
TI - Growth and Hemolysin Production Behavior of Vibrio parahaemolyticus in Different
Food Matrices.
AB - The growth and hemolytic activity profiles of two Vibrio parahaemolyticus strains
(ATCC 17802 and ATCC 33847) in shrimp, oyster, freshwater fish, pork, chicken,
and egg fried rice were investigated, and a prediction system for accurate
microbial risk assessment was developed. The two V. parahaemolyticus strains
displayed a similar growth and hemolysin production pattern in the foods at 37
degrees C. Growth kinetic parameters showed that V. parahaemolyticus displayed
higher maximum specific growth rate and shorter lag time values in shrimp >
freshwater fish > egg fried rice> oyster > chicken > pork. Notably, there was a
similar number of V. parahaemolyticus in all of these samples at the stationary
phase. The hemolytic activity of V. parahaemolyticus in foods increased linearly
with time ( R2 > 0.97). The rate constant ( K) of hemolytic activity was higher
in shrimp, oyster, freshwater fish, and egg fried rice than in pork and chicken.
Significantly higher hemolytic activity of V. parahaemolyticus was evident in egg
fried rice > shrimp > freshwater fish > chicken > oyster > pork. The above
mentioned results indicate that V. parahaemolyticus could grow well regardless of
the food type and that contrary to current belief, it displayed a higher
hemolytic activity in some nonseafood products (freshwater fish, egg fried rice,
and chicken) than in one seafood (oyster). The prediction system consisting of
the growth model and hemolysin production algorithm reported here will fill a gap
in predictive microbiology and improve significantly the accuracy of microbial
risk assessment of V. parahaemolyticus.
PMID- 29360403
TI - Evaluation of Peroxyacetic Acid for Reducing Low Levels of Salmonella on
Laboratory-Inoculated and Naturally Contaminated In-Shell Hazelnuts.
AB - In-shell hazelnuts may be exposed to many sources of Salmonella during harvest
and postharvest processing. Chemical sanitizers, such as peroxyacetic acid (PAA),
are used by some postharvest processors in an attempt to reduce microbial loads;
however, data are limited on its efficacy to reduce Salmonella on in-shell
hazelnuts under conditions relevant to the industry. This study analyzed the
efficacy of PAA to reduce small numbers of Salmonella on laboratory-inoculated
(3.9 most probable number [MPN] per hazelnut) and naturally contaminated in-shell
hazelnuts (8.2 MPN/33 g). Batches of hazelnuts (333 to 1,500 g) were agitated in
water or PAA (80 or 200 ppm) for 1 min. Inoculated hazelnuts were analyzed for
the presence of Salmonella on an individual nut basis. Naturally contaminated
hazelnuts were analyzed for the presence of Salmonella in larger sample sizes (33
g). Water and PAA (80 ppm) were effective at reducing Salmonella contamination on
laboratory-inoculated hazelnuts (untreated, 85.5% positive; water, 38.7%; and
PAA, 29.5%). Neither water nor PAA treatments (80 and 200 ppm) were effective in
reducing Salmonella on naturally contaminated hazelnuts. The use of PAA in wash
lines is unlikely to reduce Salmonella contamination on in-shell hazelnuts;
however, PAA may reduce cross-contamination during postharvest washing
activities.
PMID- 29360404
TI - Cross-correlation between the controlled collision environment and real-world
motor vehicle collisions: Evaluating the protection of the thoracic side airbag.
AB - OBJECTIVE: Thoracic side airbags (tSABs) were integrated into the vehicle fleet
to attenuate and distribute forces on the occupant's chest and abdomen, dissipate
the impact energy, and move the occupant away from the intruding structure, all
of which reduce the risk of injury. This research piece investigates and
evaluates the safety performance of the airbag unit by cross-correlating data
from a controlled collision environment with field data. METHOD: We focus
exclusively on vehicle-vehicle lateral impacts from the NHTSA's Vehicle Crash
Test Database and NASS-CDS database, which are replicated in the controlled
environment by the (crabbed) barrier impact. Similar collisions with and without
seat-embedded tSABs are matched to each other and the injury risks are compared.
RESULTS: Results indicated that dummy-based thoracic injury metrics were
significantly lower with tSAB exposure (P <.001). Yet, when the controlled
collision environment data were cross-correlated with NASS-CDS collisions,
deployment of the tSAB indicated no association with thoracic injury (tho. MAIS
2+ unadjusted relative risk [RR] = 1.14; 90% confidence interval [CI], 0.80-1.62;
tho. MAIS 3+ unadjusted RR = 1.12; 90% CI, 0.76-1.65). CONCLUSION: The data from
the controlled collision environment indicated an unequivocal benefit provided by
the thoracic side airbag for the crash dummy; however, the real-world collisions
demonstrate that no benefit is provided to the occupant. This has resulted from a
noncorrelation between the crash test/dummy-based design taking the abstracting
process too far to represent the real-world collision scenario.
PMID- 29360405
TI - Sepsis-associated Encephalopathy Is Septic.
PMID- 29360406
TI - Effect of Hip-Focused Injury Prevention Training for Anterior Cruciate Ligament
Injury Reduction in Female Basketball Players: A 12-Year Prospective Intervention
Study.
AB - BACKGROUND: Programs to prevent anterior cruciate ligament (ACL) injuries in
female basketball players are scarce. Also, ACL injury prevention training that
focuses on hip joint function has not been reported. PURPOSE: To determine the
effectiveness of a hip-focused ACL injury prevention program in female basketball
players. STUDY DESIGN: Cohort study; Level of evidence, 2. METHODS: A prospective
intervention study was conducted for 12 years. Incidence rates of ACL injuries
were collected in the first 4 years (observation period) from college female
basketball players. After the observation period, a hip-focused ACL injury
prevention program was implemented for 8 years (intervention period). A total of
309 players (mean +/- SD age, 19.6 +/- 1.2 years; height, 163.7 +/- 5.6 cm;
weight, 59.1 +/- 5.1 kg; body mass index, 22.0 +/- 1.4) were tracked in the
observation period and compared with 448 players (age, 19.6 +/- 1.1 years;
height, 162.6 +/- 5.8 cm; weight, 58.0 +/- 5.7 kg; body mass index, 21.9 +/- 1.5)
who participated in the intervention period. Athlete-exposures (AEs), ACL numbers
and mechanisms of injury (MOIs), relative risk (RR), absolute risk reduction
(ARR), numbers needed to treat (NNT), and compliance were analyzed. RESULTS:
There were 16 ACL injuries (13 noncontact MOIs) in the 4-year observation period,
whereas 9 ACL injuries (8 noncontact MOIs) were recorded in the 8-year
intervention period. The overall ACL injury incidence was 0.25/1000 AEs in the 4
year observation period compared with 0.10/1000 AEs in the 8-year intervention
period, respectively. Compared with the 4-year observation period, significant RR
reduction was observed (0.38; 95% CI, 0.17-0.87; P = .017) with ARR and NNT of
0.032 (95% CI, 0.027-0.037) and 31.6 (95% CI, 27.1-37.7), respectively, in the 8
year intervention period. The noncontact ACL injury incidence was 0.21 per 1000
AEs during the 4-year observation period compared with 0.08/1000 AEs in the 8
year intervention period, which also showed significant RR reduction (0.37; 95%
CI, 0.15-0.92; P = .026), with ARR and NNT of 0.024 (95% CI, 0.020-0.029) and
41.3 (95% CI, 34.6-51.3), respectively. The mean compliance rate during the
intervention periods (8 years) was 89%. CONCLUSION: A hip-focused injury
prevention program demonstrated significant reduction in the incidence of ACL
injury in female collegiate basketball players.
PMID- 29360407
TI - Otolaryngology Service Usage in Children With Cleft Palate.
AB - OBJECTIVES: To determine the usage of otolaryngology services by children with
cleft palate at a pediatric tertiary care facility. DESIGN: Retrospective case
series. SETTING: Specialty clinic at a pediatric tertiary care hospital.
PATIENTS: Children born between January 1, 1999, and December 31, 2002, with the
diagnosis of cleft palate or cleft lip and palate. A total of 41 female and 48
male patients were included. MAIN OUTCOME MEASURES: Total number of
otolaryngology clinic visits and total number of otolaryngologic surgeries
(tympanostomy tube placements and other otologic or upper airway procedures).
RESULTS: In the first 5 years of life, these children utilized an average of 8.2
otolaryngology clinic visits (SD = 5.0; range: 1-22) and underwent 3.3
tympanostomy tube surgeries (SD = 2.0; range: 0-10). Seventy-three had their
first tube placed at the time of palate repair, and 4 at the time of lip repair.
Fifty-one (57.3%) required other otologic or upper airway procedures, including
tonsillectomy and/or adenoidectomy (27 children), removal of tympanostomy tubes
(24 children), tympanomastoidectomy (3 children), and tympanoplasty (14
children). Of the children who underwent other procedures, they underwent a mean
of 1.67 (SD = 0.84; range: 1-4) surgeries. CONCLUSIONS: Children with cleft
palate are at increased risk for eustachian tube dysfunction, frequently utilize
otolaryngology care, and typically receive multiple sets of tympanostomy tubes.
This study found that children with cleft palate receive on average of
approximately 3 sets of tympanostomy tubes, and the majority required another
otologic or upper airway surgery.
PMID- 29360408
TI - Centralization of Cleft Lip and Palate Services in the United Kingdom: The Views
of Adult "Returners".
AB - BACKGROUND: Since the implementation of centralized services in the United
Kingdom for those affected by cleft lip and/or palate (CL/P), several studies
have investigated the impact of service rationalization on the delivery of care.
While large-scale quantitative studies have demonstrated improvements in a range
of patient outcomes, and smaller studies have reported on the benefits and
challenges of centralization from the views of health professionals, little
research has attempted to capture the patient perspective. Furthermore, few
studies have investigated the views of adult "returners" who have undergone
treatment both pre- and postcentralization. METHODS: Qualitative data relevant to
the subject of this article were extracted from 2 previous larger studies carried
out between January 2013 and March 2014. A total of 16 adults born with CL/P
contributed data to the current study. These data were subjected to inductive
thematic analysis. RESULTS: The findings suggest that centralization of CL/P
services has considerably enhanced the patient experience. Specifically, the
overall standard and coordination of care has improved, service delivery has
become more patient centered, and access to professional psychological support
and peer support has greatly improved patients' capacity to cope with the
associated emotional challenges. CONCLUSIONS: The data collected provide
additional insight into the impact of centralization from the perspective of a
largely unexplored patient population. In combination with other literature,
these findings are also relevant to future efforts to centralize other specialist
services around the world.
PMID- 29360409
TI - Differences in the Tensor Veli Palatini Between Adults With and Without Cleft
Palate Using High-Resolution 3-Dimensional Magnetic Resonance Imaging.
AB - OBJECTIVE: To investigate the dimensions of the tensor veli palatini (TVP) muscle
in adults with and without cleft palate. DESIGN: Prospective study. PARTICIPANTS:
There were a total of 14 adult participants, 8 noncleft and 6 with cleft palate.
METHODS: Analysis and comparison of the TVP muscle and surrounding structures was
completed using 3D MRI data and Amira 5.5 Visualization Modeling software. TVP
muscle volume, hamular process distance, mucosal thickness, TVP muscle length,
and TVP muscle diameter were used for comparison between participant groups based
upon previous research methods. RESULTS: Mann-Whitney U tests revealed a
significantly smaller ( U < .001, P = .002) TVP muscle volume in the cleft palate
group (median = 536.22 mm3) compared to individuals in the non-cleft palate group
(median = 895.19 mm3). The TVP muscle was also significantly shorter ( U = 1.00,
P = .003) in the cleft palate group (median = 18.04 mm) versus the non-cleft
palate (median = 21.18 mm). No significant differences were noted for the other
measured parameters. CONCLUSION: Significant differences in the TVP muscle volume
and length among the noncleft participants found in this study may insights into
the reported increased incidence of otitis media with effusion (OME) seen in the
cleft population. Results from this study contribute to our understanding of the
underlying anatomic differences among individuals with cleft palate.
PMID- 29360410
TI - An Intraoral Appliance to Retract the Protrusive Premaxilla in Bilateral Cleft
Lip Patients Presenting Late for Primary Lip Repair.
AB - The protruding and deviated premaxilla in bilateral complete cleft lip and palate
(BCL/P) patients causes a perplexing problem in achieving a tension-free primary
lip closure. An innovative noninvasive active intraoral Fixed Pre-Surgical Cleft
Orthopedic (FPSCO) appliance is presented that has enabled to successfully
reposition the premaxillary segment to a more favorable position prior to the
surgical repair in 4 BCL/P patients, who reported late for treatment with a mean
age of 18 +/- 3 months. All the patients had at least 1 deciduous tooth erupted
in 3 cleft segments, 1 premaxilla (PM), and 2 lateral maxillary (LM) segments.
This innovative approach is a noninvasive method of getting the cleft segments
closer prior to chelioplasty, where conventional presurgical nasoalveolar molding
(PNAM) is not possible, which needs to be done early in life as it requires
circulating maternal estrogen levels to achieve results preferably possible in
patients younger than 3 to 6 months. Bonding to erupted teeth with glass ionomer
cement was implemented to secure the appliance. Nickel-titanium (Ni-Ti) closed
coil springs were used that delivered continuous forces of 150 g/5 oz per side to
retract the premaxillary segment. The mean active period of treatment time was
3.5 +/- 1.6 months and the retention period was 1.2 +/- 0.6 months, following
which cheiloplasty was performed.
PMID- 29360411
TI - Preparation and evaluation of carfentanil nasal spray employing cyclodextrin
inclusion technology.
AB - Carfentanil (CFTN), a derivative of fentanyl, is highly effective as an
analgesic, but its relatively poor solubility in water has limited its nasal
application. The objective of this study was to develop the new CFTN-CD inclusion
technology to increase the solubility of CFTN. The inclusion compound CFTN-DM
beta-CD was prepared by the ultrasonic method and characterized using X-ray
powder diffraction and morphological shapes analysis (the scanning electron
microscopy). The in vitro dissolution profiles of CFTN-DM-beta-CD were assessed
in hydrochloric acid and phosphate buffer. Nasal ciliotoxicity studies were
carried out using isolated toad palate. Rats were treated with CFTN-DM-beta-CD
(250 ug/kg) by intravenous, intramuscular injection, oral, or nasal drops. The
results showed that CFTN was successfully enveloped by DM-beta-CD. The in vitro
cumulative dissolution of CFTN-DM-beta-CD was obviously enhanced compared to free
CFTN in two buffers. Nasal ciliotoxicity studies have shown that the CFTN-DM-beta
CD does not exhibit higher nasal ciliotoxicity than that of free CFTN.
Pharmacokinetic studies demonstrated that CFTN-DM-beta-CD by nasal administration
was absorbed more rapidly and has higher Cmax and bioavailability than that of
either intramuscular injection or oral administration. In conclusion, the CFTN-DM
beta-CD nasal spray was shown to be a relatively safe dosage form for the rapid
and effective intranasal delivery of CFTN.
PMID- 29360412
TI - Impact of various solid carriers and spray drying on pre/post compression
properties of solid SNEDDS loaded with glimepiride: in vitro-ex vivo evaluation
and cytotoxicity assessment.
AB - Development of self-nanoemulsifying drug delivery systems (SNEDDS) of glimepiride
is reported with the aim to achieve its oral delivery. Lauroglycol FCC, Tween-80,
and ethanol were used as oil, surfactant, and co-surfactant, respectively as
independent variables. The optimized composition of SNEDDS formulation (F1) was
10% v/v Lauroglycol FCC, 45% v/v Tween 80, 45% v/v ethanol, and 0.005% w/v
glimepiride. Further, the optimized liquid SNEDDS were solidified through spray
drying using various hydrophilic and hydrophobic carriers. Among the various
carriers, Aerosil 200 was found to provide desirable flow, compression,
dissolution, and diffusion. Both, liquid and solid-SNEDDS have shown release of
more than 90% within 10 min. Results of permeation studies performed on Caco-2
cell showed that optimized SNEDDS exhibited 1.54 times higher drug permeation
amount and 0.57 times lower drug excretion amount than that of market tablets at
4 hours (p < .01). Further, the cytotoxicity study performed on Caco-2 cell
revealed that the cell viability was lower in SNEDDS (92.22% +/- 4.18%) compared
with the market tablets (95.54% +/- 3.22%; p > .05, i.e. 0.74). The formulation
was found stable with temperature variation and freeze thaw cycles in terms of
droplet size, zeta potential, drug precipitation and phase separation.
Crystalline glimepiride was observed in amorphous state in solid SNEDDS when
characterized through DSC, PXRD, and FT-IR studies. The study revealed successful
formulation of SNEDDS for glimepiride.
PMID- 29360413
TI - Low Incidence of Osteonecrosis in Childhood Acute Lymphoblastic Leukemia Treated
With ALL-97 and ALL-02 Study of Japan Association of Childhood Leukemia Study
Group.
AB - Purpose Osteonecrosis (ON) is a serious complication of the treatment of
childhood acute lymphoblastic leukemia (ALL); however, data relating to ON in
Asian pediatric patients with ALL are scarce. Therefore, we performed a
retrospective analysis of cohorts of Japanese patients with ALL to clarify the
incidence, clinical characteristics, and risk factors of ON. Patients and Methods
The incidence and characteristics of ON were determined in patients with ALL (n =
1,662) enrolled in two studies from the Japan Association of Childhood Leukemia
Study (JACLS) group (n = 635 and n = 1,027 patients treated with the ALL-97 and
ALL-02 protocols, respectively). Results In total, 24 of 1,662 patients suffered
from ON, of which 12 of 635 and 12 of 1,027 patients were treated with the ALL-97
and the ALL-02 protocol, respectively. Of the 24 patients, 23 were older than 10
years. In multivariate analysis, age (>= 10 years) was the sole significant risk
factor for ON ( P < .001). Separate evaluation of patients >= 10 years of age
indicated a 5-year cumulative incidence of ON of 7.2% (95% CI, 4.0% to 12.6%) and
5.9% (95% CI, 3.3% to 10.4%) in the ALL-97 and the ALL-02 protocol, respectively,
which was lower than reported previously, despite an administration of
dexamethasone (DEX) similar to that in comparable studies; however, concomitant
administration of DEX and l-asparaginase was reduced in the JACLS protocols.
Conclusion We identified a low frequency of ON in the JACLS ALL-97 and ALL-02
studies. Although the sole risk factor for ON was age (>= 10 years), even among
high-risk patients, ON incidence was significantly lower than that reported in
previous studies. These results suggest that, not only the total amount of DEX,
but also how DEX and l-asparaginase are administered, which affects the clearance
of DEX, may be associated with ON incidence in patients with ALL.
PMID- 29360414
TI - Early Chemotherapy Intensification With Escalated BEACOPP in Patients With
Advanced-Stage Hodgkin Lymphoma With a Positive Interim Positron Emission
Tomography/Computed Tomography Scan After Two ABVD Cycles: Long-Term Results of
the GITIL/FIL HD 0607 Trial.
AB - Purpose To investigate the progression-free survival (PFS) of patients with
advanced Hodgkin lymphoma (HL) after a risk-adapted treatment strategy that was
based on a positive positron emission tomography scan performed after two
doxorubicin, vinblastine, vincristine, and dacarbazine (ABVD) cycles (PET2).
Patients and Methods Patients with advanced-stage (IIB to IVB) HL were
consecutively enrolled. After two ABVD cycles, PET2 was performed and centrally
reviewed according to the Deauville five-point scale. Patients with a positive
PET2 were randomly assigned to four cycles of escalated bleomycin, etoposide,
doxorubicin, cyclophosphamide, vincristine, procarbazine, and prednisone
(BEACOPP) followed by four cycles of standard BEACOPP with or without rituximab.
Patients with a negative PET2 continued ABVD, and those with a large nodal mass
at diagnosis (>= 5 cm) in complete remission with a negative PET at the end of
chemotherapy were randomly assigned to radiotherapy or no further treatment. The
primary end point was 3-year PFS. Results Of 782 enrolled patients, 150 (19%) had
a positive and 630 (81%) a negative PET2. The 3-year PFS of all patients was 82%.
The 3-year PFS of those with a positive and negative PET2 was 60% and 87%,
respectively ( P < .001). The 3-year PFS of patients with a positive PET2
assigned to BEACOPP with or without rituximab was 63% versus 57% ( P = .53). In
296 patients with both interim and post-ABVD-negative PET who had a large nodal
mass at diagnosis, radiotherapy was randomly added after chemotherapy without a
significant PFS improvement (97% v 93%, respectively; P = .29). The 3-year
overall survival of all 782 patients was 97% (99% and 89% for PET2 negative and
positive, respectively). Conclusion The PET-driven switch from ABVD to escalated
BEACOPP is feasible and effective in high-risk patients with advanced-stage HL.
PMID- 29360416
TI - A Group Lifestyle Intervention Program Is Associated with Reduced Emergency
Department Presentations for People with Metabolic Syndrome: A Retrospective Case
Control Study.
AB - BACKGROUND: One quarter of the world's adults have metabolic syndrome. Lifestyle
modification is the first line of intervention as improvements in diet and
exercise can have positive effects on the individual components of metabolic
syndrome. The primary aim of this research was to evaluate the effect of an 8
week lifestyle intervention program for people with metabolic syndrome on
emergency department presentations, hospital admissions, and metabolic
parameters. METHODS: A retrospective case-control study of adults (n = 58, mean
age 60 +/- 7 years) with metabolic syndrome referred to a group lifestyle self
management intervention program between 2013 and 2015. The intervention program
consisted of 8 weekly sessions of group exercise and education delivered in a
community healthcare setting. The intervention group (n = 29) was compared with a
group of people who declined to attend the program (n = 29). Data were collected
from the time a participant was referred to the program, and all participants
were followed for a minimum of 100 days. RESULTS: Participants who attended the
lifestyle intervention program had significantly fewer emergency department
presentations [risk ratio (RR) 0.31, 95% confidence interval (CI) 0.11 to 0.83]
and potentially avoidable emergency department presentations (RR 0.06, 95% CI
0.004 to 0.097) over the follow-up period (mean 495 +/- 224 days per
participant). There were no differences between the groups in hospital admissions
and there were insufficient data to determine changes in metabolic parameters.
Lifestyle group participants increased their exercise capacity [6-min walk test
mean difference (MD) 41 m, 95% CI 20 to 62, P < 0.001] and had a mild decrease in
weight (MD -0.8 kg, 95% CI -1.5 to -0.2, P = 0.018) and waist circumference (MD
1.3 cm, 95% CI -2.1 to -0.6, P = 0.002) after 8 weeks. CONCLUSIONS:
Implementation of a group lifestyle intervention program to improve activity and
self-management skills may assist in decreasing emergency department
presentations.
PMID- 29360417
TI - Advance Care Planning Documentation in Electronic Health Records: Current
Challenges and Recommendations for Change.
AB - OBJECTIVE: To develop a set of clinically relevant recommendations to improve the
state of advance care planning (ACP) documentation in the electronic health
record (EHR). BACKGROUND: Advance care planning (ACP) is a key process that
supports goal-concordant care. For preferences to be honored, clinicians must be
able to reliably record, find, and use ACP documentation. However, there are no
standards to guide ACP documentation in the electronic health record (EHR).
METHODS: We interviewed 21 key informants to understand the strengths and
weaknesses of EHR documentation systems for ACP and identify best practices. We
analyzed these interviews using a qualitative content analysis approach and
subsequently developed a preliminary set of recommendations. These
recommendations were vetted and refined in a second round of input from a
national panel of content experts. RESULTS: Informants identified six themes
regarding current inadequacies in documentation and accessibility of ACP
information and opportunities for improvement. DISCUSSION: We offer a set of
concise, clinically relevant recommendations, informed by expert opinion, to
improve the state of ACP documentation in the EHR.
PMID- 29360415
TI - Relationship of Circulating miRNAs with Insulin Sensitivity and Associated
Metabolic Risk Factors in Humans.
AB - BACKGROUND: Insulin resistance disrupts metabolic processes and leads to various
chronic disease states such as diabetes and metabolic syndrome (MetS). However,
the mechanism linking insulin resistance with cardiometabolic disease
pathophysiology is still unclear. One possibility may be through circulating
microRNAs (c-miRs), which can alter gene expression in target tissues. Our goal
was to assess the relationship of c-miRs with insulin sensitivity, as measured by
the gold standard, hyperinsulinemic-euglycemic clamp technique. METHODS: Eighty
one nondiabetic, sedentary, and weight-stable patients across a wide range of
insulin sensitivities were studied. Measurements were taken for blood pressure,
anthropometric data, fasting glucose and lipids, and insulin sensitivity measured
by clamp. After an initial screening array to identify candidate miRs in plasma,
all samples were assessed for relationships between these c-miRs and insulin
sensitivity, as well as associated metabolic factors. RESULTS: miR-16 and miR-107
were positively associated with insulin sensitivity (R2 = 0.09, P = 0.0074 and R2
= 0.08, P = 0.0417, respectively) and remained so after adjustment with body mass
index (BMI). After adjusting for BMI, miR-33, -150, and -222 were additionally
found to be related to insulin sensitivity. Regarding metabolic risk factors, miR
16 was associated with waist circumference (r = -0.25), triglycerides (r =
0.28), and high-density lipoprotein (r = 0.22), while miR-33 was inversely
associated with systolic blood pressure (r = -0.29). No significant relationships
were found between any candidate c-miRs and BMI, diastolic blood pressure, or
fasting glucose. CONCLUSIONS: Our results show that relative levels of
circulating miR-16, -107, -33, -150, and -222 are associated with insulin
sensitivity and metabolic risk factors, and suggest that multiple miRs may act in
concert to produce insulin resistance and the clustering of associated traits
that comprise the MetS. Therefore, miRs may have potential as novel therapeutic
targets or agents in cardiometabolic disease.
PMID- 29360418
TI - A Virtual Environment-Based Training System for a Blind Wheelchair User Through
Use of Three-Dimensional Audio Supported by Electroencephalography.
AB - People with disabilities encounter many difficulties, especially when a diagnosis
of more than one dysfunction is made, as is the case for visually impaired
wheelchair users. In fact, this scenario generates a degree of incapacity in
terms of the performance of basic activities on the part of the wheelchair user.
The treatment of disabled patients is performed in an individualized manner
according to their particular clinical aspects. People with visual and motor
disabilities are restricted in independent navigation. In this navigation
scenario, there is a requirement for interaction that justifies the use of
virtual reality (VR). In addition, locomotion needs to be under natural control
to be successfully incorporated. Based on such a condition,
electroencephalography (EEG) has shown great advances in the area of health by
employing spontaneous brain signals. This research demonstrates, through an
experiment, the use of a wheelchair adapted to have the support of VR and EEG for
training of locomotion and individualized interaction of wheelchair users with
visual impairment. The objective was to provide efficient interactions, thus
allowing the social inclusion of patients who are considered otherwise
incapacitated. This project was based on the following criteria: natural control,
feedback, stimuli, and safety. A multilayer computer rehabilitation system was
developed that incorporated natural interaction supported by EEG, which activated
the movements in the virtual environment and real wheelchair through adequately
performed experiments. This research consisted of elaborating a suitable approach
for blind wheelchair user patients. The results demonstrated that the use of VR
with EEG signals has the potential for improving the quality of life and
independence of blind wheelchair users.
PMID- 29360419
TI - Multiple Organ Dysfunction: The Defining Syndrome of Sepsis.
AB - BACKGROUND: Sepsis as a process has been recognized since the time of the Ancient
Greeks. The concept has evolved recently to reflect a disease process of a
severe, systemic response to infection. Acute, life-threatening but potentially
reversible organ dysfunction is its hallmark, and unresolving organ dysfunction
is the dominant cause of death in critical illness. Its evolution, persistence,
and resolution reflect a complex interplay of factors originating in the initial
inciting insult, the innate immune and metabolic response of the host, and the
beneficial and harmful consequences of intensive care unit (ICU) supportive care.
DISCUSSION: We describe the common clinical manifestations of the six prototypic
organ system dysfunction syndromes of severe sepsis and review the associated
epidemiology and suspected pathophysiology.
PMID- 29360420
TI - The informal use of antiretroviral medications for HIV prevention by men who have
sex with men in South Florida: initiation, use practices, medications and
motivations.
AB - Limited data suggest that some gay and other men who have sex with men are using
antiretroviral medications informally, without a prescription, for HIV
prevention. This qualitative study examined this phenomenon among gay and other
men who have sex with men in South Florida. Participants initiated informal
antiretroviral medication use as a means of protecting each other and because of
the confidence in knowledge of antiretroviral medications shared by their friends
and sex partners. The most commonly used medications included Truvada and
Stribild. Motivations for use included condom avoidance, risk reduction, and fear
of recent HIV exposure. Participants described positive and negative sentiments
related to informal use, including concerns about informal antiretroviral
medications offering sufficient protection against HIV, and limited knowledge
about pre-exposure prophylaxis (PrEP). Because the antiretroviral medications
used for PrEP have the potential to prevent HIV infection, future research must
consider the informal antiretroviral medication use and related concerns,
including adherence, diversion and viral resistance.
PMID- 29360421
TI - Prevalence and Correlates of Prescription Drug Misuse Among a Racially Diverse
Sample of Young Sexual Minority Men.
AB - PURPOSE: Sexual minority men (SMM) are at greater risk than heterosexual men for
misusing prescription psychotropic medications. However, community prevalence
estimates of prescription drug misuse among young SMM are lacking. The current
study described lifetime and past-6-month stimulant, painkiller, and
depressant/tranquilizer misuse in a large, racially diverse sample of 967 SMM
aged 16-29 in Chicago, Illinois, and investigated demographic and other substance
use associations. METHODS: Data came from the baseline visit of the RADAR
longitudinal cohort study. Associations were examined using bivariate and
multivariable logistic regression. RESULTS: A quarter of the sample reported ever
misusing any prescription drug, and 14.2% reported recent misuse. Lifetime class
specific misuse was 16.9% for stimulants, 11.0% for painkillers, and 11.4% for
depressants/tranquilizers; recent misuse was 8.0%, 5.7%, and 6.2%, respectively.
In multivariable analysis, Non-Hispanic black participants had lower odds of
lifetime stimulant and depressant/tranquilizer misuse and recent stimulant misuse
than non-Hispanic white participants, and bisexual participants had greater odds
of lifetime and recent painkiller and depressant/tranquilizer misuse than gay
participants. Generally, using other substances was associated with greater odds
of prescription drug misuse. Having ever been prescribed a psychotropic
medication was associated with higher odds of lifetime painkiller misuse after
controlling for covariates. CONCLUSION: These results provide critical
information on a growing public health problem among young SMM. Future research
should explore why differential rates of misuse exist across subgroups. New
interventions emphasizing the risk of prescription drugs, discouraging drug
sharing, and bolstering refusal and coping skills should be developed and
evaluated.
PMID- 29360422
TI - Management of Acute Respiratory Failure in the Patient with Sepsis or Septic
Shock.
AB - Sepsis and septic shock are each commonly accompanied by acute respiratory
failure and the need for invasive as well as non-invasive ventilation throughout
a patient's intensive care unit course. We explore the underpinnings of acute
respiratory failure of pulmonary as well as non-pulmonary origin in the context
of invasive and non-invasive management approaches. Both pharmacologic and non
pharmacologic adjuncts to ventilatory and oxygenation support are highlighted as
well. Finally, rescue modalities are positioned within the intensivist's
armamentarium for global care of support of the critically ill or injured patient
with sepsis or septic shock.
PMID- 29360423
TI - Efficacy for lung metastasis induced by the allogeneic bEnd3 vaccine in mice.
AB - BACKGROUND: The mouse brain microvascular endothelial cell line bEnd.3 was used
to develop a vaccine and its anti-tumor effect on lung metastases was observed in
immunized mice. METHODS: Mouse bEnd.3 cells cultured in-vitro and then fixed with
glutaraldehyde was used to immunize mice; mice were challenged with the
metastatic cancer cell line U14, and changes in metastatic cancer tissues were
observed through hematoxylin and eosin staining. Carboxyfluorescein succinimidyl
amino ester (CSFE) and propidium iodide (PI) were used to detect cytotoxic
activity of spleen T lymphocytes; the ratio of CD3+ and CD8+ T-cell sub-sets was
determined by flow cytometry. Enzyme-linked immunosorbent assay (ELISA),
immunocytochemistry and immunoblot were used to examine the specific response of
the antisera of immunized mice. RESULTS: The number of metastatic nodules in
bEnd.3 and human umbilical vein endothelial cell (HUVEC) vaccine groups was less
than NIH3T3 vaccine group and phosphate buffered saline (PBS) control group. The
bEnd.3-induced and HUVEC-induced cytotoxic T-lymphocytes (CTLs) showed
significant lytic activity against bEnd.3 and HUVEC target cells, while the
antisera of mice in bEnd.3 and HUVEC vaccine groups showed specific immune
responses to membrane proteins and inhibited target cell proliferation in-vitro.
Immunoblot results showed specific bands at 180KD and 220KD in bEnd.3 and at 130
kD and 220 kD in HUVEC lysates. CONCLUSIONS: Allogeneic bEnd.3 vaccine induced an
active and specific immune response to tumor vascular endothelial cells that
resulted in production of antibodies against the proliferation antigens VEGF-R
II, integrin, Endog etc. Immunization with this vaccine inhibited lung metastasis
of cervical cancer U14 cells and prolonged the survival of these mice.
PMID- 29360424
TI - Making a Good Impression at Work: National Differences in Employee Impression
Management Behaviors in Japan, Korea, and the United States.
AB - Impression management has important implications for success at work. This study
explores differences in impression management in the East and West by examining
the use of self-promotion, ingratiation, and exemplification directed towards
three targets: supervisors, peers, and subordinates among 945 company employees
from Japan, Korea, and the United States. Our results show that Korean employees
used all three strategies most frequently, followed by United States, and then
Japanese employees. Japanese and Korean employees used impression management
strategies differentially across the three targets, and U.S. employees used
impression management equally across targets. This elucidates how cultural trends
in hierarchical relationships impact social behavior within the workplace. A
follow-up mediation analysis found that relational or labor mobility fully
mediated country differences in impression management, suggesting that culture is
also reflected in larger social ecological trends in employee's ability and
likelihood to change jobs, which also account for impression management strategy
usage. Theoretical and practical implications for international business are
discussed. This research may be useful in aligning strategies foreign employees
might employ for using impression management when in Japan, Korea, and the United
States.
PMID- 29360425
TI - The use of home brew in Pacific Islands countries and territories.
AB - This review examines what is known about the production and use of home brew in
the Pacific Islands countries and territories. Data collection involved
interviews of 78 men and women from the Marshall Islands, Papua New Guinea, Toga,
and Tuvalu. The interviews were conducted in 2013 by local interviewers. The
questions fell into four key areas: people's history of home-brew consumption,
the reasons for home-brew use, the effects of home brew, and people's perceptions
about home brew. An open ethnographic approach revealed that males are the main
consumers of home brew, that home brew is consumed in private venues by those
with low socioeconomic status, and that there are positive and negative outcomes
associated with the use of home brew. Finally, policy implications of the
findings are included in this article.
PMID- 29360426
TI - Rhinofibroscopic and Rhinomanometric Evaluation of Patients with Maxillary
Contraction Treated with Rapid Maxillary Expansion. A Prospective Pilot Study.
AB - OBJECTIVE: The aim of this study was to evaluate through nasal fiber optic
endoscopy and rhinomanometry the patency of upper nasal airways in patients
treated with rapid palatal expansion Study design: 30 patients (12 males and 18
females) aged 7-11 years with transverse maxillary constriction underwent
rhinomanometric and fiberoptic examination before (T0) and after rapid palatal
expansion (T1).The amount of nasopharynx obstruction was quantified with
reference to the full choanal surface. Nasal resistance was recorded separately
for right and left sides, and combined for both sides. The differences in
nasopharynx obstruction and in nasal resistance between T0 and T1 were
statistically evaluated. RESULTS: The amount of nasopharynx obstruction
significantly decreased after palatal expansion (p<0.001). Total nasal
inspiration and expiration resistance significantly decreased at T1 (p<0.001).
The reduction ranged between 0. 23 and 0. 66 Pa/cm3/s for inspiration and between
0. 20 and 0,.58 Pa/cm3/s for expiration. A statistically significant positive
correlation existed between the T1-T0 differences in the amount of nasopharynx
obstruction and the T1-T0 differences in expiration nasal airway resistance
(Spearman's correlation coefficient rho = 0.38; p = 0.03). CONCLUSIONS: Rapid
maxillary expansion has an influence on nasal resistance and improves the patency
of upper airways in patients with minor or moderate breathing problems.
PMID- 29360427
TI - Dental Decay and Oral Findings in Children and Adolescents Affected by Different
Types of Cerebral Palsy: A Comparative Study.
AB - OBJECTIVE: To compare dental caries and oral findings in patients affected by
different types of Cerebral Palsy (CP). STUDY DESIGN: This cross-sectional study
involved 120 children and adolescents with a diagnosis of CP. WHO diagnostic
criteria were used to determine DMFT (caries diagnosis), the pocket depth and
attachment level (periodontitis diagnosis). Additionally, the study evaluated
dental erosion, traumatic dental injuries, treatment needs index (TNI), oral
habits, malocclusions, gingival overgrowth, and dental fluorosis. RESULTS: The
most frequent CP type was spastic (62.5%), followed by mixed (18.3%), ataxic
(10%), and athetoid (9.1). Patients affected by mixed CP showed a higher
prevalence in decayed, DMFT index and TNI compared with the other types of CP
(p<0.05). The frequency of malocclusion in the clinical evaluation was 87.5% and
in plaster models was 49.2%. CONCLUSIONS: Dental caries was an important issue in
mixed and athetoid CP groups. Oral habits and malocclusions were the most
significant oral health problems in individuals with CP.
PMID- 29360428
TI - Hepatitis B Virus Infection and Hepatitis C Virus Treatment in a Large Cohort of
Hepatitis C-Infected Patients in the United States.
PMID- 29360429
TI - Retrospective evaluation of the clinical characteristics associated with
Corynebacterium species bacteremia.
AB - OBJECTIVES: Corynebacterium spp. are becoming recognized as pathogens that
potentially cause various infections. We aimed to evaluate the clinical
characteristics associated with Corynebacterium spp. bacteremia. PATIENTS AND
METHODS: We retrospectively reviewed the medical records of all adult patients
who had positive blood cultures for Corynebacterium spp. in a single university
hospital between January 2014 and December 2016. Patients were divided into a
bacteremia group and a contamination group based on microbiological test results
and clinical characteristics. Patients' characteristics, antimicrobial
susceptibility of isolated species, antimicrobials administered, and patient
outcomes were evaluated. RESULTS: Corynebacterium spp. were isolated from blood
samples of 63 patients; Corynebacterium striatum was the predominant isolate.
Twenty-eight patients were determined to have bacteremia. Younger age (p=0.023),
shorter time to positivity (p=0.006), longer hospital stay (p=0.009), and
presence of an indwelling vascular catheter (p=0.002) were observed more often in
the bacteremia group compared to the contamination group. The source of infection
in most patients with bacteremia was an intravenous catheter. All tested strains
were susceptible to vancomycin. Four of the 27 patients with bacteremia died,
despite administration of appropriate antimicrobial therapy. CONCLUSIONS: We
found that younger age, shorter time to positivity, and presence of an indwelling
catheter were related to bacteremia caused by Corynebacterium spp. Appropriate
antimicrobials should be administered once Corynebacterium spp. are isolated from
the blood and bacteremia is suspected.
PMID- 29360431
TI - Langmuir-monolayer methodologies for characterizing protein-lipid interactions.
AB - The Langmuir-monolayer technique is a convenient method that allows for
continuous control over several membrane-specific parameters, such as molecular
packing, physical states, lateral pressure and lipid composition. Lipid
monolayers are well suited for applications involving the Langmuir-monolayer
technique: they are very well-defined, stable, homogeneous and two-dimensional,
and they have planar geometry. In this review, some features of monolayer
methodologies based on the Langmuir-monolayer technique are described, with a
focus on the step-wise procedures that can be applied to characterize protein
lipid interactions at the lipid monolayer/buffer interface in order to maximize
the information concerning the mechanism of interaction between the protein and
the lipid monolayer.
PMID- 29360430
TI - Image analysis and machine learning for detecting malaria.
AB - Malaria remains a major burden on global health, with roughly 200 million cases
worldwide and more than 400,000 deaths per year. Besides biomedical research and
political efforts, modern information technology is playing a key role in many
attempts at fighting the disease. One of the barriers toward a successful
mortality reduction has been inadequate malaria diagnosis in particular. To
improve diagnosis, image analysis software and machine learning methods have been
used to quantify parasitemia in microscopic blood slides. This article gives an
overview of these techniques and discusses the current developments in image
analysis and machine learning for microscopic malaria diagnosis. We organize the
different approaches published in the literature according to the techniques used
for imaging, image preprocessing, parasite detection and cell segmentation,
feature computation, and automatic cell classification. Readers will find the
different techniques listed in tables, with the relevant articles cited next to
them, for both thin and thick blood smear images. We also discussed the latest
developments in sections devoted to deep learning and smartphone technology for
future malaria diagnosis.
PMID- 29360432
TI - Multi-tasking Sulf1/Sulf2 enzymes do not only facilitate extracellular cell
signalling but also participate in cell cycle related nuclear events.
AB - This study demonstrates highly dynamic spatial and temporal pattern of
SULF1/SULF2 expression in a number of neuronal cell types growing in normal
culture medium that included their transient nuclear mobilisation. Their nuclear
translocation became particularly apparent during cell proliferation as both
SULF1/SULF2 demonstrated not only cell membrane associated expression, their
known site of function but also transient nuclear mobilisation during nuclear
cell division. Nuclear localisation was apparent not only by immunocytochemical
staining but also confirmed by immunoblotting staining of isolated nuclear
fractions of C6, U87 and N2A cells. Immunocytochemical analysis demonstrated
rapid nuclear exit of both SULF1/SULF2 following cell division that was slightly
delayed but not blocked in a fraction of the polyploid cells observed in C6
cells. The overexpression of both Sulf1 and Sulf2 genes in C6 and U87 cells
markedly promoted in vitro growth of these cells accompanied by nuclear
mobilisation while inhibition of both these genes inhibited cell proliferation
with little or no nuclear SULF1/SULF2 mobilisation. SULF1/SULF2 activity in these
cells thus demonstrated a clear co-ordination of extracellular cell signalling
with nuclear events related to cell proliferation.
PMID- 29360433
TI - Quantitating transcription factor redundancy: The relative roles of the ELT-2 and
ELT-7 GATA factors in the C. elegans endoderm.
AB - The two GATA transcription factors ELT-2 and ELT-7 function in the
differentiation of the C. elegans intestine. ELT-2 loss causes lethality. ELT-7
loss causes no obvious phenotype but enhances the elt-2(-) intestinal phenotype.
Thus, ELT-2 and ELT-7 appear partially redundant, with ELT-2 being more
influential. To investigate the different regulatory roles of ELT-2 and ELT-7, we
compared the transcriptional profiles of pure populations of wild-type, elt-2(-),
elt-7(-), and elt-7(-); elt-2(-) double mutant L1-stage larvae. Consistent with
the mutant phenotypes, loss of ELT-2 had a>25 fold greater influence on the
number of significantly altered transcripts compared to the loss of ELT-7;
nonetheless, the levels of numerous transcripts changed upon loss of ELT-7 in the
elt-2(-) background. The quantitative responses of individual genes revealed a
more complicated behaviour than simple redundancy/partial redundancy. In
particular, genes expressed only in the intestine showed three distinguishable
classes of response in the different mutant backgrounds. One class of genes
responded as if ELT-2 is the major transcriptional activator and ELT-7 provides
variable compensatory input. For a second class, transcript levels increased upon
loss of ELT-2 but decreased upon further loss of ELT-7, suggesting that ELT-7
actually overcompensates for the loss of ELT-2. For a third class, transcript
levels also increased upon loss of ELT-2 but remained elevated upon further loss
of ELT-7, suggesting overcompensation by some other intestinal transcription
factor(s). In spite of its minor loss-of-function phenotype and its limited
sequence similarity to ELT-2, ELT-7 expressed under control of the elt-2 promoter
is able to rescue elt-2(-) lethality. Indeed, appropriately expressed ELT-7, like
appropriately expressed ELT-2, is able to replace all other core GATA factors in
the C. elegans endodermal pathway. Overall, this study focuses attention on the
quantitative intricacies behind apparent redundancy or partial redundancy of two
related transcription factors.
PMID- 29360435
TI - Cerebellar oxidative stress and fine motor impairment in adolescent rats exposed
to hyperthermia-induced seizures is prevented by maternal caffeine intake during
gestation and lactation.
AB - Febrile seizures (FS) is one of the most common convulsive disorders in infants
and young children that only occurs during the first years of life in humans,
when the cerebellum is still developing. Several works have shown that maternal
caffeine consumption during gestation and lactation can exert protective effects
on developing brain under pathological conditions. Here, we have used an animal
model of FS to know whether maternal caffeine consumption during gestation and
lactation exhibited protective effects on rat cerebellum. Pregnant rats were
allowed to freely drink water or caffeine (1 g/l) during gestation and lactation.
At PD13, neonates were submitted to hyperthermia-induced seizures (HIS) whereas
pups not subject to hyperthermic stimulus were used as controls. 48 h, 5 and 20
days after HIS, rats were killed and plasma membranes and cytosolic fractions
were isolated from cerebella. The enzymatic activities of glutathione reductase,
glutathione S-transferase, caspase-3, 5'-nucleotidase and the levels of
thiobarbituric acid reacting substances, adenosine A1 and A2A receptors were
studied in these preparations. Furthermore, rats were tested in balance beam test
and footprint test 20 days after HIS (PD33) in order to investigate the effect on
fine motor coordination and gait patterns. Results obtained suggest that maternal
caffeine consumption during gestation and lactation exerts two kinds of
beneficial effects on cerebellum from rats submitted to HIS: a) at short term,
maternal caffeine abolishes hyperthermic seizures induced-oxidative stress and
caspase-3 activation and b) in adolescent rats (PD33), maternal caffeine prevents
fine motor coordination impairment and gait disturbances.
PMID- 29360434
TI - Getting direction(s): The Eph/ephrin signaling system in cell positioning.
AB - In vertebrates, the Eph/ephrin family of signaling molecules is a large group of
membrane-bound proteins that signal through a myriad of mechanisms and effectors
to play diverse roles in almost every tissue and organ system. Though Eph/ephrin
signaling has functions in diverse biological processes, one core developmental
function is in the regulation of cell position and tissue morphology by
regulating cell migration and guidance, cell segregation, and boundary formation.
Often, the role of Eph/ephrin signaling is to translate patterning information
into physical movement of cells and changes in morphology that define tissue and
organ systems. In this review, we focus on recent advances in the regulation of
these processes, and our evolving understanding of the in vivo signaling
mechanisms utilized in distinct developmental contexts.
PMID- 29360437
TI - A Migratory Mishap: Giant Mediastinal Parathyroid Adenoma.
PMID- 29360436
TI - Comprehensive circular RNA profiles in plasma reveals that circular RNAs can be
used as novel biomarkers for systemic lupus erythematosus.
AB - Circular RNAs (circRNAs), a novel class of widespread endogenous noncoding RNAs,
have been involved in the development of various diseases, including
atherosclerosis, Alzheimer's disease and several types of cancers, but there is
little knowledge about their associations with systemic lupus erythematosus
(SLE). This study is aimed to identify the expression profiles of circRNAs in 6
paired SLE and normal participants plasma samples by using a circRNA microarray.
The microarray analysis showed that 207 circRNAs were differentially expressed
between these two groups, including 113 upregulated and 94 downregulated
circRNAs. Then, we selected 8 circRNAs as candidate biomarkers from the
microarray analysis and further verified them in another group of subjects
consisting of 24 SLE patients and 24 normal controls using quantitative real-time
polymerase chain reaction assays (qRT-PCR). Finally, we confirmed four circRNAs
that were consistent with the microarray results. In addition, bioinformatics was
employed to predict the interaction between validated circRNAs and potential
miRNA targets. Taken together, we firstly illustrate the comprehensive expression
profiles of circRNAs in SLE patients plasma and lay the foundations to develop
circRNAs as novel non-invasive biomarkers for SLE disease in the future.
PMID- 29360438
TI - Is there a role of H2S in mediating health span benefits of caloric restriction?
AB - Caloric restriction (CR) is a dietary regimen that aims to reduce the intake of
total calories while maintaining adequate supply of key nutrients so as to avoid
malnutrition. CR is one of only a small number of interventions that show
promising outcomes on health span and lifespan across different species. There is
growing interest in the development of compounds that might replicate CR-related
benefits without actually restricting food intake. Hydrogen sulfide (H2S) is
produced inside the bodies of many animals, including humans, by evolutionarily
conserved H2S synthesizing enzymes. Endogenous H2S is increasingly recognized as
an important gaseous signalling molecule involved in diverse cellular and
molecular processes. However, the specific role of H2S in diverse biological
processes remains to be elucidated and not all its biological effects are
beneficial. Nonetheless, recent evidence suggests that the biological functions
of H2S intersect with the network of evolutionarily conserved nutrient sensing
and stress response pathways that govern organismal responses to CR. Induction of
H2S synthesizing enzymes appears to be a conserved and essential feature of the
CR response in evolutionarily distant organisms, including nematodes and mice.
Here we review the evidence for a role of H2S in CR and lifespan modulation. H2S
releasing drugs, capable of controlled delivery of exogenous H2S, are currently
in clinical development. These findings suggest such H2S releasing drugs as a
promising novel avenue for the development of CR mimetic compounds.
PMID- 29360439
TI - Dihydroartemisinin suppresses STAT3 signaling and Mcl-1 and Survivin expression
to potentiate ABT-263-induced apoptosis in Non-small Cell Lung Cancer cells
harboring EGFR or RAS mutation.
AB - Non-small cell lung cancer (NSCLC) is the most common malignancy worldwide. A
significant fraction of NSCLC carries activating mutations in epidermal growth
factor receptor (EGFR) or RAS oncogene. Dihydroartemisinin (DHA) is a
semisynthetic derivative of the herbal antimalarial drug artemisinin that has
been recently reported to exhibit anti-cancer activity. To develop new
therapeutic strategies for NSCLC, we investigated the interactions between DHA
and ABT-263 in NSCLC cells harboring EGFR or RAS mutation. Our data indicated
that DHA synergized with ABT-263 to trigger Bax-dependent apoptosis in NSCLC
cells in culture. DHA treatment antagonized ABT-263-induced Mcl-1 upregulation
and sensitized NSCLC cells to ABT-263-triggered apoptosis. Additionally, DHA
treatment caused downregulation of Survivin and upregulation of Bim, which also
contribute to cotreatment-induced cytotoxicity. Moreover, DHA effectively
suppressed STAT3 phosphorylation, and STAT3 inactivation resulted in the
downregulation of Mcl-1 and Survivin, functioning to enhance ABT-263-induced
cytotoxicity. Finally, cotreatment of DHA and ABT-263 significantly inhibited
xenograft growth in nude mice. Together, DHA effectively inhibits STAT3 activity
and modulates expression of Mcl-1, Survivin and Bim, thereby synergizing with ABT
263 to trigger apoptosis in NSCLC cells harboring EGFR or RAS mutation. Our data
provide a novel therapeutic strategy for EGFR or RAS mutant NSCLC treatment.
PMID- 29360441
TI - Response From the Authors.
PMID- 29360440
TI - A novel in-cell ELISA method for screening of compounds inhibiting TRKA
phosphorylation, using KM12 cell line harboring TRKA rearrangement.
AB - Tropomyosin-related kinase A (TRKA) fusion was originally detected in colorectal
carcinoma that had resulted in expression of the oncogenic chimeric protein TPM3
TRKA. Lately, many more rearrangements in TRK family of kinases generating
oncogenic fusion proteins have been identified. These genetic rearrangements
usually result in fusion of cytoplasmic kinase domain of TRK to another gene of
interest resulting in constitutive kinase activity. Estimation of TRK inhibitor
potency in a cellular context is required for drug discovery programs and is
measured by receptor phosphorylation levels upon compound administration.
However, since a large chunk of the TRK protein is lost in this rearrangement,
it's difficult to set up sandwich ELISA for detection of receptor phosphorylation
in any cell assay harboring these fusion proteins. In order to address this
issue, we developed a novel and robust in-cell ELISA method which quantifies the
phosphorylation of TRK kinase (Tyr 674/675) within the KM12 cells. This cell
based method is more versatile & economical than conventional ELISA using
engineered overexpressing cell line and/or western blot methods. Performance
reliability & robustness for the validated assay were determined by %CV and Z
factor in assays with reference molecule larotrectinib. This in-cell ELISA method
can be used with any TRKA rearranged oncogenic fusion cell type and can be
extended to other TRK isoforms as well. We have used this assay to screen novel
molecules in KM12 cells and to study pharmacodynamic properties of compounds in
TRKA signaling.
PMID- 29360444
TI - Reply by Authors.
PMID- 29360442
TI - Ultrastructural analysis of Apicomplexa-Like parasites in two conch species
Laevistrombus canarium and canarium urceus from Johor Straits, Malaysia.
AB - The tropical conch, Laevistrombus canarium (Linnaeus, 1758) and Canarium urceus
(Linneaus, 1758) are ecologically and economically important shellfish species in
Malaysia and neighboring region. Their populations, however are currently
declining and this histopathological study investigates the aspect of parasitism
and diseases that may affect their well-being. Conch samples were randomly
collected from their natural habitat and histological sections (4-5 um) of
various organs and tissues were examined under light microscope. This was
followed by ultrastructure analysis on infected tissues using transmission
electron microscope (TEM). Based on the histological analysis, large numbers of
gamonts, sporocysts and trophozoites of Apicomplexa-like parasites were observed
in the vacuolated cells and pyramidal crypt cells of the digestive tubules, and
in the digestive ducts. Furthermore, coccidian and oocysts-like Pseudoklossia sp.
stages were also observed in the cells of the kidney. Apart from that, spores
with cyst-like structure were observed in the digestive gland and kidney.
Although the parasites were present in most of the organs analyzed, there was no
obvious symptom, inflammatory response or mortality incurred on both species,
which implies the possibility of a non-virulent relationship like commensalisms
or mutualism. However, more investigations, including molecular studies, are
needed to confirm the parasite identification and dynamics, and to further
evaluate the nature of relationship between Apicomplexa parasites and their host.
PMID- 29360445
TI - Editorial Comment.
PMID- 29360446
TI - A mouse model of a novel missense mutation (Gly83Arg) in a Chinese kindred
manifesting vitreous amyloidosis only.
AB - The purpose of this study is to establish a mouse model of transthyretin (TTR)
Gly83Arg gene mutation by the technique of gene targeting for research on
hereditary vitreous amyloidosis (HVA) and to confirm whether this point mutation
is a genetic feature of HVA. A vector (pBR322-MK-TTR) was constructed to target
ES cells. The successfully transfected ES cells were used for blastocyst
injection, thus generating F0. F0 and Flp mice were mated to generate F1 (TTR+/-,
Flp +/-) mice that lacked the neo gene but carried the Flp gene. F1 mice were
mated with C57BL/6N wild type mice to generate F2 (TTR+/-) mice. F3 homozygous
and heterozygous mice were generated by mating F2 mice with each other. PCR and
sequencing were performed for F3 mice. Amyloid was detected using Congo red stain
and polarized light. Immunohistochemistry was used to detect the expression of
TTR in the tissues. Quantitative fluorescent PCR and Western blotting were used
to detect the expression of TTR mRNA and TTR protein, respectively. Two F0
generation, 2 F1-generation and 15 F3-generation mice were obtained. The gene
sequencing of F3 mice showed TTR Gly83Arg mutation. When examined with Congo red
and polarized light, the vitreous of TTR Gly83Arg mutant mice tested positive for
amyloid. The hearts, livers, brains and kidneys of the experimental group and
control group were all negative by Congo red staining. Immunohistochemical
staining showed that the vitreous of TTR Gly83Arg mutant mice and the livers of
the control mice were positive, but the kidneys, hearts and brains of both groups
were negative. Quantitative fluorescent PCR showed that the mRNA expression of
mutant mice was significantly lower than that of wild-type mice (F = 0.295, P =
0.023). Western blotting showed that the expression of TTR protein was
significantly lower in the model mice than in the wild-type mice (t = 3.224, P =
0.018). TTR gene mutation is indeed a molecular characteristic of HVA and
manifest in the eye disease only. A C57BL/6 mouse line carrying the TTR Gly83Arg
gene mutation was successfully established. This strain of mice can be used for
the study of HVA.
PMID- 29360447
TI - MicroRNA regulation of MDM2-p53 loop in pterygium.
AB - PURPOSE: The pathogenesis of pterygium has been linked to limbal stem cell
damage, abnormal apoptosis and cellular proliferation. In this study, we
investigated the epigenetic regulation through microRNA expression in the
pathogenesis of pterygium. METHODS: Human full-length primary pterygia were
microdissected into head and body regions. Specific microRNA and mRNA expression
was assayed by TaqMan(r) real-time quantitative polymerase chain reaction (qPCR).
Tissue localization of target microRNAs was performed by LNA-based in situ
hybridization. MicroRNA-145 (miR-145) mimics were transfected to primary culture
of human pterygial cells, followed by analyses of cell cycle changes, apoptosis,
p53 and MDM2 expression using flow cytometry and qPCR. RESULTS: The expression of
miR-145 was markedly higher in primary human pterygium than in limbus and
conjunctiva. Both miR-143 and miR-145 were predominantly expressed in the basal
pterygial epithelium. Oncogene MDM2 expression was abundant in pterygial
epithelium and stroma, while the expression pattern was opposite to that of miR
145. Ectopic expression of miR-145 in pterygial cells induced G1 arrest, down
regulated MDM2 and elevated p53 expression. CONCLUSIONS: Our study showed that
miR-145 suppressed MDM2 expression, which subsequently influenced the p53-related
cell growth pattern in pterygial epithelium. The regulatory miR-145/MDM2-p53 loop
can serve as a potential target for treatment of pterygium.
PMID- 29360448
TI - Morphological analysis of corneal findings modifications after death: A
preliminary OCT study on an animal model.
AB - The aim of this work was to describe, for the first time, the morphological
modifications, in a three-dimensional mode, of the central cornea at different
intervals since death. The study design involved the analysis of 30 eyes (15
heads) of female, adult sheep (>2 years) sacrificed at a local slaughterhouse.
The eyes, after animal decapitation, were examined in situ, without enucleation.
Ocular globes were stored at well-known temperature (within a range of 12-22
degrees C) and humidity (within a range of 50-60%). The instrumental analysis was
executed using a portable spectral-domain OCT (SD-OCT) system (iVue SD-OCT,
Optovue Inc, Fremont, CA) calibrated to the corneal mode. OCT imaging was
performed at different time-points since death. Pachymetric map, morphological
and ultrastructural analysis (epithelium, stroma, and endothelium), were
performed for each time-point. After an initial thinning of tissues and an
enhancement of epithelial reflectivity, stromal thickness increased from the 2nd
up to the 6th hour. Subsequently, a new trend incorneal thinning was observed in
association with the appearance ofone or more demarcation lines between the
anterior andposterior stroma. After the 12th hour, a recurrence of corneal
swelling was detected in association with thedelamination of stromal tissue.
Since the 24th hour, the epithelium disappeared in 50% of cases and the anterior
chamberdepth progressively decreased. At the 48th hour, various ocular structures
showed the onset of putrefaction processes, such as theappearance of hyper
reflective dots in anterior chamber, iridocorneal contact, and the massive
vacuolization of theposterior stroma until the total delamination. The portable
OCT system is a useful approach for in situ postmortem corneal examination, and
it may be potentially applied for the selection of donor cornea in
transplantology and for the determination of post-mortem intervals in forensic
medicine.
PMID- 29360449
TI - miR-142-3p inhibits aerobic glycolysis and cell proliferation in hepatocellular
carcinoma via targeting LDHA.
AB - Cancer cells are addictively dependent on glycolysis even in an oxygen-rich
condition. However, the mechanism underlying micro (mi)RNA regulation of aerobic
glycolysis in cancer cells has not been fully understood. Here, we demonstrated
that the expression of miR-142-3p was lower in hepatocellular carcinoma (HCC) as
compared to adjacent non-tumor samples, which was confirmed in The Cancer Genome
Atlas (TCGA) HCC cohorts and Gene Expression Omnibus (GEO) datasets. Function and
pathway analysis showed that miR-142-3p was most relevent with metabolism. As
predicted, the overexpression of miR-142-3p inhibited aerobic glycolysis and thus
proliferation of HCC cells. Mechanistically, we identified lactate dehydrogenase
A (LDHA), one of the important catalyticase for aerobic glycolysis, as the target
of miR-142-3p. Exogenous expression of miR-142-3p reduced the protein levels of
LDHA in both SK-Hep-1 and Huh7 cells. Dual luciferase report assays showed the
expression of LDHA was directly modulated by miR-142-3p. miR-142-3p-induced
deduction of aerobic glycolysis and proliferation were reversed by LDHA
overexpression. Taken together, these results indicate that miR-142-3p could act
as a tumor suppressor in HCC by targeting LDHA, suggesting new therapeutic
targets for HCC treatment.
PMID- 29360450
TI - Noninvasive, targeted gene therapy for acute spinal cord injury using LIFU
mediated BDNF-loaded cationic nanobubble destruction.
AB - Various gene delivery systems have been widely studied for the acute spinal cord
injury (SCI) treatment. In the present study, a novel type of brain-derived
neurotrophic factor (BDNF)-loaded cationic nanobubbles (CNBs) conjugated with MAP
2 antibody (mAbMAP-2/BDNF/CNBs) was prepared to provide low-intensity focused
ultrasound (LIFU)-targeted gene therapy. In vitro experiments, the ultrasound
targeted tranfection to BDNF overexpressioin in neurons and efficiently
inhibition neuronal apoptosis have been demonstrated, and the elaborately
designed mAbMAP-2/BDNF/CNBs can specifically target to the neurons. Furthermore,
in a acute SCI rat model, LIFU-mediated mAbMAP-2/BDNF/CNBs transfection
significantly increased BDNF expression, attenuated histological injury,
decreased neurons loss, inhibited neuronal apoptosis in injured spinal cords, and
increased BBB scores in SCI rats. LIFU-mediated mAbMAP-2/BDNF/CNBs destruction
significantly increase transfection efficiency of BDNF gene both in vitro and in
vivo, and has a significant neuroprotective effect on the injured spinal cord.
Therefore, the combination of LIFU irradiation and gene therapy through mAbMAP
2/BDNF/CNBs can be considered as a novel non-invasive and targeted treatment for
gene therapy of SCI.
PMID- 29360451
TI - Phenomena of synchronized response in biosystems and the possible mechanism.
AB - Phenomena of synchronized response is common among organs, tissues and cells in
biosystems. We have analyzed and discussed three examples of synchronization in
biosystems, including the direction-changing movement of paramecia, the prey
behavior of flytraps, and the simultaneous discharge of electric eels. These
phenomena and discussions support an electrical communication mechanism that in
biosystems, the electrical signals are mainly soliton-like electromagnetic
pulses, which are generated by the transient transmembrane ionic current through
the ion channels and propagate along the dielectric membrane-based softmaterial
waveguide network to complete synchronized responses. This transmission model
implies that a uniform electrical communication mechanism might have been
naturally developed in biosystem.
PMID- 29360452
TI - Long noncoding RNA SNHG7 promotes the progression and growth of glioblastoma via
inhibition of miR-5095.
AB - The long non-coding RNA SNHG7 (small nucleolar RNA host gene 7) has been reported
to be involved in various cancers as a potential oncogene. However, the functions
and molecular mechanisms of SNHG7 in glioblastoma (GBM) are largely unknown. In
the present study, we showed that the expression of SNHG7 was significantly
upregulated in GBM tissues and cell lines compared with non-cancerous brain
tissues. Furthermore, we found that SNHG7 knockdown remarkably suppressed the
proliferation, migration and invasion of A172 and U87 cells while inducing their
apoptosis. Subsequently, we showed that SNHG7 knockdown significantly inhibited
tumor growth and metastasis in vivo by using xenograft experiments in nude mice.
In terms of mechanism, we found that SNHG7 directly inhibited miR-5095, which
targeted the 3' UTR of CTNNB1 mRNA and subsequently downregulated the Wnt/beta
catenin signaling pathway in GBM. Using rescue experiments, we demonstrated that
SNHG7 promoted the proliferation, migration and invasion of GBM cells through the
inhibition of miR-5095 and concomitant activation of Wnt/beta-catenin signaling
pathway. Taken together, the SNHG7/miR-5095 axis might be a potential target for
the development of effective GBM therapy.
PMID- 29360453
TI - The ABC transporter Rv1272c of Mycobacterium tuberculosis enhances the import of
long-chain fatty acids in Escherichia coli.
AB - Mycobacterium tuberculosis (Mtb), which causes tuberculosis, is capable of
accumulating triacylglycerol (TAG) by utilizing fatty acids from host cells. ATP
binding cassette (ABC) transporters are involved in transport processes in all
organisms. Among the classical ABC transporters in Mtb none have been implicated
in fatty acid import. Since the transport of fatty acids from the host cell is
important for dormancy-associated TAG synthesis in the pathogen, mycobacterial
ABC transporter(s) could potentially be involved in this process. Based on
sequence identities with a bacterial ABC transporter that mediates fatty acid
import for TAG synthesis, we identified Rv1272c, a hitherto uncharacterized ABC
transporter in Mtb that also shows sequence identities with a plant ABC
transporter involved in fatty acid transport. We expressed Rv1272c in E. coli and
show that it enhances the import of radiolabeled fatty acids. We also show that
Rv1272c causes a significant increase in the metabolic incorporation of
radiolabeled long-chain fatty acids into cardiolipin, a tetra-acylated
phospholipid, and phosphatidylglycerol in E. coli. This is the first report on
the function of Rv1272c showing that it displays a long-chain fatty acid
transport function.
PMID- 29360454
TI - Regarding "Tube Decompression for Staged Treatment of a Calcifying Odontogenic
Cyst-A Case Report".
PMID- 29360455
TI - Comparative Evaluation of Clinical Outcomes Using Delta Plates and Conventional
Miniplates for Internal Fixation of Mandibular Condylar Fractures in Adults.
AB - PURPOSE: In recent years numerous osteosynthesis devices have become available
for the management of condylar fractures. The aim of this study was to compare
and evaluate the clinical outcomes of delta plates and conventional miniplates
for internal fixation of mandibular condylar fractures in adults. MATERIALS AND
METHODS: We performed a prospective study of 20 patients with subcondylar
fractures requiring open reduction and internal fixation for their management.
Patients were randomly divided into 2 groups using computer software: Group A
patients (n = 10) were treated using delta plates whereas group B patients (n =
10) were treated using conventional miniplates via an extraoral retromandibular
transparotid approach. RESULTS: There was no statistically significant difference
between delta plates and conventional miniplates for internal fixation of
mandibular condylar fractures in adults. However, the time taken for adaptation
of the delta plates was significantly lower than that required for the 2
miniplates (P < .001), and the ease of adaptation was significantly better for
the delta plates (P < .001). CONCLUSIONS: The study results indicated that the
delta plate and miniplate are equally effective in terms of long-term clinical
success in the management of mandibular subcondylar fractures in adults. The
delta plate is superior in terms of handling characteristics, such as ease of
adaptation and time required for adaptation, as only 1 plate and 4 screws are
required as compared with 2 plates and 8 screws.
PMID- 29360456
TI - Do Open Reduction and Internal Fixation With Articular Disc Anatomical Reduction
and Rigid Anchorage Manifest a Promising Prospect in the Treatment of
Intracapsular Fractures?
AB - PURPOSE: In response to the increased attention to soft tissue reduction in the
treatment of intracapsular condylar fractures (ICFs), a modified open reduction
technique is proposed and its functional and radiographic outcomes were evaluated
in this study. PATIENTS AND METHODS: This is a retrospective case series study of
patients with all ICF types that were treated with open reduction and internal
fixation (ORIF) with articular disc anatomic reduction and rigid anchorage.
Inclusion and exclusion criteria were strictly applied. Preoperative and
postoperative clinical examinations of malocclusion, maximum incisor opening
(MIO), laterotrusion, and temporomandibular disorder symptoms were recorded and
analyzed. Computed tomography (CT) and magnetic resonance imaging (MRI) were used
to assess articular position and condylar morphology and position. RESULTS:
Thirty-four patients with ICFs (47 sides) were treated with the modified ORIF
technique. At 6 months of follow-up, no malocclusion was found and the MIO
considerably expanded to 3.56 +/- 0.13 cm. Only 4 patients (12%) had
temporomandibular joint discomfort with mouth opening. Interestingly, for
unilateral type B ICFs, the laterotrusion distance to the ORIF sides was notably
longer than to the non-ORIF sides. Postoperative CT and MRI showed that all
fragments were properly reduced and the condyles were in the normal position.
Postoperative anterior disc displacement occurred in 4 sides and condylar
morphologic abnormalities (slight surface roughening and articular cartilage
absorption) occurred in 3 sides (6.4%). CONCLUSIONS: This modified ORIF
technique, which achieved good outcomes after treatment of all ICF types, shows
promise for the treatment of ICFs.
PMID- 29360457
TI - Intraosseous Schwannoma of the Jaws: An Updated Review of the Literature and
Report of 2 New Cases Affecting the Mandible.
AB - Schwannomas are benign nerve sheath neoplasms composed almost entirely of Schwann
cells. These tumors most often arise in the soft tissues of the head and neck.
However, they seldom occur within bone. This article presents a rare case of a
recurrent intraosseous schwannoma of the anterior mandible and another case of a
posterior intraosseous mandibular schwannoma accessed through a sagittal split
ramus osteotomy. Furthermore, an updated review of the literature on intraosseous
schwannomas affecting the mandible and maxilla is provided.
PMID- 29360458
TI - Variation in Intraocular Pressure and the Risk of Developing Open-Angle Glaucoma:
The Los Angeles Latino Eye Study.
AB - PURPOSE: To determine whether measures of intraocular pressure (IOP) variation
are independently associated with the risk of developing open-angle glaucoma
(OAG). DESIGN: A population-based, longitudinal study. METHODS: A total of 3666
Latinos free of OAG at the baseline of the Los Angeles Latino Eye Study were
followed up 4 years later. Maximum IOP, standard deviation (SD) of IOP, range of
IOP, and mean IOP were derived from 6 readings obtained at the 2 visits. OAG
diagnosis at each visit was based on the consensus of experts who had access to
all clinical examination data from that visit. Multivariate logistic regression
was performed. RESULTS: Maximum, SD, and range of IOP were all associated with
risk of developing OAG, and SD and range of IOP remained significantly associated
even after adjustment for mean IOP. Maximum IOP provided the best fit to the data
and other IOP measures were not associated with OAG risk in the model that had
included maximum IOP. The effect of IOP variation varied by the level of IOP.
Among participants with higher IOPs (>=15 mm Hg), only higher levels of maximum
IOP were associated with a higher OAG risk (P < .05), while SD and range of IOP
were not associated with OAG risk. Among participants with lower IOPs (<15 mm
Hg), higher levels of maximum, SD, and range of IOP were all associated with a
higher risk of developing OAG (P < .05). Mean IOP was associated with OAG risk
only in participants with higher IOPs and not in those with lower IOPs. Results
were similar when participants were stratified as <18 and >=18 mm Hg.
CONCLUSIONS: IOP variation was an independent risk factor for OAG. Maximum IOP
was the most consistent IOP measure for predicting OAG risk across the entire
spectrum of IOPs, possibly by capturing the effect of IOP variation among persons
with relative lower IOPs as well as mean IOP effects in those with higher IOPs.
PMID- 29360459
TI - Assessment of Lamellar Macular Hole and Macular Pseudohole With a Combination of
En Face and Radial B-scan Optical Coherence Tomography Imaging.
AB - PURPOSE: To investigate lamellar macular hole (LMH) and macular pseudohole (MPH)
using a combination of en face and radial B-scan OCT. DESIGN: Retrospective
observational case series. METHODS: Setting: Institutional study. PATIENT
POPULATION: En face and radial B-scan OCT images of 63 eyes of 60 patients
diagnosed with LMH or MPH based on an international classification were reviewed.
OBSERVATION PROCEDURES: Cases were classified using en face images based on the
presence/absence of epiretinal membrane (ERM), retinal folds, parafoveal
epicenter of contractile ERM (PEC-ERM), and retinal cleavage. We compared the en
face imaging-based classification system with the international classification
system using radial B-scan images. We quantitatively evaluated visual function
and macular morphology. MAIN OUTCOME MEASURES: Characterization of multimodal OCT
based subtypes of LMH and MPH. RESULTS: All cases showed ERM and were classified
into 4 groups. In the first group, which lacked retinal folds and showed
significantly lower visual acuity than the other groups, 81% of eyes had
degenerative LMH. In the second group, which lacked PEC-ERM and retinal cleavage
and showed significantly lower retinal fold depth, all eyes had MPH. The third
group, in which 95% of eyes had symmetric tractional LMH, included eyes with
retinal cleavage but without PEC-ERM, and this group showed higher circularity of
the foveal aperture and cleavage area than the group with both these features, in
which all eyes had asymmetric tractional LMH. CONCLUSIONS: Multimodal OCT enables
classification of LMH and MPH based on pathologic conditions. Retinal traction in
particular may be useful for determining treatment methods.
PMID- 29360460
TI - The Relationship Between Nonsteroidal Anti-inflammatory Drug Use and Age-related
Macular Degeneration.
AB - PURPOSE: To describe the relationship between the incidence of age-related
macular degeneration (AMD) and nonsteroidal anti-inflammatory drug (NSAIDs) use.
DESIGN: Prospective cohort study. METHODS: This study consisted of participants
in the California Men's Health Study. Those who completed surveys in 2002-2003
and 2006 were included. Men who self-reported use of aspirin, ibuprofen,
naproxen, valdecoxib, celecoxib, and/or rofecoxib at least 3 days per week were
considered NSAID users. Patients were categorized as non-users, former users, new
users, or longer-term users based on survey responses. NSAID use was also
categorized by type: any NSAIDs, aspirin, and/or non-aspirin NSAIDs. Age,
race/ethnicity, smoking status, education, income, alcohol use, and Charlson
comorbidity index score were included in the multivariate analysis as risk
factors for AMD. RESULTS: A total of 51 371 men were included. Average follow-up
time was 7.4 years. There were 292 (0.6%) and 1536 (3%) cases of exudative and
nonexudative AMD, respectively. Longer-term use of any NSAID was associated with
lower risk of exudative AMD (hazard ratio [HR] 0.69, 95% confidence interval [CI]
0.50-0.96, P = .029). New users of any NSAIDs (HR = 0.79, 95% CI 0.68-0.93, P =
.0039) and aspirin (HR = 0.82, 95% CI 0.70-0.97, P = .018) had a lower risk of
nonexudative AMD, although this trend did not persist in longer-term users. The
relationship between exudative or nonexudative AMD and the remaining categories
of NSAID use were not significant. CONCLUSION: The overall impact of NSAIDs on
AMD incidence is small; however, the lower risk of exudative AMD in longer-term
NSAID users may point to a protective effect and deserves further study as a
possible mechanism to modulate disease risk.
PMID- 29360461
TI - Pancreatitis-Induced Depletion of Syntaxin 2 Promotes Autophagy and Increases
Basolateral Exocytosis.
AB - BACKGROUND & AIMS: Pancreatic acinar cells are polarized epithelial cells that
store enzymes required for digestion as inactive zymogens, tightly packed at the
cell apex. Stimulation of acinar cells causes the zymogen granules to fuse with
the apical membrane, and the cells undergo exocytosis to release proteases into
the intestinal lumen. Autophagy maintains homeostasis of pancreatic acini.
Syntaxin 2 (STX2), an abundant soluble N-ethyl maleimide sensitive factor
attachment protein receptor in pancreatic acini, has been reported to mediate
apical exocytosis. Using human pancreatic tissues and STX2-knockout (KO) mice, we
investigated the functions of STX2 in zymogen granule-mediated exocytosis and
autophagy. METHODS: We obtained pancreatic tissues from 5 patients undergoing
surgery for pancreatic cancer and prepared 80-MUm slices; tissues were exposed to
supramaximal cholecystokinin octapeptide (CCK-8) or ethanol and a low
concentration of CCK-8 and analyzed by immunoblot and immunofluorescence
analyses. STX2-KO mice and syntaxin 2+/+ C57BL6 mice (controls) were given
intraperitoneal injections of supramaximal caerulein (a CCK-8 analogue) or fed
ethanol and then given a low dose of caerulein to induce acute pancreatitis, or
saline (controls); pancreata were isolated and analyzed by histology and
immunohistochemistry. Acini were isolated from mice, incubated with CCK-8, and
analyzed by immunofluorescence microscopy or used in immunoprecipitation
experiments. Exocytosis was quantified using live-cell exocytosis and Ca2+
imaging analyses and based on formation of exocytotic soluble N-ethyl maleimide
sensitive factor attachment protein receptor complexes. Dysregulations in
autophagy were identified using markers, electron and immunofluorescence
microscopy, and protease activation assays. RESULTS: Human pancreatic tissues and
dispersed pancreatic acini from control mice exposed to CCK-8 or ethanol plus CCK
8 were depleted of STX2. STX2-KO developed more severe pancreatitis after
administration of supramaximal caerulein or a 6-week ethanol diet compared with
control. Acini from STX2-KO mice had increased apical exocytosis after exposure
to CCK-8, as well as increased basolateral exocytosis, which led to ectopic
release of proteases. These increases in apical and basolateral exocytosis
required increased formation of fusogenic soluble N-ethyl maleimide sensitive
factor attachment protein receptor complexes, mediated by STX3 and STX4. STX2
bound ATG16L1 and prevented it from binding clathrin. Deletion of STX2 from acini
increased binding of AT16L1 to clathrin, increasing formation of pre
autophagosomes and inducing autophagy. Induction of autophagy promoted the CCK-8
induced increase in autolysosome formation and the activation of trypsinogen.
CONCLUSIONS: In studies of human pancreatic tissues and pancreata from STX2-KO
and control mice, we found STX2 to block STX3- and STX4-mediated fusion of
zymogen granules with the plasma membrane and exocytosis and prevent binding of
ATG16L1 to clathrin, which contributes to induction of autophagy. Exposure of
pancreatic tissues to CCK-8 or ethanol depletes acinar cells of STX2, increasing
basolateral exocytosis and promoting autophagy induction, leading to activation
of trypsinogen.
PMID- 29360462
TI - Association Between Portosystemic Shunts and Increased Complications and
Mortality in Patients With Cirrhosis.
AB - BACKGROUND & AIMS: Spontaneous portosystemic shunts (SPSS) have been associated
with hepatic encephalopathy (HE). Little is known about their prevalence among
patients with cirrhosis or clinical effects. We investigated the prevalence and
characteristics of SPSS in patients with cirrhosis and their outcomes. METHODS:
We performed a retrospective study of 1729 patients with cirrhosis who underwent
abdominal computed tomography or magnetic resonance imaging analysis from 2010
through 2015 at 14 centers in Canada and Europe. We collected data on demographic
features, etiology of liver disease, comorbidities, complications, treatments,
laboratory and clinical parameters, Model for End-Stage Liver Disease (MELD)
score, and endoscopy findings. Abdominal images were reviewed by a radiologist
(or a hepatologist trained by a radiologist) and searched for the presence of
SPSS, defined as spontaneous communications between the portal venous system or
splanchnic veins and the systemic venous system, excluding gastroesophageal
varices. Patients were assigned to groups with large SPSS (L-SPSS, >=8 mm), small
SPSS (S-SPSS, <8 mm), or without SPSS (W-SPSS). The main outcomes were the
incidence of complications of cirrhosis and mortality according to the presence
of SPSS. Secondary measurements were the prevalence of SPSS in patients with
cirrhosis and their radiologic features. RESULTS: L-SPSS were identified in 488
(28%) patients, S-SPSS in 548 (32%) patients, and no shunt (W-SPSS) in 693 (40%)
patients. The most common L-SPSS was splenorenal (46% of L-SPSS). The presence
and size of SPSS increased with liver dysfunction: among patients with MELD
scores of 6-9, 14% had L-SPSS and 28% had S-SPSS; among patients with MELD scores
of 10-13, 30% had L-SPSS and 34% had S-SPSS; among patients with MELD scores of
14 or higher, 40% had L-SPSS and 32% had S-SPSS (P < .001 for multiple comparison
among MELD groups). HE was reported in 48% of patients with L-SPSS, 34% of
patients with S-SPSS, and 20% of patients W-SPSS (P < .001 for multiple
comparison among SPSS groups). Recurrent or persistent HE was reported in 52% of
patients with L-SPSS, 44% of patients with S-SPSS, and 37% of patients W-SPSS (P
= .007 for multiple comparison among SPSS groups). Patients with SPSS also had a
larger number of portal hypertension-related complications (bleeding or ascites)
than those W-SPSS. Quality of life and transplantation-free survival were lower
in patients with SPSS vs without. SPSS were an independent factor associated with
death or liver transplantation (hazard ratio, 1.26; 95% confidence interval, 1.06
1.49) (P = .008) in multivariate analysis. When patients were stratified by MELD
score, SPSS were associated with HE independently of liver function: among
patients with MELD scores of 6-9, HE was reported in 23% with L-SPSS, 12% with S
SPSS, and 5% with W-SPSS (P < .001 for multiple comparison among SPSS groups);
among those with MELD scores of 10-13, HE was reported in 48% with L-SPSS, 33%
with S-SPSS, and 23% with W-SPSS (P < .001 for multiple comparison among SPSS
groups); among patients with MELD scores of 14 or more, HE was reported in 59%
with L-SPSS, 57% with S-SPSS, and 48% with W-SPSS (P = .043 for multiple
comparison among SPSS groups). Patients with SPSS and MELD scores of 6-9 were at
higher risk for ascites (40.5% vs 23%; P < .001) and bleeding (15% vs 9%; P =
.038) than patients W-SPSS and had lower odds of transplant-free survival (hazard
ratio 1.71; 95% confidence interval, 1.16-2.51) (P = .006). CONCLUSIONS: In a
retrospective analysis of almost 2000 patients, we found 60% to have SPSS;
prevalence increases with deterioration of liver function. SPSS increase risk for
HE and with a chronic course. In patients with preserved liver function, SPSS
increase risk for complications and death. ClinicalTrials.gov ID NCT02692430.
PMID- 29360463
TI - Ceragenin CSA13 Reduces Clostridium difficile Infection in Mice by Modulating the
Intestinal Microbiome and Metabolites.
AB - BACKGROUND & AIMS: Clostridium difficile induces intestinal inflammation by
releasing toxins A and B. The antimicrobial compound cationic steroid
antimicrobial 13 (CSA13) has been developed for treating gastrointestinal
infections. The CSA13-Eudragit formulation can be given orally and releases CSA13
in the terminal ileum and colon. We investigated whether this form of CSA13
reduces C difficile infection (CDI) in mice. METHODS: C57BL/6J mice were infected
with C difficile on day 0, followed by subcutaneous administration of pure CSA13
or oral administration of CSA13-Eudragit (10 mg/kg/d for 10 days). Some mice were
given intraperitoneal vancomycin (50 mg/kg daily) on days 0-4 and relapse was
measured after antibiotic withdrawal. The mice were monitored until day 20; colon
and fecal samples were collected on day 3 for analysis. Blood samples were
collected for flow cytometry analyses. Fecal pellets were collected each day from
mice injected with CSA13 and analyzed by high-performance liquid chromatography
or 16S sequencing; feces were also homogenized in phosphate-buffered saline and
fed to mice with CDI via gavage. RESULTS: CDI of mice caused 60% mortality,
significant bodyweight loss, and colonic damage 3 days after infection; these
events were prevented by subcutaneous injection of CSA13 or oral administration
CSA13-Eudragit. There was reduced relapse of CDI after administration of CSA13
was stopped. Levels of CSA13 in feces from mice given CSA13-Eudragit were
significantly higher than those of mice given subcutaneous CSA13. Subcutaneous
and oral CSA13 each significantly increased the abundance of
Peptostreptococcaceae bacteria and reduced the abundance of C difficile in fecal
samples of mice. When feces from mice with CDI and given CSA13 were fed to mice
with CDI that had not received CSA13, the recipient mice had significantly
increased rates of survival. CSA13 reduced fecal levels of inflammatory
metabolites (endocannabinoids) and increased fecal levels of 4 protective
metabolites (ie, citrulline, 3-aminoisobutyric acid, retinol, and ursodeoxycholic
acid) in mice with CDI. Oral administration of these CSA13-dependent protective
metabolites reduced the severity of CDI. CONCLUSIONS: In studies of mice, we
found the CSA13-Eudragit formulation to be effective in eradicating CDI by
modulating the intestinal microbiota and metabolites.
PMID- 29360465
TI - Neochamaejasmin A inhibits KV1.4 channel activity via direct binding to the pore.
AB - Stellera chamaejasme L. (Thymelaeaceae) is a toxic perennial herb and widespread
in Mongolia and the northern parts of China. Previous studies have revealed that
Neochamaejasmin A (NCA), one of the main active ingredients in the plant roots,
has many bioactivities such as inhibiting the P-gp-mediated efflux. But whether
NCA affects ion channels is unknown. Here the whole cell patch clamp technique
was used to investigate whether NCA affects ion channels, especially how it
inhibits KV1.4. Mutagenesis and structure-based molecular simulation were used
for analysis of inhibition mechanism and identification of binding site. Among
all the channels assayed, KV1.4 stood out as the one on which NCA showed
strongest inhibition activity with IC50 of 7.55 uM. Compared with NCA's
isomerides, neochamaejasmin B (NCB) and chamaechromone (CMC), NCA also exhibited
superior inhibition ability on KV1.4. Three mutations, V549A, A553V and V560A,
occurred inside the pore, were found to significantly alleviate the NCA blocking
effects, suggesting that they are the important binding sites of NCA. Structure
based modelling showed that the phenolic hydroxyl group of NCA can form hydrogen
bonds with main chains of Val549 and Ala553 in IS6 and IVS6 segment respectively,
which support our in vitro results. In conclusion, data suggest that NCA might
inhibit KV1.4 channels via direct binding to the pore domain.
PMID- 29360464
TI - Molecular characterization of feline melanocortin 4 receptor and melanocortin 2
receptor accessory protein 2.
AB - Melanocortin 4 receptor (MC4R), which is a member of the G protein-coupled
receptor (GPCR) family, mediates regulation of energy homeostasis upon the
binding of alpha-melanocyte-stimulating hormone (alpha-MSH) in the central
nervous system (CNS). Melanocortin 2 receptor accessory protein 2 (MRAP2)
modulates the function of MC4R. We performed cDNA cloning of cat MC4R and MRAP2
and characterized their amino acid sequences, mRNA expression patterns in cat
tissues, protein-protein interactions, and functions. We found high sequence
homology (>88%) with other mammalian MC4R and MRAP2 encoding 332 and 206 amino
acid residues, respectively. Reverse transcription-polymerase chain reaction
analysis revealed that cat MC4R and MRAP2 mRNA were expressed highly in the CNS.
In CHO-K1 cells transfected with cat MC4R, stimulation with alpha-MSH increased
intracellular cyclic adenosine monophosphate (cAMP) concentration in a dose
dependent manner. Furthermore, the presence of MRAP2 enhanced the cat MC4R
mediated cAMP production. These results suggested that cat MC4R acts as a
neuronal mediator in the CNS and that its function is modulated by MRAP2. In
addition, our NanoBiT study showed the dynamics of their interactions in living
cells; stimulation with alpha-MSH slightly affected the interaction between MC4R
and MRAP2, and did not affect MC4R homodimerization, suggesting that they
interact in the basal state and that structural change of MC4R by activation may
affect the interaction between MC4R and MRAP2.
PMID- 29360466
TI - Enteric nervous system manifestations of neurodegenerative disease.
AB - Neurological disorders cause gastrointestinal (GI) symptoms that are debilitating
and markedly diminish quality of life in patients. The enteric nervous system
(ENS), the intrinsic nervous system of the GI tract that is often referred to as
"the second brain", shares many features with the central nervous system. The ENS
plays an essential role in regulating many GI functions including motility and
fluid secretion. Enteric neuronal degeneration could therefore be responsible for
the GI symptoms commonly observed in neurological conditions. Here we describe
the organization and functions of the ENS and then review the evidence for ENS
involvement in two common neurodegenerative disorders, Parkinson's disease (PD)
and Alzheimer's disease (AD). Data from patients as well as animal models suggest
that PD affects distinct subsets of neurons and glia in the ENS, and that the ENS
may participate in the pathogenesis of this disorder. While there has been great
enthusiasm for the possibility of sampling the ENS for diagnosis or therapeutic
monitoring of PD, further work is needed to determine which enteric neurons are
most affected and how ENS function could be modulated to ameliorate GI symptoms
in patients. Although AD is far more common than PD and AD patients also
experience GI symptoms, understanding of ENS dysfunction in AD is in its infancy.
Much work remains to be done in both of these fields to determine how the ENS
contributes to and/or is altered by these disorders, and how to target the ENS
for more effective treatment of GI comorbidities.
PMID- 29360468
TI - Gut-immune-brain dysfunction in Autism: Importance of sex.
AB - Autism Spectrum Disorder (ASD) is characterized by social behavior deficits,
stereotypies, cognitive rigidity, and in some cases severe intellectual
impairment and developmental delay. Although ASD is most widely identified by its
neurological deficits, gastrointestinal issues are common in ASD. An intimate and
complex relationship exists between the gut, the immune system, and the brain,
leading to the hypothesis that ASD may be a systems-level disease affecting the
gut and immune systems, in addition to the brain. Despite significant advances in
understanding the contribution of the gut and immune systems to the etiology of
ASD, there is an intriguing commonality among patients that is not well
understood: they are predominantly male. Virtually no attention has been given to
the potential role of sex-specific regulation of gut, peripheral, and central
immune function in ASD, despite the 4:1 male-to-female bias in this disorder. In
this review, we discuss recent revelations regarding the impact of gut-immune
brain relationships on social behavior in rodent models and in ASD patients,
placing them in the context of known or putative sex specific mechanisms.
PMID- 29360467
TI - Parkinson's disease from the gut.
AB - Parkinson's disease (PD) is a debilitating neurodegenerative condition associated
with tremor, rigidity, dementia, and gastrointestinal symptoms such as
constipation, nausea and vomiting. The pathological hallmarks of PD are Lewy
bodies and neurites in the brain and peripheral nerves. The major constituent of
Lewy bodies is the neuronal protein alpha-synuclein. Misfolding of alpha
synuclein confers prion-like properties enabling its spread from cell to cell.
Misfolded alpha-synuclein also serves as a template and induces misfolding of
endogenous alpha-synuclein in recipient cells leading to the formation of
oligomers that progress to fibrils and eventually Lewy bodies. Accumulating
evidence suggests that PD may arise in the gut. Clinically, gastrointestinal
symptoms often appear in patients before other neurological signs and aggregates
of alpha-synuclein have been found in enteric nerves of PD patients. Importantly,
patients undergoing vagotomy have a reduced risk of developing PD.
Experimentally, abnormal forms of alpha-synuclein appear in enteric nerves before
they appear in the brain and injection of abnormal alpha-synuclein into the wall
of the intestine spreads to the vagus nerve. Ingested toxins and alterations in
gut microbiota can induce alpha-synuclein aggregation and PD, however, it is not
known how PD starts. Recently, it has been shown that sensory cells of the gut
known as enteroendocrine cells (EECs) contain alpha-synuclein and synapse with
enteric nerves, thus providing a connection from the gut to the brain. It is
possible that abnormal alpha-synuclein first develops in EECs and spreads to the
nervous system.
PMID- 29360469
TI - Gut bacteria interaction with vagal afferents.
AB - Contemporary techniques including the use of germ-free models and next generation
sequencing have deepened our understanding of the gut microbiota dynamics and its
influence on host physiology. There is accumulating evidence that the gut
microbiota can communicate to the CNS and is involved in the development of
metabolic and behavioral disorders. Vagal afferent terminals are positioned
beneath the gut epithelium where they can receive, directly or indirectly,
signals produced by the gut microbiota, to affect host behavior, including
feeding behavior. Supplementation with L. Rhamnosus in mice notably causes a
decrease in anxiety and these effects are abolished by vagotomy. Additionally,
chronic treatment with bacterial byproduct lipopolysaccharide (LPS) blunts
vagally-mediated post-ingestive feedback and is associated with increased food
intake. Inflammation in the nodose ganglion (NG), the location of vagal afferent
neurons' cell bodies, may be a key triggering factor of microbiota-driven vagal
alteration. Interestingly, several models show that vagal damage leads to an
increase in immune cell (microglia) activation in the NG and remodeling of the
vagal pathway. Similarly, diet-driven microbiota dysbiosis is associated with NG
microglia activation and decreased vagal outputs to the CNS. Crucially,
preventing dysbiosis and microglia activation in high-fat diet fed rodents
normalizes vagal innervation and energy intake, highlighting the importance of
microbiota/vagal communication in controlling feeding behavior. As of today, new
consideration of potential roles for glial influence on vagal communication and
new methods of vagal afferent ablation open opportunities to increase our
understanding of how the gut microbiota influence its host's health and behavior.
PMID- 29360470
TI - CDK5RAP2 gene and tau pathophysiology in late-onset sporadic Alzheimer's disease.
AB - INTRODUCTION: Because currently known Alzheimer's disease (AD) single-nucleotide
polymorphisms only account for a small fraction of the genetic variance in this
disease, there is a need to identify new variants associated with AD. METHODS:
Our team performed a genome-wide association study in the Quebec Founder
Population isolate to identify novel protective or risk genetic factors for late
onset sporadic AD and examined the impact of these variants on gene expression
and AD pathology. RESULTS: The rs10984186 variant is associated with an increased
risk of developing AD and with a higher CDK5RAP2 mRNA prevalence in the
hippocampus. On the other hand, the rs4837766 variant, which is among the best
cis-expression quantitative trait loci in the CDK5RAP2 gene, is associated with
lower mild cognitive impairment/AD risk and conversion rate. DISCUSSION: The
rs10984186 risk and rs4837766 protective polymorphic variants of the CDK5RAP2
gene might act as potent genetic modifiers for AD risk and/or conversion by
modulating the expression of this gene.
PMID- 29360471
TI - High-titer antibody depletion enhances discovery of diverse serum antibody
specificities.
AB - The human antibody repertoire is a unique repository of information regarding
infection, inflammation, and autoimmunity of the past, present, and future.
However, antibodies can span vast ranges of concentrations with varying
affinities and the repertoire is often heavily polarized by a few species. These
complexities lead to difficulties detecting and characterizing low abundance
antibody species that may be relevant to disease. We therefore developed a method
to selectively remove antibodies from a sample in proportion to the titer of the
species prior to analysis, referred to as high-titer depletion (HTD). Peptides
from a large random peptide display library were enriched towards binding high
titer antibody species and utilized as binding reagents to deplete the
corresponding species from the specimen. HTD enabled the discovery of antibody
binding specificities using random peptide library screening with reduced cross
reactivity and background signal and improved coverage of low abundance species.
With HTD, three monoclonal antibody species were detected at concentrations at
least an order of magnitude lower than without HTD. Additionally, 92 serum
antibody specificities were readily discovered from an individual specimen using
HTD compared to only 25 specificities without HTD. Parameters affecting the
extent of depletion such as the concentration of depleted serum were also
adjusted to reproducibly improve the coverage of antibody specificities. These
results demonstrate that HTD could be employed for the discovery of rare
specificities related to disease and enable extensive characterization of the
antibody repertoire. Moreover, the strategy of depletion in proportion to titer
could be extended to other applications with complex biological samples to
improve discovery.
PMID- 29360472
TI - Same critical features are used for identification of familiarized and unfamiliar
faces.
AB - Many studies have shown better recognition for faces we have greater experience
with, relative to unfamiliar faces. However, it is still not clear if and how the
representation of faces changes during the process of familiarization. In a
previous study, we discovered a subset of facial features, for which we have high
perceptual sensitivity (PS), that were critical for determining the identity of
unfamiliar faces. This was done by assigning values to 20 different facial
features based on perceptual rating, converting faces into feature-vectors, and
measuring the correlations between face similarity ratings and distances between
feature-vectors. In the current study, we examined the contribution of high and
low-PS features to face identity after familiarization. To familiarize
participants with unfamiliar faces, we used an individuation training protocol
that was found to be effective in previous studies, in which different names are
assigned to different faces and participants are asked to learn the face-name
association. Our findings show that even after repeated exposure to the same
image of each identity, which allows close examination of all facial features,
only high-PS features contributed to face identity, while low-PS features did
not. This subset of high-PS features includes both internal and external features
and part and configuration features. We therefore conclude that identification of
familiarized and unfamiliar faces may rely on the same subset of critical
features. These findings further support a new categorization of facial features
according to their perceptual sensitivity.
PMID- 29360473
TI - The adult face-diet: A naturalistic observation study.
AB - Experience plays a fundamental role in the development of visual function.
Exposure to different types of faces is an important factor believed to shape
face perception ability. Contents of daily exposure to faces, i.e., the face
diet, of infants have been documented in previous studies. While face perception
involves a protracted development and continues to be malleable well into
adulthood, an empirical study of the adult face-diet has been lacking. We
collected first-person perspective footage from 30 adults during the course of
their daily activities. We found that adults' exposure to faces is longer and
more diverse compared to that of infants. Frequency of exposure were highest for
familiar (75%), own-race (81%), and three-quarter pose (44%) faces. Faces in the
adult face-diet were relatively large (median 6 degrees ) suggesting fairly close
viewing distances. Face sizes were significantly larger for familiar (median 7.1
degrees ) compared to unfamiliar (median 4.9 degrees ) faces, reflecting the
closer viewing distances that characterize social interaction. These results are
consistent with the view that face recognition processes are tuned to the
ecologically relevant values of face attributes that are encountered most
frequently in the real-life context to optimize face perception abilities.
PMID- 29360474
TI - A novel flavanone derivative inhibits dengue virus fusion and infectivity.
AB - Dengue infection is a global burden affecting millions of world population.
Previous studies indicated that flavanones were potential dengue virus
inhibitors. We discovered that a novel flavanone derivative, 5-hydroxy-7-methoxy
6-methylflavanone (FN5Y), inhibited DENV2 pH-dependent fusion in cell-based
system with strong binding efficiency to DENV envelope protein at K (P83, L107,
K128, L198), K' (T48, E49, A50, L198, Q200, L277), X' (Y138, V354, I357), and Y'
(V97, R99, N103, K246) by molecular dynamic simulation. FN5Y inhibited DENV2
infectivity with EC50s (and selectivity index) of 15.99 +/- 5.38 (>6.25), and
12.31 +/- 1.64 (2.23) MUM in LLC/MK2 and Vero cell lines, respectively, and
inhibited DENV4 at 11.70 +/- 6.04 (>8.55) MUM. CC50s in LLC/MK2, HEK-293, and
HepG2 cell lines at 72 h were higher than 100 MUM. Time-of-addition study
revealed that the maximal efficacy was achieved at early after infection
corresponded with pH-dependent fusion. Inactivating the viral particle,
interfering with cellular receptors, inhibiting viral protease, or the virus
replication complex were not major targets of this compound. FN5Y could become a
potent anti-flaviviral drug and can be structurally modified for higher potency
using simulation to DENV envelope as a molecular target.
PMID- 29360475
TI - Structure of the alexithymic brain: A parametric coordinate-based meta-analysis.
AB - Alexithymia refers to deficiencies in identifying and expressing emotions. This
might be related to changes in structural brain volumes, but its neuroanatomical
basis remains uncertain as studies have shown heterogeneous findings. Therefore,
we conducted a parametric coordinate-based meta-analysis. We identified seventeen
structural neuroimaging studies (including a total of 2586 individuals with
different levels of alexithymia) investigating the association between gray
matter volume and alexithymia. Volumes of the left insula, left amygdala, orbital
frontal cortex and striatum were consistently smaller in people with high levels
of alexithymia. These areas are important for emotion perception and emotional
experience. Smaller volumes in these areas might lead to deficiencies in
appropriately identifying and expressing emotions. These findings provide the
first quantitative integration of results pertaining to the structural
neuroanatomical basis of alexithymia.
PMID- 29360476
TI - Terminology matters: There is no targeting, but retention.
PMID- 29360477
TI - Peritoneal dialysis beyond kidney failure?
AB - Compared to extracorporeal modalities, peritoneal dialysis (PD) is less invasive
and more cost-effective, wherein blood is dialyzed intra-corporeally against a
solution instilled in the peritoneal cavity. Although PD is mainly indicated for
patients with end-stage renal failure, it has also been used for several non
renal indications. The aim of this review is to provide an overview of the role
of PD beyond kidney failure. The alternative indications of PD include
hypothermia, congestive heart failure, hyperammonemia and poisoning with
xenobiotics. The use of PD as a treatment for acute pancreatitis and psoriasis
was initially proposed but could not be established; these indications are
therefore classified as historically relevant. Recent developments have led to a
potential application of PD during the management of stroke and as an oxygenation
therapy with the use of oxygen carriers. Novel colloid-based dialysates with
improved functionality with respect to detoxification and oxygenation are
currently underway, though their efficacy has so far only been demonstrated in
pre-clinical settings. Finally, insight into potential future developments of PD
is given. Characterization studies are proposed to better understand the fate of
non-recovered carriers following dialysate removal, their efficacy following
multiple administrations and potential immune response to optimize their
formulation, enabling their clinical translation.
PMID- 29360478
TI - Bioorthogonal strategies for site-directed decoration of biomaterials with
therapeutic proteins.
AB - Emerging strategies targeting site-specific protein modifications allow for
unprecedented selectivity, fast kinetics and mild reaction conditions with high
yield. These advances open exciting novel possibilities for the effective
bioorthogonal decoration of biomaterials with therapeutic proteins. Site
specificity is particularly important to the therapeutics' end and translated by
targeting specific functional groups or introducing new functional groups into
the therapeutic at predefined positions. Biomimetic strategies are designed for
modification of therapeutics emulating enzymatic strategies found in Nature.
These strategies are suitable for a diverse range of applications - not only for
protein-polymer conjugation, particle decoration and surface immobilization, but
also for the decoration of complex biomaterials and the synthesis of
bioresponsive drug delivery systems. This article reviews latest chemical and
enzymatic strategies for the biorthogonal decoration of biomaterials with
therapeutic proteins and inter-positioned linker structures. Finally, the
numerous reports at the interface of biomaterials, linkers, and therapeutic
protein decoration are integrated into practical advice for design considerations
intended to support the selection of productive ligation strategies.
PMID- 29360479
TI - Screening of quorum sensing peptides for biological effects in neuronal cells.
AB - Quorum sensing peptides (QSP) are an important class of bacterial peptides which
can have an effect on human host cells. These peptides are used by bacteria to
communicate with each other. Some QSP are able to cross the blood-brain barrier
and reach the brain parenchyma. However, nothing is known about the effects of
these peptides in the brain. Therefore, 85 quorum sensing peptides were screened
on six different neuronal cell lines using MTT toxicity, neurite differentiation,
cytokine production and morphology as biological outcomes. This primary screening
resulted in 22 peptides with effects observed on neuronal cell lines, indicating
a possible role in the gut-brain axis. Four peptides (Q138, Q143, Q180 and Q212)
showed induction of neurite outgrowth while two peptides (Q162 and Q208)
inhibited NGF-induced neurite outgrowth in PC12 cells. Eight peptides (Q25, Q135,
Q137, Q146, Q151, Q165, Q208 and Q298) induced neurite outgrowth in human SH-SY5Y
neuroblastoma cells. Two peptides (Q13 and Q52) were toxic for SH-SY5Y cells and
one (Q123) for BV-2 microglia cells based on the MTT assay. Six peptides had an
effect on BV-2 microglia, Q180, Q184 and Q191 were able to induce IL-6 expression
and Q164, Q192 and Q208 induced NO production. Finally, Q75 and Q147 treated
C8D1A astrocytes demonstrated a higher fraction of round cells. Overall, these in
vitro screening study results indicate for the first time possible effects of QSP
on neuronal cells.
PMID- 29360480
TI - Regular physical activity eliminates the harmful association of television
watching with multimorbidity. A cross-sectional study from the European Social
Survey.
AB - The aims of the study were to analyse the association of television viewing,
physical activity (PA), and multimorbidity; and to understand if PA attenuates or
eliminates the detrimental associations between television viewing and
multimorbidity. This is a cross-sectional study based on data from the European
Social Survey round 7, 2014. Participants were 32,931 adults (15,784 men), aged
18-114 years old, from 18 European countries. Self-reported information regarding
chronic diseases (CD), PA and time watching television were collected through
interview. Logistic regression analysis was conducted to analyse the association
between watching television and PA with the presence of multimorbidity (>=1 CD).
Men and women who watched television had increased odds of having multimorbidity.
When considering PA it was observed that, independently of television viewing,
compared to engaging in PA for <=1 day/week, engaging in 2-4 days/week and in >=5
days/week was inversely associated with multimorbidity. Increased odds of
multimorbidity were observed for men spending >3 h/day watching television in the
2-3 days/week and <=1 day/week categories of PA. For women engaged in 30 min of
physical activity 2-3 days/week, spending >3 h/day watching television was
associated with higher odds for multimorbidity. For adults who practiced physical
activity on >= 5 days/week watching television was not associated with
multimorbidity. Time spent watching television is associated with multimorbidity.
However, physical activity participation can attenuate or even eliminate this
association.
PMID- 29360481
TI - Documentation of e-cigarette use and associations with smoking from 2012 to 2015
in an integrated healthcare delivery system.
AB - It is unclear whether use of electronic nicotine delivery systems (ENDS) precedes
cigarette smoking initiation, relapse, and/or quitting. Healthcare systems with
electronic health records (EHRs) provide unique data to examine ENDS use and
changes in smoking. We examined the incidence of ENDS use (2012-2015) based on
clinician documentation and tested whether EHR documented ENDS use is associated
with twelve-month changes in patient smoking status using a matched retrospective
cohort design. The sample was Kaiser Permanente Northern California (KPNC)
patients aged >=12 with documented ENDS use (N = 7926); 57% were current smokers,
35% former smokers, and 8% never-smokers. ENDS documentation incidence peaked in
2014 for current and former smokers and in 2015 for never-smokers. We matched
patients with documented ENDS use to KPNC patients without documented ENDS use (N
= 7926) on age, sex, race/ethnicity, and smoking status. Documented ENDS use
predicted the likelihood of smoking in the following year. Among current smokers,
ENDS use was associated with greater odds of quitting smoking (OR = 1.17, 95%CI =
1.05-1.31). Among former smokers, ENDS use was associated with greater odds of
smoking relapse (OR = 1.53, 95%CI = 1.22-1.92). Among never-smokers, ENDS use was
associated with greater odds of initiating smoking (OR = 7.41, 95%CI = 3.14
17.5). The overall number of current smokers at 12 months was slightly higher
among patients with (N = 3931) versus without (N = 3850) documented ENDS use.
Results support both potential harm reduction of ENDS use (quitting combustibles
among current smokers) and potential for harm (relapse to combustibles among
former smokers, initiation for never-smokers).
PMID- 29360482
TI - Biomonitoring Equivalents for interpretation of urinary iodine.
AB - Iodine is an essential nutrient whose deficiency or excess exposure can cause
adverse health effects. The primary sources of iodine exposure in the general
population are iodized salt, dairy products, bread and sea food. Urinary iodine
concentrations (UIC) have been measured by Canadian Health Measures Survey (CHMS)
and US National Health and Nutrition Examination Survey (NHANES). The Institute
of Medicine (IOM), the US Agency for Toxic Substances and Disease Registry
(ATSDR) and World Health Organization (WHO) have established exposure guidance
values for nutrition (IOM Estimated Average Requirement (EAR), Recommended
Dietary Allowance (RDA), WHO Recommended Nutrient Intake (RNI)) and toxicity (IOM
Tolerable Upper Intake Level (UL); ATSDR Minimal Risk Level (MRL), WHO
International Programme on Chemical Safety (IPCS) Tolerable Daily Intake (TDI)).
Using a urinary excretion fraction of 0.9, Biomonitoring Equivalents (BE) for the
EAR, RDA, UL and MRL were derived for adults (60, 100, 730 and 450 MUg/L,
respectively) and children (50, 80, 580 and 360 MUg/L, respectively). The
population median UIC values from NHANES and CHMS for adults (140-181, 122-126
MUg/L, respectively) and children (232, 189 MUg/L, respectively) were above the
criteria for assessing iodine nutrition, indicating that US and Canadian
populations are likely to have adequate population iodine nutrition. The median
UIC from NHANES and CHMS do not exceed BE values derived from exposure guidance
values for toxicity.
PMID- 29360483
TI - Toxicity assessment of the extractables from multi-layer coextrusion poly
ethylene bags exposed to pH=5 solution containing 4% benzyl alcohol and 0.1 M
sodium acetate.
AB - A non-target analysis was developed for the analysis of extractables from multi
layer coextrusion bags exposed to 4% benzyl alcohol solution and 0.1 M sodium
acetate at pH = 5 for defined periods (15 day, 45 day and 90 day) according to
manufacturer instructions based on the ultra-performance liquid chromatography
(UPLC) quadrupole-time of flight mass spectrometry (Q-TOF MS). In order to
confirm the extractables, principal component analysis (PCA) was used to indicate
the differences among samples of different periods. Then, the extractables were
identified based on searching the self-built library or online searching. The
total content of extractables of 90 day samples was 589.78 MUg/L, and the content
was in the range of acceptable levels for pharmaceutical manufacturers. The risk
assessment of the extractables were evaluated by Toxtree and T.E.S.T. software to
avoid the animals bioexperiment.
PMID- 29360484
TI - Cancer-Associated Long Noncoding RNA SMRT-2 Controls Epidermal Differentiation.
PMID- 29360485
TI - Development of a Clinically Relevant Men's Health Phenotype and Correlation of
Systemic and Urologic Conditions.
AB - OBJECTIVE: To develop a clinically relevant men's health phenotype and
investigate the correlation between severity of urologic symptoms and systemic
health conditions METHODS: Retrospective chart review was performed for men
seeking care for benign prostatic hypertrophy, erectile dysfunction or chronic
prostatitis or chronic pelvic pain syndrome. Urologic symptoms were assessed with
the International Prostate Symptom Score, Sexual Health Inventory for Men, and
National Institute of Health Chronic Prostatitis Symptom Score. Each was graded
as absent or mild (0), moderate (1), or severe (2) and totaled for a urologic
score (US). Seven comorbidities with known impact on urologic symptoms were
similarly graded (0-2 for each) and totaled for a systemic score (SS). These
domains were anxiety, cardiovascular, testosterone deficiency, insulin
(diabetes), obesity, neurologic, and sleep apnea. RESULTS: The study included 415
men with median age of 53.8 (range 19-92). Mean total US was 2.1 (range 0-6) and
mean SS was 4.1 (0-12). There was a strong correlation between US and SS
(Spearman Rho = 0.37, P < .00001) which was consistent regardless of age. The
hierarchy of systemic condition impact on US was cardiovascular> neurologic>
diabetes> anxiety> sleep apnea> obesity> testosterone. By cluster analysis the
tightest correlations were age with cardiovascular, anxiety with CPPS, and
diabetes with erectile dysfunction. CONCLUSION: Systemic health conditions
correlate strongly with urologic symptoms in men who present for urologic care.
Phenotyping with ACTIONS (anxiety, cardiovascular, testosterone deficiency,
insulin, obesity, neurologic, sleep apnea) can identify modifiable conditions
that may impact urologic symptoms and outcome of interventions. Future validation
in the general population is needed.
PMID- 29360486
TI - Application of Prepuncture on the Double-tract Percutaneous Nephrolithotomy Under
Ultrasound Guidance for Renal Staghorn Calculi: First Experience.
AB - OBJECTIVE: To evaluate the effect of prepuncture on the double-tract percutaneous
nephrolithotomy (PCNL) under ultrasound guidance for renal staghorn calculi.
METHOD: Double-tract or even multi-tract is necessary for the treatment of
staghorn calculi. However, intraoperative injury, exudation, bleeding, and
influence of original tract might lead to difficulty in second puncture, thus
prolonging operating time, and even lead to puncture failure. We retrospectively
reviewed the records of 178 patients with renal staghorn calculi who received
double-tract PCNL in our department. Sixty-three patients received non
prepuncture double-tract PCNL (group A) and 115 patients underwent prepuncture
double-tract PCNL (group B). In group A, the second tract was established after
failing to further fragment by the first tract. In group B, based on the
preoperative computed tomography, intravenous pyelography, and intraoperative
ultrasound images, 2 optimal punctual positions were set. The first guidewire was
manipulated in the pelvicalyceal system after successful puncture. However, we
routinely performed the other puncture and a preplaced second wire was put into
the collecting system as a potential second tract. RESULTS: The mean operating
time was longer in group A than that in group B (P = .033). There was no
statistical difference between group A and group B in postoperative instant stone
free rate and final stone-free rate. In the non-prepuncture double-tract PCNL
group, blood transfusion rate was 7.9% (5/63) and it was only 1.7% (2/115) in the
prepuncture double-tract PCNL group (P = .042). CONCLUSION: In the treatment of
renal staghorn calculi, prepuncture double-tract PCNL can shorten operating time
and reduce the occurrence of blood transfusion events. This new method might be
worth generalizing.
PMID- 29360487
TI - Development of an Sce-I mutagenesis system for Burkholderia cepacia complex
strains.
AB - The Burkholderia cepacia complex (Bcc) consists of at least 20 phenotypically
similar but genotypically distinct Gram-negative bacteria that are ubiquitous in
nature, are capable of promoting plant growth and biodegradation of pollutants,
but that also are highly antibiotic resistant and produce damaging effects
towards plants, fungi, and humans. To study these genetically recalcitrant
bacteria in detail, molecular tools are required that work efficiently with the
many strains and species of the Bcc. One mutagenesis strategy that has been used
effectively to analyze the genes of Burkholderia cenocepacia is based upon the
activity of the Sce-I restriction enzyme. Unfortunately, this system is limited
in its applicability to many members of the Bcc. Therefore, we undertook the
expansion of this system to create an Sce-I mutagenesis system that could be used
with many different species and strains of the Bcc, including members of the B.
cenocepacia IIIB Midwest clones. We demonstrated the use of this system by clean
deleting the lipo-oligosaccharide (LOS) inner core biosynthesis gene waaC, to
create a B. cenocepacia PC184 strain variant with truncated LOS. This enhanced
mutagenesis system can be used to analyze a wide range of Burkholderia and other
Gram-negative bacteria.
PMID- 29360488
TI - Detection of carbapenemases with a newly developed commercial assay using Matrix
Assisted Laser Desorption Ionization-Time of Flight.
AB - This study evaluated the performance of the MBT STAR-Carba kit (Bruker
Daltonics), to detect carbapenemase producing Enterobacteriaceae, Pseudomonas
aeruginosa and Acinetobacter spp. in comparison with the RAPIDEC(r) CARBA NP test
(BioMerieux). MBT STAR-Carba allowed the detection of carbapenemases in
Enterobacteriaceae and P. aeruginosa.
PMID- 29360489
TI - Voluntary exercise impact on cognitive impairments in sleep-deprived intact
female rats.
AB - Sleep loss is a common problem in modern societies affecting different aspects of
individuals' lives. Many studies have reported that sleep deprivation (SD) leads
to impairments in various types of learning and memory. Physical exercise has
been suggested to attenuate the cognitive impairments induced by sleep
deprivation in male rats. Our previous studies have shown that forced exercise by
treadmill improved learning and memory impairments following SD. The aim of the
current study was to investigate the effects of voluntary exercise by running
wheel on cognitive, motor and anxiety-like behavior functions of female rats
following 72 h SD. Intact female rats were used in the present study. The
multiple platform method was applied for the induction of 72 h SD. The exercise
protocol was 4 weeks of running wheel and the cognitive function was evaluated
using Morris water maze (MWM), passive avoidance and novel object recognition
tests. Open field test and measurement of plasma corticosterone level were
performed for evaluation of anxiety-like behaviors. Motor balance evaluation was
surveyed by rotarod test. In this study, remarkable learning and long-term memory
impairments were observed in sleep deprived rats in comparison to the other
groups. Running wheel exercise ameliorated the SD-induced learning and memory
impairments. Voluntary and mandatory locomotion and balance situation were not
statistically significant among the different groups. Our study confirmed the
negative effects of SD on cognitive function and approved protective effects of
voluntary exercise on these negative effects.
PMID- 29360490
TI - Diazepam fails to alter anxiety-like responses but affects motor function in a
white-black test paradigm in larval zebrafish (Danio rerio).
AB - The growing popularity of zebrafish in psychopharmacology and behavioral brain
research is partly due to the practicality and simplicity of drug administration
in this species. Several drugs may be administered to zebrafish by immersing the
fish in the drug solution. Furthermore, numerous drugs developed for mammals,
including humans, have been found to show a similar effect profile in the
zebrafish. Thus, the zebrafish has been suggested as a potentially useful animal
screening tool. Despite decades of drug development, anxiety still represents a
major unmet medical need, and the search for anxiolytic compounds is continuing.
The zebrafish has been proposed for high throughput screens for anxiolytic
compounds, and the effects of anxiolytic compounds on the behavior of zebrafish
have started to be explored. Diazepam (Valium(r)) is a frequently prescribed
human anxiolytic, a GABAA receptor agonist, has also started to be tested in
zebrafish, but with occasional contradicting results. Here, we investigate the
effects of diazepam in larval (6-day post-fertilization old) zebrafish in a black
white preference paradigm. We found significant white preference and thigmotaxis
(edge preference) in our control fish, anxiety-like responses that habituated
over time. However, unexpectedly, we observed no anxiolytic effects of diazepam
on these behaviors, and only detected significant motor activity reducing effect
of the drug. We discuss the complex interpretation of light/dark tests in
zebrafish, and also speculate about the possibility of differential GABAergic
mechanisms that diazepam affects in larval vs adult zebrafish.
PMID- 29360491
TI - Long-term implant performance and patients' satisfaction in oligodontia.
AB - OBJECTIVES: To assess long-term (>=10 years) implant survival, peri-implant
health, patients' satisfaction and oral health related quality of life (OHQoL) in
oligodontia patients rehabilitated with implant-based fixed prosthodontics.
METHODS: All oligodontia patients treated >=10 years previously with implant
based fixed prosthodontics at the University Medical Center Groningen, The
Netherlands, were approached to participate. Clinical (plaque index, bleeding
index, pocket probing depth) and radiographic (marginal bone level) data were
collected between February and May 2016. Surgical implant details (e.g., bone
augmentation) and implant loss were recalled from the medical records. Patients
completed a satisfaction questionnaire (maximum score 10, high score favourable
satisfaction) and the Oral Health Impact Profile (OHIP-NL49, maximum score 196,
low score favourable satisfaction) to rate OHQoL. Implant survival was expressed
according to Kaplan Meier. The Mann-Whitney U Test was used for the other
analyses. RESULTS: Forty-one patients had been treated with implant-based fixed
prosthodontics (n = 258) >=10 years previously. Cumulative 10-year implant
survival of these 41 patients was 89.1% (95%CI 85.2-93.0%). Twenty-eight of them
(n = 163 implants) were willing to visit us for additional clinical and
radiographic assessments. In these 28 patients, highest peri-implant bone loss
was observed for implants placed in augmented bone (p < 0.001). Peri-implant
mucositis (65.4%) and peri-implantitis (16.1%) were rather common. Patients'
satisfaction (8.3 +/- 1.5) and OHIP-NL49 scores (32.6 +/- 30.1) were favourable
and not associated with number of agenetic teeth (<=10 versus >10). CONCLUSIONS:
Long-term survival, satisfaction and OHQoL results reveal that implant treatment
is a predictable and satisfactory treatment modality for oligodontia, although
peri-implant mucositis and peri-implantitis are common. CLINICAL SIGNIFICANCE:
This study showed unique long-term (>=10 years) results about implant survival,
peri-implant health, patients' satisfaction and OHQoL in oligodontia patients
rehabilitated with implant-based fixed prosthodontics.
PMID- 29360492
TI - A randomised controlled study on the use of finishing and polishing systems on
different resin composites using 3D contact optical profilometry and scanning
electron microscopy.
AB - OBJECTIVES: The aim of this study was to evaluate theeffects of different
finishing and polishing techniques on the surface roughness of microhybrid and
nanofilled resin composites. METHODS: The resin composites included were Filtek
Z250 (a universal microhybrid resin composite) and Filtek Supreme XTE (a
universal nanofill resin composite). Ninety cylindrical-shaped specimens were
prepared for each composite resin material. The polishing methods used included
tungsten carbide bur (TC); diamond bur (Db); Sof-Lex discs (S); Enhance PoGo
discs (PG); TC + S; Db + S; TC + PG; Db + PG. Polymerisation against a Mylar
strip without finishing and polishing acted as the control group. Surface
roughness was measured using a 3D contact optical profilometer and surface
morphology was examined by scanning electron microscope examination. RESULTS: The
results showed that the Mylar-formed surfaces were smoothest for both composites.
Finishing with the 20 MUm diamond finishing bur caused significantly greater
surface irregularity (P < 0.0001) and damage than finishing with the tungsten
carbide finishing bur. The Enhance PoGo polishing system produced smoother
surfaces than the Sof-Lex disc polishing system; this difference was
statistically highly significant (P < 0.0001). CONCLUSION: For both composites,
the Mylar-formed surfaces were smoothest. Where indicated clinically, finishing
is better conducted using a tungsten carbide bur- rather than a diamond finishing
bur. The Enhance PoGo system was found to produce a smoother surface finish than
the Sof-Lex system. CLINICAL SIGNIFICANCE: If finishing and polishing is required
the use a tungsten carbide finishing bur followed by Enhance PoGo polishing may
be found to result in the smoothest surface finish.
PMID- 29360493
TI - Effects of a combined dietary, exercise and behavioral intervention and
sympathetic system on body weight maintenance after intended weight loss: Results
of a randomized controlled trial.
AB - BACKGROUND: Lifestyle based weight loss interventions are hampered by long-term
inefficacy. Prediction of individuals successfully reducing body weight would be
highly desirable. Although sympathetic activity is known to contribute to energy
homeostasis, its predictive role in body weight maintenance has not yet been
addressed. OBJECTIVES: We investigated, whether weight regain could be modified
by a weight maintenance intervention and analyzed the predictive role of weight
loss-induced changes of the sympathetic system on long-term weight regain.
DESIGN: 156 subjects (age > 18; BMI >= 27 kg/m2) participated in a 12-week weight
reduction program. After weight loss (T0), 143 subjects (weight loss > 8%) were
randomized to a 12-month lifestyle intervention or a control group. After 12
months (T12) no further intervention was performed until month 18 (T18). Weight
regain at T18 (regainBMI) was the primary outcome. Evaluation of systemic and
tissue specific estimates of sympathetic system was a pre-defined secondary
outcome. RESULTS: BMI was reduced by 4.67 +/- 1.47 kg/m2 during the initial
weight loss period. BMI maintained low in subjects of the intervention group
until T12 (+0.07 +/- 2.98 kg/m2; p = 0.58 compared to T0), while control subjects
regained +0.98 +/- 1.93 kg/m2 (p < 0.001 compared to T0). The intervention group
regained more weight than controls after ceasing the intervention (1.17 +/- 1.34
vs. 0.57 +/- 0.93 kg/m2) until T18. Consequently, BMI was not different at T18
(33.49 (32.64; 34.33) vs. 34.18 (33.61; 34.75) kg/m2; p=0.17). Weight loss
induced modification of urinary metanephrine excretion independently predicted
regainBMI (R2 = 0.138; p < 0.05). The lifestyle intervention did not modify the
course of urinary metanephrines after initial weight loss. CONCLUSIONS: Our
lifestyle intervention successfully maintained body weight during the
intervention period. However, no long-term effect could be observed beyond the
intervention period. Predictive sympathetic activity was not persistently
modified by the intervention, which may partially explain the lack of long-term
success of such interventions.
PMID- 29360494
TI - New roles of reactive astrocytes in the brain; an organizer of cerebral ischemia.
AB - The brain consists of neurons and much higher number of glial cells. They
communicate each other, by which they control brain functions. The brain is
highly vulnerable to several insults such as ischemia, but has a self-protective
and self-repairing mechanisms against these. Ischemic tolerance or
preconditioning is an endogenous neuroprotective phenomenon, where a mild non
lethal ischemic episode can induce resistance to a subsequent severe ischemic
injury in the brain. Because of its neuroprotective effects against cerebral
ischemia or stroke, ischemic tolerance has been widely studied. However, almost
all studies have been performed from the viewpoint of neurons. Glial cells are
structurally in close association with synapses. Recent studies have uncovered
the active roles of astrocytes in modulating synaptic connectivity, such as
synapse formation, elimination and maturation, during development or pathology.
However, glia-mediated ischemic tolerance and/or neuronal repairing have received
only limited attention. We and others have demonstrated that glial cells,
especially astrocytes, play a pivotal role in regulation of induction of ischemic
tolerance as well as repairing/remodeling of neuronal networks by phagocytosis.
Here, we review our current understanding of (1) glial-mediated ischemic
tolerance and (2) glia-mediated repairing/remodeling of the penumbra neuronal
networks, and highlight their mechanisms as well as their potential benefits,
problems, and therapeutic application.
PMID- 29360495
TI - MiR-143-3p inhibits the proliferation, cell migration and invasion of human
breast cancer cells by modulating the expression of MAPK7.
AB - Micro-RNAs have been reported to play crucial roles in a diversity of cellular
processes such as cell proliferation, differentiation and development by
regulating the expression of specific genes. They have also been shown to play
vital roles in several diseases such as cancer. In the present study, we
investigated the role of miR-143-3p in breast cancer. Our results showed that the
expression of miR-143-3p is significantly downregulated in breast cancer cells.
Upregulation of miR-143-3p inhibited the proliferation and migration of breast
cancer cells. Conversely, inhibition of miR-143-3p promoted the proliferation of
cancer cells. Bioinformatics analysis and other several experiments revealed
MAPK7 as the potential target of miR-143-3p. Quantitative RT-PCR showed that the
expression of MAPK7 correlated well with the expression of miR-143. Moreover, the
inhibition of MAPK 7 in breast cancer cells abrogated the effects of miR-143
indicating that miR-143-3p-exerted effects on breast cancer are mediated by
MAPK7. Takentogether, these results provide strong clues about the therapeutic
potential of miR-143-3p in the treatment of breast cancer.
PMID- 29360496
TI - Is focal active colitis of greater clinical significance in pediatric patients? A
retrospective review of 68 cases with clinical correlation.
AB - Focal active colitis (FAC) is a histopathologic finding of uncertain clinical
significance in individual patients. In adults, infection accounts for
approximately 50%, Crohn's disease (CD) for 0-13%, and 20%-30% are idiopathic.
One previous study of 29 cases of pediatric FAC showed a 28% rate of CD. This
study reviewed a larger cohort of pediatric patients to determine what proportion
had IBD, and whether an amount or pattern of inflammation could predict IBD.
Sixty-eight patients aged <=18years with FAC were identified and reviewed.
Patients with a prior diagnosis of IBD or chronic colitis in the index biopsies
were excluded. Slides were assessed for a number of inflammatory criteria.
Clinical data and final diagnoses were recorded. Data were analyzed using Pearson
correlations and Fisher's exact chi2 analyses. Sixteen patients (24%) had a final
diagnosis of IBD. When cases with terminal ileal (TI) inflammation were excluded,
6 of 54 patients had a final diagnosis of IBD (11%). A final diagnosis of IBD was
significantly associated with crypt abscesses and elevated serum inflammatory
markers. IBD was significantly associated with TI inflammation. An amount or
pattern of inflammation that could be used to predict IBD was not determined.
This study demonstrated a 24% rate of IBD in pediatric patients with FAC;
however, when patients with associated TI inflammation were excluded, the rate
was 11%, similar to reported rates in adults. FAC in pediatric patients without
terminal ileal inflammation does not appear to warrant more aggressive follow-up.
PMID- 29360497
TI - Metabolomics-based mechanisms exploration of Huang-Lian Jie-Du decoction on
cerebral ischemia via UPLC-Q-TOF/MS analysis on rat serum.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Huang-Lian Jie-Du decoction (HLJDD), a
traditional formula of Chinese medicine constituted with Rhizoma Coptidis,
RadixScutellariae, CortexPhellodendri amurensis and Fructus Gardeniae, exhibits
unambiguous therapeutic effect on cerebral ischemia via multi-targets action.
Further investigation, however, is still required to explore the relationship
between those mechanisms and targets through system approaches. MATERIALS AND
METHODS: Rats of cerebral ischemia were completed by middle cerebral artery
occlusion (MCAO) with reperfusion. Following evaluation of pharmacological
actions of HLJDD on MCAO rats, the plasma samples from rats of control, MCAO and
HLJDD-treated MCAO groups were prepared strictly and subjected to ultra
performance liquid chromatography quadrupole time of flight mass spectrometry for
metabolites analysis. The raw mass data were imported to MassLynx software for
peak detection and alignment, and further introduced to EZinfo 2.0 software for
orthogonal projection to latent structures analysis, principal component analysis
and partial least-squares-discriminant analysis. The metabolic pathways assay of
those potential biomarkers were performed with MetaboAnalyst through the online
database, HMDB, Metlin, KEGG and SMPD. Those intriguing metabolic pathways were
further investigated via biochemical assay. RESULTS: HLJDD ameliorated the MCAO
induce cerebral damage and blocked the severe inflammation response. There were
nineteen different biomarkers identified among control, MCAO and HLJDD-treated
MCAO groups. Ten metabolic pathways were proposed from these significant
metabolites. Incorporation with the biochemical assay of cerebral tissue,
modulation of metabolic stress, regulation glutamate/GABA-glutamine cycle and
enhancement of cholinergic neurons function were explored that involved in the
actions of HLJDD on cerebral ischemia. CONCLUSION: HLJDD achieves therapeutic
action on cerebral ischemia via coordinating the basic pathophysiological network
of metabolic stress, glutamate metabolism, and acetylcholine levels and function.
PMID- 29360498
TI - A pilot study on the characteristics of circulating T follicular helper cells in
liver transplant recipients.
AB - Circulating CD4+CXCR5+ T follicular helper cells (cTfh) have been demonstrated to
be involved in B cell-mediated systemic autoimmune diseases and alloreactive
responses following kidney transplantation; however, whether cTfh cells are
involved in alloreactive responses after liver transplantation (LT) remains
unclear. Our present study aimed to investigate the characteristics of cTfh, as
well as CXCR3+CCR6-Tfh1, CXCR3-CCR6-Tfh2, and CXCR3-CCR6+Tfh17 subsets in liver
allograft recipients. A total of 30 liver transplant recipients were enrolled in
this study. The frequencies of cTfh, Tfh1, Tfh2, and Tfh17 subsets, and
interleukin (IL)-21-producing Tfh cells in the circulating blood were analyzed by
flow cytometry. The capacity of cTfh cells to help B cells differentiate into
plasmablasts was determined one day before and one month after LT. The results
revealed that the frequency of cTfh cells remained unaltered before and after LT.
However, the frequency of the cTfh subsets (e.g., Tfh1 and Tfh2 cells) and B
cells were reduced one month after LT. Functionally, the capacity of Tfh cells to
produce IL-21 was reduced one month after LT. In addition, cTfh cells exhibited
the capacity to help B cells differentiate into plasmablasts in an IL-21
dependent manner in vitro, which was reduced after LT, despite the unaltered
production of IgM and IgG by plasmablasts. Thus, our data suggest that cTfh cells
may be involved in alloreactive responses following LT via helping B cells
differentiate into plasmablasts and plasma cells.
PMID- 29360499
TI - Bone marrow laminins influence hematopoietic stem and progenitor cell cycling and
homing to the bone marrow.
AB - Hematopoietic stem and progenitor cell (HSPC) functions are regulated by a
specialized microenvironment in the bone marrow - the hematopoietic stem cell
niche - of which the extracellular matrix (ECM) is an integral component. We
describe here the localization of ECM molecules, in particular the laminin
alpha4, alpha3 and alpha5 containing isoforms in the bone marrow. Laminin 421
(composed of laminin alpha4, beta2, gamma1 chains) is identified as a major
component of the bone marrow ECM, occurring abundantly surrounding venous sinuses
and in a specialized reticular fiber network of the intersinusoidal spaces of
murine bone marrow (BM) in close association with HSPC. Bone marrow from Lama4-/-
mice is significantly less efficient in reconstituting the hematopoietic system
of irradiated wildtype (WT) recipients in competitive bone marrow transplantation
assays and shows reduced colony formation in vitro. This is partially due to
retention of Lin-c-kit+Sca-1+CD48- long-term and short-term hematopoietic stem
cells (LT-HSC/ST-HSC) in the G0 phase of the cell cycle in Lama4-/- bone marrow
and hence a more quiescent phenotype. In addition, the extravasation of WT BM
cells into Lama4-/- bone marrow is impaired, influencing the recirculation of
HSPC. Our data suggest that these effects are mediated by a compensatory
expression of laminin alpha5 containing isoforms (laminin 521/522) in Lama4-/-
bone marrow. Collectively, these intrinsic and extrinsic effects lead to reduced
HSPC numbers in Lama4-/- bone marrow and reduced hematopoietic potential.
PMID- 29360500
TI - iDNA6mA-PseKNC: Identifying DNA N6-methyladenosine sites by incorporating
nucleotide physicochemical properties into PseKNC.
AB - N6-methyladenine (6mA) is one kind of post-replication modification (PTM or PTRM)
occurring in a wide range of DNA sequences. Accurate identification of its sites
will be very helpful for revealing the biological functions of 6mA, but it is
time-consuming and expensive to determine them by experiments alone.
Unfortunately, so far, no bioinformatics tool is available to do so. To fill in
such an empty area, we have proposed a novel predictor called iDNA6mA-PseKNC that
is established by incorporating nucleotide physicochemical properties into Pseudo
K-tuple Nucleotide Composition (PseKNC). It has been observed via rigorous cross
validations that the predictor's sensitivity (Sn), specificity (Sp), accuracy
(Acc), and stability (MCC) are 93%, 100%, 96%, and 0.93, respectively. For the
convenience of most experimental scientists, a user-friendly web server for
iDNA6mA-PseKNC has been established at http://lin-group.cn/server/iDNA6mA-PseKNC,
by which users can easily obtain their desired results without the need to go
through the complicated mathematical equations involved.
PMID- 29360501
TI - Emerging role of carbon monoxide in regulation of cellular pathways and in the
maintenance of gastric mucosal integrity.
AB - Heme oxygenase (HO) catalyzes the degradation of toxic free heme to the equimolar
amounts of biliverdin, Fe2+ and concurrently releases of carbon monoxide (CO). CO
is nowadays increasingly recognized as an important signaling molecule throughout
the body that is involved in many physiological processes and shows
multidirectional biological activity. Recent evidence indicates that CO exhibits
the anti-inflammatory, anti-proliferative, anti-apoptotic, anti-aggregatory and
vasodilatory properties. The cellular mechanisms underlying the activity of CO
involve stimulation of cGMP-dependent signaling pathway and large conductance
calcium activated K+ channels, the activation of mitogen-activated protein
kinases and the nuclear factor k-light chain-enhancer of activated B cells
transcription factor pathway. Stimulation of endogenous CO production by HO
inducers or the inhalation of CO or the delivery of this gaseous molecule by
novel pharmaceutical agents have been found in experimental animal models to be
promising in the future therapy of various diseases. CO appears to act as a
significant component of the complex mechanism of gastrointestinal (GI) mucosal
defense. This gaseous molecule plays an important role in diabetic gastroparesis,
prevention of the upper GI mucosal damage, post-operative ileus and the healing
of ulcerative colitis. This review focuses on the better understanding mechanisms
through which CO contributes to the mechanism of protection, resistance to injury
and ulcer healing. It is becoming apparent that the pleiotropic effect of this
molecule may increase clinical applicability of CO donors and their
implementation in many pharmacological research areas, pharmaceutical industry
and health-care system.
PMID- 29360502
TI - Hypothalamic Akt-mediated signaling regulates food intake in chicks.
AB - The central anorexigenic mechanism seems to be similar in mammals and chicks,
because the appetite-suppressive action of a number of peptide hormones is
similar in both species. Accumulating evidence in mammals has revealed that
hypothalamic Akt-mediated signaling factors (for instance, mTOR and FOXO1) are
significantly involved in the regulation of food intake. However, the role of
hypothalamic Akt in feeding regulation is yet to be determined in chickens. In
this study, we showed that pAkt (Thr308)/Akt, pFOXO1/FOXO1, and pS6 levels were
significantly increased in the hypothalami of chicks refed 1 h after a 24 h-fast
in correlation to increases in the plasma concentrations of insulin, one of the
activators of the Akt-mediated signaling pathways. In addition, central
administration of insulin increased the phosphorylation of Akt, FOXO1, and S6 in
chicken hypothalami. Furthermore, intracerebroventricular injections of both
phosphoinositide 3-kinase inhibitor LY294002 and mTOR inhibitor rapamyacin
enhanced the food intake of chicks. These findings suggest that hypothalamic Akt
mediated signaling pathways contribute to the regulation of food intake in
chicks.
PMID- 29360503
TI - Role of LncRNA MALAT-1 in hypoxia-induced PC12 cell injury via regulating p38MAPK
signaling pathway.
AB - OBJECTIVE: To investigate the role of LncRNA MALAT-1 in hypoxia-induced cell
injury. METHODS: Pheochromocytoma-12 (PC12) cells were divided into seven groups:
Control group, Hypoxia group (Cells treated with CoCl2), MALAT-1 group (Hypoxic
cells treated with MALAT-1), NC group (Hypoxic cells treated with empty plasmid),
MALAT-1 siRNA group (Hypoxic cells treated with siRNA MALAT-1), SB203580 group
(Hypoxic cells treated with p38MAPK inhibitor), and MALAT-1 + SB20358 group. The
content of reactive oxygen species (ROS), malondialdehyde (MDA), super oxide
dismutase (SOD) and lactate dehydrogenase (LDH) was determined. Cell viability
was detected by MTT assay. Apoptotic cells were observed by Hoechst 33258 and
TUNEL staining assay. Mitochondrial membrane potential (MMP) was measured using
JC1 vital dye. RESULTS: The decreased cell viability and increased expressions of
MALAT-1 and p-p38 were observed in hypoxic PC12 cells time-dependently (P <
0.05). Besides, hypoxic PC12 cells had an elevation in p-p38, ROS, MDA and LDH
with the increased apoptotic cells, but a reduction in SOD and MMP, and these
similar changes were more obvious in those hypoxic cells treated with MALAT-1
when compared with Controls (all P < 0.05). However, the hypoxic PC12 cells
treated with SB203580 and MALAT-1 siRNA led to opposite results compared with
MALAT-1 group (all P < 0.05). Importantly, SB203580 could reverse the function of
MALAT-1 in aggravating the hypoxia injury of PC12 cells. CONCLUSION: MALAT-1 can
promote the apoptosis and oxidative stress of PC12 cells by activating p38MAPK
pathway, thus aggravating the damage of PC12 cells induced by chemical hypoxia.
PMID- 29360504
TI - New biomarkers for diagnosis and prognosis of localized prostate cancer.
AB - The diagnostics and management of localized prostate cancer is complicated
because of cancer heterogeneity and differentiated progression in various
subgroups of patients. As a prostate cancer biomarker, FDA-approved detection
assay for serum prostate specific antigen (PSA) and its derivatives are not
potent enough to diagnose prostate cancer, especially high-grade disease (Gleason
>=7). To date, a collection of new biomarkers was developed. Some of these
markers are superior for primary screening while others are particularly helpful
for cancer risk stratification, detection of high-grade cancer, and prediction of
adverse events. Two of those markers such as proPSA (a part of the Prostate
Health Index (PHI)) and prostate specific antigen 3 (PCA3) (a part of the PCA3
Progensa test) were recently approved by FDA for clinical use. Other markers are
not PDA-approved yet but are available from Clinical Laboratory Improvement
Amendment (CLIA)-certified clinical laboratories. In this review, we characterize
diagnostic performance of these markers and their diagnostic and prognostic
utility for prostate cancer.
PMID- 29360505
TI - Biogas upgrading and utilization: Current status and perspectives.
AB - Biogas production is an established sustainable process for simultaneous
generation of renewable energy and treatment of organic wastes. The increasing
interest of utilizing biogas as substitute to natural gas or its exploitation as
transport fuel opened new avenues in the development of biogas upgrading
techniques. The present work is a critical review that summarizes state-of-the
art technologies for biogas upgrading and enhancement with particular attention
to the emerging biological methanation processes. The review includes
comprehensive description of the main principles of various biogas upgrading
methodologies, scientific and technical outcomes related to their biomethanation
efficiency, challenges that have to be addressed for further development and
incentives and feasibility of the upgrading concepts.
PMID- 29360506
TI - Chromosomal mutations that accompany qnr in clinical isolates of Escherichia
coli.
AB - We examined 13 qnr-positive and 14 qnr-negative clinical isolates of Escherichia
coli for mutations previously seen in a qnr-containing laboratory strain exposed
to supra minimum inhibitory concentrations (MICs) of ciprofloxacin. Among the qnr
positive strains, those with ciprofloxacin MICs of >= 2 ug/mL had at least one
mutation in gyrA. Mutations in parC were present in strains with a ciprofloxacin
MIC of >= 128 ug/mL. The 6 most ciprofloxacin-resistant strains contained
additional plasmid-mediated quinolone resistance determinants. aac(6')-Ib-cr was
found in 5 of the 6 strains. Eleven of the 13 strains had alterations in MarR, 9
in SoxR, and 5 had mutations in AcrR. All had elevated expression of at least one
efflux pump gene, predominantly acrA (92% of the strains), followed by mdtE (54%)
and ydhE (46%). Nine had functionally silent alterations in rfa, two had
mutations in gmhB, and one of these also had a mutation in surA. An E. coli with
ciprofloxacin MIC of 1024 ug/mL contained 4 different plasmid-mediated quinolone
resistance determinants as well as gyrA, parC, parE and pump overexpression
mutations. Nine of the 14 qnr-negative strains had mutations in topoisomerase
genes with a ciprofloxacin MIC of 0.25 to 256 ug/mL. The three most resistant
strains also had mutations in parE. Twelve had alterations in MarR, 10 in SoxR
and 5 in AcrR. Ten of the 14 strains had elevated expression of efflux pumps with
acrA (71.4%), followed by ydhE (50%) and mdtE (14.3%). A diversity of resistance
mechanisms occurs in clinical isolates with and without qnr genes.
PMID- 29360508
TI - Uncommon Cause of Abdominal Pain, Nausea, and Vomiting.
PMID- 29360509
TI - Subcapsular Hepatic Hematoma Resulting From Hepatic Microaneurysms in a Patient
With Systemic Amyloidosis.
PMID- 29360507
TI - Influence of breast cancer resistance protein and P-glycoprotein on tissue
distribution and excretion of Ko143 assessed with PET imaging in mice.
AB - Ko143 is a reference inhibitor of the adenosine triphosphate-binding cassette
(ABC) transporter breast cancer resistance protein (humans: ABCG2, rodents:
Abcg2) for in vitro and in vivo use. Previous in vitro data indicate that Ko143
binds specifically to ABCG2/Abcg2, suggesting a potential utility of Ko143 as a
positron emission tomography (PET) tracer to assess the density (abundance) of
ABCG2 in different tissues. In this work we radiolabeled Ko143 with carbon-11
(11C) and performed small-animal PET experiments with [11C]Ko143 in wild-type,
Abcg2(-/-), Abcb1a/b(-/-) and Abcb1a/b(-/-)Abcg2(-/-) mice to assess the
influence of Abcg2 and Abcb1a/b on tissue distribution and excretion of
[11C]Ko143. [11C]Ko143 was extensively metabolized in vivo and unidentified
radiolabeled metabolites were found in all investigated tissues. We detected no
significant differences between wild-type and Abcg2(-/-) mice in the distribution
of [11C]Ko143-derived radioactivity to Abcg2-expressing organs (brain, liver and
kidney). [11C]Ko143 and possibly its radiolabeled metabolites were transported by
Abcb1a and not by Abcg2 at the mouse blood-brain barrier. [11C]Ko143-derived
radioactivity underwent both hepatobiliary and urinary excretion, with Abcg2
playing a possible role in mediating the transport of radiolabeled metabolites of
[11C]Ko143 from the kidney into urine. Experiments in which a pharmacologic dose
of unlabeled Ko143 (10 mg/kg) was co-administered with [11C]Ko143 revealed
pronounced effects of the vehicle used for Ko143 formulation (containing
polyethylene glycol 300 and polysorbate 80) on radioactivity distribution to the
brain and the liver, as well as on hepatobiliary and urinary excretion of
radioactivity. Our results highlight the challenges associated with the
development of PET tracers for ABC transporters and emphasize that inhibitory
effects of pharmaceutical excipients on membrane transporters need to be
considered when performing in vivo drug-drug interaction studies. Finally, our
study illustrates the power of small-animal PET to assess the interaction of drug
molecules with membrane transporters on a whole body level.
PMID- 29360510
TI - An Uncommon Portal Vein Abnormality.
PMID- 29360511
TI - Responders and non-responders to influenza vaccination: A DNA methylation
approach on blood cells.
AB - Several evidences indicate that aging negatively affects the effectiveness of
influenza vaccination. Although it is well established that immunosenescence has
an important role in vaccination response, the molecular pathways underlying this
process are largely unknown. Given the importance of epigenetic remodeling in
aging, here we analyzed the relationship between responsiveness to influenza
vaccination and DNA methylation profiles in healthy subjects of different ages.
Peripheral blood mononuclear cells were collected from 44 subjects (age range: 19
90 years old) immediately before influenza vaccination. Subjects were
subsequently classified as responders or non-responders according to
hemagglutination inhibition assay 4-6 weeks after the vaccination. Baseline whole
genome DNA methylation in peripheral blood mononuclear cells was analyzed using
the Illumina(r) Infinium 450 k microarray. Differential methylation analysis
between the two groups (responders and non-responders) was performed through an
analysis of variance, correcting for age, sex and batch. We identified 83 CpG
sites having a nominal p-value <.001 and absolute difference in DNA methylation
of at least 0.05 between the two groups. For some CpG sites, we observed age
dependent decrease or increase in methylation, which in some cases was specific
for the responders and non-responders groups. Finally, we divided the cohort in
two subgroups including younger (age < 50) and older (age >= 50) subjects and
compared DNA methylation between responders and non-responders, correcting for
sex and batch in each subgroup. We identified 142 differentially methylated CpG
sites in the young subgroup and 305 in the old subgroup, suggesting a larger
epigenetic remodeling at older ages. Interestingly, some of the differentially
methylated probes mapped in genes involved in immunosenescence (CD40) and in
innate immunity responses (CXCL16, ULK1, BCL11B, BTC). In conclusion, the
analysis of epigenetic landscape can shed light on the biological basis of
vaccine responsiveness during aging, possibly providing new appropriate
biomarkers of this process.
PMID- 29360513
TI - Electro-olfactogram Responses Before and After Aversive Olfactory Conditioning in
Humans.
AB - The aim of the present study was to investigate whether repetitive aversive odor
conditioning induced changes at the level of the peripheral olfactory system in
humans. A total of 51 volunteers participated. A pair of indistinguishable odor
enantiomers [(+)-rose oxide and (-)-rose oxide] were used as stimuli. During the
pre-conditioning, participants' ability to discriminate between the two odors was
assessed using a three-alternative, forced-choice discrimination test. In
addition, electro-olfactograms (EOG) from the olfactory epithelium were recorded.
Participants underwent three conditioning sessions on consecutive days. The
experimental group received an electrical stimulus to the forearm only following
(+)-rose oxide presentation, whereas its enantiomer sibling was never paired with
the aversive stimulus; the control group did not receive any electrical
stimulation. During the post-conditioning session, their ability to discriminate
the two enantiomers was assessed again using the discrimination test and EOG
recordings were obtained similarly to the pre-conditioning session. Results
showed significant differences in the peripheral electrophysiological responses
between the conditioned and the unconditioned stimulus, demonstrating
contextually induced changes at the level of the first neuron in the olfactory
system.
PMID- 29360512
TI - Histologic Lung Cancer Incidence Rates and Trends Vary by Race/Ethnicity and
Residential County.
AB - INTRODUCTION: Lung cancer incidence is higher among non-Hispanic (NH) blacks than
among the NH white and Hispanic populations in the United States. However,
national cancer estimates may not always reflect the cancer burden in terms of
disparities and incidence in small geographic areas, especially urban-rural
disparities. Moreover, there is a gap in the literature regarding rural-urban
disparities in terms of cancer histologic type. METHODS: Using population-based
cancer registry data-Surveillance, Epidemiology and End Results and National
Program of Cancer Registries data-we present age-adjusted histologic rates and
trends by race/ethnicity and residential county location at the time of first
cancer diagnosis. Rate ratios were calculated to examine racial/ethnic
differences in rates. Annual percent change was calculated to measure changes in
rates over time. RESULTS: We found that declines in squamous cell carcinoma are
occurring fastest in metropolitan counties, whereas rates of adenocarcinoma
increased fastest in counties nonadjacent to metropolitan areas. Further,
although NH black men have increased lung cancer incidence compared with NH white
and Hispanic men in all geographic locations, we found that the degree of the
disparity increases with increasing rurality of residence. Finally, we discovered
that among women whose lung cancer was diagnosed when they were younger than 55
years, the incidence of squamous cell carcinoma and adenocarcinoma was higher for
NH blacks than for NH whites. CONCLUSIONS: Our results highlight disparities
among NH blacks in nonadjacent rural areas. These findings may have significant
impact for the implementation of smoking cessation and lung cancer screening
programs.
PMID- 29360514
TI - Robotic, Laparoscopic, or Open Hysterectomy: Surgical Outcomes by Approach in
Endometrial Cancer.
AB - STUDY OBJECTIVES: To compare patient outcomes by surgical approach in the
management of endometrial cancer (EC) in Washington State from 2008 to 2013.
DESIGN: Population-based retrospective cohort study (Canadian Task Force
classification II-2). SETTING: Washington State. PATIENTS: EC patients treated
with robotic-assisted surgery (RAS), laparoscopy (LS), or laparotomy (XLAP).
INTERVENTIONS: Comprehensive Hospital Abstract Reporting System to identify
patients and assess the association of surgical approach with length of stay,
readmissions, and perioperative complications. MEASUREMENTS AND RESULTS: We
identified 3712 cases of EC managed with either RAS, LS, or XLAP. Mean length of
stay was not clinically different for RAS (1.5 days) and LS (1.6 days) but was
2.31 days longer for XLAP compared with LS (p < .001). Odds of any readmission
did not differ for either RAS or XLAP compared with LS; however, early
readmissions were half as likely for RAS compared with LS (p = .014).
Complications were more than 2.5 times as likely for XLAP versus LS (p < .001),
whereas complications did not differ for RAS versus LS (p = .931). CONCLUSIONS:
RAS is as an alternative to LS in the treatment of EC and is preferable to XLAP.
The use of RAS resulted in fewer early readmissions compared with LS and resulted
in an increased proportion of cases via minimally invasive surgery.
PMID- 29360515
TI - Choosing Wisely BMT: American Society for Blood and Marrow Transplantation and
Canadian Blood and Marrow Transplant Group's List of 5 Tests and Treatments to
Question in Blood and Marrow Transplantation.
AB - Choosing Wisely encourages dialogue about reducing unnecessary procedures, tests,
or treatments in healthcare. The American Society for Blood and Marrow
Transplantation (ASBMT) and Canadian Blood and Marrow Transplant Group (CBMTG)
established a Choosing Wisely BMT Task Force whose objective was to create a list
of top 5 practices in blood and marrow transplantation to be questioned. The Task
Force consisted of representatives from ASBMT's Quality Outcomes, Education, and
Practice Guidelines committees; ASBMT's Pharmacy Special Interest Group; CBMTG
Program Directors; and Center for International Blood and Marrow Transplant
Research (CIBMTR). Suggestions for current transplantation practices to question
were elicited from the CBMTG Program Directors; members of ASBMT's Quality
Outcomes, Practice Guidelines, and Education committees; and chairs of the CIBMTR
scientific working committees. We received 119 unique suggestions that were
ranked based on their potential impact on harm reduction, cost reduction,
necessity of the test or practice, and the strength of available evidence.
Through a modified Delphi process, suggestions were narrowed down to 6, which
were then subjected to systematic reviews. The final 5 recommendations focus on
graft source for patients with aplastic anemia, corticosteroid dose for initial
treatment of graft-versus-host-disease, optimal number of umbilical cord blood
units for transplantation, graft source in matched unrelated donor
transplantation, and use of prophylactic intravenous immunoglobulin in transplant
recipients. These Choosing Wisely BMT recommendations are relevant to the current
clinical practice of blood and marrow transplantation and focus on tests,
treatments, or procedures that may be harmful, wasteful, or for which there is no
apparent clinical benefit.
PMID- 29360516
TI - Bilingualism and healthy aging: Aging effects and neural maintenance.
AB - Speaking more than one language is associated with neurocognitive benefits in
seniors (Alladi et al. 2013). Few studies however have tested this hypothesis
directly by comparing bilingual seniors who vary in chronological age. We report
a Voxel-Based Morphometry (VBM) study showing cumulative effects of age on grey
matter volume (GMV) in brain structures that are involved in cognitive control in
bilingual seniors and found no differences in RT or accuracy between bilingual
and monolingual seniors on a behavioral test of cognitive control called the
Attentional Network Task (ANT), and no differences in GMV for selected ROIs
between groups. However, chronological age predicted the size of interference and
conflict effects for monolingual speakers only. We also observed a more
widespread pattern of bilateral aging-effcts in brain regions that are
classically associated with aging in monolingual speakers compared to bilingual
speakers. Notably, GMV in the dorsal anterior cingulate cortex (dACC) and the
level of daily exposure to a second language (L2) independently predict
performance on the ANT in bilingual speakers. We conclude that regular (daily)
bilingual experience mitigates the typical effects of aging on cognitive control
at the behavioral and the neural level.
PMID- 29360517
TI - The spatial distribution of perseverations in neglect patients during a nonverbal
fluency task depends on the integrity of the right putamen.
AB - Deficient inhibitory control leading to perseverative behaviour is often observed
in neglect patients. Previous studies investigating the relationship between
response inhibition and visual attention have reported contradictory results:
some studies found a linear relationship between neglect severity and
perseverative behaviour whereas others could not replicate this result. The aim
of the present study was to shed further light on the interplay between visual
attention and response inhibition in neglect, and to investigate the neural
underpinnings of this interplay. We propose the use of the Five-Point Test, a
test commonly used to asses nonverbal fluency, as a novel approach in the context
of neglect. In the Five-Point Test, participants are required to generate as many
different designs as possible, by connecting dots within forty rectangles. We
hypothesised that, because of its clear definition of perseverative errors, the
Five-Point Test would accurately assess both visual attention as well as
perseverative behaviour. We assessed 46 neglect patients with right-hemispheric
stroke, and performed voxel-based lesion-symptom mapping (VLSM) to identify
neural substrates of perseverative behaviour as well as the spatial distribution
of perseverations. Our results showed that the Five-Point Test can reliably
measure neglect and perseverative behaviour. We did not find any significant
relationship between neglect severity and the frequency of perseverations.
However, within the subgroup of neglect patients who displayed perseverative
behaviour, the spatial distribution of perseverations significantly depended on
the integrity of the right putamen. We discuss the putative role of the putamen
as a potential subcortical hub to modulate the complex integration between visual
attention and response inhibition processes.
PMID- 29360518
TI - Neuropsychological evidence for the crucial role of the right arcuate fasciculus
in the face-based mentalizing network: A disconnection analysis.
AB - Recent evidence from axonal stimulation mapping studies suggests that at least
two white matter connectivities in the right hemisphere may be involved in face
based mentalizing, i.e. the ability to infer complex cognitive and affective
states from human faces: the inferior fronto-occipital (IFOF) and the superior
longitudinal/arcuate (SLF/AF) fasciculi. However, to date, only a handful of
neuropsychological studies have focused on the white matter tracts subserving
mentalizing in general, and face-based mentalizing in particular. Therefore, the
main goal of this study was to confirm the abovementioned results by applying
voxelwise and tractwise lesion-symptom analyses to a set of behavioral data
obtained from a large and homogeneous group of neurological participants. More
precisely, 122 patients operated on for diffuse low-grade glioma were assessed
postoperatively with the well-validated "Reading the Mind in the Eyes" (RME)
test. For each patient, the resection cavity and the residual tumor infiltration
were mapped separately on the respective postoperative structural MRI. Behavioral
data, previously controlled for sociodemographic factors, were then submitted to
a standard voxel-based and to a less conservative, region-of-interest (ROI)
based, lesion-deficit analyses. Results were invariably the same: no anatomo
functional relationships were pinpointed by these investigations, making thus
impossible the cortical topological localization of mentalizing deficits. In a
second time, two kinds of tractwise lesion-symptom analyses based on the damaged
volume and the disconnection probabilities of the white matter tracts, were
performed. All results were corrected with the Bonferroni correction. Converging
and strong evidence was found that resection-related disconnection of the right
AF is especially deleterious for face-based mentalizing. More anecdotally, we
identified the involvement of certain ventral tracts, especially the IFOF and the
uncinate fasciculus (UF). Taken as a whole, the reported findings confirm the
critical role of the right AF in mentalizing abilities. From a more clinical
standpoint, they highlight the necessity to perform an intraoperative map of this
connectivity during awake surgery in order to avoid long-lasting social cognition
disorders.
PMID- 29360519
TI - Language and alexithymia: Evidence for the role of the inferior frontal gyrus in
acquired alexithymia.
AB - The clinical relevance of alexithymia, a condition associated with difficulties
identifying and describing one's own emotion, is becoming ever more apparent.
Increased rates of alexithymia are observed in multiple psychiatric conditions,
and also in neurological conditions resulting from both organic and traumatic
brain injury. The presence of alexithymia in these conditions predicts poorer
regulation of one's emotions, decreased treatment response, and increased burden
on carers. While clinically important, the aetiology of alexithymia is still a
matter of debate, with several authors arguing for multiple 'routes' to impaired
understanding of one's own emotions, which may or may not result in distinct
subtypes of alexithymia. While previous studies support the role of impaired
interoception (perceiving bodily states) in the development of alexithymia, the
current study assessed whether acquired language impairment following traumatic
brain injury, and damage to language regions, may also be associated with an
increased risk of alexithymia. Within a sample of 129 participants with
penetrating brain injury and 33 healthy controls, neuropsychological testing
revealed that deficits in a non-emotional language task, object naming, were
associated with alexithymia, specifically with difficulty identifying one's own
emotions. Both region-of-interest and whole-brain lesion analyses revealed that
damage to language regions in the inferior frontal gyrus was associated with the
presence of both this language impairment and alexithymia. These results are
consistent with a framework for acquired alexithymia that incorporates both
interoceptive and language processes, and support the idea that brain injury may
result in alexithymia via impairment in any one of a number of more basic
processes.
PMID- 29360520
TI - Effectiveness of combination therapy versus monotherapy with a third-generation
cephalosporin in bacteraemic pneumococcal pneumonia: A propensity score analysis.
AB - OBJECTIVE: Combining a macrolide or a fluoroquinolone to beta-lactam regimens in
the treatment of patients with moderate to severe community-acquired pneumonia is
recommended by the international guidelines. However, the information in patients
with bacteraemic pneumococcal pneumonia is limited. METHODS: A propensity score
technique was used to analyze prospectively collected data from all patients with
bacteraemic pneumococcal pneumonia admitted from 2000 to 2015 in our institution,
who had received empirical treatment with third-generation cephalosporin in
monotherapy or plus macrolide or fluoroquinolone. RESULTS: We included 69
patients in the monotherapy group and 314 in the combination group. After
adjustment by PS for receiving monotherapy, 30-day mortality (OR 2.89; 95% CI
1.07-7.84) was significantly higher in monotherapy group. A higher 30-day
mortality was observed in monotherapy group in both 1:1 and 1:2 matched samples
although it was statistically significant only in 1:2 sample (OR: 3.50 (95% CI
1.03-11.96), P = 0.046). CONCLUSIONS: Our study suggests that in bacteraemic
pneumococcal pneumonia, empirical therapy with a third-generation cephalosporin
plus a macrolide or a fluoroquinolone is associated with a lower mortality rate
than beta-lactams in monotherapy. These results support the recommendation of
combination therapy in patients requiring admission with moderate to severe
disease.
PMID- 29360521
TI - Unexpected increase in invasive maternal Group B Streptococcus bacteraemia in a
maternity hospital in Dublin, Ireland (May to September 2017) associated with
subtle signs of sepsis and unreliable sepsis biomarkers.
PMID- 29360522
TI - On Earth, there would be a number of fundamental kinds of primary cells -
cellular domains - greater than or equal to four.
AB - In the studies regarding the deep nodes of the tree of life, there is an
assumption that might be false. Usually, it is assumed that these nodes - that is
to say, those for example regarding the ancestors of bacteria and archaea - are
believed to be completely evolved cells and not protocells. In other words, in
these studies, it is rarely stressed that, on the contrary, these nodes might
correspond to evolutionary stages of premature cells, namely, progenotes. This
observation has extremely relevant consequences. Indeed, if the nodes, for
example, of the ancestors of bacteria and archaea would correspond to progenotic
evolutionary stages, then this should imply that the number of fundamental kinds
of primary cells (cellular domains), present on Earth, would be at least four and
not two or three as it is currently believed. As a matter of fact, if these two
nodes would correspond to two progenotes then, evidently, the fully evolved cells
(genotes) - to which we should refer to be able to establish how many fundamental
kinds of primary cells are present on Earth - would characterize less deep nodes
of these two. Thus, since there is a strong evidence that the ancestors of
archaea and bacteria have been of progenotes, these reasonings would assume a
particular importance. For instance, it is maintained that one of these
fundamental primary cells might be represented by the typical cell of superphylum
of the DPANN. In other words, the DPANN superphylum might be a so far non
recognized cellular domain of life.
PMID- 29360523
TI - Thiamine and Cardiovascular Disease: A Literature Review.
AB - Thiamine is a water-soluble vitamin that plays an important role in the energy
metabolism in the human body. Deficiency in thiamine can lead to neurological
abnormalities and congestive heart failure (HF), known as dry beriberi and wet
beriberi respectively. Several populations are at higher risk for thiamine
deficiency, most notably persons with chronic alcoholism. This article aims to
provide a review of current literature on the role of thiamine in the human body,
the current scope of thiamine deficiency, and explore the specific effects of
thiamine deficiency and supplementation on the cardiovascular system. HF as a
result of thiamine deficiency can have non-specific presentations, often leading
to delayed diagnosis and treatment. Having an understanding of pathophysiology of
thiamine deficiency and considering thiamine deficiency as one of the
differentials in patients with new onset HF of unknown etiology with the
appropriate risk factors is important in clinical practice.
PMID- 29360524
TI - Dual (Anticoagulant Plus Single Antiplatelet) vs Triple (Anticoagulant Plus Dual
Antiplatelet) Antithrombotic Therapy - "Real World" Experience.
AB - Atrial fibrillation (AF) is a common arrhythmia that increases in prevalence with
advancing age and in patients with coronary artery disease, revascularization,
particularly with percutaneous coronary intervention (PCI), is also common. Both
disease states have thrombosis as a core pathophysiologic process which requires
treatment - low sheer stress thrombi in AF and intracoronary high sheer stress
thrombi in PCI. For the 10-20% of patients who have both AF and undergo PCI,
preventing thrombotic complications will require inhibition of both processes
requiring simultaneous use of anticoagulation and antiplatelet therapy. There is
a broad experience of combining oral anticoagulation therapy, used to prevent
stroke and systemic embolization, in AF with dual antiplatelet therapy, used to
prevent stent thrombosis and thrombotic coronary events. This "triple
antithrombotic therapy" (TT) has been evaluated through many observation studies,
both small and large. TT has more frequently been associated with a significant
increase in bleeding events with non-significant reduction in thrombotic events.
Current guidelines recommend shorter duration of TT, especially in patients with
high risk of bleeding.
PMID- 29360526
TI - Short-term ibrutinib therapy suppresses skin test responses and eliminates IgE
mediated basophil activation in adults with peanut or tree nut allergy.
PMID- 29360525
TI - Health risks and precautions for visitors to the Tokyo 2020 Olympic and
Paralympic Games.
AB - BACKGROUND: In 2020, Japan will host the Tokyo Olympic and Paralympic Games in
2020 (Tokyo 2020) which will involve a large population influx from various
countries to Tokyo, the most populated city in Japan. We summarize the potential
health risks for visitors to Tokyo 2020, related to communicable disease risks
and other health threats, based on recent national and local surveillance
reports. METHODS: We reviewed up-to-date surveillance reports published by the
National Institute of Infectious Diseases and Tokyo Metropolitan Infectious
Disease Surveillance Center. RESULTS: Communicable disease risks for vaccine
preventable illnesses such as measles and rubella, as well as food and waterborne
diseases represent the most likely risks. The risk of acquiring vector-borne
diseases is considered low in Japan. On the other hand, however, heat-related
illness represents a potential risk, as Tokyo 2020 is scheduled during the
hottest season in Japan, with temperatures generally expected to exceed 30
degrees C. CONCLUSION: Maintaining an up-to-date routine vaccination schedule is
highly recommended for visitors attending the Tokyo 2020 and appropriate hygiene
measures for food and waterborne diseases as well as health promotion for heat
related illness. It may also be useful to increase the number of multilingual
triage clinicians whom can be placed within emergency departments during the
Tokyo 2020 to provide first contact services and coordination of emergency care
among non-Japanese speaking visitors to Tokyo.
PMID- 29360527
TI - Engineering and stable production of recombinant IgE for cancer immunotherapy and
AllergoOncology.
PMID- 29360528
TI - Intravenous immunoglobulin treatment abrogates transplacental autoantibody
transfer in a murine pemphigus model.
PMID- 29360529
TI - WATER: A Double-Blind, Randomized, Controlled Trial of Aquablation(r) vs
Transurethral Resection of the Prostate in Benign Prostatic Hyperplasia.
AB - PURPOSE: We compared the safety and efficacy of Aquablation and transurethral
prostate resection for the treatment of lower urinary tract symptoms related to
benign prostatic hyperplasia. MATERIALS AND METHODS: In a double-blind,
multicenter, prospective, randomized, controlled trial 181 patients with moderate
to severe lower urinary tract symptoms related to benign prostatic hyperplasia
underwent transurethral prostate resection or Aquablation. The primary efficacy
end point was the reduction in International Prostate Symptom Score at 6 months.
The primary safety end point was the development of Clavien-Dindo persistent
grade 1, or 2 or higher operative complications. RESULTS: Mean total operative
time was similar for Aquablation and transurethral prostate resection (33 vs 36
minutes, p = 0.2752) but resection time was lower for Aquablation (4 vs 27
minutes, p <0.0001). At month 6 patients treated with Aquablation and
transurethral prostate resection experienced large I-PSS improvements. The
prespecified study noninferiority hypothesis was satisfied (p <0.0001). Of the
patients who underwent Aquablation and transurethral prostate resection 26% and
42%, respectively, experienced a primary safety end point, which met the study
primary noninferiority safety hypothesis and subsequently demonstrated
superiority (p = 0.0149). Among sexually active men the rate of anejaculation was
lower in those treated with Aquablation (10% vs 36%, p = 0.0003). CONCLUSIONS:
Surgical prostate resection using Aquablation showed noninferior symptom relief
compared to transurethral prostate resection but with a lower risk of sexual
dysfunction. Larger prostates (50 to 80 ml) demonstrated a more pronounced
superior safety and efficacy benefit. Longer term followup would help assess the
clinical value of Aquablation.
PMID- 29360530
TI - Perinephric Hematoma Size is Independently Associated with the Need for
Urological Intervention in Multisystem Blunt Renal Trauma.
AB - PURPOSE: We examined radiographic predictors of intervention for blunt renal
trauma independent of AAST-OIS (American Association for the Surgery of Trauma
Organ Injury Scale). MATERIALS AND METHODS: A total of 328 patients with blunt
renal trauma from October 2004 to December 2014 were identified for analysis.
Hospital records and diagnostic imaging were reviewed to identify the need for
urological intervention, including angiographic embolization, nephrectomy,
renorrhaphy, ureteral stenting or percutaneous drainage. Factors examined
included patient age, gender, length of stay, ISS (Injury Severity Score), AAST
OIS, laceration location, length and number, perinephric hematoma
characteristics, intravascular contrast extravasation and devitalized segment
status. Descriptive statistics and binary logistic regression were performed as
appropriate. RESULTS: Mean patient age was 37.0 years and mean ISS was 31.7. A
total of 31 urological interventions were required in 27 patients (8.2%),
including ureteral stenting in 38.7%, angiographic embolization in 32.3%,
nephrectomy in 22.6%, renorrhaphy in 3.2% and percutaneous drainage in 3.2%. On
univariate analysis AAST-OIS, hematoma diameter, hematoma area, intravascular
contrast extravasation, laceration length, laceration number, degree of
devitalization and devitalized fragment presence were associated with the need
for intervention (each p <0.001). On multivariate analysis only AAST-OIS grade
(OR 69.4, 95% CI 6.4-748.3, p <0.001) and hematoma diameter (OR 1.5, 95% CI 1.1
1.9, p = 0.004) or area (OR 1.03, 95% CI 1.01-1.06, p = 0.012) remained
associated with urological intervention. CONCLUSIONS: Although AAST-OIS is
strongly associated with the need for urological intervention, perinephric
hematoma size is also independently associated with this occurrence. Perinephric
hematoma diameter should be considered during clinical decision making and
incorporated into a revised injury grading system.
PMID- 29360531
TI - Minocycline diminishes the rotenone induced neurotoxicity and glial activation
via suppression of apoptosis, nitrite levels and oxidative stress.
AB - The study was conducted to evaluate the effect of minocycline against pesticide
rotenone induced adverse effects in different rat brain regions. Assessment of
oxidative stress, nitrite levels, degenerating neurons and level of cleaved
caspase-3 was done in frontal cortex, mid brain, hippocampus and striatum regions
of rat brain. In addition the expression profile of neuronal (MAP2), astrocytes
(GFAP) and microglia (cd11b) markers was done after treatments. Rotenone induced
DNA fragmentation was also assessed in all studied rat brain regions by utilizing
comet assay. Rotenone administration caused significantly decreased level of
glutathione along with increased level of nitrite and lipid peroxidation.
Significant oxidative and nitrosative stress was also observed after rotenone
administration which was considerably inhibited in minocycline treated rats in
time dependent manner. Fluorojade staining and levels of cleaved caspase 3 showed
the degeneration of neurons and apoptosis respectively in studied rat brain
regions which were further inhibited with minocycline treatment. Rotenone
administration caused significantly increased reactivity of astrocytes, microglia
and altered neuronal morphology in rat brain regions which was also partially
restored with minocycline treatment. In conclusion, present study showed that
minocycline treatment attenuated the rotenone induced oxidative stress, nitrite
level, degeneration of neurons, augmented glial reactivity and apoptosis.
PMID- 29360533
TI - Zoanthid mucus as new source of useful biologically active proteins.
AB - Palythoa caribaeorum is a very common colonial zoanthid in the coastal reefs of
Brazil. It is known for its massive production of mucus, which is traditionally
used in folk medicine by fishermen in northeastern Brazil. This study identified
biologically active compounds in P. caribaerum mucus. Crude mucus was collected
during low tides by the manual scraping of colonies; samples were maintained in
an ice bath, homogenized, and centrifuged at 16,000 g for 1 h at 4 degrees C;
the supernatant (mucus) was kept at -80 degrees C until use. The enzymatic
(proteolytic and phospholipase A2), inhibitory (metallo, cysteine and serine
proteases), and hemagglutinating (human erythrocyte) activities were determined.
The results showed high levels of cysteine and metallo proteases, intermediate
levels of phosholipase A2, low levels of trypsin, and no elastase and
chymotrypsin like activities. The mucus showed potent inhibitory activity on
snake venom metalloproteases and cysteine proteinase papain. In addition, it
showed agglutinating activity towards O+, B+, and A+ erythrocyte types. The
hemostatic results showed that the mucus prolongs the aPTT and PT, and strongly
inhibited platelet aggregation induced by arachidonic acid, collagen,
epinephrine, ADP, and thrombin. The antimicrobial activity was tested on 15
strains of bacteria and fungi through the radial diffusion assay in agar, and no
activity was observed. Compounds in P. caribaeorum mucus were analyzed for the
first time in this study, and our results show potential pharmacological
activities in these compounds, which are relevant for use in physiopathological
investigations. However, the demonstration of these activities indicates caution
in the use of crude mucus in folk medicine. Furthermore, the present or absent
activities identified in this mucus suggest that the studied P. caribaeorum
colonies were in thermal stress conditions at the time of sample collection;
these conditions may precede the bleaching process in zoanthids. Hence, the use
of mucus as an indicator of this process should be evaluated in the future.
PMID- 29360532
TI - The association between early-life relative telomere length and childhood
neurodevelopment.
AB - PURPOSE: To examine the association between telomere length and neurodevelopment
in children. METHODS: We examined the relationship between relative telomere
length (rTL) and neurodevelopmental outcomes at 9 and 30 months, and 5 years of
age in children enrolled in the Seychelles Child Development Study Nutrition
Cohort 1 (NC1). Relative telomere length was measured in cord blood and in child
blood at age five. Multivariable linear regression examined associations between
neurodevelopmental outcomes and rTL adjusting for relevant covariates. RESULTS:
Mean rTL was 1.18 at birth and 0.71 at age five. Increased cord blood rTL was
associated with better scores on two neurodevelopmental tests, the psychomotor
developmental index (beta = 4.01; 95% confidence interval (CI) = 0.17, 7.85) at
age 30 months, and the Woodcock Johnson test of achievement letter-word score
(beta = 2.88; CI = 1.21-4.56) at age five. The Woodcock Johnson test of
achievement letter-word score remained statistically significant after two
outliers were excluded (beta = 2.83; CI = 0.69, 4.97); the psychomotor
developmental index did not (beta = 3.62; CI = -1.28, 8.52). None of the
neurodevelopmental outcomes at age five were associated with five-year rTL.
CONCLUSION: Although increased cord blood rTL was associated with better test
scores for a few neurodevelopmental outcomes, this study found little consistent
evidence of an association between rTL and neurodevelopment. Future studies with
a larger sample size, longer follow-up, and other relevant biological markers
(e.g. oxidative stress) are needed to clarify the role of rTL in neurodevelopment
and its relevance as a potential surrogate measure for oxidative stress in the
field of developmental neurotoxicity.
PMID- 29360534
TI - Immune effects of the neurotoxins ciguatoxins and brevetoxins.
AB - Ciguatoxins (CTXs) and brevetoxins (PbTxs) are phycotoxins that can accumulate
along the marine food chain and thus cause seafood poisoning in humans, namely
"ciguatera fish poisoning" (CFP) and "neurotoxic shellfish poisoning" (NSP),
respectively. CFP is characterized by early gastrointestinal symptoms and typical
sensory disorders (paraesthesia, pain, pruritus and cold dysaesthesia), which can
persist several weeks and, in some cases, several months or years. NSP is
considered a mild form of CFP with similar but less severe symptoms. After
inhaled exposure, PbTxs can also cause respiratory tract irritation in healthy
subjects and asthma exacerbations in predisposed subjects, whose respiratory
functions may be disrupted for several days following PbTx inhalation.
Mechanistically, it is well established that CTX- or PbTx-induced disturbances
are primarily mainly due to voltage-gated sodium channel activation in sensory
and motor peripheral nervous system. However, little is known about the
pathophysiology or a potential individual susceptibility to long lasting effects
of CFP/NSP. In addition to their action on the nervous system, PbTxs and CTXs
were also shown to exert effects on the immune system. However, their role in the
pathophysiology of syndromes induced by CTX or PbTx exposure is poorly
documented. The aim of this review is to inventory the literature thus far on the
inflammatory and immune effects of PbTxs and CTXs.
PMID- 29360535
TI - Microbial biotransformation of bioactive and clinically useful steroids and some
salient features of steroids and biotransformation.
AB - Steroids are perhaps one of the most widely used group of drugs in present day.
Beside the established utilization as immunosuppressive, anti-inflammatory, anti
rheumatic, progestational, diuretic, sedative, anabolic and contraceptive agents,
recent applications of steroid compounds include the treatment of some forms of
cancer, osteoporosis, HIV infections and treatment of declared AIDS. Steroids
isolated are often available in minute amounts. So biotransformation of natural
products provides a powerful means in solving supply problems in clinical trials
and marketing of the drug for obtaining natural products in bulk amounts. If the
structure is complex, it is often an impossible task to isolate enough of the
natural products for clinical trials. The microbial biotransformation of steroids
yielded several novel metabolites, exhibiting different activities. The
metabolites produced from pregnenolone acetate by Cunning hamella elegans and
Rhizopus stolonifer were screened against tyrosinase and cholinesterase showed
significant inhibitory activities than the parent compound. Diosgenin and its
transformed sarsasapogenin were screened for their acetyl cholinesterase and
butyryl cholinesterase inhibitory activities. Sarsasapogenin was screened for
phytotoxicity, and was found to be more active than the parent compound.
Diosgenin, prednisone and their derivatives were screened for their anti
leishmanial activity. All derivatives were found to be more active than the
parent compound. The biotransformation of steroids have been reviewed to a little
extent. This review focuses on the biotransformation and functions of selected
steroids, the classification, advantages and agents of enzymatic
biotransformation and examines the potential role of new enzymatically
transformed steroids and their derivatives in the chemoprevention and treatment
of other diseases. tyrosinase and cholinesterase inhibitory activities, severe
asthma, rheumatic disorders, renal disorders and diseases of inflammatory bowel,
skin, gastrointestinal tract.
PMID- 29360536
TI - Synthesis and cytotoxic effect of pregnenolone derivatives with one or two
alpha,beta-unsaturated carbonyls and an ester moiety at C-21 or C-3.
AB - Four series of pregnenolone derivatives having one or two alpha,beta-unsaturated
carbonyls and an ester moiety at C-21 or C-3 were synthetized to compare their
cytotoxicity effect. The final compounds were evaluated on three human cancer
cell lines: PC-3 (prostate cancer), MCF-7 (breast cancer), SKLU-1 (lung cancer)
and a noncancerous cell line HGF (human gingival fibroblast). Two steroids with a
4-fluorinated benzoic acid ester at C-21 were the most active against lung cancer
cell line with IC50 of 13.1 +/- 1.2 and 12.8 +/- 0.5 MUM and showed a low
percentage of cytotoxicity for noncancerous cells (27.63 +/- 2.3 and 18.39 +/-
1.2% in the screening at 50 MUM).
PMID- 29360537
TI - Photoprotection of ultraviolet-B filters: Updated review of endocrine disrupting
properties.
AB - The Ultraviolet (UV) radiation is emitted by the sun and is part of the
electromagnetic spectrum. There are three types of UV rays (UV-A, UV-B and UV-C),
however only UV-A and UV-B have biologic effects in humans, with UV-B radiation
being primarily responsible for these effects. Among the measures of
photoprotection advised by the health authorities, the topical application of
sunscreens (containing UV-B filters) is the preferred worldwide. Currently,
octylmethoxycinnamate (OMC) is the most commonly used UV-B filter in sunscreens.
Their application has proven to be effective in preventing burns, but its
efficiency against melanoma continues under intense controversy. Studies have
shown that OMC behaves like an endocrine disruptor, altering the normal
functioning of organisms. However, few studies have evaluated their multiple
hormonal activities. Some studies suggest that the OMC exerts an estrogenic, anti
androgenic, anti-progestenic and anti-thyroid activity. But, through what
mechanisms? In humans, few studies were performed, and some questions remain
unclear. Thus, the purpose of this review is to present the multiple hormonal
activities established for the OMC, making a critical analysis and relationship
between the effects in cells, animals and humans.
PMID- 29360538
TI - CCRK is a novel signalling hub exploitable in cancer immunotherapy.
AB - Cyclin-dependent kinase 20 (CDK20), or more commonly referred to as cell cycle
related kinase (CCRK), is the latest member of CDK family with strong linkage to
human cancers. Accumulating studies have reported the consistent overexpression
of CCRK in cancers arising from brain, colon, liver, lung and ovary. Such
aberrant up-regulation of CCRK is clinically significant as it correlates with
tumor staging, shorter patient survival and poor prognosis. Intriguingly, the
signalling molecules perturbed by CCRK are divergent and cancer-specific,
including the cell cycle regulators CDK2, cyclin D1, cyclin E and RB in
glioblastoma, ovarian carcinoma and colorectal cancer, and KEAP1-NRF2
cytoprotective pathway in lung cancer. In hepatocellular carcinoma (HCC), CCRK
mediates virus-host interaction to promote hepatitis B virus-associated
tumorigenesis. Further mechanistic analyses reveal that CCRK orchestrates a self
reinforcing circuitry comprising of AR, GSK3beta, beta-catenin, AKT, EZH2, and NF
kappaB signalling for transcriptional and epigenetic regulation of oncogenes and
tumor suppressor genes. Notably, EZH2 and NF-kappaB in this circuit have been
recently shown to induce IL-6 production to facilitate tumor immune evasion.
Concordantly, in a hepatoma preclinical model, ablation of Ccrk disrupts the
immunosuppressive tumor microenvironment and enhances the therapeutic efficacy of
immune checkpoint blockade via potentiation of anti-tumor T cell responses. In
this review, we summarized the multifaceted tumor-intrinsic and -extrinsic
functions of CCRK, which represents a novel signalling hub exploitable in cancer
immunotherapy.
PMID- 29360539
TI - Discovery of Leonuri and therapeutical applications: From bench to bedside.
AB - Despite several advances in percutaneous coronary intervention and the discovery
of new drugs, the incidence of myocardial infarction and deaths due to
cardiovascular diseases (CVD) has not decreased markedly in China. The quality of
life is affected seriously, which further results in great social and family
burden. Many drugs, from the century-old aspirin to the newly FDA-approved
Byvalson, have been proven to be effective in the treatment and prevention of
CVD. As clinically reported, those life-saving drugs still have their side
effects in regards to the narrow therapeutic indexes influenced by individual
genetic variations. Herba Leonuri, also known as Chinese Motherwort, which are
naturally present in plants and traditionally are used for the uterotonic action,
postpartum blood stasis, breast pain as well as other gynecological disorders in
China for thousands of years. Since the last two decades, our group has reported
leonurine, a unique alkaloid found in Herba Leonuri, exhibits various
bioactivities such as antioxidant, anti-apoptotic effects, free radical
scavenging and anti-inflammatory effects, in addition to improving micro
circulation. These bioactivities are related to the underlying mechanisms of
ischemic heart diseases and cardiac fibrosis. Pharmacological studies have proven
leonurine to be effective in treating CVD in various ways, particularly ischemic
heart diseases. Besides the cardio protective effects, which are similar in the
central nervous system, more specifically, inhibited mitochondrial reactive
oxygen species production together with the restored mitochondrial function and
redox state were observed in middle cerebral artery occlusion rats by leonurine
treatment, which strongly reveals its neuroprotective effects and carries a
therapeutic potential for recovery and prevention of stroke. Based on their mode
of action, we propose that leonurine can be developed as drugs to treat ischemic
heart diseases. Taking advantage of the most recent findings in pharmacological
research including the effects of low toxicity and good pharmacokinetics
characteristics, leonurine has a very attractive prospect of clinical
application. Our recent promising pharmacological results may be able to
eradicate the barrier hindering its sale on market. In sum, from bench to bedside
is no longer a long way for leonurine.
PMID- 29360541
TI - Methionine hydroxy analogue supplementation modulates gill immunological and
barrier health status of grass carp (Ctenopharyngodon idella).
AB - This study was conducted to investigate the effects of methionine hydroxy
analogue (MHA) on the physical barrier and immune defence in the gill of young
grass carp (Ctenopharyngodon idella). A total 630 young grass carp with an
average initial weight of 259.70 +/- 0.47 g were fed graded levels of MHA (0,
2.4, 4.4, 6.4, 8.5 and 10.5 g/kg diet) and one DL-methionine (DLM) group (6.4
g/kg diet) for 8 weeks. After feeding trial, 15 fish from each treatment were
challenged with Flavobacterium columnare. Compared to the basal diet, optimal MHA
improved cellular structure integrity of gill via repressing death receptor and
mitochondria pathways induced apoptosis, which might be related to the down
regulation of c-Jun-N-terminal kinase mRNA levels (P < .05). Simultaneously,
optimal MHA supplementation improved cellular structure integrity of gill via
elevating glutathione contents, antioxidant enzymes activities and corresponding
isoforms mRNA levels to attenuate oxidative damage, which might be to the up
regulation of NF-E2-related factor 2 mRNA levels and down-regulation of Kelch
like ECH-associating protein 1a mRNA levels (P < .05). Besides, optimal MHA
improved intercellular structure integrity of immune organs via up-regulating the
mRNA levels of intercellular tight junctions-related genes, which might be owing
to the down-regulation of myosin light chain kinase (MLCK) mRNA levels (P < .05).
Summarily, MHA could improve the physical barrier of fish gill. In addition,
optimal MHA supplementation increased lysozyme (LZ) and acid phosphatase (ACP)
activities, complement 3 (C3), C4 and immunoglobulin M contents and up-regulated
mRNA levels of liver-expressed antimicrobial peptide 2, hepcidin and beta
defensin, suggesting that MHA could enhance antimicrobial ability of fish gill.
Meanwhile, optimal MHA supplementation enhanced the immune defence of gill via
down-regulating pro-inflammatory cytokines mRNA levels and up-regulated anti
inflammatory cytokines mRNA levels, which might be attributed to the down
regulation of nuclear factor kappaB p65, c-Rel, IkappaB kinase beta, p38 mitogen
activated protein kinase, eIF4E-binding protein1 (4E-BP1) and 4E-BP2 mRNA levels
and up-regulation of inhibitor of kappaBalpha, ribosomal protein S6 kinase 1 and
target of rapamycin mRNA levels (P < .05). In conclusion, the positive effect of
MHA on gill health is associated with the improvement of the defence against
apoptosis, antioxidant status, tight junctions and immune defence of fish gill.
Meanwhile, MHA was superior to DLM on improving the physical barrier of fish
gill. For the direction to healthy breeding of young grass carp, the optimal MHA
supplementation levels on the premise of 4.01 g/kg methionine basal were
estimated by quadratic regression curve, such as 5.49, 6.17 and 6.02 g/kg diet
bases on the defence against gill-rot, malondialdehyde content and LZ activity in
the gill, respectively.
PMID- 29360542
TI - Growth performance, blood health, antioxidant status and immune response in red
sea bream (Pagrus major) fed Aspergillus oryzae fermented rapeseed meal (RM
Koji).
AB - This study evaluated the effects of dietary substitution of fishmeal by graded
levels of a blend composed of Aspergillus oryzae fermented rapeseed meal [0%
(RM0), 25% (RM25), 50% (RM50), 75% (RM75) and 100% (RM100)] on growth
performance, haemato-immunological responses and antioxidative status of Pagrus
major (average weight 5.5 +/- 0.02 g). After 56 days, growth performances were
significantly improved in fish fed RM25 diet compared to control (P < 0.05).
Meanwhile, up to 50% replacement of fishmeal did not affect growth performance,
feed conversion efficiency, protein efficiency ratio, protein apparent
digestibility, protease activity, fish somatic indices and survival compared to
control. While blood hematocrit and plasma protein were significantly enhanced in
groups fed RM0 and RM25 diets, most of the hematological parameters did not
change through the trial except glutamic pyruvate transaminase which was
significantly increased in RM75 and RM100 groups and blood cholesterol which was
gradually decreased with the increasing level of the blend. Interestingly,
feeding fish with RM25 and RM50 diets significantly showed enhanced lysozyme,
bactericidal and peroxidase activities and fish fed the same diets showed high
resistance against oxidative stress (biological antioxidant potential and
reactive oxygen metabolites). Additionally, catalase activity and tolerance
against low salinity seawater were higher in fish fed RM25 diet. These findings
suggested that, at a moderate level (25% and 50%), substitution of fishmeal by
the fermented rapeseed meal promoted growth, nutrient utilization, and exerted
immune responses and anti-oxidative effects in red sea bream.
PMID- 29360540
TI - The sigma-1 receptor as a regulator of dopamine neurotransmission: A potential
therapeutic target for methamphetamine addiction.
AB - Methamphetamine (METH) abuse is a major public health issue around the world, yet
there are currently no effective pharmacotherapies for the treatment of METH
addiction. METH is a potent psychostimulant that increases extracellular dopamine
levels by targeting the dopamine transporter (DAT) and alters neuronal activity
in the reward centers of the brain. One promising therapeutic target for the
treatment of METH addiction is the sigma-1 receptor (sigma1R). The sigma1R is an
endoplasmic reticulum-localized chaperone protein that is activated by cellular
stress, and, unique to this chaperone, its function can also be induced or
inhibited by different ligands. Upon activation of this unique "chaperone
receptor", the sigma1R regulates a variety of cellular functions and possesses
neuroprotective activity in the brain. Interestingly, a variety of sigma1R
ligands modulate dopamine neurotransmission and reduce the behavioral effects of
METH in animal models of addictive behavior, suggesting that the sigma1R may be a
viable therapeutic target for the treatment of METH addiction. In this review, we
provide background on METH and the sigma1R as well as a literature review
regarding the role of sigma1Rs in modulating both dopamine neurotransmission and
the effects of METH. We aim to highlight the complexities of sigma1R pharmacology
and function as well as the therapeutic potential of the sigma1R as a target for
the treatment of METH addiction.
PMID- 29360543
TI - Coupling corticotropin-releasing-hormone and angiotensin converting enzyme 2
dampens stress responsiveness in male mice.
AB - This study used mice to evaluate whether coupling expression of corticotropin
releasing hormone (CRH) and angiotensin converting enzyme 2 (ACE2) creates
central interactions that blunt endocrine and behavioral responses to psychogenic
stress. Central administration of diminazene aceturate, an ACE2 activator, had no
effect on restraint-induced activation of the hypothalamic-pituitary-adrenal
(HPA) axis; however, mice that ubiquitously overexpress ACE2 had reduced plasma
corticosterone (CORT) and pituitary expression of POMC mRNA. The Cre-LoxP system
was used to restrict ACE2 overexpression to CRH synthesizing cells and probe
whether HPA axis suppression was the result of central ACE2 and CRH interactions.
Within the paraventricular nucleus of the hypothalamus (PVN), mice with ACE2
overexpression directed to CRH had a ~2.5 fold increase in ACE2 mRNA, which co
localized with CRH mRNA. Relative to controls, mice overexpressing ACE2 in CRH
cells had a decreased CORT response to restraint as well as decreased CRH mRNA in
the PVN and CEA and POMC mRNA in the pituitary. Administration of ACTH similarly
increased plasma CORT, indicating that the blunted HPA axis activation that
accompanies ACE2 overexpression in CRH cells is centrally mediated. Anxiety-like
behavior was assessed to determine whether the decreased HPA axis activation was
predictive of anxiolysis. Mice with ACE2 overexpression directed to CRH cells
displayed decreased anxiety-like behavior in the elevated plus maze and open
field when compared to that of controls. Collectively, these results suggest that
exogenous ACE2 suppresses CRH synthesis, which alters the central processing of
psychogenic stress, thereby blunting HPA axis activation and attenuating anxiety
like behavior.
PMID- 29360545
TI - Structural characterization and antioxidant activity of polysaccharide from
ginger.
AB - Two components ginger polysaccharide 1 (GP1) and ginger polysaccharide 2 (GP2)
were extracted. The results showed that the molecular weights of GP1 and GP2 were
6128 Da and 12,619 Da, respectively. The composition and proportion of GP1 and
GP2 were mannose, glucose and galactose in a molar ratio of 4.96: 92.24: 2.80 and
arabinose, mannose, glucose and galactose in a molar ratio of 4.78: 16.70: 61.77:
16.75, respectively, illustrating that GP1 and GP2 were not a kind of
homopolysaccharide. GP1 has a three-helix structure, and the structure is closely
linked. GP2 contains sulfuric acid groups, and has a high oxidation resistance,
its structure is more evacuated and messy.
PMID- 29360546
TI - Polyaniline/multiwall carbon nanotubes/starch nanocomposite material and
hemoglobin modified carbon paste electrode for hydrogen peroxide and glucose
biosensing.
AB - This paper describes the application of novel nanocomposite material
polyaniline/multiwall carbon nanotubes/starch (designated as PCS) as a good
electrode material for electrochemical sensing. The developed ternary composite
system has manifold interactions and synergistic improved properties - high
surface area, good electro-activity, conductivity, stable dispersion,
biocompatible, hydrophilic and hydrophobic regions, multifunctional, and
nanoturmeric shape morphology. Hydrogen peroxide biosensor was fabricated as a
model system using PCS and hemoglobin (HB) modified carbon paste electrode. PCS
and HB compatible and effectively communicate with each other, facilitate the
charge transfer (potassium ferricyanide used as electroactive marker). Thus, PCS
and HB (in combination) is a good electrode material for sensitive and selective
detection of peroxide. The developed biosensor showed a linear range (0.1 mM-5
mM, R2 = 0.9975), limit of detection (0.032 mM), sensitivity (76.43 MUA/mM cm2)
and long-term storage and stability. This material could be used a platform to
develop some other sensors by using other redox enzymes. As a model, we
incorporate glucose oxidase to detect Glucose. Thus, the favorable properties
indicate that the proposed material system suitable for low-cost sensor strips.
PMID- 29360544
TI - Circulating tumor cell-derived organoids: Current challenges and promises in
medical research and precision medicine.
AB - Traditional 2D cell cultures do not accurately recapitulate tumor heterogeneity,
and insufficient human cell lines are available. Patient-derived xenograft (PDX)
models more closely mimic clinical tumor heterogeneity, but are not useful for
high-throughput drug screening. Recently, patient-derived organoid cultures have
emerged as a novel technique to fill this critical need. Organoids maintain tumor
tissue heterogeneity and drug-resistance responses, and thus are useful for high
throughput drug screening. Among various biological tissues used to produce
organoid cultures, circulating tumor cells (CTCs) are promising, due to relative
ease of ascertainment. CTC-derived organoids could help to acquire relevant
genetic and epigenetic information about tumors in real time, and screen and test
promising drugs. This could reduce the need for tissue biopsies, which are
painful and may be difficult depending on the tumor location. In this review, we
have focused on advances in CTC isolation and organoid culture methods, and their
potential applications in disease modeling and precision medicine.
PMID- 29360547
TI - Properties of PHA bi-, ter-, and quarter-polymers containing 4-hydroxybutyrate
monomer units.
AB - The present study investigates physicochemical, mechanical, and biological
properties of polyhydroxyalkanoate (PHA) copolymers containing 4-hydroxybutyrate
(4HB) synthesized in Cupriavidus eutrophus B10646 culture. In poly(3
hydroxybutyrate/4-hydroxybutyrate) [P(3HB/4HB)] bipolymers, 4HB varied between
10.4 and 75.0 mol%; in poly(3-hydroxybutyrate/3-hydroxyvalerate/4
hydroxybutyrate) terpolymers, 4HB constituted 28.7-55.6 mol%; and in poly(3
hydroxybutyrate/3-hydroxyvalerate/4-hydroxybutyrate/3-hydroxyhexanoate)
quaterpolymers, 4HB varied between 9.3 and 13.3 mol%. The degree of crystallinity
of P(3HB/4HB) copolymers decreased consistently with an increase in 4HB content,
reaching 38%. The incorporation of 3-hydroxyvalerate and 3-hydroxyhexanoate into
copolymers enhanced that effect. The effect of 4HB monomer units on temperature
properties of copolymers was exhibited as lowering of the melting temperature and
crystallization temperature, which improved the processing-related properties of
the copolymers. All copolymers containing 4HB showed enhanced elongation at break
compared to poly(3-hydroxybutyrate). Polymer films prepared from PHAs with
different chemical composition had similar microstructure and porosity and had no
toxic effect on mouse fibroblast NIH 3 T3 cells, proving their high
biocompatibility.
PMID- 29360548
TI - Inhibition of lysozyme fibrillogenesis by hydroxytyrosol and dopamine: An Atomic
Force Microscopy study.
AB - Protein aggregation underlies many human diseases characterized by the deposition
of normally soluble proteins in both fibrillar and amorphous aggregates. Here,
Atomic Force Microscopy (AFM) has been applied to investigate the ability to
inhibit hen egg white lysozyme (HEWL) fibrillogenesis by hydroxytyrosol (HT), one
of the main phenolic components of olive oil. In this framework, HEWL is a useful
and well-studied model protein whose amyloid-like fibril formation can be induced
under experimental conditions where HT is more stable. HEWL fibrils, obtained at
pH 1.6 and at 65 degrees C, exhibited a height of about 3 nm and a fibril length
on average of about 3 MUm. The presence of HT reduced the HEWL fibril number and
length with respect to the control sample. Interestingly, also dopamine, a
compound with a chemical structure similar to HT, decreased both the fibril
number and the fibril length. AFM experimental data were supported by Thioflavin
T assay and Fourier transform infrared spectroscopy. Our results show that HT is
an effective inhibitor of HEWL aggregation, thus suggesting possible future
applications of this natural compound for potential prevention or treatment of
amyloid diseases, or as a lead molecular structure for the design of improved
modulators.
PMID- 29360549
TI - Development and validation of a quantitative PCR assay for detection of Emydoidea
herpesvirus 1 in free-ranging Blanding's turtles (Emydoidea blandingii).
AB - Blanding's turtles (Emydoidea blandingii), an endangered species in Illinois,
have experienced range-wide declines because of habitat degradation and
fragmentation, predation, and road mortality. While ongoing studies are crucial
to a thorough understanding of the natural history and demographics in these
disjointed Illinois populations, infectious disease threats have been largely
unevaluated. Herpesvirus outbreaks have been associated with high morbidity and
mortality in populations of captive tortoises and turtles worldwide, including
the family Emydidae (pond and box turtles). However, novel herpesviruses
including Terrapene herpesvirus 1, Emydid herpesvirus 1 and 2, and Glyptemys
herpesvirus 1 and 2, have recently been identified in apparently healthy free
ranging freshwater turtles. In 2015, 20 free-ranging Blanding's turtles in DuPage
County, Illinois were screened for a herpesvirus using consensus PCR. A novel
herpesvirus species (Emydoidea herpesvirus 1; EBHV1) was identified in two
animals and shared a high degree of sequence homology to other freshwater turtle
herpesviruses. Two quantitative real-time PCR assays, using EBHV1 primer-1 and
primer-2, were developed to target an EBHV1-specific segment of the DNA-dependent
DNA polymerase gene and validated. Both assays performed with high efficiency
(slope = -3.2; R2 = 1), low intra-assay variability, and low inter-assay
variability (coefficient of variation <2% at all dilutions). However, EBHV1
primer-2 displayed less variation and was selected to test clinical samples and
five closely related herpesvirus control samples. Results indicate that this
assay is specific for EBHV1, has a linear range of detection from 108 to 101
viral copies per reaction, and can categorically detect as few as 1 viral copy
per reaction. This qPCR assay provides a valuable diagnostic tool for future
characterization of EBHV1 epidemiology.
PMID- 29360551
TI - Reply to: "The role of NAFLD in extrahepatic malignancies: The importance of
ruling out the effect of obesity".
PMID- 29360550
TI - Genomic characterization of biliary tract cancers identifies driver genes and
predisposing mutations.
AB - BACKGROUND & AIMS: Biliary tract cancers (BTCs) are clinically and pathologically
heterogeneous and respond poorly to treatment. Genomic profiling can offer a
clearer understanding of their carcinogenesis, classification and treatment
strategy. We performed large-scale genome sequencing analyses on BTCs to
investigate their somatic and germline driver events and characterize their
genomic landscape. METHODS: We analyzed 412 BTC samples from Japanese and Italian
populations, 107 by whole-exome sequencing (WES), 39 by whole-genome sequencing
(WGS), and a further 266 samples by targeted sequencing. The subtypes were 136
intrahepatic cholangiocarcinomas (ICCs), 101 distal cholangiocarcinomas (DCCs),
109 peri-hilar type cholangiocarcinomas (PHCs), and 66 gallbladder or cystic duct
cancers (GBCs/CDCs). We identified somatic alterations and searched for driver
genes in BTCs, finding pathogenic germline variants of cancer-predisposing genes.
We predicted cell-of-origin for BTCs by combining somatic mutation patterns and
epigenetic features. RESULTS: We identified 32 significantly and commonly mutated
genes including TP53, KRAS, SMAD4, NF1, ARID1A, PBRM1, and ATR, some of which
negatively affected patient prognosis. A novel deletion of MUC17 at 7q22.1
affected patient prognosis. Cell-of-origin predictions using WGS and epigenetic
features suggest hepatocyte-origin of hepatitis-related ICCs. Deleterious
germline mutations of cancer-predisposing genes such as BRCA1, BRCA2, RAD51D,
MLH1, or MSH2 were detected in 11% (16/146) of BTC patients. CONCLUSIONS: BTCs
have distinct genetic features including somatic events and germline
predisposition. These findings could be useful to establish treatment and
diagnostic strategies for BTCs based on genetic information. LAY SUMMARY: We here
analyzed genomic features of 412 BTC samples from Japanese and Italian
populations. A total of 32 significantly and commonly mutated genes were
identified, some of which negatively affected patient prognosis, including a
novel deletion of MUC17 at 7q22.1. Cell-of-origin predictions using WGS and
epigenetic features suggest hepatocyte-origin of hepatitis-related ICCs.
Deleterious germline mutations of cancer-predisposing genes were detected in 11%
of patients with BTC. BTCs have distinct genetic features including somatic
events and germline predisposition.
PMID- 29360552
TI - The role of NAFLD in extrahepatic malignancies: The importance of ruling out the
effect of obesity.
PMID- 29360553
TI - Endothelial JAK2V617F does not enhance liver lesions in mice with Budd-Chiari
syndrome.
PMID- 29360555
TI - Pilot study of EEG in neonates born to mothers with gestational diabetes
mellitus.
AB - BACKGROUND: The goal was to evaluate whether there was neurodevelopmental
deficits in newborns born to mothers with gestational diabetes mellitus (GDM)
compared to control newborns born to healthy mothers. METHODS: Forty-six pregnant
women (21 controls and 25 GDM) were recruited. Electroencephalogram (EEG) was
recorded in the newborns within 48 h after birth. The EEG signal was
quantitatively analyzed using power spectral density (PSD); coherence between
hemispheres was calculated in paired channels of frontal, temporal, central and
occipital regions. RESULTS: The left centro-occipital PSD in control newborns was
12% higher than in GDM newborns (p = 0.036) but was not significant after
adjustment for gestational age. While coherence was higher in the frontal regions
compared to the occipital regions (p < 0.001), there was no difference between
the groups for the fronto-temporal, frontal-central, centro-occipital and tempo
occipital regions. CONCLUSION: Our results support that EEG differences between
groups were mainly modified by gestational age and less by GDM status of the
mothers. However, there is a need to confirm this result with a higher number of
mother-newborns. Quantitative EEG in GDM newborns within 48 h after birth is
feasible. This study emphasizes the importance of controlling blood glucose
during GDM to protect infant brain development.
PMID- 29360556
TI - Dietary polyphenols: Structures, bioavailability and protective effects against
atherosclerosis.
AB - Epidemiological studies have demonstrated that nutritional habits, like those
based on high consumption of fruits and vegetables, have been associated with a
longer life expectancy and a significant decrease in the incidence and prevalence
of several chronic diseases with inflammatory basis, such as cardiovascular
diseases (CVD). This beneficial activity has been related to the content of
several bioactive compounds in fruit and vegetables, such as polyphenols. The
cardioprotective effects of polyphenols have been linked mainly to its
antioxidant properties; however, recent findings attribute its anti
atherosclerotic potential to modulate simultaneous signaling and mechanistic
pathways. Emerging data suggest that polyphenols can regulate cellular lipid
metabolism; vascular and endothelial function; haemostasis; as well as platelet
function; which represent primary conditions for atherosclerotic plaque formation
and development. This review presents the results of a selection of experimental
studies and clinical trials regarding the atheroprotective effects of the most
common dietary polyphenols.
PMID- 29360557
TI - Peroxiredoxins in inflammatory liver diseases and ischemic/reperfusion injury in
liver transplantation.
AB - Peroxiredoxins (Prxs) belong to the superfamily of thiol-dependent peroxidases,
and remove reactive oxygen species (ROS) and other oxidative stress products. The
expression and activity of Prxs can be substantially affected by stimuli from the
microenvironment, and in turn regulate cytokine secretion in the context of
inflammation in both peroxidase-dependent and -independent pathways. Prxs
translocate to mitochondria and are hyperoxidized during acute liver damage, and
attenuate intracellular ROS accumulation through their peroxidase activity. In
particularly, Prx1 modulates the microenvironment in liver injuries by reducing
adhesion molecule expression in vascular endothelial cells and inhibiting the
inflammatory response and adhesion of macrophages. Prxs have potent prosurvival
effects against ROS in ischemic/reperfusion (I/R) injury, but Prxs released from
necrotic cells increase secretion of inflammatory cytokines by macrophages
through TLR2 and 4 activation, which promotes cell death. Prxs can be used as
biomarkers to evaluate I/R injury and predict graft survival in liver
transplantation. Prxs are modulated in various types of chronic hepatitis and
hepatosteatosis, and mediate disease progression. Alcohol administration
increases oxidization and inactivation of Prxs in mice because of oxidative
stress. In conclusion, Prxs are essential mediators and biomarkers in
inflammatory liver diseases and I/R injury.
PMID- 29360554
TI - Circadian regulation of renal function.
AB - The kidneys regulate many vital functions that require precise control throughout
the day. These functions, such as maintaining sodium balance or regulating
arterial pressure, rely on an intrinsic clock mechanism that was commonly
believed to be controlled by the central nervous system. Mounting evidence in
recent years has unveiled previously underappreciated depth of influence by
circadian rhythms and clock genes on renal function, at the molecular and
physiological level, independent of other external factors. The impact of
circadian rhythms in the kidney also affects individuals from a clinical
standpoint, as the loss of rhythmic activity or clock gene expression have been
documented in various cardiovascular diseases. Fortunately, the prognostic value
of examining circadian rhythms may prove useful in determining the progression of
a kidney-related disease, and chronotherapy is a clinical intervention that
requires consideration of circadian and diurnal rhythms in the kidney. In this
review, we discuss evidence of circadian regulation in the kidney from basic and
clinical research in order to provide a foundation on which a great deal of
future research is needed to expand our understanding of circadian relevant
biology.
PMID- 29360558
TI - Dynamics of social behaviour at parturition in a gregarious ungulate.
AB - Group living is the behavioural response that results when individuals assess the
costs vs benefits of sociality, and these trade-offs vary across an animal's
life. Here we quantitatively assess how periparturient condition (mother/non
mother) and births affect the dynamics of social interactions of a gregarious
ungulate, and how such can help to explain evolutionary hypotheses of the mother
offspring bond. To achieve this we used data of the individual movement of a
group of Scottish blackface sheep (Ovis aries) marked with GPS collars and
properties of mathematical graphs (networks). Euclidean pair-wise distance
between sheep were threshold at different percentiles to determine network links,
and these thresholds have a profound effect on the connectivity of the resulting
network. Births increased the average pair-wise distance between mothers, and
between mothers and non-mothers, with less effect on the distance between non
mothers. Mothers occupied peripheral positions within the flock, more evident
following births. Associations between individuals (i.e. network community
change) were highly dynamic, though mothers were less likely to change community
than non-mothers, especially after births. Births hampered individual
communication within the flock (assessed via network closeness centrality),
especially in mothers. Overall leadership (lead positioning relative to flock
movement) was not associated to reproductive condition, and individual leadership
rank was not affected by births. A ten minute GPS acquisition time was adequate
to capture complex social dynamics in sheep movement. The results on mother's
isolation behaviour support the hypotheses of selection for maternal imprint
facilitation, reducing risks to nursing alien offspring, and group/multilevel
selection on group formation.
PMID- 29360559
TI - A mapping of 115,000 randomized trials revealed a mismatch between research
effort and health needs in non-high-income regions.
AB - BACKGROUND: Concerns exist as to whether the allocation of resources in clinical
research is aligned with public health needs. We evaluated the alignment between
the effort of clinical research through the conduct of randomized controlled
trials (RCTs) and health needs measured as the burden of diseases for all regions
and a broad range of diseases. METHODS: We grouped countries into seven regions
and diseases into 27 groups. We mapped all RCTs initiated between 2006 and 2015
that were registered at the WHO International Clinical Trials Registry Platform
to regions and diseases. The burden of diseases in 2005 was mapped as disability
adjusted life years (DALYs), based on the 2010 Global Burden of Diseases study.
Within regions, we defined a research gap when the proportion of RCTs concerning
a disease in the region was less than half the relative burden of the disease.
RESULTS: We mapped 117,180 RCTs planning to enroll 42.6 million patients and
2,220 million DALYs. In high- versus non-high-income countries, 130.9 versus 6.9
RCTs per million DALYs were conducted. We did not identify any research gap in
high-income countries. We identified research gaps for all other regions. In
particular, for Sub-Saharan Africa, we identified research gaps for common
infectious diseases (CID) and neonatal disorders (ND): 5.8% (95% uncertainty
interval 4.7-6.9) and 2.0% (0.9-4.5) of RCTs in Sub-Saharan Africa concerned CID
and ND, although these diseases represented 22.9% and 11.6% of the burden in the
region, respectively. For South Asia, we identified research gaps for the same
two groups of diseases. CONCLUSIONS: In non-high-income regions, the conduct of
RCTs was misaligned with the distribution of major causes of burden, in
particular infectious diseases and neonatal disorders in Sub-Saharan Africa and
South Asia.
PMID- 29360560
TI - Dual-responsive lidocaine in situ gel reduces pain of intrauterine device
insertion.
AB - The most effective and safe contraceptive method, intrauterine devices (IUDs), is
still underutilized due to the pain barrier during IUD insertion. Lidocaine, a
well-known local anesthetic, can be used to relieve IUD insertion pain. This
study aimed at formulation, in vitro, in vivo and clinical evaluation of a novel
lidocaine dual-responsive in situ gel. Pluronic and Gelrite(r) were used as
thermosenstive and ion-activated polymers, respectively. In situ gels containing
2% lidocaine, pluronics and/or Gelrite(r) were prepared. The optimized dual
responsive formula (F5) was clear, with 95% drug content, free flowing at room
temperature and gel at vaginal temperature (Tgel of 28 degrees C). This
optimized dual-responsive in situ gel was found to be superior to single
responsive one due to presence of Gelrite(r), imparting resistance to dilution
effect of simulated vaginal fluids. DSC thermograms revealed no interaction
between formulation components. Biocompatibility study showed no degeneration,
necrosis or inflammation. Optimized dual-responsive in situ gel was further
evaluated for pain reduction efficiency via a pilot randomized, double-blinded,
placebo-controlled clinical trial showing ease of self-administeration by
patients and significant pain reduction induced at all steps of IUD insertion. In
conclusion, lidocaine dual-responsive in situ gel can be effectively used in
prevention of pain during IUD insertion.
PMID- 29360561
TI - Epigenetic and epitranscriptomic changes in colorectal cancer: Diagnostic,
prognostic, and treatment implications.
AB - A cancer cell is the final product of a complex mixture of genetic, epigenetic
and epitranscriptomic alterations, whose final interplay contribute to cancer
onset and progression. This is specifically true for colorectal cancer, a tumor
with a strong epigenetic component, which acts earlier than any other genetic
alteration in promoting cancer cell malignant transformation. The pattern of
progressive, and usually subtype-specific, DNA and histone modifications that
occur in colorectal cancer has been extensively studied in the last decade,
providing plenty of data to explore. For this tumor, it became recently evident
that also RNA modifications play a relevant role in the activation of oncogenes
or repression of tumor suppressor genes. In this review we provide a brief
overview of all epigenetic and epitranscriptomic changes that have been found
associated to colorectal cancer till now. We explore the impact of these
alterations in cancer prognosis and response to treatment and discuss their
potential use as cancer biomarkers.
PMID- 29360562
TI - Haptic recognition memory following short-term visual deprivation: Behavioral and
neural correlates from ERPs and alpha band oscillations.
AB - In the current study, we investigated the effects of short-term visual
deprivation (2 h) on a haptic recognition memory task with familiar objects.
Behavioral data, as well as event-related potentials (ERPs) and induced event
related oscillations (EROs) were analyzed. At the behavioral level, deprived
participants showed speeded reaction times to new stimuli. Analyses of ERPs
indicated that starting from 1000 ms the recognition of old objects elicited
enhanced positive amplitudes only for the visually deprived group. Visual
deprivation also influenced EROs. In this sense, we observed reduced power in the
lower-1 alpha band for the processing of new compared to old stimuli between 500
and 750 ms. Overall, our data showed improved haptic recognition memory after a
short period of visual deprivation. These effects were thought to reflect a
compensatory mechanism that might have developed as an adaptive strategy for
dealing with the environment when visual information is not available.
PMID- 29360564
TI - Prenatal mercury exposure and birth weight.
AB - Adverse effects of prenatal mercury exposure on pregnancy outcomes remain a
public health concern. We assessed the relationship between prenatal mercury
exposure and newborn anthropometric characteristics in 334 mother-child pairs
from the early stages of pregnancy to delivery in Tokyo, Japan, between December
2010 and October 2012. We found a negative correlation between blood mercury
levels during the first and second trimesters of gestation and birth weight (r =
0.134 and -0.119, respectively; p < 0.05). Multiple linear regression analysis
confirmed the relationship between first-trimester maternal blood mercury levels
and birth weight when adjusted for independent variables (beta = -0.170, t =
2.762; p = 0.006). Mean mercury levels in umbilical cord blood were twice as high
as maternal blood levels (10.15 +/- 7.74 and 4.97 +/- 3.25 MUg/L, respectively; r
= 0.974, p < 0.001). Our findings suggest that pregnant women and women of
reproductive age should avoid mercury exposure, even at low levels, because of
its potentially adverse effects on fetal development.
PMID- 29360563
TI - Adrenergic receptor stimulation suppresses oxidative metabolism in isolated rat
islets and Min6 cells.
AB - Insulin secretion is stimulated by glucose metabolism and inhibited by
catecholamines through adrenergic receptor stimulation. We determined whether
catecholamines suppress oxidative metabolism in beta-cells through adrenergic
receptors. In Min6 cells and isolated rat islets, epinephrine decreased oxygen
consumption rates compared to vehicle control or co-administration of epinephrine
with alpha2-adrenergic receptor antagonist yohimbine. Epinephrine also decreased
forskolin-stimulated oxygen consumption rates, indicating cAMP dependent and
independent actions. Furthermore, glucose oxidation rates were decreased with
epinephrine, independent of the exocytosis of insulin, which was blocked with
yohimbine. We evaluated metabolic targets through proteomic analysis after 4 h
epinephrine exposure that revealed 466 differentially expressed proteins that
were significantly enriched for processes including oxidative metabolism, protein
turnover, exocytosis, and cell proliferation. These results demonstrate that
acute alpha2-adrenergic stimulation suppresses glucose oxidation in beta-cells
independent of nutrient availability and insulin exocytosis, while cAMP
concentrations are elevated. Proteomics and immunoblots revealed changes in
electron transport chain proteins that were correlated with lower metabolic
reducing equivalents, intracellular ATP concentrations, and altered mitochondrial
membrane potential implicating a new role for adrenergic control of mitochondrial
function and ultimately insulin secretion.
PMID- 29360565
TI - Pathogenesis and inflammatory response in experimental caprine mastitis due to
Staphylococcus chromogenes.
AB - Coagulase-negative staphylococci (CNS) are the most frequently isolated bacteria
in cases of subclinical mastitis in dairy cows. CNS species may differ in their
pathogenicity, but very little is known about their virulence factors or their
immune response in intramammary infections. To our knowledge, no experimental
studies into the mastitis pathogenesis caused by CNS have been described in
lactating goats. The aim of this study was to induce an experimentally
Staphylococcus chromogenes mastitis in lactating goats aimed at verifying if the
model can be used to evaluate the inflammatory response, the dynamics of
infection and the pathological findings within the first hours of intramammary
inoculation. Six Saanen goats in mid-lactation were inoculated with 1 * 107
colony forming units of S. chromogenes. Bacterial growth peaked in milk from the
challenged right halves of the mammary glands (RMG) at 4 h post inoculation (PI).
Shedding of viable bacteria showed a marked decrease at 12 h PI. An increase in
mean somatic cell counts was observed in the milk samples from 8 h PI onwards.
Mild clinical signs were evoked by intramammary inoculation. Staphylococcus
chromogenes could be isolated in tissue from all RMG. Histological examination of
specimens of the RMG and lymph nodes of the goats showed an increased
inflammatory response throughout the experiment with respect to control halves.
In conclusion, the experimental inoculation of S. chromogenes in lactating goats
is capable of eliciting an inflammatory response and capable of causing
pathological changes. This research represents a preliminary study for a better
knowledge of the mastitis pathogenesis caused by S. chromogenes.
PMID- 29360566
TI - Black Zira essential oil: Chemical compositions and antimicrobial activity
against the growth of some pathogenic strain causing infection.
AB - The aim of this study was to perform chemical compositions and phytochemical
analysis of Black Zira essential oil and other goal of this research was to
investigate the antimicrobial effects of Black Zira essential oil against
Enterobacter aerogenes, Pseudomonas aeruginosa, Escherichia coli, Shigella
flexneri, Staphylococcus epidermidis, Streptococcus pyogenes and Candida
albicans. Black Zira essential oil was extracted by hydrodistillation method
using clevenger apparatus. Black Zira essential oil chemical composition was
identified through gas chromatography/mass spectrometry. gamma-terpinene with a
percentage of 24.8% was the major compound of Black Zira essential oil. The
antimicrobial effect Black Zira essential oil was evaluated by several
qualitative and quantitative methods (disk diffusion, well diffusion,
microdilution broth, agar dilution and minimum bactericidal/fungicidal
concentration). Phytochemical analysis Black Zira essential oil were appraised
based on qualitative methods. Antioxidant activity (2,2-diphenyl-1-picrylhydrazyl
and beta-carotene/linoleic acid inhibition) and total phenolic content (Folin
Ciocalteu) were examined. The results of phytochemical analysis of Black Zira
essential oil showed the existence of phenolic, flavonoids, saponins, alkaloids
and tannins. The total phenolic content and antioxidant activity (reported as
IC50) of Black Zira essential oil were equal to 120.50 +/- 0.50 mg GAE/g and
11.55 +/- 0.25 MUg/ml, respectively. The MIC of the Black Zira essential oil
ranged from 1 mg/ml to 8 mg/ml, while its MBC and MFC ranged from 1 mg/ml to 16
mg/ml. The results presented that the longest and the shortest inhibition zone
diameter at the concentration of 8 mg/ml pertained to C. albicans and E.
aerogenes, respectively.
PMID- 29360567
TI - Frequency of virulence factors in high biofilm formation blaKPC-2 producing
Klebsiella pneumoniae strains from hospitals.
AB - The aim of this study is to determine the frequency of virulence genes in high
biofilm formation blaKPC-2 producing Klebsiella pneumoniae strains collected over
a period of two years. A total of 43 non-repetitive high biofilm blaKPC-2
producing isolates were screened from 429 strains. The MIC of carbapenems
(imipenem and meropenem) ranged from 4 to 32 MUg/ml. The OD595 value of the
biofilm ranged from 0.56 to 2.56. The K1, K2, K5, K20, K54, K57 genotypes, MLST
and virulence factors, including entB, ybtS, mrkD, fimH, rmpA, allS, iutA, kfu,
wcaG, aerobaction, fecIRA, shiF, magA and pagO gene, were determined by PCR. The
results showed that, among the 43 isolates, 5 of 43 were K1 type, 25 of 43 were
K2 type, 4 strains and 2 strains were K5 and K57 respectively. The MLST results
showed that 23/43 strains were ST11, followed by ST433(4/43), ST107(4/43),
ST690(4/43), ST304(2/43), ST2058(1/43), ST1(1/43), ST146(1/43), ST914(1/43),
ST2636(1/43), ST2637(1/43). As to the virulence factors, all 43 strains carried
entB, ybtS and mrkD gene, followed by fimH(38/43), rmpA(14/43), allS(34/43),
iutA(27/43), kfu(25/43), wcaG(21/43), aerobaction(16/43), fecIRA(15/43),
shiF(10/43), magA(5/43) and pagO(5/43). This study demonstrated that high
frequency of virulence factors emerging in high biofim blaKPC-2 producing
strains. It also suggested that we should continue to focus on the toxicity
variation and it's high time to enhance clinical awareness to the infections
causing by Klebsiella pneumoniae.
PMID- 29360568
TI - Mitochondrial fragmentation in human macrophages attenuates palmitate-induced
inflammatory responses.
AB - Macrophages in adipose tissue contribute to inflammation and the development of
insulin resistance in obesity. Exposure of macrophages to saturated fatty acids
alters cell metabolism and activates pro-inflammatory signaling. How fatty acids
influence macrophage mitochondrial dynamics is unclear. We investigated the
mechanism of palmitate-induced mitochondrial fragmentation and its impact on
inflammatory responses in primary human macrophages. Fatty acids, such as
palmitate, caused mitochondrial fragmentation in human macrophages. Increased
mitochondrial fragmentation was also observed in peritoneal macrophages from
hyperlipidemic apolipoprotein E knockout mice. Fatty acid-induced mitochondrial
fragmentation was independent of the fatty acid chain saturation and required
dynamin-related protein 1 (DRP1). Mechanistically, mitochondrial fragmentation
was regulated by incorporation of palmitate into mitochondrial phospholipids and
their precursors. Palmitate-induced endoplasmic reticulum stress and loss of
mitochondrial membrane potential did not contribute to mitochondrial
fragmentation. Macrophages treated with palmitate maintained intact mitochondrial
respiration and ATP levels. Pharmacological or genetic inhibition of DRP1
enhanced palmitate-induced mitochondrial ROS production, c-Jun phosphorylation,
and inflammatory cytokine expression. Our results indicate that mitochondrial
fragmentation is a protective mechanism attenuating inflammatory responses
induced by palmitate in human macrophages.
PMID- 29360569
TI - Self-fitting shape memory polymer foam inducing bone regeneration: A rabbit
femoral defect study.
AB - Although tissue engineering has been attracted greatly for healing of critical
sized bone defects, great efforts for improvement are still being made in
scaffold design. In particular, bone regeneration would be enhanced if a scaffold
precisely matches the contour of bone defects, especially if it could be
implanted into the human body conveniently and safely. In this study,
polyurethane/hydroxyapatite-based shape memory polymer (SMP) foam was fabricated
as a scaffold substrate to facilitate bone regeneration. The minimally invasive
delivery and the self-fitting behavior of the SMP foam were systematically
evaluated to demonstrate its feasibility in the treatment of bone defects in
vivo. Results showed that the SMP foam could be conveniently implanted into bone
defects with a compact shape. Subsequently, it self-matched the boundary of bone
defects upon shape-recovery activation in vivo. Micro-computed tomography
determined that bone ingrowth initiated at the periphery of the SMP foam with a
constant decrease towards the inside. Successful vascularization and bone
remodeling were also demonstrated by histological analysis. Thus, our results
indicate that the SMP foam demonstrated great potential for bone regeneration.
PMID- 29360570
TI - The Role of Inflammation in the Pain, Fatigue, and Sleep Disturbance Symptom
Cluster in Advanced Cancer.
AB - CONTEXT: Symptom researchers have proposed a model of inflammatory cytokine
activity and dysregulation in cancer to explain co-occurring symptoms including
pain, fatigue, and sleep disturbance. OBJECTIVES: We tested the hypothesis that
psychological stress accentuates inflammation and that stress and inflammation
contribute to one's experience of the pain, fatigue, and sleep disturbance
symptom cluster (symptom cluster severity, symptom cluster distress) and its
impact (symptom cluster interference with daily life, quality of life). METHODS:
We used baseline data from a symptom cluster management trial. Adult participants
(N = 158) receiving chemotherapy for advanced cancer reported pain, fatigue, and
sleep disturbance on enrollment. Before intervention, participants completed
measures of demographics, perceived stress, symptom cluster severity, symptom
cluster distress, symptom cluster interference with daily life, and quality of
life and provided a blood sample for four inflammatory biomarkers (interleukin
1beta, interleukin-6, tumor necrosis factor-alpha, and C-reactive protein).
RESULTS: Stress was not directly related to any inflammatory biomarker. Stress
and tumor necrosis factor-alpha were positively related to symptom cluster
distress, although not symptom cluster severity. Tumor necrosis factor-alpha was
indirectly related to symptom cluster interference with daily life, through its
effect on symptom cluster distress. Stress was positively associated with symptom
cluster interference with daily life and inversely with quality of life. Stress
also had indirect effects on symptom cluster interference with daily life,
through its effect on symptom cluster distress. CONCLUSION: The proposed
inflammatory model of symptoms was partially supported. Investigators should test
interventions that target stress as a contributing factor in co-occurring pain,
fatigue, and sleep disturbance and explore other factors that may influence
inflammatory biomarker levels within the context of an advanced cancer diagnosis
and treatment.
PMID- 29360571
TI - Communicating Caregivers' Challenges With Cancer Pain Management: An Analysis of
Home Hospice Visits.
AB - CONTEXT: Family caregivers (FCGs) of hospice cancer patients face significant
challenges related to pain management. Addressing many of these challenges
requires effective communication between FCGs and hospice nurses, yet little
empirical evidence exists on the nature of communication about pain management
between hospice nurses and FCGs. OBJECTIVES: We identified ways in which FCGs of
hospice cancer patients communicated their pain management challenges to nurses
during home visits and explored nurses' responses when pain management concerns
were raised. METHODS: Using secondary data from audio recordings of hospice
nurses' home visits, a deductive content analysis was conducted. We coded
caregivers' pain management challenges and immediate nurses' responses to these
challenges. RESULTS: From 63 hospice nurse visits, 101 statements describing
caregivers' pain management challenges were identified. Thirty percent of these
statements pertained to communication and teamwork issues. Twenty-seven percent
concerned caregivers' medication skills and knowledge. In 52% of the cases,
nurses responded to caregivers' pain management challenges with a validating
statement. They provided information in 42% of the cases. Nurses did not address
14% of the statements made by caregivers reflecting pain management challenges.
CONCLUSION: To optimize hospice patients' comfort and reduce caregivers' anxiety
and burden related to pain management, hospice nurses need to assess and address
caregivers' pain management challenges during home visits. Communication and
educational tools designed to reduce caregivers' barriers to pain management
would likely improve clinical practice and both patient- and caregiver-related
outcomes.
PMID- 29360572
TI - Secreted frizzled related protein 4 (sFRP4) update: A brief review.
AB - Secreted frizzled-related proteins control a multitude of biological phenomena
throughout development and adult life in humans. In parallel, aberrant gene
expression and abnormal secreted protein levels accompany a wide range of
pathologies in humans. In this review, we provide a brief introduction to sFRP4,
an update of the pathways it's involved, its various physiological actions that
are reported to contribute to diseases, outlining the importance of its wider
research and specific modulation by pharmacologic interventions. First recognized
as a novel molecule that co-purified with a disparate protein, its identity was
based on its sequence homology to the frizzled receptors. Once multiple members
of the family were cloned, their genetic loci, tissue and subcellular
distributions were located. Nucleotide and amino acid sequences were
characterized and homology to different organisms was found to be present that
helped elucidate their actions. Following subsequent experimental studies, they
were found to be secreted proteins with an affinity to bind to the Wnt ligands,
participating in different developmental and adult homeostatic pathways by the
virtue of their regulatory function to the Wnt signal transduction system.
Secreted frizzled related protein 4 has garnered considerable attention in the
recent years following breakthrough discoveries implicating them in the
pathogenesis of various diseases. Studies investigating them can provide
information not only regarding their association with a disease but can also help
use them as potential biomarkers and therapeutic targets.
PMID- 29360574
TI - Investigating the effects of a penetrating vessel occlusion with a multi-scale
microvasculature model of the human cerebral cortex.
AB - The effect of the microvasculature on observed clinical parameters, such as
cerebral blood flow, is poorly understood. This is partly due to the gap between
the vessels that can be individually imaged in humans and the microvasculature,
meaning that mathematical models are required to understand the role of the
microvasculature. As a result, a multi-scale model based on morphological data
was developed here that is able to model large regions of the human
microvasculature. From this model, a clear layering of flow (and 1-dimensional
depth profiles) was observed within a voxel, with the flow in the
microvasculature being driven predominantly by the geometry of the penetrating
vessels. It also appears that the pressure and flow are decoupled, both in
healthy vasculatures and in those where occlusions have occurred, again due to
the topology of the penetrating vessels shunting flow between them. Occlusion of
a penetrating arteriole resulted in a very high degree of overlap of blood
pressure drop with experimentally observed cell death. However, drops in blood
flow were far more widespread, providing additional support for the theory that
pericyte controlled regulation on the capillary scale likely plays a large part
in the perfusion of tissue post-occlusion.
PMID- 29360573
TI - Fluid intelligence and gross structural properties of the cerebral cortex in
middle-aged and older adults: A multi-occasion longitudinal study.
AB - According to Parieto-Frontal Integration Theory (P-FIT, Jung and Haier, 2007),
individual differences in a circumscribed set of brain regions account for
variations in general intelligence (g). The components of g, fluid (Gf) and
crystallized (Gc) reasoning, exhibit distinct trajectories of age-related change.
Because the brain also ages differentially, we hypothesized that age-related
cognitive and neural changes would be coupled. In a sample of healthy middle-aged
and older adults, we examined changes in Gf (operationalized by Cattell Culture
Fair Test) and Gc (indexed by two vocabulary tests) as well as in structural
properties of 19 brain regions. We fitted linear mixed models to the data
collected on 73 healthy adults who participated in baseline assessment, with 43
returning for at least one follow-up, and 16 of them contributing four repeated
assessments over seven years. We observed age differences as well as longitudinal
decline in Gf, contrasted to a lack of age differences and stability in Gc.
Cortical thickness and cortical volume exhibited significant age differences and
longitudinal declines, which were accelerated in P-FIT regions. Gf (but not Gc)
was associated with cortical thickness, but no such relationship was found for
cortical volume. Uniformity of cognitive change (lack of reliable individual
differences) precluded examination of the coupling between cognitive and brain
changes. Cortical shrinkage was greater in high-Gc individuals, whereas in
participants with higher Gf cortical volume slower volume shrinkage was observed.
PMID- 29360575
TI - A high-resolution computational localization method for transcranial magnetic
stimulation mapping.
AB - BACKGROUND: Transcranial magnetic stimulation (TMS) is used for the mapping of
brain motor functions. The complexity of the brain deters determining the exact
localization of the stimulation site using simplified methods (e.g., the region
below the center of the TMS coil) or conventional computational approaches.
OBJECTIVE: This study aimed to present a high-precision localization method for a
specific motor area by synthesizing computed non-uniform current distributions in
the brain for multiple sessions of TMS. METHODS: Peritumoral mapping by TMS was
conducted on patients who had intra-axial brain neoplasms located within or close
to the motor speech area. The electric field induced by TMS was computed using
realistic head models constructed from magnetic resonance images of patients. A
post-processing method was implemented to determine a TMS hotspot by combining
the computed electric fields for the coil orientations and positions that
delivered high motor-evoked potentials during peritumoral mapping. The method was
compared to the stimulation site localized via intraoperative direct brain
stimulation and navigated TMS. RESULTS: Four main results were obtained: 1) the
dependence of the computed hotspot area on the number of peritumoral measurements
was evaluated; 2) the estimated localization of the hand motor area in eight non
affected hemispheres was in good agreement with the position of a so-called "hand
knob"; 3) the estimated hotspot areas were not sensitive to variations in tissue
conductivity; and 4) the hand motor areas estimated by this proposal and direct
electric stimulation (DES) were in good agreement in the ipsilateral hemisphere
of four glioma patients. CONCLUSION(S): The TMS localization method was validated
by well-known positions of the "hand-knob" in brains for the non-affected
hemisphere, and by a hotspot localized via DES during awake craniotomy for the
tumor-containing hemisphere.
PMID- 29360576
TI - scribble (scrib) knockdown induces tumorigenesis by modulating Drp1-Parkin
mediated mitochondrial dynamics in the wing imaginal tissues of Drosophila.
AB - scrib loss of function is associated with various human-cancers. Most of the
human-cancers have been characterized by mitochondrial dysfunction with elevated
oxidative stress. However, the role of scrib to mitochondrial dysfunction in
cancer has not been investigated earlier. Here, we have shown that scrib
knockdown leads to mitochondrial depolarization, fragmentation and perinuclear
clustering along with disruption of the redox homeostasis. Moreover, the scrib
abrogated tumor showed the elevation of Drp-1 and reduced expression of Marf,
which suggests enhanced mitochondrial-fission. Further, the reduced expression of
Parkin and HtrA2 interpret defective mitophagy leading to clustering of
fragmented mitochondria and apoptotic inhibition in scrib knockdown tumors. Also,
Parkin immunostaining depicted its reduced expression and mislocalization in the
tumor cells in comparison to wild type. Moreover, the genetic study revealed the
epistatic interactions of parkin and scrib. Thus, for the first time our results
suggested that scrib loss induced mitochondrial-dysfunction modulates cancer
progression by altering the mitochondrial dynamics regulators.
PMID- 29360577
TI - Test-retest reliability of the diagnosis of schizoaffective disorder in childhood
and adolescence - A systematic review and meta-analysis.
AB - OBJECTIVES: Reliability of schizoaffective disorder (SAD) diagnoses is low in
adults but unclear in children and adolescents (CAD). We estimate the test-retest
reliability of SAD and its key differential diagnoses (schizophrenia, bipolar
disorder, and unipolar depression). METHODS: Systematic literature search of
Medline, Embase, and PsycInfo for studies on test-retest reliability of SAD, in
CAD. Cohen's kappa was extracted from studies. We performed meta-analysis for
kappa, including subgroup and sensitivity analysis (PROSPERO protocol:
CRD42013006713). RESULTS: Out of > 4000 records screened, seven studies were
included. We estimated kappa values of 0.27 [95%-CI: 0.07 0.47] for SAD, 0.56
[0.29; 0.83] for schizophrenia, 0.64 [0.55; 0.74] for bipolar disorder, and 0.66
[0.52; 0.81] for unipolar depression. In 5/7 studies kappa of SAD was lower than
that of schizophrenia; similar trends emerged for bipolar disorder (4/5) and
unipolar depression (2/3). Estimates of positive agreement of SAD diagnoses
supported these results. LIMITATIONS: The number of studies and patients included
is low. CONCLUSIONS: The point-estimate of the test-retest reliability of
schizoaffective disorder is only fair, and lower than that of its main
differential diagnoses. All kappa values under study were lower in children and
adolescents samples than those reported for adults. Clinically, schizoaffective
disorder should be diagnosed in strict adherence to the operationalized criteria
and ought to be re-evaluated regularly. Should larger studies confirm the
insufficient reliability of schizoaffective disorder in children and adolescents,
the clinical value of the diagnosis is highly doubtful.
PMID- 29360578
TI - Motor impairment in patients with chronic neck pain: does the traumatic event
play a significant role? A case-control study.
AB - BACKGROUND CONTEXT: Motor impairment is a key sign in patients with traumatic
(whiplash-associated disorder [WAD]) and non-traumatic (idiopathic neck pain
[INP]) neck pain. PURPOSE: This study aimed to analyze differences in motor
impairment between two patient groups and to assess the association between motor
performance and self-reported symptoms. STUDY DESIGN: This is a case-control
study. PATIENT SAMPLE: A total of 38 patients with chronic INP, 35 patients with
chronic WAD, and 30 healthy pain-free controls were included in the study.
OUTCOME MEASURES: Outcome measures used in this study were mobility ( degrees ),
strength (N), repositioning accuracy ( degrees ), endurance (seconds), sway
velocity (cm/s), sway area (cm2), and neuromuscular control. METHODS: Group
differences of motor impairment, together with questionnaires to evaluate pain
intensity, fear avoidance, pain catastrophizing, symptoms of central
sensitization, and disability, were analyzed with analysis of covariance,
including age as a covariate. RESULTS: Motor impairment was observed in both
patient groups with a higher degree in patients with chronic WAD. These
impairments were moderately linked to self-reported disability and were in most
cases associated with pain, fear avoidance, and symptoms of central sensitization
(|rho| ranging from 0.28 to 0.59). CONCLUSIONS: Motor impairment should be
addressed when treating both groups of patients, keeping in mind the association
with self-reported pain and disability, fear-avoidance, and central
sensitization.
PMID- 29360579
TI - L5 incidence: an important parameter for spinopelvic balance evaluation in high
grade spondylolisthesis.
AB - BACKGROUND: In high-grade spondylolisthesis (HGSPL), the pelvic incidence (PI) is
not a reliable measurement because of doming of the sacrum. Measurement of L5
incidence (L5I) was described as a tool to measure pelvic morphology in HGSPL and
for surgical follow-up. OBJECTIVE: We aimed to evaluate L5I in HGSPL and its
relationship to other spinopelvic parameters. STUDY DESIGN: A retrospective study
of a cohort of 184 patients with HGSPL was carried out. METHODS: Whole spine
radiographs were analyzed for PI, pelvic tilt (PT), sacral slope, lumbar lordosis
(LL), L5I, L5 tilt (L5T), L5 slope, lumbosacral kyphosis, and slip percentage.
Statistical analysis and correlation were made (Pearson correlation test; p<.05).
In accordance to Cohen, statically significant correlation were considered strong
if R>0.5, moderate if 0.3=4 levels for adult spinal deformity with minimum 2-year
follow-up were stratified by Adult Spinal Deformity Frailty Index score into 3
groups: nonfrail, frail, and severely frail. Baseline and follow-up demographics,
HRQoL measures, and radiographic parameters were analyzed. Primary outcome
measures included proportion of patients who achieved substantial clinical
benefit (SCB) in terms of Oswestry Disability Index, 36-Item Short Form Health
Survey Physical Component Summary, and numeric back and leg pain scores. RESULTS:
Inclusion criteria were met by 332 patients (135 nonfrail, 175 frail, 22 severely
frail). Frail and severely frail patients were older and had more comorbidities,
worse baseline HRQoL and pain scores, and worse radiographic deformity than
nonfrail patients (P < 0.05). At 2-year follow-up, all outcome scores were worse
in frail and severely frail patients compared with nonfrail patients. Frail
patients improved more than nonfrail patients and were more likely to reach SCB
for Oswestry Disability Index (43.7% vs. 29.3%; P = 0.025), 36-Item Short Form
Health Survey Physical Component Summary (56.9% vs. 51.2%; P = 0.03), and leg
pain (45.8% vs. 23.0%; P = 0.03) scores, but not back pain (57.5% vs. 63.4%; P =
0.045) score. CONCLUSIONS: Despite higher risk stratification and worse baseline
HRQoL, frail patients were more likely to reach SCB for most HRQoL measures
compared with nonfrail patients. Severely frail patients were the least likely to
reach SCB for most HRQoL measures.
PMID- 29360586
TI - Intracranial Vessel Wall Imaging with Magnetic Resonance Imaging: Current
Techniques and Applications.
AB - Vessel wall magnetic resonance imaging (VW-MRI) is a modern imaging technique
with expanding applications in the characterization of intracranial vessel wall
pathology. VW-MRI provides added diagnostic capacity compared with conventional
luminal imaging methods. This review explores the principles of VW-MRI and
typical imaging features of various vessel wall pathologies, such as
atherosclerosis, dissection, and vasculitis. Radiologists should be familiar with
this important imaging technique, given its increasing use and future relevance
to everyday practice.
PMID- 29360587
TI - Occipital Artery and Its Segments in Vertebral Artery Revascularization Surgery:
A Microsurgical Anatomic Study.
AB - OBJECTIVE: To study the microsurgical anatomy of the occipital artery (OA) to
determine the optimal segment for use as a conduit in revascularization bypass
surgery. METHODS: Twelve sides of 6 cadaveric heads that had been injected with
colored silicone were exposed using C-shaped postauricular incision. The OA was
dissected from its point of origin to the proximal part of the distal segment.
For each segment, the diameters and length were measured, and its branches and
proximity to the recipient vessel (V3) were examined. RESULTS: The mean diameters
were 2.6 mm at the digastric segment, 2.04 mm at the point where it exits the
mastoid sulcus, 2.0 mm, at the point just before the last descending muscular
branch, and 1.8 mm and 1.5 mm after the branch and attached to the superior
nuchal line, respectively. The length of the OA from the point where it exits the
mastoid sulcus to the point at which it revealed the last descending muscular
branch was 53 mm, and the distance of this section to the V3 was 31 mm.
CONCLUSIONS: In pathologies necessitating vertebral artery revascularization
surgery, it is possible to easily mobilize the OA with a C-shaped postauricular
incision in the lateral suboccipital region. To reach a more appropriate segment
in terms of diameter, length, and easy anastomosis, V3 sulcal and OA suboccipital
segments are more suitable. Thus, if bypass surgery is indicated in cases of
vertebrobasilar ischemia, the suboccipital segment of the OA may be an ideal
choice and considered as an artery that can be used in "SOS" conditions.
PMID- 29360588
TI - Motor Cortex Reorganization in Patients with Glioma Assessed by Repeated
Navigated Transcranial Magnetic Stimulation-A Longitudinal Study.
AB - OBJECTIVE: Evidence for cerebral reorganization after resection of low-grade
glioma has mainly been obtained by serial intraoperative cerebral mapping.
Noninvasively collected data on cortical plasticity in tumor patients over a
surgery-free period are still scarce. The present study therefore aimed at
evaluating motor cortex reorganization by navigated transcranial magnetic
stimulation (nTMS) in patients after perirolandic glioma surgery. METHODS: nTMS
was performed preoperatively and postoperatively in 20 patients, separated by
26.1 +/- 24.8 months. Further nTMS mapping was conducted in 14 patients,
resulting in a total follow-up period of 46.3 +/- 25.4 months. Centers of gravity
(CoGs) were calculated for every muscle representation area, and Euclidian
distances between CoGs over time were defined. Results were compared with data
from 12 healthy individuals, who underwent motor cortex mapping by nTMS in 2
sessions. RESULTS: Preoperatively and postoperatively pooled CoGs from the area
of the dominant abductor pollicis brevis muscle and of the nondominant leg area
differed significantly compared with healthy individuals (P < 0.05). Most
remarkably, during the ensuing follow-up period, a reorganization of all
representation areas was observed in 3 patients, and a significant shift of hand
representation areas was identified in further 3 patients. Complete functional
recovery of postoperative motor deficits was exclusively associated with cortical
reorganization. CONCLUSIONS: Despite the low potential of remodeling within the
somatosensory region, long-term reorganization of cortical motor function can be
observed. nTMS is best suited for a noninvasive evaluation of this
reorganization.
PMID- 29360589
TI - Virtual Reality Glasses and "Eye-Hands Blind Technique" for Microsurgical
Training in Neurosurgery.
AB - OBJECTIVE: Microsurgical skills and eye-hand coordination need continuous
training to be developed and refined. However, well-equipped microsurgical
laboratories are not so widespread as their setup is expensive. Herein, we
present a novel microsurgical training system that requires a high-resolution
personal computer screen, smartphones, and virtual reality glasses. METHODS: A
smartphone placed on a holder at a height of about 15-20 cm from the surgical
target field is used as the webcam of the computer. A specific software is used
to duplicate the video camera image. The video may be transferred from the
computer to another smartphone, which may be connected to virtual reality
glasses. RESULTS: Using the previously described training model, we progressively
performed more and more complex microsurgical exercises. It did not take long to
set up our system, thus saving time for the training sessions. CONCLUSION: Our
proposed training model may represent an affordable and efficient system to
improve eye-hand coordination and dexterity in using not only the operating
microscope but also endoscopes and exoscopes.
PMID- 29360590
TI - Genetic Variations of the COL4A1 Gene and Intracerebral Hemorrhage Risk: A Case
Control Study in a Chinese Han Population.
AB - OBJECTIVE: To investigate the association between single nucleotide polymorphisms
or haplotypes of the COL4A1 gene and the risk of intracerebral hemorrhage (ICH).
METHODS: We conducted a case-control study that included 181 patients from the
Chinese Han population with hypertensive ICH and 197 hypertension patients
without ICH. Genomic DNA was extracted by DNA extraction kit, and the 6 single
nucleotide polymorphism genotypes of the COL4A1 gene were detected with a
MassARRAY Analyzer. Unphased 3.1.4 and SPSS 19.0 were used to analyze the
association between alleles, genotypes, and haplotypes of the COL4A1 gene and the
risk of ICH. RESULTS: Compared with the control group, patients in the ICH group
were significantly younger. There were no differences in gender, diabetes,
hyperlipidemia, current smoking, and alcohol consumption between the 2 groups.
Our association analysis showed that the rs3742207 A, rs11069830 A, and rs679505
A alleles were association factors of the risks of ICH; rs11069830 AA, rs544012
AC, and rs679505 AA genotypes were association factors of the risk of ICH; AA
haplotype (rs3742207-rs11069830) was an association factor of the risk of ICH.
After adjusting age and gender by multivariate logistic regression, the rs544012
AC and rs679505 AA genotypes were independently associated with the risk of ICH.
CONCLUSIONS: Our study showed that the rs544012 AC and rs679505 AA genotypes were
independently associated with the risk of ICH in the Chinese Han population and
that the AA haplotype (rs3742207-rs11069830) in the COL4A1 gene may be related to
the risk of ICH in the Chinese Han population; these conclusions need further
confirmation in future studies with larger samples.
PMID- 29360591
TI - Current Perspectives in Imaging Modalities for the Assessment of Unruptured
Intracranial Aneurysms: A Comparative Analysis and Review.
AB - BACKGROUND: Intracranial aneurysms (IAs) are pathologic dilatations of cerebral
arteries. This systematic review summarizes and compares imaging techniques for
assessing unruptured IAs (UIAs). This review also addresses their uses in
different scopes of practice. Pathophysiologic mechanisms are reviewed to better
understand the clinical usefulness of each imaging modality. METHODS: A
literature review was performed using PubMed with these search terms:
"intracranial aneurysm," "cerebral aneurysm," "magnetic resonance angiography
(MRA)," computed tomography angiography (CTA)," "catheter angiography," "digital
subtraction angiography," "molecular imaging," "ferumoxytol," and
"myeloperoxidase". Only studies in English were cited. RESULTS: Since the
development and improvement of noninvasive diagnostic imaging (computed
tomography angiography and magnetic resonance angiography), many prospective
studies and meta-analyses have compared these tests with gold standard digital
subtraction angiography (DSA). Although computed tomography angiography and
magnetic resonance angiography have lower detection rates for UIAs, they are
vital in the treatment and follow-up of UIAs. The reduction in ionizing radiation
and lack of endovascular instrumentation with these modalities provide benefits
compared with DSA. Novel molecular imaging techniques to detect inflammation
within the aneurysmal wall with the goal of stratifying risk based on level of
inflammation are under investigation. CONCLUSIONS: DSA remains the gold standard
for preoperative planning and follow-up for patients with IA. Newer imaging
modalities such as ferumoxytol-enhanced magnetic resonance imaging are emerging
techniques that provide critical in vivo information about the inflammatory
milieu within aneurysm walls. With further study, these techniques may provide
aneurysm rupture risk and prediction models for individualized patient care.
PMID- 29360592
TI - Trajectories of adherence to home-based exercise programs among people with knee
osteoarthritis.
AB - OBJECTIVE: To investigate the presence of different trajectories of self-reported
adherence to home exercise programs among people with knee osteoarthritis (OA),
and to compare baseline characteristics across identified groups. DESIGN: Pooled
analysis of data from three randomised controlled trials involving exercise
interventions for people aged >=50 years with clinical knee OA (n = 341).
Exercise adherence was self-reported on an 11-point numerical rating scale (NRS;
0 = not at all-10 = completely as instructed). Latent class growth analysis was
used to identify distinct trajectories of adherence, at intervals from 12 to 78
weeks from baseline. Baseline characteristics of these groups were compared using
chi-squared tests, one-way analysis of variance (ANOVA) and Kruskal Wallis tests
where appropriate. RESULTS: Three distinct adherence trajectories were
identified: a "Rapidly declining adherence" group (n = 157, 47.4%) whose
adherence was 7.7 +/- 1.6 (/10) at 12 weeks, declined to 4.2 +/- 2.2 by 22 weeks
and remained low thereafter; a "Gradually declining adherence" group (n = 153,
45.1%) whose adherence declined from 8.5 +/- 1.5 to 7.8 +/- 1.5 over the same
period, and continued to decline slowly, and a "Low adherence" group (n = 21,
6.3%) whose adherence was 2.2 +/- 1.4 at 12 weeks and remained low. At baseline
the "Rapidly declining adherence" group reported significantly lower Western
Ontario and McMaster Universities Osteoarthritis Index (WOMAC) pain (mean
difference (95% Confidence Interval (CI)) -0.8 (-1.4, -0.2)) and better WOMAC
function compared to the "Gradually declining adherence" group (-3.1 (-5.2,
1.1)). CONCLUSION: Three trajectories of self-reported adherence to home
exercises were found among people with knee OA. Findings highlight the need for
close monitoring of adherence from initiation of a home exercise program in order
to identify and intervene when low or rapidly declining adherence is identified.
PMID- 29360593
TI - The embryonic developmental effect of sedaxane on zebrafish (Danio rerio).
AB - The succinate dehydrogenase inhibitor (SDHI) fungicides have been extensively
used in agriculture, and some of their potential ecological risks to aquatic
organisms have been demonstrated recently. Sedaxane (SDX) is a broad spectrum
SDHI fungicide. Despite being extensively used in environment, little is known
about its potential developmental effect in zebrafish embryo. This study examined
the effects of which SDX triggered in zebrafish through embryonic development
assessments. Results show that SDX induced mortality, hatch delay and failure in
zebrafish embryos, which were concentration dependent. In addition, several
developmental abnormalities were observed at 2 mg/L and higher concentrations,
including edema, microcephaly, body deformation, and swim bladder not fully
inflated. SDX exposure influenced reactive oxygen species, malondialdehyde,
peroxidase, glutathione S-transferase, superoxide dismutase and glutathione in
live larvae, which indicated that oxidative stress was caused in zebrafish.
Furthermore, SDX induced decrease of succinate dehydrogenase activity in
zebrafish larvae. These results provide toxicological data of SDX on developing
zebrafish embryo, which could be help for further understanding the potential
risk on the environment.
PMID- 29360594
TI - Bioaccumulation of heavy metals in maricultured fish, Lates calcarifer
(Barramudi), Lutjanus campechanus (red snapper) and Lutjanus griseus (grey
snapper).
AB - Mariculture fish contains a rich source of protein, but some species may
bioaccumulate high levels of heavy metals, making them unsafe for consumption.
This study aims to identify heavy metal concentration in Lates calcarifer
(Barramudi), Lutjanus campechanus (Red snapper) and Lutjanus griseus (Grey
snapper). Three species of mariculture fish, namely, L. calcarifer, L.
campechanus and L. griseus were collected for analyses of heavy metals. The
concentration of heavy metal (As, Cd, Cu, Cr, Fe, Pb, Mn, Ni, Se, and Zn) was
determined using inductive coupled plasma mass spectrometry (ICP-MS). The
distribution of heavy metals mean concentration in muscle is Zn > Fe > As > Se >
Cr > Cu > Mn > Pb > Ni > Cd for L. calcarifer, Fe > Zn > Cr > As > Ni > Mn > Se >
Cu > Pb > Cd for L. campechanus and Fe > Zn > Cr > Ni > Se > Cu > As > Mn > Pb >
Cd for L. griseus. Among all of the species under investigation, the highest
concentration of Fe was found in the muscle tissue of L. campechanus (19.985 +/-
1.773 mg kg-1) and liver tissue of L. griseus (58.248 +/- 8.736 mg kg-1).
Meanwhile, L. calcarifer has the lowest concentration of Cd in both muscle (0.007
+/- 0.004 mg kg-1) and liver tissue (0.027 +/- 0.016 mg kg-1). The heavy metal
concentration in muscle tissue is below the permissible limit guidelines
stipulated by the Food & Agriculture Organization, 1983 and Malaysia Food Act,
1983. The concentration of heavy metals varies significantly among fish species
and tissues. L. campechanus was found to have a higher ability to accumulate
heavy metals as compared to the other two species (p < .00). Among all the
studied fish, liver tissue has a higher concentration of heavy metals compared to
muscle tissue (p < .05). The findings from this study can serve as baseline
information for future monitoring and risk assessment studies. Periodic
monitoring of heavy metal concentration in mariculture fish must be performed to
prevent acute and chronic food intoxication.
PMID- 29360595
TI - Element mobilization and immobilization from carbonate rocks between CO2 storage
reservoirs and the overlying aquifers during a potential CO2 leakage.
AB - Despite the numerous studies on changes within the reservoir following CO2
injection and the effects of CO2 release into overlying aquifers, little or no
literature is available on the effect of CO2 release on rock between the storage
reservoirs and subsurface. This is important, because the interactions that occur
in this zone between the CO2 storage reservoir and the subsurface may have a
significant impact on risk analysis for CO2 storage projects. To address this
knowledge gap, relevant rock materials, temperatures and pressures were used to
study mineralogical and elemental changes in this intermediate zone. After rocks
reacted with CO2-acidified 0.01 M NaCl, liquid analysis showed an increase of
major elements (e.g., Ca and Mg) and variable concentrations of potential
contaminants (e.g., Sr and Ba); lower aqueous concentrations of these elements
were observed in N2 control experiments, likely due to differences in pH between
the CO2 and N2 experiments. In experiments with As/Cd and/or organic spikes,
representing potential contaminants in the CO2 plume originating in the storage
reservoir, most or all of these contaminants were removed from the aqueous phase.
SEM and Mossbauer spectroscopy results showed the formation of new minerals and
Fe oxides in some CO2-reacted samples, indicating potential for contaminant
removal through mineral incorporation or adsorption onto Fe oxides. These
experiments show the interactions between the CO2-laden plume and the rock
between storage reservoirs and overlying aquifers have the potential to affect
the level of risk to overlying groundwater, and should be considered during site
selection and risk evaluation.
PMID- 29360596
TI - High-resolution insight into the competitive adsorption of heavy metals on
natural sediment by site energy distribution.
AB - Investigating competitive adsorption on river/lake sediments is valuable for
understanding the fate and transport of heavy metals. Most studies have studied
the adsorption isotherms of competitive heavy metals, which mainly comparing the
adsorption information on the same concentration. However, intrinsically, the
concentration of each heavy metal on competitive adsorption sites is different,
while the adsorption energy is identical. Thus, this paper introduced the site
energy distribution theory to increase insight into the competitive adsorption of
heavy metals (Cu, Cd and Zn). The site energy distributions of each metal with
and without other coexisting heavy metals were obtained. It illustrated that site
energy distributions provide much more information than adsorption isotherms
through screening of the full energy range. The results showed the superior heavy
metal in each site energy area and the influence of competitive metals on the
site energy distribution of target heavy metal. Site energy distributions can
further help in determining the competitive sites and ratios of coexisting
metals. In particular, in the high-energy area, which has great environmental
significance, the ratios of heavy metals in the competitive adsorption sites
obtained for various competitive systems were as follows: slightly more than 3:1
(Cu-Cd), slightly less than 3:1 (Cu-Zn), slightly more than 1:1 (Cd-Zn), and
nearly 7:2:2 (Cu-Cd-Zn). The results from this study are helpful to deeply
understand competitive adsorption of heavy metals (Cu, Cd, Zn) on sediment.
Therefore, this study was effective in presenting a general pattern for future
reference in competitive adsorption studies on sediments.
PMID- 29360597
TI - Model-based assessment of estrogen removal by nitrifying activated sludge.
AB - Complete removal of estrogens such as estrone (E1), estradiol (E2), estriol (E3)
and ethinylestradiol (EE2) in wastewater treatment is essential since their
release and accumulation in natural water bodies are giving rise to environment
and health issues. To improve our understanding towards the estrogen
bioremediation process, a mathematical model was proposed for describing estrogen
removal by nitrifying activated sludge. Four pathways were involved in the
developed model: i) biosorption by activated sludge flocs; ii) cometabolic
biodegradation linked to ammonia oxidizing bacteria (AOB) growth; iii) non-growth
biodegradation by AOB; and iv) biodegradation by heterotrophic bacteria (HB). The
degradation kinetics was implemented into activated sludge model (ASM) framework
with consideration of interactions between substrate update and microorganism
growth as well as endogenous respiration. The model was calibrated and validated
by fitting model predictions against two sets of batch experimental data under
different conditions. The model could satisfactorily capture all the dynamics of
nitrogen, organic matters (COD), and estrogens. Modeling results suggest that for
E1, E2 and EE2, AOB-linked biodegradation is dominant over biodegradation by HB
at all investigated COD dosing levels. However, for E3, the increase of COD
dosage triggers a shift of dominant pathway from AOB biodegradation to HB
biodegradation. Adsorption becomes the main contributor to estrogen removal at
high biomass concentrations.
PMID- 29360598
TI - Adsorption by and artificial release of zinc and lead from porous concrete for
recycling of adsorbed zinc and lead and of porous concrete to reduce urban non
point heavy metal runoff.
AB - This report describes the use of porous concrete at the bottom of a sewage trap
to prevent runoff of non-point heavy metals into receiving waters, and,
secondarily, to reduce total runoff volume during heavy rains in urbanized areas
while simultaneously increasing the recharge volume of heavy-metal-free water
into underground aquifers. This idea has the advantage of preventing clogging,
which is fundamentally very important when using pervious materials. During
actual field experiments, two important parameters were identified: maximum
adsorption weight of lead and zinc by the volume of porous concrete, and heavy
metal recovery rate by artificial acidification after adsorption. To understand
the effect of ambient heavy metal concentration, a simple mixing system was used
to adjust the concentrations of lead and zinc solutions. The concrete blocks used
had been prepared for a previous study by Harada & Komuro (2010). The results
showed that maximum adsorption depended on the ambient concentration, expressed
as the linear isothermal theory, and that recovery depended on the final pH value
(0.5 or 0.0). The dependence on pH is very important for recycling the porous
concrete. A pH of 0.5 is important for recycling both heavy metals, especially
zinc, (8.0-22.1% of lead and 42-74% of zinc) and porous concrete because porous
concrete has not been heavily damaged by acid. However, at a pH of 0.0, the heavy
metals could be recovered: 30-60% of the lead and 75-125% of the zinc. At a
higher pH, such as 2.0, no release of heavy metals occurred, indicating the
safety to the environment of using porous concrete, because the lowest recorded
pH of rainfall in Japan is. 4.0.
PMID- 29360599
TI - An Empirically Derived Pediatric Cardiac Inotrope Score Associated With Pediatric
Heart Surgery.
AB - We aimed to empirically derive an inotrope score to predict real-time outcomes
using the doses of inotropes after pediatric cardiac surgery. The outcomes
evaluated included in-hospital mortality, prolonged hospital length of stay, and
composite poor outcome (mortality or prolonged hospital length of stay). The
study population included patients <18 years of age undergoing heart operations
(with or without cardiopulmonary bypass) of varying complexity. To create this
novel pediatric cardiac inotrope score (PCIS), we collected the data on the
highest doses of 4 commonly used inotropes (epinephrine, norepinephrine,
dopamine, and milrinone) in the first 24 hours after heart operation. We employed
a hierarchical framework by representing discrete probability models with
continuous latent variables that depended on the dosage of drugs for a particular
patient. We used Bayesian conditional probit regression to model the effects of
the inotropes on the mean of the latent variables. We then used Markov chain
Monte Carlo simulations for simulating posterior samples to create a score
function for each of the study outcomes. The training dataset utilized 1030
patients to make the scientific model. An online calculator for the tool can be
accessed at https://soipredictiontool.shinyapps.io/InotropeScoreApp. The newly
proposed empiric PCIS demonstrated a high degree of discrimination for predicting
study outcomes in children undergoing heart operations. The newly proposed
empiric PCIS provides a novel measure to predict real-time outcomes using the
doses of inotropes among children undergoing heart operations of varying
complexity.
PMID- 29360600
TI - Lowering of blood pressure after nitrate-rich vegetable consumption is abolished
with the co-ingestion of thiocyanate-rich vegetables in healthy normotensive
males.
AB - A diet rich in vegetables is known to provide cardioprotection. However, it is
unclear how the consumption of different vegetables might interact to influence
vascular health. This study tested the hypothesis that nitrate-rich vegetable
consumption would lower systolic blood pressure but that this effect would be
abolished when nitrate-rich and thiocyanate-rich vegetables are co-ingested. On
four separate occasions, and in a randomized cross-over design, eleven healthy
males reported to the laboratory and consumed a 750 mL vegetable smoothie that
was either: low in nitrate (~0.3 mmol) and thiocyanate (~5 MUmol), low in nitrate
and high in thiocyanate (~72 MUmol), high in nitrate (~4 mmol) and low in
thiocyanate and high in nitrate and thiocyanate. Blood pressure as well as plasma
and salivary [thiocyanate], [nitrate] and [nitrite] were assessed before and 3 h
after smoothie consumption. Plasma [nitrate] and [nitrite] and salivary [nitrate]
were not different after consuming the two high-nitrate smoothies, but salivary
[nitrite] was higher after consuming the high-nitrate low-thiocyanate smoothie
(1183 +/- 625 MUM) compared to the high-nitrate high-thiocyanate smoothie (941 +/
532 MUM; P < .001). Systolic blood pressure was only lowered after consuming the
high-nitrate low-thiocyanate smoothie (-3 +/- 5 mmHg; P < .05). The acute
consumption of vegetables high in nitrate and low in thiocyanate lowered systolic
blood pressure. However, when the same dose of nitrate-rich vegetables was co
ingested with thiocyanate-rich vegetables the increase in salivary [nitrite] was
smaller and systolic blood pressure was not lowered. These findings might have
implications for optimising dietary guidelines aimed at improving cardiovascular
health.
PMID- 29360601
TI - Farmers' knowledge and opinions towards bollgard II(r) implementation in cotton
production in western Burkina Faso.
AB - In 2008, the commercial cultivation of Genetically Modified (GM) cotton (Bollgard
II(r)) started in Burkina Faso. The adoption rate increased rapidly in subsequent
years to reach around 70% in 2014. Although some criticisms were raised
concerning the suitability of the technology for the farming system in Burkina
Faso, the introduction of transgenic cotton in the country was generally regarded
as a great success. Despite this, during the 2016-2017 agricultural campaign, the
government of Burkina Faso decided to suspend the cultivation of Bollgard II(r).
In this context, this paper investigates farmers' knowledge, perceptions,
opinions and attitudes towards Bollgard II(r) as well as their views on the
recent decision to suspend its cultivation. Data was collected from 324 cotton
farmers, both growers of conventional and Bollgard II(r). The results showed that
the farmers surveyed had a poor knowledge concerning the core concepts of
biotechnology and Bollgard II(r) in particular. Moreover, the regulatory
oversight of the implementation of the technology was found insufficient, as
illustrated by the lack of compliance with prescriptions concerning refuge areas
and pesticide treatments. Nevertheless, overall, the farmers interviewed had a
slightly positive opinion about the effects on yield, income and their wellbeing.
In particular the reduction in pesticide treatments was perceived very positively
by all respondents. Although the study finds that the majority of farmers
disagreed with the recent suspension of Bt cotton cultivation by the government,
it also makes clear that a thorough debate on the technology and its
implementation is necessary.
PMID- 29360602
TI - Determining Y-STR mutation rates in deep-routing genealogies: Identification of
haplogroup differences.
AB - Knowledge of Y-chromosomal short tandem repeat (Y-STR) mutation rates is
essential to determine the most recent common ancestor (MRCA) in familial
searching or genealogy research. Up to now, locus-specific mutation rates have
been extensively examined especially for commercially available forensic Y-STRs,
while haplogroup specific mutation rates have not yet been investigated in
detail. Through 450 patrilineally related namesakes distributed over 212 deep
rooting genealogies, the individual mutation rates of 42 Y-STR loci were
determined, including 27 forensic Y-STR loci from the Yfiler(r) Plus kit and 15
additional Y-STR loci (DYS388, DYS426, DYS442, DYS447, DYS454, DYS455, DYS459a/b,
DYS549, DYS607, DYS643, DYS724a/b and YCAIIa/b). At least 726 mutations were
observed over 148,596 meiosis and individual Y-STR mutation rates varied from
2.83 * 10-4 to 1.86 * 10-2. The mutation rate was significantly correlated with
the average allele size, the complexity of the repeat motif sequence and the age
of the father. Significant differences in average Y-STR mutations rates were
observed when haplogroup 'I & J' (4.03 * 10-3 mutations/generation) was compared
to 'R1b' (5.35 * 10-3 mutations/generation) and to the overall mutation rate
(5.03 * 10-3 mutations/generation). A difference in allele size distribution was
identified as the only cause for these haplogroup specific mutation rates. The
haplogroup specific mutation rates were also present within the commercially
available Y-STR kits (Yfiler(r), PowerPlex(r) Y23 System and Yfiler(r) Plus).
This observation has consequences for applications where an average Y-STR
mutation rate is used, e.g. tMRCA estimations in familial searching and genealogy
research.
PMID- 29360603
TI - Hybrid organic-inorganic anion-exchange pore-filled membranes for the recovery of
nitric acid from highly acidic aqueous waste streams.
AB - Recycling of acid from aqueous waste streams is highly important not only from
the environmental point of view but also for developing the sustainable
technology. One of the effective ways to recover acid from aqueous waste streams
is the anion-exchange membrane based diffusion-dialysis. The work presents the
synthesis and characterization of anion-exchange pore-filled membranes for the
objective of recovery of high concentration of acid by diffusion dialysis. The
membranes were prepared by anchoring the guest organic-inorganic anionic gel in
the pores of the host poly(propylene) membrane by in situ UV-initiator induced
polymerization of the appropriate monomers along with cross-linker. The removal
of nitric acid in the presence of different representative monovalent, divalent
and trivalent nitrates and the leakage of these ions through anion exchange
membrane have been studied by DD technique for optimizing the chemical
composition of the membrane. The nitric acid permeation rate of the membrane with
the optimized composition has been found to be considerably faster than the
commercial Selemion membrane without sacrificing salt leakage. The performance of
the optimized pore-filled anion exchange membranes has been found to be
independent of the acid concentration, nature of the anion and substrate and has
been observed to be solely dependent on the guest inorganic-organic hybrid
anionic gel component. The membranes have been found to be stable and reusable
for the acid recovery. Removal of nitric acid as high as 90% from the simulated
high level nuclear waste with the optimized grafted pore-filled membrane has been
achieved with negligible salt transport.
PMID- 29360604
TI - Rechallenge with BRAF-directed treatment in metastatic melanoma: A multi
institutional retrospective study.
AB - BACKGROUND: Most metastatic melanoma patients treated with BRAF inhibitors
(BRAFi) +/- MEK inhibitors (MEKi) eventually progress on treatment. Along with
acquired resistance due to genetic changes, epigenetic mechanisms that could be
reversed after BRAFi discontinuation have been described. The purpose of this
study was to analyse retrospectively outcomes for patients retreated with BRAF
directed therapy. PATIENTS AND METHODS: One hundred sixteen metastatic melanoma
patients who received BRAFi-based therapy and, after a break, were rechallenged
with BRAFi +/- MEKi at 14 centres in Europe, US and Australia were studied,
respectively. Response rate (RR), overall survival (OS) and progression-free
survival (PFS) from the start of retreatment were calculated. RESULTS: The median
duration of treatment was 9.4 months for first BRAFi +/- MEKi treatment and 7.7
months for the subsequent treatment (immunotherapy 72%, other 17%, drug holiday
11%) after BRAFi discontinuation. Brain metastases were present in 51 (44%)
patients at BRAFi retreatment. The RR to rechallenge with BRAFi +/- MEKi was
43.3%: complete response (CR) 2.6%, partial response (PR) 40.7%, stable disease
(SD) 24.8% and progressive disease 31.9%, 3 missing. Of 83 patients who
previously discontinued BRAFi due to disease progression, 31 (37.3%) responded
(30 PR and 1 CR) to retreatment. The median OS from retreatment was 9.8 months,
and PFS was 5 months. Independent prognostic factors for survival at rechallenge
included number of metastatic sites (hazard ratio [HR] = 1.32 for each additional
organ with metastases, P < .001), lactic dehydrogenase (HR = 1.37 for each
multiple of the upper normal limit, P < .001), while rechallenge with combination
BRAFi + MEKi conferred a better OS versus BRAFi alone (HR = 0.5, P = .006).
CONCLUSION: Rechallenge with BRAFi +/- MEKi results in a clinically meaningful
benefit and should be considered for selected patients.
PMID- 29360605
TI - Kinetics and nadir of responses to immune checkpoint blockade by anti-PD1 in
patients with classical Hodgkin lymphoma.
AB - BACKGROUND: We aimed to define the depth and time of maximal anti-tumour response
to programmed death-1 blockade antibodies (anti-PD1) in heavily pre-treated
patients with classical Hodgkin lymphoma (HL). To this end, we evaluated the
kinetics of response for up to two years. MATERIALS AND METHODS: The 18F-FDG
positron-emission tomography (PET) and contrast-enhanced computerised tomography
(CECT) data of all relapsed or refractory HL treated at Gustave Roussy,
Villejuif, France, from 2013 to 2015 were retrospectively reviewed according to
the International Harmonisation Project Cheson 2014 criteria and the LYmphoma
Response to Immunomodulatory therapy Criteria (LYRIC). RESULTS: Sixteen patients
were included. The median (range) treatment duration was 18.4 (2.8-23.7) months.
Fifty-six percent of patients (9/16) achieved an objective response at 3 months,
including 19% (3/16) of complete response. Seventeen percent (1/6) of partial
responders at 3 months were converted in a complete response. 22% (2/9) of
responders at 3 months relapsed before one year. The nadir was reached at 12.7
(3.0-23.0) months. The median (range) depth of response at nadir was -77% (-50%
to 100%). CONCLUSION: We concluded that complete metabolic responses occurred
within 6 months, a minority of partial responses were converted in complete
response, and the median nadir was observed one year after treatment initiation.
These data could help to better define the optimal treatment strategy by PET or
CECT-directed approaches.
PMID- 29360606
TI - The expression pattern and potential functions of PHB in the spermiogenesis of
Phascolosoma esculenta.
AB - Prohibitin (PHB) is a ubiquitous, evolutionarily conserved protein that is mainly
localized in the inner mitochondrial membrane and exerts various mitochondrial
functions. Here, we first cloned the phb gene from P. esculenta. The Pe-PHB
protein has high homology and a similar protein structure to that of other
animals, and it can be divided into the N-terminal hydrophobic/transmembrane
domain, SPFH domain, and C-terminal coiled-coil domain. The Pe-phb gene is widely
expressed, and the gene expression of phb is highest in coelomic fluid where
spermiogenesis occurs, indicating a specific function in the coelom. We further
observed continuous expression of the phb gene and localization of PHB proteins
in mitochondria during spermiogenesis, indicating that PHB, as a mitochondrial
component, may play a role during this process via its mitochondrial function. In
addition, ubiquitination of mitochondria was detected, and the PHB signal was co
localized with the poly-ubiquitin signal during spermiogenesis. Mature sperm also
showed ubiquitination of mitochondria and PHB. Therefore, PHB may be a substrate
of poly-ubiquitin to regulate the ubiquitination of mitochondria and even
subsequent elimination during P. esculenta spermiogenesis, and it has a potential
role in guaranteeing the maternal inheritance of mitochondria. Taken together,
these results support the hypothesis that PHB participates in the spermiogenesis
of P. esculenta by maintaining the normal function of mitochondria and regulating
the degradation of mitochondria.
PMID- 29360607
TI - Association between chemerin rs17173608 and rs4721 gene polymorphisms and
gestational diabetes mellitus in Iranian pregnant women.
AB - Gestational diabetes mellitus (GDM) is defined as hyperglycemia detected during
pregnancy and its risk is increased with obesity. Chemerin, an adipokine, has
been proposed as potential mediators of insulin resistance in GDM. This case
control study was designed to assess the relation between chemerin SNPs rs4721
(or rs10278590) and rs17173608 and the development of GDM. One hundred thirty GDM
pregnant women with GDM and 160 healthy pregnant women were enrolled in this
study. The diagnosis of GDM was based on the International Association of
Diabetes and Pregnancy Study Group (IADPSG) criteria. Chemerin rs4721
polymorphism gene was amplified through PCR, and SNP was detected using
restriction enzyme AluI. Genotyping for chemerin rs17173608 polymorphism was
performed by using tetra-amplification refractory mutation system polymerase
chain reaction (T-ARMS-PCR). Blood glucose level was measured by an enzymatic
method. Our finding showed that the genotypes frequency of chemerin rs4721
polymorphism was significantly different between GDM and non-GDM groups (chi2 =
7.44, P = 0.02). The genotype of rs4721 was significantly associated with GDM in
co-dominant and dominant genotypes (GG vs GT, OR = 2.3, 95%CI = 1.24-4.24, P =
0.008, and GG vs GT + TT, OR = 2.21, 95%CI = 1.23-3.99, P = 0.008, respectively).
No significant difference was observed in allele frequency between case and
control groups (P = 0.62). Moreover, the genotypes and allele frequencies of
chemerin rs17173608 polymorphism did not show significant differences between GDM
and non-GDM (P > 0.05). We concluded that the genotype of rs4721 was found to
contribute significant risk to GDM while genotype of rs17173608 could not predict
the risk of GDM.
PMID- 29360610
TI - Early nutritional inadequacy is associated with psoas muscle deterioration and
worse clinical outcomes in critically ill surgical patients.
AB - PURPOSE: To explore whether psoas cross sectional area (CSA) and density
(Hounsfield Units, HU) are associated with nutritional adequacy and clinical
outcomes in surgical intensive care unit patients. MATERIALS AND METHODS:
Subjects with at least one CT scan within 72h of ICU admission were included.
Demographic, nutritional, radiographic, and outcomes data were collected. Psoas
muscle CSA and HU were assessed at the L4-L5 intervertebral disk level. Change
(Delta) in CSA and HU overall and per day were calculated. RESULTS: 140 patients
were included. There was no significant correlation between baseline CSA and HU
and clinical outcomes. Patients with at least two CT scans (n=65), had a median
decrease in CSA of -15% [IQR: -20%, -8%] and decrease in HU of -2% [IQR: -30%,
+24%]. Patients with the greatest daily %HU decline received significantly fewer
calories/kg and proteins/kg and accumulated greater protein deficits at day 7 and
overall. Patients with daily %HU increase had the shortest ICU and hospital LOS
and more ventilator-free days in univariate and multivariable analyses.
CONCLUSIONS: In this exploratory study, early nutritional deficits were
correlated with muscle quality deterioration. Inpatient gain in psoas density,
compared to maintenance or loss, is associated with shorter hospital stay.
PMID- 29360608
TI - Resting Functional Connectivity of the Periaqueductal Gray Is Associated With
Normal Inhibition and Pathological Facilitation in Conditioned Pain Modulation.
AB - : Conditioned pain modulation (CPM), a psychophysical paradigm that is commonly
used to infer the integrity of endogenous pain-altering systems by observation of
the effect of one noxious stimulus on another, has previously identified
deficient endogenous analgesia in fibromyalgia (FM) and other chronic pain
conditions. The mechanisms underlying this deficiency, be they insufficient
inhibition and/or active facilitation, are largely unknown. The present cross
sectional study used a combination of behavioral CPM testing, voxel-based
morphometry, and resting state functional connectivity to identify neural
correlates of CPM in healthy controls (HC; n = 14) and FM patients (n = 15), and
to probe for differences that could explain the pain-facilitative CPM that was
observed in our patient sample. Voxel-based morphometry identified a cluster
encompassing the periaqueductal gray (PAG) that contained significantly less gray
matter volume in FM patients. Higher resting connectivity between this cluster
and cortical pain processing regions was associated with more efficient
inhibitory CPM in both groups, whereas PAG connectivity with the dorsal pons was
associated with greater CPM inhibition only in HC. Greater PAG connectivity to
the caudal pons/rostral medulla, which was pain-inhibitory in HC, was associated
with pain facilitation in FM patients. PERSPECTIVE: These findings indicate that
variation in the strength of the PAG resting functional connectivity can explain
some of the normal variability in CPM. In addition, pain-facilitative CPM
observed in FM patients likely involves attenuation of pain inhibitory as well as
amplification of pain facilitative processes in the central nervous system.
PMID- 29360611
TI - Higher tacrolimus blood concentration is related to increased risk of post
transplantation diabetes mellitus after living donor liver transplantation.
AB - BACKGROUND/AIMS: To investigate the association between tacrolimus (TAC) blood
concentration and the risk of post-transplantation diabetes mellitus (PTDM)
development after living donor liver transplantation (LDLT). METHODS: This study
reviewed the clinical data of 158 adult LDLT recipients. A cut-off of mean trough
concentration of TAC (cTAC) value at the sixth month postoperatively was
identified using a receptor operating characteristic curve. Other clinical
complications rates were compared between different cTAC groups. RESULTS: Thirty
four (21.5%) recipients developed PTDM during follow-up period. Recipients with
PTDM suffered lower 1-, 5- and 10-year overall survival rates (85.2%, 64.9%, and
55.6% vs 92.4%, 81.4%, and 79.1%, p < 0.05) and allograft survival rates (87.9%,
76.9%, and 65.9% vs 94.1%, 88.5%, and 86.0%, p < 0.05) than those without PTDM.
The best cut-off value of mean cTAC was 5.9 ng/mL. Recipients with higher cTAC
(>5.9 ng/mL) were more likely to develop hyperlipidemia (39.6% vs 21.9%, p <
0.05), cardio-cerebral events (7.5% vs1.0%, p < 0.05), and infections (37.7%
vs19.0%, p < 0.05) than recipients exposed to low cTAC (<=5.9 ng/mL). However,
the two groups showed no difference in the incidence of acute and chronic
rejection. CONCLUSION: Higher mean cTAC at the sixth month postoperatively is
related to increased risk of PTDM in LDLT recipients.
PMID- 29360609
TI - A model-based quantification of action control deficits in Parkinson's disease.
AB - Basal ganglia dysfunction in Parkinson's disease (PD) is thought to generate
deficits in action control, but the characterization of these deficits have been
qualitative rather than quantitative. Patients with PD typically show prolonged
response times on tasks that instantiate a conflict between goal-directed
processing and automatic response tendencies. In the Simon task, for example, the
irrelevant location of the stimulus automatically activates a corresponding
lateralized response, generating a potential conflict with goal-directed choices.
We applied a new computational model of conflict processing to two sets of
behavioral data from the Simon task to quantify the effects of PD and
dopaminergic (DA) medication on action control mechanisms. Compared to healthy
controls (HC) matched in age gender and education, patients with PD showed a
deficit in goal-directed processing, and the magnitude of this deficit positively
correlated with cognitive symptoms. Analyses of the time-course of the location
based automatic activation yielded mixed findings. In both datasets, we found
that the peak amplitude of the automatic activation was similar between PD and
HC, demonstrating a similar degree of response capture. However, PD patients
showed a prolonged automatic activation in only one dataset. This discrepancy was
resolved by theoretical analyses of conflict resolution in the Simon task. The
reduction of interference generated by the automatic activation appears to be
driven by a mixture of passive decay and top-down inhibitory control, the
contribution of each component being modulated by task demands. Our results
suggest that PD selectively impairs the inhibitory control component, a deficit
likely remediated by DA medication. This work advances our understanding of
action control deficits in PD, and illustrates the benefit of using computational
models to quantitatively measure cognitive processes in clinical populations.
PMID- 29360612
TI - Intracranial electrodes monitoring improves seizure control and complication
outcomes for patients with temporal lobe epilepsy - A retrospective cohort study.
AB - BACKGROUND: Anterior temporal lobectomy (ATL) is the standard surgical treatment
for temporal lobe epilepsy (TLE), but patients may suffer from recurrent seizures
post-surgery. Invasive electrical monitoring plays a critical role in precisely
identifying the epileptic foci. This study aimed to evaluate and compare the
benefits of long-term invasive electroencephalography (EEG) monitoring and two
stage surgery with the classical approach to examine their effect on post
surgical brain function and complications. MATERIALS AND METHODS: Patients with
TLE (N = 198) who underwent epilepsy surgery were retrospectively evaluated.
Diagnosis of TLE was confirmed based on clinical grounds (semiology), EEG
findings, and magnetic resonance imaging (MRI). Long-term invasive video EEG was
performed; epileptiform discharges were recorded. Patients underwent either
classical ATL or modified two-step surgery with electrodes implantation.
Histopathological examination was performed. The patients were followed up at 1,
3, and 5 years after surgery. RESULTS: Twenty-three and 175 patients underwent
classical ATL and two-stage surgery, respectively. On histopathological
examination, inflammation, hippocampal sclerosis, and cortical dysplasia were
found to be the leading pathological causes of epileptic foci in both groups. MRI
results were not consistent with the pathological findings. Grade II and III
Engel scores were more frequent in the ATL group compared to two-stage surgery
during follow-up. No postoperative complications were reported in two-stage
surgery during follow-up, but one patient had mild hemiplegia in the ATL group.
CONCLUSIONS: Preoperative invasive monitoring with long-term EEG helps locate the
epileptic foci precisely. Postsurgical complications are rare compared to
classical ATL, with better prognosis and seizure freedom after surgery.
PMID- 29360613
TI - Minimally invasive surgery versus open hepatectomy for hepatolithiasis: A
systematic review and meta analysis.
AB - OBJECTIVE: Hepatectomy for hepatolithiasis can be performed by following an open
approach or a minimally invasive surgery (MIS) approach. MIS is associated with
theoretical advantages, but there is no consensus regarding to the best treatment
method for hepatolithiasis. The objective of this study was to evaluate the
clinical outcomes of MIS hepatectomy compared with those of open hepatectomy in
hepatolithiasis patients. METHODS: A systematic literature search was performed
using PubMed, Embase and Cochrane Library databases. The data were analyzed with
Stata version 12.0 software. Meta-regression analysis was used to explore the
potential sources of heterogeneity. Egger's tests and Begg's funnel plots were
employed to evaluate the publication biases. RESULTS: In total, 12 nonrandomized
controlled trials were identified. Compared with open hepatectomy, the volume of
intraoperative blood loss was significantly less in MIS hepatectomy (SMD =
0.226, P = 0.000). The intraoperative blood transfusion rate was also lower in
MIS hepatectomy (RR = 0.569, P = 0.003). A shorter length of postoperative
hospital stay was noted with MIS hepatectomy (SMD = -0.537, P = 0.000). MIS
hepatectomy resulted in a lower rate of postoperative complications than open
hepatectomy (RR = 0.595, P = 0.000). However, MIS hepatectomy resulted in a
longer operation time (SMD = 0.473, P = 0.005). No significant differences were
noted between MIS and open hepatectomy in the initial stone clearance rate (RR =
1.33, P = 0.218), the final stone clearance rate (RR = 1.040, P = 0.131), the
stone recurrence rate (RR = 0.558, P = 0.072) or the cholangitis recurrence rate
(RR = 0.610, P = 0.285). CONCLUSIONS: MIS hepatectomy is a safe approach for
hepatolithiasis patients. MIS hepatectomy significantly reduces intraoperative
blood loss, blood transfusion, postoperative hospital stay time and
complications. The stone clearance and recurrence rates were similar for MIS
hepatectomy and open hepatectomy. Additional well-designed randomized controlled
trials and Western studies are needed to confirm these findings.
PMID- 29360614
TI - Impact of Multigene Panel Testing on Surgical Decision Making in Breast Cancer
Patients.
AB - BACKGROUND: With the advent of multigene panel testing for breast cancer
patients, germline mutations with unknown association with cancer risk, known as
variants of uncertain significance (VUS), are being increasingly identified. Some
studies have shown higher rates of contralateral prophylactic mastectomies (CPM)
in these patients, despite lack of evidence to support this intervention. We
analyzed surgical choices in patients who were identified to have VUS. STUDY
DESIGN: A retrospective review was performed of patients with triple-negative
breast cancer treated at a single institution after multigene panel tests became
available (September 1, 2013 to February 28, 2017). Rates of genetic testing,
results of testing, and surgical decision making were evaluated. Chi-square or
Fisher's exact test was used to compare categorical variables. A p value <0.05
was considered statistically significant. RESULTS: There were 477 triple-negative
breast cancer patients identified; 331 met established criteria for genetic
testing and 226 (68.3%) underwent genetic testing (multigene panel, n = 130 and
BRCA1/2 testing, n = 96). All of them received risk-appropriate genetic
counseling and follow-up. Of these, 29 (12.8%) patients had pathogenic mutations
in BRCA1/2 or PALB2 (Mut+), 42 (18.6%) had VUS (VUS+), and 155 (68.6%) had no
mutations identified (Mut-). Variants of uncertain significance in 6 of 42
patients (14.3%) were later reclassified as normal variants. Eighty-eight percent
of Mut+ patients underwent CPM compared with 20.1% of Mut- and 21.4% of VUS+
patients (p < 0.001 for both). Rates of CPM were not significantly different
between VUS+ and Mut- (p = 0.37). Multigene panel testing detected pathogenic
mutations in non-breast cancer-associated genes in 6 patients, with significant
management implications. CONCLUSIONS: When combined with risk-appropriate genetic
counseling, detection of VUS did not lead to excessive CPM in this cohort of
triple-negative breast cancer patients. Furthermore, panel testing detected
mutations in non-breast cancer-associated genes, which had significant
implications on management and outcomes.
PMID- 29360615
TI - Patient and Graft Survival: Biliary Complications after Liver Transplantation.
AB - BACKGROUND: Biliary complications (BCs) affect up to to 34% of liver transplant
recipients and are a major source of morbidity and cost. This is a 13-year review
of BCs after liver transplantation (LT) at a tertiary care center. STUDY DESIGN:
We conducted a single-center retrospective review of our prospective database to
assess BCs in adult (aged 18 years or older) liver transplant recipients during a
13-year period (2002 to 2014). Biliary complications were divided into 3
subgroups: leak alone (L), stricture alone (S), and both leak and strictures
(LS). Controls (no BCs) were used for comparison. RESULTS: There were 1,041 adult
LTs performed during the study period; BCs developed in 239 (23%) of these
patients: 55 (23%) L, 148 (62%) S, and 36 (15%) LS. One hundred and two (43%)
were early (less than 30 d). Surgical revision was required in 42 cases (17%) (30
L, 10 LS, and 2 S), while the remaining 197 (83%) were managed nonsurgically (25
L, 26 LS, and 146 S), with a mean of 4.2 interventions/patient. One-, 3-, and 5
year overall patient and graft survival was significantly reduced in patients
with bile leaks (84%, 71%, and 68% and 76%, 67%, and 64%, respectively) compared
with controls (90%, 84%, and 78% and 88%, 81%, and 76%, respectively [p < 0.05]).
Patients with BCs had higher incidence of cholestatic liver disease, higher pre
LT bilirubin, higher use of T-tubes, higher use of donor after cardiac death
grafts, and higher rates of acute rejection (p < 0.05). Patients with BCs had
longer ICU and hospital stays and higher rates of 30- and 90-day readmissions (p
< 0.01). Multivariate analysis identified cholestatic liver disease, Roux-en-Y
anastomosis, donor risk index >2, and T-tubes as independent BC predictors.
CONCLUSIONS: Biliary complications after LT can significantly decrease patient
and graft survival rates. Careful donor and recipient selection and attention to
anastomotic technique can reduce BCs and improve outcomes.
PMID- 29360616
TI - Variation in Amputation Risk for Black Patients: Uncovering Potential Sources of
Bias and Opportunities for Intervention.
AB - BACKGROUND: Differences in amputation rates for limb ischemia between white and
black patients have been extensively studied. Our goal was to determine whether
biases in provider decision-making contribute to the disparity. We hypothesized
that the magnitude of the disparity is affected by surgeon and hospital factors.
STUDY DESIGN: Analysis of the New York Statewide Planning and Research
Cooperative System database was performed for 1999 to 2014. Black and white
patients with ICD9 codes for peripheral vascular disease, who received either an
amputation or salvage procedure, were included. The primary endpoint was
treatment choice. RESULTS: We analyzed 215,480 inpatient admissions. The overall
amputation rate was 38.0%, and blacks were significantly more likely to receive
amputations than whites on unadjusted (42.6% vs 28.6%, p < 0.001), and
multivariable analyses (odds ratio [OR] 1.45, 95% CI 1.31 to 1.60, p < 0.001).
This difference was more pronounced among high total vascular volume surgeons (OR
1.74, 95% CI 1.50 to 2.00, p < 0.001), but not among those with low total
vascular volume (OR 1.06, 95% CI 0.90 to 1.24, p = 0.49); high volume hospitals
(OR 1.57, 95% CI 1.39 to 1.78, p < 0.001), but not among those with low
amputation volume (OR 0.96, 95% CI 0.73 to 1.27, p < 0.80); and surgeons who
treat fewer black patients (OR 1.58, 95% CI 1.44 to 1.73, p < 0.001) vs surgeons
who see more black patients (OR 1.43, 95% CI 1.30 to 1.57, p < 0.0.001).
CONCLUSIONS: Black patients are significantly more likely to receive an
amputation than a salvage procedure when presenting with significant peripheral
vascular diseases. High procedural volume does not seem to reduce unequal
treatment; diversity of surgeon practice does.
PMID- 29360617
TI - High diversity, high insular endemism and recent origin in the lichen genus
Sticta (lichenized Ascomycota, Peltigerales) in Madagascar and the Mascarenes.
AB - Lichen biodiversity and its generative evolutionary processes are practically
unknown in the MIOI (Madagascar and Indian Ocean Islands) biodiversity hotspot.
We sought to test the hypothesis that lichenized fungi in this region have
undergone a rapid radiation, following a single colonization event, giving rise
to narrow endemics, as is characteristic of other lineages of plants. We
extensively sampled specimens of the lichen genus Sticta in the Mascarene
archipelago (mainly Reunion) and in Madagascar, mainly in the northern range
(Amber Mt and Marojejy Mt) and produced the fungal ITS barcode sequence for 148
thalli. We further produced a four-loci data matrix for 68 of them, representing
the diversity and geographical distribution of ITS haplotypes. We reconstructed
the phylogenetic relationships within this group, established species boundaries
with morphological context, and estimated the date of the most recent common
ancestor. Our inferences resolve a robust clade comprising 31 endemic species of
Sticta that arose from the diversification following a single recent (c. 11 Mya)
colonization event. All but three species have a very restricted range, endemic
to either the Mascarene archipelago or a single massif in Madagascar. The first
genus of lichens to be studied with molecular data in this region underwent a
recent radiation, exhibits micro-endemism, and thus exemplifies the biodiversity
characteristics found in other taxa in Madagascar and the Mascarenes.
PMID- 29360618
TI - Plastid phylogenomics resolves infrafamilial relationships of the Styracaceae and
sheds light on the backbone relationships of the Ericales.
AB - Relationships among the genera of the small, woody family Styracaceae and among
families of the large, diverse order Ericales have resisted complete resolution
with sequences from one or a few genes. We used plastome sequencing to attempt to
resolve the backbone relationships of Styracaceae and Ericales and to explore
plastome structural evolution. Complete plastomes for 23 species are newly
reported here, including 18 taxa of Styracaceae and five of Ericales (including
species of Sapotaceae, Clethraceae, Symplocaceae, and Diapensiaceae). Combined
with publicly available complete plastome data, this resulted in a data set of 60
plastomes, including 11 of the 12 genera of Styracaceae and 12 of 22 families of
Ericales. Styracaceae plastomes were found to possess the quadripartite structure
typical of angiosperms, with sizes ranging from 155 to 159 kb. Most of the
plastomes were found to possess the full complement of typical angiosperm
plastome genes. Unusual structural features were detected in plastomes of
Alniphyllum and Bruinsmia, including the presence of a large 20-kb inversion (14
genes) in the Large Single-Copy region, the loss or pseudogenization of the clpP
and accD genes in Bruinsmia, and the loss of the first exon of rps16 in B.
styracoides. Likewise, the second intron from clpP was found to be lost in
Alniphyllum and Huodendron. Phylogenomic analyses including all 79 plastid
protein-coding genes provided improved resolution for relationships among the
genera of Styracaceae and families of Ericales. Styracaceae was strongly
supported as monophyletic, with Styrax, Huodendron, and a clade of Alniphyllum +
Bruinsmia successively sister to the remainder of the family, all with strong
support. All genera of Styracaceae were recovered as monophyletic, except for
Halesia and Pterostyrax, which were each recovered as polyphyletic with strong
support. Within Ericales, all families were recovered as monophyletic with strong
support, with Balsaminaceae sister to remaining Ericales. Most relationships
recovered in plastome analyses are congruent with previous analyses based on
smaller data sets. Our results demonstrate the power of plastid phylogenomics to
improve phylogenetic hypotheses among genera and families, and provide new
insight into plastome evolution across Ericales.
PMID- 29360619
TI - Hypophosphatasia: Biochemical hallmarks validate the expanded pediatric clinical
nosology.
AB - Hypophosphatasia (HPP) is the inborn-error-of-metabolism due to loss-of-function
mutation(s) of the ALPL (TNSALP) gene that encodes the tissue non-specific
isoenzyme of alkaline phosphatase (TNSALP). TNSALP represents a family of cell
surface phosphohydrolases differing by post-translational modification that is
expressed especially in the skeleton, liver, kidney, and developing teeth. Thus,
the natural substrates of TNSALP accumulate extracellularly in HPP including
inorganic pyrophosphate (PPi), a potent inhibitor of mineralization, and
pyridoxal 5'-phosphate (PLP), the principal circulating form of vitamin B6. The
superabundance of extracellular PPi regularly causes tooth loss, and when
sufficiently great can lead to rickets or osteomalacia. Sometimes diminished
hydrolysis of PLP engenders vitamin B6-dependent seizures in profoundly affected
babies. Autosomal dominant and autosomal recessive inheritance from among >340
ALPL mutations identified to date, typically missense and located throughout the
gene, largely explains the remarkably wide-ranging severity of HPP, greatest of
all skeletal diseases. In 2015, our demographic, clinical, and DXA findings
acquired over 25 years from 173 children and adolescents with HPP validated and
expanded the clinical nosology for pediatric patients to include according to
increasing severity "odonto" HPP, "mild childhood" HPP, "severe childhood" HPP,
"infantile" HPP, and "perinatal" HPP. Herein, we assessed this expanded nosology
using biochemical hallmarks of HPP. We evaluated exclusively data from the 165
preteenage HPP patients in this cohort to exclude potential effects from
physiological changes in TNSALP levels across puberty. All patients had subnormal
serum total and bone-specific ALP and elevated plasma PLP, and nearly all had
excessive urinary PPi excretion. Only the PLP levels were unchanged across
puberty. Mean levels of all four biomarkers correlated with HPP severity ranked
according to the HPP nosology, but the data overlapped among all four patient
groups. Hence, these four biochemical hallmarks represent both a sensitive and
reliable tool for diagnosing children with HPP. Furthermore, the hallmarks
validate our expanded clinical nosology for pediatric HPP that, with limitations,
is an improved framework for conceptualizing and working with this disorder's
remarkably broad-ranging severity.
PMID- 29360620
TI - Bone marrow adipocytes resist lipolysis and remodeling in response to beta
adrenergic stimulation.
AB - Bone marrow adipose tissue (BMAT) is preserved or increased in states of caloric
restriction. Similarly, we found that BMAT in the tail vertebrae, but not the red
marrow in the tibia, resists loss of neutral lipid with acute, 48-hour fasting in
rats. The mechanisms underlying this phenomenon and its seemingly distinct
regulation from peripheral white adipose tissue (WAT) remain unknown. To test the
role of beta-adrenergic stimulation, a major regulator of adipose tissue
lipolysis, we examined the responses of BMAT to beta-adrenergic agonists.
Relative to inguinal WAT, BMAT had reduced phosphorylation of hormone sensitive
lipase (HSL) after treatment with pan-beta-adrenergic agonist isoproterenol.
Phosphorylation of HSL in response to beta3-adrenergic agonist CL316,243 was
decreased by an additional ~90% (distal tibia BMAT) or could not be detected
(tail vertebrae). Ex vivo, adrenergic stimulation of lipolysis in purified BMAT
adipocytes was also substantially less than iWAT adipocytes and had site-specific
properties. Specifically, regulated bone marrow adipocytes (rBMAs) from proximal
tibia and femur underwent lipolysis in response to both CL316,243 and forskolin,
while constitutive BMAs from the tail responded only to forskolin. This occurred
independently of changes in gene expression of beta-adrenergic receptors, which
were similar between adipocytes from iWAT and BMAT, and could not be explained by
defective coupling of beta-adrenergic receptors to lipolytic machinery through
caveolin 1. Specifically, we found that whereas caveolin 1 was necessary to
mediate maximal stimulation of lipolysis in iWAT, overexpression of caveolin 1
was insufficient to rescue impaired BMAT signaling. Lastly, we tested the ability
of BMAT to respond to 72-hour treatment with CL316,243 in vivo. This was
sufficient to cause beiging of iWAT adipocytes and a decrease in iWAT adipocyte
cell size. By contrast, adipocyte size in the tail BMAT and distal tibia remained
unchanged. However, within the distal femur, we identified a subpopulation of
BMAT adipocytes that underwent lipid droplet remodeling. This response was more
pronounced in females than in males and resembled lipolysis-induced lipid
partitioning rather than traditional beiging. In summary, BMAT has the capacity
to respond to beta-adrenergic stimuli, however, its responses are muted and BMAT
generally resists lipid hydrolysis and remodeling relative to iWAT. This
resistance is more pronounced in distal regions of the skeleton where the BMAT
adipocytes are larger with little intervening hematopoiesis, suggesting that
there may be a role for both cell-autonomous and microenvironmental determinants.
Resistance to beta-adrenergic stimuli further separates BMAT from known
regulators of energy partitioning and contributes to our understanding of why
BMAT is preserved in states of fasting and caloric restriction.
PMID- 29360621
TI - Effects of cultural education and cultural experiences on the cultural competence
among undergraduate nursing students.
AB - Because of globalization and growing immigrant populations, nursing students need
to possess a high level of cultural competence to provide nursing care to
patients from various cultural backgrounds. However, it has been reported that
the current cultural education in nursing curriculums is not sufficient to
improve students' cultural competence. Little is known about how nursing
students' personal experiences of other cultures may impact their cultural
competence. This study was conducted to identify the ways in which cultural
education and personal experiences with other cultures affect nursing students'
cultural competence. A questionnaire-based descriptive cross-sectional study was
conducted with 236 Korean nursing students from four universities in South Korea.
The explanatory power of cultural experiences and cultural education for nursing
students' cultural competence was 8.1% and 7.1%, respectively. The present study
revealed that the explanatory power of the variable for nursing students'
cultural competence increased when cultural experiences were added to cultural
education. Among cultural experiences, contact with people from other cultural
areas influenced nursing students' cultural competence the most. Therefore, it is
recommended to encourage nursing students to engage in extracurricular activities
with people from other countries, adding this to organized lectures on cultural
education.
PMID- 29360622
TI - Prognostic analysis according to the 2017 ELN risk stratification by genetics in
adult acute myeloid leukemia patients treated in the Japan Adult Leukemia Study
Group (JALSG) AML201 study.
AB - Many genetic alterations that are associated with the prognosis of acute myeloid
leukemia (AML) have been identified, and several risk stratification systems
based on the genetic status have been recommended. The European LeukemiaNet (ELN)
first proposed the risk stratification system for AML in 2010 (ELN-2010), and
recently published the revised system (ELN-2017). We validated the long-term
prognosis and clinical characteristics of each ELN-2017 risk category in Japanese
adult AML patients who were treated in the Japan Adult Leukemia Study Group
(JALSG) AML-201 study. We demonstrated that the 3-risk category system of the ELN
2017 successfully discriminated the overall survival and complete remission rates
in our cohort in comparison with the 4-risk category of the ELN-2010. However,
there were still genetic categories in which stratification of patients into
favorable or intermediate risk categories was controversial; the low allelic
ratio of FLT3-ITD was not necessarily associated with a better prognosis in
patients with FLT3-ITD, and cytogenetic abnormalities may affect the prognosis in
patients with favorable genetic lesions such as NPM1 and CEBPA mutations. As many
molecular targeting agents, such as FLT3 inhibitors, have been developed, we must
continue to modify the genetic risk stratification system to match the
progression of therapeutic strategies.
PMID- 29360623
TI - Age-related mechanism and its relationship with secondary metabolism and abscisic
acid in Aristotelia chilensis plants subjected to drought stress.
AB - Drought stress is the most important stress factor for plants, being the main
cause of agricultural crop loss in the world. Plants have developed complex
mechanisms for preventing water loss and oxidative stress such as synthesis of
abscisic acid (ABA) and non-enzymatic antioxidant compounds such as anthocyanins,
which might help plants to cope with abiotic stress as antioxidants and for
scavenging reactive oxygen species. A. chilensis (Mol.) is a pioneer species,
colonizing and growing on stressed and disturbed environments. In this research,
an integrated analysis of secondary metabolism in Aristotelia chilensis was done
to relate ABA effects on anthocyanins biosynthesis, by comparing between young
and fully-expanded leaves under drought stress. Plants were subjected to drought
stress for 20 days, and physiological, biochemical, and molecular analyses were
performed. The relative growth rate and plant water status were reduced in
stressed plants, with young leaves significantly more affected than fully
expanded leaves beginning from the 5th day of drought stress. A. chilensis plants
increased their ABA and total anthocyanin content and showed upregulation of gene
expression when they were subjected to severe drought (day 20), with these
effects being higher in fully-expanded leaves. Multivariate analysis indicated a
significant positive correlation between transcript levels for NCED1 (9-cis
epoxycarotenoid dioxygenase) and UFGT (UDP glucose: flavonoid-3-O
glucosyltransferase) with ABA and total anthocyanin, respectively. Thus, this
research provides a more comprehensive analysis of the mechanisms that allow
plants to cope with drought stress. This is highlighted by the differences
between young and fully-expanded leaves, showing different sensibility to stress
due to their ability to synthesize anthocyanins. In addition, this ability to
synthesize different and high amounts of anthocyanins could be related to higher
NCED1 and MYB expression and ABA levels, enhancing drought stress tolerance.
PMID- 29360625
TI - Meeting report - shining light on septins.
AB - Septins are enigmatic proteins; they bind GTP and assemble together like
molecular Lego blocks to form intracellular structures of varied shapes such as
filaments, rings and gauzes. To shine light on the biological mysteries of septin
proteins, leading experts in the field came together for the European Molecular
Biology Organization (EMBO) workshop held from 8-11 October 2017 in Berlin.
Organized by Helge Ewers (Freie Universitat, Berlin, Germany) and Serge Mostowy
(Imperial College, London, UK), the workshop convened at the Harnack-Haus, a
historic hub of scientific discourse run by the Max Planck Society.
PMID- 29360624
TI - Association of processed food, synergistic effect of alcohol and HBV with
Hepatocellular Carcinoma in a high incidence region of India.
AB - BACKGROUND: Dietary factors, tobacco, and alcohol use have been identified as
important factors of rising various cancer incidence in several northeastern
states of India. However, little is known about the factors associated with
hepatocellular carcinoma (HCC) in this region. The aim of the paper was to
identify the factors associated with HCC in the northeast region. METHODS: A case
control study was conducted in Arunachal Pradesh and Sikkim, two northeastern
states of India, including 104 histologically-confirmed cases of HCC and same
number (104) of age and sex matched control enrolled. Logistic regression
analysis was performed to identify the factors associated with HCC. RESULTS: A
statistically significant association was demonstrated between HCC and alcohol
consumption, consumption of 'Sai-mod' (OR 2.77, CI 1.57-4.87) a homemade alcohol
beverage, and with HBV (OR 7.97, CI 3.36-18.94). Positive synergism index (S =
3.04) was observed between HBV and alcohol consumption to risk of HCC. Higher
intake of processed meat (OR 2.56, CI 1.09-6.03) and processed fish (OR 2.24, CI
1.02-4.95) were found associated with increased risk of HCC; and decreased risk
of HCC with fresh fish, fruits, and milk. CONCLUSIONS: Strong relationship
between different dietary factors, alcohol beverage with HCC suggests that
control on dietary and drinking habit will be an important strategy to combat HCC
in this region. Risk factors identified in this study will help to plan more
effectively targeted risk reduction strategies and programs in this region.
PMID- 29360626
TI - Meeting report - Cellular dynamics: membrane-cytoskeleton interface.
AB - The first ever 'Cellular Dynamics' meeting on the membrane-cytoskeleton interface
took place in Southbridge, MA on May 21-24, 2017 and was co-organized by Michael
Way, Elizabeth Chen, Margaret Gardel and Jennifer Lippincott-Schwarz.
Investigators from around the world studying a broad range of related topics
shared their insights into the function and regulation of the cytoskeleton and
membrane compartments. This provided great opportunities to learn about key
questions in various cellular processes, from the basic organization and
operation of the cell to higher-order interactions in adhesion, migration,
metastasis, division and immune cell interactions in different model organisms.
This unique and diverse mix of research interests created a stimulating and
educational meeting that will hopefully continue to be a successful meeting for
years to come.
PMID- 29360627
TI - Launaea taraxacifolia (Willd.) Amin ex C. Jeffrey inhibits oxidative damage and
econucleotidase followed by increased cellular ATP in testicular cells of rats
exposed to metropolitan polluted river water.
AB - BACKGROUND: Humans are directly/indirectly exposed to hazardous chemicals from
the aquatic environment. We investigated the protection of the Launea
taraxacifolia methanolic extract (LTME) on the hydroxyl steroid dehydrogenases
[(?5-3beta-hydroxyl steroid dehydrogenase (?5-3beta-HSD) and the ?5-17beta
hydroxyl steroid dehydrogenase (?5-17beta-HSD), testicular 5'-nucleotidase and
lactate dehydrogenase (LDH)] activities as well as the key indicators of
oxidative stress in germinal epithelial cells of rats induced with surulere
polluted river water (SPRW). METHODS: The animals were divided into six groups
(n=8). Group I was given 1 mL of distilled water only, Group II received 1 mL of
SPRW only, Group III received 200 mg/kg LTME before+1 mL of SPRW after, Group IV
received 200 mg/kg LTME+1 mL of SPRW, Group V received 1 mL of SPRW before+200
mg/kg LTME after and Group VI received 200 mg/kg LTME only. The treatment was
done via oral administration for 28 days. RESULTS: The HPLC results showed the
abundance of quercetin and quercitrin. The SPRW increased 5'-nucleotidase with
the concomitant decrease of ?5-3beta-HSD, ?5-17beta-HSD and LDH activities in
rats exposed in relation to the control. Similarly, the administration of the
SPRW caused a systemic oxidative damage along with adverse histopathological
changes in germinal epithelial cells. CONCLUSIONS: Interestingly, these
alterations were differentially reversed by LTME via the elevation of
steroidogenic enzymes and cellular ATP.
PMID- 29360628
TI - PHKG2 mutation spectrum in glycogen storage disease type IXc: a case report and
review of the literature.
AB - BACKGROUND: PHKG2 gene mutation can lead to liver phosphorylase kinase (PhK)
deficiency, which is related to glycogen storage disease type IX (GSD IX). GSD
IXc due to PHKG2 mutation is the second most common GSD IX. METHODS: We
identified a novel mutation (c.553C>T, p.Arg185X) in PHKG2 in a Chinese family
and verified it by next-generation and Sanger sequencing. The mutation spectrum
of the PHKG2 gene was summarized based on 25 GSD IXc patients with PHKG2
mutations. RESULTS: We found that missense mutation (39%) was the most common
type of mutation, followed by nonsense mutation (23%). Mutations were more
prevalent in Asian (12/25) and European (9/25) populations than in populations
from elsewhere. The exons had more sites of mutation than the introns, and exons
3 and 6 were the most frequent sites of mutations. CONCLUSIONS: This study
expands our knowledge of the PHKG2 gene mutation spectrum, providing a molecular
basis for GSD IXc.
PMID- 29360629
TI - The immunopathogenesis of Zika virus: an overview.
PMID- 29360630
TI - Impact of dermatologic adverse reactions on QOL in oncologic patients: results
from a single-center prospective study.
AB - INTRODUCTION: Skin toxicity in patients receiving novel therapeutic cancer agents
has become a very important marker in determining drug activity, but it can also
severely impact their quality of life. About half of the patients receiving this
type of oncologic treatment will develop cutaneous reactions, that is why
adequate understanding and management of these side effects is very important for
drug adherence and patients' quality of life. MATERIALS AND METHODS: We conducted
a prospective study of consecutive patients who received oncologic treatment in
our institution and presented with dermatologic side effects. The severity of
skin toxicity was assessed using the DLQI score and patients were prospectively
followed to evaluate response to therapy. Univariate analysis of factors
influencing the impact of skin toxicity on patient QOL was conducted. RESULTS: 52
patients were enrolled in the study. Patients who developed grade 3 and 4 skin
toxicity had a higher DLQI score, with a greater impact on quality of life, but
with better clinical outcome at 3 months follow-up, based on RECIST. Patients
with moderate or severe cutaneous AE were more likely to achieve complete or
partial response to therapy than those with mild AE (16/33 vs. 3/19, p = 0.035).
Interestingly, female patients had a significantly poorer quality of life than
male patients as assessed by the DLQI score (7.28 +/- 7 vs. 3.7 +/- 3.6, p =
0.038). CONCLUSION: Cutaneous side effects are often encountered in cancer
patients and their severity can be a surrogate marker for a positive clinical
tumor response to therapy.
PMID- 29360631
TI - Proof-of-concept: 3D bioprinting of pigmented human skin constructs.
AB - Three-dimensional (3D) pigmented human skin constructs have been fabricated using
a 3D bioprinting approach. The 3D pigmented human skin constructs are obtained
from using three different types of skin cells (keratinocytes, melanocytes and
fibroblasts from three different skin donors) and they exhibit similar
constitutive pigmentation (pale pigmentation) as the skin donors. A two-step drop
on-demand bioprinting strategy facilitates the deposition of cell droplets to
emulate the epidermal melanin units (pre-defined patterning of keratinocytes and
melanocytes at the desired positions) and manipulation of the microenvironment to
fabricate 3D biomimetic hierarchical porous structures found in native skin
tissue. The 3D bioprinted pigmented skin constructs are compared to the pigmented
skin constructs fabricated by conventional a manual-casting approach; in-depth
characterization of both the 3D pigmented skin constructs has indicated that the
3D bioprinted skin constructs have a higher degree of resemblance to native skin
tissue in term of the presence of well-developed stratified epidermal layers and
the presence of a continuous layer of basement membrane proteins as compared to
the manually-cast samples. The 3D bioprinting approach facilitates the
development of 3D in vitro pigmented human skin constructs for potential
toxicology testing and fundamental cell biology research.
PMID- 29360632
TI - Improved field emission properties of alpha-Fe2O3 nanoflakes with current aging
treatment and morphology optimization.
AB - alpha-Fe2O3 nanomaterials were synthesized by thermal oxidation of pure iron foil
and the effects of current aging treatment and morphology on their field emission
properties were systematically investigated. The current aging treatment was
found to be an efficient method to improve the field emission properties of alpha
Fe2O3 nanoflakes. The emission current density was largely enhanced from 0.05
5.70 mA cm-2 under an applied electrical field of 7.8 MV m-1, and their threshold
field decreased from than 11.0-6.6 MV m-1 after the current aging treatment. The
mechanism of the improvement in the field emission performance of alpha-Fe2O3
nanoflakes induced by the current aging treatment is discussed. alpha-Fe2O3
nanostructures with various morphologies were synthesized by adjusting the growth
temperatures between 300 degrees C-450 degrees C to optimize their
morphologies. alpha-Fe2O3 nanoflakes synthesized at 350 degrees C were superior
field emitters with a low threshold field of 5.1 MV m-1, high current density of
63.4 mA cm-2, and stable emission, which demonstrated that alpha-Fe2O3 nanoflakes
could be a promising material for application as field emitters.
PMID- 29360633
TI - Tunable photovoltaic performance of preferentially oriented rutile TiO2 nanorod
photoanode based dye sensitized solar cells with quasi-state electrolyte.
AB - Photoanodes made of highly oriented TiO2 nanorod (NR) arrays with different
aspect ratios were synthesized via a one-step hydrothermal technique.
Preferentially oriented single crystalline rutile TiO2 was confirmed by the
single peak in an XRD pattern (2theta = 63 degrees , (0 0 2)). FESEM images
evidenced the growth of an array of NRss having different geometries with respect
to reaction time and solution refreshment rate. The length, diameter and aspect
ratio of the NRs increased with reaction time as 4 h (1.98 MUm, 121 nm, 15.32), 8
h (4 MUm, 185 nm, 22.70), 12 h (5.6 MUm, 242 nm, 27.24) and 16 h (8 MUm, 254 nm,
38.02), respectively. Unlike a conventional dye-sensitized solar cell (DSSC) with
a liquid electrolyte, DSSCs were fabricated here using one-dimensional rutile
TiO2 NR based photoanodes, N719 dye and a quasi-state electrolyte. The charge
transport properties were investigated using current-voltage curves and fitted
using the one-diode model. Interestingly the photovoltaic performance of the
DSSCs increased exponentially with the length of the NR and was attributed to a
higher surface to volume ratio, more dye anchoring, and channelized electron
transport. The higher photovoltaic performance (Jsc = 5.99 mA cm-2, Voc = 750 mV,
eta = 3.08%) was observed with photoanodes (16 h) made with the longer, densely
packed TiO2 NRs (8 MUm, 254 nm).
PMID- 29360634
TI - The most challenging cases.
PMID- 29360635
TI - Remote retainer fabrication using teledentistry.
PMID- 29360636
TI - 2017 JCO Orthodontic Practice Study: Part 2 Practice Success.
PMID- 29360637
TI - Anterior open-bite correction with miniscrew anchorage and a combination of upper
lingual and lower labial appliances.
PMID- 29360638
TI - The easy driver for placement of palatal mini-implants and a maxillary expander
in a single appointment.
PMID- 29360639
TI - A new appliance for efficient molar distalization.
PMID- 29360640
TI - Biocreative torque-maintaining archwire for adult patients with pathologically
migrated incisors.
PMID- 29360641
TI - COP1/DET1/ETS axis regulates ERK transcriptome and sensitivity to MAPK
inhibitors.
AB - Aberrant activation of MAPK signaling leads to the activation of oncogenic
transcriptomes. How MAPK signaling is coupled with the transcriptional response
in cancer is not fully understood. In 2 MAPK-activated tumor types,
gastrointestinal stromal tumor and melanoma, we found that ETV1 and other Pea3
ETS transcription factors are critical nuclear effectors of MAPK signaling that
are regulated through protein stability. Expression of stabilized Pea3-ETS
factors can partially rescue the MAPK transcriptome and cell viability after MAPK
inhibition. To identify the players involved in this process, we performed a
pooled genome-wide RNAi screen using a fluorescence-based ETV1 protein stability
sensor and identified COP1, DET1, DDB1, UBE3C, PSMD4, and COP9 signalosome
members. COP1 or DET1 loss led to decoupling between MAPK signaling and the
downstream transcriptional response, where MAPK inhibition failed to destabilize
Pea3 factors and fully inhibit the MAPK transcriptome, thus resulting in
decreased sensitivity to MAPK pathway inhibitors. We identified multiple COP1 and
DET1 mutations in human tumors that were defective in the degradation of Pea3-ETS
factors. Two melanoma patients had de novo DET1 mutations arising after
vemurafenib treatment. These observations indicate that MAPK signaling-dependent
regulation of Pea3-ETS protein stability is a key signaling node in oncogenesis
and therapeutic resistance to MAPK pathway inhibition.
PMID- 29360643
TI - Tumor-infiltrating BRAFV600E-specific CD4+ T cells correlated with complete
clinical response in melanoma.
AB - T cells specific for neoantigens encoded by mutated genes in cancers are
increasingly recognized as mediators of tumor destruction after immune checkpoint
inhibitor therapy or adoptive cell transfer. Unfortunately, most neoantigens
result from random mutations and are patient specific, and some cancers contain
few mutations to serve as potential antigens. We describe a patient with stage IV
acral melanoma who achieved a complete response following adoptive transfer of
tumor-infiltrating lymphocytes (TILs). Tumor exome sequencing surprisingly
revealed fewer than 30 nonsynonymous somatic mutations, including oncogenic
BRAFV600E. Analysis of the specificity of TILs identified rare CD4+ T cells
specific for BRAFV600E and diverse CD8+ T cells reactive to nonmutated self
antigens. These specificities increased in blood after TIL transfer and persisted
long-term, suggesting they contributed to the effective antitumor immune
response. Gene transfer of the BRAFV600E-specific T cell receptor (TCR) conferred
recognition of class II MHC-positive cells expressing the BRAF mutation. Therapy
with TCR-engineered BRAFV600E-specific CD4+ T cells may have direct antitumor
effects and augment CD8+ T cell responses to self- and/or mutated tumor antigens
in patients with BRAF-mutated cancers.
PMID- 29360642
TI - Tie2 protects the vasculature against thrombus formation in systemic
inflammation.
AB - Disordered coagulation contributes to death in sepsis and lacks effective
treatments. Existing markers of disseminated intravascular coagulation (DIC)
reflect its sequelae rather than its causes, delaying diagnosis and treatment.
Here we show that disruption of the endothelial Tie2 axis is a sentinel event in
septic DIC. Proteomics in septic DIC patients revealed a network involving
inflammation and coagulation with the Tie2 antagonist, angiopoietin-2 (Angpt-2),
occupying a central node. Angpt-2 was strongly associated with traditional DIC
markers including platelet counts, yet more accurately predicted mortality in 2
large independent cohorts (combined N = 1,077). In endotoxemic mice, reduced Tie2
signaling preceded signs of overt DIC. During this early phase, intravital
imaging of microvascular injury revealed excessive fibrin accumulation, a pattern
remarkably mimicked by Tie2 deficiency even without inflammation. Conversely,
Tie2 activation normalized prothrombotic responses by inhibiting endothelial
tissue factor and phosphatidylserine exposure. Critically, Tie2 activation had no
adverse effects on bleeding. These results mechanistically implicate Tie2
signaling as a central regulator of microvascular thrombus formation in septic
DIC and indicate that circulating markers of the Tie2 axis could facilitate
earlier diagnosis. Finally, interventions targeting Tie2 may normalize
coagulation in inflammatory states while averting the bleeding risks of current
DIC therapies.
PMID- 29360644
TI - Parameters Associated With Mandibular Osteoradionecrosis.
AB - The objective of this review is to discuss factors related to the risk of
osteoradionecrosis (ORN) and how to minimize the likelihood of this complication.
A PubMed search for publications pertaining to ORN within the last 3 years was
conducted revealing 44 publications. The bibliographies of these publications
were reviewed to identify additional references spanning a longer time period.
The incidence of ORN is 5% to 10% with a median latency period of 1 to 2 years or
less. The likelihood of ORN depends on a number of factors including primary site
and extent of disease, dental status, treatment modality, radiotherapy (RT) dose,
volume of mandible included in the planning target volume, RT fractionation
schedule and technique, and teeth extractions. The risk of ORN may be reduced by
limiting the RT dose and volume of mandible irradiated without increasing the
risk of a local-regional recurrence due to a marginal miss.
PMID- 29360645
TI - Breast Cancer Stage at Diagnosis in a New Jersey Cancer Education and Early
Detection Site.
AB - OBJECTIVES: The National Breast and Cervical Cancer Early Detection Program
provides free or low-cost screening to uninsured or underinsured women and has
had positive results; however, only a few state programs have been evaluated.
This study will provide a first snapshot of the effectiveness of the New Jersey
program, by comparing stage at diagnosis for enrollees as compared with
nonenrollees who received definitive treatment for breast cancer at the same
academic medical center. MATERIALS AND METHODS: A retrospective analysis of 5
years of breast cancer data abstracted from the Cancer Registry of a large urban
hospital in the Northeast United States. Bivariate analysis and logistic
regression were utilized. RESULTS: One thousand forty women were screened for and
diagnosed with breast cancer at this site; they were more likely to be racially
(P<0.001) and ethnically (P<0.002) diverse as compared with nonenrollees.
Enrollees were more likely to be symptomatic at diagnosis (P<0.001), and
diagnosed at a late stage when compared with nonenrollees (odds ratio, 1.88; 95%
confidence interval, 1.07-3.29). CONCLUSIONS: This national program was developed
to serve low income, under and uninsured women who may otherwise have limited
access to cancer screening services. It appears that many women enroll in this
program needing diagnostic rather than screening services, after breast symptoms
were noted. This finding helps to emphasize the fact that just providing free
screening services is not enough to make up for the lack of a usual source of
preventive care for low income and uninsured women.
PMID- 29360646
TI - Effects of Cane Use and Position on Performance of the Sit-to-Stand Task in
Stroke Patients.
AB - OBJECTIVE: The aim of the study was to examine the effect of cane use and cane
positions on the sit-to-stand performance of stroke patients. DESIGN: In a
crossover study, 30 stroke patients performed sit-to-stand test in seven
situations in a random sequence: without a cane, three positions with a regular
cane (parallel to the ankle, parallel to the 5th toe, and 10 cm in front of the
5th toe), and the same three positions with a quad cane. The peak vertical ground
reaction force and maximum vertical cane support force during sit-to-stand were
recorded. RESULTS: Using a cane significantly reduced the peak ground reaction
force by 3% to 9% of body weight compared with that without a cane (P = 0.000
0.023). Different cane positions strongly influenced the maximum cane support
force and peak ground reaction force. When the cane was closer to the ankle, the
maximal cane support force increased by 6.7% to 8.6% of body weight, which
resulted in a decrease in the peak ground reaction force. CONCLUSIONS: Both types
of cane reduced lower limbs' load during sit to stand. When the cane was closer
to the trunk, the load on legs was lessened, whereas the load on the upper limb
increased. Upper limb's load during sit to stand was greater when using quad cane
than using regular cane.
PMID- 29360647
TI - Premorbid Activity Limitation Stages Are Associated With Posthospitalization
Discharge Disposition.
AB - OBJECTIVE: Activity of daily living stages and instrumental activity of daily
living stage have demonstrated associations with mortality and health service use
among older adults. This cohort study aims to assess the associations of
premorbid activity limitation stages with acute hospital discharge disposition
among community-dwelling older adults. DESIGN: Study participants were Medicare
beneficiaries aged 65 yrs or older who enrolled in the Medicare Current
Beneficiary Survey between 2001 and 2009. Associations of premorbid stages with
discharge dispositions were estimated with multinomial logistic regression models
adjusted for covariates. RESULTS: The proportions of elderly Medicare patients
discharged to home with self-care, home with services, postacute care facilities,
and other dispositions were 59%, 15%, 19%, and 7%, respectively. The following
adjusted relative risk ratios and 95% confidence intervals of postacute care
facilities versus home with self-care discharge increased with higher premorbid
activity limitation stages (except nonfitting stage III): 1.7 (1.5-2.0), 2.4 (2.0
2.9), 2.4 (1.9-3.0), and 2.5 (1.6-4.1) for activity of daily living stages I-IV;
a similar pattern was found for instrumental activity of daily living stages. The
adjusted relative risk ratios of discharge to home with services also increased
with higher premorbid activity limitation stages compared with no limitation.
CONCLUSIONS: Routinely assessed activity limitation stages predict
posthospitalization discharge disposition among older adults and may be used to
anticipate postacute care and services use by elderly Medicare beneficiaries.
PMID- 29360648
TI - Artificial intelligence estimates the impact of human papillomavirus types in
influencing the risk of cervical dysplasia recurrence: progress toward a more
personalized approach.
AB - The objective of this study was to determine whether the pretreatment human
papillomavirus (HPV) genotype might predict the risk of cervical dysplasia
persistence/recurrence. Retrospective analysis of prospectively collected data of
consecutive 5104 women who underwent the HPV-DNA test were matched with
retrospective data of women undergoing either follow-up or medical/surgical
treatment(s) for genital HPV-related infection(s). Artificial neuronal network
(ANN) analysis was used in order to weight the importance of different HPV
genotypes in predicting cervical dysplasia persistence/recurrence. ANN simulates
a biological neuronal system from both the structural and functional points of
view: like neurons, ANN acquires knowledge through a learning-phase process and
allows weighting the importance of covariates, thus establishing how much a
variable influences a multifactor phenomenon. Overall, 5104 women were tested for
HPV. Among them, 1273 (25%) patients underwent treatment for HPV-related
disorders. LASER conization and cervical vaporization were performed in 807 (59%)
and 386 (30%) patients, respectively, and secondary cervical conization in 45
(5.5%). ANN technology showed that the most important genotypes predicting
cervical dysplasia persistence/recurrence were HPV-16 (normalized importance:
100%), HPV-59 (normalized importance: 51.2%), HPV-52 (normalized importance:
47.7%), HPV-18 (normalized importance: 32.8%) and HPV-45 (normalized importance:
30.2%). The pretreatment diagnosis of all of those genotypes, except HPV-45,
correlated with an increased risk of cervical dysplasia persistence/recurrence;
the pretreatment diagnosis was also arrived at using standard univariate and
multivariable models (P<0.01). Pretreatment positivity for HPV-16, HPV-18, HPV-52
and HPV-59 might correlate with an increased risk of cervical dysplasia
persistence/recurrence after treatment. These data might be helpful during
patients' counseling and to implement new vaccination programs.
PMID- 29360649
TI - A cross-sectional analysis of ex-smokers and characteristics associated with
quitting smoking: The Polish Norwegian Study (PONS).
AB - Tobacco smoking remains a number one preventable risk factor of premature death
worldwide. Findings of recent research show concurrent trends of lung cancer
deaths in males and females in Europe. Although lung cancer death rates are
consistently decreasing in male population, in women an upward trend is observed.
The burden of tobacco-related harm can be prevented by smoking cessation. The
main goal of this analysis is to identify the crucial correlates of successful
smoking cessation in the middle-aged Polish population. The data came from 13 172
survey participants south-eastern part of Poland as part of the PONS cohort study
established in 2010. A total of 6998 records of those who were either ex-smokers
or current smokers at baseline were analyzed. We applied logistic regression and
adjusted for sociodemographic covariates and health determinants. Characteristics
related to being an ex-smoker as opposed to a current smoker included: older age
[men: odds ratio (OR)=1.03, 95% confidence interval (CI)=1.01-1.05; women:
OR=1.05, 95% CI=1.03-1.07], being married or living together, having secondary
(OR=1.51, 95% CI=1.14-1.99) or higher (OR=2.30, 95% CI=1.75-3.18) education
(women), full-time employment (men), alcohol consumer (women), being overweight
(men: OR=2.85, 95% CI=2.26-3.59; women: OR=1.60, 95% CI=1.36-1.87) or obese (men:
OR=3.47, 95% CI=2.67-4.51; women: OR=2.99, 95% CI=2.45-3.65), having normal
fasting glucose and cholesterol blood level without any treatment (women),
assessing their own health highly (9-10, on the scale from 1 to 10) and having at
least one accompanying chronic disease (women, OR=1.25, 95% CI=1.07-1.45). These
findings provide valuable information on characteristics of ex-smokers as well as
behavioral and sociodemographic predictors of successful cessation. Such data
expand our knowledge and can be used to design a more comprehensive and targeted
group-specific tobacco control policy focused on increasing the number of ex
smokers.
PMID- 29360651
TI - Improving the Clinical Pharmacologic Assessment of Abuse Potential: Part 2:
Optimizing the Design of Human Abuse Potential Studies.
AB - PURPOSE: This article discusses the conduct of a human abuse potential study as
outlined in the Food and Drug Administration Final Guidance to Industry on
Assessment of Abuse Potential. In addition, areas where alternative approaches
should be considered are proposed. PROCEDURES: The design, end points, conduct,
and interpretation of the human abuse potential study were reviewed, analyzed,
and placed in the context of current scientific knowledge and best practices to
mitigate regulatory risk and expedite drug development. FINDINGS: The guidance is
based on regulatory needs and current scientific practices. However, the
reliability and utility of such studies can be improved with better subject
selection, data collection, standardization of data collection and staff
training, and a better understanding of the measurement properties of the
dependent measures. CONCLUSIONS: The guidance provides a useful framework for
conduct of human abuse potential studies. However, design assumptions, poor
choice of end points, failure to consider alternate approaches, and limited
experience with interpretation can result in an inadequate study or one that does
not fairly represent the abuse potential of a new chemical entity. Methodologic
development is needed to strengthen the regulatory framework. The Food and Drug
Administration or the National Institutes on Drug Abuse could take a targeted
initiative to encourage this work.
PMID- 29360650
TI - Exploring Nitrous Oxide as Treatment of Mood Disorders: Basic Concepts.
AB - Nitrous oxide (laughing gas) has shown early promise as a rapidly acting
antidepressant in patients with treatment-resistant major depression and is
currently investigated in several clinical trials. Because nitrous oxide is
rarely administered outside operating rooms or dental practices, most
psychiatrists are not familiar with how nitrous oxide is administered in a
medical setting and what regulations guide its use. The goal of this brief review
was to educate psychiatrists about the basic concepts of nitrous oxide
administration and pharmacology. Furthermore, common misconceptions about nitrous
oxide will be discussed.
PMID- 29360652
TI - Hyperprolactinemic Galactorrhea as a Side Effect of Aripiprazole: An Adolescent
Case (Aripiprazole-Related Hyperprolactinemic Galactorrhea).
PMID- 29360653
TI - Regional Dermabrasion of Nasal Surgical Scars and Rhinophyma Using Electrocautery
Scratch Pads.
PMID- 29360655
TI - Hypertrophic Lichen Planus and Well-Differentiated Squamous Cell Carcinoma: A
Diagnostic Conundrum.
PMID- 29360654
TI - Commentary on Punctuated 88% Phenol Peeling for the Treatment of Facial
Photoaging.
PMID- 29360656
TI - Retrospective Multistudy Analysis of Axillary Odor Reduction After Microwave
Treatment.
PMID- 29360657
TI - Combination of Melolabial Interpolation Flap and Nasal Sidewall and Cheek
Advancement Flaps Allows for Repair of Complex Compound Defects.
AB - BACKGROUND: The paramedian forehead flap (PMFF) is the repair of choice for large
nasal defects involving multiple cosmetic subunits. However, the PMFF may not be
optimal for all patients. The combination of a melolabial interpolation flap
(MLIF) and a nasal sidewall flap may serve as an alternative. OBJECTIVE: To
present the surgical technique and aesthetic outcomes of the alternative
reconstruction for surgical defects of the lower nose. MATERIALS AND METHODS:
Fourteen patients with multisubunit nasal Mohs defects reconstructed
alternatively were identified from 2 academic centers in this retrospective case
series. Illustrations and photographs were used to demonstrate surgical technique
and outcomes. Final aesthetic results were analyzed using the Patient and
Observer Scar Assessment Scale. RESULTS: The physician observer rated the scar
outcome a mean score of 10.9 +/- 3.3. Patients rated their results as a mean of
9.1 +/- 4.7. The mean "Patient" Overall Opinion score was 2.3 +/- 2.6 and the
mean "Observer" Overall Opinion score was 1.9 +/- 0.9. No patients reported
problems with nasal airflow or obstruction, and cosmetic complications such as
pincushioning or alar buckling were not observed. CONCLUSION: The combined MLIF
and nasal sidewall flap is an alternative repair for complex distal nasal
defects.
PMID- 29360659
TI - High Risk of Mismatch Between Sanders and Risser Staging in Adolescent Idiopathic
Scoliosis: Are We Guiding Treatment Using the Wrong Classification?
AB - BACKGROUND: Despite known limitations, Risser staging has traditionally been the
primary marker of skeletal maturity utilized in decision-making for treatment of
adolescent idiopathic scoliosis (AIS). The purpose of this study is to assess the
incidence and factors associated with mismatch between Risser Staging and Sanders
classification, and determine interobserver reliability. METHODS: We reviewed the
medical records of consecutive patients aged 10 to 18 referred to our institution
for evaluation of AIS from January to June 2016 with a closed triradiate
cartilage. Data collected included sex, age, race, height, weight, body mass
index percentile, menarchal status, Risser stage, Sanders classification, and
major curve. Risser and Sanders stage was determined by 2 fellowship-trained
pediatric spine surgeons and 1 pediatric orthopaedic nurse practitioner. Mismatch
was defined as Risser stage 2 to 4 corresponding to Sanders 3 to 5, and Risser 0
to 1 corresponding to Sanders 6 to 7. RESULTS: A total of 165 consecutive
patients were identified (mean age: 13.9+/-1.7 y, major curve 28.2+/-15.4
degrees, 76% female). The risk of skeletal maturity mismatch, based on the
criteria of Risser 2 to 5 (limited growth remaining) corresponding to Sanders 3
to 5 (significant growth remaining) was 21.8%, indicating that 1 of 5 patients
would be undertreated if managed by Risser criteria. Conversely, the mismatch
risk for Risser 0 to 1 corresponding to Sanders 6 to 7 was 3.6%, leading such
patients to be treated conservatively longer than necessary. Males and those of
Hispanic ethnicity were at a higher risk of mismatch (23.1% vs. 11.9%, P=0.08;
33.3% vs. 8.8%, P=0.04, respectively). Body mass index percentile, race, and
major curve were not associated with mismatch. The unweighted and weighted
interobserver kappa for Risser staging was 0.74 and 0.82, respectively, and 0.86
and 0.91 for Sanders classification, respectively. CONCLUSION: Given the limited
sensitivity of Risser staging during peak growth velocity, high mismatch risk,
and lower interobserver reliability, the Sanders classification should be
utilized to guide treatment options in patients with AIS. Compared with Sanders,
utilizing Risser staging results in mistreatment in a total of 1 of 4 patients,
with the vast majority being undertreated. LEVEL OF EVIDENCE: Level II.
PMID- 29360658
TI - Hyaluronic Acid Filler Injections Under the Metatarsal Heads Provide a
Significant and Long-Lasting Improvement in Metatarsalgia From Wearing High
Heeled Shoes.
AB - BACKGROUND: Metatarsalgia is a common overuse injury that may be caused by
wearing high-heeled shoes. OBJECTIVE: To evaluate the decrease in metatarsalgia
using a hyaluronic acid dermal filler. METHODS: A 6-month, open study was
conducted in 15 subjects with metatarsalgia because of regularly wearing high
heeled shoes. Hyaluronic acid (20 mg/mL) with lidocaine hydrochloride (3 mg/mL)
was injected under the metatarsal heads at baseline. Pain (on a 0-10 scale) under
the metatarsal heads when walking in high heels was recorded in a weekly subject
diary. RESULTS: At 6 months after injections, 5 subjects (33.3%) reported no
metatarsalgia pain. For subjects with pain, they were able to wear high heels for
significantly longer than before the injections (7.2 hours at 6 months vs 3.4
hours at baseline). Significant improvements from baseline were observed at Month
6 for time to onset of pain (3.5 hours longer), time between onset of pain and
intolerable pain (1.9 hours longer), and pain sensation (-2.2 grades at onset and
-3.8 grades at shoe removal). No adverse events were reported. CONCLUSION:
Injection of hyaluronic acid filler to the forefeet provided a significant
effective, long-lasting, and well-tolerated improvement in metatarsalgia because
of wearing high-heeled shoes.
PMID- 29360660
TI - Relationships Between the Axial Derotation of the Lower Instrumented Vertebra and
Uninstrumented Lumbar Curve Correction: Radiographic Outcome in Lenke 1
Adolescent Idiopathic Scoliosis With a Minimum 2-Year Follow-up.
AB - BACKGROUND: Preoperative spinal parameters are used to guide the fusion levels in
adolescent idiopathic scoliosis (AIS) spinal surgery. However, the impact of the
factors modifiable by the surgeon in varying levels of preoperative patient
specific variables is not fully explored. The goal of this study was to identify
the association between axial rotation correction of the lower instrumented
vertebra (LIV) and spontaneous correction of the uninstrumented lumbar spine as a
function of preoperative 3 dimensional (3D) curve characteristics in Lenke 1 AIS.
METHODS: Twenty-three Lenke1 AIS with a minimum 2-year follow-up were included.
All patients had biplanar spinal x-rays and 3D reconstructions at preoperative,
first erect, and 2-year follow-up visits. Five patient factors were measured
preoperatively: kyphosis and lumbar modifiers, and thoracic to lumbar curve
rotation, translation, and frontal deformity angle ratios. One surgical factor,
percentage of LIV rotation correction, was determined from the preoperative and
first erect 3D models. A factorial design analysis was implemented to determine
the impact of surgical and patient factors, both separately and in combination,
on 2-year radiographic outcomes of spontaneous correction of the uninstrumented
spine. RESULTS: Spontaneous lumbar Cobb and lumbar apical rotation correction
were predicted significantly by patient and surgical factors, P<0.05. Lumbar
modifier, percentage correction of LIV rotation, the interaction between LIV
rotation correction and lumbar modifier, and the interaction between LIV rotation
correction and thoracic to lumbar apical vertebrae translation ratio correlated
significantly to 2-year outcomes of spontaneous lumbar Cobb correction, P<0.05.
Lumbar modifier and the interaction between the Cobb ratio and the percentage of
the LIV rotation correction correlated significantly to 2-year outcomes of lumbar
apical rotation correction, P<0.05. CONCLUSION: The relationship between LIV
rotation correction and spontaneous lumbar curve correction after selective
thoracic fusion varied based on the patient's 3D preoperative curve
characteristics. Patients with lumbar modifier C and apical vertebrae translation
ratios >1.5 showed improved lumbar Cobb correction in 2-years when 50% or more
LIV rotation correction was achieved surgically.
PMID- 29360661
TI - Palbociclib has no clinically relevant effect on the QTc interval in patients
with advanced breast cancer.
AB - The aim of this study was to assess the potential effects of palbociclib in
combination with letrozole on QTc. PALOMA-2, a phase 3, randomized, double-blind,
placebo-controlled trial, compared palbociclib plus letrozole with placebo plus
letrozole in postmenopausal women with estrogen receptor-positive, human
epidermal growth factor receptor 2-negative advanced breast cancer. The study
included a QTc evaluation substudy carried out as a definitive QT interval
prolongation assessment for palbociclib. Time-matched triplicate ECGs were
performed at 0, 2, 4, 6, and 8 h at baseline (Day 0) and on Cycle 1 Day 14.
Additional ECGs were collected from all patients for safety monitoring. The QT
interval was corrected for heart rate using Fridericia's correction (QTcF),
Bazett's correction (QTcB), and a study-specific correction factor (QTcS). In
total, 666 patients were randomized 2 : 1 to palbociclib plus letrozole or
placebo plus letrozole. Of these, 125 patients were enrolled in the QTc
evaluation substudy. No patients in the palbociclib plus letrozole arm of the
substudy (N=77) had a maximum postbaseline QTcS or QTcF value of >= 480 ms, or a
maximum increase from clock time-matched baseline for QTcS or QTcF values of >=
60 ms. The upper bounds of the one-sided 95% confidence interval for the mean
change from time-matched baseline for QTcS, QTcF, and QTcB at all time points and
at steady-state Cmax following repeated administration of 125 mg palbociclib were
less than 10 ms. Palbociclib, when administered with letrozole at the recommended
therapeutic dosing regimen, did not prolong the QT interval to a clinically
relevant extent.
PMID- 29360663
TI - Kinetic Asymmetry during Running at Preferred and Nonpreferred Speeds.
AB - PURPOSE: The aim of this study was to investigate the effect of altering
preferred running speed by +/-20% on kinetic asymmetry. METHODS: Three
dimensional motion analysis and force data were acquired from 15 healthy males
(age, 27 +/- 4.6 yr; height, 1.81 +/- 0.09 m; mass, 80.4 +/- 12.4 kg) during
their preferred running speed and at +/-20% of this speed. Three-tesla magnetic
resonance images were used to measure Achilles tendon cross-sectional area and
moment arm, for use in calculation of tendon stress. Kinetic and tendon stress
asymmetry were subsequently calculated in each condition using the symmetry
index. RESULTS: Across all joints and conditions, the average asymmetry of peak
moments was between +/-6%, but higher individual values were observed. There was
no effect of speed on the magnitude of asymmetry. Ground contact times, vertical
ground reaction forces, and support and ankle moments (maximum absolute
asymmetry, 9%) were more symmetrical than hip and knee moments (up to 18%).
Individual joint contribution to support moment and positive work were similar in
both limbs, and ankle and hip compensatory interactions were observed with
alterations in running speed. Achilles tendon stress increased with increased
running speed, with higher stress in the preferred limb; asymmetry in tendon
stress was not related to asymmetry in vertical ground reaction forces.
CONCLUSION: Results show small effects of altering running speed on kinetic
asymmetry, but responses are individual specific with interactions occurring
between joints to maintain overall movement symmetry. Further research is needed
to understand the mechanical and neuromuscular mechanisms underpinning these
compensations.
PMID- 29360662
TI - FoxM1 promotes epithelial-mesenchymal transition, invasion, and migration of
tongue squamous cell carcinoma cells through a c-Met/AKT-dependent positive
feedback loop.
AB - Forkhead box protein M1 (FoxM1) has been associated with cancer progression and
metastasis. However, the function of FoxM1 in tongue squamous cell carcinoma
(TSCC) remains largely unknown. The purpose of this study was to determine the
role of FoxM1 in regulation of epithelial-mesenchymal transition (EMT) and
migration of TSCC cells. We found that FoxM1 induced EMT and increased
invasion/migration capacity in SCC9 and SCC25 cells. FoxM1 stimulation increased
c-Met, pAKT, and vimentin levels but decreased E-cadherin level. Chromatin
immunoprecipitation assay established that FoxM1 is bound to the promoter of c
Met to activate its transcription. In turn, c-Met promoted the expression of
FoxM1 and pAKT. Blocking AKT signaling attenuated the invasion and migration of
SCC9 and SCC25 cells stimulated by FoxM1 or c-Met. These results indicate that a
positive feedback loop controls the EMT and migration of TSCC cells induced by
FoxM1 and c-Met through AKT. Furthermore, the expression levels of FoxM1, pAKT,
and c-Met were found to significantly increase in TSCC tissues compared with
normal tissues, and these three biomarkers were concomitantly expressed in TSCC
tissues. Clinical association analyses indicated that the expression of FoxM1, c
Met, and pAKT was associated with clinicopathological characteristics of patients
with TSCC including tumor stage, tumor size, and lymph node metastasis. Taken
together, our findings suggest that FoxM1 promotes the EMT, invasion and
migration of TSCC cells, and cross-talks with c-Met/AKT signaling to form a
positive feedback loop to promote TSCC development.
PMID- 29360664
TI - Beyond Cut Points: Accelerometer Metrics that Capture the Physical Activity
Profile.
AB - PURPOSE: Commonly used physical activity metrics tell us little about the
intensity distribution across the activity profile. The purpose of this paper is
to introduce a metric, the intensity gradient, which can be used in combination
with average acceleration (overall activity level) to fully describe the activity
profile. METHODS: A total of 1669 adolescent girls (sample 1) and 295 adults with
type 2 diabetes (sample 2) wore a GENEActiv accelerometer on their nondominant
wrist for up to 7 d. Body mass index and percent body fat were assessed in both
samples and physical function (grip strength, Short Physical Performance Battery,
and sit-to-stand repetitions) in sample 2. Physical activity metrics were as
follows: average acceleration (AccelAV); the intensity gradient (IntensityGRAD
from the log-log regression line: 25-mg intensity bins [x]/time accumulated in
each bin [y]); total moderate-to-vigorous physical activity (MVPA); and bouted
MVPA (sample 2 only). RESULTS: Correlations between AccelAV and IntensityGRAD (r
= 0.39-0.51) were similar to correlations between AccelAV and bouted MVPA (r =
0.48) and substantially lower than between AccelAV and total MVPA (r >= 0.93).
IntensityGRAD was negatively associated with body fatness in sample 1 (P < 0.05)
and positively associated with physical function in sample 2 (P < 0.05);
associations were independent of AccelAV and potential covariates. By contrast,
MVPA was not independently associated with body fatness or physical function.
CONCLUSION: AccelAV and IntensityGRAD provide a complementary description of a
person's activity profile, each explaining unique variance, and independently
associated with body fatness and/or physical function. Both metrics are
appropriate for reporting as standardized measures and suitable for comparison
across studies using raw acceleration accelerometers. Concurrent use will
facilitate investigation of the relative importance of intensity and volume of
activity for a given outcome.
PMID- 29360665
TI - Corticosteroids in Pediatric Septic Shock Are Helpful.
PMID- 29360666
TI - Specific Donor HLA-DR Types Correlate With Altered Susceptibility to Development
of Chronic Lung Allograft Dysfunction.
AB - BACKGROUND: The greatest challenge to long-term graft survival is the development
of chronic lung allograft dysfunction. Th17 responses to collagen type V (colV)
predispose lung transplant patients to the severe obstructive form of chronic
lung allograft dysfunction, known as bronchiolitis obliterans syndrome (BOS). In
a previous study cohort (n = 54), pretransplant colV responses were increased in
recipients expressing HLA-DR15, consistent with the high binding avidity of colV
(alpha1) peptides for HLA-DR15, whereas BOS incidence, which was known to be
strongly associated with posttransplant autoimmunity to colV, was higher in
patients who themselves lacked HLA-DR15, but whose lung donor expressed it.
METHODS: To determine if this DR-restricted effect on BOS incidence could be
validated in a larger cohort, we performed a retrospective analysis of outcomes
for 351 lung transplant recipients transplanted between 1988 and 2008 at the
University of Wisconsin. All subjects were followed until graft loss, death, loss
to follow-up, or through 2014, with an average follow-up of 7 years. Comparisons
were made between recipients who did or did not develop BOS. Grading of BOS
followed the recommendations of the international society for heart and lung
transplantation. RESULTS: Donor HLA-DR15 was indeed associated with increased
susceptibility to severe BOS in this population. We also discovered that HLA-DR7
expression by the donor or HLA-DR17 expression by the recipient decreased
susceptibility. CONCLUSIONS: We show in this retrospective study that specific
donor HLA class II types are important in lung transplantation, because they are
associated with either protection from or susceptibility to development of severe
BOS.
PMID- 29360667
TI - The Influence of Environmental Enrichment on Cardiovascular and Behavioral
Responses to Social Stress.
AB - OBJECTIVE: Stress is linked to negative cardiovascular consequences and increases
in depressive behaviors. Environmental enrichment (EE) involves exposure to novel
items that provide physical and cognitive stimulation. EE has behavioral,
cognitive, and neurobiological effects that may improve stress responses in
humans and animal models. This study investigated the potential protective
effects of EE on behavior and cardiovascular function in female prairie voles
after a social stressor. METHODS: Radiotelemetry transmitters were implanted into
female prairie voles to measure heart rate (HR) and heart rate variability (HRV)
throughout the study. All females were paired with a male partner for 5 days,
followed by separation from their partner for 5 additional days, and a 10-day
treatment period. Treatment consisted of continued isolation, isolation with EE,
or re-pairing with the partner (n = 9 per group). After treatment, animals were
observed in the forced swim test (FST) for measures of stress coping behaviors.
RESULTS: Isolation elevated HR and reduced HRV relative to baseline for all
groups (p < .001). HR and HRV returned to baseline in the EE and re-paired
groups, but not in the continued isolation group (p < .001). Animals in the EE
and re-paired groups displayed significantly lower immobility time (p < .001) and
HR (p < .03) during the FST, with a shorter latency for HR to return to baseline
levels after the FST, relative to the continued isolation group (p < .001).
CONCLUSIONS: EE and re-pairing reversed the negative behavioral and
cardiovascular consequences associated with social isolation.
PMID- 29360668
TI - Your Anesthesiologist Self.
PMID- 29360669
TI - Complications Following Arthroscopic Rotator Cuff Repair and Reconstruction.
PMID- 29360670
TI - Not All Polyaxial Locking Screw Technologies Are Created Equal: A Systematic
Review of the Literature.
AB - BACKGROUND: Locking plate fixation strength relies on axial alignment of the
screw axis and plate hole, with small deviations in alignment substantially
decreasing the load to failure. In an effort to overcome this technical
deficiency, polyaxial locking plates were designed to provide increased
flexibility of screw positioning with the intent of not sacrificing fixation
strength. The purpose of this article is to review the variety of polyaxial
locking mechanisms currently available, to compare the biomechanical performance
of these designs, and to highlight their differences, which may have clinical
implications. METHODS: A systematic review using the search terms "polyaxial
locking," "variable angle locking," "polyaxial screws," and "variable angle
screws" was conducted to identify all English-language articles assessing
variable-angle locking screw technology. All articles directly comparing the
biomechanical performance of polyaxial locking technologies were included.
RESULTS: Polyaxial locking is achieved by 5 described mechanisms: point-loading
thread-in, cut-in, locking cap, expansion bushing, and screw-head expansion. With
increasing insertion angulation, point-loading thread-in and cut-in designs
demonstrate reduced failure strength. However, locking-cap fixation maintains
consistent failure strength with increasing off-axis insertion angles.
CONCLUSIONS: Reports comparing polyaxial locking technologies are limited. The
current biomechanical literature raises concerns that these mechanisms have
various strengths and performance characteristics. Based on the results of the
few studies that exist, it appears that locking-cap fixation provides superior
biomechanical strength when compared with point-loading and cut-in designs.
Additional studies are needed to assess variable-angle locking mechanisms more
completely.
PMID- 29360671
TI - Neonatal Abstinence Syndrome: Exploring Nurses' Attitudes, Knowledge, and
Practice.
AB - BACKGROUND: As opioid abuse increases in the United States, the rate of neonatal
abstinence syndrome (NAS) rises dramatically. Caring for infants with NAS and
their families is a significant challenge to neonatal nurses. PURPOSE: The
purpose of this survey study was to explore attitudes and practice trends among
nurses caring for infants with NAS. The study also aimed to identify any gaps in
knowledge about NAS. METHOD: An anonymous, cross-sectional survey study was
conducted using a researcher-developed questionnaire. The survey questionnaire
included 20 Likert-scale questions regarding nurses' attitudes, knowledge, and
practice in care of infants with NAS, 1 case study with 3 questions, and 2 open
ended questions. Nurses, including advanced practice nurses and nurse leaders,
were invited to participate at a regional neonatal nursing conference in the New
England area. RESULTS: A total of 54 participants responded, the majority being
white, female, non-Hispanic, and bachelor's prepared. Many nurses shared concerns
regarding the setting in which infants with NAS are cared for. Nurses expressed
varying attitudes regarding interacting with the mothers but generally wanted to
build a partnership with them. Nurses also reported a lack of standardized and
consistent practice in care for infants with NAS. Three major themes were
identified from open-ended questions, including environmental issues,
relationship with the mother, and inconsistency in care. IMPLICATIONS FOR
PRACTICE: Further research is needed for nurses providing care to infants with
NAS. Specific education programs are needed for nurses who are caring for infants
with NAS. IMPLICATIONS FOR RESEARCH: Further research is needed regarding the
effects of NAS on nurses and other healthcare providers.
PMID- 29360672
TI - Effects of a Psychoeducational Intervention in Patients With Breast Cancer
Undergoing Chemotherapy.
AB - BACKGROUND: Compelling evidence has yet to be published regarding the positive
effect of psychoeducational interventions (PEIs) on psychological distress in
patients with breast cancer. The impact of PEIs on self-efficacy, resilience, and
quality of life is also unclear. PURPOSE: The aim of this study was to assess the
effects of a PEI on anxiety, depression, disease-specific care knowledge, self
efficacy, resilience and quality of life in patients with breast cancer during
and after chemotherapy. The intervention was administered before and during five
rounds of chemotherapy treatment. METHODS: A randomized controlled trial was
conducted. Patients with breast cancer (N = 40) were randomly assigned to either
the experimental or control group. The experimental group participated in PEI, a
brief and highly structured program consisting of two parts: (a) an educational
manual that addressed depression, anxiety, disease-specific care knowledge, self
efficacy, and resilience and (b) a self-assessment of learning. The control group
received only traditional pamphlet education. Data were collected at four time
points: before the first chemotherapy session (T1), during the third chemotherapy
session (T2), during the fifth chemotherapy session (T3), and at 2 weeks after
the final chemotherapy session (T4). RESULTS: Anxiety, depression, resilience,
and quality of life in the experimental group showed significant differences at
T4. Significant differences became apparent at T2 for knowledge and at T3 for
self-efficacy. The effects of knowledge, resilience, and quality of life remained
significant when group and time interactions were included in the model, showing
a positive relationship between PEI and the variables of knowledge, resilience,
and quality of life. CONCLUSIONS/IMPLICATIONS FOR PRACTICE: Face-to-face PEI for
patients with breast cancer is potentially effective in improving knowledge,
resilience, and quality of life during and after chemotherapy. In the current
study, PEI significantly improved disease care techniques, reduced chemotherapy
related discomfort, and improved quality of life for participants in the
experimental group.
PMID- 29360673
TI - The Weakest Point of "The Shepherd's Crook" Technique: Suture Tension.
PMID- 29360675
TI - Validation of a Falls Risk Screening Tool Derived From InterRAI Acute Care
Assessment.
AB - OBJECTIVES: This study aimed to develop and validate a falls risk screening tool
derived from interRAI Acute Care (AC) Assessment. METHODS: For derivation and
validation, two prospective cohorts were recruited from AC hospitals in
Australia. The derivation cohort comprised 1418 patients from 11 hospitals. In
the validation cohort, 393 patients were recruited from four hospitals. The
interRAI AC tool was used to collect comprehensive geriatric assessment data at
admission. In-hospital falls were documented from medical records. A falls risk
score was calculated using logistic regression. Predictive ability was compared
with St. Thomas Risk Assessment Tool In Falling elderlY (STRATIFY), using area
under curve (AUC). The validation cohort provided external validity. RESULTS:
Complete data in the derivation cohort were available for 1288 patients (91%),
with 75 (5.8%) having an in-hospital fall. The derived interRAI AC falls risk
score (range = 0-6) had significantly better predictive ability (AUC = 0.70, 95%
confidence interval [CI] = 0.63-0.76) compared with St. Thomas Risk Assessment
Tool In Falling elderlY (AUC = 0.64, 95% CI = 0.58-0.70) (P = 0.033). At a cut
point of three, 54 of 75 falls were correctly predicted by the falls risk score
derived from interRAI AC (sensitivity = 0.72 [95% CI = 0.60-0.82] and specificity
= 0.60 [95% CI = 0.57-0.62]). The falls risk score performed similarly in the
validation cohort. CONCLUSIONS: The falls risk tool developed from interRAI AC is
a valid measure to screen for in-hospital falls. Reduction in assessment burden
without loss of fidelity can be achieved through integrating the risk screener
within the interRAI hospital system, which automatically triggers protocols for
falls prevention based on identified risk.
PMID- 29360674
TI - Reconstruction of a Circumferential Upper Extremity Soft Tissue Defect With a
Dermal Regeneration Template and Skin Grafting.
AB - Extensive degloving injuries of the upper extremity are rare and pose unique
reconstructive challenges. Circumferential loss of soft tissue coverage over the
elbow treated by skin grafting is often complicated by elbow contracture and
decreased range of motion, requiring secondary contracture release and free-flap
reconstruction to restore function. As an alternative approach, we report a good
outcome after the use of a dermal regenerative template and subsequent split
thickness skin grafting. A 38-year-old right hand dominant man presented with
circumferential degloving injury of the entire right upper extremity to the level
of the chest wall after an industrial accident. An immediate right transradial
amputation was performed and serial debridement was required to remove all
devitalized tissue. A dermal regenerative template with subsequent split
thickness skin grafting was used to cover the circumferential elbow soft tissue
defect. Occupational therapy and splinting were used preoperatively and
postoperatively to prevent contracture. However, axillary scar contracture
release was required 4 months after injury. Six months after skin grafting, the
patient had stable soft tissue coverage of the upper extremity. Shoulder motion
measured 120-degree abduction and 140-degree forward flexion and elbow range of
motion was 15 to 150 degrees. In this case, an excellent clinical outcome was
obtained with a dermal regenerative template, aggressive wound care, and a
multidisciplinary team approach.
PMID- 29360676
TI - Incident Reports and Maintenance as Indicators for Technical Issues With Infusion
Pumps in Clinical Practice.
PMID- 29360677
TI - Asymmetries in Isometric Force-Time Characteristics Are Not Detrimental to Change
of Direction Speed.
AB - Dos'Santos, T, Thomas, C, Jones, PA, and Comfort, P. Asymmetries in isometric
force-time characteristics are not detrimental to change of direction speed. J
Strength Cond Res 32(2): 520-527, 2018-The purpose of this study was to determine
the impact of between-limb asymmetries in isometric midthigh pull (IMTP) force
time characteristics on change of direction speed (CODS). Twenty multisport
collegiate athletes (mean +/- SD: age: 21.0 +/- 1.9 years; mass: 78.7 +/- 8.9 kg;
and height: 1.77 +/- 0.04 m) performed 3 unilateral stance IMTP trials per limb
and 3 modified 505 CODS trials each side to establish imbalances between left and
right and dominant (D) and nondominant (ND) limbs. Limb dominance was defined as
the limb that produced the highest isometric force-time value or faster CODS
performance. Paired sample t-tests and Hedges g effect sizes revealed no
significant differences in IMTP force-time characteristics and CODS performance
between left and right limbs (p > 0.05, g <= 0.37). However, significant
differences were observed between D and ND limbs for all IMTP force-time
characteristics and CODS performance (p < 0.001, g = 0.39-0.73). No significant
correlations were observed between IMTP asymmetries and CODS asymmetry (p >=
0.380, r <= -0.35), and no significant differences were observed in CODS
performance between athletes of lesser and greater IMTP asymmetries (p >= 0.10, g
<= 0.76). Poor percentage agreements (40-60%) between like-for-like
classifications of asymmetry (i.e., either both asymmetrical or both balanced)
for CODS and IMTP force-time characteristics were demonstrated. Asymmetries in
IMTP force-time characteristics and CODS performance were present; however,
greater IMTP asymmetries had no detrimental impact on CODS performance and did
not equate to greater asymmetries in CODS performance. Therefore, collegiate
athletes with asymmetries within the range reported within this study (<=13%)
should not experience detriments to CODS or faster performance from that limb
during 180 degrees turns.
PMID- 29360678
TI - Advocating to Protect Our Nurses: Addressing Unethical Recruitment of Foreign
Educated Nurses.
AB - Advocacy in the nursing sector is often about advocating for patients. However,
nurses have begun to put more effort into protecting their rights as workers.
Advocacy on behalf of foreign-educated nurses has been a critical component of
this advocacy. While foreign-educated nurses can make our nursing workforce
stronger, this can only happen if they are well-treated and well-trained.
Organizations across diverse missions and perspectives have come together to
promote fair treatment of foreign-educated nurses, which ultimately ensures that
all nurses are working as effectively as possible and that patients receive
proper care. The Alliance for Ethical International Recruitment Practices' Health
Care Code for Ethical Recruitment and Employment Practices represents a bottom-up
agreement on which market practices constitute ethical recruitment. From a top
down level, the World Health Organization's Code of Global Practice establishes
obligations and reporting requirements for member states that commit to ensuring
ethical recruitment. This combination of efforts, bolstered by strong advocacy,
is gaining traction as nursing migration grows at the global level. The
collaboration across diverse stakeholder groups and the combination of legal,
voluntary, and global efforts to promote the rights of foreign-educated nurses
provides a model to apply for advocacy in different areas.
PMID- 29360679
TI - A Pilot Study of the Immunologic, Virologic, and Pathologic Consequences of Intra
anal 5% Imiquimod in HIV-1-Infected Men With High-Grade Squamous Intraepithelial
Lesions.
AB - BACKGROUND: Imiquimod can be used to treat internal anal high-grade squamous
intraepithelial lesions. In HIV-1-infected individuals there is a theoretical
concern for increased HIV replication in anorectal tissue secondary to imiquimod
induced mucosal inflammation. OBJECTIVE: The purpose of this study was to assess
local virologic, immunologic, and pathologic effects of imiquimod treatment in
HIV-infected individuals. DESIGN: This was a pilot study at a single academic
center. SETTINGS: The study was conducted at the University of Pittsburgh Anal
Dysplasia Clinic. PATIENTS: HIV-1-infected individuals with biopsy-confirmed
internal anal high-grade squamous intraepithelial lesions were included.
INTERVENTION: Imiquimod cream was prescribed for intra-anal use 3 times per week
for 9 weeks. MAIN OUTCOME MEASURES: Anal human papillomavirus typing, anal and
rectal tissue HIV-1 RNA and DNA quantification, cytokine gene expression, and
anal histology were measured. RESULTS: Nine evaluable participants (1 participant
was lost to follow-up) were all white men with a median age of 46 years
(interquartile range = 12 y) and a median CD4 T-cell count of 480 cells per cubic
millimeter (interquartile range = 835). All were taking antiretroviral therapy,
and 7 of 9 had HIV-1 RNA <50 copies per milliliter. The median dose of imiquimod
used was 27.0 (interquartile range = 3.5), and there was a median of 11 days
(interquartile range = 10 d) from last dose to assessment. There was no
progression to cancer, no significant change in the number of human
papillomavirus types detected, and no significant change in quantifiable
cytokines/HIV-1 RNA or DNA levels in anal or rectal tissue. Seven (35%) of 20
high-grade lesions resolved to low-grade squamous intraepithelial lesions.
LIMITATIONS: The study was limited by the small number of participants and
variable time to final assessment. CONCLUSIONS: Intra-anal imiquimod showed no
evidence of immune activation or increase in HIV-1 viral replication in anal and
rectal tissue and confirmed efficacy for intra-anal high-grade squamous
intraepithelial lesion treatment morbidity. See Video Abstract at
http://links.lww.com/DCR/A498.
PMID- 29360680
TI - Value of FDG-PET/CT Volumetry After Chemoradiotherapy in Rectal Cancer.
AB - BACKGROUND: Neoadjuvant chemoradiotherapy followed by an optimal surgery is the
standard treatment for patients with locally advanced rectal cancer. FDG-PET/CT
is commonly used as the modality for assessing the effect of chemoradiotherapy.
OBJECTIVE: The purpose of this study was to investigate whether PET/CT-based
volumetry could contribute to the prediction of pathological complete response or
prognosis after neoadjuvant chemoradiotherapy. DESIGN: This was a retrospective
cohort study. SETTINGS: This study was conducted at a single research center.
PATIENTS: Ninety-one consecutive patients with locally advanced rectal cancer
were enrolled between January 2005 and December 2015. INTERVENTION: Patients
underwent PET/CT before and after neoadjuvant chemoradiotherapy. MAIN OUTCOME
MEASURES: Maximum standardized uptake value and total lesion glycolysis on PET/CT
before and after neoadjuvant chemoradiotherapy were calculated using isocontour
methods. Correlations between these variables and clinicopathological factors and
prognosis were assessed. RESULTS: PET/CT-associated variables before
chemoradiotherapy were not correlated with either clinicopathological factors or
prognosis. Maximum standardized uptake value was associated with pathological
complete response, but total lesion glycolysis was not. Maximum standardized
uptake value correlated with ypT, whereas total lesion glycolysis correlated with
both ypT and ypN. High total lesion glycolysis was associated with a considerably
poorer prognosis; the 5-year recurrence rate was 65% and the 5-year mortality
rate 42%, whereas in lesions with low total lesion glycolysis, these were 6% and
2%. On multivariate analysis, high total lesion glycolysis was an independent
risk factor for recurrence (HR = 4.718; p = 0.04). LIMITATIONS: The gain in
fluoro-2-deoxy-D-glucose uptake may differ between scanners, thus the general
applicability of this threshold should be validated. CONCLUSIONS: In patients
with locally advanced rectal cancer, high total lesion glycolysis after
neoadjuvant chemoradiotherapy is strongly associated with a worse prognosis.
Total lesion glycolysis after chemoradiotherapy may be a promising preoperative
predictor of recurrence and death. See Video Abstract at
http://links.lww.com/DCR/A464.
PMID- 29360681
TI - Superficial Acral Fibromyxoma With Cartilaginous Metaplasia.
PMID- 29360682
TI - Ontogeny-related pharmacogene changes in the pediatric liver transcriptome.
AB - OBJECTIVES: The majority of drug dosing studies are based on adult populations,
with modification of the dosing for children based on size and weight. This
rudimentary approach for drug dosing children is limited, as biologically a child
can differ from an adult in far more aspects than just size and weight.
Specifically, understanding the ontogeny of childhood liver development is
critical in dosing drugs that are metabolized through the liver, as the rate of
metabolism determines the duration and intensity of a drug's pharmacologic
action. Therefore, we set out to determine pharmacogenes that change over
childhood development, followed by a secondary agnostic analysis, assessing
changes transcriptome wide. MATERIALS AND METHODS: A total of 47 human liver
tissue samples, with between 10 and 13 samples in four age groups spanning
childhood development, underwent pair-end sequencing. Kruskal-Wallis and
Spearman's rank correlation tests were used to determine the association of gene
expression levels with age. Gene set analysis based on the pathways in KEGG
utilized the gamma method. Correction for multiple testing was completed using q
values. RESULTS: We found evidence for increased expression of 'very important
pharmacogenes', for example, coagulation factor V (F5) (P=6.7*10), angiotensin I
converting enzyme (ACE) (P=6.4*10), and solute carrier family 22 member 1
(SLC22A1) (P=7.0*10) over childhood development. In contrast, we observed a
significant decrease in expression of two alternative CYP3A7 transcripts
(P=1.5*10 and 3.0*10) over development. The analysis of genome-wide changes
detected transcripts in the following genes with significant changes in mRNA
expression (P<1*10 with false discovery rate<5*0): ADCY1, PTPRD, CNDP1, DCAF12L1
and HIP1. Gene set analysis determined ontogeny-related transcriptomic changes in
the renin-angiotensin pathway (P<0.002), with lower expression of the pathway, in
general, observed in liver samples from younger participants. CONCLUSION:
Considering that the renin-angiotensin pathway plays a central role in blood
pressure and plasma sodium concentration, and our observation that ACE and PTPRD
expression increased over the spectrum of childhood development, this finding
could potentially impact the dosing of an entire class of drugs known as ACE
inhibitors in pediatric patients.
PMID- 29360683
TI - SHORT-TERM EFFICACY OF CONBERCEPT AND RANIBIZUMAB FOR POLYPOIDAL CHOROIDAL
VASCULOPATHY.
AB - PURPOSE: To compare the 6-month efficacy of the intravitreal injection of
conbercept or ranibizumab for patients with polypoidal choroidal vasculopathy
(PCV). METHODS: This is a retrospective case-control study involved 79 PCV eyes
of 77 patients. The PCV eyes were treated with an intravitreal injection of
either ranibizumab (n = 44) or conbercept (n = 35). Three monthly loading doses
were injected and followed by retreatment as needed. The best-corrected visual
acuity and angiographic characteristics were evaluated after 6 months. RESULTS:
The mean logarithm of the minimum angle of resolution best-corrected visual
acuity had improved from 0.86 (Snellen equivalent, 20/145) at baseline to 0.70
(Snellen equivalent, 20/100) at 6 months in the conbercept group (P < 0.001), and
from 0.74 (Snellen equivalent, 20/110) at baseline to 0.63 (Snellen equivalent,
20/85) at 6 months in the ranibizumab group (P = 0.032), respectively. The
central foveal thickness was decreased from 407 +/- 146 MUm to 230 +/- 71 MUm in
the conbercept group (P < 0.001), and from 394 +/- 93 MUm to 208 +/- 56 MUm in
the ranibizumab group (P < 0.001). Polyps were completely regressed and in 21
(47.7%) eyes in the conbercept group at 6 months, significant higher than in 10
(28.6%) eyes in the ranibizumab group (P = 0.029). CONCLUSION: Both conbercept
and ranibizumab effectively increased the visual acuity and regressed the polyps
of PCV eyes. No significant difference was found in the visual acuity improvement
of the patients with PCV between the conbercept group and ranibizumab group at 6
months. However, conbercept was superior to ranibizumab monotherapy in the
regression of polyps.
PMID- 29360685
TI - Diagnostic and Therapeutic Challenges.
PMID- 29360684
TI - Thrombus in Lamina Cribrosa Seen Using Swept-Source Optical Coherence Tomography
in Eye With Central Retinal Artery Occlusion.
PMID- 29360686
TI - THREE-DIMENSIONAL ANALYSIS OF RETINAL MICROANEURYSMS WITH ADAPTIVE OPTICS OPTICAL
COHERENCE TOMOGRAPHY.
AB - PURPOSE: To characterize retinal microaneurysms (MAs) in patients with diabetes
using adaptive optics optical coherence tomography (AOOCT) and compare details
found in AOOCT with those found in commercially available retinal imaging
techniques. METHODS: Patients with diabetes and MA in the macular area were
included in this pilot study. The area of interest, identified in standard
fluorescein angiography, was imaged using an AO fundus camera and AOOCT.
Microaneurysms were characterized in AOOCT (visibility, reflectivity,
feeding/draining vessels, and intraretinal location) and compared with findings
in AO fundus camera, OCT angiography, and fluorescein angiography. RESULTS: Fifty
three MAs were imaged in 15 eyes of 10 patients. Feeding and/or draining vessels
from both capillary plexus could be identified in 34 MAs in AOOCT images. Of 45
MAs imaged with OCT angiography, 18 (40%) were visible in the superior plexus, 12
(27%) in the deep capillary plexus, and 15 MAs (33%) could not be identified at
all. Intraluminal hyperreflectivity, commonly seen in AO fundus camera,
corresponded only in 8 of 27 cases (30%) to intraluminal densities seen in AOOCT.
CONCLUSION: Adaptive optics OCT imaging revealed that MAs located in the inner
nuclear layer were connected to the intermediate and/or deep capillary plexus.
Intraluminal hyperreflectivity seen on AO fundus camera images originated from a
strong reflection from the vessel wall and only in a third of the cases from
intraluminal clots. Currently, AOOCT is the most expedient in vivo imaging method
to capture morphologic details of retinal microvasculature in 3D and in the
context of the surrounding retinal anatomy.
PMID- 29360687
TI - Deep Learning-Based Noise Reduction Approach to Improve Speech Intelligibility
for Cochlear Implant Recipients.
AB - OBJECTIVE: We investigate the clinical effectiveness of a novel deep learning
based noise reduction (NR) approach under noisy conditions with challenging noise
types at low signal to noise ratio (SNR) levels for Mandarin-speaking cochlear
implant (CI) recipients. DESIGN: The deep learning-based NR approach used in this
study consists of two modules: noise classifier (NC) and deep denoising
autoencoder (DDAE), thus termed (NC + DDAE). In a series of comprehensive
experiments, we conduct qualitative and quantitative analyses on the NC module
and the overall NC + DDAE approach. Moreover, we evaluate the speech recognition
performance of the NC + DDAE NR and classical single-microphone NR approaches for
Mandarin-speaking CI recipients under different noisy conditions. The testing set
contains Mandarin sentences corrupted by two types of maskers, two-talker babble
noise, and a construction jackhammer noise, at 0 and 5 dB SNR levels. Two
conventional NR techniques and the proposed deep learning-based approach are used
to process the noisy utterances. We qualitatively compare the NR approaches by
the amplitude envelope and spectrogram plots of the processed utterances.
Quantitative objective measures include (1) normalized covariance measure to test
the intelligibility of the utterances processed by each of the NR approaches; and
(2) speech recognition tests conducted by nine Mandarin-speaking CI recipients.
These nine CI recipients use their own clinical speech processors during testing.
RESULTS: The experimental results of objective evaluation and listening test
indicate that under challenging listening conditions, the proposed NC + DDAE NR
approach yields higher intelligibility scores than the two compared classical NR
techniques, under both matched and mismatched training-testing conditions.
CONCLUSIONS: When compared to the two well-known conventional NR techniques under
challenging listening condition, the proposed NC + DDAE NR approach has superior
noise suppression capabilities and gives less distortion for the key speech
envelope information, thus, improving speech recognition more effectively for
Mandarin CI recipients. The results suggest that the proposed deep learning-based
NR approach can potentially be integrated into existing CI signal processors to
overcome the degradation of speech perception caused by noise.
PMID- 29360688
TI - Theoretical Coalescence: A Method to Develop Qualitative Theory: The Example of
Enduring.
AB - BACKGROUND: Qualitative research is frequently context bound, lacks
generalizability, and is limited in scope. OBJECTIVES: The purpose of this
article was to describe a method, theoretical coalescence, that provides a
strategy for analyzing complex, high-level concepts and for developing
generalizable theory. Theoretical coalescence is a method of theoretical
expansion, inductive inquiry, of theory development, that uses data (rather than
themes, categories, and published extracts of data) as the primary source for
analysis. Here, using the development of the lay concept of enduring as an
example, I explore the scientific development of the concept in multiple settings
over many projects and link it within the Praxis Theory of Suffering. METHODS: As
comprehension emerges when conducting theoretical coalescence, it is essential
that raw data from various different situations be available for
reinterpretation/reanalysis and comparison to identify the essential features of
the concept. The concept is then reconstructed, with additional inquiry that
builds description, and evidence is conducted and conceptualized to create a more
expansive concept and theory. RESULTS: By utilizing apparently diverse data sets
from different contexts that are linked by certain characteristics, the essential
features of the concept emerge. Such inquiry is divergent and less bound by
context yet purposeful, logical, and with significant pragmatic implications for
practice in nursing and beyond our discipline. CONCLUSION: Theoretical
coalescence is a means by which qualitative inquiry is broadened to make an
impact, to accommodate new theoretical shifts and concepts, and to make
qualitative research applied and accessible in new ways.
PMID- 29360689
TI - Resveratrol protects early brain injury after subarachnoid hemorrhage by
activating autophagy and inhibiting apoptosis mediated by the Akt/mTOR pathway.
AB - Early brain injury (EBI) plays a key role in determining the prognosis of
patients suffering from subarachnoid hemorrhage (SAH). Resveratrol, a natural
polyphenol, serves a neuroprotection function on EBI after SAH. However, the
potential mechanism of resveratrol on EBI remains to be elucidated. Akt, also
known as protein kinase B, and mammalian target of rapamycin (mTOR), the
downstream protein of Akt, play key roles in cell survival and apoptosis, cell
cycle regulation, and cellular protein homeostasis. In the present study, we
examined the effect of resveratrol on EBI and their potential relationship with
the Akt/mTOR pathway, autophagy, and apoptosis. Rats received intraperitoneal
administration of resveratrol or vehicle immediately after establishing SAH
model. We found that mortality and brain edema were significantly lower, whereas
the neurological score was higher for resveratrol-treated rats. HE staining
showed that resveratrol significantly reduced the neuronal pyknosis and swelling
in the resveratrol-treated rats compared with SAH rats. The results were assessed
by western blot, reverse transcription-PCR , and immunohistochemistry and
immunofluorescence at 24 h after injury to determine changes in the expression of
the Akt/mTOR signaling pathway, autophagy, and apoptosis proteins. Western blot
analysis showed that the expression of beclin-1, LC3-II, LC3-II/LC3-I, and Bcl-2
was increased in resveratrol-treated rats, whereas the expression of p-Akt, p
mTOR, p62, cleaved caspase-3, caspase-9, and Bcl-2-associated X protein was
decreased. Immunohistochemistry analysis of beclin-1, LC3-B treated with
resveratrol alone or in combination with 3-methyladenine (autophagy inhibitor)
suggested that resveratrol induced the autophagy process and the inhibitor
blocked the occurrence of autophagy, and also increased the number of terminal
deoxynucleotidyl transferase-mediated digoxigenin-DUTP-biotin nick-end labeling
(+) cells. Taken together, these findings indicate that resveratrol exerts
neuroprotective effects on EBI after SAH by regulating autophagy and apoptosis
mediated by the Akt/mTOR pathway.
PMID- 29360690
TI - Predicting 6- and 12-Month Risk of Mortality in Patients With Platinum-Resistant
Advanced-Stage Ovarian Cancer: Prognostic Model to Guide Palliative Care
Referrals.
AB - OBJECTIVE: Predictive models are increasingly being used in clinical practice.
The aim of the study was to develop a predictive model to identify patients with
platinum-resistant ovarian cancer with a prognosis of less than 6 to 12 months
who may benefit from immediate referral to hospice care. METHODS: A retrospective
chart review identified patients with platinum-resistant epithelial ovarian
cancer who were treated at our institution between 2000 and 2011. A predictive
model for survival was constructed based on the time from development of platinum
resistance to death. Multivariate logistic regression modeling was used to
identify significant survival predictors and to develop a predictive model. The
following variables were included: time from diagnosis to platinum resistance,
initial stage, debulking status, number of relapses, comorbidity score, albumin,
hemoglobin, CA-125 levels, liver/lung metastasis, and the presence of a
significant clinical event (SCE). An SCE was defined as a malignant bowel
obstruction, pleural effusion, or ascites occurring on or before the diagnosis of
platinum resistance. RESULTS: One hundred sixty-four patients met inclusion
criteria. In the regression analysis, only an SCE and the presence of liver or
lung metastasis were associated with poorer short-term survival (P < 0.001). Nine
percent of patients with an SCE or liver or lung metastasis survived 6 months or
greater and 0% survived 12 months or greater, compared with 85% and 67% of
patients without an SCE or liver or lung metastasis, respectively. CONCLUSIONS:
Patients with platinum-resistant ovarian cancer who have experienced an SCE or
liver or lung metastasis have a high risk of death within 6 months and should be
considered for immediate referral to hospice care.
PMID- 29360691
TI - Age at disease onset of inflammatory bowel disease is associated with later
extraintestinal manifestations and complications.
AB - INTRODUCTION: A small but increasing number of patients with inflammatory bowel
disease are diagnosed during childhood or adolescence, and disease distribution
and severity at onset vary according to the age at diagnosis. Clinical factors
present at the time of diagnosis can be predictive of the disease course. AIM:
The aim of this study was to characterize disease behavior and the cumulative
complications and extraintestinal manifestations 10 years after the diagnosis and
to assess their association with age at diagnosis. PATIENTS AND METHODS: Data of
patients participating with the Swiss IBD cohort study registry, a disease
duration of 10 years and a complete data set were analyzed. The outcome was
defined as the cumulative change of disease behavior, the occurrence of extra
intestinal manifestations or complications, and the necessity for medical or
surgical interventions. RESULTS: A total of 481 patients with Crohn's disease
(CD) and 386 patients with ulcerative colitis (UC), grouped according to disease
onset before 10, 17, 40, or after 40 years of age, were analyzed. Despite
differences in sex, initial disease location, and smoking habits, at 10 years
after the diagnosis, no difference was found regarding disease behavior in CD or
regarding progression of disease extension in UC. Similarly, no age-of-onset
dependent cumulative need for medical or surgical therapies was found. However,
higher rates of anemia and lower rates of arthralgia and osteopenia were found in
both pediatric-onset CD and UC, and a tendency toward higher rates of stomatitis
in pediatric-onset CD, and of primary sclerosing cholangitis and ankylosing
spondylitis in pediatric-onset UC. CONCLUSION: After 10 years of disease
evolution, age at disease onset is not anymore associated with disease behavior
but only with a small difference in the occurrence of specific extraintestinal
manifestations and complications.
PMID- 29360692
TI - Medically unexplained physical symptoms in patients visiting the emergency
department: an international multicentre retrospective study.
AB - OBJECTIVE: The objective of this study was to assess the incidence and
characteristics of patients presenting with physical symptoms that remain
medically unexplained at the emergency department (ED). PATIENTS AND METHODS: A
retrospective chart study was carried out in three hospitals in The Netherlands
and Belgium. All patients (age>18 years) visiting the ED in 4 selected weeks in
2013 at the Erasmus University Medical Center (Erasmus MC) in Rotterdam, The
Netherlands, and 1 selected week in 2013 at the Haaglanden Medical Center,
Westeinde HMC in The Hague, The Netherlands, and the University Hospital Ghent
(UZG), Belgium were included. Descriptive statistics were used for data analysis.
RESULTS: A total of 2869 patients (Erasmus MC 1674, HMC 691, UZG 504) were
included. Medically unexplained physical symptoms in the emergency department
(EDMUPS) were present in 13.4% of all ED visits (Erasmus MC 12.5%, HMC 18.7%, UZG
9.1%). No EDMUPS were identified in trauma patients. When excluding trauma
patients, EDMUPS were present in 18.5% (Erasmus MC 16.8%, HMC 26.5%, UZG 13.3%)
of the visits. The characteristics of patients with and without EDMUPS differed
significantly; patients with EDMUPS were more often younger, female, self
referred, frequent visitors, were prescribed less medication and more often had a
psychiatric disease. Dutch and Belgian Hospital differed in the distribution of
patients in triage categories and in the incidence of psychiatric illnesses.
CONCLUSION: Physical symptoms remain unexplained in a significant number of
patients at the time of ED assessment.
PMID- 29360693
TI - The relationship between semiquantitative parameters derived from technetium-99m
metoxyisobutylisonitrile dual-phase parathyroid single-photon emission computed
tomography images and disease severity in primary hyperparathyroidism.
AB - OBJECTIVE: The relationship between multiple semiquantitative indices on
technetium-99m metoxyisobutylisonitrile (Tc-99m MIBI) parathyroid single-photon
emission computed tomography (SPECT) and clinical, laboratory, and radiological
data was investigated in primary hyperparathyroidism. PATIENTS AND METHODS:
Ninety-three patients who had a histopathologically confirmed single parathyroid
adenoma (PT) were enrolled. Regions of interests were drawn around the PT,
neighboring thyroid (T), and background in early and late planar images and
isocontour regions of interests around the adenoma in SPECT images (PT SPECT).
The relationships between early and late PT, parathyroid adenoma
counts/neighboring thyroid counts, parathyroid counts-thyroid counts (PT-T), PT
washout, retention index, PT SPECT and serum parathormone (PTH), Ca, P, urinary
Ca levels, weight of the adenoma, neck ultrasonography, renal ultrasonography,
and bone mineral density findings were investigated. RESULTS: There was a
positive correlation between the weight of the adenoma and serum PTH and calcium
(Ca) levels (P<0.001), between serum PTH and Ca levels (P<0.001), early PT-T and
serum Ca levels (P=0.027), late PT-T and weight of the adenoma (P=0.04), and PT
SPECT and serum Ca levels (P=0.046) and a reverse correlation between PT SPECT
and serum phosphorus (P) levels (P=0.04). Serum Ca levels were significantly
higher and P levels were lower in the group with PT SPECT values above 116. PT
SPECT and late parathyroid adenoma counts/neighboring thyroid counts values were
significantly higher in the group with serum Ca levels of more than 11 mg/dl.
Femoral T and Z scores were significantly lower in patients with lower PT
washout. Early PT was significantly lower in patients with coexisting thyroiditis
compared with patients with both thyroiditis and thyroid nodules (P=0.034).
CONCLUSION: Semiquantitative evaluation of a Tc-99m MIBI parathyroid SPECT study
may help predict disease severity in primary hyperparathyroidism.
PMID- 29360694
TI - Attenuation correction in myocardial perfusion imaging affects the assessment of
infarct size in women with previous inferior infarct.
AB - BACKGROUND: Myocardial perfusion imaging is a well-established diagnostic tool in
patients with known or suspected coronary artery disease. Numerous clinical
trials have shown that attenuation correction (AC) in single photon emission
computed tomography (SPECT) improves the diagnostic accuracy of myocardial
perfusion imaging over non-AC SPECT, differentiating between scar and attenuation
artifacts. We have previously shown that attenuation artifacts produce an
overestimation of the size of inferior infarcts in the male population. It is
assumed that women are less affected by inferior attenuation artifacts than men.
PURPOSE: The aim of this study is to evaluate the role of AC in the assessment of
infarct size in female patients with a history of myocardial inferior infarct.
PATIENTS AND METHODS: We studied a population of 66 consecutive women, with a
history of previous inferior myocardial infarct, by SPECT/computed tomography
(CT) with 370+370 MBq of technetium-99m labeled compounds by a 2-day stress-rest
protocol. Both AC and uncorrected gated-SPECT/CT studies were reconstructed after
scatter and motion correction by ordered-subset expectation maximization
iterative reconstruction and resolution recovery. The coregistration of the
transmission and emission scans was verified for all patients; any misalignment
was realigned manually. Uncorrected and corrected SPECT images were analyzed by
software QPS/QGS package using a 17-segment model. For each segment, perfusion
and wall motion were quantified using a five-point score according to the
American Society of Nuclear Cardiology guidelines. Summed stress, summed rest
score (SRS), and summed difference score of the inferior left ventricle wall
(inferior, inferoseptal, inferolateral, and apical inferior segments) were
calculated. A linear correlation was used to assess the relationship between
perfusion and the regional wall motion score as determined by uncorrected gated
SPECT. RESULTS: The results of quantitative analysis of non-AC and CT-AC SPECT
images, respectively, were as follows: summed stress score: 9.47+/-5.01 and
6.58+/-4.77% (P<0.001); SRS was 6.05+/-5.02 and 4.14+/-4.12% (P<0.001); the
summed difference score was 2.92+/-2.74 and 2.52+/-2.63% (P=NS), respectively.
The correlation between corrected and uncorrected SRS and the regional summed
wall motion score of the same segment was R=0.31 versus R=0.34. CONCLUSION: In
the female population, like in men, attenuation artifacts affect the calculation
of the infarct size of the inferior wall, with overestimation of the infarct size
in uncorrected images. The AC regional perfusion score (SRS) better correlates
with the regional wall motion score of the inferior wall in women with previous
inferior infarct.
PMID- 29360695
TI - The Importance of Publications by Public Health Practitioners: A New Tool.
PMID- 29360696
TI - Developing an Informatics-Savvy Health Department: From Discrete Projects to a
Coordinating Program-Part III, Ensuring Well-Designed and Effectively Used
Information Systems.
PMID- 29360697
TI - The Public Health Community Platform, Electronic Case Reporting, and the Digital
Bridge.
AB - At the intersection of new technology advancements, ever-changing health policy,
and fiscal constraints, public health agencies seek to leverage modern technical
innovations and benefit from a more comprehensive and cooperative approach to
transforming public health, health care, and other data into action. State health
agencies recognized a way to advance population health was to integrate public
health with clinical health data through electronic infectious disease case
reporting. The Public Health Community Platform (PHCP) concept of bidirectional
data flow and knowledge management became the foundation to build a cloud-based
system connecting electronic health records to public health data for a select
initial set of notifiable conditions. With challenges faced and lessons learned,
significant progress was made and the PHCP grew into the Digital Bridge, a
national governance model for systems change, bringing together software vendors,
public health, and health care. As the model and technology advance together,
opportunities to advance future connectivity solutions for both health care and
public health will emerge.
PMID- 29360699
TI - Barriers for Hospital-Based Nurse Practitioners Utilizing Clinical Decision
Support Systems: A Systematic Review.
AB - There is a national focus on the adoption of healthcare technology to improve the
delivery of safe, efficient, and high-quality patient care. Nurse practitioners
fulfill an emerging strategic role in the hospital setting. A comprehensive
literature review focused on the question: What are the barriers for nurse
practitioners utilizing clinical decision support in the hospital setting? Nine
studies conducted from 2011 to 2017 were the basis for this review, which
identified 13 barriers for nurse practitioners utilizing clinical decision
support in the hospital. Having the right information, including up-to-date
evidence-based practice guidelines, accurate clinical pathways, and current
clinical algorithms, was the most common barrier. Providing reliable clinical
decision support is crucial as nurse practitioners become more dependent on
hospital technology systems in the delivery of safe patient care. Eliminating
barriers to the use of clinical decision support is important for informaticists
and nurse practitioners because both groups concentrate on acceptance of decision
support systems in the hospital to meet the goal of safe and high-quality patient
care.
PMID- 29360700
TI - Professionals' Use of a Multidisciplinary Communication Tool for Patients With
Dementia in Primary Care.
AB - In this descriptive study, the use of a professional e-communication tool,
Congredi, is evaluated. Ninety-six Congredi records of patients with dementia
could be divided into the subgroups low-complex care (n = 43) and high-complex
care (n = 53). If Congredi is an adequate communication tool for professionals,
the changing involvement of caregivers must also be reflected within the two
subgroups. We hypothesized that use would be more intensive in the high-complex
group in comparison with the low-complex group. Data were gathered during 42
weeks. Results showed that the mean number of care activities in the high-complex
group was significantly higher than in the low-complex group (10.43 vs 5.61, P =
.001). The number of professionals involved with the high-complex care group
(3.58) was higher compared to the low-complex care group (2.51) (P = .000). The
most frequent use was by case managers and nurses (43.4%) in the high-complex
group and by several case managers (41.9%) in the low-complex group. It was
concluded that professionals used Congredi adequately in the multidisciplinary
care of patients with dementia because the changing involvement of caregivers and
the level of care activities were reflected in the use of Congredi.
PMID- 29360701
TI - Intracorporeal Anastomosis Reduces Surgical Stress Response in Laparoscopic Right
Hemicolectomy: A Prospective Randomized Trial.
AB - Total laparoscopic right hemicolectomy is a procedure that involves an
intracorporeal anastomosis. This approach may reduce tissue injury resulting in a
significant lower surgical stress response (SSR) compared with the same procedure
performed with an extracorporeal anastomosis. The purpose of this study was to
compare the SSR level between 2 groups of patients undergoing laparoscopic right
hemicolectomy with intracorporeal or extracorporeal anastomosis. From June 2015
to December 2016, 60 patients were enrolled and randomized. Interleukin-6, C
reactive protein, procalcitonin, white blood cell count, cortisol, prolactin,
prealbumin, albumin, triglycerides, and transferrin were analyzed preoperatively
and at 1, 3, and 5 days postoperatively. Interleukin-6 and C-reactive protein
levels were significantly lower in the intracorporeal group on days 1, 3, and 5
postoperatively compared with the extracorporeal group. Gastrointestinal recovery
was significantly earlier in the intracorporeal group. The intracorporeal
anastomosis in laparoscopic right hemicolectomy reduces SSR, which may play a
role in bowel recovery.
PMID- 29360702
TI - Initial Experience of Single-port Laparoscopic Multivisceral Resection for
Locally Advanced Colon Cancer.
AB - AIM: The aim of this study was to evaluate the safety and feasibility of single
port laparoscopic multivisceral resection (SLMVR) for locally advanced colon
cancer invading or adhering to neighboring organs. METHOD: A prospectively
collected database was collated of all patients undergoing SLMVR from January
2011 to December 2014. Short-term and long-term oncologic outcomes were
evaluated. RESULTS: Thirty consecutive patients who underwent SLMVR were
identified and the completion rate was 90%. The R0 resection rate of the primary
tumor was 100%. The postoperative complication rate was 23.3%. The 3-year relapse
free survival rates of patients with stage II (n=11) and stage III (n=12) disease
were 80.8% and 54.6%, respectively. The 5-year overall survival rates of patients
with stage II and stage III disease were 75.8% and 75.0% over a median follow-up
of 42 months, respectively. CONCLUSIONS: SLMVR for locally advanced colon cancer
is safe and feasible in selected patients.
PMID- 29360703
TI - Association between polycystic ovary syndrome and hot flash presentation during
the midlife period.
AB - OBJECTIVE: Polycystic ovary syndrome (PCOS) is the most common endocrinopathy in
reproductive-aged women; however, the impact of PCOS on menopausal symptoms
remains poorly understood. This study aims to determine the influence of PCOS on
hot flash presentation in midlife women. METHODS: Participants were recruited
from the Midlife Women's Health Study involving 780 women aged 45 to 54 years.
All women completed detailed questionnaires on hot flash symptoms. Between June
2014 and March 2015, participants were screened for history of PCOS based on the
Rotterdam criteria. Fisher's exact tests and Wilcoxon rank-sum tests were used
for analysis. Multivariate logistic regression was performed to identify factors
associated with hot flashes at midlife. RESULTS: In all, 453 women (69%)
consented to the telephone interview and 9.3% (n = 42) met diagnostic criteria
for PCOS; 411 were included as controls. Mean age was 48.0 and body mass index
was 27.3 for women with PCOS. The majority of participants were white (72%).
There was no difference between PCOS and control women for levels of follicle
stimulating hormone, testosterone, progesterone, or estradiol. Multivariate
logistic regression demonstrated that PCOS was not associated with increased odds
of hot flash incidence. Smoking was the only variable associated with
experiencing hot flashes (odds ratio 2.0, 95% confidence interval 1.05-3.98).
CONCLUSIONS: A history of PCOS was not associated with increased hot flash
symptoms during the midlife period. Additional research should continue to
investigate the health and quality of life associated with a history of PCOS in
the aging population.
PMID- 29360704
TI - Association between insulin resistance and the magnetic resonance spectroscopy
determined marrow fat fraction in nondiabetic postmenopausal women.
AB - OBJECTIVE: The clinical consequences of insulin resistance and hyperinsulinemia
on marrow lipid remain elusive. We aimed to explore the effects of anthropometric
and biochemical measures, that is, estimates of insulin resistance, on marrow
lipid accumulation in nondiabetic postmenopausal women using magnetic resonance
(MR) spectroscopy. METHODS: The study participants were 91 nondiabetic
postmenopausal women. Marrow fat fraction (FF) at the L3 vertebral body by single
voxel MR spectroscopy and bone mineral density (BMD) by dual-energy x-ray
absorptiometry were measured. Their glucose and lipid metabolism were determined
by biochemical analysis, and their insulin sensitivity was evaluated using the
Homeostatic Model Assessment of Insulin Resistance (HOMA-IR). RESULTS: Adjusted
for multiple covariates including age, years since menopause, body mass index,
alcohol intake, tobacco use, physical activity, and serum lipid profile, the mean
FF was significantly increased, and BMD at the lumbar spine, femoral neck, and
total hip decreased as quartiles of HOMA-IR increased (P for trends <0.01). HOMA
IR had a positive association with FF (mean difference 0.300, P < 0.001) and a
negative association with BMD at the lumbar spine (mean difference -0.182, P =
0.016), total hip (mean difference -0.219, P = 0.001), and femoral neck (mean
difference -0.195, P = 0.013). The above described associations of HOMA-IR with
FF, lumbar spine, and total hip BMD remained essentially unchanged; however, the
association with femoral neck BMD lost significance after adjusting for the
aforementioned confounders. CONCLUSION: In nondiabetic postmenopausal women,
insulin resistance is correlated with marrow lipid expansion. This association
persists after adjusting for the body mass index and other potential covariates,
suggesting an independent effect of insulin resistance on marrow adiposity.
PMID- 29360705
TI - Determination of Examination-Specific Diagnostic Reference Level in Computed
Tomography by A New Quality Control-Based Dose Survey Method.
AB - A new "quality-control-based (QC-based) dose survey method" has been developed
for determination of diagnostic reference levels (DRL) in Computed Tomography
(CT) examinations. The "QC-based dose survey method" is based on the use of
retrospective data in the QC documents and reports, which are typically available
from the National Regulatory Authority database. The method was applied to 70 CT
scanners in Tehran, Iran, by using the available QC reports from the database.
The commonly used "data collection method" was also applied by filling each
questionnaire on-site to validate the new method. Using the new QC-based and data
collection methods, the DRLs of four common CT examinations: head, sinus, chest,
and abdomen/pelvis were determined and compared. The DRLs determined by the "QC
based method" for head, sinus, chest, and abdomen/pelvis are 59, 29, 10, and 13
mGy, respectively, for the volume computed tomography dose index (CTDIVol) and
834, 235, 233, and 522 mGy-cm for the dose length product (DLP), respectively.
The difference between the DRLs obtained by the two methods is on the average 6.7
+/- 5.7%, which is within the acceptance tolerance level of the IAEA for QC
dosimetry tests. The "QC-based dose survey method" is believed to be an effective
alternative method to the other commonly used "data collection" and "direct dose
measurement method" for determination of CT examination DRLs. This new method has
unique characteristics such as simplicity, time and cost effectiveness, highly
reduced clinical interruptions and collaborations, and potential for large-scale
surveys with capability for more frequent review of national DRL values.
PMID- 29360706
TI - Measurement and Simulation of the Counting Efficiency of a Whole-body Counter
Using a BOMAB Phantom Inserted with Rod Sources Containing Mixed Radionuclides.
AB - The examination of internal contamination is important for providing an adequate
medical response during a radiological emergency. A whole-body counting system
can assess gamma-emitting radionuclides in a human body when monitoring internal
contamination. It is necessary to calibrate whole-body counting systems by using
a calibration phantom, such as a Bottle Manikin Absorption phantom, to properly
assess internal contamination. However, the total weight of the Bottle Manikin
Absorber phantom is high, and there can be leakage of radioactive sources, which
are disadvantages of using such a phantom. This study proposes a calibration
phantom that is designed to overcome these disadvantages. The proposed phantom
consists of rod sources that are inserted in each part of the phantom. The
counting efficiency of the rod-source-inserted calibration phantom was acquired
using a Monte Carlo simulation method, but the results were evaluated by
comparing the experimental efficiencies with those of a conventional Bottle
Manikin Absorption phantom by using two commercial whole-body counting systems
(stand-up type and bed type). The efficiency curve of the rod-source-inserted
phantom matched well that of the conventional calibration phantom. The relative
deviation between the efficiencies of the conventional Bottle Manikin Absorption
phantom and the proposed calibration phantom in both whole-body counting systems
was less than 11%, and the total weight of the phantom was also reduced. These
results suggest that the proposed phantom can be manipulated more easily and
replace the conventional Bottle Manikin Absorption calibration phantom for these
two types of whole-body counting systems.
PMID- 29360707
TI - Application of the ICRP 67 and NCRP 156 Biokinetic Models to 241 Am Wound Data
from Nonhuman Primates.
AB - Distribution, retention, and excretion of intramuscularly injected Am citrate
have been investigated in cynomolgus and rhesus nonhuman primates (NHP). Bioassay
and retention data, obtained from experiments done by Patricia Durbin and her
colleagues at Lawrence Berkeley National Laboratory, were evaluated against the
International Commission on Radiological Protection (ICRP 67) Am systemic model
coupled with to the National Council on Radiation Protection and Measurement
wound model (NCRP 156). The default transfer rates suggested in these models were
used with the urine and feces excretion data to predict the intake as well as
liver and skeleton tissue contents at the time of death. The default models
adequately predict the animals' urine bioassay data, but the injected activities
were overpredicted by as much 4.41 times and underpredicted by as much as 0.99
times. Poor prediction has been observed in all cases using fecal excretion. The
retained activity in the liver and skeleton were investigated using the same
approach. It appears that the models predict the amount of the activity retention
in the skeleton more accurately than in the liver. The fraction of predicted to
measured activity at the time of death in the skeleton was over 1.0 in most
cases, and accurate predictions were obtained in seven cases. The predicted
activity in skeleton for these cases ranged from 2.7 to 17% overestimated
activity and from 9 to 14% underestimated activity. NHPs' urine data and organ
retention were compared with data from previously modeled baboons and beagle
dogs. About 6% of the injected activity in baboons and beagle dog was excreted in
urine and approximately 0.1% in feces in the first 24 h. The results from NHP are
not different from excreta analysis in these other species. Urinary excretion in
the cynomolgus, rhesus, and baboon NHP is the dominant pathway of Am clearance;
however, fecal excretion is considered dominant in beagle dogs. The comparison
between NHPs and humans is difficult due to the differences in the number of
activities translocated or deposited in the liver tissue and nonliver tissues
(primarily skeleton), in addition to the physiological differences between the
NHPs and humans.
PMID- 29360709
TI - Some Considerations for Chelation Treatment and Surgical Excision Following
Incorporation of Plutonium in Wounds.
AB - After a plutonium-contaminated wound, the role of an internal dosimetrist is to
inform the patient and the physician of the dosimetric considerations. The doses
averted due to medical treatments (excision or chelation) are higher if the
treatments are administered early; therefore, the internal dosimetrist needs to
rely on limited information on wound counts and process knowledge for advising
the physician. Several wound cases in the literature were reviewed to obtain
estimates of the efficacies of surgical excision and chelation treatment after
plutonium-contaminated wounds. The dose coefficients calculated by coupling the
NCRP 156 wound model with the systemic model were used to derive the decision
guidelines that may indicate medical treatment based on 1) the concept of saved
doses proposed by the NCRP 156 wound model, 2) the limits recommended by the
CEC/DOE guidebook, and 3) the Clinical Decision Guidelines proposed in NCRP
Report No. 161. These guidelines by themselves, however, are of limited use for
several reasons, including 1) large uncertainties associated with wound
measurements, 2) exposure to forms of radionuclides that cannot be assigned to a
single category in the NCRP 156 framework, 3) inability of the NCRP 156 model to
explain some of the wound cases in the literature, 4) neglect of the local doses
to the wound site and the pathophysiological response of the tissue, 5) poorly
understood relationship between effective doses and risks of late health effects,
and 6) disregard of the psychological aspects of radionuclide intake.
PMID- 29360708
TI - Screening Internal Contamination of Inhaled and Ingested Radionuclides with Hand
held Survey Meters.
AB - During the aftermath of a radiological accident or attack, the rapid
identification of individuals who have internalized medically significant amounts
of material is paramount to guide medical and public health decisions. This paper
explores the utility of hand-held, pancake GM detectors to determine if an
individual has inhaled Sr, Cs, Pu, Pu, or Am in quantities requiring treatment.
Additionally, ingestion of Sr or Cs was considered. Both Sr and Cs were modeled
in equilibrium with their progeny, but the progeny of Pu, Pu, and Am were
excluded. Treatment thresholds are defined using the National Council on
Radiation Protection & Measurements' (NCRP) clinical decision guides (CDGs).
Using Monte Carlo N-Particle (MCNP) modeling software, a human phantom and
detector were modeled to determine the activity required to achieve a detector
reading of twice background 1, 7, or 30 d post-ingestion or post-inhalation.
Modeling found that inhaled Pu, Pu, and Am are detectable only if the
contaminated individual inhaled thousands-fold more material than the CDG. This
lack of detectability means that hand-held GM detectors are inappropriate for
initial screening for americium or plutonium and that more intensive screening is
necessary to confirm suspected contamination. Cesium-137, by contrast, could be
detected at levels 10- to 100-fold lower than the amount requiring treatment,
allowing quick differentiation between contaminated and uncontaminated
individuals. Surprisingly, Sr was detectable within a factor of 2 of the amount
requiring treatment. Detection of Sr was due primarily to bremsstrahlung
radiation from beta interactions with calcium in bone. While rapid screening
could identify individuals contaminated by Cs and possibly with Sr, further
screening of identified individuals is necessary to establish medical need.
However, these contaminated individuals could still be prioritized for further
testing and possible presumptive treatment. Based on the findings of this study,
concepts of operation for the use of hand-held survey meters should be developed
for the screening of individuals potentially internally contaminated with Cs and
Sr.
PMID- 29360710
TI - Deterministic Effects to the Lens of the Eye Following Ionizing Radiation
Exposure: is There Evidence to Support a Reduction in Threshold Dose?
AB - Ionizing radiation exposure to the lens of the eye is a known cause of
cataractogenesis. Historically, it was believed that the acute threshold dose for
cataract formation was 5 Sv, and annual dose limits to the lens were set at 150
mSv. Recently, however, the International Commission on Radiological Protection
has reduced their threshold dose estimate for deterministic effects to 0.5 Gy and
is now recommending an occupational limit of 20 mSv per year on average. A number
of organizations have questioned whether this new threshold and dose limit are
justified based on the limited reliable data concerning radiation-induced
cataracts. This review summarizes all of the published human epidemiological data
on ionizing radiation exposure to the lens of the eye in order to evaluate the
proposed threshold. Data from a variety of exposure cohorts are reviewed,
including atomic bomb survivors, Chernobyl liquidators, medical workers, and
radiotherapy patients. Overall, there is not conclusive evidence that the
threshold dose for cataract formation should be reduced to 0.5 Gy. Many of the
studies reviewed here are challenging to incorporate into an overall risk model
due to inconsistencies with dosimetry, sample size, and scoring metrics.
Additionally, risk levels in the studied cohorts may not relate to occupational
scenarios due to differences in dose rate, radiation quality, age at exposure and
latency period. New studies should be designed specifically focused on
occupational exposures, with reliable dosimetry and grading methods for lens
opacities, to determine an appropriate level for dose threshold and exposure
limit.
PMID- 29360711
TI - Comments on "Space: The Final Frontier-Research Relevant to Mars".
PMID- 29360713
TI - ERRATUM: Practical Considerations for Gamma Ray Spectroscopy with NaI(Tl): A
Tutorial.
PMID- 29360712
TI - Reply to Doss et al.
PMID- 29360717
TI - Noncompliance with American College of Surgeons Committee on Trauma recommended
criteria for full trauma team activation is associated with undertriage deaths.
AB - BACKGROUND: The appropriate triage of acutely injured patients within a trauma
system is associated with improved rates of mortality and optimal resource
utilization. The American College of Surgeons Committee on Trauma (ACS-COT) put
forward six minimum criteria (ACS-6) for full trauma team activation (TTA). We
hypothesized that ACS-COT-verified trauma center compliance with these criteria
is associated with low undertriage rates and improved overall mortality. METHODS:
Data from a state-wide collaborative quality initiative was used. We used data
collected from 2014 through 2016 at 29 ACS verified Level I and II trauma
centers. Inclusion criteria are: adult patients (>=16 years) and Injury Severity
Score of 5 or less. Quantitative data existed to analyze four of the ACS-6
criteria (emergency department systolic blood pressure <= 90 mm Hg, respiratory
compromise/intubation, central gunshot wound, and Glasgow Coma Scale score < 9).
Patients were considered to be undertriaged if they had major trauma (Injury
Severity Score > 15) and did not receive a full TTA. RESULTS: 51,792 patients
were included in the study. Compliance with ACS-6 minimum criteria for full TTA
varied from 51% to 82%. The presence of any ACS-6 criteria was associated with a
high intervention rate and significant risk of mortality (odds ratio, 16.7; 95%
confidence interval, 15.2-18.3; p < 0.001). Of the 1,004 deaths that were not a
full activation, 433 (43%) were classified as undertriaged, and 301 (30%) had at
least one ACS-6 criterion present. Undertriaged patients with any ACS-6 criteria
were more likely to die than those who were not undertriaged (30% vs. 21%, p =
0.001). Glasgow Coma Scale score less than 9 and need for emergent intubation
were the ACS-6 criteria most frequently associated with undertriage mortality.
CONCLUSION: Compliance with ACS-COT minimum criteria for full TTA remains
suboptimal and undertriage is associated with increased mortality. These data
suggest that the most efficient quality improvement measure around triage should
be ensuring compliance with the ACS-6 criteria. This study suggests that practice
pattern modification to more strictly adhere to the minimum ACS-COT criteria for
full TTA will save lives. LEVEL OF EVIDENCE: Care management, level III.
PMID- 29360718
TI - Featured Articles for CME Credit February 2018.
PMID- 29360714
TI - Temporal Fluctuations in Indoor Background Gamma Radiation Using NaI(Tl).
AB - An enhanced understanding of background gamma radiation is necessary for accurate
radionuclide activity quantification. Background spectra are routinely subtracted
from spectra of samples prepared in known geometries, with data collection time
chosen to optimize statistics for counting uncertainties. The work presents
measured background spectra collected inside and outside shields of varying
geometry and composition, showing the effects of these on background. Gamma
background measurements with and without blank samples are included along with
spectra from different sizes and shapes of NaI(Tl) detectors. If the environment
is being monitored for quick and confident detection of recently appearing
radiation sources, a thorough knowledge of the background radiation and its
temporal variation is essential. To study the requirements of such background
measurements, sequential background gamma radiation collections were obtained on
an hourly basis for a total of 316 h from an unshielded 5.5 * 11 * 40 cm NaI(Tl)
detector located inside a laboratory setting where small sources are routinely
stored and used. Finally, a strategy for optimizing data collection times and
analyzing background gamma radiation spectra for long-term radionuclide
monitoring is presented.
PMID- 29360720
TI - Introduction by the Guest Editors: The PD-1 Axis in Cancer Therapy: The Irony in
a Name.
PMID- 29360721
TI - Preclinical Data Supporting Antitumor Activity of PD-1 Blockade.
AB - Antibodies that block the PD-1 coinhibitory receptor on T cells or its primary
ligand, PD-L1, have demonstrated unprecedented efficacy across a diverse array of
both solid and hematologic malignancies in the clinic. These advances were built
on a foundation of murine preclinical tumor model studies, which both
demonstrated the therapeutic potential of PD-1/PD-L1 antibody blockade and also
provided critical insights into the cellular and molecular processes underlying
their capacity to elicit immune-mediated tumor regressions. As the field of
immunotherapy moves toward higher-order combinations of agents, effective
utilization of murine tumor models to optimize the composition of PD-1 antibody
combination therapies, as well as their dosing and scheduling, will be essential
for effective clinical translation. Novel murine models bearing human tumor
xenografts and engrafted human immune systems may help close the gap between
preclinical and clinical immunobiology.
PMID- 29360722
TI - Clinical Development of PD-1 in Advanced Melanoma.
AB - The development of new treatment options has dramatically improved the landscape
for patients with advanced melanoma. Part of these advances emerged through the
identification of the importance of factors that regulate the immune system,
including proteins that negatively modulate T cell-mediated responses termed
"immune checkpoints." Indeed, blockade of the cytotoxic T lymphocyte-associated
antigen 4 (CTLA-4) immune checkpoint served as a proof of principle that the
manipulation of these molecules could induce robust anticancer effects, yet
limited to a small percentage of patients. Targeting a distinct checkpoint, the
PD-1 yielded improved outcomes and reduced toxicity compared with CTLA-4 blockade
and, in separate studies, chemotherapy. More recently, combined CTLA-4/PD-1
blockade was shown to result in higher response rates, while accompanied by
increased toxicity. In this article, we review the clinical development of anti
PD-1 monotherapy and combinations that may expand the benefit of immunotherapy
for patients with advanced melanoma.
PMID- 29360724
TI - Blocking PD-1/PD-L1 in Genitourinary Malignancies: To Immunity and Beyond.
AB - Genitourinary malignancies represent a diverse biologic and immunologic
landscape. Recently, checkpoint blockade has transformed the treatment paradigms
for bladder and kidney cancer. However, continued progress will be essential in
bladder and kidney cancer, given response to inhibition of the PD-1/PD-L1 (PD
1/PD-L1) axis remains variable and only a minority of patients respond. In
contrast with the clinical trial results in bladder and kidney cancer, studies of
anti-PD-1/PD-L1 therapy in prostate cancer have generally been disappointing.
Nevertheless, an exciting array of studies is underway that are translating
lessons learned from tumor biology into promising clinical trials. Here we
highlight important features of the immune tumor microenvironment of bladder,
kidney, and prostate cancer and review key completed and ongoing clinical trials
of anti-PD-1/PD-L1 therapy in these tumor types.
PMID- 29360723
TI - PD-1/PD-L1 Axis in Lung Cancer.
AB - Cancer immunotherapies have revolutionized the treatment of non-small cell lung
cancer. Yet, only a small subset of patients will benefit from PD-1 or PD-L1
blockade. PD-L1 tumor cell expression is the only approved biomarker at present.
Tumor mutational burden and other emerging biomarkers should improve patient
selection. Combination therapy approaches with chemotherapy or cytotoxic T
lymphocyte-associated protein 4 blockade may increase the proportion of patients
who benefit from immunotherapy. Although use of immunotherapy in lung cancers
with targetable oncogenes has not been particularly successful, the benefit of PD
(L)1 inhibitors in early-stage disease is emerging. This review briefly describes
the evolution of the clinical development and future directions of PD-(L)1
blockade in patients with lung cancers.
PMID- 29360725
TI - Clinical Development of PD-1 Blockade in Hematologic Malignancies.
AB - Clinical development of immune checkpoint inhibitors targeting the PD-1 pathway
has led to clinical benefits for patients with multiple solid tumor and
hematologic malignancies and has revolutionized modern oncology. High response
rates to PD-1 blockade in patients with classical Hodgkin lymphoma and certain
subtypes of non-Hodgkin lymphoma highlight an intrinsic biologic sensitivity to
this strategy of treatment. Despite early success of checkpoint inhibitor and
immunomodulatory drug combinations in phase 2 studies in multiple myeloma, safety
concerns in patients treated with the combination of immunomodulatory drugs and
checkpoint inhibitors in myeloma have stalled drug development in this space.
Novel combination approaches exploring PD-1 inhibitors with epigenetic modifiers
in leukemia are underway.
PMID- 29360726
TI - Toxicities Associated With PD-1/PD-L1 Blockade.
AB - Immune checkpoint inhibitors, particularly those targeting PD-1/PD-L1, produce
durable responses in a subset of patients across cancer types. Although often
well tolerated, these agents can induce a broad spectrum of autoimmune-like
complications that may affect any organ system. Treatment of these toxicities
primarily consists of immune suppression with corticosteroids and other agents.
This review briefly discusses the mechanisms of immune-related adverse events,
overviews the clinical and pathologic features of major toxicities caused by PD
1/PD-L1 blockade, and reviews their management.
PMID- 29360727
TI - PD-L1 and Emerging Biomarkers in Immune Checkpoint Blockade Therapy.
AB - PD-L1 checkpoint blockade is revolutionizing cancer therapy, and biomarkers
capable of predicting which patients are most likely to respond are highly
desired. The detection of PD-L1 protein expression by immunohistochemistry can
enrich for response to anti-PD-(L)1 blockade in a variety of tumor types, but is
not absolute. Limitations of current commercial PD-L1 immunohistochemical (IHC)
assays and improvements anticipated in next-generation PD-L1 testing are
reviewed. Assessment of tumor-infiltrating lymphocytes in conjunction with PD-L1
testing could improve specificity by distinguishing adaptive (interferon gamma
driven and cytotoxic T-lymphocyte associated) from constitutive (non-immune
mediated) expression. The presence of a high tumor mutational burden also
enriches for response to therapy, and early data indicate that this may provide
additive predictive value beyond PD-L1 IHC alone. As candidate biomarkers
continue to emerge, the pathologist's assessment of the tumor microenvironment on
hematoxylin-eosin stain combined with PD-L1 IHC remains a rapid and robust way to
evaluate the tumor-immune dynamic.
PMID- 29360729
TI - Combination Strategies PD-1/PD-L1 Antagonists.
AB - Despite the broad clinical antitumor activity of PD-1/PD-L1 antagonists, many
patients who are treated with these agents either do not respond or achieve
suboptimal responses. Improving overall outcome will require combinations with
other agents to address potential innate or acquired mechanisms of resistance.
Many combination trials have been initiated in patients with or without prior
exposure to the PD-1/PD-L1 antagonists. In addition to the challenge of
identifying optimal dose, schedule, and sequence for the combinations, current
biomarker efforts lack the precision to identify optimal combination partners for
the PD-1/PD-L1 antagonists in individual patients. For each possible combination,
careful consideration of clinical trial design, biomarker strategies, and
endpoints for early clinical development will be necessary to move the most
promising regimens forward and therefore to accelerate the rate of clinical
progress.
PMID- 29360728
TI - Mechanisms of Resistance to PD-1 and PD-L1 Blockade.
AB - Cancer immunotherapy utilizing blockade of the PD-1/PD-L1 checkpoint has
revolutionized the treatment of a wide variety of malignancies, leading to
durable therapeutic responses not typically seen with traditional cytotoxic
anticancer agents. However, these therapies are ineffective in a significant
percentage of patients, and some initial responders eventually develop resistance
to these therapies with relapsed disease. The mechanisms leading to both primary
and acquired resistance to PD-1/PD-L1 inhibition are varied and can be both
multifactorial and overlapping in an individual patient. As the mechanisms of
resistance to PD-1/PD-L1 blockade continue to be further characterized, new
strategies are being developed to prevent or reverse resistance to therapy,
leading to improved patient outcomes.
PMID- 29360730
TI - Physical Activity, a Critical Exposure Factor of Environmental Pollution in
Children and Adolescents Health Risk Assessment.
AB - It is an extremely urgent problem that physical fitness promotion must face not
only the increasing air pollution but also the decline of physical activity level
of children and adolescents worldwide at present, which is the major reason that
forms an inactive lifestyle and does harm to adolescents' health. Thus, it is
necessary to focus on the exposure factor in environmental health risk assessment
(EHRA) which conducts supervision of environmental pollution and survey of
adolescents' activity patterns according to the harmful characteristics of air
pollutant and relationship between dose and response. Some countries, such as
USA, Canada and Australia, regard both respiratory rate and physical activity
pattern as main exposure factors for adolescents in both air pollution health
risk assessment and exercise risk assessment to forecast a safe exposing
condition of pollutant for adolescents while they are doing exercise outdoors. In
addition, it suggests that the testing indexes and testing methods of these two
exposure factors, such as investigating the time of daily physical activity,
strength, and characteristic of frequency, help to set up the quantitative
relationship between environmental pollution index and the time, strength,
frequency of daily activities, and formulate children's and adolescents' activity
instructions under different levels of environmental pollutions. As smog becomes
increasingly serious at present, it is meaningful to take physical activity as a
critical composition of exposure factor and establish physical activity
guideline, so as to reduce the risk of air pollution, and promote physical health
of children and adolescents effectively.
PMID- 29360731
TI - Thyme and Savory Essential Oil Efficacy and Induction of Resistance against
Botrytis cinerea through Priming of Defense Responses in Apple.
AB - The efficacy of thyme and savory essential oils were investigated against
Botrytis cinerea on apple fruit. Apples treated with thyme and savory essential
oils showed significantly lower gray mold severity and incidence. Thyme essential
oil at 1% concentration showed the highest efficacy, with lower disease incidence
and smaller lesion diameter. The expression of specific pathogenesis-related (PR)
genes PR-8 and PR-5 was characterized in apple tissues in response to thyme oil
application and B. cinerea inoculation. After 6 h of pathogen inoculation, thyme
essential oil induced a 2.5-fold increase of PR-8 gene expression compared to
inoculated fruits. After 24 h of inoculation, PR-8 was highly induced (7-fold) in
both thyme oil-treated and untreated apples inoculated with B. cinerea. After 48
h of inoculation, PR-8 expression in thyme-treated and inoculated apples was 4-
and 6-fold higher than in inoculated and water-treated apples. Neither thyme oil
application nor B. cinerea inoculation markedly affected PR-5 expression. These
results suggest that thyme oil induces resistance against B. cinerea through the
priming of defense responses in apple fruit, and the PR-8 gene of apple may play
a key role in the mechanism by which thyme essential oil effectively inhibits
gray mold in apple fruit.
PMID- 29360732
TI - Crosstalk of PmCBFs and PmDAMs Based on the Changes of Phytohormones under
Seasonal Cold Stress in the Stem of Prunus mume.
AB - Plants facing the seasonal variations always need a growth restraining mechanism
when temperatures turn down. C-repeat binding factor (CBF) genes work essentially
in the cold perception. Despite lots of researches on CBFs, the multiple
crosstalk is still interesting on their interaction with hormones and dormancy
associated MADS (DAM) genes in the growth and dormancy control. Therefore, this
study highlights roles of PmCBFs in cold-induced dormancy from different orgens.
And a sense-response relationship between PmCBFs and PmDAMs is exhibited in this
process, jointly regulated by six PmCBFs and PmDAM4-6. Meantime, GA3 and ABA
showed negative and positive correlation with PmCBFs expression levels,
respectively. We also find a high correlation between IAA and PmDAM1-3. Finally,
we display the interaction mode of PmCBFs and PmDAMs, especially PmCBF1-PmDAM1.
These results can disclose another view of molecular mechanism in plant growth
between cold-response pathway and dormancy regulation together with genes and
hormones.
PMID- 29360734
TI - Preparation of a Sepia Melanin and Poly(ethylene-alt-maleic Anhydride) Hybrid
Material as an Adsorbent for Water Purification.
AB - Meeting the increasing demand of clean water requires the development of novel
efficient adsorbent materials for the removal of organic pollutants. In this
context the use of natural, renewable sources is of special relevance and sepia
melanin, thanks to its ability to bind a variety of organic and inorganic
species, has already attracted interest for water purification. Here we describe
the synthesis of a material obtained by the combination of sepia melanin and
poly(ethylene-alt-maleic anhydride) (P(E-alt-MA)). Compared to sepia melanin, the
resulting hybrid displays a high and fast adsorption efficiency towards methylene
blue (a common industrial dye) for a wide pH range (from pH 2 to 12) and under
high ionic strength conditions. It is easily recovered after use and can be
reused up to three times. Given the wide availability of sepia melanin and P(E
alt-MA), the synthesis of our hybrid is simple and affordable, making it suitable
for industrial water purification purposes.
PMID- 29360733
TI - Maternal Vitamin D Status and Infant Infection.
AB - Maternal vitamin D status during pregnancy may modulate fetal immune system
development and infant susceptibility to infections. Vitamin D deficiency is
common during pregnancy, particularly among African American (AA) women. Our
objective was to compare maternal vitamin D status (plasma 25(OH)D concentration)
during pregnancy and first-year infections in the offspring of African American
(AA) and non-AA women. We used medical records to record frequency and type of
infections during the first year of life of 220 term infants (69 AA, 151 non-AA)
whose mothers participated in the Kansas University DHA Outcomes Study. AA and
non-AA groups were compared for maternal 25(OH)D by Mann-Whitney U-test. Compared
to non-AA women, AA women were more likely to be vitamin D deficient (<50 nmol/L;
84 vs. 37%, p < 0.001), and more of their infants had at least one infection in
the first 6 months (78.3% and 59.6% of infants, respectively, p = 0.022). We next
explored the relationship between maternal plasma 25(OH)D concentration and
infant infections using Spearman correlations. Maternal 25(OH)D concentration was
inversely correlated with the number of all infections (p = 0.033), eye, ear,
nose, and throat (EENT) infections (p = 0.043), and skin infection (p = 0.021) in
the first 6 months. A model that included maternal education, income, and 25(OH)D
identified maternal education as the only significant predictor of infection risk
in the first 6 months (p = 0.045); however, maternal education, income, and
25(OH)D were all significantly lower in AA women compared to non-AA women . The
high degree of correlation between these variables does not allow determination
of which factor is driving the risk of infection; however, the one that is most
easily remediated is vitamin D status. It would be of value to learn if vitamin D
supplementation in this at-risk group could ameliorate at least part of the
increased infection risk.
PMID- 29360735
TI - The Critical Role of Thioacetamide Concentration in the Formation of ZnO/ZnS
Heterostructures by Sol-Gel Process.
AB - ZnO/ZnS heterostructures have emerged as an attractive approach for tailoring the
properties of particles comprising these semiconductors. They can be synthesized
using low temperature sol-gel routes. The present work yields insight into the
mechanisms involved in the formation of ZnO/ZnS nanostructures. ZnO colloidal
suspensions, prepared by hydrolysis and condensation of a Zn acetate precursor
solution, were allowed to react with an ethanolic thioacetamide solution (TAA) as
sulfur source. The reactions were monitored in situ by Small Angle X-ray
Scattering (SAXS) and UV-vis spectroscopy, and the final colloidal suspensions
were characterized by High Resolution Transmission Electron Microscopy (HRTEM).
The powders extracted at the end of the reactions were analyzed by X-ray
Absorption spectroscopy (XAS) and X-ray diffraction (XRD). Depending on TAA
concentration, different nanostructures were revealed. ZnO and ZnS phases were
mainly obtained at low and high TAA concentrations, respectively. At intermediate
TAA concentrations, we evidenced the formation of ZnO/ZnS heterostructures. ZnS
formation could take place via direct crystal growth involving Zn ions remaining
in solution and S ions provided by TAA and/or chemical conversion of ZnO to ZnS.
The combination of all the characterization techniques was crucial to elucidate
the reaction steps and the nature of the final products.
PMID- 29360736
TI - Demographic and Urbanization Disparities of Liver Transplantation in Taiwan.
AB - Limited access to or receipt of liver transplantation (LT) may jeopardize
survival of patients with end-stage liver diseases. Taiwan launched its National
Health Insurance (NHI) program in 1995, which essentially removes financial
barriers to health care. This study aims to investigate where there are still
demographic and urbanization disparities of LT after 15 years of NHI program
implementation. Data analyzed in this study were retrieved from Taiwan's NHI
inpatient claims. A total of 3020 people aged >=18 years received LT between 2000
and 2013. We calculated crude and adjusted prevalence rate of LT according to
secular year, age, sex, and urbanization. The multiple Poisson regression model
was further employed to assess the independent effects of demographics and
urbanization on prevalence of LT. The biennial number of people receiving LT
substantially increased from 56 in 2000-2001 to 880 in 2012-2013, representing a
prevalence rate of 1.63 and 18.58 per 106, respectively. Such increasing secular
trend was independent of sex. The prevalence was consistently higher in men than
in women. The prevalence also increased with age in people <65 years, but dropped
sharply in the elderly (>=65 years) people. We noted a significant disparity of
LT in areas with different levels of urbanization. Compared to urban areas,
satellite (prevalence rate ratio (PRR), 0.63, 95% confidence interval (CI), 0.57
0.69) and rural (PRR, 0.76, 95% CI, 0.69-0.83) areas were both associated with a
significantly lower prevalence of LT. There are still significant demographic and
urbanization disparities in LT after 15 years of NHI program implementation.
Given the predominance of living donor liver transplantation in Taiwan, further
studies should be conducted to investigate factors associated with having a
potential living donor for LT.
PMID- 29360737
TI - Lasiodiplodia theobromae as a Producer of Biotechnologically Relevant Enzymes.
AB - Phytopathogenic fungi are known to produce several types of enzymes usually
involved in plant cell wall degradation and pathogenesis. The increasing of
global temperature may induce fungi, such as Lasiodiplodia theobromae (L.
theobromae), to alter its behavior. Nonetheless, there is only limited
information regarding the effect of temperature on L. theobromae production of
enzymes. The need for new, thermostable enzymes, that are biotechnologically
relevant, led us to investigate the effect of temperature on the production of
several extracellular enzymatic activities by different L. theobromae strains.
Fungi were grown at 25 degrees C, 30 degrees C and 37 degrees C and the
enzymatic activities were detected by plate assays, quantified by
spectrophotometric methods and characterized by zymography. The thermostability
(25-80 degrees C) of the enzymes produced was also tested. Strains CAA019,
CBS339.90, LA-SOL3, LA-SV1 and LA-MA-1 produced amylases, gelatinases,
caseinases, cellulases, lipases, laccases, xylanases, pectinases and pectin
liases. Temperature modulated the expression of the enzymes, and this effect was
more visible when fungi were grown at 37 degrees C than at lower temperatures.
Contrary to proteolytic and endoglucanolytic activities, whose highest activities
were detected when fungi were grown at 30 degrees C, lipolytic activity was not
detected at this growth temperature. Profiles of proteases and endoglucanases of
fungi grown at different temperatures were characterized by zymography. Enzymes
were shown to be more thermostable when fungi were grown at 30 degrees C.
Proteases were active up to 50 degrees C and endoglucanases up to 70 degrees C.
Lipases were the least stable, with activities detected up to 45 degrees C. The
enzymatic profiles detected for L. theobromae strains tested showed to be
temperature and strain-dependent, making this species a good target for
biotechnological applications.
PMID- 29360738
TI - The Association between Air Pollution and Outpatient and Inpatient Visits in
Shenzhen, China.
AB - Nowadays, air pollution is a severe environmental problem in China. To
investigate the effects of ambient air pollution on health, a time series
analysis of daily outpatient and inpatient visits in 2015 were conducted in
Shenzhen (China). Generalized additive model was employed to analyze associations
between six air pollutants (namely SO2, CO, NO2, O3, PM10, and PM2.5) and daily
outpatient and inpatient visits after adjusting confounding meteorological
factors, time and day of the week effects. Significant associations between air
pollutants and two types of hospital visits were observed. The estimated increase
in overall outpatient visits associated with each 10 ug/m3 increase in air
pollutant concentration ranged from 0.48% (O3 at lag 2) to 11.48% (SO2 with 2-day
moving average); for overall inpatient visits ranged from 0.73% (O3 at lag 7) to
17.13% (SO2 with 8-day moving average). Our results also suggested a
heterogeneity of the health effects across different outcomes and in different
populations. The findings in present study indicate that even in Shenzhen, a less
polluted area in China, significant associations exist between air pollution and
daily number of overall outpatient and inpatient visits.
PMID- 29360739
TI - Peretinoin, an Acyclic Retinoid, Inhibits Hepatitis B Virus Replication by
Suppressing Sphingosine Metabolic Pathway In Vitro.
AB - Hepatocellular carcinoma (HCC) frequently develops from hepatitis C virus (HCV)
and hepatitis B virus (HBV) infection. We previously reported that peretinoin, an
acyclic retinoid, inhibits HCV replication. This study aimed to examine the
influence of peretinoin on the HBV lifecycle. HBV-DNA and covalently closed
circular DNA (cccDNA) were evaluated by a qPCR method in HepG2.2.15 cells.
Peretinoin significantly reduced the levels of intracellular HBV-DNA, nuclear
cccDNA, and HBV transcript at a concentration that did not induce cytotoxicity.
Conversely, other retinoids, such as 9-cis, 13-cis retinoic acid (RA), and all
trans-retinoic acid (ATRA), had no effect or rather increased HBV replication.
Mechanistically, although peretinoin increased the expression of HBV-related
transcription factors, as observed for other retinoids, peretinoin enhanced the
binding of histone deacetylase 1 (HDAC1) to cccDNA in the nucleus and negatively
regulated HBV transcription. Moreover, peretinoin significantly inhibited the
expression of SPHK1, a potential inhibitor of HDAC activity, and might be
involved in hepatic inflammation, fibrosis, and HCC. SPHK1 overexpression in
cells cancelled the inhibition of HBV replication induced by peretinoin. This
indicates that peretinoin activates HDAC1 and thereby suppresses HBV replication
by inhibiting the sphingosine metabolic pathway. Therefore, peretinoin may be a
novel therapeutic agent for HBV replication and chemoprevention against HCC.
PMID- 29360741
TI - A Patient-Centered Approach to Guide Follow-Up and Adjunctive Testing and
Treatment after First Rib Resection for Venous Thoracic Outlet Syndrome Is Safe
and Effective.
AB - Controversies in the treatment of venous thoracic outlet syndrome (VTOS) have
been discussed for decades, but still persist. Calls for more objective reporting
standards have pushed practice towards comprehensive venous evaluations and
interventions after first rib resection (FRR) for all patients. In our practice,
we have relied on patient-centered, patient-reported outcomes to guide adjunctive
treatment and measure success. Thus, we sought to investigate the use of
thrombolysis versus anticoagulation alone, timing of FRR following thrombolysis,
post-FRR venous intervention, and FRR for McCleery syndrome (MCS) and their
impact on patient symptoms and return to function. All patients undergoing FRR
for VTOS at our institution from 4 April 2000 through 31 December 2013 were
reviewed. Demographics, symptoms, diagnostic and treatment details, and outcomes
were collected. Per "Reporting Standards of the Society for Vascular Surgery for
Thoracic Outlet Syndrome", symptoms were described as
swelling/discoloration/heaviness, collaterals, concomitant neurogenic symptoms,
and functional impairment. Patient-reported response to treatment was defined as
complete (no residual symptoms and return to function), partial (any residual
symptoms present but no functional impairment), temporary (initial improvement
but subsequent recurrence of any symptoms or functional impairment), or none
(persistent symptoms or functional impairment). Sixty FRR were performed on 59
patients. 54.2% were female with a mean age of 34.3 years.
Swelling/discoloration/heaviness was present in all but one patient, deep vein
thrombosis in 80%, and visible collaterals in 41.7%. Four patients had pulmonary
embolus while 65% had concomitant neurogenic symptoms. In addition, 74.6% of
patients were anticoagulated and 44.1% also underwent thrombolysis prior to FRR.
Complete or partial response occurred in 93.4%. Of the four patients with
temporary or no response, further diagnostics revealed residual venous disease in
two and occult alternative diagnoses in two. Use of thrombolysis was not related
to FRR outcomes (p = 0.600). Performance of FRR less than or greater than six
weeks after the initiation of anticoagulation or treatment with thrombolysis was
not related to FRR outcomes (p = 1). Whether patients had DVT or MCS was not
related to FRR outcomes (p = 1). No patient had recurrent DVT. From a patient
centered, patient-reported standpoint, VTOS is equally effectively treated with
FRR regardless of preoperative thrombolysis or timing of surgery after
thrombolysis. A conservative approach to venous interrogation and intervention
after FRR is safe and effective for symptom control and return to function.
Additionally, patients with MCS are effectively treated with FRR.
PMID- 29360740
TI - Proteomic Analysis of Methanonatronarchaeum thermophilum AMET1, a Representative
of a Putative New Class of Euryarchaeota, "Methanonatronarchaeia".
AB - The recently discovered Methanonatronarchaeia are extremely halophilic and
moderately thermophilic methyl-reducing methanogens representing a novel class
level lineage in the phylum Euryarchaeota related to the class Halobacteria. Here
we present a detailed analysis of 1D-nano liquid chromatography-electrospray
ionization tandem mass spectrometry data obtained for "Methanonatronarchaeum
thermophilum" AMET1 grown in different physiological conditions, including
variation of the growth temperature and substrates. Analysis of these data allows
us to refine the current understanding of the key biosynthetic pathways of this
triple extremophilic methanogenic euryarchaeon and identify proteins that are
likely to be involved in its response to growth condition changes.
PMID- 29360742
TI - Vaccinia virus in Feces and Urine of Wild Rodents from Sao Paulo State, Brazil.
AB - The origin of Vaccinia virus (VACV) outbreaks in Brazil remains unknown, but
since the isolation of VACV in Mus musculus mice during a zoonotic outbreak
affecting cattle and milkers, peridomestic rodents have been suggested to be a
link between cows and wild animals. Considering that experimentally infected mice
eliminate viral particles in their feces, we investigated the presence of VACV in
the feces and urine of wild rodents that were captured in the forest areas
surrounding milking farms in the central west region of Sao Paulo State. For the
first time, this work reports the detection of VACV by PCR in the feces of
naturally infected Oligoryzomys flavescens, Oligoryzomys nigripes, and Sooretamys
angouya, and in the urine of Oligorizomys flavescens, which raises important
questions about the spread of VACV by rodent feces and its potential to induce
clinical infections in cows.
PMID- 29360743
TI - System-Wide and Group-Specific Health Service Improvements: Cross-Sectional
Survey of Outpatient Improvement Preferences and Associations with Demographic
Characteristics.
AB - Efficient patient-centred quality improvement requires an understanding of the
system-wide areas of dissatisfaction along with evidence to identify the programs
which can be strategically targeted according to specific patient characteristics
and preferences. This cross-sectional study reports the proportion of chronic
disease outpatients selecting 23 patient-centred improvement initiatives. Using
univariate tests and multivariable logistic regressions, this multi-site study
also identifies initiatives differentially selected by outpatients according to
clinical and demographic characteristics. A total of 475 outpatients participated
(49% response). Commonly selected initiatives included: reducing wait-times
(22.3%); convenient appointment scheduling (16.0%); and receiving up-to-date
treatment information (16.0%). Within univariate tests, preferences for
information and service accessibility initiatives were not significantly
associated with specific subgroups. However, seven initiatives were preferred
according to age, gender, diagnosis status, and chronic disease type within
multivariate models. For example, neurology outpatients were more likely to
select assistance to manage psychological symptoms when compared to oncology
outpatients (OR: 2.89). Study findings suggest that system-wide programs to
enhance information provision are strategic approaches to improve experiences
across patient characteristics. Furthermore, a few initiatives can be targeted to
specific groups and emphasized the importance of detailed scoping analyses and
tailored implementation plans when designing patient-centred quality improvement
programs.
PMID- 29360744
TI - Melanins in Fossil Animals: Is It Possible to Infer Life History Traits from the
Coloration of Extinct Species?
AB - Paleo-colour scientists have recently made the transition from describing melanin
based colouration in fossil specimens to inferring life-history traits of the
species involved. Two such cases correspond to counter-shaded dinosaurs: dark
coloured due to melanins dorsally, and light-coloured ventrally. We believe that
colour reconstruction of fossils based on the shape of preserved microstructures
the majority of paleo-colour studies involve melanin granules-is not without
risks. In addition, animals with contrasting dorso-ventral colouration may be
under different selection pressures beyond the need for camouflage, including,
for instance, visual communication or ultraviolet (UV) protection. Melanin
production is costly, and animals may invest less in areas of the integument
where pigments are less needed. In addition, melanocytes exposed to UV radiation
produce more melanin than unexposed melanocytes. Pigment economization may thus
explain the colour pattern of some counter-shaded animals, including extinct
species. Even in well-studied extant species, their diversity of hues and
patterns is far from being understood; inferring colours and their functions in
species only known from one or few specimens from the fossil record should be
exerted with special prudence.
PMID- 29360745
TI - GC-MS Metabolomics to Evaluate the Composition of Plant Cuticular Waxes for Four
Triticum aestivum Cultivars.
AB - Wheat (Triticum aestivum L.) is an important food crop, and biotic and abiotic
stresses significantly impact grain yield. Wheat leaf and stem surface waxes are
associated with traits of biological importance, including stress resistance.
Past studies have characterized the composition of wheat cuticular waxes, however
protocols can be relatively low-throughput and narrow in the range of metabolites
detected. Here, gas chromatography-mass spectrometry (GC-MS) metabolomics methods
were utilized to provide a comprehensive characterization of the chemical
composition of cuticular waxes in wheat leaves and stems. Further, waxes from
four wheat cultivars were assayed to evaluate the potential for GC-MS
metabolomics to describe wax composition attributed to differences in wheat
genotype. A total of 263 putative compounds were detected and included 58 wax
compounds that can be classified (e.g., alkanes and fatty acids). Many of the
detected wax metabolites have known associations to important biological
functions. Principal component analysis and ANOVA were used to evaluate
metabolite distribution, which was attributed to both tissue type (leaf, stem)
and cultivar differences. Leaves contained more primary alcohols than stems such
as 6-methylheptacosan-1-ol and octacosan-1-ol. The metabolite data were validated
using scanning electron microscopy of epicuticular wax crystals which detected
wax tubules and platelets. Conan was the only cultivar to display alcohol
associated platelet-shaped crystals on its abaxial leaf surface. Taken together,
application of GC-MS metabolomics enabled the characterization of cuticular wax
content in wheat tissues and provided relative quantitative comparisons among
sample types, thus contributing to the understanding of wax composition
associated with important phenotypic traits in a major crop.
PMID- 29360746
TI - Comparative Genomics of the Balsaminaceae Sister Genera Hydrocera triflora and
Impatiens pinfanensis.
AB - The family Balsaminaceae, which consists of the economically important genus
Impatiens and the monotypic genus Hydrocera, lacks a reported or published
complete chloroplast genome sequence. Therefore, chloroplast genome sequences of
the two sister genera are significant to give insight into the phylogenetic
position and understanding the evolution of the Balsaminaceae family among the
Ericales. In this study, complete chloroplast (cp) genomes of Impatiens
pinfanensis and Hydrocera triflora were characterized and assembled using a high
throughput sequencing method. The complete cp genomes were found to possess the
typical quadripartite structure of land plants chloroplast genomes with double
stranded molecules of 154,189 bp (Impatiens pinfanensis) and 152,238 bp
(Hydrocera triflora) in length. A total of 115 unique genes were identified in
both genomes, of which 80 are protein-coding genes, 31 are distinct transfer RNA
(tRNA) and four distinct ribosomal RNA (rRNA). Thirty codons, of which 29 had A/T
ending codons, revealed relative synonymous codon usage values of >1, whereas
those with G/C ending codons displayed values of <1. The simple sequence repeats
comprise mostly the mononucleotide repeats A/T in all examined cp genomes.
Phylogenetic analysis based on 51 common protein-coding genes indicated that the
Balsaminaceae family formed a lineage with Ebenaceae together with all the other
Ericales.
PMID- 29360747
TI - Groundwater Arsenic Contamination in the Ganga River Basin: A Future Health
Danger.
AB - This study highlights the severity of arsenic contamination in the Ganga River
basin (GRB), which encompasses significant geographic portions of India,
Bangladesh, Nepal, and Tibet. The entire GRB experiences elevated levels of
arsenic in the groundwater (up to 4730 ug/L), irrigation water (~1000 ug/L), and
in food materials (up to 3947 ug/kg), all exceeding the World Health
Organization's standards for drinking water, the United Nations Food and
Agricultural Organization's standard for irrigation water (100 ug/L), and the
Chinese Ministry of Health's standard for food in South Asia (0.15 mg/kg),
respectively. Several individuals demonstrated dermal, neurological,
reproductive, cognitive, and cancerous effects; many children have been diagnosed
with a range of arsenicosis symptoms, and numerous arsenic-induced deaths of
youthful victims are reported in the GRB. Victims of arsenic exposure face
critical social challenges in the form of social isolation and hatred by their
respective communities. Reluctance to establish arsenic standards and
unsustainable arsenic mitigation programs have aggravated the arsenic calamity in
the GRB and put millions of lives in danger. This alarming situation resembles a
ticking time bomb. We feel that after 29 years of arsenic research in the GRB, we
have seen the tip of the iceberg with respect to the actual magnitude of the
catastrophe; thus, a reduced arsenic standard for drinking water, testing all
available drinking water sources, and sustainable and cost-effective arsenic
mitigation programs that include the participation of the people are urgently
needed.
PMID- 29360748
TI - Role of Disulfide Bonds in Activity and Stability of Tigerinin-1R.
AB - Tigerinin-1R (Arg-Val-Cys-Ser-Ala-Ile-Pro-Leu-Pro-Ile-Cys-His-NH2), a cationic 12
mer peptide containing a disulfide bond extracted from frog skin secretions,
lacks antibacterial activity, but has the ability to stimulate insulin release
both in vitro and in vivo. To study the structure-function relationships of
tigerinin-1R, we designed and synthesized five analogs, including tigerinin
cyclic, tigerinin-1R-L4, tigerinin-linear, [C3K]tigerinin-1R, and [C11K]tigerinin
1R. Tigerinin-1R promoted insulin secretion in a concentration-dependent manner
in INS-1 cells without obvious cytotoxicity. At a concentration of 10-5 M,
[C11K]tigerinin-1R exhibited the highest stimulation ability, suggesting that the
positive charge at the C-terminus may contribute to the in vitro insulin
releasing activity of tigerinin-1R. Tigerinin-1R peptides stimulated insulin
release in INS-1 cells through a universal mechanism that involves mobilization
of intracellular calcium without disrupting the cell membrane. In vivo
experiments showed that both tigerinin-1R and [C11K]tigerinin-1R improved glucose
tolerance in overnight-fasted mice. Due to its structural stability, tigerinin-1R
showed superior hypoglycemic activity to [C11K]tigerinin-1R, which suggested a
critical role of the disulfide bonds. In addition, we also identified a
protective effect of tigerinin-1R peptides in apoptosis induced by oxidative
stress. These results further confirm the potential for the development of
tigerinin-1R as an anti-diabetic therapeutic agent in clinical practice.
PMID- 29360749
TI - Impact Analysis of Temperature and Humidity Conditions on Electrochemical Sensor
Response in Ambient Air Quality Monitoring.
AB - The increasing applications of low-cost air sensors promises more convenient and
cost-effective systems for air monitoring in many places and under many
conditions. However, the data quality from such systems has not been fully
characterized and may not meet user expectations in research and regulatory uses,
or for use in citizen science. In our study, electrochemical sensors (Alphasense
B4 series) for carbon monoxide (CO), nitric oxide (NO), nitrogen dioxide (NO2),
and oxidants (Ox) were evaluated under controlled laboratory conditions to
identify the influencing factors and quantify their relation with sensor outputs.
Based on the laboratory tests, we developed different correction methods to
compensate for the impact of ambient conditions. Further, the sensors were
assembled into a monitoring system and tested in ambient conditions in Hong Kong
side-by-side with regulatory reference monitors, and data from these tests were
used to evaluate the performance of the models, to refine them, and validate
their applicability in variable ambient conditions in the field. The more
comprehensive correction models demonstrated enhanced performance when compared
with uncorrected data. One over-arching observation of this study is that the low
cost sensors may promise excellent sensitivity and performance, but it is
essential for users to understand and account for several key factors that may
strongly affect the nature of sensor data. In this paper, we also evaluated
factors of multi-month stability, temperature, and humidity, and considered the
interaction of oxidant gases NO2 and ozone on a newly introduced oxidant sensor.
PMID- 29360750
TI - Proteomic Analysis of Secretomes of Oncolytic Herpes Simplex Virus-Infected
Squamous Cell Carcinoma Cells.
AB - Oncolytic herpes simplex virus type 1 (HSV-1) strain RH2 induced immunogenic cell
death (ICD) with the release and surface exposure of damage-associated molecular
patterns (DAMPs) in squamous cell carcinoma (SCC) SCCVII cells. The supernatants
of RH2-infected SCCVII cells also exhibited antitumor ability by intratumoral
administration in SCCVII tumor-bearing mice. The supernatants of RH2-infected
cells and mock-infected cells were concentrated to produce Med24 and MedC for
proteomic analyses. In Med24, the up- and down-regulated proteins were observed.
Proteins including filamin, tubulin, t-complex protein 1 (TCP-1), and heat shock
proteins (HSPs), were up-regulated, while extracellular matrix (ECM) proteins
were markedly down-regulated. Viral proteins were detected in Med 24. These
results indicate that HSV-1 RH2 infection increases the release of danger signal
proteins and viral gene products, but decreases the release of ECM components.
These changes may alter the tumor microenvironment (TME) and contribute to
enhancement of anti-tumor immunity against SCC.
PMID- 29360752
TI - Mesenchymal Stem Cells Derived from Healthy and Diseased Human Gingiva Support
Osteogenesis on Electrospun Polycaprolactone Scaffolds.
AB - Periodontitis is a chronic inflammatory disease affecting almost half of the
adult US population. Gingiva is an integral part of the periodontium and has
recently been identified as a source of adult gingiva-derived mesenchymal stem
cells (GMSCs). Given the prevalence of periodontitis, the purpose of this study
is to evaluate differences between GMSCs derived from healthy and diseased
gingival tissues and explore their potential in bone engineering. Primary clonal
cell lines were established from harvested healthy and diseased gingival and
characterized for expression of known stem-cell markers and multi-lineage
differentiation potential. Finally, they were cultured on electrospun
polycaprolactone (PCL) scaffolds and evaluated for attachment, proliferation, and
differentiation. Flow cytometry demonstrated cells isolated from healthy and
diseased gingiva met the criteria defining mesenchymal stem cells (MSCs).
However, GMSCs from diseased tissue showed decreased colony-forming unit
efficiency, decreased alkaline phosphatase activity, weaker osteoblast
mineralization, and greater propensity to differentiate into adipocytes than
their healthy counterparts. When cultured on electrospun PCL scaffolds, GMSCs
from both sources showed robust attachment and proliferation over a 7-day period;
they exhibited high mineralization as well as strong expression of alkaline
phosphatase. Our results show preservation of 'stemness' and osteogenic potential
of GMSC even in the presence of disease, opening up the possibility of using
routinely discarded, diseased gingival tissue as an alternate source of adult
MSCs.
PMID- 29360751
TI - Estrogenic Effects of the Extracts from the Chinese Yam (Dioscorea opposite
Thunb.) and Its Effective Compounds in Vitro and in Vivo.
AB - BACKGROUND: The aim of this study was to explore the estrogenic effects of the
extracts from Chinese yam and its effective compounds. METHODS: The activity of
the yam was investigated by the uterine weight gain of mice and a proliferation
assay of breast cancer cell lines (MCF-7 cell); the estrogenic activity was
comprehensively evaluated by a serum pharmacology experiment. The levels of
estradiol (E2), follicle stimulating hormone (FSH), and luteinizing hormone (LH)
were also measured. Western blot analysis and antagonist assays with faslodex
(ICI182,780), methylpiperidino-pyrazole (MPP), Delta (9) -tetrahydrocannabinol
(THC), and G-15 were used to explore the mechanism of the effects of the yam. To
find the effective compounds of the yam which play a role in its estrogen-like
effects, we used the same methods to study the effects of adenosine and arbutin.
RESULTS: The Chinese yam and two main compounds, adenosine and arbutin, have
estrogen-like effects. The mechanism of the yam which plays a role in its
estrogen-like effects was mainly mediated by the estrogen receptors ERalpha,
ERbeta, and GPR30; that of adenosine was mainly mediated by estrogen receptors
ERalpha and ERbeta, and that of arbutin was mainly mediated by estrogen receptors
ERbeta and GPR30. CONCLUSIONS: The Chinese yam has estrogen-like effects;
adenosine and arbutin are two of the effective compounds in the yam which play a
role in its estrogen-like effects.
PMID- 29360753
TI - Global Metabolomics of the Placenta Reveals Distinct Metabolic Profiles between
Maternal and Fetal Placental Tissues Following Delivery in Non-Labored Women.
AB - We evaluated the metabolic alterations in maternal and fetal placental tissues
from non-labored women undergoing cesarean section using samples collected from 5
min to 24 h following delivery. Using 1H-NMR, we identified 14 metabolites that
significantly differed between maternal and fetal placental tissues (FDR
corrected p-value < 0.05), with 12 metabolites elevated in the maternal tissue,
reflecting the flux of these metabolites from mother to fetus. In the maternal
tissue, 4 metabolites were significantly altered at 15 min, 10 metabolites at 30
min, and 16 metabolites at 1 h postdelivery, while 11 metabolites remained stable
over 24 h. In contrast, in the fetal placenta tissue, 1 metabolite was
significantly altered at 15 min, 2 metabolites at 30 min, and 4 metabolites at 1
h postdelivery, while 22 metabolites remained stable over 24 h. Our study
provides information on the metabolic profiles of maternal and fetal placental
tissues delivered by cesarean section and reveals that there are different
metabolic alterations in the maternal and fetal tissues of the placenta following
delivery.
PMID- 29360754
TI - Characterization of Different Cable Ferrite Materials to Reduce the
Electromagnetic Noise in the 2-150 kHz Frequency Range.
AB - The gap of standardization for conducted and field coupled electromagnetic
interferences (EMI) in the 2-150 kHz frequency range can lead to Electromagnetic
Compatibility (EMC) problems. This is caused by power systems such as Pulse Width
Modulation (PWM) controlled rectifiers, photovoltaic inverters or charging
battery units in electric vehicles. This is a very important frequency spectral
due to interferences generated in a wide range of devices and, specifically,
communication problems in the new technologies and devices incorporated to the
traditional grid to convert it into a Smart Grid. Consequently, it is necessary
to provide new solutions to attenuate this kind of interference, which involves
finding new materials that are able to filter the electromagnetic noise. This
contribution is focused on characterizing the performance of a novel material
based on nanocrystalline and comparing it to most common material compositions
such as MnZn and NiZn. This research is carried out from the point of view of the
manufacturing process, magnetic properties and EMI suppression ability. This last
item is carried out through two analysis procedures: a theoretical method by
determining the attenuation ratio by measuring impedance parameter and proposing
a new empirical technique based on measuring directly the insertion loss
parameter. Therefore, the main aim of this characterization process is to
determine the performance of nanocrystalline compared to traditional cable
ferrite compositions to reduce the interferences in this controversial frequency
range. From the results obtained, it is possible to deduce that nanocrystalline
cable ferrite provides the best performance to filter the electromagnetic noise
in the 2-150 kHz frequency range.
PMID- 29360756
TI - Selective Blockade of the Metabotropic Glutamate Receptor mGluR5 Protects Mouse
Livers in In Vitro and Ex Vivo Models of Ischemia Reperfusion Injury.
AB - 2-Methyl-6-(phenylethynyl)pyridine (MPEP), a negative allosteric modulator of the
metabotropic glutamate receptor (mGluR) 5, protects hepatocytes from ischemic
injury. In astrocytes and microglia, MPEP depletes ATP. These findings seem to be
self-contradictory, since ATP depletion is a fundamental stressor in ischemia.
This study attempted to reconstruct the mechanism of MPEP-mediated ATP depletion
and the consequences of ATP depletion on protection against ischemic injury. We
compared the effects of MPEP and other mGluR5 negative modulators on ATP
concentration when measured in rat hepatocytes and acellular solutions. We also
evaluated the effects of mGluR5 blockade on viability in rat hepatocytes exposed
to hypoxia. Furthermore, we studied the effects of MPEP treatment on mouse livers
subjected to cold ischemia and warm ischemia reperfusion. We found that MPEP and
3-[(2-methyl-1,3-thiazol-4-yl)ethynyl]pyridine (MTEP) deplete ATP in hepatocytes
and acellular solutions, unlike fenobam. This finding suggests that mGluR5s may
not be involved, contrary to previous reports. MPEP, as well as MTEP and fenobam,
improved hypoxic hepatocyte viability, suggesting that protection against
ischemic injury is independent of ATP depletion. Significantly, MPEP protected
mouse livers in two different ex vivo models of ischemia reperfusion injury,
suggesting its possible protective deployment in the treatment of hepatic
inflammatory conditions.
PMID- 29360755
TI - Signaling by Steroid Hormones in the 3D Nuclear Space.
AB - Initial studies showed that ligand-activated hormone receptors act by binding to
the proximal promoters of individual target genes. Genome-wide studies have now
revealed that regulation of transcription by steroid hormones mainly depends on
binding of the receptors to distal regulatory elements. Those distal elements,
either enhancers or silencers, act on the regulation of target genes by chromatin
looping to the gene promoters. In the nucleus, this level of chromatin folding is
integrated within dynamic higher orders of genome structures, which are organized
in a non-random fashion. Terminally differentiated cells exhibit a tissue
specific three-dimensional (3D) organization of the genome that favors or
restrains the activity of transcription factors and modulates the function of
steroid hormone receptors, which are transiently activated upon hormone exposure.
Conversely, integration of the hormones signal may require modifications of the
3D organization to allow appropriate transcriptional outcomes. In this review, we
summarize the main levels of organization of the genome, review how they can
modulate the response to steroids in a cell specific manner and discuss the role
of receptors in shaping and rewiring the structure in response to hormone. Taking
into account the dynamics of 3D genome organization will contribute to a better
understanding of the pleiotropic effects of steroid hormones in normal and cancer
cells.
PMID- 29360757
TI - Genome-Wide Characterization of Heat-Shock Protein 70s from Chenopodium quinoa
and Expression Analyses of Cqhsp70s in Response to Drought Stress.
AB - Heat-shock proteins (HSPs) are ubiquitous proteins with important roles in
response to biotic and abiotic stress. The 70-kDa heat-shock genes (Hsp70s)
encode a group of conserved chaperone proteins that play central roles in
cellular networks of molecular chaperones and folding catalysts across all the
studied organisms including bacteria, plants and animals. Several Hsp70s involved
in drought tolerance have been well characterized in various plants, whereas no
research on Chenopodium quinoa HSPs has been completed. Here, we analyzed the
genome of C. quinoa and identified sixteen Hsp70 members in quinoa genome.
Phylogenetic analysis revealed the independent origination of those Hsp70
members, with eight paralogous pairs comprising the Hsp70 family in quinoa. While
the gene structure and motif analysis showed high conservation of those
paralogous pairs, the synteny analysis of those paralogous pairs provided
evidence for expansion coming from the polyploidy event. With several subcellular
localization signals detected in CqHSP70 protein paralogous pairs, some of the
paralogous proteins lost the localization information, indicating the diversity
of both subcellular localizations and potential functionalities of those HSP70s.
Further gene expression analyses revealed by quantitative polymerase chain
reaction (qPCR) analysis illustrated the significant variations of Cqhsp70s in
response to drought stress. In conclusion, the sixteen Cqhsp70s undergo lineage
specific expansions and might play important and varied roles in response to
drought stress.
PMID- 29360758
TI - Fabrication of Two Polyester Nanofiber Types Containing the Biobased Monomer
Isosorbide: Poly (Ethylene Glycol 1,4-Cyclohexane Dimethylene Isosorbide
Terephthalate) and Poly (1,4-Cyclohexane Dimethylene Isosorbide Terephthalate).
AB - The thermal and mechanical properties of two types of polyester nanofiber, poly
(1,4-cyclohexanedimethylene isosorbide terephthalate) (PICT) copolymers and the
terpolyester of isosorbide, ethylene glycol, 1,4-cyclohexane dimethanol, and
terephthalic acid (PEICT), were investigated. This is the first attempt to
fabricate PICT nanofiber via the electrospinning method; comparison with PEICT
nanofiber could give greater understanding of eco-friendly nanofibers containing
biomass monomers. The nanofibers fabricated from each polymer show similar smooth
and thin-and-long morphologies. On the other hand, the polymers exhibited
significantly different mechanical and thermal properties; in particular, a
higher tensile strength was observed for PICT nanofiber mat than for that of
PEICT. We hypothesized that PICT has more trans-configuration than PEICT,
resulting in enhancement of its tensile strength, and demonstrated this by
Fourier transform infrared spectroscopy. In addition, PICT nanofibers showed
clear crystallization behavior upon increased temperature, while PEICT nanofibers
showed completely amorphous structure. Both nanofibers have better tensile
properties and thermal stability than the typical polyester polymer, implying
that they can be utilized in various industrial applications.
PMID- 29360759
TI - A Computational Approach for Understanding the Interactions between Graphene
Oxide and Nucleoside Diphosphate Kinase with Implications for Heart Failure.
AB - During a heart failure, an increased content and activity of nucleoside
diphosphate kinase (NDPK) in the sarcolemmal membrane is responsible for
suppressing the formation of the second messenger cyclic adenosine monophosphate
(cAMP)-a key component required for calcium ion homeostasis for the proper
systolic and diastolic functions. Typically, this increased NDPK content lets the
surplus NDPK react with a mutated G protein in the beta-adrenergic signal
transduction pathway, thereby inhibiting cAMP synthesis. Thus, it is thus that
inhibition of NDPK may cause a substantial increase in adenylate cyclase
activity, which in turn may be a potential therapy for end-stage heart failure
patients. However, there is little information available about the molecular
events at the interface of NDPK and any prospective molecule that may potentially
influence its reactive site (His118). Here we report a novel computational
approach for understanding the interactions between graphene oxide (GO) and NDPK.
Using molecular dynamics, it is found that GO interacts favorably with the His118
residue of NDPK to potentially prevent its binding with adenosine triphosphate
(ATP), which otherwise would trigger the phosphorylation of the mutated G
protein. Therefore, this will result in an increase in cAMP levels during heart
failure.
PMID- 29360760
TI - Regulation of Akt/FoxO3a/Skp2 Axis Is Critically Involved in Berberine-Induced
Cell Cycle Arrest in Hepatocellular Carcinoma Cells.
AB - The maintenance of ordinal cell cycle phases is a critical biological process in
cancer genesis, which is a crucial target for anti-cancer drugs. As an important
natural isoquinoline alkaloid from Chinese herbal medicine, Berberine (BBR) has
been reported to possess anti-cancer potentiality to induce cell cycle arrest in
hepatocellular carcinoma cells (HCC). However, the underlying mechanism remains
to be elucidated. In our present study, G0/G1 phase cell cycle arrest was
observed in berberine-treated Huh-7 and HepG2 cells. Mechanically, we observed
that BBR could deactivate the Akt pathway, which consequently suppressed the S
phase kinase-associated protein 2 (Skp2) expression and enhanced the expression
and translocation of Forkhead box O3a (FoxO3a) into nucleus. The translocated
FoxO3a on one hand could directly promote the transcription of cyclin-dependent
kinase inhibitors (CDKIs) p21Cip1 and p27Kip1, on the other hand, it could
repress Skp2 expression, both of which lead to up-regulation of p21Cip1 and
p27Kip1, causing G0/G1 phase cell cycle arrest in HCC. In conclusion, BBR
promotes the expression of CDKIs p21Cip1 and p27Kip1 via regulating the
Akt/FoxO3a/Skp2 axis and further induces HCC G0/G1 phase cell cycle arrest. This
research uncovered a new mechanism of an anti-cancer effect of BBR.
PMID- 29360761
TI - A Novel Approach to Transforming Smoking Cessation Practice for Pregnant
Aboriginal Women and Girls Living in the Pilbara.
AB - Tobacco smoking during pregnancy contributes to a range of adverse perinatal
outcomes; but is a potentially modifiable behavior. In Australia Aboriginal and
Torres Strait Islander women face a range of barriers that hinder; rather than
support smoking cessation. Few smoking cessation programs consider the broader
social determinants of women's lives; the gendered nature of these or the
complexities which impinge on behavior change in the presence of social and
economic disadvantage and substantial individual and intergenerational trauma.
Drawing on the salient gender and trauma-informed literature this paper describes
the rationale underpinning formative research which will inform the design of a
localized, culturally meaningful smoking cessation program for Aboriginal women
living in the Hedland and Western Desert communities of the remote Pilbara region
of Western Australia. We contend that a women-centered, trauma-informed approach
to smoking cessation has much to offer those seeking to address this critical
public health issue.
PMID- 29360762
TI - Protective Effect of Meretrix meretrix Oligopeptides on High-Fat-Diet-Induced Non
Alcoholic Fatty Liver Disease in Mice.
AB - The present study investigated the effects of MMO (Meretrix meretrix
oligopeptides) on mice fed a high-fat diet. Mice were fed either a normal control
diet (NC) or a high-fat diet (HFD) without or with MMO (50 mg/kg or 250 mg/kg)
for four weeks. Levels of ALT, AST, liver tissue GSH-Px, and SOD activities, MDA
levels were measured using commercially available kits; HE staining was performed
to analyze pathologic changes of the liver; a TEM assay was performed to measure
the ultrastructural alterations of the mitochondria, and Western blotting was
performed to detect the expression of gene proteins related to lipid metabolism,
inflammation, and liver apoptosis. After six weeks, body weight, ALT, AST, and
MDA levels were significantly increased, and GSH-Px levels and SOD activities
were significantly decreased in the HFD control group compared with the NC group.
Consumption of the HFD compared with the NC caused fatty liver abnormal
mitochondria with loss of cristae, intramitochondrial granules, and a swollen and
rarefied matrix. Administration of MMO significantly decreased body weight gain,
and ALT, AST, and MDA levels; increased SOD activity and GSH-Px levels;
alleviated fatty liver steatosis; decreased the early apoptosis population;
downregulated SREBP-1c, Bax, Caspase-9, Caspase-3, TNF-alpha, and NF-kappaB
protein levels; and upregulated PPAR-alpha, Bcl-2, and AMPK-alpha, compared with
the HFD control group. MMO exhibited protective effects in mice with NAFLD by
regulating the NF-kappaB anti-inflammation signaling pathways to inhibit
inflammation, regulate AMPK-alpha, PPAR-alpha and SREBP-1c to improve lipid
metabolism disorder, and regulate Bcl-2/Bax anti-apoptosis signaling pathways to
prevent liver cell apoptosis. These results suggest that dietary supplementation
with MMO ameliorates high-fat-diet-induced NAFLD.
PMID- 29360763
TI - Microstructural Evolution and Phase Formation in 2nd-Generation Refractory-Based
High Entropy Alloys.
AB - Refractory-based high entropy alloys (HEAs) of the 2nd-generation type are new
intensively-studied materials with a high potential for structural high
temperature applications. This paper presents investigation results on
microstructural evolution and phase formation in as-cast and subsequently heat
treated HEAs at various temperature-time regimes. Microstructural examination was
performed by means of scanning electron microscopy (SEM) combined with the energy
dispersive spectroscopy (EDS) mode of electron probe microanalysis (EPMA) and
qualitative X-ray diffraction (XRD). The primary evolutionary trend observed was
the tendency of Zr to gradually segregate as the temperature rises, while all the
other elements eventually dissolve in the BCC solid solution phase once the onset
of Laves phase complex decomposition is reached. The performed thermodynamic
modelling was based on the Calculation of Phase Diagrams method (CALPHAD). The
BCC A2 solid solution phase is predicted by the model to contain increasing
amounts of Cr as the temperature rises, which is in perfect agreement with the
actual results obtained by SEM. However, the model was not able to predict the
existence of the Zr-rich phase or the tendency of Zr to segregate and form its
own solid solution-most likely as a result of the Zr segregation trend not being
an equilibrium phenomenon.
PMID- 29360764
TI - Do Carpets Impair Indoor Air Quality and Cause Adverse Health Outcomes: A Review.
AB - Several earlier studies have shown the presence of more dust and allergens in
carpets compared with non-carpeted floors. At the same time, adverse effects of
carpeted floors on perceived indoor air quality as well as worsening of symptoms
in individuals with asthma and allergies were reported. Avoiding extensive carpet
use in offices, schools, kindergartens and bedrooms has therefore been
recommended by several health authorities. More recently, carpet producers have
argued that former assessments were obsolete and that modern rugs are
unproblematic, even for those with asthma and allergies. To investigate whether
the recommendation to be cautious with the use of carpets is still valid, or
whether there are new data supporting that carpet flooring do not present a
problem for indoor air quality and health, we have reviewed the literature on
this matter. We have not found updated peer reviewed evidence that carpeted floor
is unproblematic for the indoor environment. On the contrary, also more recent
data support that carpets may act as a repository for pollutants which may become
resuspended upon activity in the carpeted area. Also, the use of carpets is still
linked to perception of reduced indoor air quality as well as adverse health
effects as previously reported. To our knowledge, there are no publications that
report on deposition of pollutants and adverse health outcomes associated with
modern rugs. However, due to the three-dimensional structure of carpets, any
carpet will to some extent act like a sink. Thus, continued caution should still
be exercised when considering the use of wall-to-wall carpeted floors in schools,
kindergartens and offices, as well as in children's bedrooms unless special needs
indicate that carpets are preferable.
PMID- 29360765
TI - Economic Conditions May Contribute to Increased Violence toward Children: A
Nationwide Population-Based Analysis of Pediatric Injuries in Taiwanese Emergency
Departments.
AB - Childhood injuries are unfortunately common. Analysis procedures may assist
professionals who work with children with developing preventive measures for
protecting children's wellness. This study explores the causes of pediatric
injuries presenting to an emergency department in Taiwan. This nationwide,
population-based study was conducted using data from the National Health
Insurance Research Database of Taiwan (NHIRD). Patients aged <18 years were
identified from approximately one million individuals listed in the NHIRD. We
followed up with these patients for nine years and analyzed the causes of
injuries requiring presentation to an emergency department. Variables of interest
were age, sex, injury mechanisms, and temporal trends. A total of 274,028
children were identified in our study. Between 2001 and 2009, the leading causes
of pediatric injuries treated in emergency departments were motor vehicle
injuries, falls, and homicide. The overall incidence of injuries declined over
the course of the study because of reductions in motor vehicle accidents and
falls. The incidence of homicide increased during the study period, particularly
between 2007 and 2009. A moderately inverse correlation between homicide rate and
economic growth was observed (correlation coefficient: -0.613, p = 0.041). There
was a general decline in pediatric injuries between 2001 and 2009. Public policy
changes, including motorcycle helmet laws and increases in alcohol taxes, may
have contributed to this decline. Unfortunately, the incidence of homicide
increased over the course of the study. Ongoing financial crises may have
contributed to this increase. Multidisciplinary efforts are required to reduce
homicide and reinforce the importance of measures that protect children against
violence.
PMID- 29360767
TI - Vertical Geochemical Variations and Speciation Studies of As, Fe, Mn Zn, and Cu
in the Sediments of the Central Gangetic Basin: Sequential Extraction and
Statistical Approach.
AB - A geochemical and speciation study of As, Fe, Mn, Zn, and Cu was performed using
sequential extraction and statistical approaches in the core sediments taken at
two locations-Rigni Chhapra and Chaube Chhapra-of the central Gangetic basin
(India). A gradual increase in the grain size (varying from clay to coarse sands)
was observed in both the core profiles up to 30.5 m depth. The concentrations of
analyzed elements ranged as follows: 6.9-14.2 mg/kg for As, 13,849-31,088 mg/kg
for Fe, 267-711 mg/kg for Mn, 45-164 mg/kg for Cu for Rigni Chhapra while for
Chaube Chhapra the range was 7.5-13.2 mg/kg for As, 10,936-37,052 mg/kg for Fe,
267-1052 mg/kg for Mn, 60-198 mg/kg for Zn and 60-108 mg/kg for Cu. Significant
amounts (53-95%) of all the fractionated elemental concentrations were bound
within the crystal structure of the minerals as a residual fraction. The
reducible fraction was the second most dominant fraction for As (7% and 8%), Fe
(3%), Mn (20% and 26%), and Cu (7% and 6%) respectively for both the cores. It
may be released when aquifers subjected to changing redox conditions. The acid
soluble fraction was of most interest because it could quickly mobilize into the
water system which formed the third most dominating among all three fractions.
Four color code of sediments showed an association with total As concentration
and did not show a relation with any fraction of all elements analyzed. The core
sediment was observed enriched with As and other elements (Cu, Fe, Mn, and Zn).
However, it fell under uncontaminated to moderately contaminate which might
exhibit a low risk in prevailing natural conditions. X-ray diffraction analyses
indicated the availability of siderite and magnetite minerals in the core
sediments in a section of dark grey with micaceous medium sand with organic
matter (black).
PMID- 29360766
TI - Coffee Intake Decreases Risk of Postmenopausal Breast Cancer: A Dose-Response
Meta-Analysis on Prospective Cohort Studies.
AB - Aim: A dose-response meta-analysis was conducted in order to summarize the
evidence from prospective cohort studies regarding the association between coffee
intake and breast cancer risk. Methods: A systematic search was performed in
electronic databases up to March 2017 to identify relevant studies; risk
estimates were retrieved from the studies and linear and non-linear dose-response
analysis modelled by restricted cubic splines was conducted. A stratified and
subgroup analysis by menopausal and estrogen/progesterone receptor (ER/PR)
status, smoking status and body mass index (BMI) were performed in order to
detect potential confounders. Results: A total of 21 prospective studies were
selected either for dose-response, the highest versus lowest category of
consumption or subgroup analysis. The dose-response analysis of 13 prospective
studies showed no significant association between coffee consumption and breast
cancer risk in the non-linear model. However, an inverse relationship has been
found when the analysis was restricted to post-menopausal women. Consumption of
four cups of coffee per day was associated with a 10% reduction in postmenopausal
cancer risk (relative risk, RR 0.90; 95% confidence interval, CI 0.82 to 0.99).
Subgroup analyses showed consistent results for all potential confounding factors
examined. Conclusions: Findings from this meta-analysis may support the
hypothesis that coffee consumption is associated with decreased risk of
postmenopausal breast cancer.
PMID- 29360768
TI - Pharmacokinetic Study of Bioactive Flavonoids in the Traditional Japanese
Medicine Keigairengyoto Exerting Antibacterial Effects against Staphylococcus
aureus.
AB - Recent studies have demonstrated that flavonoid glucuronides can be deconjugated
to the active form aglycone by beta-glucuronidase-expressing macrophages.
Keigairengyoto (KRT) is a flavonoid-rich traditional Japanese medicine reported
to enhance bacterial clearance through immune modulation. Our aims are to examine
the pharmacokinetics of KRT flavonoids and to identify active flavonoids
contributing to the adjuvant effects of KRT. KRT was evaluated at pharmacokinetic
analysis to quantify absorbed flavonoids, and cutaneous infection assay induced
in mice by inoculation of Staphylococcus aureus. Preventive or therapeutic KRT
administration reduced the number of bacteria in the infection site as well as
macroscopic and microscopic lesion scores with efficacies similar to antibiotics.
Pharmacokinetic study revealed low plasma levels of flavonoid aglycones after KRT
administration; however, plasma concentrations were enhanced markedly by beta
glucuronidase treatment, with baicalein the most abundant (Cmax, 1.32 ug/mL). In
random screening assays, flavonoids such as bacalein, genistein, and apigenin
enhanced bacteria phagocytosis by macrophages. Glucuronide bacalin was converted
to aglycone baicalein by incubation with living macrophages, macrophage lysate,
or skin homogenate. Taken together, the adjuvant effect of KRT may be due to some
blood-absorbed flavonoids which enhance macrophage functions in host defense.
Flavonoid-rich KRT may be a beneficial treatment for infectious skin
inflammation.
PMID- 29360770
TI - Hydroxytyrosol: Health Benefits and Use as Functional Ingredient in Meat.
AB - Hydroxytyrosol (HXT) is a phenolic compound drawn from the olive tree and its
leaves as a by-product obtained from the manufacturing of olive oil. It is
considered the most powerful antioxidant compound after gallic acid and one of
the most powerful antioxidant compounds between phenolic compounds from olive
tree followed by oleuropein, caffeic and tyrosol. Due to its molecular structure,
its regular consumption has several beneficial effects such as antioxidant, anti
inflammatory, anticancer, and as a protector of skin and eyes, etc. For these
reasons, the use of HXT extract is a good strategy for use in meat products to
replace synthetics additives. However, this extract has a strong odour and
flavour, so it is necessary to previously treat this compound in order to not
alter the organoleptic quality of the meat product when is added as ingredient.
The present review exposes the health benefits provided by HXT consumption and
the latest research about its use on meat. In addition, new trends about the
application of HXT in the list of ingredients of healthier meat products will be
discussed.
PMID- 29360771
TI - Biotherapeutic Effect of Gingival Stem Cells Conditioned Medium in Bone Tissue
Restoration.
AB - Bone tissue engineering is one of the main branches of regenerative medicine. In
this field, the use of a scaffold, which supported bone development, in
combination with mesenchymal stem cells (MSCs), has promised better outcomes for
bone regeneration. In particular, human gingival mesenchymal stem cells (hGMSCs)
may present advantages compared to other MSCs, including the easier isolation.
However, MSCs' secretome has attracted much attention for its potential use in
tissue regeneration, such as conditioned medium (CM) that contains different
soluble factors proved to be useful for the regenerative purposes. In this study,
we evaluated the osteogenic capacity of a poly-(lactide) (3D-PLA) scaffold
enriched with hGMSCs and hGMSCs derived CM and its ability to regenerate bone
defects in rat calvarias. 3D-PLA alone, 3D-PLA + CM or 3D-PLA + hGMSCs
with/without CM were implanted in Wistar male rats subjected to calvarial
defects. We observed that 3D-PLA scaffold enriched with hGMSCs and CM showed a
better osteogenic capacity, being able to repair the calvarial defect as revealed
in vivo by morphological evaluation. Moreover, transcriptomic analysis in vitro
revealed the upregulation of genes involved in ossification and regulation of
ossification in the 3D-PLA + CM + hGMSCs group. All of these results indicate the
great osteogenic ability of 3D-PLA + CM + hGMSCs supporting its use in bone
regenerative medicine, in particular in the repair of cranial bone defects.
Especially, hGMSCs derived CM played a key role in the induction of the
osteogenic process and in bone regeneration.
PMID- 29360772
TI - Thermoreversibly Cross-Linked EPM Rubber Nanocomposites with Carbon Nanotubes.
AB - Conductive rubber nanocomposites were prepared by dispersing conductive nanotubes
(CNT) in thermoreversibly cross-linked ethylene propylene rubbers grafted with
furan groups (EPM-g-furan) rubbers. Their features were studied with a strong
focus on conductive and mechanical properties relevant for strain-sensor
applications. The Diels-Alder chemistry used for thermoreversible cross-linking
allows for the preparation of fully recyclable, homogeneous, and conductive
nanocomposites. CNT modified with compatible furan groups provided nanocomposites
with a relatively large tensile strength and small elongation at break. High and
low sensitivity deformation experiments of nanocomposites with 5 wt % CNT (at the
percolation threshold) displayed an initially linear sensitivity to deformation.
Notably, only fresh samples displayed a linear response of their electrical
resistivity to deformations as the resistance variation collapsed already after
one cycle of elongation. Notwithstanding this mediocre performance as a strain
sensor, the advantages of using thermoreversible chemistry in a conductive rubber
nanocomposite were highlighted by demonstrating crack-healing by welding due to
the joule effect on the surface and the bulk of the material. This will open up
new technological opportunities for the design of novel strain-sensors based on
recyclable rubbers.
PMID- 29360774
TI - Kinetics of Ion-Capturing/Ion-Releasing Processes in Liquid Crystal Devices
Utilizing Contaminated Nanoparticles and Alignment Films.
AB - Various types of nanomaterials and alignment layers are considered major
components of the next generation of advanced liquid crystal devices. While the
steady-state properties of ion-capturing/ion-releasing processes in liquid
crystals doped with nanoparticles and sandwiched between alignment films are
relatively well understood, the kinetics of these phenomena remains practically
unexplored. In this paper, the time dependence of ion-capturing/ion-releasing
processes in liquid crystal cells utilizing contaminated nanoparticles and
alignment layers is analyzed. The ionic contamination of both nanodopants and
alignment films governs the switching between ion-capturing and ion-releasing
regimes. The time dependence (both monotonous and non-monotonous) of these
processes is characterized by time constants originated from the presence of
nanoparticles and films, respectively. These time constants depend on the ion
adsorption/ion desorption parameters and can be tuned by changing the
concentration of nanoparticles, their size, and the cell thickness.
PMID- 29360773
TI - Identification of Proteins Involved in Carbohydrate Metabolism and Energy
Metabolism Pathways and Their Regulation of Cytoplasmic Male Sterility in Wheat.
AB - Cytoplasmic male sterility (CMS) where no functional pollen is produced has
important roles in wheat breeding. The anther is a unique organ for male
gametogenesis and its abnormal development can cause male sterility. However, the
mechanisms and regulatory networks related to plant male sterility are poorly
understood. In this study, we conducted comparative analyses using isobaric tags
for relative and absolute quantification (iTRAQ) of the pollen proteins in a CMS
line and its wheat maintainer. Differentially abundant proteins (DAPs) were
analyzed based on Gene Ontology classifications, metabolic pathways and
transcriptional regulation networks using Blast2GO. We identified 5570 proteins
based on 23,277 peptides, which matched with 73,688 spectra, including proteins
in key pathways such as glyceraldehyde-3-phosphate dehydrogenase, pyruvate kinase
and 6-phosphofructokinase 1 in the glycolysis pathway, isocitrate dehydrogenase
and citrate synthase in the tricarboxylic acid cycle and nicotinamide adenine
dinucleotide (NADH)-dehydrogenase and adenosine-triphosphate (ATP) synthases in
the oxidative phosphorylation pathway. These proteins may comprise a network that
regulates male sterility in wheat. Quantitative real time polymerase chain
reaction (qRT-PCR) analysis, ATP assays and total sugar assays validated the
iTRAQ results. These DAPs could be associated with abnormal pollen grain
formation and male sterility. Our findings provide insights into the molecular
mechanism related to male sterility in wheat.
PMID- 29360775
TI - Faecal Pathogen Flows and Their Public Health Risks in Urban Environments: A
Proposed Approach to Inform Sanitation Planning.
AB - Public health benefits are often a key political driver of urban sanitation
investment in developing countries, however, pathogen flows are rarely taken
systematically into account in sanitation investment choices. While several tools
and approaches on sanitation and health risks have recently been developed, this
research identified gaps in their ability to predict faecal pathogen flows, to
relate exposure risks to the existing sanitation services, and to compare
expected impacts of improvements. This paper outlines a conceptual approach that
links faecal waste discharge patterns with potential pathogen exposure pathways
to quantitatively compare urban sanitation improvement options. An illustrative
application of the approach is presented, using a spreadsheet-based model to
compare the relative effect on disability-adjusted life years of six sanitation
improvement options for a hypothetical urban situation. The approach includes
consideration of the persistence or removal of different pathogen classes in
different environments; recognition of multiple interconnected sludge and
effluent pathways, and of multiple potential sites for exposure; and use of
quantitative microbial risk assessment to support prediction of relative health
risks for each option. This research provides a step forward in applying current
knowledge to better consider public health, alongside environmental and other
objectives, in urban sanitation decision making. Further empirical research in
specific locations is now required to refine the approach and address data gaps.
PMID- 29360776
TI - Involvement of Bradykinin B2 Receptor in Pathological Vascularization in Oxygen
Induced Retinopathy in Mice and Rabbit Cornea.
AB - The identification of components of the kallikrein-kinin system in the vitreous
from patients with microvascular retinal diseases suggests that bradykinin (BK)
signaling may contribute to pathogenesis of retinal vascular complications. BK
receptor 2 (B2R) signaling has been implicated in both pro-inflammatory and pro
angiogenic effects promoted by BK. Here, we investigated the role of BK/B2R
signaling in the retinal neovascularization in the oxygen-induced retinopathy
(OIR) model. Blockade of B2R signaling by the antagonist fasitibant delayed
retinal vascularization in mouse pups, indicating that the retinal endothelium is
a target of the BK/B2R system. In the rabbit cornea assay, a model of
pathological neoangiogenesis, the B2 agonist kallidin induced vessel sprouting
and promoted cornea opacity, a sign of edema and tissue inflammation. In
agreement with these results, in the OIR model, a blockade of B2R signaling
significantly reduced retinal neovascularization, as determined by the area of
retinal tufts, and, in the retinal vessel, it also reduced vascular endothelial
growth factor and fibroblast growth factor-2 expression. All together, these
findings show that B2R blockade reduces retinal neovascularization and inhibits
the expression of proangiogenic and pro-inflammatory cytokines, suggesting that
targeting B2R signaling may be an effective strategy for treating ischemic
retinopathy.
PMID- 29360777
TI - Review of Supported Pd-Based Membranes Preparation by Electroless Plating for
Ultra-Pure Hydrogen Production.
AB - In the last years, hydrogen has been considered as a promising energy vector for
the oncoming modification of the current energy sector, mainly based on fossil
fuels. Hydrogen can be produced from water with no significant pollutant
emissions but in the nearest future its production from different hydrocarbon raw
materials by thermochemical processes seems to be more feasible. In any case, a
mixture of gaseous compounds containing hydrogen is produced, so a further
purification step is needed to purify the hydrogen up to required levels
accordingly to the final application, i.e., PEM fuel cells. In this mean,
membrane technology is one of the available separation options, providing an
efficient solution at reasonable cost. Particularly, dense palladium-based
membranes have been proposed as an ideal chance in hydrogen purification due to
the nearly complete hydrogen selectivity (ideally 100%), high thermal stability
and mechanical resistance. Moreover, these membranes can be used in a membrane
reactor, offering the possibility to combine both the chemical reaction for
hydrogen production and the purification step in a unique device. There are many
papers in the literature regarding the preparation of Pd-based membranes, trying
to improve the properties of these materials in terms of permeability, thermal
and mechanical resistance, poisoning and cost-efficiency. In this review, the
most relevant advances in the preparation of supported Pd-based membranes for
hydrogen production in recent years are presented. The work is mainly focused in
the incorporation of the hydrogen selective layer (palladium or palladium-based
alloy) by the electroless plating, since it is one of the most promising
alternatives for a real industrial application of these membranes. The
information is organized in different sections including: (i) a general
introduction; (ii) raw commercial and modified membrane supports; (iii) metal
deposition insights by electroless-plating; (iv) trends in preparation of Pd
based alloys, and, finally; (v) some essential concluding remarks in addition to
futures perspectives.
PMID- 29360778
TI - Effects of Substitution, and Adding of Carbohydrate and Fat to Whey-Protein on
Energy Intake, Appetite, Gastric Emptying, Glucose, Insulin, Ghrelin, CCK and GLP
1 in Healthy Older Men-A Randomized Controlled Trial.
AB - Protein-rich supplements are used widely for the management of malnutrition in
the elderly. We reported previously that the suppression of energy intake by whey
protein is less in older than younger adults. The aim was to determine the
effects of substitution, and adding of carbohydrate and fat to whey protein, on
ad libitum energy intake from a buffet meal (180-210 min), gastric emptying (3D
ultrasonography), plasma gut hormone concentrations (0-180 min) and appetite
(visual analogue scales), in healthy older men. In a randomized, double-blind
order, 13 older men (75 +/- 2 years) ingested drinks (~450 mL) containing: (i) 70
g whey protein (280 kcal; 'P280'); (ii) 14 g protein, 28 g carbohydrate, 12.4 g
fat (280 kcal; 'M280'); (iii) 70 g protein, 28 g carbohydrate, 12.4 g fat (504
kcal; 'M504'); or (iv) control (~2 kcal). The caloric drinks, compared to a
control, did not suppress appetite or energy intake; there was an increase in
total energy intake (drink + meal, p < 0.05), which was increased most by the
M504-drink. P280- and M504-drink ingestion were associated with slower a gastric
emptying time (n = 9), lower ghrelin, and higher cholecystokinin (CCK) and
glucagon-like peptide-1 (GLP-1) than M280 (p < 0.05). Glucose and insulin were
increased most by the mixed-macronutrient drinks (p < 0.05). In conclusion,
energy intake was not suppressed, compared to a control, and particularly whey
protein, affected gastric emptying and gut hormone responses.
PMID- 29360779
TI - Analytical Approaches to Improve Accuracy in Solving the Protein Topology
Problem.
AB - To take advantage of recent advances in genomics and proteomics it is critical
that the three-dimensional physical structure of biological macromolecules be
determined. Cryo-Electron Microscopy (cryo-EM) is a promising and improving
method for obtaining this data, however resolution is often not sufficient to
directly determine the atomic scale structure. Despite this, information for
secondary structure locations is detectable. De novo modeling is a computational
approach to modeling these macromolecular structures based on cryo-EM derived
data. During de novo modeling a mapping between detected secondary structures and
the underlying amino acid sequence must be identified. DP-TOSS (Dynamic
Programming for determining the Topology Of Secondary Structures) is one tool
that attempts to automate the creation of this mapping. By treating the
correspondence between the detected structures and the structures predicted from
sequence data as a constraint graph problem DP-TOSS achieved good accuracy in its
original iteration. In this paper, we propose modifications to the scoring
methodology of DP-TOSS to improve its accuracy. Three scoring schemes were
applied to DP-TOSS and tested: (i) a skeleton-based scoring function; (ii) a
geometry-based analytical function; and (iii) a multi-well potential energy-based
function. A test of 25 proteins shows that a combination of these schemes can
improve the performance of DP-TOSS to solve the topology determination problem
for macromolecule proteins.
PMID- 29360780
TI - Effects of Zearalenone Exposure on the TGF-beta1/Smad3 Signaling Pathway and the
Expression of Proliferation or Apoptosis Related Genes of Post-Weaning Gilts.
AB - Zearalenone (ZEA) is an estrogenic toxin produced by Fusarium species, which is
widely distributed and posed a great health risk to both humans and farm animals.
Reproductive disorders associated with ZEA such as premature puberty, infertility
and abortion have plagued the animal husbandry, but the molecular mechanism is
unclear. Because transforming growth factor-beta1 (TGF-beta1) signaling pathway
is involved in the proliferation and apoptosis of cells, proliferating cell
nuclear antigen (PCNA), B-cell lymphoma/leukemia-2 (BCL-2) and BCL-2 associated X
protein (BAX) that all play indispensable roles in the normal development of the
uterus, it is hypothesized that ZEA induces reproductive disorders is closely
related to the expression of these genes. The objective of this study was to
assess the effects of dietary ZEA at the concentrations of 0.5 to 1.5 mg/kg on
the mRNA and protein expression of these genes in the uteri of post-weaning gilts
and to explore the possible molecular mechanism. Forty healthy post-weaning
female piglets (Duroc * Landrace * Large White) aged 38 d were randomly allocated
to basal diet supplemented with 0 (Control), 0.5 (ZEA0.5), 1.0 (ZEA1.0), or 1.5
(ZEA1.5) mg/kg purified ZEA, and fed for 35 d. Piglets were euthanized at the end
of the experiment and samples were taken and subjected to immunohistochemistry,
qRT-PCR and Western blot analyses. The relative mRNA expressions of PCNA, BCL-2
and Smad3 in the uteri of post-weaning gilts increased linearly (p < 0.05) and
quadratically (p < 0.05) as ZEA concentration increased in the diet. The relative
protein expressions of PCNA, BAX, BCL-2, TGF-beta1, Smad3, and phosphorylated
Smad3 (p-Smad3) in the uteri of post-weaning gilts increased linearly (p < 0.05)
and quadratically (p < 0.001) with an increasing level of ZEA. The results showed
that uterine cells in the ZEA (0.5-1.5 mg/kg) treatments were in a high
proliferation state, indicating that ZEA could accelerate the proliferation of
uteri and promote the development of the uteri. At the same time, the results
suggested that ZEA activates the TGF-beta1/Smad3 signaling pathway, suggesting it
plays an important role in accelerating the development of the uterus.
PMID- 29360781
TI - Assessment of Urinary Deoxynivalenol Biomarkers in UK Children and Adolescents.
AB - Deoxynivalenol (DON), the mycotoxin produced mainly by Fusarium graminearum and
found in contaminated cereal-based foodstuff, has been consistently detected in
body fluids in adults. Available data in children and adolescents are scarce.
This study assessed urinary DON concentrations in children aged 3-9 years (n =
40) and adolescents aged 10-17 years (n = 39) in the UK. Morning urine samples
were collected over two consecutive days and analysed for free DON (un
metabolised form), DON-glucuronides (DON-GlcA), deepoxy deoxynivalenol (DOM-1),
and total DON (sum of free DON, DON-GlcA, and DOM-1). Total DON was detected in
the urine of >95% of children and adolescents on both days. Mean total DON
concentrations (ng/mg creatinine) were 41.6 and 21.0 for children and
adolescents, respectively. The greatest total DON levels were obtained in female
children on both days (214 and 219 ng/mg creatinine on days 1 and 2,
respectively). Free DON and DON-GlcA were detected in most urine specimens,
whereas DOM-1 was not present in any sample. Estimation of dietary DON exposure
suggested that 33-63% of children and 5-46% of adolescents exceeded current
guidance regarding the maximum provisional tolerable daily intake (PMTDI) for
DON. Although moderate mean urinary DON concentrations were shown, the high
detection frequency of urinary DON, the maximum biomarker concentrations, and
estimated dietary DON exposure are concerning.
PMID- 29360782
TI - Antimycobacterial Activity: A New Pharmacological Target for Conotoxins Found in
the First Reported Conotoxin from Conasprella ximenes.
AB - Mycobacterium tuberculosis is the etiological agent of tuberculosis, an airborne
infectious disease that is a leading cause of human morbidity and mortality
worldwide. We report here the first conotoxin that is able to inhibit the growth
of M. tuberculosis at a concentration similar to that of two other drugs that are
currently used in clinics. Furthermore, it is also the first conopeptide that has
been isolated from the venom of Conasprella ximenes. The venom gland
transcriptome of C. ximenes was sequenced to construct a database with 24,284 non
redundant transcripts. The conopeptide was purified from the venom using reverse
phase high performance liquid chromatography (RP-HPLC) and was analyzed using
electrospray ionization-mass spectrometry (ESI-MS/MS). No automatic
identification above the identity threshold with 1% of the false discovery rate
was obtained; however, a 10-amino-acid sequence tag, manually extracted from the
MS/MS spectra, allowed for the identification of a conotoxin in the transcriptome
database. Electron transfer higher energy collision dissociation (EThcD)
fragmentation of the native conotoxin confirmed the N-terminal sequence (1-14),
while LC-MS/MS analysis of the tryptic digest of the reduced and S-alkylated
conotoxin confirmed the C-terminal region (15-36). The expected and experimental
molecular masses corresponded, within sub-ppm mass error. The 37-mer peptide (MW
4109.69 Da), containing eight cysteine residues, was named I1_xm11a, according to
the current nomenclature for this type of molecule.
PMID- 29360785
TI - Exploring Facilitators and Barriers to Initiation and Completion of the Human
Papillomavirus (HPV) Vaccine Series among Parents of Girls in a Safety Net
System.
AB - : Objective: To assess, among parents of predominantly minority, low-income
adolescent girls who had either not initiated (NI) or not completed (NC) the HPV
vaccine series, attitudes and other factors important in promoting the series,
and whether attitudes differed by language preference. Design/Methods: From
August 2013-October 2013, we conducted a mail survey among parents of girls aged
12-15 years randomly selected from administrative data in a Denver safety net
system; 400 parents from each group (NI and NC) were targeted. Surveys were in
English or Spanish. RESULTS: The response rate was 37% (244/660; 140 moved or
gone elsewhere; 66% English-speaking, 34% Spanish-speaking). Safety attitudes of
NIs and NCs differed, with 40% NIs vs. 14% NC's reporting they thought HPV
vaccine was unsafe (p < 0.0001) and 43% NIs vs. 21% NCs that it may cause long
term health problems (p < 0.001). Among NCs, 42% reported they did not know their
daughter needed more shots (English-speaking, 20%, Spanish-speaking 52%) and 39%
reported that "I wasn't worried about the safety of the HPV vaccine before, but
now I am" (English-speaking, 23%, Spanish-speaking, 50%). Items rated as very
important among NIs in the decision regarding vaccination included: more
information about safety (74%), more information saying it prevents cancer (70%),
and if they knew HPV was spread mainly by sexual contact (61%). Conclusions:
Safety concerns, being unaware of the need for multiple doses, and low perceived
risk of infection remain significant barriers to HPV vaccination for at-risk
adolescents. Some parents' safety concerns do not appear until initial
vaccination.
PMID- 29360787
TI - On the Ageing of High Energy Lithium-Ion Batteries-Comprehensive Electrochemical
Diffusivity Studies of Harvested Nickel Manganese Cobalt Electrodes.
AB - This paper examines the impact of the characterisation technique considered for
the determination of the L i + solid state diffusion coefficient in uncycled as
in cycled Nickel Manganese Cobalt oxide (NMC) electrodes. As major
characterisation techniques, Cyclic Voltammetry (CV), Galvanostatic Intermittent
Titration Technique (GITT) and Electrochemical Impedance Spectroscopy (EIS) were
systematically investigated. L i + diffusion coefficients during the lithiation
process of the uncycled and cycled electrodes determined by CV at 3.71 V are
shown to be equal to 3 . 48 * 10 - 10 cm 2 .s - 1 and 1 . 56 * 10 - 10 cm 2 .s -
1 , respectively. The dependency of the L i + diffusion with the lithium content
in the electrodes is further studied in this paper with GITT and EIS. Diffusion
coefficients calculated by GITT and EIS characterisations are shown to be in the
range between 1 . 76 * 10 - 15 cm 2 .s - 1 and 4 . 06 * 10 - 12 cm 2 .s - 1 ,
while demonstrating the same decreasing trend with the lithiation process of the
electrodes. For both electrode types, diffusion coefficients calculated by CV
show greater values compared to those determined by GITT and EIS. With ageing, CV
and EIS techniques lead to diffusion coefficients in the electrodes at 3.71 V
that are decreasing, in contrast to GITT for which results indicate increasing
diffusion coefficient. After long-term cycling, ratios of the diffusion
coefficients determined by GITT compared to CV become more significant with an
increase about 1 order of magnitude, while no significant variation is seen
between the diffusion coefficients calculated from EIS in comparison to CV.
PMID- 29360786
TI - Study on Urban Heat Island Intensity Level Identification Based on an Improved
Restricted Boltzmann Machine.
AB - Thermal infrared remote sensing has become one of the main technology methods
used for urban heat island research. When applying urban land surface temperature
inversion of the thermal infrared band, problems with intensity level division
arise because the method is subjective. However, this method is one of the few
that performs heat island intensity level identification. This paper will build
an intensity level identifier for an urban heat island, by using weak supervision
and thought-based learning in an improved, restricted Boltzmann machine (RBM)
model. The identifier automatically initializes the annotation and optimizes the
model parameters sequentially until the target identifier is completed. The
algorithm needs very little information about the weak labeling of the target
training sample and generates an urban heat island intensity spatial distribution
map. This study can provide reliable decision-making support for urban ecological
planning and effective protection of urban ecological security. The experimental
results showed the following: (1) The heat island effect in Wuhan is existent and
intense. Heat island areas are widely distributed. The largest heat island area
is in Wuhan, followed by the sub-green island. The total area encompassed by heat
island and strong island levels accounts for 54.16% of the land in Wuhan. (2)
Partially based on improved RBM identification, this method meets the research
demands of determining the spatial distribution characteristics of the internal
heat island effect; its identification accuracy is superior to that of comparable
methods.
PMID- 29360783
TI - Toxicodynamics of Mycotoxins in the Framework of Food Risk Assessment-An In
Silico Perspective.
AB - Mycotoxins severely threaten the health of humans and animals. For this reason,
many countries have enforced regulations and recommendations to reduce the
dietary exposure. However, even though regulatory actions must be based on solid
scientific knowledge, many aspects of their toxicological activity are still
poorly understood. In particular, deepening knowledge on the primal molecular
events triggering the toxic stimulus may be relevant to better understand the
mechanisms of action of mycotoxins. The present work presents the use of in
silico approaches in studying the mycotoxins toxicodynamics, and discusses how
they may contribute in widening the background of knowledge. A particular
emphasis has been posed on the methods accounting the molecular initiating events
of toxic action. In more details, the key concepts and challenges of mycotoxins
toxicology have been introduced. Then, topical case studies have been presented
and some possible practical implementations of studying mycotoxins toxicodynamics
have been discussed.
PMID- 29360788
TI - Solvation and Aggregation of Meta-Aminobenzoic Acid in Water: Density Functional
Theory and Molecular Dynamics Study.
AB - Meta-aminobenzoic acid, an important model system in the study of polymorphism
and crystallization of active pharmaceutical ingredients, exist in water in both
the nonionic (mABA) and zwitterionic (mABA+/-) forms. However, the constituent
molecules of the polymorph that crystallizes from aqueous solutions are
zwitterionic. This study reports atomistic simulations of the events surrounding
the early stage of crystal nucleation of meta-aminobenzoic acid from aqueous
solutions. Ab initio molecular dynamics was used to simulate the hydration of
mABA+/- and mABA and to quantify the interaction of these molecules with the
surrounding water molecules. Density functional theory calculations were
conducted to determine the low-lying energy conformers of meta-aminobenzoic acid
dimers and to compute the Gibbs free energies in water of nonionic, (mABA)2,
zwitterionic, (mABA+/-)2, and nonionic-zwitterionic, (mABA)(mABA+/-), species.
Classical molecular dynamics simulations of mixed mABA-mABA+/- aqueous solutions
were carried out to examine the aggregation of meta-aminobenzoic acid. According
to these simulations, the selective crystallization of the polymorphs whose
constituent molecules are zwitterionic is driven by the formation of zwitterionic
dimers in solution, which are thermodynamically more stable than (mABA)2 and
(mABA)(mABA+/-) pairs. This work represents a paradigm of the role of molecular
processes during the early stages of crystal nucleation in affecting polymorph
selection during crystallization from solution.
PMID- 29360789
TI - Durable Self-Cleaning Coatings for Architectural Surfaces by Incorporation of
TiO2 Nano-Particles into Hydroxyapatite Films.
AB - To prevent soiling of marble exposed outdoors, the use of TiO2 nano-particles has
been proposed in the literature by two main routes, both raising durability
issues: (i) direct application to marble surface, with the risk of particle
leaching by rainfall; (ii) particle incorporation into inorganic or organic
coatings, with the risk of organic coating degradation catalyzed by TiO2
photoactivity. Here, we investigated the combination of nano-TiO2 and
hydroxyapatite (HAP), previously developed for marble protection against
dissolution in rain and mechanical consolidation. HAP-TiO2 combination was
investigated by two routes: (i) sequential application of HAP followed by nano
TiO2 ("H+T"); (ii) simultaneous application by introducing nano-TiO2 into the
phosphate solution used to form HAP ("HT"). The self-cleaning ability was
evaluated before and after prolonged exposure to simulated rain. "H+T" and "HT"
coatings exhibited much better resistance to nano-TiO2 leaching by rain, compared
to TiO2 alone. In "H+T" samples, TiO2 nano-particles adhere better to HAP (having
flower-like morphology and high specific surface area) than to marble. In "HT"
samples, thanks to chemical bonds between nano-TiO2 and HAP, the particles are
firmly incorporated in the HAP coating, which protects them from leaching by
rain, without diminishing their photoactivity and without being degraded by them.
PMID- 29360790
TI - A Compact and Low Power RO PUF with High Resilience to the EM Side-Channel Attack
and the SVM Modelling Attack of Wireless Sensor Networks.
AB - Authentication is a crucial security service for the wireless sensor networks
(WSNs) in versatile domains. The deployment of WSN devices in the untrusted open
environment and the resource-constrained nature make the on-chip authentication
an open challenge. The strong physical unclonable function (PUF) came in handy as
light-weight authentication security primitive. In this paper, we present the
first ring oscillator (RO) based strong physical unclonable function (PUF) with
high resilience to both the electromagnetic (EM) side-channel attack and the
support vector machine (SVM) modelling attack. By employing an RO based PUF
architecture with the current starved inverter as the delay cell, the oscillation
power is significantly reduced to minimize the emitted EM signal, leading to
greatly enhanced immunity to the EM side-channel analysis attack. In addition,
featuring superior reconfigurability due to the conspicuously simplified
circuitries, the proposed implementation is capable of withstanding the SVM
modelling attack by generating and comparing a large number of RO frequency
pairs. The reported experimental results validate the prototype of a 9-stage RO
PUF fabricated using standard 65 nm complementary-metal-oxide-semiconductor
(CMOS) process. Operating at the supply voltage of 1.2 V and the frequency of 100
KHz, the fabricated RO PUF occupies a compact silicon area of 250 MU m 2 and
consumes a power as low as 5.16 MU W per challenge-response pair (CRP).
Furthermore, the uniqueness and the worst-case reliability are measured to be
50.17% and 98.30% for the working temperature range of -40~120 ? C and the supply
voltage variation of +/-2%, respectively. Thus, the proposed PUF is applicable
for the low power, low cost and secure WSN communications.
PMID- 29360791
TI - Simultaneous Event-Triggered Fault Detection and Estimation for Stochastic
Systems Subject to Deception Attacks.
AB - In this paper, a synthesized design of fault-detection filter and fault estimator
is considered for a class of discrete-time stochastic systems in the framework of
event-triggered transmission scheme subject to unknown disturbances and deception
attacks. A random variable obeying the Bernoulli distribution is employed to
characterize the phenomena of the randomly occurring deception attacks. To
achieve a fault-detection residual is only sensitive to faults while robust to
disturbances, a coordinate transformation approach is exploited. This approach
can transform the considered system into two subsystems and the unknown
disturbances are removed from one of the subsystems. The gain of fault-detection
filter is derived by minimizing an upper bound of filter error covariance.
Meanwhile, system faults can be reconstructed by the remote fault estimator. An
recursive approach is developed to obtain fault estimator gains as well as
guarantee the fault estimator performance. Furthermore, the corresponding event
triggered sensor data transmission scheme is also presented for improving working
life of the wireless sensor node when measurement information are aperiodically
transmitted. Finally, a scaled version of an industrial system consisting of
local PC, remote estimator and wireless sensor node is used to experimentally
evaluate the proposed theoretical results. In particular, a novel fault-alarming
strategy is proposed so that the real-time capacity of fault-detection is
guaranteed when the event condition is triggered.
PMID- 29360792
TI - Data-Driven Packet Loss Estimation for Node Healthy Sensing in Decentralized
Cluster.
AB - Decentralized clustering of modern information technology is widely adopted in
various fields these years. One of the main reason is the features of high
availability and the failure-tolerance which can prevent the entire system form
broking down by a failure of a single point. Recently, toolkits such as Akka are
used by the public commonly to easily build such kind of cluster. However,
clusters of such kind that use Gossip as their membership managing protocol and
use link failure detecting mechanism to detect link failures cannot deal with the
scenario that a node stochastically drops packets and corrupts the member status
of the cluster. In this paper, we formulate the problem to be evaluating the link
quality and finding a max clique (NP-Complete) in the connectivity graph. We then
proposed an algorithm that consists of two models driven by data from application
layer to respectively solving these two problems. Through simulations with
statistical data and a real-world product, we demonstrate that our algorithm has
a good performance.
PMID- 29360793
TI - Spatial Vertical Directionality and Correlation of Low-Frequency Ambient Noise in
Deep Ocean Direct-Arrival Zones.
AB - Wind-driven and distant shipping noise sources contribute to the total noise
field in the deep ocean direct-arrival zones. Wind-driven and distant shipping
noise sources may significantly and simultaneously affect the spatial
characteristics of the total noise field to some extent. In this work, a ray
approach and parabolic equation solution method were jointly utilized to model
the low-frequency ambient noise field in a range-dependent deep ocean environment
by considering their calculation accuracy and efficiency in near-field wind
driven and far-field distant shipping noise fields. The reanalysis databases of
National Center of Environment Prediction (NCEP) and Volunteer Observation System
(VOS) were used to model the ambient noise source intensity and distribution.
Spatial vertical directionality and correlation were analyzed in three scenarios
that correspond to three wind speed conditions. The noise field was dominated by
distant shipping noise sources when the wind speed was less than 3 m/s, and then
the spatial vertical directionality and vertical correlation of the total noise
field were nearly consistent with those of distant shipping noise field. The
total noise field was completely dominated by near field wind generated noise
sources when the wind speed was greater than 12 m/s at 150 Hz, and then the
spatial vertical correlation coefficient and directionality pattern of the total
noise field was approximately consistent with that of the wind-driven noise
field. The spatial characteristics of the total noise field for wind speeds
between 3 m/s and 12 m/s were the weighted results of wind-driven and distant
shipping noise fields. Furthermore, the spatial characteristics of low-frequency
ambient noise field were compared with the classical Cron/Sherman deep water
noise field coherence function. Simulation results with the described modeling
method showed good agreement with the experimental measurement results based on
the vertical line array deployed near the bottom in deep ocean direct-arrival
zones.
PMID- 29360795
TI - Single-Photon Tracking for High-Speed Vision.
AB - Quanta Imager Sensors provide photon detections at high frame rates, with
negligible read-out noise, making them ideal for high-speed optical tracking. At
the basic level of bit-planes or binary maps of photon detections, objects may
present limited detail. However, through motion estimation and spatial
reassignment of photon detections, the objects can be reconstructed with minimal
motion artefacts. We here present the first demonstration of high-speed two
dimensional (2D) tracking and reconstruction of rigid, planar objects with a
Quanta Image Sensor, including a demonstration of depth-resolved tracking.
PMID- 29360794
TI - Estrogen and Androgen Blockade for Advanced Prostate Cancer in the Era of
Precision Medicine.
AB - Androgen deprivation therapy (ADT) has been widely prescribed for patients with
advanced prostate cancer (PC) to control key signaling pathways via androgen
receptor (AR) and AR-collaborative transcriptional factors; however, PC gradually
acquires a lethal phenotype and results in castration-resistant PC (CRPC) during
ADT. Therefore, new therapeutic strategies are required in clinical practice. In
addition, ARs; estrogen receptors (ERs; ERalpha and ERbeta); and estrogen-related
receptors (ERRs; ERRalpha, ERRbeta, and ERRgamma) have been reported to be
involved in the development or regulation of PC. Recent investigations have
revealed the role of associated molecules, such as KLF5, FOXO1, PDGFA, VEGF-A,
WNT5A, TGFbeta1, and micro-RNA 135a of PC, via ERs and ERRs. Selective ER
modulators (SERMs) have been developed. Recently, estrogen and androgen blockade
(EAB) using a combination of toremifene and ADT has been demonstrated to improve
biochemical recurrence rate in treatment-naive bone metastatic PC. In the future,
the suitability of ADT alone or EAB for individuals may be evaluated by making
clinical decisions on the basis of information obtained from RT-PCR, gene-panel,
or liquid biopsy to create a "personalized medicine" or "precision medicine". In
this review, we summarize ER and ERR signaling pathways, molecular diagnosis, and
SERMs as candidates for advanced PC treatment.
PMID- 29360796
TI - An Efficient Direct Position Determination Method for Multiple Strictly
Noncircular Sources.
AB - This paper focuses on the localization methods for multiple sources received by
widely separated arrays. The conventional two-step methods extract measurement
parameters and then estimate the positions from them. In the contrast to the
conventional two-step methods, direct position determination (DPD) localizes
transmitters directly from original sensor outputs without estimating
intermediate parameters, resulting in higher location accuracy and avoiding the
data association. Existing subspace data fusion (SDF)-based DPD developed in the
frequency domain is computationally attractive in the presence of multiple
transmitters, whereas it does not use special properties of signals. This paper
proposes an improved SDF-based DPD algorithm for strictly noncircular sources. We
first derive the property of strictly noncircular signals in the frequency
domain. On this basis, the observed frequency-domain vectors at all arrays are
concatenated and extended by exploiting the noncircular property, producing
extended noise subspaces. Fusing the extended noise subspaces of all frequency
components and then performing a unitary transformation, we obtain a cost
function for each source location, which is formulated as the smallest eigenvalue
of a real-valued matrix. To avoid the exhaustive grid search and solve this
nonlinear function efficiently, we devise a Newton-type iterative method using
matrix Eigen-perturbation theory. Simulation results demonstrate that the
proposed DPD using Newton-type iteration substantially reduces the running time,
and its performance is superior to other localization methods for both near-field
and far-field noncircular sources.
PMID- 29360797
TI - Present and Future of Dengue Fever in Nepal: Mapping Climatic Suitability by
Ecological Niche Model.
AB - Both the number of cases of dengue fever and the areas of outbreaks within Nepal
have increased significantly in recent years. Further expansion and range shift
is expected in the future due to global climate change and other associated
factors. However, due to limited spatially-explicit research in Nepal, there is
poor understanding about the present spatial distribution patterns of dengue risk
areas and the potential range shift due to future climate change. In this
context, it is crucial to assess and map dengue fever risk areas in Nepal. Here,
we used reported dengue cases and a set of bioclimatic variables on the MaxEnt
ecological niche modeling approach to model the climatic niche and map present
and future (2050s and 2070s) climatically suitable areas under different
representative concentration pathways (RCP2.6, RCP6.0 and RCP8.5). Simulation
based estimates suggest that climatically suitable areas for dengue fever are
presently distributed throughout the lowland Tarai from east to west and in river
valleys at lower elevations. Under the different climate change scenarios, these
areas will be slightly shifted towards higher elevation with varied magnitude and
spatial patterns. Population exposed to climatically suitable areas of dengue
fever in Nepal is anticipated to further increase in both 2050s and 2070s on all
the assumed emission scenarios. These findings could be instrumental to plan and
execute the strategic interventions for controlling dengue fever in Nepal.
PMID- 29360798
TI - Performance Evaluation of IEEE 802.11ah Networks With High-Throughput
Bidirectional Traffic.
AB - So far, existing sub-GHz wireless communication technologies focused on low
bandwidth, long-range communication with large numbers of constrained devices.
Although these characteristics are fine for many Internet of Things (IoT)
applications, more demanding application requirements could not be met and legacy
Internet technologies such as Transmission Control Protocol/Internet Protocol
(TCP/IP) could not be used. This has changed with the advent of the new IEEE
802.11ah Wi-Fi standard, which is much more suitable for reliable bidirectional
communication and high-throughput applications over a wide area (up to 1 km). The
standard offers great possibilities for network performance optimization through
a number of physical- and link-layer configurable features. However, given that
the optimal configuration parameters depend on traffic patterns, the standard
does not dictate how to determine them. Such a large number of configuration
options can lead to sub-optimal or even incorrect configurations. Therefore, we
investigated how two key mechanisms, Restricted Access Window (RAW) grouping and
Traffic Indication Map (TIM) segmentation, influence scalability, throughput,
latency and energy efficiency in the presence of bidirectional TCP/IP traffic. We
considered both high-throughput video streaming traffic and large-scale reliable
sensing traffic and investigated TCP behavior in both scenarios when the link
layer introduces long delays. This article presents the relations between
attainable throughput per station and attainable number of stations, as well as
the influence of RAW, TIM and TCP parameters on both. We found that up to 20
continuously streaming IP-cameras can be reliably connected via IEEE 802.11ah
with a maximum average data rate of 160 kbps, whereas 10 IP-cameras can achieve
average data rates of up to 255 kbps over 200 m. Up to 6960 stations transmitting
every 60 s can be connected over 1 km with no lost packets. The presented results
enable the fine tuning of RAW and TIM parameters for throughput-demanding
reliable applications (i.e., video streaming, firmware updates) on one hand, and
very dense low-throughput reliable networks with bidirectional traffic on the
other hand.
PMID- 29360799
TI - Grasping Force Control for a Robotic Hand by Slip Detection Using Developed Micro
Laser Doppler Velocimeter.
AB - The purpose of this paper is to show the feasibility of grasping force control by
feeding back signals of the developed micro-laser Doppler velocimeter (MU-LDV)
and by discriminating whether a grasped object is slipping or not. LDV is well
known as a high response surface velocity sensor which can measure various
surfaces-such as metal, paper, film, and so on-thus suggesting the potential
application of LDV as a slip sensor for grasping various objects. However, the
use of LDV as a slip sensor has not yet been reported because the size of LDVs is
too large to be installed on a robotic fingertip. We have solved the size problem
and enabled the performance of a feasibility test with a few-millimeter-scale LDV
referred to as micro-LDV (MU-LDV) by modifying the design which was adopted from
MEMS (microelectromechanical systems) fabrication process. In this paper, by
applying our developed MU-LDV as a slip sensor, we have successfully demonstrated
grasping force control with three target objects-aluminum block, wood block, and
white acrylic block-considering that various objects made of these materials can
be found in homes and factories, without grasping force feedback. We provide
proofs that LDV is a new promising candidate slip sensor for grasping force
control to execute target grasping.
PMID- 29360801
TI - Development of an Indirect ELISA Based on a Recombinant Chimeric Protein for the
Detection of Antibodies against Bovine Babesiosis.
AB - The current method for Babesia spp. serodiagnosis based on a crude merozoite
antigen is a complex and time-consuming procedure. An indirect enzyme-linked
immunosorbent assay (iELISA) based on a recombinant multi-antigen of Babesia
bovis (rMABbO) was developed for detection of antibodies in bovines suspected of
infection with this parasite. The multi-antigen comprises gene fragments of three
previously characterized B. bovis antigens: MSA-2c, RAP-1 and the Heat Shock
protein 20 that are well-conserved among geographically distant strains. The
cutoff value for the new rMABbo-iELISA was determined using 75 known-positive and
300 known-negative bovine sera previously tested for antibodies to B. bovis by
the gold-standard ELISA which uses a merozoite lysate. A cutoff value of >=35%
was determined in these samples by receiver operator characteristic (ROC) curve
analysis, showing a sensitivity of 95.9% and a specificity of 94.3%. The rMABbo
iELISA was further tested in a blind trial using an additional set of 263 field
bovine sera from enzootic and tick-free regions of Argentina. Results showed a
good agreement with the gold standard test with a Cohen's kappa value of 0.76.
Finally, the prevalence of bovine babesiosis in different tick enzootic regions
of Argentina was analyzed where seropositivity values among 68-80% were obtained.
A certain level of cross reaction was observed when samples from B. bigemina
infected cattle were analyzed with the new test, which can be attributed to
shared epitopes between 2 of the 3 antigens. This new rMABbo-iELISA could be
considered a simpler alternative to detect anti Babesia spp. antibodies and
appears to be well suited to perform epidemiological surveys at the herd level in
regions where ticks are present.
PMID- 29360802
TI - A Novel Ruthenium-Decorating Polyoxomolybdate Cs3Na6H[MoVI14RuIV2O50(OH)2].24H2O:
An Active Heterogeneous Oxidation Catalyst for Alcohols.
AB - The first example of wholly inorganic ruthenium-containing polyoxomolybdate
Cs3Na6H[MoVI14RuIV2O50(OH)2].24H2O (1) was isolated and systematically
characterized by element analysis, infrared spectroscopy (IR), thermogravimetric
analyses (TGA), X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray
spectroscopy (EDX) and single-crystal X-ray diffraction. Compound 1 is composed
of an unprecedented {Mo14}-type isopolymolybdate with a di-ruthenium core
precisely encapsulated in its center, exhibiting a three-tiered ladder-like
structure. The title compound can act as an efficient heterogeneous catalyst in
the transformation of 1-phenylethanol to acetophenone. This catalyst is also
capable of being recycled and reused for at least ten cycles with its activity
being retained under the optimal conditions.
PMID- 29360800
TI - Polymer Therapeutics: Biomarkers and New Approaches for Personalized Cancer
Treatment.
AB - Polymer therapeutics (PTs) provides a potentially exciting approach for the
treatment of many diseases by enhancing aqueous solubility and altering drug
pharmacokinetics at both the whole organism and subcellular level leading to
improved therapeutic outcomes. However, the failure of many polymer-drug
conjugates in clinical trials suggests that we may need to stratify patients in
order to match each patient to the right PT. In this concise review, we hope to
assess potential PT-specific biomarkers for cancer treatment, with a focus on new
studies, detection methods, new models and the opportunities this knowledge will
bring for the development of novel PT-based anti-cancer strategies. We discuss
the various "hurdles" that a given PT faces on its passage from the syringe to
the tumor (and beyond), including the passage through the bloodstream, tumor
targeting, tumor uptake and the intracellular release of the active agent.
However, we also discuss other relevant concepts and new considerations in the
field, which we hope will provide new insight into the possible applications of
PT-related biomarkers.
PMID- 29360803
TI - Carbon Monoxide in Meat and Fish Packaging: Advantages and Limits.
AB - Due to increased demands for greater expectation in relation to quality,
convenience, safety and extended shelf-life, combined with growing demand from
retailers for cost-effective extensions of fresh muscle foods' shelf-life, the
food packaging industry quickly developed to meet these expectations. During the
last few decades, modified atmosphere packaging (MAP) of foods has been a
promising area of research, but much remains to be known regarding the use of
unconventional gases such carbon monoxide (CO). The use of CO for meat and
seafood packaging is not allowed in most countries due to the potential toxic
effect, and its use is controversial in some countries. The commercial
application of CO in food packaging was not then considered feasible because of
possible environmental hazards for workers. CO has previously been reported to
mask muscle foods' spoilage, and this was the primary concern raised for the
prohibition, as this may mislead consumers. This review was undertaken to present
the most comprehensive and current overview of the widely-available, scattered
information about the use of CO in the preservation of muscle foods. The
advantages of CO and its industrial limits are presented and discussed. The most
recent literature on the consumer safety issues related to the use of CO and
consumer acceptance of CO especially in meat packaging systems were also
discussed. Recommendations and future prospects were addressed for food
industries, consumers and regulators on what would be a "best practice" in the
use of CO in food packaging. All this promotes high ethical standards in
commercial communications by means of effective regulation, for the benefit of
consumers and businesses in the world, and this implies that industrialized
countries and members of their regulatory agencies must develop a coherent and
robust systems of regulation and control that can respond effectively to new
challenges.
PMID- 29360804
TI - Does Use of Lidocaine Affect Culture of Synovial Fluid Obtained to Diagnose
Periprosthetic Joint Infection (PJI)? An In Vitro Study.
AB - BACKGROUND Synovial fluid culture (SFC) is recommended as one of the major
diagnostic criteria by the Musculoskeletal Infection Society (MSIS) for
diagnosing periprosthetic joint infection (PJI). Local anesthetic agents are used
for anesthesia and analgesia in some clinical settings to relieve pain. As a
local anesthetic, lidocaine is safely used in arthrocentesis to obtain synovial
fluid. The goal of this study was to determine if infiltration anesthesia with
additive-free lidocaine 2% has antibacterial effects that might interfere with
subsequent SFC. MATERIAL AND METHODS Eight isolates of reference strains of
Staphylococcus aureus, Staphylococcus epidermidis, Staphylococcus hominis,
Escherichia coli, Klebsiella pneumoniae, Acinetobacter baumannii, Streptococcus
pyogenes, and Candida albicans were incubated on the plates. Each bacterial
suspension was formed by 50-fold dilution before the test lidocaine 2% was added.
For each strain, bacterial suspension was divided into 2 groups (5 samples each)
exposed either lidocaine 2% or sterile non-bacteriostatic 0.45% saline. The
antimicrobial property of lidocaine 2% was determined by measuring the bacterial
density on agar plates incubated for 24 h and comparing it with controls
unexposed to lidocaine 2%. RESULTS Exposure to lidocaine 2% negatively affected
microbial viability in vitro. Of the lidocaine 2% exposure, reference strains but
no Streptococcus pyogenes strain resulted in fewer colony-forming units compared
with the sterile saline control. The antibacterial property of lidocaine 2%
appears to affect the ability to culture the organism in synovial fluid.
CONCLUSIONS Lidocaine 2% has strong antimicrobial activities against some
commonly encountered bacterial strains in PJI. As a result, infiltration
anesthesia with additive-free lidocaine 2% before the arthrocentesis procedure
may affect the results of SFC. To further evaluate its potential antibacterial
usefulness in clinical applications, studies are needed to assess the ability of
lidocaine to reduce the risk of iatrogenic infections.
PMID- 29360805
TI - Mild cerebellar injury does not significantly affect cerebral white matter
microstructural organization and neurodevelopmental outcome in a contemporary
cohort of preterm infants.
AB - BackgroundPreterm birth is associated with an increased risk of cerebellar
injury. The aim of this study was to assess the impact of cerebellar hemorrhages
(CBH) on cerebral white matter microstructural tissue organization and cerebellar
volume at term-equivalent age (TEA) in extremely preterm infants. Furthermore, we
aimed to evaluate the association between CBH and neurodevelopmental outcome in
late infancy.MethodsA total of 24 preterm infants with punctate CBH were included
and each matched to two preterm control infants. T1-, T2-weighted images and
diffusion-weighted imaging were acquired on a 3T magnetic resonance imaging (MRI)
system. Regions of interest were drawn on a population-specific neonatal template
and automatically registered to individual fractional anisotropy (FA) maps. Brain
volumes were automatically computed. Neurodevelopmental outcome was assessed
using the Bayley scales of Infant and Toddler Development at 2 years of corrected
age.ResultsCBHs were not significantly related to FA in the posterior limb of the
internal capsule and corpus callosum or to cerebellar volume. Infants with CBH
did not have poorer neurodevelopmental outcome compared with control
infants.ConclusionThese findings suggest that the impact of mild CBH on early
macroscale brain development may be limited. Future studies are needed to assess
the effects of CBH on long-term neurodevelopment.
PMID- 29360806
TI - Birth size, body composition, and adrenal androgens as determinants of bone
mineral density in mid-childhood.
AB - BackgroundBirth weight has an impact on adult bone mass. Higher birth weight is
associated with greater bone mineral content (BMC) and children born small for
gestational age (SGA) are at an increased risk for impaired accrual of bone mass.
Our aim was to study whether the impact of birth size or early childhood growth
on bone mass is visible already in mid-childhood.MethodsWe studied 49 children
born large for gestational age (LGA), 56 children born appropriate for
gestational age (AGA), and 23 children born SGA at 5.0-8.7 years of age. Body
composition was assessed by whole-body dual-energy X-ray absorptiometry. Fasting
blood samples and anthropometric data were collected.ResultsThe children born SGA
had lower bone mineral density (BMD) Z-score (P<0.001) and age- and sex-adjusted
BMD (P<0.005) than the LGA and AGA children. Adjusted BMC, muscle mass, and body
fat percentage (%BF) did not differ between the study groups. Muscle mass, BMI SD
score (SDS), %BF, and serum dehydroepiandrosterone sulfate (DHEAS) concentration
were the strongest predictors of high BMD in mid-childhood.ConclusionSGA-born
children had lower BMD in mid-childhood compared with AGA- and LGA-born ones.
Muscle mass or BMI SDS, %BF, and DHEAS were significant predictors of childhood
BMD.
PMID- 29360807
TI - Antiproteinuric effect of an endothelin-1 receptor antagonist in puromycin
aminonucleoside-induced nephrosis in rat.
AB - BackgroundThe pathogenesis of idiopathic nephrotic syndrome (INS) remains
unclear, although recent studies suggest endothelin 1 (ET-1) and CD80 of
podocytes are involved. We investigated the potential of antagonist to ET-1
receptor type A (ETRA) as therapeutic agent through the suppression of CD80 in a
rat model of INS.MethodsPuromycin aminonucleoside (PAN) was injected to Wister
rats to induce proteinuria: some were treated with ETRA antagonist and others
were treated with 0.5% methylcellulose. Blood and tissue samples were collected.
Quantitative PCR was used to determine the expression of Toll-like receptor-3
(TLR-3), nuclear factor-kappaB (NF-kappaB), CD80, talin, ETRA, and ET-1 in the
kidney. To confirm the level of CD80 protein expression, immunofluorescence
staining and western blot analysis of the renal tissue were
performed.ResultsAmount of proteinuria in the treatment group was significantly
lower than the other groups. The same-day body weight, serum creatinine values,
and blood pressure were not significantly different. ETRA antagonist restores
podocyte foot process effacement as well as the aberrant expression of TLR-3,
nuclear factor-kappaB (NF-kappaB), and CD80 in PAN-injured kidneys.ConclusionsThe
ETRA antagonist may be promising drug for INS as it showed an antiproteinuric
effect. Its action was considered to be through suppression of CD80 expression on
podocytes.
PMID- 29360808
TI - Serum levels of C1q/tumor necrosis factor-related protein-1 in children with
Kawasaki disease.
AB - BackgroundTo investigate the serum C1q/tumor necrosis factor-related protein-1
(CTRP1) levels in children with acute Kawasaki disease (KD), as well as the
relationship between CTRP1 levels and laboratory variables.MethodsEighty-seven
children with KD and 38 healthy controls (HCs) were included in this study.
General characteristics were obtained from all subjects. Serum CTRP1 levels in
all subjects and serum tumor necrosis factor-alpha (TNF-alpha), interleukin-1beta
(IL-1beta), and interleukin-6 (IL-6) levels in KD patients were measured using
enzyme-linked immunosorbent assay.ResultsCompared with the HC group, serum CTRP1
levels were significantly elevated in the KD group. Significantly higher serum
TNF-alpha, IL-1beta, IL-6, and CTRP1 levels were observed in patients with KD
with coronary artery lesions (KD-CALs) than in patients with KD without CALs (KD
NCALs). Serum CTRP1 levels were positively correlated with white blood cell
counts (WBC), percentage of neutrophils (N%), thrombin time (TT), procalcitonin
(Pct), TNF-alpha, IL-1beta, and IL-6 levels. Meanwhile, CTRP1 levels were
negatively correlated with the percentage of leukomonocytes (L%) in KD patients.
Furthermore, serum CTRP1 levels were positively correlated with the time point of
intravenous immunoglobulin (IVIG), WBC, N%, TNF-alpha, IL-1beta, and IL-6 levels
in the KD-CAL group.ConclusionCTRP1 may participate in the process of vasculitis
and blood coagulation during the acute phase of KD.
PMID- 29360809
TI - Targeting the PXR-TLR4 signaling pathway to reduce intestinal inflammation in an
experimental model of necrotizing enterocolitis.
AB - BackgroundThere is substantial evidence that signaling through Toll-like receptor
4 (TLR4) contributes to the pathogenesis of necrotizing enterocolitis (NEC).
Pregnane X receptor (PXR), a xenobiotic sensor and signaling intermediate for
certain host-bacterial metabolites, has been shown to negatively regulate TLR4
signaling. Here we investigated the relationship between PXR and TLR4 in the
developing murine intestine and explored the capacity of PXR to modulate
inflammatory pathways involved in experimental NEC.MethodsWild-type and PXR-/-
mice were studied at various time points of development in an experimental model
of NEC. In addition, we studied the ability of the secondary bile acid
lithocholic acid (LCA), a known PXR agonist in liver, to activate intestinal PXR
and reduce NEC-related intestinal inflammation.ResultsWe found a reciprocal
relationship between the developmental expression of PXR and TLR4 in wild-type
murine intestine, with PXR acting to reduce TLR4 expression by decreasing TLR4
mRNA stability. In addition, PXR-/- mice exhibited a remarkably heightened
severity of disease in experimental NEC. Moreover, LCA attenuated intestinal
proinflammatory responses in the early stages of experimental NEC.ConclusionThese
findings provide proactive insights into the regulation of TLR4 in the developing
intestine. Targeting PXR may be a novel approach for NEC prevention.
PMID- 29360810
TI - Back from the dead: TIL apoptosis in cancer immune evasion.
PMID- 29360811
TI - Comment on 'Human papillomavirus association is the most important predictor for
surgically treated patients with oropharyngeal cancer'.
PMID- 29360812
TI - Domestic light at night and breast cancer risk: a prospective analysis of 105 000
UK women in the Generations Study.
AB - BACKGROUND: Circadian disruption caused by exposure to light at night (LAN) has
been proposed as a risk factor for breast cancer and a reason for secular
increases in incidence. Studies to date have largely been ecological or case
control in design and findings have been mixed. METHODS: We investigated the
relationship between LAN and breast cancer risk in the UK Generations Study.
Bedroom light levels and sleeping patterns at age 20 and at study recruitment
were obtained by questionnaire. Analyses were conducted on 105 866 participants
with no prior history of breast cancer. During an average of 6.1 years of follow
up, 1775 cases of breast cancer were diagnosed. Cox proportional hazard models
were used to calculate hazard ratios (HRs), adjusting for potential confounding
factors. RESULTS: There was no association between LAN level and breast cancer
risk overall (highest compared with lowest LAN level at recruitment: HR=1.01, 95%
confidence interval (CI): 0.88-1.15), or for invasive (HR=0.98, 95% CI: 0.85
1.13) or in situ (HR=0.96, 95% CI: 0.83-1.11) breast cancer, or oestrogen
receptor (ER) positive (HR=0.98, 95% CI: 0.84-1.14); or negative (HR=1.16, 95%
CI: 0.82-1.65) tumours separately. The findings did not differ by menopausal
status. Adjusting for sleep duration, sleeping at unusual times (non-peak sleep)
and history of night work did not affect the results. Night waking with exposure
to light, occurring around age 20, was associated with a reduced risk of
premenopausal breast cancer (HR for breast cancer overall=0.74, 95% CI: 0.55
0.99; HR for ER-positive breast cancer=0.69, 95% CI: 0.49-0.97). CONCLUSIONS: In
this prospective cohort analysis of LAN, there was no evidence that LAN exposure
increased the risk of subsequent breast cancer, although the suggestion of a
lower breast cancer risk in pre-menopausal women with a history of night waking
in their twenties may warrant further investigation.
PMID- 29360813
TI - Development and validation of a plasma-based melanoma biomarker suitable for
clinical use.
AB - This corrects the article DOI: 10.1038/bjc.2017.85.
PMID- 29360814
TI - Postmenopausal breast cancer and oestrogen associations with the IgA-coated and
IgA-noncoated faecal microbiota.
AB - BACKGROUND: The diversity and composition of the gut microbiota may affect breast
cancer risk by modulating systemic levels of oestrogens and inflammation. The
current investigation tested this hypothesis in postmenopausal women by
identifying breast cancer associations with an inflammation marker, oestrogen
levels, and faecal microbes that were or were not coated with mucosal
immunoglobulin A (IgA). METHODS: In this population-based study, we compared 48
postmenopausal breast cancer cases (75% stage 0-1, 88% oestrogen-receptor
positive) to 48 contemporaneous, postmenopausal, normal-mammogram, age-matched
controls. Microbiota metrics employed 16S rRNA gene amplicon sequencing from IgA
coated and -noncoated faecal microbes. High-performance liquid
chromatography/mass spectrometry (HPLC/MS) and radioimmunoassay were used to
quantify urine prostaglandin E metabolite (PGE-M), a possible marker of
inflammation; urine oestrogens and oestrogen metabolites were quantified by
HPLC/MS-MS. RESULTS: Women with pre-treatment breast cancer had non-significantly
elevated oestrogen levels; controls' (but not cases') oestrogens were directly
correlated with their IgA-negative microbiota alpha diversity (P=0.012).
Prostaglandin E metabolite levels were not associated with case status, oestrogen
levels, or alpha diversity. Adjusted for oestrogens and other variables, cases
had significantly reduced alpha diversity and altered composition of both their
IgA-positive and IgA-negative faecal microbiota. Cases' faecal microbial IgA
positive imputed Immune System Diseases metabolic pathway genes were increased;
also, cases' IgA-positive and IgA-negative imputed Genetic Information Processing
pathway genes were decreased (P?0.01). CONCLUSIONS: Compared to controls, breast
cancer cases had significant oestrogen-independent associations with the IgA
positive and IgA-negative gut microbiota. These suggest that the gut microbiota
may influence breast cancer risk by altered metabolism, oestrogen recycling, and
immune pressure.
PMID- 29360815
TI - KRAS mutations in cell-free DNA from preoperative and postoperative sera as a
pancreatic cancer marker: a retrospective study.
AB - BACKGROUND: Pancreatic ductal adenocarcinoma (PDAC) has very poor prognosis
despite existing multimodal therapies. This study aimed to investigate whether
KRAS mutations at codons 12/13 in cell-free DNA (cfDNA) from preoperative and
postoperative sera from patients with PDAC can serve as a predictive biomarker
for treatment response and outcomes after surgery. METHODS: Preoperative and
postoperative serum samples obtained from 45 patients with PDAC whom underwent
curative pancreatectomy at our institution between January 2013 and July 2016
were retrospectively analysed. Peptide nucleic acid-directed PCR clamping was
used to identify KRAS mutations in cfDNA. RESULTS: Among the 45 patients
enrolled, 11 (24.4%) and 20 (44.4%) had KRAS mutations in cfDNA from preoperative
and postoperative sera, respectively. Multivariate analysis revealed that KRAS
mutations in postoperative serum (hazard ratio (HR)=2.919; 95% confidence
interval (CI)=1.109-5.621; P=0.027) are an independent prognostic factor for
disease-free survival. Furthermore, the shift from wild-type KRAS in preoperative
to mutant KRAS in postoperative cfDNA (HR=9.419; 95% Cl=2.015-44.036; P=0.004)
was an independent prognostic factor for overall survival. CONCLUSIONS: Changes
in KRAS mutation status between preoperative and postoperative cfDNA may be a
useful predictive biomarker for survival and treatment response.
PMID- 29360816
TI - Loss in working years after a breast cancer diagnosis.
AB - BACKGROUND: Breast cancer can negatively influence working life, but it is
unclear how many working years women with breast cancer can expect to lose.
METHODS: Women diagnosed with breast cancer between 1997 and 2012 were identified
in the Breast Cancer Data Base Sweden (N=19 661), together with breast cancer
free comparison women (N=81 303). Using flexible parametric survival modelling,
the loss in working years was calculated as the difference in the remaining years
in the work force between women with and without breast cancer. RESULTS: Women
aged 50 years at diagnosis with stage I disease lost on average 0.5 years (95%
CI, 0.2-0.7) of their remaining working time; the corresponding estimates were
0.9 years (0.5-1.2) in stage II, 2.5 years (1.9-3.1) in stage III and 8.1 years
(6.5-9.7) in stage IV. Women with in situ breast cancer did not lose any working
years. The strongest treatment determinant was axillary lymph node dissection.
CONCLUSIONS: We found a loss in working years not only in late but also in early
stage breast cancer. Although it is reassuring that some groups had no or only a
modest work loss, the economic consequences for society are considerable given
the large number of women annually diagnosed with breast cancer.
PMID- 29360817
TI - Reply to 'Comment on 'Human papillomavirus association is the most important
predictor for surgically treated patients with oropharyngeal cancer".
PMID- 29360818
TI - A novel Epstein-Barr virus-latent membrane protein-1-specific T-cell receptor for
TCR gene therapy.
AB - BACKGROUND: Adoptive transfer of genetically engineered T-cells to express
antigen-specific T-cell receptor (TCR) is a feasible and effective therapeutic
approach for numerous types of cancers, including Epstein-Barr virus (EBV)
associated malignancies. Here, we describe a TCR gene transfer regimen to rapidly
and reliably generate T-cells specific to EBV-encoded latent membrane protein-1
(LMP1), which is a potential target for T-cell-based immunotherapy. METHODS: A
novel TCR specific to LMP1 (LMP1-TCR) was isolated from HLA-A*0201 transgenic
mice that were immunised with the minimal epitope LMP1166 (TLLVDLLWL), and LMP1
TCR-transduced peripheral blood lymphocytes were evaluated for functional
specificities. RESULTS: Both human CD8 and CD4 T-cells expressing the LMP1-TCR
provoked high levels of cytokine secretion and cytolytic activity towards peptide
pulsed and LMP1-expressing tumour cells. Notably, recognition of these T-cells to
peptide-pulsed cells was maintained at low concentration of peptide, implying
that the LMP1-TCR has high avidity. Infusion of these engineered T-cells revealed
remarkable therapeutic effects and inhibition of tumour growth in a preclinical
xenogeneic model. We observed explosive ex vivo proliferation of functional TCR
transduced T-cells with artificial antigen-presenting cells that express co
stimulatory molecules CD80 and 4-1BBL. CONCLUSIONS: These data suggest that the
novel TCR-targeting LMP1 might allow the potential design of T-cell-based
immunotherapeutic strategies against EBV-positive malignancies.
PMID- 29360819
TI - A core matrisome gene signature predicts cancer outcome.
AB - BACKGROUND: Accumulating evidence implicates the tumour stroma as an important
determinant of cancer progression but the protein constituents relevant for this
effect are unknown. Here we utilised a bioinformatics approach to identify an
extracellular matrix (ECM) gene signature overexpressed in multiple cancer types
and strongly predictive of adverse outcome. METHODS: Gene expression levels in
cancers were determined using Oncomine. Geneset enrichment analysis was performed
using the Broad Institute desktop application. Survival analysis was performed
using KM plotter. Survival data were generated from publically available
genesets. RESULTS: We analysed ECM genes significantly upregulated across a large
cohort of patients with ovarian, lung, gastric and colon cancers and defined a
signature of nine commonly upregulated genes. Each of these nine genes was
considerably overexpressed in all the cancers studied, and cumulatively, their
expression was associated with poor prognosis across all data sets. Further, the
gene signature expression was associated with enrichment of genes governing
processes linked to poor prognosis, such as EMT, angiogenesis, hypoxia, and
inflammation. CONCLUSIONS: Here we identify a nine-gene ECM signature, which
strongly predicts outcome across multiple cancer types and can be used for
prognostication after validation in prospective cancer cohorts.
PMID- 29360822
TI - ViroFind: A novel target-enrichment deep-sequencing platform reveals a complex JC
virus population in the brain of PML patients.
AB - Deep nucleotide sequencing enables the unbiased, broad-spectrum detection of
viruses in clinical samples without requiring an a priori hypothesis for the
source of infection. However, its use in clinical research applications is
limited by low cost-effectiveness given that most of the sequencing information
from clinical samples is related to the human genome, which renders the analysis
of viral genomes challenging. To overcome this limitation we developed ViroFind,
an in-solution target-enrichment platform for virus detection and discovery in
clinical samples. ViroFind comprises 165,433 viral probes that cover the genomes
of 535 selected DNA and RNA viruses that infect humans or could cause zoonosis.
The ViroFind probes are used in a hybridization reaction to enrich viral
sequences and therefore enhance the detection of viral genomes via deep
sequencing. We used ViroFind to detect and analyze all viral populations in the
brain of 5 patients with progressive multifocal leukoencephalopathy (PML) and of
18 control subjects with no known neurological disease. Compared to direct deep
sequencing, by using ViroFind we enriched viral sequences present in the clinical
samples up to 127-fold. We discovered highly complex polyoma virus JC populations
in the PML brain samples with a remarkable degree of genetic divergence among the
JC virus variants of each PML brain sample. Specifically for the viral capsid
protein VP1 gene, we identified 24 single nucleotide substitutions, 12 of which
were associated with amino acid changes. The most frequent (4 of 5 samples, 80%)
amino acid change was D66H, which is associated with enhanced tissue tropism, and
hence likely a viral fitness advantage, compared to other variants. Lastly, we
also detected sparse JC virus sequences in 10 of 18 (55.5%) of control samples
and sparse human herpes virus 6B (HHV6B) sequences in the brain of 11 of 18
(61.1%) control subjects. In sum, ViroFind enabled the in-depth analysis of all
viral genomes in PML and control brain samples and allowed us to demonstrate a
high degree of JC virus genetic divergence in vivo that has been previously
underappreciated. ViroFind can be used to investigate the structure of the virome
with unprecedented depth in health and disease state.
PMID- 29360821
TI - Patterns of zolpidem use among Iraq and Afghanistan veterans: A retrospective
cohort analysis.
AB - BACKGROUND: Although concern exists regarding the adverse effects and rate of
zolpidem use, especially long-term use, limited information is available
concerning patterns of zolpidem use. OBJECTIVE: To examine the prevalence and
correlates of zolpidem exposure in Iraq and Afghanistan Veterans (IAVs). METHODS:
A retrospective cohort study of zolpidem prescriptions was performed with
National Veterans Health Administration (VHA) data. We gathered national VA
inpatient, outpatient, and pharmacy data files for IAV's who received VA care
between fiscal years (FY) 2013 and 2014. The VA pharmacy database was used to
identify the prevalence of long term (>30 days), high-dose zolpidem exposure
(>10mg immediate-release; >12.5mg extended-release) and other medications
received in FY14. Baseline characteristics (demographics, diagnoses) were
identified in FY13. Bivariate and multivariable analyses were used to examine the
demographic, clinical, and medication correlates of zolpidem use. RESULTS: Of
493,683 IAVs who received VHA care in FY 2013 and 2014, 7.6% (n = 37,422) were
prescribed zolpidem in FY 2014. Women had lower odds of high-dose zolpidem
exposure than men. The majority (77.3%) of IAVs who received zolpidem
prescriptions had long-term use with an average days' supply of 189.3 days and a
minority (0.9%) had high-dose exposure. In multivariable analyses, factors
associated with long-term zolpidem exposure included age greater than 29 years
old, PTSD, insomnia, Selim Index, physical 2-3 conditions, opioids,
antidepressants, benzodiazepines, atypical antipsychotics, and stimulants. High
dose exposure was associated with PTSD, depression, substance use disorder,
insomnia, benzodiazepines, atypical antipsychotics, and stimulant prescriptions.
CONCLUSION: The current practices of insomnia pharmacotherapy in IAVs fall short
of the clinical guidelines and may reflect high-risk zolpidem prescribing
practices that put Iraq and Afghanistan Veterans at risk for adverse effects of
zolpidem and poor health outcomes.
PMID- 29360820
TI - Dynamic genome wide expression profiling of Drosophila head development reveals a
novel role of Hunchback in retinal glia cell development and blood-brain barrier
integrity.
AB - Drosophila melanogaster head development represents a valuable process to study
the developmental control of various organs, such as the antennae, the dorsal
ocelli and the compound eyes from a common precursor, the eye-antennal imaginal
disc. While the gene regulatory network underlying compound eye development has
been extensively studied, the key transcription factors regulating the formation
of other head structures from the same imaginal disc are largely unknown. We
obtained the developmental transcriptome of the eye-antennal discs covering late
patterning processes at the late 2nd larval instar stage to the onset and
progression of differentiation at the end of larval development. We revealed the
expression profiles of all genes expressed during eye-antennal disc development
and we determined temporally co-expressed genes by hierarchical clustering. Since
co-expressed genes may be regulated by common transcriptional regulators, we
combined our transcriptome dataset with publicly available ChIP-seq data to
identify central transcription factors that co-regulate genes during head
development. Besides the identification of already known and well-described
transcription factors, we show that the transcription factor Hunchback (Hb)
regulates a significant number of genes that are expressed during late
differentiation stages. We confirm that hb is expressed in two polyploid
subperineurial glia cells (carpet cells) and a thorough functional analysis shows
that loss of Hb function results in a loss of carpet cells in the eye-antennal
disc. Additionally, we provide for the first time functional data indicating that
carpet cells are an integral part of the blood-brain barrier. Eventually, we
combined our expression data with a de novo Hb motif search to reveal stage
specific putative target genes of which we find a significant number indeed
expressed in carpet cells.
PMID- 29360823
TI - Population-based estimate of hepatitis C virus prevalence in Ontario, Canada.
AB - BACKGROUND: Hepatitis C virus (HCV) is the most burdensome infectious illness in
Canada. Current screening strategies miss a significant proportion of cases,
leaving many undiagnosed. Elevated HCV prevalence in those born between 1945 and
1965 has prompted calls for birth-cohort screening in this group. However, Canada
lacks population-level data to support this recommendation. We performed a
serosurvey to obtain population-based HCV prevalence estimates in Ontario
residents born between 1945-1974, to generate evidence for birth-cohort screening
recommendations. METHODS: We tested anonymized residual sera in five-year age-sex
bands from Ontario for anti-HCV antibody. We performed descriptive
epidemiological analysis and used a logistic regression model to determine HCV
risk-factors. RESULTS: Of 10,006 sera analyzed, 155 (1.55%, 95% confidence
interval (CI) 1.32, 1.81) were positive for HCV antibody. Individuals born
between 1950-1964 had a significantly higher combined prevalence of 1.92% (95% CI
1.56, 2.34) compared to 1.14% (95% CI 0.69, 1.77) (p = 0.04) for those born
between 1970-1974. For males, comprising 107/155 (69.03%) of positive samples,
the highest prevalence was 3.00% (95% CI 1.95, 4.39) for the 1960-1964 birth
cohort. For females, the highest prevalence was 1.56% (95% CI 0.83, 2.65) for
those born between 1955-1959. Male sex was significantly associated with positive
HCV serostatus. INTERPRETATION: HCV prevalence in Ontario is highest among those
in this birth cohort, and higher than previous estimates. The prevalence
estimates presented in our study provide important data to underpin birth-cohort
screening recommendations.
PMID- 29360824
TI - Compact FPGA hardware architecture for public key encryption in embedded devices.
AB - Security is a crucial requirement in the envisioned applications of the Internet
of Things (IoT), where most of the underlying computing platforms are embedded
systems with reduced computing capabilities and energy constraints. In this paper
we present the design and evaluation of a scalable low-area FPGA hardware
architecture that serves as a building block to accelerate the costly operations
of exponentiation and multiplication in [Formula: see text], commonly required in
security protocols relying on public key encryption, such as in key agreement,
authentication and digital signature. The proposed design can process operands of
different size using the same datapath, which exhibits a significant reduction in
area without loss of efficiency if compared to representative state of the art
designs. For example, our design uses 96% less standard logic than a similar
design optimized for performance, and 46% less resources than other design
optimized for area. Even using fewer area resources, our design still performs
better than its embedded software counterparts (190x and 697x).
PMID- 29360825
TI - Correction: Alkaline Ceramidase 3 Deficiency Results in Purkinje Cell
Degeneration and Cerebellar Ataxia Due to Dyshomeostasis of Sphingolipids in the
Brain.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1005591.].
PMID- 29360826
TI - Epithelial to mesenchymal transition in human endocrine islet cells.
AB - BACKGROUND: beta-cells undergo an epithelial to mesenchymal transition (EMT) when
expanded in monolayer culture and give rise to highly proliferative mesenchymal
cells that retain the potential to re-differentiate into insulin-producing cells.
OBJECTIVE: To investigate whether EMT takes place in the endocrine non-beta cells
of human islets. METHODOLOGY: Human islets isolated from 12 multiorgan donors
were dissociated into single cells, purified by magnetic cell sorting, and
cultured in monolayer. RESULTS: Co-expression of insulin and the mesenchymal
marker vimentin was identified within the first passage (p1) and increased
subsequently (insulin+vimentin+ 7.2+/-6% at p1; 43+/-15% at p4). The endocrine
non-beta-cells did also co-express vimentin (glucagon+vimentin+ 59+/-1.5% and
93+/-6%, somatostatin+vimentin+ 16+/-9.4% and 90+/-10% at p1 and p4 respectively;
PP+vimentin+ 74+/-14% at p1; 88+/-12% at p2). The percentage of cells expressing
only endocrine markers was progressively reduced (0.6+/-0.2% insulin+, 0.2+/-0.1%
glucagon+, and 0.3+/-0.2% somatostatin+ cells at p4, and 0.7+/-0.3% PP+ cells at
p2. Changes in gene expression were also indicated of EMT, with reduced
expression of endocrine markers and the epithelial marker CDH-1 (p<0.01), and
increased expression of mesenchymal markers (CDH-2, SNAI2, ZEB1, ZEB2, VIM, NT5E
and ACTA2; p<0.05). Treatment with the EMT inhibitor A83-01 significantly reduced
the percentage of co-expressing cells and preserved the expression of endocrine
markers. CONCLUSIONS: In adult human islets, all four endocrine islet cell types
undergo EMT when islet cells are expanded in monolayer conditions. The presence
of EMT in all islet endocrine cells could be relevant to design of strategies
aiming to re-differentiate the expanded islet cells towards a beta-cell
phenotype.
PMID- 29360827
TI - CXCL1 induces senescence of cancer-associated fibroblasts via autocrine loops in
oral squamous cell carcinoma.
AB - Cancer-associated fibroblasts (CAFs) have emerged as one of the main factors
related to cancer progression, however, the conversion mechanism of normal
fibroblasts (NOFs) to CAFs has not been well elucidated. The aim of this study
was to investigate the underlying mechanism of CAF transformation from NOFs in
oral squamous cell carcinoma (OSCC). This study found that NOFs exposed to OSCC
cells transformed to senescent cells. The cytokine antibody array showed the
highest secretion levels of IL-6 and CXCL1 in NOFs co-cultured with OSCC cells.
Despite that both IL-6 and CXCL1 induced the senescent phenotype of CAFs, CXCL1
secretion showed a cancer-specific response to transform NOFs into CAFs in OSCC,
whereas IL-6 secretion was eventuated by common co-culture condition. Further,
CXCL1 was released from NOFs co-cultured with OSCC cells, however, CXCL1 was
undetectable in mono-cultured NOFs or co-cultured OSCC cells with NOFs. Taken
together, this study demonstrates that CXCL1 can transform NOFs into senescent
CAFs via an autocrine mechanism. These data might contribute to further
understanding of CAFs and to development of a potential therapeutic approach
targeting cancer cells-CAFs interactions.
PMID- 29360828
TI - The inter- and intra- generational transmission of family poverty and hardship
(adversity): A prospective 30 year study.
AB - BACKGROUND: Children exposed to family poverty have been found to have higher
morbidity and mortality rates, poorer mental health and cognitive outcomes and
reduced life chances across a wide range of life domains. There is, however, very
little known about the extent to which poverty is experienced by children over
their early life course, particularly in community samples. This study tracks
changes in family poverty and the main factors that predict family poverty
(adverse life experiences) over a 30-year period since the birth of the study
child. METHODS: Data are from a prospective, longitudinal, birth cohort study
conducted in Brisbane, Australia. Consecutive families were recruited at the
mothers' first obstetrical visit at one of two major obstetrical hospitals in
Brisbane. Data are available for 2087 families with complete data at the 30-year
follow-up. Poverty was measured using family income at each time point (adjusted
for inflation). FINDINGS: Poverty affects about 20% of families at any time
point. It is common for families to move in and out of poverty, as their
circumstances are affected by such adversities as unemployment and marital
breakdown. Over the period of the study about half the families in the study
experienced poverty on at least one occasion. Only a very small minority of
families experienced persistent poverty over the 30-year duration of the study.
Logistic regressions with time lag show that family poverty predicts subsequent
adversities and adverse events predict subsequent poverty. CONCLUSIONS:
Experiences of poverty and adversity are common and may vary greatly over the
child's early life course. In assessing the health consequences of poverty, it is
important to distinguish the timing and chronicity of early life course
experiences of poverty and adversity.
PMID- 29360829
TI - Long-term risks and benefits associated with cesarean delivery for mother, baby,
and subsequent pregnancies: Systematic review and meta-analysis.
AB - BACKGROUND: Cesarean birth rates continue to rise worldwide with recent (2016)
reported rates of 24.5% in Western Europe, 32% in North America, and 41% in South
America. The objective of this systematic review is to describe the long-term
risks and benefits of cesarean delivery for mother, baby, and subsequent
pregnancies. The primary maternal outcome was pelvic floor dysfunction, the
primary baby outcome was asthma, and the primary subsequent pregnancy outcome was
perinatal death. METHODS AND FINDINGS: Medline, Embase, Cochrane, and Cumulative
Index to Nursing and Allied Health Literature (CINAHL) databases were
systematically searched for published studies in human subjects (last search 25
May 2017), supplemented by manual searches. Included studies were randomized
controlled trials (RCTs) and large (more than 1,000 participants) prospective
cohort studies with greater than or equal to one-year follow-up comparing
outcomes of women delivering by cesarean delivery and by vaginal delivery. Two
assessors screened 30,327 abstracts. Studies were graded for risk of bias by two
assessors using the Scottish Intercollegiate Guideline Network (SIGN) Methodology
Checklist and the Risk of Bias Assessment tool for Non-Randomized Studies.
Results were pooled in fixed effects meta-analyses or in random effects models
when significant heterogeneity was present (I2 >= 40%). One RCT and 79 cohort
studies (all from high income countries) were included, involving 29,928,274
participants. Compared to vaginal delivery, cesarean delivery was associated with
decreased risk of urinary incontinence, odds ratio (OR) 0.56 (95% CI 0.47 to
0.66; n = 58,900; 8 studies) and pelvic organ prolapse (OR 0.29, 0.17 to 0.51; n
= 39,208; 2 studies). Children delivered by cesarean delivery had increased risk
of asthma up to the age of 12 years (OR 1.21, 1.11 to 1.32; n = 887,960; 13
studies) and obesity up to the age of 5 years (OR 1.59, 1.33 to 1.90; n = 64,113;
6 studies). Pregnancy after cesarean delivery was associated with increased risk
of miscarriage (OR 1.17, 1.03 to 1.32; n = 151,412; 4 studies) and stillbirth (OR
1.27, 1.15 to 1.40; n = 703,562; 8 studies), but not perinatal mortality (OR
1.11, 0.89 to 1.39; n = 91,429; 2 studies). Pregnancy following cesarean delivery
was associated with increased risk of placenta previa (OR 1.74, 1.62 to 1.87; n =
7,101,692; 10 studies), placenta accreta (OR 2.95, 1.32 to 6.60; n = 705,108; 3
studies), and placental abruption (OR 1.38, 1.27 to 1.49; n = 5,667,160; 6
studies). This is a comprehensive review adhering to a registered protocol, and
guidelines for the Meta-analysis of Observational Studies in Epidemiology were
followed, but it is based on predominantly observational data, and in some meta
analyses, between-study heterogeneity is high; therefore, causation cannot be
inferred and the results should be interpreted with caution. CONCLUSIONS: When
compared with vaginal delivery, cesarean delivery is associated with a reduced
rate of urinary incontinence and pelvic organ prolapse, but this should be
weighed against the association with increased risks for fertility, future
pregnancy, and long-term childhood outcomes. This information could be valuable
in counselling women on mode of delivery.
PMID- 29360830
TI - Effects of dietary supplementation of arginine-silicate-inositol complex on
absorption and metabolism of calcium of laying hens.
AB - The effects of supplementation of arginine-silicate-inositol complex (ASI; 49.5
8.2-25 g/kg, respectively) to laying hens were investigated with respect to
eggshell quality, calcium (Ca) balance, and expression of duodenal proteins
related to Ca metabolism (calbindin and tight junction proteins). A total of 360
laying hens, 25 weeks old, were divided into 3 groups consisting of 6 replicate
of cages, 20 birds per cage. The groups were fed a basal diet and the basal diet
supplemented with 500 or 1000 mg ASI complex per kilogram for 90 days. Data were
analyzed by ANCOVA using data during the first week of the adaptation period as
covariates. As the ASI complex supplementation level increased, there were
increases in feed intake (P < 0.0001), egg production (P < 0.001), egg weight (P
< 0.0001) and eggshell weight (P < 0.001) weight, and shell thickness (P < 0.001)
and decreases in feed conversion ratio and cracked egg percentage (P < 0.0001 for
both). Concentrations of serum osteocalcin (P < 0.0001), vitamin D (P < 0.0001),
calcium (P < 0.001), phosphorus (P < 0.001), and alkaline phosphatase (P < 0.008)
as well as amounts of calcium retention (P < 0.0001) and eggshell calcium
deposition (P < 0.001), and Ca balance (P < 0.0001) increased, whereas amount of
calcium excretion (P < 0.001) decreased linearly in a dose-dependent manner. The
ASI complex supplementation increased expressions of calcium transporters
(calbindin-D28k, N sodium-calcium exchanger, plasma membrane calcium ATPase, and
vitamin D receptor) and tight junction proteins (zonula occludens-1 and occludin)
in the duodenum in a linear fashion (P < 0.0001 for all). In conclusion,
provision of dietary ASI complex to laying hens during the peak laying period
improved eggshell quality through improving calcium utilization as reflected by
upregulation of genes related to the calcium metabolism. Further studies are
needed to elucidate the contribution of each of the ASI complex ingredients.
PMID- 29360831
TI - Morphologically indistinguishable hybrid Carassius female with 156 chromosomes: A
threat for the threatened crucian carp, C. carassius, L.
AB - The crucian carp Carassius carassius (Linnaeus, 1758), is native to many European
freshwaters. Despite its wide distribution, the crucian carp is declining in both
the number and sizes of populations across much of its range. Here we studied 30
individuals of a putative pure population from Helsinki, Finland. Despite clear
external morphological features of C. carassius, an individual was of a higher
ploidy level than the others. We therefore applied a set of molecular genetic (S7
nuclear and cytochrome b mitochondrial genes) and cytogenetic tools (sequential
fluorescent 4', 6-diamidino-2-phenylindole [DAPI], Chromomycin A3 [CMA3], C
banding and in situ hybridization [FISH] with both 5S and 28S ribosomal DNA
probes) to determine its origin. While all examined characteristics of a diploid
representative male (CCAHe2Fi) clearly corresponded to those of C. carassius, a
triploid individual (CCAHe1Fi) was more complex. Phylogenetic analysis revealed
that the nuclear genome of CCAHe1Fi contained three haploid sets: two C. gibelio
and one C. carassius. However the mitochondrial DNA was that of C. gibelio,
demonstrating its hybrid origin. The FISH revealed three strong (more intensive)
5S rDNA loci, confirming the triploid status, and an additional 24 weak (less
intensive) signals were observed in the chromosome complement of CCAHe1Fi. On the
other hand, only two strong and 16 weak 5S rDNA signals were visible on the
chromosomes of the CCAHe2Fi male. 28S rDNA FISH revealed four strong signals in
both CCAHe1Fi and CCAHe2Fi individuals. CMA3 staining revealed four to six CMA3
positive bands of CCAHe1Fi, while that of diploids contained only two to four.
The fact that a polyploid hybrid Carassius female with a strong invasive
potential may share morphological characters typical for endangered C. carassius
highlights a need to combine genetic investigations of Carassius cryptic
diversity with conservation measures of C. carassius in Europe.
PMID- 29360832
TI - Evaluation of the short form of "Experience in Close Relationships" (Revised,
German Version "ECR-RD12") - A tool to measure adult attachment in primary care.
AB - Attachment theory helps us to understand patients' health behavior. Attachment
styles might explain patient differences in coping behavior, self-treatment, or
patient-provider relationships. In primary care time constrains are relevant. A
short instrument may facilitate screening and assessment in daily medical
practice. The aim of this study was to evaluate a 12-item short version of the
Experience in Close Relationships-revised (ECR-R-D) to be used in primary care
settings. We included 249 patients from ten general practices in central Germany
into a cross-sectional study. Exploratory factor analysis was performed to
evaluate the factor structure of the ECR-items. Cronbach's alpha was used to
assess internal consistency. The results related to the short form of the ECR are
in line with those of the German full-length version of the measure (ECR-RD 36).
Internal consistencies were in an adequate range. The ECR short form can be
recommended as a screening measure of attachment styles in primary care.
PMID- 29360833
TI - The role of cGMP as a mediator of lipolysis in bovine oocytes and its effects on
embryo development and cryopreservation.
AB - This study aimed to determine the influence of cyclic guanosine 3'5'
monophosphate (cGMP) and cGMP-dependent kinase (PKG) during in vitro maturation
(IVM) on lipolysis-related parameters in bovine cumulus-oocyte complexes (COCs),
and on embryo development and cryosurvival. COCs were matured with cGMP/PKG
modulators and assessed for metaphase II rates (MII), cGMP levels, lipid content
in oocytes (OO), transcript abundance for genes involved in lipolysis (ATGL) and
lipid droplets (PLIN2) in cumulus cells (CC) and OO, and presence of
phosphorylated (active) hormone sensitive lipase (HSLser563) in OO. Embryo
development, lipid contents and survival to vitrification were also assessed.
Phosphodiesterase 5 inhibition (PDE5; cGMP-hydrolyzing enzyme) with 10-5M
sildenafil (SDF) during 24 h IVM increased cGMP in COCs (56.9 vs 9.5 fMol/COC in
untreated controls, p<0.05) and did not affect on maturation rate (84.3+/-6.4%
MII). Fetal calf serum (FCS) in IVM medium decreased cGMP in COCs compared to
bovine serum albumin (BSA) + SDF (19.6 vs 66.5 fMol/COC, respectively, p<0.05).
FCS increased lipid content in OO (40.1 FI, p<0.05) compared to BSA (34.6 FI),
while SDF decreased (29.8 and 29.6 FI, with BSA or FCS, respectively p<0.05). PKG
inhibitor (KT5823) reversed this effect (38.9 FI, p<0.05). ATGL and PLIN2
transcripts were detected in CC and OO, but were affected by cGMP and PKG only in
CC. HSLser563 was detected in OO matured with or without modulators. Reduced
lipid content in embryos were observed only when SDF was added during IVM and IVC
(27.6 FI) compared to its use in either or none of the culture periods (34.2 FI,
p<0.05). Survival to vitrification was unaffected by SDF. In conclusion, cGMP and
PKG are involved in lipolysis in OO and possibly in CC and embryos; serum
negatively affects this pathway, contributing to lipid accumulation, and cGMP
modulation may reduce lipid contents in oocytes and embryos, but without
improving embryo cryotolerance.
PMID- 29360835
TI - Correction: Lack of Ecological and Life History Context Can Create the Illusion
of Social Interactions in Dictyostelium discoideum.
AB - [This corrects the article DOI: 10.1371/journal.pcbi.1005246.].
PMID- 29360834
TI - Sex differences in muscle morphology of the knee flexors and knee extensors.
AB - INTRODUCTION: Females experience higher risk of anterior cruciate ligament (ACL)
injuries; males experience higher risk of hamstring strain injuries. Differences
in injury may be partially due to sex differences in knee flexor (KF) to knee
extensor (KE) muscle size ratio and the proportional size of constituent muscles.
PURPOSE: To compare the absolute and proportional size, and mass distribution, of
individual KE and KF muscles, as well as overall size and balance (size ratio) of
these muscle groups between the sexes. METHODS: T1-weighted axial plane MR images
(1.5T) of healthy untrained young males and females (32 vs 34) were acquired to
determine thigh muscle anatomical cross-sectional area (ACSA). Maximal ACSA
(ACSAmax) of constituent muscles, summated for KF and KE muscle groups, and the
KF:KE ratio were calculated. RESULTS: Females had 25.3% smaller KE ACSAmax
(70.9+/-12.1 vs 93.6+/-10.3 cm2; P<0.001) and 29.6% smaller KF ACSAmax than males
(38.8+/-7.3cm2 vs 55.1+/-7.3cm2; P<0.001). Consequently, females had lower KF:KE
ACSA ratio (P = 0.031). There were sex differences in the proportional size of
2/4 KE and 5/6 KF. In females, vastus lateralis (VL), biceps femoris long-head
(BFlh) and semimembranosus (SM) were a greater proportion and sartorius (SA),
gracilis (GR) and biceps femoris short-head (BFsh) a smaller proportion of their
respective muscle groups compared to males (All P<0.05). CONCLUSION: Sex
differences in KF:KE ACSAmax ratio may contribute to increased risk of ACL injury
in females. Sex discrepancies in absolute and proportional size of SA, GR, VL and
BFlh may contribute further anatomical explanations for sex differences in injury
incidence.
PMID- 29360836
TI - Well-child care delivery in the community in China: Related factors and quality
analysis of services.
AB - Well-child health care services are essential for maintaining optimum child
health and development. This study's aim was to evaluate the quality of such
services and identify factors affecting service quality from the perspective of
well-child health care providers located in China's Hunan Province. To achieve
this, a qualitative descriptive method was employed, with 22 well-child health
care providers being recruited, using purposive sampling, from among the
provinces' government community health centers. The participants completed
individual semi-structured interviews lasting approximately 25-30 minutes that
were designed to obtain their views on well-child health care administration in
the province. Then, the interview transcripts were analyzed thematically. The
main finding was that participants felt that the delivery of well-child health
care services in Hunan Province is insufficient. Factors they mentioned as
negatively affecting the delivery of such services included the region's
fragmented primary health care system, inadequate attention to this issue from
parents and community health care center managers, and a lack of specialized well
child care knowledge. Thus, currently, well-child health care is not being
successfully implemented in Hunan Province; consequently, in order to
successfully implement well-child health care in this region, community health
care centers should invest more resources and funding, particularly into
education programs for well-child health care providers.
PMID- 29360837
TI - Modeling specific action potentials in the human atria based on a minimal single
cell model.
AB - We present an effective method to model empirical action potentials of specific
patients in the human atria based on the minimal model of Bueno-Orovio, Cherry
and Fenton adapted to atrial electrophysiology. In this model, three ionic are
currents introduced, where each of it is governed by a characteristic time scale.
By applying a nonlinear optimization procedure, a best combination of the
respective time scales is determined, which allows one to reproduce specific
action potentials with a given amplitude, width and shape. Possible applications
for supporting clinical diagnosis are pointed out.
PMID- 29360838
TI - Characterization of plasmids harboring blaCTX-M and blaCMY genes in E. coli from
French broilers.
AB - Resistance to extended-spectrum cephalosporins (ESC) is a global health issue.
The aim of this study was to analyze and compare plasmids coding for resistance
to ESC isolated from 16 avian commensal and 17 avian pathogenic Escherichia coli
(APEC) strains obtained respectively at slaughterhouse or from diseased broilers
in 2010-2012. Plasmid DNA was used to transform E. coli DH5alpha, and the
resistances of the transformants were determined. The sequences of the ESC
resistance plasmids prepared from transformants were obtained by Illumina (33
plasmids) or PacBio (1 plasmid). Results showed that 29 of these plasmids
contained the blaCTX-M-1 gene and belonged to the IncI1/ST3 type, with 27 and 20
of them carrying the sul2 or tet(A) genes respectively. Despite their diverse
origins, several plasmids showed very high percentages of identity. None of the
blaCTX-M-1-containing plasmid contained APEC virulence genes, although some of
them were detected in the parental strains. Three plasmids had the blaCMY-2 gene,
but no other resistance gene. They belonged to IncB/O/K/Z-like or IncFIA/FIB
replicon types. The blaCMY-2 IncFIA/FIB plasmid was obtained from a strain
isolated from a diseased broiler and also containing a blaCTX-M-1 IncI1/ST3
plasmid. Importantly APEC virulence genes (sitA-D, iucA-D, iutA, hlyF, ompT, etsA
C, iss, iroB-E, iroN, cvaA-C and cvi) were detected on the blaCMY-2 plasmid. In
conclusion, our results show the dominance and high similarity of blaCTX-M-1
IncI1/ST3 plasmids, and the worrying presence of APEC virulence genes on a blaCMY
2 plasmid.
PMID- 29360839
TI - Comments on species divergence in the genus Sphaerium (Bivalvia) and phylogenetic
affinities of Sphaerium nucleus and S. corneum var. mamillanum based on
karyotypes and sequences of 16S and ITS1 rDNA.
AB - Chromosome, 16S and ITS1 rDNA sequence analyses were used to obtain reliable
diagnostic characters and to clarify phylogenetic relationships of sphaeriid
bivalves of the genus Sphaerium. The species studied were found to be diploid,
with modal number 2n = 28 in S. nucleus and 2n = 30 in S. corneum var.
mamillanum. Small, biarmed, C- negative B chromosomes were found in all studied
populations of both species. Karyological and molecular markers revealed no
differences between S. corneum s. str. and S. corneum var. mamillanum. No
intraspecific differences were found in the basic karyotype of S. nucleus.
Molecular analyses, however, uncovered three genetically distinct ITS1 lineages:
one comprised of samples from Lithuania, Slovakia, and Russia, another from
Czech, and a third from Ukraine. Additionally to known 16S haplotype from
Ukraine, three new 16S haplotypes of S. nucleus were detected: one in the samples
from Lithuania and Russia, one in Slovakian and one in Czech population. In the
ITS1 phylogenetic tree, all branches of S. nucleus clustered in one clade. In the
16S phylogenetic tree, however, the haplotype of Czech S. nucleus formed a
separate branch, distant from three other haplotypes of S. nucleus. Molecular
results indicate that in the context of the Evolutionary Species Concept the S.
nucleus morphospecies may represent a complex of separate taxa, however referring
on the Biological Species Concept the genetic lineages could represent the
intraspecific variability.
PMID- 29360840
TI - Robot-assisted laparoscopic surgery versus conventional laparoscopic surgery in
randomized controlled trials: A systematic review and meta-analysis.
AB - IMPORTANCE: This review provides a comprehensive comparison of treatment outcomes
between robot-assisted laparoscopic surgery (RLS) and conventional laparoscopic
surgery (CLS) based on randomly-controlled trials (RCTs). OBJECTIVES: We employed
RCTs to provide a systematic review that will enable the relevant community to
weigh the effectiveness and efficacy of surgical robotics in controversial fields
on surgical procedures both overall and on each individual surgical procedure.
EVIDENCE REVIEW: A search was conducted for RCTs in PubMed, EMBASE, and Cochrane
databases from 1981 to 2016. Among a total of 1,517 articles, 27 clinical reports
with a mean sample size of 65 patients per report (32.7 patients who underwent
RLS and 32.5 who underwent CLS), met the inclusion criteria. FINDINGS: CLS shows
significant advantages in total operative time, net operative time, total
complication rate, and operative cost (p < 0.05 in all cases), whereas the
estimated blood loss was less in RLS (p < 0.05). As subgroup analyses, conversion
rate on colectomy and length of hospital stay on hysterectomy statistically
favors RLS (p < 0.05). CONCLUSIONS: Despite higher operative cost, RLS does not
result in statistically better treatment outcomes, with the exception of lower
estimated blood loss. Operative time and total complication rate are
significantly more favorable with CLS.
PMID- 29360841
TI - Does directly administered antiretroviral therapy represent good value for money
in sub-Saharan Africa? A cost-utility and value of information analysis.
AB - BACKGROUND: Successful antiretroviral therapy (ART) relies on the optimal level
of ART adherence to achieve reliable viral suppression, avert HIV drug
resistance, and prevent avoidable deaths. It has been shown that there are
various groups of people living with HIV at high-risk of non-adherence to ART in
sub-Saharan Africa. The objective of this study was to examine the cost
effectiveness and value-of-information of directly administered antiretroviral
therapy (DAART) versus self-administered ART among people living with HIV, at
high risk of non-adherence to ART in sub-Saharan Africa. METHODS AND FINDINGS: A
Markov model was developed that describes the transition between HIV stages based
on the CD4 count, along with direct costs, quality of life and the mortality rate
associated with DAART in comparison with self-administered ART. Data used in the
model were derived from the published literature. A health system perspective was
employed using a life-time time horizon. Probabilistic sensitivity analysis was
performed to determine the impact of parameter uncertainty. Value of information
analysis was also conducted. The expected cost of self-administered ART and DAART
were $5,200 and $15,500 and the expected QALYs gained were 8.52 and 9.75
respectively, giving an incremental cost effectiveness ratio of $8,400 per QALY
gained. The analysis demonstrated that the annual cost DAART needs to be priced
below $200 per patient to be cost-effective. The probability that DAART was cost
effective was 1% for a willingness to pay threshold of $5,096 for sub-Saharan
Africa. The value of information associated with the cost of DAART and its
effectiveness was substantial. CONCLUSIONS: From the perspective of the health
care payer in sub-Saharan Africa, DAART cannot be regarded as cost-effective
based on current information. The value of information analysis showed that
further research will be worthwhile and potentially cost-effective in resolving
the uncertainty about whether or not to adopt DAART.
PMID- 29360843
TI - Steady state visual evoked potential (SSVEP) based brain-computer interface (BCI)
performance under different perturbations.
AB - Brain-computer interface (BCI) paradigms are usually tested when environmental
and biological artifacts are intentionally avoided. In this study, we
deliberately introduced different perturbations in order to test the robustness
of a steady state visual evoked potential (SSVEP) based BCI. Specifically we
investigated to what extent a drop in performance is related to the degraded
quality of EEG signals or rather due to increased cognitive load. In the online
tasks, subjects focused on one of the four circles and gave feedback on the
correctness of the classification under four conditions randomized across
subjects: Control (no perturbation), Speaking (counting loudly and repeatedly
from one to ten), Thinking (mentally counting repeatedly from one to ten), and
Listening (listening to verbal counting from one to ten). Decision tree, Naive
Bayes and K-Nearest Neighbor classifiers were used to evaluate the classification
performance using features generated by canonical correlation analysis. During
the online condition, Speaking and Thinking decreased moderately the mean
classification accuracy compared to Control condition whereas there was no
significant difference between Listening and Control conditions across subjects.
The performances were sensitive to the classification method and to the
perturbation conditions. We have not observed significant artifacts in EEG during
perturbations in the frequency range of interest except in theta band. Therefore
we concluded that the drop in the performance is likely to have a cognitive
origin. During the Listening condition relative alpha power in a broad area
including central and temporal regions primarily over the left hemisphere
correlated negatively with the performance thus most likely indicating active
suppression of the distracting presentation of the playback. This is the first
study that systematically evaluates the effects of natural artifacts (i.e.
mental, verbal and audio perturbations) on SSVEP-based BCIs. The results can be
used to improve individual classification performance taking into account effects
of perturbations.
PMID- 29360842
TI - A comparative transcriptome analysis of a wild purple potato and its red mutant
provides insight into the mechanism of anthocyanin transformation.
AB - In this study, a red mutant was obtained through in vitro regeneration of a wild
purple potato. High-performance liquid chromatography and Mass spectrometry
analysis revealed that pelargonidin-3-O-glucoside and petunidin-3-O-glucoside
were main anthocyanins in the mutant and wild type tubers, respectively. In order
to thoroughly understand the mechanism of anthocyanin transformation in two
materials, a comparative transcriptome analysis of the mutant and wild type was
carried out through high-throughput RNA sequencing, and 295 differentially
expressed genes (DEGs) were obtained. Real-time qRT-PCR validation of DEGs was
consistent with the transcriptome date. The DEGs mainly influenced biological and
metabolic pathways, including phenylpropanoid biosynthesis and translation, and
biosynthesis of flavone and flavonol. In anthocyanin biosynthetic pathway, the
analysis of structural genes expressions showed that three genes, one encoding
phenylalanine ammonia-lyase, one encoding 4-coumarate-CoA ligase and one encoding
flavonoid 3',5'-hydroxylasem were significantly down-regulated in the mutant; one
gene encoding phenylalanine ammonia-lyase was significantly up-regulated.
Moreover, the transcription factors, such as bZIP family, MYB family, LOB family,
MADS family, zf-HD family and C2H2 family, were significantly regulated in
anthocyanin transformation. Response proteins of hormone, such as gibberellin,
abscisic acid and brassinosteroid, were also significantly regulated in
anthocyanin transformation. The information contributes to discovering the
candidate genes in anthocyanin transformation, which can serve as a comprehensive
resource for molecular mechanism research of anthocyanin transformation in
potatoes.
PMID- 29360844
TI - Mid-term sustained relief from headaches after balloon angioplasty of the
internal jugular veins in patients with multiple sclerosis.
AB - OBJECTIVES: Multiple sclerosis (MS) patients frequently suffer from headaches and
fatigue, and many reports have linked headaches with intracranial and/or
extracranial venous obstruction. We therefore designed a study involving MS
patients diagnosed with obstructive disease of internal jugular veins (IJVs),
with the aim of evaluating the impact of percutaneous transluminal angioplasty
(PTA) on headache and fatigue indicators. METHODS: 286 MS patients (175 relapsing
remitting (RR), 75 secondary progressive (SP), and 36 primary progressive (PP)),
diagnosed with obstructive disease of IJVs, underwent PTA of IJVs during the
period 2011-2015. This included 113 headache positive patients (82 RR, 22 SP, and
9 PP) and 277 fatigue positive patients (167 RR, 74 SP, and 36 PP). Migraine
Disability Assessment (MIDAS), and the Fatigue Severity Scale (FSS) were
evaluated: before PTA; 3-months after PTA; and at final follow-up in 2017.
Patients were evaluated with Doppler sonography of the IJVs at 1, 6 and 12 months
after PTA and yearly thereafter. Non-parametric statistical analysis was
performed using a combination of the Friedman test and Spearman correlation
analysis. RESULTS: With the exception of the PP patients there were significant
reductions (all p < 0.001) in the MIDAS and FSS scores in the 3-month following
PTA. The improvement in MIDAS score following PTA was maintained throughout the
follow-up period in both the RR (p < 0.001; mean of 3.55 years) and SP (p =
0.002; mean of 3.52 years) MS cohorts. With FSS, significant improvement was only
observed at 2017 follow-up in the RR patients (p < 0.001; mean of 3.37 years). In
the headache-positive patients, post-PTA MIDAS score was significantly negatively
correlated with the change in the blood flow score in the left (r = -0.238, p =
0.031) and right (r = -0.250, p = 0.023) IJVs in the RR patients and left IJV (r
= -0.727, p = 0.026) in the PP patients. In the fatigue-positive cohort, post-PTA
FSS score was also significantly negatively correlated with the change in blood
flow in the right IJV in the PP patients (r = -0.423, p = 0.010). In addition,
the pre and post-PTA FSS scores were significantly positively correlated in the
fatigue-positive RR (r = 0.249, p = 0.001) and SP patients (r = 0.272, p =
0.019). CONCLUSIONS: The intervention of PTA was associated with a large and
sustained (>3 years) reduction in MIDAS score in both RR and SP MS patients.
While a similar initial post-PTA reduction in FSS score was also observed, this
was not maintained in the SP and PP patients, although it remained significant at
follow-up (>3 years) in the RR MS patients. This suggests that venoplasty might
be a useful intervention for treating patients with persistent headaches and
selected concomitant obstructive disease of the IJVs.
PMID- 29360845
TI - Non-vitamin K antagonist oral anticoagulants versus warfarin for the prevention
of spontaneous echo-contrast and thrombus in patients with atrial fibrillation or
flutter undergoing cardioversion: A trans-esophageal echocardiography study.
AB - Spontaneous echo-contrast (SEC) and thrombus observed in trans-esophageal
echocardiography (TEE) is known as a strong surrogate marker for future risk of
ischemic stroke in patients with atrial fibrillation (AF) or atrial flutter
(AFL). The efficacy of non-vitamin K antagonist oral anticoagulants (NOAC)
compared to warfarin to prevent SEC or thrombus in patients with AF or AFL is
currently unknown. AF or AFL patients who underwent direct current cardioversion
(DCCV) and pre-DCCV TEE evaluation from January 2014 to October 2016 in a single
center were analyzed. The prevalence of SEC and thrombus were compared between
patients who received NOAC and those who took warfarin. NOAC included direct
thrombin inhibitor and factor Xa inhibitors. Among 1,050 patients who were
considered for DCCV, 424 patients anticoagulated with warfarin or NOAC underwent
TEE prior to DCCV. Eighty patients who were anticoagulated for less than 21 days
were excluded. Finally, 344 patients were included for the analysis (180 warfarin
users vs. 164 NOAC users). No significant difference in the prevalence of SEC
(44.4% vs. 43.9%; p = 0.919), dense SEC (13.9% vs. 15.2%; p = 0.722), or thrombus
(2.2% vs. 4.3%; p = 0.281) was observed between the warfarin group and the NOAC
group. In multivariate analysis, there was no association between NOAC and risk
of SEC (odds ratio [OR]: 1.4, 95% CI: 0.796-2.297, p = 0.265) or thrombus (OR:
3.4, 95% CI: 0.726-16.039, p = 0.120). In conclusion, effectiveness of NOAC is
comparable to warfarin in preventing SEC and thrombus in patients with AF or AFL
undergoing DCCV. However, numerical increase in the prevalence of thrombus in
NOAC group warrants further evaluation.
PMID- 29360846
TI - The activation of G protein-coupled estrogen receptor induces relaxation via cAMP
as well as potentiates contraction via EGFR transactivation in porcine coronary
arteries.
AB - Estrogen exerts protective effects against cardiovascular diseases in
premenopausal women, but is associated with an increased risk of both coronary
heart disease and stroke in older postmenopausal women. Studies have shown that
activation of the G-protein-coupled estrogen receptor 1 (GPER) can cause either
relaxation or contraction of arteries. It is highly likely that these dual
actions of GPER may contribute to the seemingly paradoxical effects of estrogen
in regulating coronary artery function. The objective of this study was to test
the hypothesis that activation of GPER enhances agonist-stimulated porcine
coronary artery contraction via epidermal growth factor receptor (EGFR)
transactivation and its downstream extracellular signal-regulated kinases
(ERK1/2) pathway. Isometric tension studies and western blot were performed to
determine the effect of GPER activation on coronary artery contraction. Our
findings demonstrated that G-1 caused concentration-dependent relaxation of ET-1
induced contraction, while pretreatment of arterial rings with G-1 significantly
enhanced ET-1-induced contraction. GPER antagonist, G-36, significantly inhibited
both the G-1-induced relaxation effect and G-1-enhanced ET-1 contraction.
Gallein, a Gbetagamma inhibitor, significantly increased G-1-induced relaxation,
yet inhibited G-1-enhanced ET-1-mediated contraction. Similarly, inhibition of
EGFR with AG1478 or inhibition of Src with phosphatase 2 further increased G-1
induced relaxation responses in coronary arteries, but decreased G-1-enhanced ET
1-induced contraction. Western blot experiments in porcine coronary artery smooth
muscle cells (PCASMC) showed that G-1 increased tyrosine phosphorylation of EGFR,
which was inhibited by AG-1478. Furthermore, enzyme-linked immunosorbent assays
showed that the level of heparin-binding EGF (HB-EGF) released by ET-1 treatment
increased two-fold; whereas pre-incubation with G-1 further increased ET-1
induced HB-EGF release to four-fold over control conditions. Lastly, the role of
ERK1/2 was determined by applying the MEK inhibitor, PD98059, in isometric
tension studies and detecting phospho-ERK1/2 in immunoblotting. PD98059
potentiated G-1-induced relaxation response, but blocked G-1-enhanced ET-1
induced contraction. By western blot, G-1 treatment decreased phospho-ERK1/2,
however, in the presence of the adenylyl cyclase inhibitor, SQ22536, G-1
significantly increased ERK1/2 phosphorylation in PCASMC. These data demonstrate
that activation of GPER induces relaxation via cAMP as well as contraction via a
mechanism involving transactivation of EGFR and the phosphorylation of ERK1/2 in
porcine coronary arteries.
PMID- 29360847
TI - Assessment of p.Phe508del-CFTR functional restoration in pediatric primary cystic
fibrosis airway epithelial cells.
AB - BACKGROUND: Mutations in the cystic fibrosis transmembrane regulator (CFTR) gene
can reduce function of the CFTR ion channel activity and impair cellular chloride
secretion. The gold standard method to assess CFTR function of ion transport
using the Ussing chamber requires a high number of airway epithelial cells grown
at air-liquid interface, limiting the application of this method for high
throughput screening of potential therapeutic compounds in primary airway
epithelial cells (pAECs) featuring less common CFTR mutations. This study
assessed an alternative approach, using a small scale halide assay that can be
adapted for a personalized high throughput setting to analyze CFTR function of
pAEC. METHODS: Pediatric pAECs derived from children with CF (pAECCF) were
established and expanded as monolayer cultures, before seeding into 96-well
plates for the halide assay. Cells were then transduced with an adenoviral
construct containing yellow fluorescent protein (eYFP) reporter gene, alone or in
combination with either wild-type CFTR (WT-CFTR) or p.Phe508del CFTR. Four days
post transduction, cells were stimulated with forskolin and genistein, and
assessed for quenching of the eYFP signal following injection of iodide solution
into the assay media. RESULTS: Data showed that pAECCF can express eYFP at high
efficiency following transduction with the eYFP construct. The halide assay was
able to discriminate functional restoration of CFTR in pAECCF treated with either
WT-CFTR construct or the positive controls syntaxin 8 and B-cell receptor
associated protein 31 shRNAs. SIGNIFICANCE: The current study demonstrates that
the halide assay can be adapted for pediatric pAECCF to evaluate restoration of
CFTR function. With the ongoing development of small molecules to modulate the
folding and/or activity of various mutated CFTR proteins, this halide assay
presents a small-scale personalized screening platform that could assess
therapeutic potential of molecules across a broad range of CFTR mutations.
PMID- 29360848
TI - Growth performance, organ-level ionic relations and organic osmoregulation of
Elaeagnus angustifolia in response to salt stress.
AB - Elaeagnus angustifolia is one of the most extensively afforested tree species in
environment-harsh regions of northern China. Despite its exceptional tolerance to
saline soil, the intrinsic adaptive physiology has not been revealed. In this
study, we investigated the growth, organ-level ionic relations and organic
osmoregulation of the seedlings hydroponically treated with 0, 100 and 200 mM
NaCl for 30 days. We found that the growth characteristics and the whole-plant
dry weight were not obviously stunted, but instead, were even slightly stimulated
by the treatment of 100 mM NaCl. In contrast, these traits were significantly
inhibited by 200 mM NaCl treatment. Interestingly, as compared with the control
(0 mM NaCl), both 100 and 200 mM NaCl treatments had a promotional effect on root
growth as evidenced by 26.3% and 2.4% increases in root dry weight, respectively.
Roots had the highest Na+ and Cl- concentrations and obviously served as the sink
for the net increased Na+ and Cl-, while, stems might maintain the capacity of
effective Na+ constraint, resulting in reduced Na+ transport to the leaves. K+,
Ca2+ and Mg2+ concentrations in three plant organs of NaCl-treated seedlings
presented a substantial decline, eventually leading to an enormously drop of
K+/Na+ ratio. As the salt concentration increased, proline and soluble protein
contents continuously exhibited a prominent and a relatively tardy accumulation,
respectively, whereas soluble sugar firstly fell to a significant level and then
regained to a level that is close to that of the control. Taken together, our
results provided quantitative measures that revealed some robust adaptive
physiological mechanisms underpinning E. angustifolia's moderately high salt
tolerance, and those mechanisms comprise scalable capacity for root Na+ and Cl-
storage, effectively constrained transportation of Na+ from stems to leaves, root
compensatory growth, as well as an immediate and prominent leaf proline
accumulation.
PMID- 29360849
TI - High contributions of sea ice derived carbon in polar bear (Ursus maritimus)
tissue.
AB - Polar bears (Ursus maritimus) rely upon Arctic sea ice as a physical habitat.
Consequently, conservation assessments of polar bears identify the ongoing
reduction in sea ice to represent a significant threat to their survival.
However, the additional role of sea ice as a potential, indirect, source of
energy to bears has been overlooked. Here we used the highly branched isoprenoid
lipid biomarker-based index (H-Print) approach in combination with quantitative
fatty acid signature analysis to show that sympagic (sea ice-associated), rather
than pelagic, carbon contributions dominated the marine component of polar bear
diet (72-100%; 99% CI, n = 55), irrespective of differences in diet composition.
The lowest mean estimates of sympagic carbon were found in Baffin Bay bears,
which were also exposed to the most rapidly increasing open water season.
Therefore, our data illustrate that for future Arctic ecosystems that are likely
to be characterised by reduced sea ice cover, polar bears will not only be
impacted by a change in their physical habitat, but also potentially in the
supply of energy to the ecosystems upon which they depend. This data represents
the first quantifiable baseline that is critical for the assessment of likely
ongoing changes in energy supply to Arctic predators as we move into an
increasingly uncertain future for polar ecosystems.
PMID- 29360850
TI - A prospective study of frequency of eating restaurant prepared meals and
subsequent 9-year risk of all-cause and cardiometabolic mortality in US adults.
AB - Restaurant prepared foods are known to be energy-dense and high in fat and
sodium, but lower in protective nutrients. There is evidence of higher risk of
adiposity, type II diabetes, and heart disease in frequent consumers of
restaurant meals. However, the risk of mortality as a long-term health
consequence of frequent consumption of restaurant meals has not been examined. We
examined the prospective risk of all-cause and coronary heart disease,
cerebrovascular disease and diabetes (cardiometabolic) mortality in relation to
frequency of eating restaurant prepared meals in a national cohort. We used
frequency of eating restaurant prepared meals information collected in the
National Health and Nutrition Examination Surveys, conducted from 1999-2004, with
mortality follow-up completed through Dec. 31, 2011 (baseline age >= 40y; n =
9107). We estimated the relative hazard of all-cause and cardiometabolic
mortality associated with weekly frequency of eating restaurant meals using Cox
proportional hazards regression methods to adjust for multiple covariates. All
analyses accounted for complex survey design and included sample weights. Over
33% of all respondents reported eating >=3 restaurant prepared meals/week. In
this cohort, 2200 deaths due to all causes and 665 cardiometabolic deaths
occurred over a median follow-up of 9 years. The covariate-adjusted hazard ratio
of all cause or cardiometabolic mortality in men and women reporters of <1 or 1-2
restaurant prepared meals did not differ from those reporting >=3 meals/week
(P>0.05). The results were robust to effect modification by baseline BMI, years
of education, and baseline morbidity. Expectedly, the 24-h dietary intakes of
whole grains, fruits, dietary fiber, folate, vitamin C, potassium and magnesium
at baseline were lower, but energy, energy density, and energy from fat were
higher in more frequent restaurant meal reporters (P<0.05). Baseline serum HDL
cholesterol, folate, and some carotenoids were inversely associated with the
frequency of eating restaurant prepared meals (P<0.05); however, serum
concentrations of total cholesterol, triglycerides, fasting glucose, insulin,
glycated hemoglobin, and c-reactive protein were unrelated (P<0.05). The weekly
frequency of eating restaurant prepared meals and prospective risk of mortality
after 9 years were not related in this cohort.
PMID- 29360851
TI - Left atrial concomitant surgical ablation for treatment of atrial fibrillation in
cardiac surgery: A meta-analysis of randomized controlled trials.
AB - INTRODUCTION: Surgical ablation is a generally established treatment for patients
with atrial fibrillation undergoing concomitant cardiac surgery. Left atrial (LA)
lesion set for ablation is a simplified procedure suggested to reduce the surgery
time and morbidity after procedure. The present meta-analysis aims to explore the
outcomes of left atrial lesion set versus no ablative treatment in patients with
AF undergoing cardiac surgery. METHODS: A literature research was performed in
six database from their inception to July 2017, identifying all relevant
randomized controlled trials (RCTs) comparing left atrial lesion set versus no
ablative treatment in AF patient undergoing cardiac surgery. Data were extracted
and analyzed according to predefined clinical endpoints. RESULTS: Eleven relevant
RCTs were included for analysis in the present study. The prevalence of sinus
rhythm in ablation group was significantly higher at discharge, 6-month and 1
year follow-up period. The morbidity including 30 day mortality, late all-cause
mortality, reoperation for bleeding, permanent pacemaker implantation and
neurological events were of no significant difference between two groups.
CONCLUSIONS: The result of our meta-analysis demonstrates that left atrial lesion
set is an effective and safe surgical ablation strategy for AF patients
undergoing concomitant cardiac surgery.
PMID- 29360852
TI - Convergence of miR-143 overexpression, oxidative stress and cell death in HCT116
human colon cancer cells.
AB - MicroRNAs (miRNAs) regulate a wide variety of biological processes, including
tumourigenesis. Altered miRNA expression is associated with deregulation of
signalling pathways, which in turn cause abnormal cell growth and de
differentiation, contributing to cancer. miR-143 and miR-145 are anti
tumourigenic and influence the sensitivity of tumour cells to chemotherapy and
targeted therapy. Comparative proteomic analysis was performed in HCT116 human
colon cancer cells stably transduced with miR-143 or miR-145. Immunoblotting
analysis validated the proteomic data in stable and transient miRNA
overexpression conditions in human colon cancer cells. We show that approximately
100 proteins are differentially expressed in HCT116 human colon cancer cells
stably transduced with miR-143 or miR-145 compared to Empty control cells.
Further, Gene Ontology and pathway enrichment analysis indicated that proteins
involved in specific cell signalling pathways such as cell death, response to
oxidative stress, and protein folding might be modulated by these miRNAs. In
particular, antioxidant enzyme superoxide dismutase 1 (SOD1) was downregulated by
stable expression of either miR-143 or miR-145. Further, SOD1 gain-of-function
experiments rescued cells from miR-143-induced oxidative stress. Moreover, miR
143 overexpression increased oxaliplatin-induced apoptosis associated with
reactive oxygen species generation, which was abrogated by genetic and
pharmacological inhibition of oxidative stress. Overall, miR-143 might circumvent
resistance of colon cancer cells to oxaliplatin via increased oxidative stress in
HCT116 human colon cancer cells.
PMID- 29360853
TI - Effects of short-term warming and nitrogen addition on the quantity and quality
of dissolved organic matter in a subtropical Cunninghamia lanceolata plantation.
AB - Increasing temperature and nitrogen (N) deposition are two large-scale changes
projected to occur over the coming decades. The effects of these changes on
dissolved organic matter (DOM) are largely unknown. This study aimed to assess
the effects of warming and N addition on the quantity and quality of DOM from a
subtropical Cunninghamia lanceolata plantation. Between 2014 and 2016, soil
solutions were collected from 0-15, 15-30, and 30-60 cm depths by using a
negative pressure sampling method. The quantity and quality of DOM were measured
under six different treatments. The spectra showed that the DOM of the forest
soil solution mainly consisted of aromatic protein-like components, microbial
degradation products, and negligible amounts of humic-like substances. Warming, N
addition, and warming + N addition significantly inhibited the concentration of
dissolved organic carbon (DOC) in the surface (0-15 cm) soil solution. Our
results suggested that warming reduced the amount of DOM originating from
microbes. The decrease in protein and carboxylic acid contents was mostly
attributed to the reduction of DOC following N addition. The warming + N addition
treatment showed an interactive effect rather than an additive effect. Thus,
short-term warming and warming + N addition decreased the quantity of DOM and
facilitated the migration of nutrients to deeper soils. Further, N addition
increased the complexity of the DOM structure. Hence, the loss of soil nutrients
and the rational application of N need to be considered in order to prevent the
accumulation of N compounds in soil.
PMID- 29360854
TI - Diagnostic efficacy of smear cytology and Robinson's cytological grading of
canine mammary tumors with respect to histopathology, cytomorphometry, metastases
and overall survival.
AB - Cytology is a simple, rapid, and inexpensive method used for pre-operative
diagnosis of canine mammary tumors (CMTs) in veterinary practice. Studies related
to human breast cancer showed the Robinson's grading system-established for
invasive ductal carcinoma, not otherwise specified (IDC, NOS) and used on
cytological material-to not only closely correspond to the histopathological
grading but also be helpful in assessing prognosis and selecting most suitable
treatments before surgery. The objectives of this study were: to evaluate the
accuracy of cytological diagnosis and cytological Robinson's grading system
compared to the histopathological examination of CMTs; to compare of cytological
features and cytomorphometric parameters with tumor behavior, as well as
cytological and histological grading; and to determine an association of the
Robinson's grading system and cytological background details with metastases, and
patients' survival. We report substantial diagnostic accuracy in detecting simple
types and high grade tumors. Cytological diagnosis of tumor behavior showed
relatively low sensitivity and specificity compared to human studies, and this
might be caused by the heterogeneous morphology of CMTs. The presence of
mucosecretory material and extracellular matrix was not significantly associated
with tumor behavior. We report a positive correlation between both grading
systems and cytological features (included in Robinson's grading), the presence
of necrotic debris, inflammation, and red blood cells. A negative correlation was
determined only for the presence of extracellular matrix. The univariate and
multivariate analyses confirmed a significantly higher risk of developing
metastasis and shorter overall survival for dogs with tumors of grade 2 or 3 on
cytology. In addition, these tumors were the most common cause of CMT-related
deaths in dogs. Taken together, our findings suggest that the Robinson's method
of cytological grading applied for malignant CMTs evaluated in cytological smears
regardless of tumor type can be adapted to veterinary cytology. Additionally,
some background features seem to aid malignancy assessment.
PMID- 29360855
TI - Identification and analysis of OsttaDSP, a phosphoglucan phosphatase from
Ostreococcus tauri.
AB - Ostreococcus tauri, the smallest free-living (non-symbiotic) eukaryote yet
described, is a unicellular green alga of the Prasinophyceae family. It has a
very simple cellular organization and presents a unique starch granule and
chloroplast. However, its starch metabolism exhibits a complexity comparable to
higher plants, with multiple enzyme forms for each metabolic reaction. Glucan
phosphatases, a family of enzymes functionally conserved in animals and plants,
are essential for normal starch or glycogen degradation in plants and mammals,
respectively. Despite the importance of O. tauri microalgae in evolution, there
is no information available concerning the enzymes involved in reversible
phosphorylation of starch. Here, we report the molecular cloning and heterologous
expression of the gene coding for a dual specific phosphatase from O. tauri
(OsttaDSP), homologous to Arabidopsis thaliana LSF2. The recombinant enzyme was
purified to electrophoretic homogeneity to characterize its oligomeric and
kinetic properties accurately. OsttaDSP is a homodimer of 54.5 kDa that binds and
dephosphorylates amylopectin. Also, we also determined that residue C162 is
involved in catalysis and possibly also in structural stability of the enzyme.
Our results could contribute to better understand the role of glucan phosphatases
in the metabolism of starch in green algae.
PMID- 29360857
TI - Using geomorphological variables to predict the spatial distribution of plant
species in agricultural drainage networks.
AB - To optimize ecosystem services provided by agricultural drainage networks
(ditches) in headwater catchments, we need to manage the spatial distribution of
plant species living in these networks. Geomorphological variables have been
shown to be important predictors of plant distribution in other ecosystems
because they control the water regime, the sediment deposition rates and the sun
exposure in the ditches. Whether such variables may be used to predict plant
distribution in agricultural drainage networks is unknown. We collected presence
and absence data for 10 herbaceous plant species in a subset of a network of
drainage ditches (35 km long) within a Mediterranean agricultural catchment. We
simulated their spatial distribution with GLM and Maxent model using
geomorphological variables and distance to natural lands and roads. Models were
validated using k-fold cross-validation. We then compared the mean Area Under the
Curve (AUC) values obtained for each model and other metrics issued from the
confusion matrices between observed and predicted variables. Based on the results
of all metrics, the models were efficient at predicting the distribution of seven
species out of ten, confirming the relevance of geomorphological variables and
distance to natural lands and roads to explain the occurrence of plant species in
this Mediterranean catchment. In particular, the importance of the landscape
geomorphological variables, ie the importance of the geomorphological features
encompassing a broad environment around the ditch, has been highlighted. This
suggests that agro-ecological measures for managing ecosystem services provided
by ditch plants should focus on the control of the hydrological and
sedimentological connectivity at the catchment scale. For example, the density of
the ditch network could be modified or the spatial distribution of vegetative
filter strips used for sediment trapping could be optimized. In addition, the
vegetative filter strips could constitute new seed bank sources for species that
are affected by the distance to natural lands and roads.
PMID- 29360856
TI - Midgut transcriptomal response of the rice leaffolder, Cnaphalocrocis medinalis
(Guenee) to Cry1C toxin.
AB - Cnaphalocrocis medinalis (Guenee) is one of the important insect pests in rice
field. Bt agents were recommended in the C. medinalis control and Bt rice is bred
as a tactic to control this insect. However, the tolerance or resistance of
insect to Bt protein is a main threat to the application of Bt protein. In order
to investigate the response of C. medinalis transcriptome in defending a Cry1C
toxin, high-through RNA-sequencing was carried in the C. medinalis larvae treated
with and without Cry1C toxin. A total of 35,586 high-quality unigenes was
annotated in the transcriptome of C. medinalis midgut. The comparative analysis
identified 6,966 differently expressed unigenes (DEGs) between the two
treatments. GO analysis showed that these genes involved in proteolysis and
extracellular region. Among these DEGs, carboxylesterase, glutathione S
transferase and P450 were differently expressed in the treated C. medinalis
midgut. Furthermore, trypsin, chymotrypsin, and carboxypeptidase were identified
in DEGs, and most of them up-regulated. In addition, thirteen ABC transporters
were downregulated and three upregulated in Cry1C-treated C. medinalis midgut.
Based on the pathway analysis, antigen processing and presentation pathway, and
chronic myeloid leukemia pathway were significant in C. medinalis treated with
Cry1C toxin. These results indicated that serine protease, detoxification enzymes
and ABC transporter, antigen processing and presentation pathway, and chronic
myeloid leukemia pathway may involved in the response of C. medinalis to Cry1C
toxin. This study provides a transcriptomal foundation for the identification and
functional characterization of genes involved in the toxicity of Bt Cry protein
against C. medinalis, and provides potential clues to the studies on the
tolerance or resistance of an agriculturally important insect pest C. medinalis
to Cry1C toxin.
PMID- 29360858
TI - Immunostimulatory activity of water-extractable polysaccharides from Cistanche
deserticola as a plant adjuvant in vitro and in vivo.
AB - A safe and effective vaccine adjuvant is important in modern vaccines. Various
Chinese herbal polysaccharides can activate the immune system. Cistanche
deserticola (CD) is a traditional Chinese herb and an adjuvant candidate. Here,
we confirmed that water-extractable polysaccharides of CD (WPCD) could modulate
immune responses in vitro and in vivo. In a dose-dependent manner, WPCD
significantly promoted the maturation and function of murine marrow-derived
dendritic cells (BM-DCs) through up-regulating the expression levels of MHC-II,
CD86, CD80, and CD40, allogenic T cell proliferation, and the yields of IL-12 and
TNF-alpha via toll-like receptor4 (TLR4), as indicated by in vitro experiments.
In addition, its immunomodulatory activity was also observed in mice. WPCD
effectively improved the titers of IgG, IgG1 and IgG2a and markedly enhanced the
proliferation of T and B cells, the production of IFN-gamma and IL-4 in CD4+ T
cells and the expression level of IFN-gamma in CD8+ T cells better than Alum.
Furthermore, WPCD could markedly up-regulate the expression levels of CD40 and
CD80 on DCs in spleen and down-regulate the Treg frequency. The study suggests
that polysaccharides of Cistanche deserticola are a safe and effective vaccine
adjuvant for eliciting both humoral immunity and cellular immunity by activating
DCs via TLR4 signaling pathway.
PMID- 29360859
TI - A kinetic investigation of interacting, stimulated T cells identifies conditions
for rapid functional enhancement, minimal phenotype differentiation, and improved
adoptive cell transfer tumor eradication.
AB - For adoptive cell transfer (ACT) immunotherapy of tumor-reactive T cells, an
effective therapeutic outcome depends upon cell dose, cell expansion in vivo
through a minimally differentiated phenotype, long term persistence, and strong
cytolytic effector function. An incomplete understanding of the biological
coupling between T cell expansion, differentiation, and response to stimulation
hinders the co-optimization of these factors. We report on a biophysical
investigation of how the short-term kinetics of T cell functional activation,
through molecular stimulation and cell-cell interactions, competes with phenotype
differentiation. T cells receive molecular stimulation for a few minutes to a few
hours in bulk culture. Following this priming period, the cells are then analyzed
at the transcriptional level, or isolated as single cells, with continuing
molecular stimulation, within microchambers for analysis via 11-plex secreted
protein assays. We resolve a rapid feedback mechanism, promoted by T cell-T cell
contact interactions, which strongly amplifies T cell functional performance
while yielding only minimal phenotype differentiation. When tested in mouse
models of ACT, optimally primed T cells lead to complete tumor eradication. A
similar kinetic process is identified in CD8+ and CD4+ T cells collected from a
patient with metastatic melanoma.
PMID- 29360860
TI - Acute Smc5/6 depletion reveals its primary role in rDNA replication by
restraining recombination at fork pausing sites.
AB - Smc5/6, a member of the conserved SMC family of complexes, is essential for
growth in most organisms. Its exact functions in a mitotic cell cycle are
controversial, as chronic Smc5/6 loss-of-function alleles produce varying
phenotypes. To circumvent this issue, we acutely depleted Smc5/6 in budding yeast
and determined the first cell cycle consequences of Smc5/6 removal. We found a
striking primary defect in replication of the ribosomal DNA (rDNA) array. Each
rDNA repeat contains a programmed replication fork barrier (RFB) established by
the Fob1 protein. Fob1 removal improves rDNA replication in Smc5/6 depleted
cells, implicating Smc5/6 in the management of programmed fork pausing. A similar
improvement is achieved by removing the DNA helicase Mph1 whose recombinogenic
activity can be inhibited by Smc5/6 under DNA damage conditions. DNA 2D gel
analyses further show that Smc5/6 loss increases recombination structures at RFB
regions; moreover, mph1? and fob1? similarly reduce this accumulation. These
findings point to an important mitotic role for Smc5/6 in restraining
recombination events when protein barriers in rDNA stall replication forks. As
rDNA maintenance influences multiple essential cellular processes, Smc5/6 likely
links rDNA stability to overall mitotic growth.
PMID- 29360861
TI - Genetic diversity of human respiratory syncytial virus circulating among children
in Ibadan, Nigeria.
AB - Human respiratory syncytial virus (HRSV) is the most common viral cause of acute
lower respiratory tract infections (LRTIs) in infants and young children however,
without an effective vaccine licensed for human use till date. Information on the
circulating genotypes of HRSV from regions with high-burden of infection is vital
in the global efforts towards the development of protective vaccine. We report
here the genotypes of HRSV circulating among children in Ibadan, the first of
such from Nigeria.Nasopharyngeal and oropharyngeal swabs collected from 231
children presenting with respiratory infections in some health facilities for
care as well as those attending immunization centers for routine vaccination in
Ibadan, Nigeria were used for the study. The 2nd hypervariable (HVR2) region of
the glycoprotein (G) gene of HRSV was amplified and sequenced using HRSV group
specific primers. HRSV was detected in 41 out of the 231 samples. Thirty-three of
the isolates were successfully subtyped(22 subtype A and 11 subtype B). Fourteen
of the subtype A and all the subtype B were successfully sequenced and genotyped.
Phylogenetic analysis showed that genotype ON1 with 72 nucleotide (nt)
duplication was the major subgroup A virus (11 of 14) detected together with
genotype NA2. All the HRSV subtype B detected belong to the BA genotype with
characteristic 60nt duplication. The ON1 genotypes vary considerably from the
prototype strain due to amino acid substitutions including T292I which has not
been reported elsewhere. The NA2 genotypes have mutations on four antigenic sites
within the HVR2relative to the prototype A2. In conclusion, three genotypes of
HRSV were found circulating in Ibadan, Nigeria. Additional study that will
include isolates from other parts of the country will be done to determine the
extent of genotype diversity of HRSV circulating in Nigeria.
PMID- 29360862
TI - Independent associations and effect modification between lifetime substance use
and recent mood disorder diagnosis with household food insecurity.
AB - Poor mental health and substance use are associated with food insecurity,
however, their potential combined effects have not been studied. This study
explored independent associations and effect modification between lifetime
substance use and mood disorder in relation to food insecurity. Poisson
regression analysis of data from British Columbia respondents (n = 13,450; 12
years+) in the 2007/08 Canadian Community Health Survey was conducted. Measures
included The Household Food Security Survey Module (7.3% food insecure), recent
diagnosis of a mood disorder (self-reported; 9.5%), lifetime use of cannabis,
cocaine/crack, ecstasy, hallucinogens, and speed, any lifetime substance use,
sociodemographic covariates, and the interaction terms of mood disorder by
substance. For those with recent diagnosis of a mood disorder the prevalence of
lifetime substance use ranged between 1.2 to 5.7% and were significantly higher
than those without recent mood disorder diagnosis or lifetime use of substances
(p's < 0.05). For respondents with a recent mood disorder diagnosis or who used
cannabis, food insecurity prevalence was higher compared to the general sample (p
< 0.001); prevalence was lower for cocaine/crack use (p < 0.05). Significant
effect modification was found between mood disorder with cannabis, ecstasy,
hallucinogen and any substance use over the lifetime (PRs 0.51 to 0.64, p's 0.022
to 0.001). Independent associations were found for cocaine/crack and speed use
(PRs 1.68, p's < 0.001) and mood disorder (PRs 2.02, p's < 0.001). Based on these
findings and the existing literature, future study about coping and resilience in
the context of substance use, mental health, and food insecurity may lead to the
development of relevant interventions aimed at mental well-being and food
security.
PMID- 29360863
TI - Estimating population extinction thresholds with categorical classification trees
for Louisiana black bears.
AB - Monitoring vulnerable species is critical for their conservation. Thresholds or
tipping points are commonly used to indicate when populations become vulnerable
to extinction and to trigger changes in conservation actions. However,
quantitative methods to determine such thresholds have not been well explored.
The Louisiana black bear (Ursus americanus luteolus) was removed from the list of
threatened and endangered species under the U.S. Endangered Species Act in 2016
and our objectives were to determine the most appropriate parameters and
thresholds for monitoring and management action. Capture mark recapture (CMR)
data from 2006 to 2012 were used to estimate population parameters and variances.
We used stochastic population simulations and conditional classification trees to
identify demographic rates for monitoring that would be most indicative of
heighted extinction risk. We then identified thresholds that would be reliable
predictors of population viability. Conditional classification trees indicated
that annual apparent survival rates for adult females averaged over 5 years
([Formula: see text]) was the best predictor of population persistence.
Specifically, population persistence was estimated to be >=95% over 100 years
when [Formula: see text], suggesting that this statistic can be used as threshold
to trigger management intervention. Our evaluation produced monitoring protocols
that reliably predicted population persistence and was cost-effective. We
conclude that population projections and conditional classification trees can be
valuable tools for identifying extinction thresholds used in monitoring programs.
PMID- 29360864
TI - Correction: Aged blood factors decrease cellular responses associated with
delayed gingival wound repair.
AB - [This corrects the article DOI: 10.1371/journal.pone.0184189.].
PMID- 29360865
TI - Cathepsin B aggravates coxsackievirus B3-induced myocarditis through activating
the inflammasome and promoting pyroptosis.
AB - Cathepsin B (CatB) is a cysteine proteolytic enzyme widely expressed in various
cells and mainly located in the lysosomes. It contributes to the pathogenesis and
development of many diseases. However, the role of CatB in viral myocarditis
(VMC) has never been elucidated. Here we generated the VMC model by
intraperitoneal injection of coxsackievirus B3 (CVB3) into mice. At day 7 and day
28, we found CatB was significantly activated in hearts from VMC mice. Compared
with the wild-type mice receiving equal amount of CVB3, genetic ablation of CatB
(Ctsb-/-) significantly improved survival, reduced inflammatory cell
infiltration, decreased serum level of cardiac troponin I, and ameliorated
cardiac dysfunction, without altering virus titers in hearts. Conversely, genetic
deletion of cystatin C (Cstc-/-), which markedly enhanced CatB levels in hearts,
distinctly increased the severity of VMC. Furthermore, compared with the control,
we found the inflammasome was activated in the hearts of wild-type mice with VMC,
which was attenuated in the hearts of Ctsb-/- mice but was further enhanced in
Cstc-/- mice. Consistently, the inflammasome-initiated pyroptosis was reduced in
Ctsb-/- mice hearts and further increased in Cstc-/- mice. These results suggest
that CatB aggravates CVB3-induced VMC probably through activating the
inflammasome and promoting pyroptosis. This finding might provide a novel
strategy for VMC treatment.
PMID- 29360866
TI - Characterization and evaluation of avermectin solid nanodispersion prepared by
microprecipitation and lyophilisation techniques.
AB - Poorly water-soluble and photosensitive pesticide compounds are difficult to
formulate as solvent-free nanoformulations with high efficacy. A avermectin solid
nanodispersion with a mean particle size of 188 nm was developed by
microprecipitation and lyophilisation techniques. The suspensibility and wetting
time of the solid nanodispersion in water were 99.8% and 13 s, respectively,
superior to those of conventional water dispersible granules and wettable
powders. The anti-photolysis performance of the nanoformulation was twice that of
the technical material, and the biological activity against diamondback moths was
more than 1.5 times that of the conventional solid formulations while taking LC
50 as the evaluation index. Moreover, the formulation composition substantially
decreased the surfactant content and avoided organic solvents. Microprecipitation
combined with lyophilisation is an easy and promising method to construct solid
nanoformulations for pesticides with poor water solubility and environmental
sensitivity. The application of the highly effective solid nanodispersion in crop
production will have a great potential in reducing chemical residues and
environmental pollution.
PMID- 29360868
TI - A simple SNP genotyping method reveals extreme invasions of non-native haplotypes
in pale chub Opsariichthys platypus, a common cyprinid fish in Japan.
AB - Biological invasion by non-native subspecies or populations is one of the most
serious threats to ecosystems, because these species might be easily established
in the introduced area and can negatively affect native populations through
competition and hybridization. Pale chub Opsariichthys platypus, one of the most
common fish in East Asia, exhibits clear genetic differentiation among regional
populations; however, introgression and subsequent loss of genetic integrity have
been occurring throughout Japan due to the artificial introduction of non-native
conspecifics. In this study, we developed a simple SNP genotyping method to
discriminate between native and non-native mitochondrial DNA (mtDNA) haplotypes
in pale chub using real-time PCR assay. We then investigated the distribution
patterns of non-native pale chub in Tokai region, located in the center of Honshu
Island, Japan and developed a predictive model of the occurrence of non-natives
to reveal the factors influencing their invasion. The specificity and accuracy of
the genotyping method were confirmed by using samples whose haplotypes were
determined previously. Extensive occurrence of non-native haplotypes in Tokai
region was detected by this method. In addition, our models suggested that the
presence of non-natives varied greatly depending on the river system, and was
positively influenced by the impounded water areas. Our method could accurately
distinguish between native and non-native haplotypes of pale chub in Japan and
suggested key environmental factors associated with the presence of non-natives.
This approach can greatly reduce experimental costs be a great contribution for
quantitative investigation.
PMID- 29360867
TI - The effects of Thalamic Deep Brain Stimulation on speech dynamics in patients
with Essential Tremor: An articulographic study.
AB - Acoustic studies have revealed that patients with Essential Tremor treated with
thalamic Deep Brain Stimulation (DBS) may suffer from speech deterioration in
terms of imprecise oral articulation and reduced voicing control. Based on the
acoustic signal one cannot infer, however, whether this deterioration is due to a
general slowing down of the speech motor system (e.g., a target undershoot of a
desired articulatory goal resulting from being too slow) or disturbed
coordination (e.g., a target undershoot caused by problems with the relative
phasing of articulatory movements). To elucidate this issue further, we here
investigated both acoustics and articulatory patterns of the labial and lingual
system using Electromagnetic Articulography (EMA) in twelve Essential Tremor
patients treated with thalamic DBS and twelve age- and sex-matched controls. By
comparing patients with activated (DBS-ON) and inactivated stimulation (DBS-OFF)
with control speakers, we show that critical changes in speech dynamics occur on
two levels: With inactivated stimulation (DBS-OFF), patients showed coordination
problems of the labial and lingual system in terms of articulatory imprecision
and slowness. These effects of articulatory discoordination worsened under
activated stimulation, accompanied by an additional overall slowing down of the
speech motor system. This leads to a poor performance of syllables on the
acoustic surface, reflecting an aggravation either of pre-existing cerebellar
deficits and/or the affection of the upper motor fibers of the internal capsule.
PMID- 29360869
TI - Sleep patterns and cardiometabolic risk in schoolchildren from Cuenca, Spain.
AB - Sleep seems to have a significant influence on the metabolic syndrome (MetS).
However, results in this association are still inconsistent in children. The aim
of this study was to examine the influence of sleep characteristics in the MetS
(index and factors) in Spanish children. Cross-sectional study including a sample
of 210 children aged 8-to-11-years belonging to 20 schools from the province of
Cuenca, Spain was conducted. Cardiometabolic risk and actigraphy sleep patterns
were determined and analysed using correlation coefficients, ANCOVA models and a
propensity score derivation model. Overall, children in the lower time in bed
category and those who went to bed later (> 23:15h) showed worse values in the
cardiometabolic profile and risk index. Differences were observed when the total
time in bed was below 9h 15mins. Our study shows that short sleep duration could
be a risk factor for cardiometabolic risk in children, and bedtime may
independently influence this risk. In addition, our data suggests that children's
sleep hygiene should be incorporated in parenting educational programs.
PMID- 29360870
TI - Association of maternal KIR gene content polymorphisms with reduction in
perinatal transmission of HIV-1.
AB - The role of killer cell immunoglobulin-like receptors (KIRs) in the transmission
of HIV-1 has not been extensively studied. Here, we investigated the association
of KIR gene content polymorphisms with perinatal HIV-1 transmission. The KIR gene
family comprising 16 genes was genotyped in 313 HIV-1 positive Kenyan mothers
paired with their infants. Gene content polymorphisms were presented as presence
of individual KIR genes, haplotypes, genotypes and KIR gene concordance. The
genetic data were analyzed for associations with perinatal transmission of HIV.
There was no association of infant KIR genes with perinatal HIV-1 transmission.
After adjustment for gravidity, viral load, and CD4 cell count, there was
evidence of an association between reduction in perinatal HIV-1 transmission and
the maternal individual KIR genes KIR2DL2 (adjusted OR = 0.50; 95% CI: 0.24-1.02,
P = 0.06), KIR2DL5 (adjusted OR = 0.47; 95% CI: 0.23-0.95, P = 0.04) and KIR2DS5
(adjusted OR = 0.39; 95% CI: 0.18-0.80, P = 0.01). Furthermore, these maternal
KIR genes were only significantly associated with reduction in perinatal HIV
transmission in women with CD4 cell count >= 350 cells/ MUl and viral load <10000
copies/ml. Concordance analysis showed that when both mother and child had
KIR2DS2, there was less likelihood of perinatal HIV-1 transmission (adjusted OR =
0.44; 95% CI: 0.20-0.96, P = 0.039). In conclusion, the maternal KIR genes
KIR2DL2, KIR2DL5, KIR2DS5, and KIR2DS2 were associated with reduction of HIV-1
transmission from mother to child. Furthermore, maternal immune status is an
important factor in the association of KIR with perinatal HIV transmission.
PMID- 29360871
TI - Dealing with taste and smell alterations-A qualitative interview study of people
treated for lung cancer.
AB - Taste and smell alterations have been recognized as common symptoms in relation
to various cancers. However, previous research suggests that patients do not
receive sufficient support in managing taste and smell alterations. Therefore,
the objective of this study is to investigate how persons with experience from
lung cancer-related taste and smell alterations reason about resources and
strategies offered and used to manage these symptoms. Data from semi-structured
individual interviews with 13 women and four men were analyzed with qualitative
content analysis. We used Kleinman's now classic medical anthropological model of
local health care systems, consisting of the personal, professional, and folk
sector, to interpret and understand how people respond to sickness experiences in
their daily lives. By presenting the findings using this model, we demonstrate
that most strategies for dealing with taste and smell alterations were undertaken
in the personal sector, i.e. in participants' daily lives, on an individual level
and in interaction with family, social networks and communities. Taste and smell
alterations implied two overarching challenges: 1) adjusting to no longer being
able to trust information provided by one's own senses of taste and/or smell, and
2) coming to terms with taste and smell alterations as a part of having lung
cancer. Health care professionals' involvement was described as limited, but
appeared to fulfil most participants' expectations. However, through provision of
normalizing information, practical advice, and to some extent, emotional support,
health care professionals had potential to influence strategies and resources
used for dealing with taste and smell alterations. With this study, we further
the understanding of how people deal with lung cancer-related taste and smell
alterations and discuss the role of health care professionals for this process.
PMID- 29360872
TI - Mobile tablet-based therapies following stroke: A systematic scoping review of
administrative methods and patient experiences.
AB - BACKGROUND AND PURPOSE: Stroke survivors are often left with deficits requiring
rehabilitation to recover function and yet, many are unable to access
rehabilitative therapies. Mobile tablet-based therapies (MTBTs) may be a resource
efficient means of improving access to timely rehabilitation. It is unclear what
MTBTs have been attempted following stroke, how they were administered, and how
patients experienced the therapies. The review summarizes studies of MTBTs
following stroke in terms of administrative methods and patient experiences to
inform treatment feasibility. METHODS: Articles were eligible if they reported
the results of an MTBT attempted with stroke participants. Six research databases
were searched along with grey literature sources, trial registries, and article
references. Intervention administration details and patient experiences were
summarized. RESULTS: The search returned 903 articles of which 23 were eligible
for inclusion. Most studies were small, observational, and enrolled chronic
stroke patients. Interventions commonly targeted communication, cognition, or
fine-motor skills. Therapies tended to be personalized based on patient deficits
using commercially available applications. The complexity of therapy
instructions, fine-motor requirements, and unreliability of internet or cellular
connections were identified as common barriers to tablet-based care. CONCLUSIONS:
Stroke patients responded positively to MTBTs in both the inpatient and home
settings. However, some support from therapists or caregivers may be required for
patients to overcome barriers to care. Feasibility studies should continue to
identify the administrative methods that minimize barriers to care and maximize
patient adherence to prescribed therapy regiments.
PMID- 29360873
TI - Neisseria gonorrhoeae co-infection exacerbates vaginal HIV shedding without
affecting systemic viral loads in human CD34+ engrafted mice.
AB - HIV synergy with sexually transmitted co-infections is well-documented in the
clinic. Co-infection with Neisseria gonorrhoeae in particular, increases genital
HIV shedding and mucosal transmission. However, no animal model of co-infection
currently exists to directly explore this relationship or to bridge the gap in
understanding between clinical and in vitro studies of this interaction. This
study aims to test the feasibility of using a humanized mouse model to overcome
this barrier. Combining recent in vivo modelling advancements in both HIV and
gonococcal research, we developed a co-infection model by engrafting
immunodeficient NSG mice with human CD34+ hematopoietic stem cells to generate
humanized mice that permit both systemic HIV infection and genital N. gonorrhoeae
infection. Systemic plasma and vaginal lavage titres of HIV were measured in
order to assess the impact of gonococcal challenge on viral plasma titres and
genital shedding. Engrafted mice showed human CD45+ leukocyte repopulation in
blood and mucosal tissues. Systemic HIV challenge resulted in 104-105 copies/mL
of viral RNA in blood by week 4 post-infection, as well as vaginal shedding of
virus. Subsequent gonococcal challenge resulted in unchanged plasma HIV levels
but higher viral shedding in the genital tract, which reflects published clinical
observations. Thus, human CD34+ stem cell-transplanted NSG mice represent an
experimentally tractable animal model in which to study HIV shedding during
gonococcal co-infection, allowing dissection of molecular and immunological
interactions between these pathogens, and providing a platform to assess future
therapeutics aimed at reducing HIV transmission.
PMID- 29360874
TI - Disparities in health care outcomes between immigrants and the majority
population in Germany: A trend analysis, 2006-2014.
AB - BACKGROUND: Immigrants often encounter barriers in the health system that may
affect their health care outcomes. In order to better cater to the needs of
immigrants, many health care institutions have increased their efforts in recent
years to provide services which are more sensitive to the needs of an
increasingly diverse population. Little is known about whether these efforts are
successful. This study examines difference in outcomes of tertiary prevention
between immigrants and the autochthonous population in Germany over the period of
2006-2014. METHODS: The analysis is based on a 10% random sample of routine data
on completed tertiary preventive treatments in Germany during 2006-2014. Four
different indicators of treatment effectiveness were compared between patients
with a nationality from Germany, Portugal/Spain/Italy/Greece, Turkey and Former
Yugoslavia using logistic regression adjusted for demographic/socioeconomic
factors. Interaction terms for year were modeled to examine group differences
over time. RESULTS: Depending on the outcome, Turkish and Former Yugoslavian
nationals had an 23%-69% higher chance of a poor treatment effectiveness than
Germans (OR = 1.23 [95%-CI = 1.15,1.32] and OR = 1.69 [95%-CI = 1.55,1.83],
respectively). Fewer differences were observed between nationals from
Portugal/Spain/Italy/Greece and Germans. Disparities did not significantly differ
between the years in which services were utilized. CONCLUSION: Measures
implemented by health care institutions did not reduce existing health care
disparities between immigrants and the majority population in Germany. One
potential reason is that existing approaches are unsystematic and often not
properly evaluated. More targeted strategies and a thorough evaluation is needed
in order to improve health care for immigrants sustainably.
PMID- 29360875
TI - Predictive modeling of emergency cesarean delivery.
AB - OBJECTIVE: To increase discriminatory accuracy (DA) for emergency cesarean
sections (ECSs). STUDY DESIGN: We prospectively collected data on and studied all
6,157 births occurring in 2014 at four public hospitals located in three
different autonomous communities of Spain. To identify risk factors (RFs) for
ECS, we used likelihood ratios and logistic regression, fitted a classification
tree (CTREE), and analyzed a random forest model (RFM). We used the areas under
the receiver-operating-characteristic (ROC) curves (AUCs) to assess their DA.
RESULTS: The magnitude of the LR+ for all putative individual RFs and ORs in the
logistic regression models was low to moderate. Except for parity, all putative
RFs were positively associated with ECS, including hospital fixed-effects and
night-shift delivery. The DA of all logistic models ranged from 0.74 to 0.81. The
most relevant RFs (pH, induction, and previous C-section) in the CTREEs showed
the highest ORs in the logistic models. The DA of the RFM and its most relevant
interaction terms was even higher (AUC = 0.94; 95% CI: 0.93-0.95). CONCLUSION:
Putative fetal, maternal, and contextual RFs alone fail to achieve reasonable DA
for ECS. It is the combination of these RFs and the interactions between them at
each hospital that make it possible to improve the DA for the type of delivery
and tailor interventions through prediction to improve the appropriateness of ECS
indications.
PMID- 29360876
TI - Longitudinal network structure of depression symptoms and self-efficacy in low
income mothers.
AB - Maternal depression was recently conceptualized as a network of interacting
symptoms. Prior studies have shown that low self-efficacy, as an index of
maternal functioning, is one important source of stress that worsens depression.
We have limited information, however, on the specific relationships between
depression symptoms and self-efficacy. In this study, we used regularized partial
correlation networks to explore the multivariate relationships between maternal
depression symptoms and self-efficacy over time. Depressed mothers (n = 306)
completed the Center for Epidemiological Studies Depression (CES-D) scale at four
time points, between four and eight weeks apart. We estimated (a) the network
structure of the 20 CES-D depression symptoms and self-efficacy for each time
point, (b) determined the centrality or structural importance of all variables,
and (c) tested whether the network structure changed over time. In the resulting
networks, self-efficacy was mostly negatively connected with depression symptoms.
The strongest relationships among depression symptoms were 'lonely-sleep
difficulties' and 'inability to get going-crying'. 'Feeling disliked' and
'concentration difficulty' were the two most central symptoms. In comparing the
network structures, we found that the network structures were moderately stable
over time. This is the first study to investigate the network structure and their
temporal stability of maternal depression symptoms and self-efficacy in low
income depressed mothers. We discuss how these findings might help future
research to identify clinically relevant symptom-to-symptom relationships that
could drive maternal depression processes, and potentially inform tailored
interventions. We share data and analytical code, making our results fully
reproducible.
PMID- 29360877
TI - Biotin-tagged proteins: Reagents for efficient ELISA-based serodiagnosis and
phage display-based affinity selection.
AB - The high-affinity interaction between biotin and streptavidin has opened avenues
for using recombinant proteins with site-specific biotinylation to achieve
efficient and directional immobilization. The site-specific biotinylation of
proteins carrying a 15 amino acid long Biotin Acceptor Peptide tag (BAP; also
known as AviTag) is effected on a specific lysine either by co-expressing the E.
coli BirA enzyme in vivo or by using purified recombinant E. coli BirA enzyme in
the presence of ATP and biotin in vitro. In this paper, we have designed a T7
promoter-lac operator-based expression vector for rapid and efficient cloning,
and high-level cytosolic expression of proteins carrying a C-terminal BAP tag in
E. coli with TEV protease cleavable N-terminal deca-histidine tag, useful for
initial purification. Furthermore, a robust three-step purification pipeline
integrated with well-optimized protocols for TEV protease-based H10 tag removal,
and recombinant BirA enzyme-based site-specific in vitro biotinylation is
described to obtain highly pure biotinylated proteins. Most importantly, the
paper demonstrates superior sensitivities in indirect ELISA with directional and
efficient immobilization of biotin-tagged proteins on streptavidin-coated
surfaces in comparison to passive immobilization. The use of biotin-tagged
proteins through specific immobilization also allows more efficient selection of
binders from a phage-displayed naive antibody library. In addition, for both
these applications, specific immobilization requires much less amount of protein
as compared to passive immobilization and can be easily multiplexed. The
simplified strategy described here for the production of highly pure biotin
tagged proteins will find use in numerous applications, including those, which
may require immobilization of multiple proteins simultaneously on a solid
surface.
PMID- 29360878
TI - Neighbourhood disadvantage, geographic remoteness and body mass index among
immigrants to Australia: A national cohort study 2006-2014.
AB - Obesity is socioeconomically, geographically and ethnically patterned.
Understanding these elements of disadvantage is vital in understanding population
obesity trends and the development of effective and equitable interventions. This
study examined the relationship between neighbourhood socioeconomic disadvantage
and geographic remoteness with prospective trends in mean body mass index (BMI)
among immigrants to Australia. Longitudinal data (2006-2014) from a national
panel survey of Australian adults was divided into an immigrant-only sample (n =
4,293, 52.6% women and 19,404 person-year observations). The data were analysed
using multi-level random effects linear regression modelling that controlled for
individual socioeconomic and demographic factors. Male immigrants living in the
most disadvantaged neighbourhoods had significantly higher mean BMI compared with
those living in the least disadvantaged. Over time, mean BMI increased for all
groups except for men living in the least disadvantaged neighbourhoods, for whom
mean BMI remained almost static (0.1 kg/m2 increase from 2006 to 2014),
effectively widening neighbourhood inequalities. Among women, mean BMI was also
significantly higher in the most compared with the least, disadvantaged
neighbourhoods (beta = 2.08 kg/m2; 95%CI: 1.48, 2.68). Neighbourhood inequalities
were maintained over time as mean BMI increased for all groups at a similar rate.
Male and female immigrants residing in outer regional areas had significantly
higher mean BMI compared with those living in major cities; however, differences
were attenuated and no longer significant following adjustment for ethnicity,
individual socioeconomic position and neighbourhood disadvantage. Over time, mean
BMI increased in all male and female groups with no differences based on
geographic remoteness. Obesity prevention policy targeted at immigrant cohorts
needs to include area-level interventions that address inequalities in BMI
arising from neighbourhood disadvantage, and be inclusive of immigrants living
outside Australia's major cities.
PMID- 29360879
TI - Different outcome of sarcoglycan missense mutation between human and mouse.
AB - Sarcoglycanopathies are rare autosomic limb girdle muscular dystrophies caused by
mutations in one of the genes coding for sarcoglycan (alpha, beta, delta, and
gamma-sarcoglycans). Sarcoglycans form a complex, which is an important part of
the dystrophin-associated glycoprotein complex that protects sarcolemma against
muscle contraction-induced damages. Absence of one of the sarcoglycan at the
plasma membrane induces the disappearance of the whole complex and perturbs
muscle fiber membrane integrity. We previously demonstrated that point mutations
in the human sarcoglycan genes affects the folding of the corresponding protein,
which is then retained in the endoplasmic reticulum by the protein quality
control and prematurely degraded by the proteasome. Interestingly, modulation of
the quality control using pharmacological compounds allowed the rescue of the
membrane localization of the mutated sarcoglycan. Two previously generated mouse
models, knock-in for the most common sarcoglycan mutant, R77C alpha-sarcoglycan,
failed in reproducing the dystrophic phenotype observed in human patients. Based
on these results and the need to test therapies for these fatal diseases, we
decided to generate a new knock-in mouse model carrying the missense mutation
T151R in the beta-sarcoglycan gene since this is the second sarcoglycan protein
with the most frequently reported missense mutations. Muscle analysis, performed
at the age of 4 and 9-months, showed the presence of the mutated beta-sarcoglycan
protein and of the other components of the dystrophin-associated glycoprotein
complex at the muscle membrane. In addition, these mice did not develop a
dystrophic phenotype, even at a late stage or in condition of stress-inducing
exercise. We can speculate that the absence of phenotype in mouse may be due to a
higher tolerance of the endoplasmic reticulum quality control for amino-acid
changes in mice compared to human.
PMID- 29360881
TI - Healthcare professionals' views of the experiences of individuals living with
Crohn's Disease in Spain. A qualitative study.
AB - Crohn's Disease (CD) in Spain lacks of a unified National Clinical Pathway and
not even any early detection program and professional follow-up outpatient
attention once it has been diagnosed. Little is known about the Spanish health
professionals' views of the experiences of individuals living with Crohn's
Disease nationwide and also about how the Spanish Health System faces this
situation. A qualitative research method was conducted to explore this topic
through in-depth interviews with eleven healthcare professionals, who represented
different clinics treating people with CD from the province of Alicante (Spain).
Three topics and seven sub-topics were derived from the analysis of the content
emerging from the interviews. The three main topics were: the healthcare system
as a hindrance for ongoing treatment of CD, the impact of the disease, support
networks. The knowledge of CD gained by healthcare professionals, in the contexts
studied here within, with regards to the psychosocial aspects and the experience
of those living with the disease and their immediate circles, is poor, if not
null on an academic level, becoming experiential on their incorporation into the
professional field. Additionally, a priori, they lack the tools to address the
doubts and concerns of patients from the moment of diagnosis through the ongoing
care of the patient. Organizational hindrances, such as the lack of time and
consensual guidelines for adequately monitoring CD patients in Alicante (Spain),
further restrict the patient-professional relationship. Due to the consensus
established by the National Agency regulating the contents of the Health
Professions' Education and Training across the country, we are assuming that the
phenomenon highlighted may be similar in other parts of Spain. Therefore, it can
be said that healthcare professionals have a limited understanding of the impact
of CD on the day-to-day life of those affected, not being considered a part of
the CD patients' formal support network. Nonetheless, they are conscious of this
limitation and advocate for multidisciplinary teams as the best means of
attending to people living with CD. Our study outcomes may represent the first
step onto identifying strategies and best practices for establishing an effective
therapeutic relationship, as well as any hindering factors.
PMID- 29360880
TI - What's the risk? Identifying potential human pathogens within grey-headed flying
foxes faeces.
AB - Pteropus poliocephalus (grey-headed flying foxes) are recognised vectors for a
range of potentially fatal human pathogens. However, to date research has
primarily focused on viral disease carriage, overlooking bacterial pathogens,
which also represent a significant human disease risk. The current study applied
16S rRNA amplicon sequencing, community analysis and a multi-tiered database OTU
picking approach to identify faecal-derived zoonotic bacteria within two colonies
of P. poliocephalus from Victoria, Australia. Our data show that sequences
associated with Enterobacteriaceae (62.8% +/- 24.7%), Pasteurellaceae (19.9% +/-
25.7%) and Moraxellaceae (9.4% +/- 11.8%) dominate flying fox faeces. Further
colony specific differences in bacterial faecal colonisation patterns were also
identified. In total, 34 potential pathogens, representing 15 genera, were
identified. However, species level definition was only possible for Clostridium
perfringens, which likely represents a low infectious risk due to the low
proportion observed within the faeces and high infectious dose required for
transmission. In contrast, sequences associated with other pathogenic species
clusters such as Haemophilus haemolyticus-H. influenzae and Salmonella bongori-S.
enterica, were present at high proportions in the faeces, and due to their
relatively low infectious doses and modes of transmissions, represent a greater
potential human disease risk. These analyses of the microbial community
composition of Pteropus poliocephalus have significantly advanced our
understanding of the potential bacterial disease risk associated with flying
foxes and should direct future epidemiological and quantitative microbial risk
assessments to further define the health risks presented by these animals.
PMID- 29360882
TI - Lack of sleep is associated with internet use for leisure.
AB - OBJECTIVE: Previous studies have suggested that excessive internet use may cause
lack of sleep. However, recent studies have hypothesized that lack of sleep may
instigate internet use for leisure. To elucidate the potential effects of sleep
time on internet use, we explored the different associations between sleep time
and internet use according to its purpose. METHODS: The population-based, cross
sectional study group from the Korea Youth Risk Behavior Web-based Survey
(KYRBWS) collected data from 57,425 middle school students in 2014 and 2015.
Sleep time over the past 7 days was classified into the following groups: < 7 h
(6 h); >= 7 h, < 8 h (7 h); >= 8 h, < 9 h (8 h); and >= 9 h (9+ h). Internet use
time per day was separately surveyed for leisure and for study and categorized as
follows: 0 h; > 0 h, <= 1 h (1 h); > 1 h, <= 2 h (2 h); and > 2 h (2+ h) per day.
Information on age, sex, region of residence, body mass index (BMI), economic
level, parental education level, stress level, school performance level, and
sleep satisfaction were retrieved. The relationships between sleep time and
internet use time for leisure/study were analyzed using multinomial logistic
regression with complex sampling. In the subgroup analysis according to sleep
satisfaction (good, normal, and poor), the associations of sleep time with
internet use for leisure were analyzed using the same methods. RESULTS: Compared
to 9+ h of sleep, less sleep was related to a long internet use time (2+ h) for
leisure (adjusted odds ratio, AOR [95% confidence interval, CI] of sleep: 8 h =
1.23 [1.14-1.32]; 7 h = 1.42 [1.31-1.54]; and 6 h = 1.56 [1.44-1.70]; P < 0.001).
Conversely, a relationship between less sleep and a long internet use time (2+ h)
for study was evident only for 6 h of sleep (AOR of sleep: 8 h = 0.84 [0.84
1.04]; 7 h = 1.05 [0.94-1.17]; and 6 h = 1.32 [1.27-1.59]; P < 0.001). In the
subgroup analysis according to sleep satisfaction, less sleep was associated with
a long internet use time for leisure in all sleep satisfaction groups, although
the relationship was more significant in the lower sleep satisfaction group.
CONCLUSION: Less sleep was significantly related to long-term use of the internet
for leisure, whereas this association was not definite for internet use for
study. Furthermore, poor sleep quality potentiated the relationship between less
sleep time and internet use for leisure.
PMID- 29360884
TI - Relationship between socioeconomic status and gastrointestinal infections in
developed countries: A systematic review and meta-analysis.
AB - BACKGROUND: The association between socioeconomic status (SES) and health is well
documented; however limited evidence on the relationship between SES and
gastrointestinal (GI) infections exists, with published studies producing
conflicting results. This systematic review aimed to assess the association
between SES and GI infection risk, and explore possible sources of heterogeneity
in effect estimates reported in the literature. METHODS: MEDLINE, Scopus, Web of
Science and grey literature were searched from 1980 to October 2015 for studies
reporting an association between GI infections and SES in a representative
population sample from a member-country of the Organisation for Economic Co
operation and Development. Harvest plots and meta-regression were used to
investigate potential sources of heterogeneity such as age; level of SES
variable; GI infection measurement; and predominant mode of transmission. The
protocol was registered on PROSPERO: CRD42015027231. RESULTS: In total, 6021
studies were identified; 102 met the inclusion criteria. Age was identified as
the only statistically significant potential effect modifier of the association
between SES and GI infection risk. For children, GI infection risk was higher for
those of lower SES versus high (RR 1.51, 95% CI;1.26-1.83), but there was no
association for adults (RR 0.79, 95% CI;0.58-1.06). In univariate analysis, the
increased risk comparing low and high SES groups was significantly higher for
pathogens spread by person-to-person transmission, but lower for environmental
pathogens, as compared to foodborne pathogens. CONCLUSIONS: Disadvantaged
children, but not adults, have greater risk of GI infection compared to their
more advantaged counterparts. There was high heterogeneity and many studies were
of low quality. More high quality studies are needed to investigate the
association between SES and GI infection risk, and future research should
stratify analyses by age and pathogen type. Gaining further insight into this
relationship will help inform policies to reduce inequalities in GI illness in
children.
PMID- 29360885
TI - Purinergic signaling in B cells.
AB - Adenosine and adenosine triphosphate are involved in purinergic signaling which
plays an important role in control of the immune system. Much data have been
obtained regarding impact of purinergic signaling on dendritic cells,
macrophages, monocytes and T lymphocytes, however less attention has been paid to
purinergic regulation of B cells. This review summarizes present knowledge on ATP
and Ado-dependent signaling in B lymphocytes. Human B cells have been shown to
express A1-AR, A2A-AR, A2B-AR and A3-AR and each subtype of P2 receptors. Surface
of B cells exhibits two antagonistic ectoenzymatic pathways, one relies on
constitutive secretion and resynthesis of ATP, while the second one depends on
degradation of adenosine nucleotides to nucleosides and their subsequent
degradation. Inactivated B cells remain under the suppressive impact of autocrine
and paracrine Ado, whereas activated B lymphocytes increase ATP release and
production. ATP protects B cells from Ado-induced suppression and exerts pro
inflammatory effect on the target tissues, and it is also involved in the IgM
release. On the other hand, Ado synthesis is necessary for optimal development,
implantation and maintenance of the plasmocyte population in bone marrow in the
course of the primary immune response. Moreover, Ado plays an important role in
immunoglobulin class switching, which is a key mechanism of humoral immune
response. Disruption of purinergic signaling leads to severe disorders.
Impairment of Ado metabolism is one of the factors responsible for common
variable immunodeficiency. There are several lines of evidence that dysfunction
of the immune system observed during diabetes may in part depend on disrupted ATP
and Ado metabolism in the B cells.
PMID- 29360883
TI - Mucosal immunization with PspA (Pneumococcal surface protein A)-adsorbed
nanoparticles targeting the lungs for protection against pneumococcal infection.
AB - Burden of pneumonia caused by Streptococcus pneumoniae remains high despite the
availability of conjugate vaccines. Mucosal immunization targeting the lungs is
an attractive alternative for the induction of local immune responses to improve
protection against pneumonia. Our group had previously described the development
of poly(glycerol adipate-co-omega-pentadecalactone) (PGA-co-PDL) polymeric
nanoparticles (NPs) adsorbed with Pneumococcal surface protein A from clade 4
(PspA4Pro) within L-leucine microcarriers (nanocomposite microparticles-NCMPs)
for mucosal delivery targeting the lungs (NP/NCMP PspA4Pro). NP/NCMP PspA4Pro was
now used for immunization of mice. Inoculation of this formulation induced anti
PspA4Pro IgG antibodies in serum and lungs. Analysis of binding of serum IgG to
intact bacteria showed efficient binding to bacteria expressing PspA from clades
3, 4 and 5 (family 2), but no binding to bacteria expressing PspA from clades 1
and 2 (family 1) was observed. Both mucosal immunization with NP/NCMP PspA4Pro
and subcutaneous injection of the protein elicited partial protection against
intranasal lethal pneumococcal challenge with a serotype 3 strain expressing PspA
from clade 5 (PspA5). Although similar survival levels were observed for mucosal
immunization with NP/NCMP PspA4Pro and subcutaneous immunization with purified
protein, NP/NCMP PspA4Pro induced earlier control of the infection. Conversely,
neither immunization with NP/NCMP PspA4Pro nor subcutaneous immunization with
purified protein reduced bacterial burden in the lungs after challenge with a
serotype 19F strain expressing PspA from clade 1 (PspA1). Mucosal immunization
with NP/NCMP PspA4Pro targeting the lungs is thus able to induce local and
systemic antibodies, conferring protection only against a strain expressing PspA
from the homologous family 2.
PMID- 29360886
TI - Multinucleate cell angiohistiocytoma.
AB - Multinucleate cell angiohistiocytoma (MCAH) is a rare cutaneous entity described
as grouped erythematous to violaceous papules. Histopathologic findings include
vascular proliferations with multinucleate giant cells and dermal fibrosis. We
report a case of MCAH in an 83-year-old white man affecting both the right
anterior thigh and left posterior calf. Additionally, the pathogenesis of MCAH
and different therapeutic modalities are reviewed.
PMID- 29360887
TI - The h-index for associate and full professors of dermatology in the United
States: an epidemiologic study of scholastic production.
AB - Promotion in academic dermatology requires evidence of scholastic production. The
h-index is a bibliometric measure that combines both volume and impact of
scientific contributions. Its calculation better predicts future scientific
success than do publication or citation counts. In this epidemiologic survey of
associate and full professors of dermatology in residency training programs in
the United States, we measured mean and median h-indices among associate and full
professors as well as regional differences in h-index. These findings could be
used to track individual achievement and as a parameter in considering an
individual for professional advancement in dermatology.
PMID- 29360888
TI - Direct and indirect patient costs of dermatology clinic visits and their impact
on access to care and provider preference.
AB - The direct and indirect costs of dermatology clinic visits are infrequently
quantified. Indirect costs, such as the time spent traveling to and from
appointments and the value of lost earnings from time away from work, are
substantial costs that often are not included in economic analyses but may pose
barriers to receiving care. Due to the national shortage of dermatologists,
patients may have to wait longer for appointments or travel further to see
dermatologists outside of their local community, resulting in high time and
travel costs for patients. Patients' lost time and earnings comprise the
opportunity cost of obtaining care. A monetary value for this opportunity cost
can be calculated by multiplying a patient's hourly wage by the number of hours
that the patient dedicated to attending the dermatology appointment. Using a
single institution survey, this study quantified the direct and indirect patient
costs, including opportunity costs and time burden, associated with dermatology
clinic visits to better appreciate the impact of these factors on health care
access and dermatologic provider preference.
PMID- 29360889
TI - Student loan burden and its impact on career decisions in dermatology.
AB - Dermatology departments in the United States face difficulties in recruiting
dermatologists to academic positions, raising concerns for the future of
dermatology education and research. This preliminary study aimed to explore the
impact of student loan burden on career plans in dermatology and to determine if
the Public Service Loan Forgiveness (PSLF) program can be used as a recruitment
tool for academic positions in dermatology. Results from this electronic survey,
which was distributed to dermatology residents and attending physicians, revealed
that debt burden may influence career decisions in dermatology. Dermatologists
may not be fully educated on loan repayment options. With increased awareness,
the PSLF can potentially be used as a recruitment tool for academic positions in
dermatology.
PMID- 29360890
TI - Lichen planus pemphigoides treated with ustekinumab.
AB - A 71-year-old woman presented with pink to violaceous, flat-topped, polygonal
papules on the volar wrists, extensor elbows, and bilateral lower legs of 3
years' duration. She also had erythematous, violaceous, infiltrated plaques with
microvesiculation on the bilateral thighs of several months' duration. She
reported pruritus, burning, and discomfort. Her medical history included type 2
diabetes mellitus, hypertension, and asthma with no history of skin rashes.
Workup revealed lichen planus pemphigoides (LPP), a rare papulosquamous and
vesiculobullous dermatosis that shares features of both lichen planus (LP) and
bullous pemphigoid (BP). Despite multiple traditional therapies, her disease
continued to progress, further developing mucosal disease. After a review of the
literature on LP, BP, and LPP, it was noted that tumor necrosis factor alpha (TNF
alpha), along with other cytokines, plays a pivotal role in all 3 diseases. After
several conventional systemic therapies failed, we treated our patient with
ustekinumab with favorable results.
PMID- 29360891
TI - Pediatric nevoid basal cell carcinoma syndrome.
AB - Nevoid basal cell carcinoma syndrome (NBCCS) is a rare, autosomal-dominant,
cancer-predisposing, multisystem disorder. The clinical manifestations of NBCCS
include multiple basal cell carcinomas (BCCs), odontogenic keratocysts, palmar or
plantar pits, and calcification of the falx cerebri. We present a case of an 11
year-old boy with Fitzpatrick skin type V who presented with multiple facial
lesions and a history of maxillary keratocysts. Skin biopsy was consistent with
pigmented BCC of the right nasolabial fold. Further clinical workup revealed
multiple pigmented BCCs, palmoplantar pits, and calcification of the tentorium.
Genetic testing revealed a heterozygous mutation in the patched 1 gene, PTCH1,
consistent with NBCCS. This case highlights the treatment considerations in
pediatric cases of NBCCS in Fitzpatrick skin type V patients.
PMID- 29360892
TI - Long-term pubic dermatitis diagnosed as white piedra.
AB - The case of a 58-year-old man with a pruritic rash involving the pubic area that
had been undiagnosed for 30 years is presented. At least 15 different primary
care physicians and dermatologists evaluated the patient during this time period.
Multiple treatments were unsuccessful and a definitive diagnosis was not
rendered. Wood lamp evaluation of the pubic area revealed hair shaft concretions
that were confirmed on histologic evaluation to be white piedra (WP). The patient
was successfully treated with topical ketoconazole and the eruption completely
resolved. Our case raises awareness of the use of Wood lamp and dermoscopy to
evaluate for parasitic infections of the pubic hair shafts when nonspecific
dermatitis presents in this area.
PMID- 29360893
TI - Sjogren-Larsson syndrome: definitive diagnosis on magnetic resonance
spectroscopy.
AB - Sjogren-Larsson syndrome (SLS) is a rare autosomal-recessive neurocutaneous
disorder comprising a triad of ichthyosis, mental retardation, and spastic
diplegia or quadriplegia. It has rarely been reported in Asian and Indian
populations. We report the case of an Indian patient with SLS who presented with
the classical clinical triad and demonstrated characteristic findings on magnetic
resonance spectroscopy. In resource-restricted settings where enzymatic and
genetic analyses are not available, magnetic resonance spectroscopy serves as a
useful adjunct in confirming the diagnosis of SLS.
PMID- 29360894
TI - Diversity in the dermatology workforce: 2017 status update.
PMID- 29360895
TI - Cordlike dermal plaques and nodules on the neck and hands.
PMID- 29360896
TI - Indurated plaque on the eyebrow.
PMID- 29360897
TI - The effects of sunscreen on marine environments.
PMID- 29360898
TI - Approach to treatment of medical and cosmetic facial concerns in skin of color
patients.
AB - Facial concerns in skin of color (SOC) patients vary and can be a source of
emotional and psychological distress. This article discusses 4 common facial
concerns in SOC patients: acne, rosacea, facial hyperpigmentation, and cosmetic
enhancement. Treatment recommendations are provided as well as management pearls.
PMID- 29360899
TI - Pediatric periorificial dermatitis.
AB - Periorificial dermatitis (POD) has been documented in the pediatric population in
patients as young as 3 months, with a slight predominance in girls compared to
boys. Many patients have a personal or family history of atopic disorders.
Periorificial dermatitis typically presents with erythematous to flesh-colored
papules and rarely pustules near the eyes, nose, and mouth. Although the etiology
is unknown, many patients have had recent exposure to a topical or less commonly
an inhaled or systemic corticosteroid. Although steroids may initially control
the skin lesions, disease often rebounds after discontinuing therapy. Diagnosis
of POD is clinical. Laboratory tests are not helpful in making the diagnosis, and
the histology of POD resembles rosacea. It is important to rule out other
acneform diagnoses based on the age of the patient, clinical history, and
presentation of the lesions. Topical metronidazole has been successful in the
pediatric population. For pediatric patients with extrafacial skin lesions or
more severe disease, oral antibiotics such as tetracycline, doxycycline,
minocycline, azithromycin, and erythromycin can be used, depending on the age of
the patient.
PMID- 29360900
TI - What's eating you? head lice (Pediculus humanus capitis).
AB - The head louse (Pediculus humanus capitis) is a blood-sucking arthropod of the
suborder Anoplura. Infestation continues in epidemic proportions in children of
all socioeconomic groups. Although not implicated as a disease vector,
infestation can lead to considerable distress, missed days of school, and
secondary infections. Pyrethroids are recommended for treatment, but resistance
is common. Newer agents, including benzyl alcohol and spinosad, have been
developed to address this gap in care.
PMID- 29360901
TI - Red patches on a newborn.
PMID- 29360902
TI - Genital ulcers and swelling in an adolescent girl.
PMID- 29360903
TI - Richner-Hanhart syndrome (tyrosinemia type II).
PMID- 29360904
TI - Pseudomyogenic hemangioendothelioma.
AB - Pseudomyogenic hemangioendothelioma (PMHE) is a rare vascular tumor that was
added to the World Health Organization classification of soft tissue tumors.
These tumors have a unique clinical presentation and microscopic appearance as
compared to other vascular tumors in the differential diagnosis. Unlike its
microscopic mimicker epithelioid sarcoma, PMHE rarely metastasizes and long-term
survival in affected patients is excellent. In this report, we present a patient
with PMHE and review the current literature on clinical presentation and
histologic differentiation of this unique tumor, comparing findings to its
mimickers.
PMID- 29360905
TI - Disfiguring ulcerative neutrophilic dermatosis secondary to doxycycline and
isotretinoin in an adolescent boy with acne conglobata.
AB - Acne fulminans is an uncommon and debilitating disease that presents as an acute
eruption of nodular and ulcerative acne lesions in association with systemic
symptoms. It occurs commonly during treatment of severe acne (eg, acne
conglobata) with isotretinoin in young adolescent male patients. Isotretinoin and
doxycycline also can potentially induce development of neutrophilic dermatoses in
patients with severe acne lesions, which are characterized by the acute
appearance of painful ulcerative papulonodules accompanied by systemic symptoms
including fever and leukocytosis. We report a challenging case of a 13-year-old
adolescent boy who acutely developed hundreds of ulcerative plaques as well as
systemic symptoms after being treated with doxycycline and isotretinoin for acne
conglobata. He was treated with prednisone, dapsone, and colchicine and had to
switch to cyclosporine to achieve relief from his condition.
PMID- 29360906
TI - Pediatric leg ulcers: going out on a limb for the diagnosis.
AB - This article exhibits the most common differential diagnoses for pediatric leg
ulcers and contrasts the etiologies with the adult population. The diagnoses are
further categorized into hematologic, infectious, genodermatoses, and autoimmune
etiologies to help the dermatologist deduce the accurate diagnosis in this unique
patient population.
PMID- 29360907
TI - Lichen planus and lichenoid drug eruption after vaccination.
AB - Lichen planus (LP) and lichenoid drug eruptions (LDEs) uncommonly occur after
vaccination, especially for hepatitis B and influenza. The key initiating event
that leads to the development of postimmunization LP or LDE is not well
understood. There have been prior reports of an association between several
vaccines and LP. In this study, we aim to characterize and review cases of LP and
LDE after vaccination from the Vaccine Adverse Event Reporting System (VAERS)
national database in the United States. Information on vaccine-associated LP and
LDE was retrieved from the database (July 1990 to November 2014) to examine the
frequency of LP or LDE after vaccination. Hepatitis B, influenza, and herpes
zoster vaccines were the 3 most commonly associated vaccines. Patients with LP or
LDE were significantly older compared to the reported adverse events (AEs)
overall (P<.001). The median age of onset for LP and LDE was 47 years. The median
time of onset of AEs was 14 days. It is important to obtain recent vaccination
history in patients presenting with new-onset LP or LDE.
PMID- 29360913
TI - Commentary on: Application of a Porous Polyethylene Spreader Graft for Nasal
Lengthening in Asian Patients.
PMID- 29360914
TI - RAISEing VEGF-D's importance as predictive biomarker for ramucirumab in
metastatic colorectal cancer patients.
PMID- 29360916
TI - Seroma Rates Are Not Increased When Combining Liposuction With Progressive
Tension Suture Abdominoplasty: A Retrospective Cohort Study of 619 Patients.
AB - Background: Several studies have demonstrated that progressive tension sutures
(PTS) reduce seroma. Many fear that adding liposuction to abdominoplasty will
increase seroma rates and avoid drainless abdominoplasty when performing
concomitant liposuction. Objectives: We sought to identify whether liposuction
increases seroma in PTS and non-PTS abdominoplasty. Methods: In this
retrospective study, 619 patients underwent abdominoplasty between 2009 and 2017,
of which 299 patients had drainless abdominoplasty with PTS and 320 had drain
based abdominoplasty. We compared complications among PTS patients with and
without liposuction and among drain-based abdominoplasty patients with and
without liposuction. Results: Demographics were similar between PTS and drain
patients and between liposuction and nonliposuction PTS. Mean liposuction volume
with PTS was 1592 +/- 1048 mL. Seroma in the PTS group was found to be 2.6%,
which is consistent with previous data. PTS without liposuction had a rate of
seroma of 6.67% compared to a rate of 2.2% with liposuction; these rates were not
significantly different (P = 0.20). A total of 207 patients had drain-based
abdominoplasty with liposuction, and 113 had it without liposuction. Seroma with
liposuction was 9.17% and without liposuction was 6.19%, although these
differences were not significant (P = 0.52). PTS lipoabdominoplasty had less
seroma compared with drain-based lipoabdominoplasty (P = 0.01). Conclusions:
Liposuction was performed in 80% of the patients, and patients with
lipoabdominoplasty were not at a higher risk of seroma, in the drain group or the
PTS group. More patients may allow validation that liposuction may actually be
protective with PTS. Regardless, there is no increase in seroma with the addition
of liposuction to PTS drainless abdominoplasty. Level of Evidence 3:
PMID- 29360915
TI - The Effects of eGFR Change on CVD, Renal, and Mortality Outcomes in a
Hypertensive Cohort Treated With 3 Different Antihypertensive Medications.
AB - BACKGROUND: Impaired renal function is a risk factor for cardiovascular disease,
end-stage renal disease (ESRD), and mortality. The impact of short-term renal
function decline on outcomes is less well studied. The association of
antihypertensive medications with the impact of short-term estimated glomerular
filtration rate (eGFR) decline is not known. METHODS: We examined 20,207
hypertensive participants with baseline and 2-year creatinine levels from which
eGFR changes were estimated. The associations between eGFR change with incident
coronary heart disease (CHD), stroke, heart failure (HF), all-cause mortality,
and ESRD during 2.9 years of in-trial follow up, and with mortality during in
trial and post-trial follow-up (7.6 years), were studied. Results were assessed
by primary hypertension (HTN) treatment (chlorthalidone, lisinopril, and
amlodipine) and adjusted for baseline eGFR levels. RESULTS: In the short run, an
eGFR decline below the cohort median (-1.28 ml/minute/1.73 m2/2 years) vs. above
the median, or a 5 ml/min/1.73 m2/year decline vs. no decline, was associated
with significant hazard risk for CHD (1.06-1.28), HF (1.24-1.91), ESRD (2.84
6.01), and mortality (1.08-1.19), but not with stroke risk. In the long term,
there was a significant association with mortality (1.11-1.34). Interaction terms
for outcomes by antihypertensive treatments were not statistically significant
except for ESRD between amlodipine vs. chlorthalidone (hazard ratio: 3.17 [2.59,
3.88] vs. 2.41 [1.98, 2.97]; P interaction = 0.005) for a 5 ml/min/1.73 m2/year
eGFR decline. CONCLUSION: Decline in eGFR over 2 years is associated with
increased risk of clinical outcomes beyond the effects of baseline eGFR. These
risks were the same irrespective of the primary medication used to treat HTN.
PMID- 29360917
TI - ESMO-MCBS v1.1: statistical and patient-relevant shortcomings.
PMID- 29360918
TI - Effect of aperture number on pollen germination, survival and reproductive
success in Arabidopsis thaliana.
AB - Background and Aims: Pollen grains of flowering plants display a fascinating
diversity of forms, including diverse patterns of apertures, the specialized
areas on the pollen surface that commonly serve as the sites of pollen tube
initiation and, therefore, might play a key role in reproduction. Although many
aperture patterns exist in angiosperms, pollen with three apertures
(triaperturate) constitutes the predominant pollen type found in eudicot species.
The aim of this study was to explore whether having three apertures provides
selective advantages over other aperture patterns in terms of pollen survival,
germination and reproductive success, which could potentially explain the
prevalence of triaperturate pollen among eudicots. Methods: The in vivo pollen
germination, pollen tube growth, longevity and competitive ability to sire seeds
were compared among pollen grains of Arabidopsis thaliana with different aperture
numbers. For this, an arabidopsis pollen aperture series was used, which included
the triaperturate wild type, as well as mutants without an aperture
(inaperturate) and with more than three apertures. Key Results: Aperture number
appears to influence pollen grain performance. In most germination and longevity
experiments, the triaperturate and inaperturate pollen grains performed better
than pollen with higher aperture numbers. In mixed pollinations, in which
triaperturate and inaperturate pollen were forced to compete with each other, the
triaperturate pollen outperformed the inaperturate pollen. Conclusions:
Triaperturate pollen grains might provide the best trade-off among various pollen
performance traits, thus explaining the prevalence of this morphological trait in
the eudicot clade.
PMID- 29360919
TI - Genomic medicine France 2025.
PMID- 29360920
TI - EVITA-a double-blind, vehicle-controlled, randomized phase II trial of vitamin K1
cream as prophylaxis for cetuximab-induced skin toxicity.
AB - Background: Acne-like skin rash is a frequently occurring adverse event
associated with drugs against the epidermal growth factor receptor. This
randomized vehicle-controlled study investigated the addition of vitamin K1 cream
to doxycycline in patients with metastatic colorectal cancer treated with
cetuximab. Patients and methods: Patients receiving first-line cetuximab +
FOLFIRI were randomly assigned to prophylactic treatment with doxycylin and
vitamin K1 cream or doxycycline and the vehicle. The primary end point of the
study was the incidence of grade >= 2 skin rash (NCI CTCAE version 4.02) during 8
weeks of skin treatment. Secondary end points comprised skin rash according to a
more thorough tripartite skin toxicity score (WoMo), quality of life, efficacy,
and compliance. The study had 80% power to show a 20% reduction of the incidence
of grade >= 2 skin rash. Results: A total of 126 patients were analyzed. The
incidence of skin rash grade >= 2 was comparable between the arms. Likewise, no
difference was seen in the WoMo score with respect to the percentage of skin
affected. However, starting in week 5 and increasing over time patients treated
with vitamin K1 cream had less severe rash and fewer fissures. Quality of life as
well as efficacy and compliance with study medication and anticancer treatment
was comparable in both arms. Conclusion: The primary end point of decreasing
grade >= 2 skin rash was not met. However, using vitamin K1 cream as part of
prophylactic treatment decreased the severity of acne-like skin rash according to
WoMo, an alternative and more thorough skin toxicity scoring tool.
PMID- 29360921
TI - Recommendations for the clinical management of the elderly patient with malignant
lymphoma.
PMID- 29360922
TI - Salvage of Implant-Based Breast Reconstruction in Nipple-Sparing Mastectomies
With Autologous Flaps.
AB - Background: Implant-based breast reconstruction (IBR) after nipple-sparing
mastectomies (NSM) can have complications that require explantation of a tissue
expander or permanent prosthesis. When complications occur, preservation of the
nipple-areola complex (NAC) remains critical to ensure aesthetic breast
reconstruction. To date, there are minimal data on outcomes for patients
experiencing unplanned explantations in IBR after NSM. Objectives: To evaluate
final reconstructive outcomes for NSM patients who undergo IBR and have an
unplanned explanation and to separately analyze the outcome of the NAC aesthetic
subunit. Methods: We analyzed a prospectively maintained database of NSM patients
undergoing IBR reconstruction at a single institution to identify patients who
had complications resulting in unplanned explanation. Demographics, covariates,
and reconstructive outcomes, including salvage with IBR or autologous flaps, were
assessed. Final outcomes of the NAC were also evaluated. Results: A total of 213
patients underwent 382 NSM with IBR with either direct-to-implant (DTI) or tissue
expander/implant (TE/I) reconstructions. The complication rate was 15.2% (N = 58)
and 33 (8.6%) unplanned explantations occurred: 23 (69.8%) of whom ultimately
completed reconstruction with either IBR (30.4%) or autologous flaps (69.6%).
NACs were preserved in 62.5% of breasts with unplanned explantations. Only 8 NACs
were lost in the entire cohort (2.1%). Conclusions: Following unplanned
explantations in IBR after NSM, salvage can be performed with either IBR or
autologous flaps. However, the majority of salvage procedures in IBR after NSM
will be with autologous flaps that bring in healthy soft tissue to restore
location specific defects caused by complications. The NAC can ultimately be
preserved as an aesthetic subunit in most patients despite the occurrence of
initial complications. Level of Evidence 4:
PMID- 29360923
TI - First report of overall survival for ipilimumab plus nivolumab from the phase III
Checkmate 067 study in advanced melanoma.
PMID- 29360924
TI - Neopepsee: accurate genome-level prediction of neoantigens by harnessing sequence
and amino acid immunogenicity information.
AB - Background: Tumor-specific mutations form novel immunogenic peptides called
neoantigens. Neoantigens can be used as a biomarker predicting patient response
to cancer immunotherapy. Although a predicted binding affinity (IC50) between
peptide and major histocompatibility complex class I is currently used for
neoantigen prediction, large number of false-positives exist. Materials and
methods: We developed Neopepsee, a machine-learning-based neoantigen prediction
program for next-generation sequencing data. With raw RNA-seq data and a list of
somatic mutations, Neopepsee automatically extracts mutated peptide sequences and
gene expression levels. We tested 14 immunogenicity features to construct a
machine-learning classifier and compared with the conventional methods based on
IC50 regarding sensitivity and specificity. We tested Neopepsee on independent
datasets from melanoma, leukemia, and stomach cancer. Results: Nine of the 14
immunogenicity features that are informative and inter-independent were used to
construct the machine-learning classifiers. Neopepsee provides a rich annotation
of candidate peptides with 87 immunogenicity-related values, including IC50,
expression levels of neopeptides and immune regulatory genes (e.g. PD1, PD-L1),
matched epitope sequences, and a three-level (high, medium, and low) call for
neoantigen probability. Compared with the conventional methods, the performance
was improved in sensitivity and especially two- to threefold in the specificity.
Tests with validated datasets and independently proven neoantigens confirmed the
improved performance in melanoma and chronic lymphocytic leukemia. Additionally,
we found sequence similarity in proteins to known pathogenic epitopes to be a
novel feature in classification. Application of Neopepsee to 224 public stomach
adenocarcinoma datasets predicted ~7 neoantigens per patient, the burden of which
was correlated with patient prognosis. Conclusions: Neopepsee can detect
neoantigen candidates with less false positives and be used to determine the
prognosis of the patient. We expect that retrieval of neoantigen sequences with
Neopepsee will help advance research on next-generation cancer immunotherapies,
predictive biomarkers, and personalized cancer vaccines.
PMID- 29360925
TI - Recurrent hyperactive ESR1 fusion proteins in endocrine therapy-resistant breast
cancer.
AB - Background: Estrogen receptor-positive (ER-positive) metastatic breast cancer is
often intractable due to endocrine therapy resistance. Although ESR1 promoter
switching events have been associated with endocrine-therapy resistance,
recurrent ESR1 fusion proteins have yet to be identified in advanced breast
cancer. Patients and methods: To identify genomic structural rearrangements (REs)
including gene fusions in acquired resistance, we undertook a multimodal
sequencing effort in three breast cancer patient cohorts: (i) mate-pair and/or
RNAseq in 6 patient-matched primary-metastatic tumors and 51 metastases, (ii)
high coverage (>500*) comprehensive genomic profiling of 287-395 cancer-related
genes across 9542 solid tumors (5216 from metastatic disease), and (iii) ultra
high coverage (>5000*) genomic profiling of 62 cancer-related genes in 254 ctDNA
samples. In addition to traditional gene fusion detection methods (i.e.
discordant reads, split reads), ESR1 REs were detected from targeted sequencing
data by applying a novel algorithm (copyshift) that identifies major copy number
shifts at rearrangement hotspots. Results: We identify 88 ESR1 REs across 83
unique patients with direct confirmation of 9 ESR1 fusion proteins (including 2
via immunoblot). ESR1 REs are highly enriched in ER-positive, metastatic disease
and co-occur with known ESR1 missense alterations, suggestive of polyclonal
resistance. Importantly, all fusions result from a breakpoint in or near ESR1
intron 6 and therefore lack an intact ligand binding domain (LBD). In vitro
characterization of three fusions reveals ligand-independence and hyperactivity
dependent upon the 3' partner gene. Our lower-bound estimate of ESR1 fusions is
at least 1% of metastatic solid breast cancers, the prevalence in ctDNA is at
least 10* enriched. We postulate this enrichment may represent secondary
resistance to more aggressive endocrine therapies applied to patients with ESR1
LBD missense alterations. Conclusions: Collectively, these data indicate that N
terminal ESR1 fusions involving exons 6-7 are a recurrent driver of endocrine
therapy resistance and are impervious to ER-targeted therapies.
PMID- 29360926
TI - OPAL: prediction of MoRF regions in intrinsically disordered protein sequences.
AB - Motivation: Intrinsically disordered proteins lack stable 3-dimensional structure
and play a crucial role in performing various biological functions. Key to their
biological function are the molecular recognition features (MoRFs) located within
long disordered regions. Computationally identifying these MoRFs from disordered
protein sequences is a challenging task. In this study, we present a new MoRF
predictor, OPAL, to identify MoRFs in disordered protein sequences. OPAL utilizes
two independent sources of information computed using different component
predictors. The scores are processed and combined using common averaging method.
The first score is computed using a component MoRF predictor which utilizes
composition and sequence similarity of MoRF and non-MoRF regions to detect MoRFs.
The second score is calculated using half-sphere exposure (HSE), solvent
accessible surface area (ASA) and backbone angle information of the disordered
protein sequence, using information from the amino acid properties of flanks
surrounding the MoRFs to distinguish MoRF and non-MoRF residues. Results: OPAL is
evaluated using test sets that were previously used to evaluate MoRF predictors,
MoRFpred, MoRFchibi and MoRFchibi-web. The results demonstrate that OPAL
outperforms all the available MoRF predictors and is the most accurate predictor
available for MoRF prediction. It is available at http://www.alok-ai
lab.com/tools/opal/. Contact: ashwini@hgc.jp or alok.sharma@griffith.edu.au.
Supplementary information: Supplementary data are available at Bioinformatics
online.
PMID- 29360927
TI - PhenoRank: reducing study bias in gene prioritization through simulation.
AB - Motivation: Genome-wide association studies have identified thousands of loci
associated with human disease, but identifying the causal genes at these loci is
often difficult. Several methods prioritize genes most likely to be disease
causing through the integration of biological data, including protein-protein
interaction and phenotypic data. Data availability is not the same for all genes
however, potentially influencing the performance of these methods. Results: We
demonstrate that whilst disease genes tend to be associated with greater numbers
of data, this may be at least partially a result of them being better studied.
With this observation we develop PhenoRank, which prioritizes disease genes
whilst avoiding being biased towards genes with more available data. Bias is
avoided by comparing gene scores generated for the query disease against gene
scores generated using simulated sets of phenotype terms, which ensures that
differences in data availability do not affect the ranking of genes. We
demonstrate that whilst existing prioritization methods are biased by data
availability, PhenoRank is not similarly biased. Avoiding this bias allows
PhenoRank to effectively prioritize genes with fewer available data and improves
its overall performance. PhenoRank outperforms three available prioritization
methods in cross-validation (PhenoRank area under receiver operating
characteristic curve [AUC]=0.89, DADA AUC = 0.87, EXOMISER AUC = 0.71, PRINCE AUC
= 0.83, P < 2.2 * 10-16). Availability and implementation: PhenoRank is freely
available for download at https://github.com/alexjcornish/PhenoRank.
Supplementary information: Supplementary data are available at Bioinformatics
online.
PMID- 29360928
TI - APAtrap: identification and quantification of alternative polyadenylation sites
from RNA-seq data.
AB - Motivation: Alternative polyadenylation (APA) has been increasingly recognized as
a crucial mechanism that contributes to transcriptome diversity and gene
expression regulation. As RNA-seq has become a routine protocol for transcriptome
analysis, it is of great interest to leverage such unprecedented collection of
RNA-seq data by new computational methods to extract and quantify APA dynamics in
these transcriptomes. However, research progress in this area has been relatively
limited. Conventional methods rely on either transcript assembly to determine
transcript 3' ends or annotated poly(A) sites. Moreover, they can neither
identify more than two poly(A) sites in a gene nor detect dynamic APA site usage
considering more than two poly(A) sites. Results: We developed an approach called
APAtrap based on the mean squared error model to identify and quantify APA sites
from RNA-seq data. APAtrap is capable of identifying novel 3' UTRs and 3' UTR
extensions, which contributes to locating potential poly(A) sites in previously
overlooked regions and improving genome annotations. APAtrap also aims to tally
all potential poly(A) sites and detect genes with differential APA site usages
between conditions. Extensive comparisons of APAtrap with two other latest
methods, ChangePoint and DaPars, using various RNA-seq datasets from simulation
studies, human and Arabidopsis demonstrate the efficacy and flexibility of
APAtrap for any organisms with an annotated genome. Availability and
implementation: Freely available for download at https://apatrap.sourceforge.io.
Contact: liqq@xmu.edu.cn or xhuister@xmu.edu.cn. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29360929
TI - ST Spot Detector: a web-based application for automatic spot and tissue detection
for spatial Transcriptomics image datasets.
AB - Motiviation: Spatial Transcriptomics (ST) is a method which combines high
resolution tissue imaging with high troughput transcriptome sequencing data. This
data must be aligned with the images for correct visualization, a process that
involves several manual steps. Results: Here we present ST Spot Detector, a web
tool that automates and facilitates this alignment through a user friendly
interface. Contact: jose.fernandez.navarro@scilifelab.se. Supplementary
information: Supplementary data are available at Bioinformatics online.
PMID- 29360930
TI - ADEPTUS: a discovery tool for disease prediction, enrichment and network analysis
based on profiles from many diseases.
AB - Motivation: Large-scale publicly available genomic data on many disease
phenotypes could improve our understanding of the molecular basis of disease.
Tools that undertake this challenge by jointly analyzing multiple phenotypes are
needed. Results: ADEPTUS is a web-tool that enables various functional genomics
analyses based on a high-quality curated database spanning >38, 000 gene
expression profiles and >100 diseases. It offers four types of analysis. (i) For
a gene list provided by the user it computes disease ontology (DO), pathway, and
gene ontology (GO) enrichment and displays the genes as a network. (ii) For a
given disease, it enables exploration of drug repurposing by creating a gene
network summarizing the genomic events in it. (iii) For a gene of interest, it
generates a report summarizing its behavior across several studies. (iv) It can
predict the tissue of origin and the disease of a sample based on its gene
expression or its somatic mutation profile. Such analyses open novel ways to
understand new datasets and to predict primary site of cancer. Availability and
implementation: Data and tool: http://adeptus.cs.tau.ac.il/home Analyses:
Supplementary Material. Contact: rshamir@tau.ac.il. Supplementary information:
Supplementary data are available at Bioinformatics online.
PMID- 29360931
TI - Diel pattern of floral scent emission matches the relative importance of diurnal
and nocturnal pollinators in populations of Gymnadenia conopsea.
AB - Background and Aims: Floral scent is considered an integral component of
pollination syndromes, and its composition and timing of emission are thus
expected to match the main pollinator type and time of activity. While floral
scent differences among plant species with different pollination systems can be
striking, studies on intraspecific variation are sparse, which limits our
understanding of the role of pollinators in driving scent divergence. Methods:
Here, we used dynamic headspace sampling to quantify floral scent emission and
composition during the day and at night in the natural habitat of six
Scandinavian populations of the fragrant orchid Gymnadenia conopsea. We tested
whether diel scent emission and composition match pollinator type by comparing
four populations in southern Sweden, where nocturnal pollinators are more
important for plant reproductive success than are diurnal pollinators, with two
populations in central Norway, where the opposite is true. To determine to what
extent scent patterns quantified in the field reflected plasticity, we also
measured scent emission in a common growth chamber environment. Key Results: Both
scent composition and emission rates differed markedly between day and night, but
only the latter varied significantly among populations. The increase in scent
emission rate at night was considerably stronger in the Swedish populations
compared with the Norwegian populations. These patterns persisted when plants
were transferred to a common environment, suggesting a genetic underpinning of
the scent variation. Conclusions: The results are consistent with a scenario
where spatial variation in relative importance of nocturnal and diurnal
pollinators has resulted in selection for different scent emission rhythms. Our
study highlights the importance of adding a characterization of diel variation of
scent emission rates to comparative studies of floral scent, which so far have
often focused on scent composition only.
PMID- 29360933
TI - DExSI: a new tool for the rapid quantitation of 13C-labelled metabolites detected
by GC-MS.
AB - Summary: Stable isotope directed metabolomics is increasingly being used to
measure metabolic fluxes in microbial, plant and animal cells. Incorporation of
13C/15N isotopes into a wide range of metabolites is typically determined using
gas chromatography-mass spectrometry (GC/MS) or other hyphenated mass
spectrometry approaches. The DExSI (Data Extraction for Stable Isotope-labelled
metabolites) pipeline is an interactive graphical software package which can be
used to rapidly quantitate isotopologues for a wide variety of metabolites
detected by GC/MS. DExSI performs automated metabolite annotation, mass and
positional isotopomer abundance determination and natural isotope abundance
correction. It provides a range of output options and is suitable for high
throughput analyses. Availability and implementation: DExSI is available for non
commercial use from: https://github.com/DExSI/DExSI/. For Microsoft Windows 7 or
higher (64-bit). Contact: malcolmm@unimelb.edu.au or
michael.dagley@unimelb.edu.au. Supplementary information: Supplementary data are
available at Bioinformatics online.
PMID- 29360932
TI - Impact of palbociclib plus letrozole on patient-reported health-related quality
of life: results from the PALOMA-2 trial.
AB - Background: Patient-reported outcomes are integral in benefit-risk assessments of
new treatment regimens. The PALOMA-2 study provides the largest body of evidence
for patient-reported health-related quality of life (QOL) for patients with
metastatic breast cancer (MBC) receiving first-line endocrine-based therapy
(palbociclib plus letrozole and letrozole alone). Patients and methods: Treatment
naive postmenopausal women with estrogen receptor-positive (ER+)/human epidermal
growth factor receptor 2-negative (HER2-) MBC were randomized 2 : 1 to
palbociclib plus letrozole (n = 444) or placebo plus letrozole (n = 222). Patient
reported outcomes were assessed at baseline, day 1 of cycles 2 and 3, and day 1
of every other cycle from cycle 5 using the Functional Assessment of Cancer
Therapy (FACT)-Breast and EuroQOL 5 dimensions (EQ-5D) questionnaires. Results:
As of 26 February 2016, the median duration of follow-up was 23 months. Baseline
scores were comparable between the two treatment arms. No significant between-arm
differences were observed in change from baseline in FACT-Breast Total, FACT
General Total, or EQ-5D scores. Significantly greater improvement in pain scores
was observed in the palbociclib plus letrozole arm (-0.256 versus -0.098; P =
0.0183). In both arms, deterioration of FACT-Breast Total score was significantly
delayed in patients without progression versus those with progression and
patients with partial or complete response versus those without. No significant
difference was observed in FACT-Breast and EQ-5D index scores in patients with
and without neutropenia. Conclusions: Overall, women with MBC receiving first
line endocrine therapy have a good QOL. The addition of palbociclib to letrozole
maintains health-related QOL and improves pain scores in treatment-naive
postmenopausal patients with ER+/HER2- MBC compared with letrozole alone.
Significantly greater delay in deterioration of health-related QOL was observed
in patients without progression versus those who progressed and in patients with
an objective response versus non-responders. ClinicalTrials.gov: NCT01740427
(https://clinicaltrials.gov/ct2/show/NCT01740427).
PMID- 29360934
TI - Application of a Porous Polyethylene Spreader Graft for Nasal Lengthening in
Asian Patients.
AB - Background: Rhinoplasty maneuvers to lengthen the nose include placing an
alloplastic implant, caudally rotating the lower lateral cartilage (LLC), and
stretching the soft tissue skin envelope (STSE) downward. Tissue tension
associated with these procedures can result in implant extrusion or tip
retraction. Objectives: The authors performed rhinoplasty with implantation of
porous polyethylene (Medpor). The Medpor device enabled transfer of tension from
the LLC and STSE to the juncture of the septal cartilage and upper lateral
cartilage (ULC), thereby stabilizing the nasal structures. Methods: Twenty-six
patients who underwent rhinoplasty with nasal augmentation and lengthening were
evaluated in a prospective study. Two pieces of Medpor were placed as a spreader
graft, and a third piece was inserted as a columellar strut. The released LLC was
rotated caudally, and the domal segments were wrapped over the caudally projected
tip of the implant. Surgical outcomes were assessed with a patient satisfaction
questionnaire and by photogrammetry. Results: Patients were monitored for an
average of 9.6 months. The patients' mean nasolabial angle (NLA) was 106.2
degrees +/- 4.7 degrees preoperatively and 94.3 degrees +/- 3.7 degrees
postoperatively (t < 0.0001). Most patients were fairly or completely satisfied
with the operative outcome. One patient had impending implant extrusion and
underwent explanation. Conclusions: Medpor material is easy to shape and is
sufficiently strong to function as a spreader graft and withstand the tension
associated with repositioning of the LLC and STSE. Level of Evidence 4:
PMID- 29360935
TI - A Systematic Review and Meta-analysis of the Factors Associated With Nonadherence
and Discontinuation of Statins Among People Aged >=65 Years.
AB - Background: Older individuals (aged >=65 years) are commonly prescribed statins
but may experience a range of barriers in adhering to therapy. The factors
associated with poor statin adherence and/or discontinuation among this
population have not been comprehensively reviewed. Methods: We conducted a
systematic review to identify English articles published through December 12,
2016 that reported factors associated with nonadherence and/or discontinuation of
statins among older persons. Data were pooled via random-effects meta-analysis
techniques. Results: Forty-five articles reporting data from more than 1.8
million older statin users from 13 countries were included. The factors
associated with increased statin nonadherence were black/non-white race (odds
ratio [OR] 1.66, 95% confidence interval [CI] 1.39-1.98), female gender (OR 1.08,
95% CI 1.03-1.13), current smoker (OR 1.12, 95% CI 1.03-1.21), higher copayments
(OR 1.38, 95% CI 1.25-1.52), new user (OR 1.58, 95% CI 1.21-2.07), lower number
of concurrent cardiovascular medications (OR 1.08, 95% CI 1.06-1.09), primary
prevention (OR 1.49, 95% CI 1.40-1.59), having respiratory disorders (OR 1.17,
95% CI 1.12-1.23) or depression (OR 1.11, 95% CI 1.06-1.16), and not having renal
disease (OR 1.09, 95% CI 1.04-1.14). The factors associated with increased statin
discontinuation were lower income status (OR 1.20, 95% CI 1.06-1.36), current
smoker (OR 1.14, 95% CI 1.06-1.23), higher copayment (OR 1.61, 95% CI 1.53-1.70),
higher number of medications (OR 1.04, 95% CI 1.01-1.06), presence of dementia
(OR 1.18, 95% CI 1.02-1.36), cancer (OR 1.22, 95% CI 1.11-1.33) or respiratory
disorders (OR 1.19, 95% CI 1.05-1.34), primary prevention (OR 1.66, 95% CI 1.24
2.22), and not having hypertension (OR 1.13, 95% CI 1.07-1.20) or diabetes (OR
1.09, 95% CI 1.04-1.15). Conclusion: Interventions that target potentially
modifiable factors including financial and social barriers, patients' perceptions
about disease risk as well as polypharmacy may improve statin use in the older
population.
PMID- 29360936
TI - Haystack: systematic analysis of the variation of epigenetic states and cell-type
specific regulatory elements.
AB - Motivation: With the increasing amount of genomic and epigenomic data in the
public domain, a pressing challenge is to integrate these data to investigate the
role of epigenetic mechanisms in regulating gene expression and maintenance of
cell-identity. To this end, we have implemented a computational pipeline to
systematically study epigenetic variability and uncover regulatory DNA sequences.
Results: Haystack is a bioinformatics pipeline to identify hotspots of epigenetic
variability across different cell-types, cell-type specific cis-regulatory
elements, and associated transcription factors. Haystack is generally applicable
to any epigenetic mark and provides an important tool to investigate the
mechanisms underlying epigenetic switches during development. This software is
accompanied by a set of precomputed tracks, which may be used as a valuable
resource for functional annotation of the human genome. Availability and
implementation: The Haystack pipeline is implemented as an open-source,
multiplatform, Python package called haystack_bio freely available at
https://github.com/pinellolab/haystack_bio. Contact: lpinello@mgh.harvard.edu or
gcyuan@jimmy.harvard.edu. Supplementary information: Supplementary data are
available at Bioinformatics online.
PMID- 29360937
TI - Reply to Yamamoto and Nishimura.
PMID- 29360938
TI - Long-term Persistent Organic Pollutants Exposure Induced Telomere Dysfunction and
Senescence-Associated Secretary Phenotype.
AB - Environmentally persistent organic pollutant (POP) is the general term for
refractory organic compounds that show long-range atmospheric transport,
environmental persistence, and bioaccumulation. It has been reported that the
accumulation of POPs could lead to cellular DNA damage and adverse effects of on
metabolic health. To better understand the mechanism of the health risks
associated with POPs, we conducted an evidence-based cohort investigation (n =
5,955) at the Jinghai e-waste disposal center in China from 2009 to 2016, where
people endure serious POP exposure. And high levels of aging-related diseases,
including hypertension, diabetes, autoimmune diseases, and reproductive disorders
were identified associated with the POP exposure. In the subsequent molecular
level study, an increased telomere dysfunction including telomere multiple
telomere signals, telomere signal-free ends, telomere shortening and activation
of alternative lengthening of telomeres were observed, which might result from
the hypomethylated DNA modification induced telomeric repeat-containing RNA
overexpression. Moreover, dysfunctional telomere-leaded senescence-associated
secretory phenotype was confirmed, as the proinflammatory cytokines and
immunosenescence hallmarks including interleukin-6, P16INK4a, and P14ARF were
stimulated. Thus, we proposed that the dysfunctional telomere and elevated
systemic chronic inflammation contribute to the aging-associated diseases, which
were highly developed among the POP exposure individuals.
PMID- 29360939
TI - Strongyloidiasis Outside Endemic Areas: Long-term Parasitological and Clinical
Follow-up After Ivermectin Treatment.
AB - Background: Strongyloides stercoralis affects 30-100 million people worldwide.
The first-line therapy is ivermectin. Cure is defined as the absence of larvae by
parasitological methods 1 year after treatment. To date, no longitudinal
parasitological studies for longer periods of time have been conducted to confirm
its cure. Here, we evaluated treatment response in long-term follow-up patients
with chronic infection using parasitological and molecular methods for larvae or
DNA detection. Methods: A prospective, descriptive, observational study was
conducted between January 2009 and September 2015 in Buenos Aires, Argentina.
Twenty-one patients with S. stercoralis diagnosis were evaluated 30, 60, and 90
days as well as 1, 2, 3, and/or 4 years after treatment by conventional methods
(fresh stool, Ritchie method, agar plate culture), S. stercoralis-specific
polymerase chain reaction (PCR) in stool DNA, and eosinophil values. Results:
During follow-up, larvae were detected by conventional methods in 14 of 21
patients. This parasitological reactivation was observed starting 30 days
posttreatment (dpt) and then at different times since 90 dpt. Eosinophil values
decreased (P = .001) 30 days after treatment, but their levels were neither
associated with nor predicted these reactivations. However, S. stercoralis DNA
was detected by PCR in all patients, both in their first and subsequent stool
samples, thus reflecting the poor efficacy of ivermectin at eradicating parasite
from host tissues. Asymptomatic eosinophilia was the most frequent clinical form
among chronically infected patients. Conclusions: These results suggest that the
parasitological cure is unlikely. Strongyloidiasis must be considered a chronic
infection and ivermectin administration schedules should be reevaluated.
PMID- 29360940
TI - From genetics to response to injury: vascular smooth muscle cells in aneurysms
and dissections of the ascending aorta.
AB - Vascular smooth muscle cells (vSMCs) play a crucial role in both the pathogenesis
of Aneurysms and Dissections of the ascending thoracic aorta (TAAD) in humans and
in the associated adaptive compensatory responses, since thrombosis and
inflammatory processes are absent in the majority of cases. Aneurysms and
dissections share numerous characteristics, including aetiologies and
histopathological alterations: vSMC disappearance, medial areas of mucoid
degeneration, and extracellular matrix (ECM) breakdown. Three aetiologies
predominate in TAAD in humans: (i) genetic causes in heritable familial forms,
(ii) an association with bicuspid aortic valves, and (iii) a sporadic
degenerative form linked to the aortic aging process. Genetic forms include
mutations in vSMC genes encoding for molecules of the ECM or the TGF-beta
pathways, or participating in vSMC tone. On the other hand, aneurysms and
dissections, whatever their aetiologies, are characterized by an increase in wall
permeability leading to transmural advection of plasma proteins which could
interact with vSMCs and ECM components. In this context, blood-borne plasminogen
appears to play an important role, because its outward convection through the
wall is increased in TAAD, and it could be converted to active plasmin at the
vSMC membrane. Active plasmin can induce vSMC disappearance, proteolysis of
adhesive proteins, activation of MMPs and release of TGF-beta from its ECM
storage sites. Conversely, vSMCs could respond to aneurysmal biomechanical and
proteolytic injury by an epigenetic phenotypic switch, including constitutional
overexpression and nuclear translocation of Smad2 and an increase in antiprotease
and ECM protein synthesis. In contrast, such an epigenetic phenomenon is not
observed in dissections. In this context, dysfunction of proteins involved in
vSMC tone are interesting to study, particularly in interaction with plasma
protein transport through the wall and TGF-beta activation, to establish the
relationship between these dysfunctions and ECM proteolysis.
PMID- 29360941
TI - Utility of intracoronary imaging in the cardiac catheterization laboratory:
comprehensive evaluation with intravascular ultrasound and optical coherence
tomography.
AB - Background: Intracoronary imaging is an important tool for guiding decision
making in the cardiac catheterization laboratory. Sources of data: We have
reviewed the latest available evidence in the field to highlight the various
potential benefits of intravascular imaging. Areas of agreement: Coronary
angiography has been considered the gold standard test to appropriately diagnose
and manage patients with coronary artery disease, but it has the inherent
limitation of being a 2-dimensional x-ray lumenogram of a complex 3-dimensional
vascular structure. Areas of controversy: There is well-established inter- and
intra-observer variability in reporting coronary angiograms leading to potential
variability in various management strategies. Intracoronary imaging improves the
diagnostic accuracy while optimizing the results of an intervention. Utilization
of intracoronary imaging modalities in routine practice however remains low
worldwide. Increased costs, resources, time and expertise have been cited as
explanations for low incorporation of these techniques. Growing points:
Intracoronary imaging supplements and enhances an operator's decision-making
ability based on detailed and objective lesion assessment rather than a
subjective visual estimation. The benefits of intravascular imaging are becoming
more profound as the complexity of cases suitable for revascularization
increases. Areas timely for developing research: While the clinical benefits of
intravascular ultrasound have been well validated, optical coherence tomography
in comparison is a newer technology, with robust clinical trials assessing its
clinical benefit are underway.
PMID- 29360942
TI - IL-6 trans-signalling contributes to aldosterone-induced cardiac fibrosis.
AB - Aims: An excess of aldosterone results in cardiac remodelling and fibrosis.
Interleukin-6 (IL-6) is a key mediator in the fibrotic process; however, the
effect of aldosterone on the expression of IL-6 remains unclear. We investigated
whether aldosterone induces the expression of IL-6 and thereby contributes to the
fibrotic process. Methods and results: In this clinical study, we prospectively
enrolled 25 patients with primary aldosteronism (PA) and 26 patients with
essential hypertension (EH). The PA patients had higher plasma IL-6 levels, left
ventricular mass index, degree of myocardial fibrosis, and more impaired
diastolic function than the EH patients. In addition, plasma IL-6 levels were
positively correlated with 24-h urinary aldosterone and echocardiographic
parameters. In cell studies, we investigated the possible molecular mechanism how
aldosterone-induced IL-6 secretion and the further effects of collagen
production. Aldosterone significantly induced IL-6 protein and mRNA production in
human umbilical vein endothelial cells. Intracellular signalling occurred through
the mineralocorticoid receptor/PI3K/Akt/NF-kB pathway. In cardiac fibroblasts, IL
6 trans-signalling played a critical role in aldosterone-induced IL-6-enhanced
fibrosis-related factor expression. To further investigate the role of IL-6 trans
signalling in aldosterone-induced cardiac fibrosis, we measured the severity of
myocardial fibrosis in aldosterone infusion mice models including an IL-6
chemical inhibitor and Sgp130 Knockin Transgenic Mice. Mice receiving recombinant
soluble gp130 and Sgp130 Knockin Transgenic Mice prevented myocardial fibrosis
and cardiac hypertrophy by aldosterone infusion. Conclusions: IL-6 trans
signalling contributes to aldosterone-induced cardiac fibrosis.
PMID- 29360943
TI - Spike-related haemodynamic responses overlap with high frequency oscillations in
patients with focal epilepsy.
AB - Simultaneous scalp EEG/functional MRI measures non-invasively haemodynamic
responses to interictal epileptic discharges, which are related to the
epileptogenic zone. High frequency oscillations are also an excellent indicator
of this zone, but are primarily recorded from intracerebral EEG. We studied the
spatial overlap of these two important markers in patients with drug-resistant
epilepsy to assess if their combination could help better define the extent of
the epileptogenic zone. We included patients who underwent EEG-functional MRI and
later intracerebral EEG. Based on intracerebral EEG findings, we separated
patients with unifocal seizures from patients with multifocal or unknown onset
seizures. Haemodynamic t-maps were coregistered with the intracerebral electrode
positions. Each EEG channel was classified as pertaining to one of the following
categories: primary haemodynamic cluster (maximum t-value), secondary cluster (t
value > 90% of the primary cluster) or outside the primary and secondary
clusters. We marked high frequency oscillations (ripples: 80-250 Hz; fast
ripples: 250-500 Hz) during 1 h of slow wave sleep, and compared their rates in
each haemodynamic category. After classifying channels as high- or low-rate, the
proportion of high-rate channels within the primary or primary plus secondary
clusters was compared to the proportion expected by chance. Twenty-five patients,
11 with unifocal and 14 with multifocal/unknown seizure onsets, were studied. We
found a significantly higher median high frequency oscillation rate in the
primary cluster compared to secondary cluster and outside these two clusters for
the unifocal group (P < 0.0001), but not for the multifocal/unknown group. For
the unifocal group, the number of high-rate channels within the primary or
primary plus secondary clusters was significantly higher than expected by chance.
This held only for the high-ripple-rate channels in the multifocal/unknown group.
At the patient level, most patients (18/25, or 72%) had at least one high-rate
channel within a primary cluster. In patients with unifocal epilepsy, the maximum
haemodynamic response (primary cluster) related to scalp interictal discharges
overlaps with the tissue generating high frequency oscillations at high rates. If
intracranial EEG is warranted, this response should be explored. As a tentative
clinical use of the combination of these techniques we propose that higher high
frequency oscillation rates inside than outside the maximum response indicates
that the patient has indeed a focal epileptogenic zone demarcated by this
response, whereas similar rates inside and outside may indicate a widespread
epileptogenic zone or an epileptogenic zone not covered by the implantation.
PMID- 29360945
TI - Electrical coupling between cardiomyocytes and fibroblasts: experimental testing
of a challenging and important concept.
PMID- 29360944
TI - Migraine with visual aura associated with thicker visual cortex.
AB - Until recent years it was believed that migraine with aura was a disorder causing
intermittent neurological symptoms, with no impact on brain structure. However,
recent MRI studies have reported increased cortical thickness of visual and
somatosensory areas in patients with migraine with aura, suggesting that such
structural alterations were either due to increased neuronal density in the areas
involved, or a result of multiple episodes of cortical spreading depression as
part of aura attacks. Subsequent studies have yielded conflicting results,
possibly due to methodological reasons, e.g. small number of subjects. In this
cross-sectional study, we recruited females aged 30-60 years from the nationwide
Danish Twin Registry. Brain MRI of females with migraine with aura (patients),
their co-twins, and unrelated migraine-free twins (controls) were performed at a
single centre and assessed for cortical thickness in predefined cortical areas
(V1, V2, V3A, MT, somatosensory cortex), blinded to headache diagnoses. The
difference in cortical thickness between patients and controls adjusted for age,
and other potential confounders was assessed. Comparisons of twin pairs
discordant for migraine with aura were also performed. Comparisons were based on
166 patients, 30 co-twins, and 137 controls. Compared with controls, patients had
a thicker cortex in areas V2 [adjusted mean difference 0.032 mm (95% confidence
interval 0.003 to 0.061), V3A [adjusted mean difference 0.037 mm (95% confidence
interval 0.008 to 0.067)], while differences in the remaining areas examined were
not statistically significant [adjusted mean difference (95% confidence
interval): V1 0.022 (-0.007 to 0.052); MT: 0.018 (-0.011 to 0.047); somatosensory
cortex: 0.020 (-0.009 to 0.049)]. We found no association between the regions of
interest and active migraine, or number of lifetime aura attacks. Migraine with
aura discordant twin pairs (n = 30) only differed in mean thickness of V2 (0.039
mm, 95% CI 0.005 to 0.074). In conclusion, females with migraine with aura have a
thicker cortex corresponding to visual areas and our results indicate this may be
an inherent trait rather than a result of repeated aura attacks.
PMID- 29360946
TI - Nonparametric Bayesian inference for mean residual life functions in survival
analysis.
AB - Modeling and inference for survival analysis problems typically revolves around
different functions related to the survival distribution. Here, we focus on the
mean residual life (MRL) function, which provides the expected remaining lifetime
given that a subject has survived (i.e. is event-free) up to a particular time.
This function is of direct interest in reliability, medical, and actuarial
fields. In addition to its practical interpretation, the MRL function
characterizes the survival distribution. We develop general Bayesian
nonparametric inference for MRL functions built from a Dirichlet process mixture
model for the associated survival distribution. The resulting model for the MRL
function admits a representation as a mixture of the kernel MRL functions with
time-dependent mixture weights. This model structure allows for a wide range of
shapes for the MRL function. Particular emphasis is placed on the selection of
the mixture kernel, taken to be a gamma distribution, to obtain desirable
properties for the MRL function arising from the mixture model. The inference
method is illustrated with a data set of two experimental groups and a data set
involving right censoring. The supplementary material available at Biostatistics
online provides further results on empirical performance of the model, using
simulated data examples.
PMID- 29360948
TI - Diet-induced obesity alters the maternal metabolome and early placenta
transcriptome and decreases placenta vascularity in the mouse.
AB - Maternal obesity is associated with an increased risk of obesity and metabolic
disease in offspring. Increasing evidence suggests that the placenta plays an
active role in fetal programming. In this study, we used a mouse model of diet
induced obesity to demonstrate that the abnormal metabolic milieu of maternal
obesity sets the stage very early in pregnancy by altering the transcriptome of
placenta progenitor cells in the preimplantation (trophectoderm [TE]) and early
postimplantation (ectoplacental cone [EPC]) placenta precursors, which is
associated with later changes in placenta development and function. Sphingolipid
metabolism was markedly altered in the plasma of obese dams very early in
pregnancy as was expression of genes related to sphingolipid processing in the
early placenta. Upregulation of these pathways inhibits angiogenesis and causes
endothelial dysfunction. The expression of many other genes related to
angiogenesis and vascular development were disrupted in the TE and EPC. Other key
changes in the maternal metabolome in obese dams that are likely to influence
placenta and fetal development include a marked decrease in myo and chiro
inositol. These early metabolic and gene expression changes may contribute to
phenotypic changes in the placenta, as we found that exposure to a high-fat diet
decreased placenta microvessel density at both mid and late gestation. This is
the first study to demonstrate that maternal obesity alters the transcriptome at
the earliest stages of murine placenta development.
PMID- 29360947
TI - Anatomy of aphasia revisited.
AB - In most cases, aphasia is caused by strokes involving the left hemisphere, with
more extensive damage typically being associated with more severe aphasia. The
classical model of aphasia commonly adhered to in the Western world is the
Wernicke-Lichtheim model. The model has been in existence for over a century, and
classification of aphasic symptomatology continues to rely on it. However, far
more detailed models of speech and language localization in the brain have been
formulated. In this regard, the dual stream model of cortical brain organization
proposed by Hickok and Poeppel is particularly influential. Their model describes
two processing routes, a dorsal stream and a ventral stream, that roughly support
speech production and speech comprehension, respectively, in normal subjects.
Despite the strong influence of the dual stream model in current
neuropsychological research, there has been relatively limited focus on
explaining aphasic symptoms in the context of this model. Given that the dual
stream model represents a more nuanced picture of cortical speech and language
organization, cortical damage that causes aphasic impairment should map clearly
onto the dual processing streams. Here, we present a follow-up study to our
previous work that used lesion data to reveal the anatomical boundaries of the
dorsal and ventral streams supporting speech and language processing.
Specifically, by emphasizing clinical measures, we examine the effect of cortical
damage and disconnection involving the dorsal and ventral streams on aphasic
impairment. The results reveal that measures of motor speech impairment mostly
involve damage to the dorsal stream, whereas measures of impaired speech
comprehension are more strongly associated with ventral stream involvement.
Equally important, many clinical tests that target behaviours such as naming,
speech repetition, or grammatical processing rely on interactions between the two
streams. This latter finding explains why patients with seemingly disparate
lesion locations often experience similar impairments on given subtests. Namely,
these individuals' cortical damage, although dissimilar, affects a broad cortical
network that plays a role in carrying out a given speech or language task. The
current data suggest this is a more accurate characterization than ascribing
specific lesion locations as responsible for specific language
deficits.awx363media15705668782001.
PMID- 29360949
TI - Dopaminergic abnormalities following traumatic brain injury.
AB - Traumatic brain injury can reduce striatal dopamine levels. The cause of this is
uncertain, but is likely to be related to damage to the nigrostriatal system. We
investigated the pattern of striatal dopamine abnormalities using 123I-Ioflupane
single-photon emission computed tomography (SPECT) scans and their relationship
to nigrostriatal damage and clinical features. We studied 42 moderate-severe
traumatic brain injury patients with cognitive impairments but no motor
parkinsonism signs and 20 healthy controls. 123I-Ioflupane scanning was used to
assess dopamine transporter levels. Clinical scan reports were compared to
quantitative dopamine transporter results. Advanced MRI methods were used to
assess the nigrostriatal system, including the area through which the
nigrostriatal projections pass as defined from high-resolution Human Connectome
data. Detailed clinical and neuropsychological assessments were performed. Around
20% of our moderate-severe patients had clear evidence of reduced specific
binding ratios for the dopamine transporter in the striatum measured using 123I
Ioflupane SPECT. The caudate was affected more consistently than other striatal
regions. Dopamine transporter abnormalities were associated with reduced
substantia nigra volume. In addition, diffusion MRI provided evidence of damage
to the regions through which the nigrostriatal tract passes, particularly the
area traversed by dopaminergic projections to the caudate. Only a small
percentage of patients had evidence of macroscopic lesions in the striatum and
there was no relationship between presence of lesions and dopamine transporter
specific binding ratio abnormalities. There was also no relationship between
reduced volume in the striatal subregions and reduced dopamine transporter
specific binding ratios. Patients with low caudate dopamine transporter specific
binding ratios show impaired processing speed and executive dysfunction compared
to patients with normal levels. Taken together, our results suggest that the
dopaminergic system is affected by a moderate-severe traumatic brain injury in a
significant proportion of patients, even in the absence of clinical motor
parkinsonism. Reduced dopamine transporter levels are most commonly seen in the
caudate and this is likely to reflect the pattern of nigrostriatal tract damage
produced by axonal injury and associated midbrain damage.
PMID- 29360951
TI - Too Much Optimism in the HANDOC Score.
PMID- 29360950
TI - Attributable Healthcare Resource Utilization and Costs for Patients With Primary
and Recurrent Clostridium difficile Infection in the United States.
AB - Background: The economic burden of Clostridium difficile infection (CDI), the
leading cause of nosocomial infectious diarrhea, is not well understood. The
objective of this study was to estimate the healthcare resource utilization
(HCRU) and costs attributable to primary CDI and recurrent CDI (rCDI). Methods:
This is a database (MarketScan) study. Patients without CDI were matched 1:1 by
propensity score to those with primary CDI but no recurrences to obtain HCRU and
costs attributable to primary CDI. Patients with primary CDI but no recurrences
were matched 1:1 by propensity score to those with primary CDI plus 1 recurrence
in order to obtain HCRU and costs attributable to rCDI. Adjusted estimates for
incremental cumulative hospitalized days and healthcare costs over a 6-month
follow-up period were obtained by generalized linear models with a Poisson or
gamma distribution and a log link. Bootstrapping was used to obtain 95%
confidence intervals (CIs). Results: A total of 55504 eligible CDI patients were
identified. Approximately 25% of these CDI patients had rCDI. The cumulative
hospitalized days attributable to primary CDI and rCDI over the 6-month follow-up
period were 5.20 days (95% CI, 5.01-5.39) and 1.95 days (95% CI, 1.48-2.43),
respectively. The healthcare costs attributable to primary CDI and rCDI over the
6-month follow-up period were $24205 (95% CI, $23436-$25013) and $10580 (95% CI,
$8849-$12446), respectively. Conclusions: The HCRU and costs attributable to
primary CDI and rCDI are quite substantial. It is necessary to reduce the burden
of CDI, especially rCDI.
PMID- 29360952
TI - Loss of KCNK3 is a hallmark of RV hypertrophy/dysfunction associated with
pulmonary hypertension.
AB - Aims: Mutations in the KCNK3 gene, which encodes for an outward-rectifier K+
channel, have been identified in patients suffering from pulmonary arterial
hypertension (PAH), and constitute the first described channelopathy in PAH. In
human PAH and experimental pulmonary hypertension (PH), we demonstrated that
KCNK3 expression and function are severely reduced in pulmonary vascular cells,
promoting PH-like phenotype at the morphologic and haemodynamic levels. Since
KCNK3 channel is also expressed in both the human and rodent heart, we aimed to
elucidate the pathophysiological role of KCNK3 channel in right ventricular (RV)
hypertrophy (RVH) related to PH. Methods and results: Using whole-cell Patch
clamp technique, we demonstrated that KCNK3 is predominantly expressed in adult
rat RV cardiomyocytes compared to the left ventricle cardiomyocytes and
participates in the repolarizing phase of the RV action potential. We revealed a
reduction in KCNK3 function prior to development of RVH and the rise of pulmonary
vascular resistance. KCNK3 function is severely reduced in RV cardiomyocytes
during the development of RVH in several rat models of PH (exposure to
monocrotaline, chronic hypoxia, and Sugen/hypoxia) and chronic RV pressure
overload (pulmonary artery banding). In experimental PH, we revealed a reduction
in KCNK3 function before any rise in pulmonary vascular resistance and the
development of RVH. KCNK3 mRNA level is also reduced in human RV tissues from PAH
patients compared to non-PAH patients. In line with these findings, chronic
inhibition of KCNK3 in rats with the specific inhibitor (A293) induces RV
hypertrophy which is associated with the re-expression of foetal genes, RV
fibrosis, RV inflammation, and subsequent loss of RV performance as assessed by
echocardiography. Conclusion: Our data indicate that loss of KCNK3 function and
expression is a hallmark of the RV hypertrophy/dysfunction associated with PH.
PMID- 29360954
TI - Procalcitonin as a Marker of Etiology in Adults Hospitalized With Community
Acquired Pneumonia.
PMID- 29360953
TI - Transcriptional regulation of stress kinase JNK2 in pro-arrhythmic CaMKIIdelta
expression in the aged atrium.
AB - Aims: c-jun N-terminal kinase (JNK) is a critical stress response kinase that
activates in a wide range of physiological and pathological cellular processes.
We recently discovered a pivotal role of JNK in the development of atrial
arrhythmias in the aged heart, while cardiac CaMKIIdelta, another pro-arrhythmic
molecule, was also known to enhance atrial arrhythmogenicity. Here, we aimed to
reveal a regulatory role of the stress kinase JNK2 isoform on CaMKIIdelta
expression. Methods and results: Activated JNK2 leads to increased CaMKIIdelta
protein expression in aged human and mouse atria, evidenced from the reversal of
CaMKIIdelta up-regulation in JNK2 inhibitor treated wild-type aged mice. This
JNK2 action in CaMKIIdelta expression was further confirmed in HL-1 myocytes co
infected with AdMKK7D-JNK2, but not when co-infected with AdMKK7D-JNK1. JNK2
specific inhibition (either by a JNK2 inhibitor or overexpression of inactivated
dominant-negative JNK2 (JNK2dn) completely attenuated JNK activator anisomycin
induced CaMKIIdelta up-regulation in HL-1 myocytes, whereas overexpression of
JNK1dn did not. Moreover, up-regulated CaMKIIdelta mRNA along with substantially
increased phosphorylation of JNK downstream transcription factor c-jun [but not
activating transcription factor2 (ATF2)] were exhibited in both aged atria
(humans and mice) and transiently JNK activated HL-1 myocytes. Cross-linked
chromatin-immunoprecipitation assays (XChIP) revealed that both c-jun and ATF2
were bound to the CaMKIIdelta promoter, but significantly increased binding of c
jun only occurred in the presence of anisomycin and JNK inhibition alleviated
this anisomycin-elevated c-jun binding. Mutated CaMKII consensus c-jun binding
sites impaired its promoter activity. Enhanced transcriptional activity of
CaMKIIdelta by anisomycin was also completely reversed to the baseline by either
JNK2 siRNA or c-jun siRNA knockdown. Conclusion: JNK2 activation up-regulates
CaMKIIdelta expression in the aged atrium. This JNK2 regulation in CaMKIIdelta
expression occurs at the transcription level through the JNK downstream
transcription factor c-jun. The discovery of this novel molecular mechanism of
JNK2-regulated CaMKII expression sheds new light on possible anti-arrhythmia drug
development.
PMID- 29360955
TI - Vascular smooth muscle cell death, autophagy and senescence in atherosclerosis.
AB - In the present review, we describe the causes and consequences of loss of
vascular smooth muscle cells (VSMCs) or their function in advanced
atherosclerotic plaques and discuss possible mechanisms such as cell death or
senescence, and induction of autophagy to promote cell survival. We also
highlight the potential use of pharmacological modulators of these processes to
limit plaque progression and/or improve plaque stability. VSMCs play a pivotal
role in atherogenesis. Loss of VSMCs via initiation of cell death leads to
fibrous cap thinning and promotes necrotic core formation and calcification. VSMC
apoptosis is induced by pro-inflammatory cytokines, oxidized low density
lipoprotein, high levels of nitric oxide and mechanical injury. Apoptotic VSMCs
are characterized by a thickened basal lamina surrounding the cytoplasmic
remnants of the VSMC. Inefficient clearance of apoptotic VSMCs results in
secondary necrosis and subsequent inflammation. A critical determinant in the
VSMC stress response and phenotypic switching is autophagy, which is activated by
various stimuli, including reactive oxygen and lipid species, cytokines, growth
factors and metabolic stress. Successful autophagy stimulates VSMC survival,
whereas reduced autophagy promotes age-related changes in the vasculature.
Recently, an interesting link between autophagy and VSMC senescence has been
uncovered. Defective VSMC autophagy accelerates not only the development of
stress-induced premature senescence but also atherogenesis, albeit without
worsening plaque stability. VSMC senescence in atherosclerosis is likely a result
of replicative senescence and/or stress-induced premature senescence in response
to DNA damaging and/or oxidative stress-inducing stimuli. The finding that VSMC
senescence can promote atherosclerosis further illustrates that normal, adequate
VSMC function is crucial in protecting the vessel wall against atherosclerosis.
PMID- 29360956
TI - Primer3_masker: integrating masking of template sequence with primer design
software.
AB - Summary: Designing PCR primers for amplifying regions of eukaryotic genomes is a
complicated task because the genomes contain a large number of repeat sequences
and other regions unsuitable for amplification by PCR. We have developed a novel
k-mer based masking method that uses a statistical model to detect and mask
failure-prone regions on the DNA template prior to primer design. We implemented
the software as a standalone software primer3_masker and integrated it into the
primer design program Primer3. Availability and implementation: The standalone
version of primer3_masker is implemented in C. The source code is freely
available at https://github.com/bioinfo-ut/primer3_masker/ (standalone version
for Linux and macOS) and at https://github.com/primer3-org/primer3/ (integrated
version). Primer3 web application that allows masking sequences of 196 animal and
plant genomes is available at http://primer3.ut.ee/. Contact: maido.remm@ut.ee.
Supplementary information: Supplementary data are available at Bioinformatics
online.
PMID- 29360957
TI - Procalcitonin as a Marker of Etiology in Community-Acquired Pneumonia.
PMID- 29360958
TI - Connections between unemployment insurance, poverty and health: a systematic
review.
AB - Background: Since the global economic crisis in 2007, unemployment rates have
escalated in most European and North American countries. Unemployment protection
policies, particularly the unemployment insurance (UI) system, have become a
weighty issue for many modern welfare states. Decades of research have
established concrete findings on the adverse impacts of unemployment on poverty-
and health-related outcomes. This provided a foundation for further exploration
into the potential protective effects of UI in offsetting these adverse outcomes.
Methods: We developed a systematic review protocol in four stages (literature
search, study selection, data extraction and quality appraisal) to ensure a
rigorous data collection and inter-rated reliability. We examined the full body
of empirical research published between 2000 and 2013 on the pathways by which UI
impacts poverty and health. Results: Out of 2233 primary studies identified, a
total of 12 met our inclusion criteria. The selected studies assessed poverty
related outcomes (absolute/relative poverty and material hardship) or one or more
health-related outcomes (health behaviors, self-rated health, well-being and
mental health). Across various UI systems, jurisdictions from high income
countries, and study designs, we found good support for our conceptual framework,
by which UI attenuates the effect of unemployment on both poverty and health,
with a few exceptions. Conclusion: Whether UI impacts differ by age and region
might be explored further in future research. The complex mediating relationship
between unemployment, UI, poverty and health should further be assessed in light
of economic and historical contexts. This could inform decision-making processes
during future periods of economic recession.
PMID- 29360960
TI - Community structure of photosynthetic picoeukaryotes differs in lakes with
different trophic statuses along the middle-lower reaches of the Yangtze River.
AB - Photosynthetic picoeukaryotes (PPEs) play an important role in aquatic ecosystem
functioning. There is still a relative lack of information on freshwater PPEs,
especially in eutrophic lakes. We used a combination of flow cytometric sorting
and pyrosequencing to investigate the PPEs community structure in more than 20
mesotrophic and eutrophic lakes along the middle-lower reaches of the Yangtze
River in China. The abundance of PPEs ranged between 2.04 * 103 and 5.92 * 103
cells mL-1. The contribution of PPEs to total picophytoplankton abundance was
generally higher in eutrophic lakes than in mesotrophic lakes. The sequencing
results indicated that the Shannon diversity of PPEs was significantly higher in
mesotrophic lakes than in eutrophic lakes. At the class level, PPEs were mainly
dominated by three taxonomic groups, including Cryptophyceae, Coscinodiscophyceae
and Chlorophyceae, and 15 additional known phytoplankton classes, including
Synurophyceae, Dinophyceae, Chrysophyceae, Trebouxiophyceae and Prymnesiophyceae,
were identified. Coscinodiscophyceae dominated in the most eutrophic lakes, while
Chrysophyceae, Dinophyceae and other classes of PPEs were more abundant in the
mesotrophic lakes. We also observed several PPEs operational taxonomic units, and
those affiliated with Cyclotella atomus, Chlamydomonas sp. and Poterioochromonas
malhamensis tended to be more prevalent in the eutrophic lakes. The canonical
correspondence analysis and Mantel analysis highlighted the importance of
environmental parameters as key drivers of PPEs community composition.
PMID- 29360961
TI - Occurrence and abundance of antibiotic resistance genes in agricultural soil
receiving dairy manure.
AB - Animal manures are commonly used to enhance soil fertility, but there are growing
concerns over the impact of this practice on the development and dissemination of
antibiotic resistance. The aim of this field study was to determine the effect of
annual dairy manure applications on the occurrence and abundance of antibiotic
resistance genes (ARGs) in an agricultural soil during four years of crop
production. Treatments included (i) control (no fertilizer or manure), (ii)
inorganic fertilizer and (iii) dairy manure at three application rates.
Quantitative PCR was used to determine absolute (per g dry soil) and relative
(per 16S rRNA gene) abundances of ARGs in DNA extracted from soils. Six ARGs and
one class 1 integron were targeted. This study found that (i) manure application
increases ARG abundances above background soil levels; (ii) the higher the manure
application rate, the higher the ARG abundance in soil; (iii) the amount of
manure applied is more important than reoccurring annual applications of the same
amount of manure; (iv) absolute abundance and occurrence of ARGs decreases with
increasing soil depth, but relative abundances remained constant. This study
demonstrated that dairy manure applications to soil significantly increase the
abundance of clinically relevant ARGs when compared to control and inorganic
fertilized plots.
PMID- 29360959
TI - Disentangling the Causes for Faster-X Evolution in Aphids.
AB - The faster evolution of X chromosomes has been documented in several species, and
results from the increased efficiency of selection on recessive alleles in
hemizygous males and/or from increased drift due to the smaller effective
population size of X chromosomes. Aphids are excellent models for evaluating the
importance of selection in faster-X evolution because their peculiar life cycle
and unusual inheritance of sex chromosomes should generally lead to equivalent
effective population sizes for X and autosomes. Because we lack a high-density
genetic map for the pea aphid, whose complete genome has been sequenced, we first
assigned its entire genome to the X or autosomes based on ratios of sequencing
depth in males (X0) to females (XX). Then, we computed nonsynonymous to
synonymous substitutions ratios (dN/dS) for the pea aphid gene set and found
faster evolution of X-linked genes. Our analyses of substitution rates, together
with polymorphism and expression data, showed that relaxed selection is likely to
be the greatest contributor to faster-X because a large fraction of X-linked
genes are expressed at low rates and thus escape selection. Yet, a minor role for
positive selection is also suggested by the difference between substitution rates
for X and autosomes for male-biased genes (but not for asexual female-biased
genes) and by lower Tajima's D for X-linked compared with autosomal genes with
highly male-biased expression patterns. This study highlights the relevance of
organisms displaying alternative chromosomal inheritance to the understanding of
forces shaping genome evolution.
PMID- 29360962
TI - Low Doses of Azathioprine are Effective in Combination With Infliximab in
Inflammatory Bowel Disease but May not be During Induction Therapy.
PMID- 29360963
TI - Nitrite-oxidizing activity responds to nitrite accumulation in soil.
AB - The factors influencing how soil nitrite (NO2-)- and ammonia (NH3)-oxidizing
activities remain coupled are unknown. A short-term study (<48 h) was conducted
to examine the dynamics of NO2--oxidizing activity and the accumulation of NO2-
in three Oregon soils stimulated by the addition of 1 mM NH4+ in soil slurry.
Nitrite initially accumulated in all three soils; its subsequent decline or
slowing of the accumulation of the NO2- pool by 24 h was accompanied by an
increase in the size of the nitrate (NO3-) pool, indicating a change in NO2-
oxidation kinetics. Bacterial protein synthesis inhibitors prevented the NO2-
pool decline, resulting in a larger accumulation in all three soils. Although no
significant increases in NO2--oxidizing bacteria nxrA (Nitrobacter) and nxrB
(Nitrospira) gene abundances were detected over the time course, maximum NO2-
consumption rates increased 2-fold in the treatment without antibiotics compared
to no change with antibiotics. No changes were observed in the apparent half
saturation constant (Km) values for NO2- consumption. This study demonstrates
phenotypic flexibility among soil NO2- oxidizers, which can undergo protein
synthesis-dependent increases in NO2- consumption rates to match NH3 oxidation
rates and recouple nitrification.
PMID- 29360965
TI - Reimplantation of the upper lobe bronchus after lower sleeve lobectomy or
bilobectomy: long-term results.
AB - OBJECTIVES: The advantages of a bronchial sleeve resection are well established.
A clear majority of reported cases are of upper lobe sleeve resection.
Reimplantation of the upper lobe bronchus after a lower sleeve lobectomy or
bilobectomy (the so-called Y-sleeve resection) is infrequent. Related technical
peculiarities are the main issues. We present our experience and results in this
setting. METHODS: Between 1989 and 2015, we performed 28 Y-sleeve resections of
the left lower lobe (n = 18) or right middle and lower lobes (n = 10). The lung
sparing reconstructive operation was performed for non-small-cell lung cancer in
23 cases, for bronchial carcinoid tumour in 4 cases and for a cystic adenoid
carcinoma in 1 case. Anastomotic reconstruction was performed by interrupted 4-0
absorbable sutures (monofilament material). RESULTS: All the resections were
complete (R0). Postoperative mortality was 3.6%. The rate of major complications
was 10.7% (1 myocardial infarction, 1 anastomotic stenosis requiring dilatation
and 1 anastomotic fistula). Among the 23 patients with non-small-cell lung cancer
(18 men and 5 women; mean age 58 +/- 12 years), 8 were Stage I, 9 were Stage II
and 6 were Stage IIIa. At a mean follow-up of 46 months, the recurrence rate was
32%. There were 2 loco-regional recurrences. No endobronchial or perianastomotic
recurrence occurred. The 3- and 5-year overall and disease-free survival rates of
patients with non-small-cell lung cancer were 76.3% and 55.1% and 68.7% and
62.9%, respectively. CONCLUSIONS: A Y-sleeve resection with reimplantation of the
upper load bronchus is a technically feasible and oncologically adequate
operation.
PMID- 29360966
TI - Corrigendum to: The EHJ Editorial Board Meeting.
PMID- 29360964
TI - Deciphering the Link between Doubly Uniparental Inheritance of mtDNA and Sex
Determination in Bivalves: Clues from Comparative Transcriptomics.
AB - Bivalves exhibit an astonishing diversity of sexual systems and sex-determining
mechanisms. They can be gonochoric, hermaphroditic or androgenetic, with both
genetic and environmental factors known to determine or influence sex. One unique
sex-determining system involving the mitochondrial genome has also been
hypothesized to exist in bivalves with doubly uniparental inheritance (DUI) of
mtDNA. However, the link between DUI and sex determination remains obscure. In
this study, we performed a comparative gonad transcriptomics analysis for two DUI
possessing freshwater mussel species to better understand the mechanisms
underlying sex determination and DUI in these bivalves. We used a BLAST
reciprocal analysis to identify orthologs between Venustaconcha ellipsiformis and
Utterbackia peninsularis and compared our results with previously published sex
specific bivalve transcriptomes to identify conserved sex-determining genes. We
also compared our data with other DUI species to identify candidate genes
possibly involved in the regulation of DUI. A total of ~12,000 orthologous
relationships were found, with 2,583 genes differentially expressed in both
species. Among these genes, key sex-determining factors previously reported in
vertebrates and in bivalves (e.g., Sry, Dmrt1, Foxl2) were identified, suggesting
that some steps of the sex-determination pathway may be deeply conserved in
metazoans. Our results also support the hypothesis that a modified ubiquitination
mechanism could be responsible for the retention of the paternal mtDNA in male
bivalves, and revealed that DNA methylation could also be involved in the
regulation of DUI. Globally, our results suggest that sets of genes associated
with sex determination and DUI are similar in distantly-related DUI species.
PMID- 29360968
TI - Porcine parvovirus infection impairs progesterone production in luteal cells
through mitogen-activated protein kinases, p53, and mitochondria-mediated
apoptosis.
AB - Porcine parvovirus (PPV) is a major virus that leads to fetal death in swine.
However, the effects of PPV infection on sows are poorly understood. The aim of
this study was to investigate the effects of PPV on porcine steroidogenic luteal
cells (SLCs) survival and functions and underlying mechanisms. In vivo experiment
results showed that artificial infection of PPV significantly reduced the
concentration of serum progesterone and induced histopathological lesions and
SLCs apoptosis in porcine corpora luteum. In in vitro cultured primary porcine
SLCs, PPV could infect and replicate in SLCs and induced SLCs apoptosis through
mitochondria, but not the death receptor, mediated apoptosis pathway. Meanwhile,
PPV infection also decreased progesterone production in SLCs. Moreover, PPV
infection could increase active p53 transcriptional activity and protein
expression as well as promoting p53 translocation to nucleus. Using the p53
specific pharmacological inhibitor (pifithrin-alpha) and siRNA could partially
attenuate PPV-induced Bax upregulation, caspase-3 activation, apoptosis, and the
reduction of progesterone production in primary porcine SLCs. Furthermore, the
phosphorylation of p38 mitogen-activated protein kinase (MAPK) was also increased
in PPV-infected SLCs. Pretreatment with p38 MAPK inhibitor (SB203580) suppressed
PPV-induced p53 accumulation and translocation, SLCs apoptosis, and progesterone
production reduction. In summary, these findings indicate that PPV could induce
SLCs apoptosis and a decrease of progesterone production in vivo and in vitro via
p38 MAPK signaling and p53-dependent mitochondrial pathway, which provides the
potential clinical therapy methods for PPV infection.
PMID- 29360967
TI - Phylogenomics Places Orphan Protistan Lineages in a Novel Eukaryotic Super-Group.
AB - Recent phylogenetic analyses position certain "orphan" protist lineages deep in
the tree of eukaryotic life, but their exact placements are poorly resolved. We
conducted phylogenomic analyses that incorporate deeply sequenced transcriptomes
from representatives of collodictyonids (diphylleids), rigifilids, Mantamonas,
and ancyromonads (planomonads). Analyses of 351 genes, using site-heterogeneous
mixture models, strongly support a novel super-group-level clade that includes
collodictyonids, rigifilids, and Mantamonas, which we name "CRuMs". Further, they
robustly place CRuMs as the closest branch to Amorphea (including animals and
fungi). Ancyromonads are strongly inferred to be more distantly related to
Amorphea than are CRuMs. They emerge either as sister to malawimonads, or as a
separate deeper branch. CRuMs and ancyromonads represent two distinct major
groups that branch deeply on the lineage that includes animals, near the most
commonly inferred root of the eukaryote tree. This makes both groups crucial in
examinations of the deepest-level history of extant eukaryotes.
PMID- 29360969
TI - Feasibility of drugs in Brugada syndrome.
PMID- 29360970
TI - Successful treatment of a false left ventricular aneurysm after transcatheter
aortic valve replacement.
PMID- 29360971
TI - Three-Dimensional Imaging of the Face: A Comparison Between Three Different
Imaging Modalities.
AB - Background: Three-dimensional (3D) imaging of the face is being used extensively
in medicine for clinical decision making, surgical planning, and research.
Nowadays, several companies are offering a broad range of 3D imaging systems,
varying in price, method, and mobility. However, most planning and evaluation
methods are created and validated solely with one imaging system. Therefore, it
is important to analyze possible differences in the 3D surface reconstruction
between different systems. Objectives: The objective of this study was to analyze
differences in the 3D surface reconstruction between three systems: 3dMDface
system, Vectra XT, and Artec Eva. Methods: Three-dimensional images of the face
were acquired from 15 healthy patients with each imaging system. Reproducibility
of each device was calculated and a comparison of the Vectra XT and Artec Eva
with the 3dMDface was made. Results: All 3D imaging devices showed high
reproducibility, with a mean difference of 0.18 +/- 0.15 mm (3dMDface system),
0.15 +/- 0.15 mm (Vectra XT), and 0.26 +/- 0.24 mm (Artec Eva). No significant
difference in reproducibility was found between the Vectra XT and 3dMDface, while
a significant difference was found between 3dMDface and Artec Eva, and between
Vectra XT and Artec Eva. The mean difference between 3dMDface and Vectra XT was
0.32 +/- 0.26 mm. The mean difference between 3dMDface and Artec Eva was 0.44 +/-
1.09 mm. Conclusions: All three imaging devices showed high reproducibility and
accuracy. Although the Artec Eva showed a significant lower reproducibility, the
difference found was not clinically relevant. Therefore, using these different
systems alongside each other in clinical and research settings is possible. Level
of Evidence 3:
PMID- 29360972
TI - Stroke after emergent surgery for acute type A aortic dissection: predictors,
outcome and neurological recovery.
AB - OBJECTIVES: Despite improvement in operative and cerebral perfusion techniques,
cerebral malperfusion and neurological injury remain a dreaded complication of
acute type A aortic dissection. We aimed to identify predictors for postoperative
stroke and analyse the impact on morbidity, neurological recovery and mid-term
survival. METHODS: Between 2000 and 2017, 303 (71.9% men, mean age 58.9 +/- 13.6
years) patients with acute type A aortic dissection underwent surgical repair.
Clinical and imaging data were retrospectively evaluated. Patients were divided
into 2 groups depending on the presence of postoperative stroke. RESULTS:
Postoperative stroke was detected in 15.8% (n = 48) of the patients. Patients
with postoperative stroke showed higher rates of preoperative cardiopulmonary
resuscitation (stroke: 18.8% vs no stroke: 3.5%, P < 0.001) and malperfusion
syndrome (stroke: 47.9% vs no stroke: 22.4%, P < 0.001). Multivariable analysis
identified the presence of bovine aortic arch [odds ratio (OR) 2.33, 95%
confidence interval (CI) 1.086-4.998; P = 0.030], preoperative cardiopulmonary
resuscitation (OR 6.483, 95% CI 1.522-27.616; P = 0.011) and preoperative
malperfusion (OR 2.536, 95% CI 1.238-5.194; P = 0.011) as independent predictors
for postoperative stroke. Postoperative stroke had a strong impact on morbidity
and was associated with higher rates of postoperative complications and a
significantly longer hospital stay (stroke: 23 +/- 16 days vs no stroke: 17 +/-
18 days, P = 0.021). Postoperative stroke was not independently associated with
in-hospital mortality (adjusted OR 1.382, 95% CI 0.518-3.687; P = 0.518). There
was no difference in mid-term survival between patients with stroke and patients
without stroke. CONCLUSIONS: This study identified independent preoperative
predictors for postoperative stroke. Although postoperative stroke was associated
with significant morbidity and postoperative complications, significant
impairment in mid-term survival could not be confirmed by the data.
PMID- 29360973
TI - Transcriptome profiling reveals infection strategy of an insect maculavirus.
AB - Bombyx mori macula-like virus (BmMLV) is a positive, single-stranded insect RNA
virus that is closely related to plant maculaviruses. BmMLV is currently
characterized as an unclassified maculavirus. BmMLV accumulates at extremely high
levels in cell lines derived from the silkworm, Bombyx mori, but it does not lead
to lethality and establishes persistent infections. It is unknown how this insect
maculavirus replicates and establishes persistent infections in insect cells.
Here, we showed that BmMLV p15, which is located on a subgenomic fragment and is
not found in plant maculaviruses, is highly expressed in BmMLV-infected silkworm
cells and that p15 protein is required to establish BmMLV infections in silkworm
cells. We also showed that two distinct small RNA-mediated pathways maintain
BmMLV levels in BmMLV-infected silkworm cells, thereby allowing the virus to
establish persistent infection. Virus-derived siRNAs and piRNAs were both
produced as the infection progressed. Knockdown experiments demonstrated that the
exogenous RNAi pathway alone or RNAi and piRNA pathways function cooperatively to
silence BmMLV RNA and that both pathways are important for normal growth of BmMLV
infected silkworm cells. On the basis of our study, we propose a mechanism of how
a plant virus-like insect virus can establish persistent infections in insect
cells.
PMID- 29360974
TI - Use of leadless pacemakers in Europe: results of the European Heart Rhythm
Association survey.
AB - The purpose of this European Heart Rhythm Association (EHRA) survey is to provide
an overview of the current use of leadless pacemakers (LLPM) across a broad range
of European centres. An online questionnaire was sent to centres participating in
the EHRA Electrophysiology Research Network. Questions dealt with standards of
care and policies used for patient management, indications, and techniques of
implantation of LLPM. In total, 52 centres participated in the survey. Most (86%)
reported using LLPM, although 82% of these centres implanted <30 LLPM devices
during the last 12 months. Non-availability (36%), lack of reimbursement (55%),
and cost of the device (91%) were factors limiting the use of LLPM. The most
commonly reported indications for LLPM were permanent atrial fibrillation (83%),
a history of complicated conventional pacemaker (87%), or an anticipated
difficult vascular access (91%). Implantation of LLPM is perceived as an easy-to
do and safe procedure by most implanters (64%), while difficult or risky in 28%,
and comparable to conventional pacemakers by only a few (8%). Local vascular
complications were the most frequently reported major problems (28%), but a
significant number of respondents (36%) have never encountered any issue after
LLPM implantation. Although cost and reimbursement issues strongly influence the
use of LLPM, most respondents (72%) anticipate a significant increase in device
utilization within next 2 years.
PMID- 29360975
TI - Comparative Genomics of Tenacibaculum dicentrarchi and "Tenacibaculum
finnmarkense" Highlights Intricate Evolution of Fish-Pathogenic Species.
AB - The genus Tenacibaculum encompasses several species pathogenic for marine fish.
Tenacibaculum dicentrarchi and "Tenacibaculum finnmarkense" (Quotation marks
denote species that have not been validly named.) were retrieved from skin
lesions of farmed fish such as European sea bass or Atlantic salmon. They cause a
condition referred to as tenacibaculosis and severe outbreaks and important fish
losses have been reported in Spanish, Norwegian, and Chilean marine farms. We
report here the draft genomes of the T. dicentrarchi and "T. finnmarkense" type
strains. These genomes were compared with draft genomes from field isolates
retrieved from Chile and Norway and with previously published Tenacibaculum
genomes. We used Average Nucleotide Identity and core genome-based phylogeny as a
proxy index for species boundary delineation. This work highlights evolution of
closely related fish-pathogenic species and suggests that homologous
recombination likely contributes to genome evolution. It also corrects the
species affiliation of strain AYD7486TD claimed by Grothusen et al. (2016).
PMID- 29360976
TI - Transcriptional regulation of the mannan utilization genes in the alkaliphilic
Bacillus sp. N16-5.
AB - Bacillus sp. N16-5 is an alkaliphile with a great ability to utilize mannan. Its
mannan utilization gene cluster has been identified in a previous study. The ManR
protein encoded by the cluster was predicted to be a LacI family regulator, and
the transcription level of the mannan utilization gene cluster was upregulated
after the manR gene was deleted, indicating that ManR is the repressor of this
cluster. The transcription of the related genes was downregulated when manH,
encoding the extracellular substrate-binding domain of the manno-oligosaccharide
transporter, was deleted. Furthermore, isothermal titration calorimetry revealed
that mannotetraose and mannopentose are ligands of ManR. These results all
corroborate the hypothesis that the mannan utilization gene cluster is repressed
by the transcription regulator ManR, and that the repression is removed when it
binds to manno-oligosaccharides, which are generated by mannan degradation and
transported into the cell by a specific transporter.
PMID- 29360977
TI - ATP-binding cassette transporters of the multicellular cyanobacterium Anabaena
sp. PCC 7120: a wide variety for a complex lifestyle.
AB - Two hundred genes or 3% of the known or putative protein-coding genes of the
filamentous freshwater cyanobacterium Anabaena sp. PCC 7120 encode domains of ATP
binding cassette (ABC) transporters. Detailed characterization of some of these
transporters (14-15 importers and 5 exporters) has revealed their crucial roles
in the complex lifestyle of this multicellular photoautotroph, which is able to
differentiate specialized cells for nitrogen fixation. This review summarizes the
characteristics of the ABC transporters of Anabaena sp. PCC 7120 known to date.
PMID- 29360979
TI - Dissemination of linezolid-dependent, linezolid-resistant Staphylococcus
epidermidis clinical isolates belonging to CC5 in German hospitals.
AB - Objectives: Linezolid-resistant Staphylococcus epidermidis (LRSE) and linezolid
dependent ST22 strains have been shown to predominate in tertiary care facilities
all over Greece. We report herein the dissemination of ST22 but also ST2, ST5 and
ST168 linezolid-dependent LRSE clones in four unrelated German hospitals.
Methods: Fourteen LRSE clinical isolates recovered during 2012-14 from five
distantly located German hospitals were tested by for MIC determination broth
microdilution and Etest, PCR/sequencing for cfr and for mutations in 23S rRNA,
rplC, rplD and rplV genes, MLST, PFGE and growth curves without and with
linezolid at 16 and 32 mg/L. Results: Most (11, 78.6%) isolates had linezolid
MICs >256 mg/L. Five isolates carried the cfr gene. Eight isolates belonged to
ST22, two isolates each to ST168 and ST2 and one isolate each to ST5 and ST23.
Ten isolates [seven belonging to ST22 and one to each of ST2, ST5 and ST168; all
these STs belong to clonal complex (CC) 5] exhibited linezolid-dependent growth,
growing significantly faster in linezolid-containing broth. Four isolates were
non-dependent (one belonging to each of ST22, ST2, ST23 and ST168). Four isolates
came from three different hospitals, whereas four and six isolates were recovered
during outbreaks of LRSE in two distinct hospitals. Conclusions: The multi-clonal
dissemination of CC5 linezolid-dependent LRSE throughout German hospitals along
with the clonal expansion of ST22 linezolid-dependent LRSE in Greek hospitals is
of particular concern. It is plausible that this characteristic is inherent and
provides a selective advantage to CC5 LRSE under linezolid pressure, contributing
to their dissemination throughout hospitals in these countries.
PMID- 29360978
TI - Comparative Genomics Reveals Accelerated Evolution in Conserved Pathways during
the Diversification of Anole Lizards.
AB - Squamates include all lizards and snakes, and display some of the most diverse
and extreme morphological adaptations among vertebrates. However, compared with
birds and mammals, relatively few resources exist for comparative genomic
analyses of squamates, hampering efforts to understand the molecular bases of
phenotypic diversification in such a speciose clade. In particular, the ~400
species of anole lizard represent an extensive squamate radiation. Here, we
sequence and assemble the draft genomes of three anole species-Anolis frenatus,
Anolis auratus, and Anolis apletophallus-for comparison with the available
reference genome of Anolis carolinensis. Comparative analyses reveal a rapid
background rate of molecular evolution consistent with a model of punctuated
equilibrium, and strong purifying selection on functional genomic elements in
anoles. We find evidence for accelerated evolution in genes involved in behavior,
sensory perception, and reproduction, as well as in genes regulating limb bud
development and hindlimb specification. Morphometric analyses of anole fore and
hindlimbs corroborated these findings. We detect signatures of positive selection
across several genes related to the development and regulation of the forebrain,
hormones, and the iguanian lizard dewlap, suggesting molecular changes underlying
behavioral adaptations known to reinforce species boundaries were a key component
in the diversification of anole lizards.
PMID- 29360980
TI - Testicular MTHFR deficiency may explain sperm DNA hypomethylation associated with
high dose folic acid supplementation.
AB - Supplementation with high doses of folic acid, an important mediator of one
carbon transfers for DNA methylation, is used clinically to improve sperm
parameters in infertile men. We recently detected an unexpected loss of DNA
methylation in the sperm of idiopathic infertile men after 6 months of daily
supplementation with 5 mg folic acid (>10* the daily recommended intake-DRI),
exacerbated in men homozygous for a common variant in the gene encoding an
important enzyme in folate metabolism, methylenetetrahydrofolate reductase (MTHFR
677C>T). To investigate the epigenomic impact and mechanism underlying effects of
folic acid on male germ cells, wild-type and heterozygote mice for a targeted
inactivation of the Mthfr gene were fed high-dose folic acid (10* the DRI) or
control diets (CDs) for 6 months. No changes were detected in general health,
sperm counts or methylation of imprinted genes. Reduced representation bisulfite
sequencing revealed sperm DNA hypomethylation in Mthfr+/- mice on the 10* diets.
Wild-type mice demonstrated sperm hypomethylation only with a very high dose
(20*) of folic acid for 12 months. Testicular MTHFR protein levels decreased
significantly in wild-type mice on the 20* diet but not in those on the 10* diet,
suggesting a possible role for MTHFR deficiency in sperm DNA hypomethylation. In
depth analysis of the folic acid-exposed sperm DNA methylome suggested
mouse/human susceptibility of sequences with potential importance to germ cell
and embryo development. Our data provide evidence for a similar cross-species
response to high dose folic acid supplementation, of sperm DNA hypomethylation,
and implicate MTHFR downregulation as a possible mechanism.
PMID- 29360982
TI - Paravalvular mitral leak closure under Eco-X-ray fusion guidance.
PMID- 29360981
TI - From a dominant to an oligogenic model of inheritance with environmental
modifiers in acute intermittent porphyria.
AB - Acute intermittent porphyria (AIP) is a disease affecting the heme biosynthesis
pathway caused by mutations of the hydroxymethylbilane synthase (HMBS) gene. AIP
is thought to display autosomal dominant inheritance with incomplete penetrance.
We evaluated the prevalence, penetrance and heritability of AIP, in families with
the disease from the French reference center for porphyria (CFP) (602 overt
patients; 1968 relatives) and the general population, using Exome Variant Server
(EVS; 12 990 alleles) data. The pathogenicity of the 42 missense variants
identified was assessed in silico, and in vitro, by measuring residual HMBS
activity of the recombinant protein. The minimal estimated prevalence of AIP in
the general population was 1/1299. Thus, 50 000 subjects would be expected to
carry the AIP genetic trait in France. Penetrance was estimated at 22.9% in
families with AIP, but at only 0.5-1% in the general population. Intrafamily
correlation studies showed correlations to be strong overall and modulated by
kinship and the area in which the person was living, demonstrating strong
influences of genetic and environmental modifiers on inheritance. Null alleles
were associated with a more severe phenotype and a higher penetrance than for
other mutant alleles. In conclusion, the striking difference in the penetrance of
HMBS mutations between the general population and the French AIP families
suggests that AIP inheritance does not follow the classical autosomal dominant
model, instead of being modulated by strong environmental and genetic factors
independent from HMBS. An oligogenic inheritance model with environmental
modifiers might better explain AIP penetrance and heritability.
PMID- 29360983
TI - Modification of histone by glyoxal: recognition of glycated histone containing
advanced glycation adducts by serum antibodies of type 1 diabetes patients.
AB - Dicarbonyl compounds react more rapidly, than glucose, with arginine and lysine
in proteins to form advanced glycation end products (AGEs) and further produce
free radicals which cause DNA damage. AGEs are reliable diagnostic biomarkers for
most of the age-related diseases. In the present study histone was modified with
glyoxal and it was characterized by various spectral techniques. Binding
characteristics of the modified histone towards serum antibodies from type 1
diabetes patients was evaluated by solid phase enzyme immunoassay and the results
were compared with normal human subjects. Fluorescence and Fourier transformed
infrared analysis of the nuclear protein clearly indicated changes in their
respective intensities upon modification with glyoxal. Liquid chromatography
together with mass spectrometry showed new peaks and m/z values related to AGE
adducts of dihydroimidazolidines/hydroimidazolones. This glyoxal modified protein
was recognized by serum antibodies of the diabetes patients while it showed
negligible binding with that of normal human subjects. Glyoxal modification of
histone causes structural turbulence and formation of advanced glycation adducts
in histone. These adducts might be the main antigenic epitope of the modified
histone, leading to its recognition by circulating type 1 diabetes antibodies.
PMID- 29360984
TI - Regulation of ciliary function by fibroblast growth factor signaling identifies
FGFR3-related disorders achondroplasia and thanatophoric dysplasia as
ciliopathies.
AB - Cilia project from almost every cell integrating extracellular cues with
signaling pathways. Constitutive activation of FGFR3 signaling produces the
skeletal disorders achondroplasia (ACH) and thanatophoric dysplasia (TD), but
many of the molecular mechanisms underlying these phenotypes remain unresolved.
Here, we report in vivo evidence for significantly shortened primary cilia in ACH
and TD cartilage growth plates. Using in vivo and in vitro methodologies, our
data demonstrate that transient versus sustained activation of FGF signaling
correlated with different cilia consequences. Transient FGF pathway activation
elongated cilia, while sustained activity shortened cilia. FGF signaling extended
primary cilia via ERK MAP kinase and mTORC2 signaling, but not through mTORC1.
Employing a GFP-tagged IFT20 construct to measure intraflagellar (IFT) speed in
cilia, we showed that FGF signaling affected IFT velocities, as well as
modulating cilia-based Hedgehog signaling. Our data integrate primary cilia into
canonical FGF signal transduction and uncover a FGF-cilia pathway that needs
consideration when elucidating the mechanisms of physiological and pathological
FGFR function, or in the development of FGFR therapeutics.
PMID- 29360986
TI - High levels of susceptibility to new and older antibiotics in Neisseria
gonorrhoeae isolates from Saskatchewan (2003-15): time to consider point-of-care
or molecular testing for precision treatment?-authors' response.
PMID- 29360987
TI - Residual echocardiographic and computed tomography findings after thoracoscopic
occlusion of the left atrial appendage using the AtriClip PRO device.
AB - OBJECTIVES: Thoracoscopic occlusion of the left atrial appendage (LAA) has become
a routine part of thoracoscopic ablation for the treatment of atrial fibrillation
(AF). Evaluation of residual findings of the occluded LAA by echocardiography has
yet to be described. METHODS: Patients with AF indicated for hybrid ablation
(thoracoscopic procedure followed by catheter ablation) were enrolled in this
study. LAA was occluded as a routine part of the thoracoscopic procedure. Follow
up transoesophageal echocardiography was performed at the end of the procedure, 2
5 days and 2-3 months after the procedure (before the endocardial stage). The
residual pouches of the LAA were measured in the mitral valve view (30-110
degrees ) and in the perpendicular view. The depth of the residual pouch was
measured from the ostial plane (connecting the Coumadin ridge and the circumflex
artery) to the deepest part of the residuum. The volume of the residual pouch and
the distance from the circumflex artery to the proximal and the distal ends of
the AtriClip were measured using computed tomography. RESULTS: Forty patients
were enrolled in this study. The success rate for the occlusion of the LAA,
assessed on transoesophageal echocardiography 2-5 days after surgery, was 97.5%.
Regarding the residual findings, no reperfused LAAs were found, and only residual
stumps remained. The depth of the stump was 12.9 +/- 5.9 mm, the area was 2.2 +/-
1.1 cm2, and the volume was 3.6 +/- 1.9 ml (all data are shown as mean +/-
standard deviation). CONCLUSIONS: The occlusion of the LAA using an AtriClip PRO
device was a clinically safe procedure with high efficacy and was associated with
the presence of a small residual pouch after occlusion. Clinical trial
registration: NCT02832206.
PMID- 29360985
TI - Temporal requirement of dystroglycan glycosylation during brain development and
rescue of severe cortical dysplasia via gene delivery in the fetal stage.
AB - Congenital muscular dystrophies (CMDs) are characterized by progressive weakness
and degeneration of skeletal muscle. In several forms of CMD, abnormal
glycosylation of alpha-dystroglycan (alpha-DG) results in conditions collectively
known as dystroglycanopathies, which are associated with central nervous system
involvement. We recently demonstrated that fukutin, the gene responsible for
Fukuyama congenital muscular dystrophy, encodes the ribitol-phosphate transferase
essential for dystroglycan function. Brain pathology in patients with
dystroglycanopathy typically includes cobblestone lissencephaly, mental
retardation, and refractory epilepsy; however, some patients exhibit average
intelligence, with few or almost no structural defects. Currently, there is no
effective treatment for dystroglycanopathy, and the mechanisms underlying the
generation of this broad clinical spectrum remain unknown. Here, we analysed four
distinct mouse models of dystroglycanopathy: two brain-selective fukutin
conditional knockout strains (neuronal stem cell-selective Nestin-fukutin-cKO and
forebrain-selective Emx1-fukutin-cKO), a FukutinHp strain with the founder
retrotransposal insertion in the fukutin gene, and a spontaneous Large-mutant
Largemyd strain. These models exhibit variations in the severity of brain
pathology, replicating the clinical heterogeneity of dystroglycanopathy.
Immunofluorescence analysis of the developing cortex suggested that residual
glycosylation of alpha-DG at embryonic day 13.5 (E13.5), when cortical dysplasia
is not yet apparent, may contribute to subsequent phenotypic heterogeneity.
Surprisingly, delivery of fukutin or Large into the brains of mice at E12.5
prevented severe brain malformation in Emx1-fukutin-cKO and Largemyd/myd mice,
respectively. These findings indicate that spatiotemporal persistence of
functionally glycosylated alpha-DG may be crucial for brain development and
modulation of glycosylation during the fetal stage could be a potential
therapeutic strategy for dystroglycanopathy.
PMID- 29360988
TI - CHEK1 coordinates DNA damage signaling and meiotic progression in the male
germline of mice.
AB - The continuity of life depends on mechanisms in the germline that ensure the
integrity of the genome. The DNA damage response/checkpoint kinases ATM and ATR
are essential signaling factors in the germline. However, it remains unknown how
a downstream transducer, Checkpoint Kinase 1 (CHEK1 or CHK1), mediates signaling
in the male germline. Here, we show that CHEK1 has distinct functions in both the
mitotic and meiotic phases of the male germline in mice. In the mitotic phase,
CHEK1 is required for the resumption of prospermatogonia proliferation after
birth and the maintenance of spermatogonia. In the meiotic phase, we uncovered
two functions for CHEK1: one is the stage-specific attenuation of DNA damage
signaling on autosomes, and the other is coordination of meiotic stage
progression. On autosomes, the loss of CHEK1 delays the removal of DNA damage
signaling that manifests as phosphorylation of histone variant H2AX at serine 139
(gammaH2AX). Importantly, CHEK1 does not have a direct function in meiotic sex
chromosome inactivation (MSCI), an essential event in male meiosis, in which ATR
is a key regulator. Thus, the functions of ATR and CHEK1 are uncoupled in MSCI,
in contrast to their roles in DNA damage signaling in somatic cells. Our study
reveals stage-specific functions for CHEK1 that ensure the integrity of the male
germline.
PMID- 29360990
TI - PBP4 activity and its overexpression are necessary for PBP4-mediated high-level
beta-lactam resistance.
AB - Background: PBP4 is typically considered unimportant for conferring high-level
beta-lactam resistance in Staphylococcus aureus. Mutations in PBP4 have been
associated with beta-lactam non-susceptibility among natural strains of S.
aureus. We have previously shown that PBP4 can mediate high-level beta-lactam
resistance in laboratory-generated strains passaged in beta-lactam antibiotics.
Mutations in the pbp4 promoter that up-regulate its expression and missense
mutations that surround PBP4's active site were detected in high frequencies
among passaged strains, suggesting PBP4 plays a key role in resistance. How these
mutations participate in PBP4's ability to provide high-level beta-lactam
resistance is unknown. Objectives: To determine whether enzymatic activity of
PBP4 is required for high-level beta-lactam resistance and to investigate how the
pbp4-associated mutations provide beta-lactam resistance. Methods: The catalytic
activity of PBP4 was disabled through introduction of a serine to alanine point
mutation in its active site (Ser-75->Ala) in a representative and well-studied
passaged strain, CRB. pbp4 promoter and missense mutations detected in CRB were
reconstituted in a WT strain individually and in combination. beta-Lactam
resistance of the resultant strains was evaluated by population analysis.
Bacterial peptidoglycan composition of the pbp4 mutants was evaluated with and
without antibiotic treatment using LC. Results: PBP4 inactivation imparted
complete beta-lactam susceptibility of CRB. Reconstitution of PBP4 missense
mutations alone did not impart beta-lactam resistance, but did so in synergism
with pbp4 promoter mutation. A similar synergistic interaction of pbp4 mutations
was observed in enhanced peptidoglycan cross-linking upon antibiotic treatment.
Conclusions: PBP4's activity and overexpression both contribute to high-level
beta-lactam resistance.
PMID- 29360991
TI - Elevated plasma catecholamines functionally compensate for the reduced myogenic
tone in smooth muscle STIM1 knockout mice but with deleterious cardiac effects.
AB - Aims: Stromal interaction molecule 1 (STIM1) has emerged as an important player
in the regulation of growth and proliferation of smooth muscle cells. Therefore,
we hypothesized that STIM1 plays a crucial role in the maintenance of vascular
integrity. The objective of this study was to evaluate whether reduced expression
of STIM1 could modify the structure and function of the vasculature, leading to
changes in blood pressure (BP). Methods and results: Smooth muscle-specific STIM1
knockout (sm-STIM1 KO) in mice resulted in arteries with ~80% reduced STIM1
protein expression as compared with control mice. Mesenteric vessels exposed to
increasing transmural pressure revealed attenuated myogenic reactivity and
reduced vasoconstrictor response to phenylephrine in sm-STIM1 KO arteries. BP
monitored via telemetry in sm-STIM1 KO and matched controls did not reveal
differences. However, heart rate was significantly increased in sm-STIM1 KO mice.
Consistent with these findings, plasma catecholamine levels were higher in sm
STIM1 KO than in control mice. Increased sympathetic activity in sm-STIM1 KO mice
was unmasked by apha1-adrenergic receptor inhibitor (prazosin) and by treatment
with the ganglion-blocking agent, hexamethonium. Both treatments resulted in a
greater reduction of BP in sm-STIM1 KO mice. Cytoskeleton of cultured smooth
muscle cells was studied by immunocytochemistry using specific antibodies.
Staining for actin and vinculin revealed significant alterations in the
cytoskeletal architecture of cells isolated from sm-STIM1 KO arteries. Finally,
although sm-STIM1 KO mice were protected from Ang II-induced hypertension, such
treatment resulted in significant fibrosis and a rapid deterioration of cardiac
function. Conclusions: STIM1 deletion in smooth muscle results in attenuated
myogenic tone and cytoskeletal defects with detrimental effects on the mechanical
properties of arterial tissue. Although BP is maintained by elevated circulating
catecholamine, this compensatory stimulation has a deleterious long-term effect
on the myocardium.
PMID- 29360992
TI - The female epilepsy protein PCDH19 is a new GABAAR-binding partner that regulates
GABAergic transmission as well as migration and morphological maturation of
hippocampal neurons.
AB - The PCDH19 gene (Xp22.1) encodes the cell-adhesion protein protocadherin-19
(PCDH19) and is responsible for a neurodevelopmental pathology characterized by
female-limited epilepsy, cognitive impairment and autistic features, the
pathogenic mechanisms of which remain to be elucidated. Here, we identified a new
interaction between PCDH19 and GABAA receptor (GABAAR) alpha subunits in the rat
brain. PCDH19 shRNA-mediated downregulation reduces GABAAR surface expression and
affects the frequency and kinetics of miniature inhibitory postsynaptic currents
(mIPSCs) in cultured hippocampal neurons. In vivo, PCDH19 downregulation impairs
migration, orientation and dendritic arborization of CA1 hippocampal neurons and
increases rat seizure susceptibility. In sum, these data indicate a role for
PCDH19 in GABAergic transmission as well as migration and morphological
maturation of neurons.
PMID- 29360993
TI - Unpaid Caregiving Roles and Sleep Among Women Working in Nursing Homes: A
Longitudinal Study.
AB - Background and Objectives: Although sleep is a critical health outcome providing
insight into overall health, well-being, and role functioning, little is known
about the sleep consequences of simultaneously occupying paid and unpaid
caregiving roles. This study investigated the frequency with which women employed
in U.S.-based nursing homes entered and exited unpaid caregiving roles for
children (double-duty-child caregivers), adults (double-duty-elder caregivers),
or both (triple-duty caregivers), as well as examined how combinations of and
changes in these caregiving roles related to cross-sectional and longitudinal
sleep patterns. Research Design and Methods: The sample comprised 1,135 women
long-term care employees who participated in the baseline wave of the Work,
Family, and Health Study and were assessed at three follow-up time points (6-, 12
, and 18-months). Sleep was assessed with items primarily adapted from the
Pittsburgh Sleep Quality Index and wrist actigraphic recordings. Multilevel
models with data nested within persons were applied. Results: Women long-term
care employees entered and exited the unpaid elder caregiving role most
frequently. At baseline, double-duty-child and triple-duty caregivers reported
shorter sleep quantity and poorer sleep quality than their counterparts without
unpaid caregiving roles, or workplace-only caregivers. Double-duty-elder
caregivers also reported shorter sleep duration compared to workplace-only
caregivers. Over time, double-duty-elder caregiving role entry was associated
with negative changes in subjective sleep quantity and quality. Discussion and
Implications: Simultaneously occupying paid and unpaid caregiving roles has
negative implications for subjective sleep characteristics. These results call
for further research to advance understanding of double-and-triple-duty
caregivers' sleep health and facilitate targeted intervention development.
PMID- 29360989
TI - Evolution of drug resistance in Mycobacterium tuberculosis: a review on the
molecular determinants of resistance and implications for personalized care.
AB - Drug-resistant TB (DR-TB) remains a significant challenge in TB treatment and
control programmes worldwide. Advances in sequencing technology have
significantly increased our understanding of the mechanisms of resistance to anti
TB drugs. This review provides an update on advances in our understanding of drug
resistance mechanisms to new, existing drugs and repurposed agents. Recent
advances in WGS technology hold promise as a tool for rapid diagnosis and
clinical management of TB. Although the standard approach to WGS of Mycobacterium
tuberculosis is slow due to the requirement for organism culture, recent attempts
to sequence directly from clinical specimens have improved the potential to
diagnose and detect resistance within days. The introduction of new databases may
be helpful, such as the Relational Sequencing TB Data Platform, which contains a
collection of whole-genome sequences highlighting key drug resistance mutations
and clinical outcomes. Taken together, these advances will help devise better
molecular diagnostics for more effective DR-TB management enabling personalized
treatment, and will facilitate the development of new drugs aimed at improving
outcomes of patients with this disease.
PMID- 29360994
TI - The risk of spinal cord injury during the frozen elephant trunk procedure in
acute aortic dissection.
AB - OBJECTIVES: The aim of the study was to access the extended occlusion of the
intercostal arteries by a stent graft in the development of postoperative spinal
cord injury during aortic arch surgery using the frozen elephant trunk technique.
METHODS: A total of 37 consecutive patients underwent total aortic arch surgery
using the frozen elephant trunk technique between March 2012 and July 2017. The
mean age of the patients was 54.7 +/- 10.5 years. Type A and Type B aortic
dissections were the indications for surgery. Moderate hypothermia and antegrade
cerebral perfusion via the innominate artery were utilized. The mean diameter of
the implanted stent graft was 27.7 +/- 2 mm (range 24-30 mm). RESULTS: No
permanent spinal cord injuries occurred. The distal edge of the stent graft was
in the T7-T12 range. Its lower edge was implanted at the T9-T12 level in 25
(67.6%) cases. Preoperatively, the mean number of intercostal arteries was 10 +/-
1 on the left side and 10 +/- 2 on the right side (P = 0.59). Postoperatively,
the mean number of open segmental arteries was 3 +/- 2 on the left and 4 +/- 1 on
the right (P = 0.003). CONCLUSIONS: The frozen elephant trunk procedure is
associated with the occlusion of most (two-thirds) of the intercostal arteries.
Maintenance of adequate blood flow in the subclavian and iliac arteries is an
integral prerequisite for a favourable outcome. The level of the deployment of
the distal edge of the stent graft does not play a defining role.
PMID- 29360995
TI - Impact of problem-based charting on the utilization and accuracy of the
electronic problem list.
AB - Objective: Problem-based charting (PBC) is a method for clinician documentation
in commercially available electronic medical record systems that integrates note
writing and problem list management. We report the effect of PBC on problem list
utilization and accuracy at an academic intensive care unit (ICU). Materials and
Methods: An interrupted time series design was used to assess the effect of PBC
on problem list utilization, which is defined as the number of new problems added
to the problem list by clinicians per patient encounter, and of problem list
accuracy, which was determined by calculating the recall and precision of the
problem list in capturing 5 common ICU diagnoses. Results: In total, 3650 and
4344 patient records were identified before and after PBC implementation at
Stanford Hospital. An increase of 2.18 problems (>50% increase) in the mean
number of new problems added to the problem list per patient encounter can be
attributed to the initiation of PBC. There was a significant increase in recall
attributed to the initiation of PBC for sepsis (beta = 0.45, P < .001) and acute
renal failure (beta = 0.2, P = .007), but not for acute respiratory failure,
pneumonia, or venous thromboembolism. Discussion: The problem list is an
underutilized component of the electronic medical record that can be a source of
clinician-structured data representing the patient's clinical condition in real
time. PBC is a readily available tool that can integrate problem list management
into physician workflow. Conclusion: PBC improved problem list utilization and
accuracy at an academic ICU.
PMID- 29360996
TI - Feature specific quantile normalization enables cross-platform classification of
molecular subtypes using gene expression data.
AB - Motivation: Molecular subtypes of cancers and autoimmune disease, defined by
transcriptomic profiling, have provided insight into disease pathogenesis,
molecular heterogeneity and therapeutic responses. However, technical biases
inherent to different gene expression profiling platforms present a unique
problem when analyzing data generated from different studies. Currently, there is
a lack of effective methods designed to eliminate platform-based bias. We present
a method to normalize and classify RNA-seq data using machine learning
classifiers trained on DNA microarray data and molecular subtypes in two
datasets: breast invasive carcinoma (BRCA) and colorectal cancer (CRC). Results:
Multiple analyses show that feature specific quantile normalization (FSQN)
successfully removes platform-based bias from RNA-seq data, regardless of feature
scaling or machine learning algorithm. We achieve up to 98% accuracy for BRCA
data and 97% accuracy for CRC data in assigning molecular subtypes to RNA-seq
data normalized using FSQN and a support vector machine trained exclusively on
DNA microarray data. We find that maximum accuracy was achieved when normalizing
RNA-seq datasets that contain at least 25 samples. FSQN allows comparison of RNA
seq data to existing DNA microarray datasets. Using these techniques, we can
successfully leverage information from existing gene expression data in new
analyses despite different platforms used for gene expression profiling.
Availability and implementation: FSQN has been submitted as an R package to CRAN.
All code used for this study is available on Github
(https://github.com/jenniferfranks/FSQN). Contact:
michael.l.whitfield@dartmouth.edu. Supplementary information: Supplementary data
are available at Bioinformatics online.
PMID- 29360997
TI - Novel regenerative therapy combined with transphrenic peritoneoscopy-assisted
omentopexy.
AB - OBJECTIVES: We previously reported that cell sheet transplantation combined with
an omentopexy (OP) procedure is more effective for repairing heart damage when
compared with cell sheet transplantation alone. However, a simultaneous
(conventional) laparotomy as part of the OP may adversely affect the general
condition of critically ill heart failure patients who would otherwise benefit
from cell sheet transplantation, which is a paradox to be reconciled before this
treatment can be applied in a clinical setting. We devised a novel endoscopic
approach termed 'transphrenic peritoneoscopy' (TPP) for minimal access to
abdominal organs from the thoracic cavity. Herein, we evaluated the feasibility
and safety of TPP with an OP in a porcine myocardial infarction model. METHODS:
Myocardial infarction was induced in 4 mini pigs by placing an ameroid
constrictor around the left anterior descending artery. One month later, a left
thoracotomy was performed in 2 randomly selected mini pigs, and a laparoscopic
port was placed on the left diaphragm to gain access into the abdominal cavity.
Using a low-pressure pneumoperitoneum, a flexible gastrointestinal endoscope was
advanced, then the omentum was partially grasped with endoscopic forceps and
brought back into the thoracic cavity via the diaphragm. Skeletal myoblast cell
sheets were then implanted over the impaired myocardium, followed by placing the
omentum over the sheets. RESULTS: TPP-assisted OP was accomplished in 2 post
myocardial infarction mini pigs with severe heart failure with an intra-abdominal
pressure <=8 mmHg within 30 min (22 and 27 min, respectively). Necropsy findings
revealed a viable omentum flap and pedicle in both animals, with no evidence of
procedure-related complications. Angiographic and histological analyses confirmed
vessel communication between the omentum and the left ventricle. CONCLUSIONS: Our
TPP approach was shown to be feasible and safe with a low-pressure
pneumoperitoneum, while the omentum flap was durable. This successful combination
of techniques may provide less-invasive endoscopic intervention and regenerative
therapy.
PMID- 29360999
TI - dsRNA Injection Successfully Inhibited Two Endogenous beta-Glucosidases in
Coptotermes formosanus (Isoptera: Rhinotermitidae).
AB - Cellulose digestion is an essential process of termites, and it is accomplished
by three types of cellulases. beta-Glucosidase (BG), one of the critical
cellulases responsible for cellulose degradation and glucose production, has been
considered as a potential target for pest management strategies. Previous
experiments identified two new endogenous BG homologs, CfBG-Ia and CfBG-Ib, in
the digestive system of Coptotermes formosanus Shiraki (Isoptera:
Rhinotermitidae). The objectives of this study were to assess the impact of RNA
interference on CfBG-Ia and CfBG-Ib expression and on termite survival. We tested
the expression profiles of worker termites which were injected with gene-specific
double-stranded RNA (dsRNA, targeting one gene at a time) and a dsRNA cocktail
(targeting CfBG-Ia and CfBG-Ib simultaneously). The expression of CfBG-Ib showed
a sharp decline in both dsCfBG-Ib and dsRNA cocktail treatments. The expression
of CfBG-Ia reduced quickly and significantly in the dsRNA cocktail treatment;
while in dsCfBG-Ia treatment, it decreased on the fifth day. Results showed that
treatment with the dsRNA cocktail caused greater inhibition of the transcript
expression and a shorter response time. However, the expression of nontarget BG
homologs was increased as the target BG homologs were being repressed during the
testing period in dsRNA cocktail treatment. These results demonstrate that
targeting cellulase-coding genes may be a potential strategy to inhibit termite
digestion process, or at least dsRNA cocktails serve as a means for identifying
the most susceptible target gene families or biological processes.
PMID- 29361000
TI - Biological Activity of Bacillus thuringiensis (Bacillales: Bacillaceae) in
Anastrepha fraterculus (Diptera: Tephritidae).
AB - Anastrepha fraterculus (Wiedemann) (Diptera: Tephritidae) is considered to be one
of the major pest insects in fruit orchards worldwide. Bacillus thuringiensis
Berliner (Bacillales: Bacillaceae) strains are widely used as biological control
agents and show high biological activity against different insect species. The
objective of this study was to evaluate the biological activity of different
strains of B. thuringiensis against A. fraterculus larvae and adults. Bioassays
were performed using suspensions of bacterial spores/crystals of B. thuringiensis
var. israelensis (Bti), kurstaki (Btk), and oswaldocruzi (Bto) strains at three
concentrations [2 * 107, 2 * 108, and 2 * 109 colony-forming units per ml (CFU ml
1)]. At a concentration of 2 * 109 CFU ml-1, a significant larval effect
(mortality 60%) was observed when compared with the control treatment. Larvae
that ingested spore/crystal suspensions of Bti, Btk, or Bto bacterial strains
exhibited significant larval and pupal deformations, leading to a significant
decrease (~50%) in the completion of the insects' biological cycle (egg to
adult). The B. thuringiensis strains (Bti, Btk, or Bto) at a concentration of 2 *
109 CFU ml-1 in combination with one food attractant (BioAnastrepha 3% or
CeraTrap 1.5%) in formulations of toxic baits provided high mortality (mortality
> 85%) of A. fraterculus adults 7 d after treatment. However, the Btk strain in
combination with CeraTrap 1.5% caused mortality of 40%. On the basis of these
results, the native bacterial strains Bti, Btk, and Bto were considered to be
promising candidates as biological control agents against A. fraterculus.
PMID- 29361001
TI - Cost-effectiveness of Population-Based BRCA1, BRCA2, RAD51C, RAD51D, BRIP1, PALB2
Mutation Testing in Unselected General Population Women.
AB - Background: The cost-effectiveness of population-based panel testing for high-
and moderate-penetrance ovarian cancer (OC)/breast cancer (BC) gene mutations is
unknown. We evaluate the cost-effectiveness of population-based
BRCA1/BRCA2/RAD51C/RAD51D/BRIP1/PALB2 mutation testing compared with clinical
criteria/family history (FH) testing in unselected general population women.
Methods: A decision-analytic model comparing lifetime costs and effects of
criteria/FH-based BRCA1/BRCA2 testing is compared with
BRCA1/BRCA2/RAD51C/RAD51D/BRIP1/PALB2 testing in those fulfilling clinical
criteria/strong FH of cancer (>=10% BRCA1/BRCA2 probability) and all women age 30
years or older. Analyses are presented for UK and US populations. Identified
carriers undergo risk-reducing salpingo-oophorectomy. BRCA1/BRCA2/PALB2 carriers
can opt for magnetic resonance imaging/mammography, chemoprevention, or risk
reducing mastectomy. One-way and probabilistic sensitivity analysis (PSA) enabled
model uncertainty evaluation. Outcomes include OC, BC, and additional heart
disease deaths. Quality-adjusted life-years (QALYs), OC incidence, BC incidence,
and incremental cost-effectiveness ratio (ICER) were calculated. The time horizon
is lifetime and perspective is payer. Results: Compared with clinical criteria/FH
based BRCA1/BRCA2 testing, clinical criteria/FH-based
BRCA1/BRCA2/RAD51C/RAD51D/BRIP1/PALB2 testing is cost-effective (ICER =
L7629.65/QALY or $49 282.19/QALY; 0.04 days' life-expectancy gained). Population
based testing for BRCA1/BRCA2/RAD51C/RAD51D/BRIP1/PALB2 mutations is the most
cost-effective strategy compared with current policy: ICER = L21 599.96/QALY or
$54 769.78/QALY (9.34 or 7.57 days' life-expectancy gained). At L30 000/QALY and
$100 000/QALY willingness-to-pay thresholds, population-based
BRCA1/BRCA2/RAD51C/RAD51D/BRIP1/PALB2 panel testing is the preferred strategy in
83.7% and 92.7% of PSA simulations; criteria/FH-based panel testing is preferred
in 16.2% and 5.8% of simulations, respectively. Population-based
BRCA1/BRCA2/RAD51C/RAD51D/BRIP1/PALB2 testing can prevent 1.86%/1.91% of BC and
3.2%/4.88% of OC in UK/US women: 657/655 OC cases and 2420/2386 BC cases
prevented per million. Conclusions: Population-based
BRCA1/BRCA2/RAD51C/RAD51D/BRIP1/PALB2 testing is more cost-effective than any
clinical criteria/FH-based strategy. Clinical criteria/FH-based
BRCA1/BRCA2/RAD51C/RAD51D/BRIP1/PALB2 testing is more cost-effective than
BRCA1/BRCA2 testing alone.
PMID- 29361002
TI - Aspirin and Risk of Gastric Cancer After Helicobacter pylori Eradication: A
Territory-Wide Study.
AB - Background: Despite successful H. pylori (HP) eradication, some individuals
remain at risk of developing gastric cancer (GC). Previous studies showed that
aspirin was associated with a reduced GC risk. However, whether aspirin can
reduce GC risk in HP-eradicated subjects remains unknown. We aimed to determine
the chemopreventive effect of aspirin in HP-eradicated subjects. Methods: We
identified subjects who had received a prescription of clarithromycin-based
triple therapy for HP between 2003 and 2012 from a territory-wide health care
database. The observation period started from commencement of HP therapy (index
date), and the follow-up was censored at the end of the study (December 2015),
death, or GC diagnosis. Aspirin use was defined as use once or more often weekly.
Subjects who failed HP eradication or were diagnosed with GC within 12 months of
HP therapy were excluded. The hazard ratio (HR) of GC with aspirin use was
calculated by Cox model with Propensity Score adjustment for age, sex,
comorbidities, and concurrent medications. All statistical tests were two-sided.
Results: The median follow-up was 7.6 years (interquartile range [IQR] = 5.1-10.3
years), and 169 (0.27%) out of 63 605 patients developed GC. The incidence rate
of GC was 3.5 per 10 000 person-years. Aspirin use was associated with a reduced
GC risk (HR = 0.30, 95% confidence interval [CI] = 0.15 to 0.61). The risk of GC
decreased with increasing frequency, duration, and dose of aspirin (all Ptrend <
.001). Conclusions: Aspirin use was associated with a frequency-, dose-, and
duration-dependent reduction in GC risk after HP eradication. The effect was most
prominent in those who used aspirin daily or for five or more years.
PMID- 29360998
TI - Concussion, microvascular injury, and early tauopathy in young athletes after
impact head injury and an impact concussion mouse model.
AB - The mechanisms underpinning concussion, traumatic brain injury, and chronic
traumatic encephalopathy, and the relationships between these disorders, are
poorly understood. We examined post-mortem brains from teenage athletes in the
acute-subacute period after mild closed-head impact injury and found
astrocytosis, myelinated axonopathy, microvascular injury, perivascular
neuroinflammation, and phosphorylated tau protein pathology. To investigate
causal mechanisms, we developed a mouse model of lateral closed-head impact
injury that uses momentum transfer to induce traumatic head acceleration.
Unanaesthetized mice subjected to unilateral impact exhibited abrupt onset,
transient course, and rapid resolution of a concussion-like syndrome
characterized by altered arousal, contralateral hemiparesis, truncal ataxia,
locomotor and balance impairments, and neurobehavioural deficits. Experimental
impact injury was associated with axonopathy, blood-brain barrier disruption,
astrocytosis, microgliosis (with activation of triggering receptor expressed on
myeloid cells, TREM2), monocyte infiltration, and phosphorylated tauopathy in
cerebral cortex ipsilateral and subjacent to impact. Phosphorylated tauopathy was
detected in ipsilateral axons by 24 h, bilateral axons and soma by 2 weeks, and
distant cortex bilaterally at 5.5 months post-injury. Impact pathologies co
localized with serum albumin extravasation in the brain that was diagnostically
detectable in living mice by dynamic contrast-enhanced MRI. These pathologies
were also accompanied by early, persistent, and bilateral impairment in axonal
conduction velocity in the hippocampus and defective long-term potentiation of
synaptic neurotransmission in the medial prefrontal cortex, brain regions distant
from acute brain injury. Surprisingly, acute neurobehavioural deficits at the
time of injury did not correlate with blood-brain barrier disruption,
microgliosis, neuroinflammation, phosphorylated tauopathy, or
electrophysiological dysfunction. Furthermore, concussion-like deficits were
observed after impact injury, but not after blast exposure under experimental
conditions matched for head kinematics. Computational modelling showed that
impact injury generated focal point loading on the head and seven-fold greater
peak shear stress in the brain compared to blast exposure. Moreover,
intracerebral shear stress peaked before onset of gross head motion. By
comparison, blast induced distributed force loading on the head and diffuse,
lower magnitude shear stress in the brain. We conclude that force loading
mechanics at the time of injury shape acute neurobehavioural responses,
structural brain damage, and neuropathological sequelae triggered by neurotrauma.
These results indicate that closed-head impact injuries, independent of
concussive signs, can induce traumatic brain injury as well as early pathologies
and functional sequelae associated with chronic traumatic encephalopathy. These
results also shed light on the origins of concussion and relationship to
traumatic brain injury and its aftermath.awx350media15713427811001.
PMID- 29361003
TI - Efficacy of Two Systemic Insecticides With Stem Gall Wasp, Zapatella davisae
(Hymenoptera: Cynipidae) on Black Oak.
AB - Black oak, Quercus velutina Lamarck, is the dominant deciduous tree on Cape Cod,
Nantucket, and Martha's Vineyard, Massachusetts, and in recent years it has
experienced widespread mortality and severe canopy loss due to infestations of a
stem gall wasp, Zapatella davisae Buffington and Melika (Hymenoptera: Cynipidae).
A single application of systemic insecticides emamectin benzoate and imidacloprid
was found to reduce or prevent further accumulation of Z. davisae damage on
infested black oak during a 1-yr trial.
PMID- 29361004
TI - It is time to give social research a voice to tackle antimicrobial resistance?
PMID- 29361005
TI - Influence of Birth Cohort on Effectiveness of 2015-2016 Influenza Vaccine Against
Medically Attended Illness Due to 2009 Pandemic Influenza A(H1N1) Virus in the
United States.
AB - Background: The effectiveness of influenza vaccine during 2015-2016 was reduced
in some age groups as compared to that in previous 2009 pandemic influenza
A(H1N1) virus (A[H1N1]pdm09 virus)-predominant seasons. We hypothesized that the
age at first exposure to specific influenza A(H1N1) viruses could influence
vaccine effectiveness (VE). Methods: We estimated the effectiveness of influenza
vaccine against polymerase chain reaction-confirmed influenza A(H1N1)pdm09
associated medically attended illness from the 2010-2011 season through the 2015
2016 season, according to patient birth cohort using data from the Influenza
Vaccine Effectiveness Network. Birth cohorts were defined a priori on the basis
of likely immunologic priming with groups of influenza A(H1N1) viruses that
circulated during 1918-2015. VE was calculated as 100 * [1 - adjusted odds ratio]
from logistic regression models comparing the odds of vaccination among influenza
virus-positive versus influenza test-negative patients. Results: A total of 2115
A(H1N1)pdm09 virus-positive and 14 696 influenza virus-negative patients aged >=6
months were included. VE was 61% (95% confidence interval [CI], 56%-66%) against
A(H1N1)pdm09-associated illness during the 2010-2011 through 2013-2014 seasons,
compared with 47% (95% CI, 36%-56%) during 2015-2016. During 2015-2016,
A(H1N1)pdm09-specific VE was 22% (95% CI, -7%-43%) among adults born during 1958
1979 versus 61% (95% CI, 54%-66%) for all other birth cohorts combined.
Conclusion: Findings suggest an association between reduced VE against influenza
A(H1N1)pdm09-related illness during 2015-2016 and early exposure to specific
influenza A(H1N1) viruses.
PMID- 29361006
TI - Pediatric Chordomas: Results of a Multicentric Study of 40 Children and Proposal
for a Histopathological Prognostic Grading System and New Therapeutic Strategies.
AB - Pediatric chordomas are rare malignant neoplasms, and few data are available for
optimizing therapeutic strategies and outcome. This study aimed at evaluating how
best to manage them and to identify prognostic factors. This multicentric
retrospective study included 40 children diagnosed with chordomas between 1966
and 2012. Clinical, radiological, and histopathological data, treatment
modalities, and outcomes were reviewed. The median age was 12 years old. Most
chordomas were histologically classical forms (45.5%) and were mostly located at
the skull base (72.5%). The overall survival (OS) was 66.6% and 58.6%, and
progression-free survival (PFS) was 55.7% and 52% at 5 and 10 years,
respectively. Total resection was correlated with a better outcome (p = 0.04 for
OS and PFS, log-rank). A histopathological/immunohistochemical grading system
recently crafted for adults was applied. In a multivariate analysis, it
significantly correlated with outcome (PFS and OS, p = 0.004), and the loss of
BAF47 immunoexpression appeared to be a significant independent prognostic factor
(PFS, p = 0.033). We also identified clinical and histopathological parameters
that correlated with prognosis. A new grading system combined with the quality of
surgical resection could help classify patients to postpone radiotherapy in case
of low risk. Targeted therapy and reirradiation at recurrence may be considered
as potential therapeutic strategies.
PMID- 29361007
TI - Design of an Attractant for Empoasca onukii (Hemiptera: Cicadellidae) Based on
the Volatile Components of Fresh Tea Leaves.
AB - The tea leafhopper, Empoasca onukii Matsuda, is a serious pest of the tea plant.
E. onukii prefers to inhabit vigorously growing tender tea leaves. The host
selection of E. onukii adults may be associated with plant volatile compounds
(VOCs). We sought to identify potentially attractive VOCs from tea leaves at
three different ages and test the behavioral responses of E. onukii adults to
synthetic VOC blends in the laboratory and field to aid in developing an E.
onukii adult attractant. In darkness, the fresh or mature tea leaves of less than
1-mo old could attract more leafhoppers than the mature branches (MB) that had
many older leaves (leaf age >1 mo). Volatile analysis showed that the VOC
composition of the fresh leaves was the same as that of the mature leaves, but
linalool and indole were not at detectable levels in VOCs from the MB. Moreover,
the mass ratio differed for each common volatile in the three types of tea
leaves. When under competition with volatiles from the MB, the leafhoppers showed
no significant tropism to each single volatile but could be attracted by the
synthetic volatile blend imitating the fresh leaves. With the removal of some
volatile components, the effective synthetic volatile blend was mixed with (Z)-3
hexen-1-ol, (Z)-3-hexenyl acetate, and linalool at a mass ratio of 0.6:23:12.6.
These three volatiles may be the key components for the host selection of E.
onukii adults and could be used as an attractant in tea gardens.
PMID- 29361009
TI - Erratum.
PMID- 29361008
TI - The Value of Helicobacter Eradication in Long-term Aspirin Users.
PMID- 29361010
TI - Pest Prevalence and Evaluation of Community-Wide Integrated Pest Management for
Reducing Cockroach Infestations and Indoor Insecticide Residues.
AB - Pest infestations in residential buildings are common, but community-wide pest
survey data are lacking. Frequent insecticide applications for controlling indoor
pests leave insecticide residues and pose potential health risks to residents. In
this study, a community-wide pest survey was carried out in a housing complex
consisting of 258 units in 40 buildings in New Brunswick, New Jersey. It was
immediately followed by implementation of an integrated pest management (IPM)
program in all the cockroach-infested apartments and two bed bug apartments with
the goal of eliminating pest infestations, reducing pyrethroid residues, and
increasing resident satisfaction with pest control services. The IPM-treated
apartments were revisited and treated biweekly or monthly for 7 mo. Initial
inspection found the top three pests and their infestation rates to be as
follows: German cockroaches (Blattella germanica L. [Blattodea: Blattellidae]),
28%; rodents, 11%; and bed bugs (Cimex lectularius L. [Hemiptera: Cimicidae]),
8%. Floor wipe samples were collected in the kitchens and bedrooms of 20
apartments for pyrethroid residue analysis before the IPM implementation; 17 of
the 20 apartments were resampled again at 7 mo. The IPM program reduced cockroach
counts per apartment by 88% at 7 wk after initial treatment. At 7 mo, 85% of the
cockroach infestations found in the initial survey were eliminated. The average
number of pyrethroids detected decreased significantly from 6 +/- 1 (mean +/-
SEM) and 5 +/- 1 to 2 +/- 1 and 3 +/- 1 in the kitchens and bedrooms,
respectively. The average concentrations of targeted pyrethroids residue also
decreased significantly in the kitchens and bedrooms.
PMID- 29361011
TI - A New Species of the Simulium (Simulium) striatum Species Group (Diptera:
Simuliidae) from Thailand, and Its Differentiation from Two Related Species Based
on a Fast-Evolving Nuclear Gene.
AB - Simulium (Simulium) phraense sp. nov. (Diptera: Simuliidae) is described from
females, males, pupae, and larvae from Thailand. This new species is placed in
the Simulium striatum species group and is most similar to Simulium (Simulium)
nakhonense Takaoka & Suzuki (Diptera: Simuliidae) from Thailand among species of
the same species group but is barely distinguished from the latter species by
lacking annular ridges on the surface of the pupal gill filaments. The fast
evolving nuclear big zinc finger (BZF) gene has successfully differentiated this
new species from its allies, S. (S.) nakhonense and Simulium (Simulium)
chiangmaiense Takaoka & Suzuki (Diptera: Simuliidae) of the S. striatum species
group. The BZF gene sequences show that this new species is more closely related
to S. (S.) nakhonense than to S. (S.) chiangmaiense, further supporting its
morphological classification.
PMID- 29361012
TI - Supplements to Help Prevent Neuropathy During Chemotherapy? A Cautionary Tale.
PMID- 29361013
TI - The Effects of the Insect Growth Regulators Methoxyfenozide and Pyriproxyfen and
the Acaricide Bifenazate on Honey Bee (Hymenoptera: Apidae) Forager Survival.
AB - The honey bee (Apis mellifera L. (Hymenoptera: Apidae)) contributes an essential
role in the U.S. economy by pollinating major agricultural crops including
almond, which depends entirely on honey bee pollination for successful nut set.
Almond orchards are often treated with pesticides to control a variety of pests
and pathogens, particularly during bloom. While the effects to honey bee health
of some insecticides, particularly neonicotinoids, have received attention
recently, the impact of other types of insecticides on honey bee health is less
clear. In this study, we examined the effects to honey bee forager survival of
three non-neonicotinoid pesticides widely used during the 2014 California almond
bloom. We collected foragers from a local apiary and exposed them to three
pesticides at the label dose, or at doses ranging from 0.5 to 3 times the label
dose rate. The selected pesticides included the insect growth regulators
methoxyfenozide and pyriproxyfen, and the acaricide bifenazate. We simulated
field exposure of honey bees to these pesticides during aerial application in
almond orchards by using a wind tunnel and atomizer set up with a wind speed of
2.9 m/s. Experimental groups consisting of 30-40 foragers each were exposed to
either untreated controls or pesticide-laden treatments and were monitored every
24 hr over a 10-d period. Our results revealed a significant negative effect of
all pesticides tested on forager survival. Therefore, we suggest increased
caution in the application of these pesticides in almond orchards or any
agricultural crop during bloom to avoid colony health problems.
PMID- 29361014
TI - The assembly mechanism of coiled-coil domains of the yeast cargo receptors
Emp46p/47p and the mutational alteration of pH-dependency of complex formation.
AB - The coiled-coil domains of the putative yeast cargo receptors Emp46p and Emp47p
are responsible for their complex-formation in the Endoplasmic Reticulum. In
vitro experiments using coiled-coil domains (Emp46pcc/47pcc) have indicated that
formation of the hetero-complex is pH-dependent and that amino acid Glu303 of
Emp46pcc is a key residue in this process. In this study, we investigated the
effects of various mutations on complex formation and discovered the mechanism
for its pH-dependency, which is that dissociation of the complex at low pH arises
mainly from stabilization of Emp46pcc itself. Moreover, destabilization by the
introduction of a histidine residue in Emp46pcc to repel a lysine residue in
Emp47pcc, caused an upward shift in the pH profile of complex formation. Another
mutation in Emp46pcc, a proline to an alanine (P291A), increased the stability of
the helical structure, especially at low pH and shifted the transition pH upward.
Combination of these pH-shifting mutations had an additive effect on the pH
profile of complex formation. Thus, we successfully constructed coiled-coils that
can react to a wide range of pH, encompassing more appropriate values for use in
sensing physiological pH changes in the cell.
PMID- 29361016
TI - The Influence of Reported Penicillin Allergy.
PMID- 29361015
TI - The Impact of a Reported Penicillin Allergy on Surgical Site Infection Risk.
AB - Background: A reported penicillin allergy may compromise receipt of recommended
antibiotic prophylaxis intended to prevent surgical site infections (SSIs). Most
patients with a reported penicillin allergy are not allergic. We determined the
impact of a reported penicillin allergy on the development of SSIs. Methods: In
this retrospective cohort study of Massachusetts General Hospital hip
arthroplasty, knee arthroplasty, hysterectomy, colon surgery, and coronary artery
bypass grafting patients from 2010 to 2014, we compared patients with and without
a reported penicillin allergy. The primary outcome was an SSI, as defined by the
Centers for Disease Control and Prevention's National Healthcare Safety Network.
The secondary outcome was perioperative antibiotic use. Results: Of 8385 patients
who underwent 9004 procedures, 922 (11%) reported a penicillin allergy, and 241
(2.7%) had an SSI. In multivariable logistic regression, patients reporting a
penicillin allergy had increased odds (adjusted odds ratio, 1.51; 95% confidence
interval, 1.02-2.22) of SSI. Penicillin allergy reporters were administered less
cefazolin (12% vs 92%; P < .001) and more clindamycin (49% vs 3%; P < .001),
vancomycin (35% vs 3%; P < .001), and gentamicin (24% vs 3%; P < .001) compared
with those without a reported penicillin allergy. The increased SSI risk was
entirely mediated by the patients' receipt of an alternative perioperative
antibiotic; between 112 and 124 patients with reported penicillin allergy would
need allergy evaluation to prevent 1 SSI. Conclusions: Patients with a reported
penicillin allergy had a 50% increased odds of SSI, attributable to the receipt
of second-line perioperative antibiotics. Clarification of penicillin allergies
as part of routine preoperative care may decrease SSI risk.
PMID- 29361018
TI - A Diffuse Fine Papular and Pustular Rash in a Man With Advanced Human
Immunodeficiency Virus and Diabetes.
PMID- 29361019
TI - A Diffuse Fine Papular and Pustular Rash in a Man With Advanced Human
Immunodeficiency Virus and Diabetes.
PMID- 29361020
TI - Blends of Pheromones, With and Without Host Plant Volatiles, Can Attract Multiple
Species of Cerambycid Beetles Simultaneously.
AB - Pheromone components of cerambycid beetles are often conserved, with a given
compound serving as a pheromone component for multiple related species, including
species native to different continents. Consequently, a single synthesized
compound may attract multiple species to a trap simultaneously. Furthermore, our
previous research in east-central Illinois had demonstrated that pheromones of
different species can be combined to attract an even greater diversity of
species. Here, we describe the results of field bioassays in the northeastern,
midwestern, southeastern, south-central, and southwestern United States that
assessed attraction of cerambycids to a 'generic' pheromone blend containing six
known cerambycid pheromone components, versus the individual components of the
blend, and how attraction was influenced by plant volatiles. Nineteen species
were attracted in significant numbers, with the pheromone blend attracting about
twice as many species as any of the individual components. The blend attracted
species of three subfamilies, whereas individual components attracted species
within one subfamily. However, some antagonistic interactions between blend
components were identified. The plant volatiles ethanol and alpha-pinene usually
enhanced attraction to the blend. Taken together, these experiments suggest that
blends of cerambycid pheromones, if selected carefully to minimize inhibitory
effects, can be effective for sampling a diversity of species, and that plant
volatiles generally enhance attraction. Such generic pheromone blends may serve
as an effective and economical method of detecting incursions of exotic,
potentially invasive species.
PMID- 29361021
TI - Closing in on the Reservoir: Proactive Case Detection in High-Risk Groups as a
Strategy to Detect Plasmodium falciparum Asymptomatic Carriers in Cambodia.
AB - Background: In the frame of elimination strategies of Plasmodium falciparum (Pf),
active case detection has been recommended as complementary approach to the
existing passive case detection programs. We trialed a polymerase chain reaction
(PCR)-based active detection strategy targeting asymptomatic individuals, named
proactive case detection (PACD), with the aim of assessing its feasibility, the
extra yield of Pf infections, and the at-risk population for Pf carriage status.
Methods: A pilot of PACD was conducted in 3 villages in Chey Saen district (Preah
Vihear province, Cambodia), from December 2015 to March 2016. Voluntary screening
and treatment, following health promotion sensitization, was used as mobilization
strategy. Results: A total of 2802 persons were tested, representing 54% of the
population. PACD (n = 30) and the respective reactive case detection (RACD) (n =
3) identified 33 Pf carriers, approximately twice as many as the Pf infections (n
= 17) diagnosed in passive case detection and respective RACD, by health centers
and village malaria workers using PCR, in the same villages/period. Final
positivity rate was 1.07% (30/2802). People spending nighttime in forests and
plantations were found to be at increased risk for Pf infection (odds ratio [OR],
3.4 [95% CI, 1.6-7.2], P = .002 and OR, 2.3 [95% CI, 1.1-4.9], P = .03,
respectively). Conclusions: We demonstrated the usefulness of the PACD component
in identifying Pf asymptomatic carriers. Social mobilization and promotion led to
good attendance of specific risk groups, identified to be, in the Cambodian
context, individuals spending nighttime in forest and plantations.
PMID- 29361022
TI - Multiple sclerosis risk variants alter expression of co-stimulatory genes in B
cells.
AB - The increasing evidence supporting a role for B cells in the pathogenesis of
multiple sclerosis prompted us to investigate the influence of known
susceptibility variants on the surface expression of co-stimulatory molecules in
these cells. Using flow cytometry we measured surface expression of CD40 and CD86
in B cells from 68 patients and 162 healthy controls that were genotyped for the
multiple sclerosis associated single nucleotide polymorphisms (SNPs) rs4810485,
which maps within the CD40 gene, and rs9282641, which maps within the CD86 gene.
We found that carrying the risk allele rs4810485*T lowered the cell-surface
expression of CD40 in all tested B cell subtypes (in total B cells P <= 5.10 * 10
5 in patients and <=4.09 * 10-6 in controls), while carrying the risk allele
rs9282641*G increased the expression of CD86, with this effect primarily seen in
the naive B cell subset (P = 0.048 in patients and 5.38 * 10-5 in controls). In
concordance with these results, analysis of RNA expression demonstrated that the
risk allele rs4810485*T resulted in lower total CD40 expression (P = 0.057) but
with an increased proportion of alternative splice-forms leading to decoy
receptors (P = 4.00 * 10-7). Finally, we also observed that the risk allele
rs4810485*T was associated with decreased levels of interleukin-10 (P = 0.020),
which is considered to have an immunoregulatory function downstream of CD40.
Given the importance of these co-stimulatory molecules in determining the immune
reaction that appears in response to antigen our data suggest that B cells might
have an important antigen presentation and immunoregulatory role in the
pathogenesis of multiple sclerosis.
PMID- 29361023
TI - Corrigendum to: Identification of capillary rarefaction using intracoronary wave
intensity analysis with resultant prognostic implications for cardiac allograft
patients.
PMID- 29361024
TI - Commentary on: Hypochlorous Acid Versus Povidone-Iodine Containing Irrigants:
Which Antiseptic Is More Effective for Breast Implant Pocket Irrigation?
PMID- 29361026
TI - On the Relationship between Medical Ethics and the Law.
AB - In his comments on Bland, Lord Justice Hoffmann stated that 'I would expect
medical ethics to be formed by the law rather than the reverse'. But what judges
expect, and what they have a right to expect, are different things; I shall use
Hoffmann LJ's statement as a way into looking at the relationship between ethics
and law, and argue that it is partially correct insofar as that it makes a
prediction about that relationship. Professional ethics and codes of ethics are
shaped by law; but law is shaped by those codes to some extent, and both are
influenced by 'philosophical ethics'. As a normative claim, Hoffmann LJ's
statement is more compelling; but he also distinguished between 'medical ethics'
and 'morality', and this merits exploration. There remains a question about the
proper relationship between law, ethics, and morality that I shall address.
PMID- 29361025
TI - Estimating Time to the Common Ancestor for a Beneficial Allele.
AB - The haplotypes of a beneficial allele carry information about its history that
can shed light on its age and the putative cause for its increase in frequency.
Specifically, the signature of an allele's age is contained in the pattern of
variation that mutation and recombination impose on its haplotypic background. We
provide a method to exploit this pattern and infer the time to the common
ancestor of a positively selected allele following a rapid increase in frequency.
We do so using a hidden Markov model which leverages the length distribution of
the shared ancestral haplotype, the accumulation of derived mutations on the
ancestral background, and the surrounding background haplotype diversity. Using
simulations, we demonstrate how the inclusion of information from both mutation
and recombination events increases accuracy relative to approaches that only
consider a single type of event. We also show the behavior of the estimator in
cases where data do not conform to model assumptions, and provide some
diagnostics for assessing and improving inference. Using the method, we analyze
population-specific patterns in the 1000 Genomes Project data to estimate the
timing of adaptation for several variants which show evidence of recent selection
and functional relevance to diet, skin pigmentation, and morphology in humans.
PMID- 29361027
TI - Extended-spectrum beta-lactamase-producing Escherichia coli contributes to the
survival of cefotaxime-susceptible E. coli under high concentrations of
cefotaxime by acquisition of increased AmpC expression.
AB - Extended-spectrum beta-lactamase-producing Escherichia coli (ESBL-E) are becoming
increasingly widespread in Vietnam. Antibiotics are detected in many Vietnamese
foods; however, the effect of ESBL-E and antibiotic consumption on intestinal
bacteria has not been studied sufficiently. Here, we investigated the effect of
oral administration of ESBL-E (TB19) and cefotaxime on luminescence-emitting
cefotaxime-sensitive E. coli (X14). Mice were given water containing TB19 and
then received three injections of 1.0 * 108 CFU of X14 harboring a luciferase
gene. The mice were administered 100 MUg of cefotaxime and luminescent bacteria
were monitored over 24 h, following which luminescent bacteria were isolated from
mouse feces. Luminescence continued to be detected in mice administered TB19 24 h
after cefotaxime ingestion. Fecal analysis revealed two types of luminescent
colonies: cefoxitin-resistant E. coli (X14-R) and Pseudomonas aeruginosa. Pulse
field gel electrophoresis confirmed that X14-R was a clonal strain of X14,
suggesting that X14 survived using ESBLs originating from TB19 and acquired
cefoxitin resistance due to cefotaxime consumption. Moreover, in vitro analysis
of X14 indicated that expression of the ampC gene was upregulated by cefotaxime.
Overall, ESBL-E and cefotaxime promoted the expansion of cefoxitin-resistant E.
coli in the absence of plasmid-mediated gene transfer.
PMID- 29361028
TI - Structural and functional analysis of ribosome assembly factor Efg1.
AB - Ribosome biogenesis in eukaryotes is a complicated process that involves
association and dissociation of numerous assembly factors and snoRNAs. The yeast
small ribosomal subunit is first assembled into 90S pre-ribosomes in an ordered
and dynamic manner. Efg1 is a protein with no recognizable domain that is
associated with early 90S particles. Here, we determine the crystal structure of
Efg1 from Chaetomium thermophilum at 3.3 A resolution, revealing a novel
elongated all-helical structure. Efg1 is not located in recently determined cryo
EM densities of 90S likely due to its low abundance in mature 90S. Genetic
analysis in Saccharomyces cerevisiae shows that the functional core of Efg1
contains two helical hairpins composed of highly conserved residues. Depletion of
Efg1 blocks 18S rRNA processing at sites A1 and A2, but not at site A0, and
production of small ribosomal subunits. Efg1 is initially recruited by the 5'
domain of 18S rRNA. Its absence disturbs the assembly of the 5' domain and
inhibits release of U14 snoRNA from 90S. Our study shows that Efg1 is required
for early assembly and reorganization of the 5' domain of 18S rRNA.
PMID- 29361029
TI - The Pros and Cons of the PROs.
PMID- 29361030
TI - Epigenetic features of human telomeres.
AB - Although subtelomeric regions in humans are heterochromatic, the epigenetic
nature of human telomeres remains controversial. This controversy might have been
influenced by the confounding effect of subtelomeric regions and interstitial
telomeric sequences (ITSs) on telomeric chromatin structure analyses. In
addition, different human cell lines might carry diverse epigenetic marks at
telomeres. We have developed a reliable procedure to study the chromatin
structure of human telomeres independently of subtelomeres and ITSs. This
procedure is based on the statistical analysis of multiple ChIP-seq experiments.
We have found that human telomeres are not enriched in the heterochromatic
H3K9me3 mark in most of the common laboratory cell lines, including embryonic
stem cells. Instead, they are labeled with H4K20me1 and H3K27ac, which might be
established by p300. These results together with previously published data argue
that subtelomeric heterochromatin might control human telomere functions.
Interestingly, U2OS cells that exhibit alternative lengthening of telomeres have
heterochromatic levels of H3K9me3 in their telomeres.
PMID- 29361031
TI - The cohesion establishment factor Esco1 acetylates alpha-tubulin to ensure proper
spindle assembly in oocyte meiosis.
AB - Esco1 has been reported to function as a cohesion establishment factor that
mediates chromosome cohesion and segregation in mitotic cells. However, its exact
roles in meiosis have not been clearly defined. Here, we document that Esco1 is
expressed and localized to both the nucleus and cytoplasm during mouse oocyte
meiotic maturation. Depletion of Esco1 by siRNA microinjection causes the meiotic
progression arrest with a severe spindle abnormality and chromosome misalignment,
which is coupled with a higher incidence of the erroneous kinetochore-microtubule
attachments and activation of spindle assembly checkpoint. In addition, depletion
of Esco1 leads to the impaired microtubule stability shown by the weakened
resistance ability to the microtubule depolymerizing drug nocodazole and the
decreased level of acetylated alpha-tubulin. Conversely, overexpression of Esco1
causes hyperacetylation of alpha-tubulin and spindle defects. Moreover, we find
that Esco1 binds to alpha-tubulin and is required for its acetylation. The
reduced acetylation level of alpha-tubulin in Esco1-depleted oocytes can be
restored by the ectopic expression of exogenous wild-type Esco1 but not
enzymatically dead Esco1-G768D. Purified wild-type Esco1 instead of mutant Esco1
G768D acetylates the synthesized peptide of alpha-tubulin in vitro. Collectively,
our data assign a novel function to Esco1 as a microtubule regulator during
oocyte meiotic maturation beyond its conventional role in chromosome cohesion.
PMID- 29361032
TI - Post-silking carbon partitioning under nitrogen deficiency revealed sink
limitation of grain yield in maize.
AB - Maize (Zea mays) plants exhibit altered carbon partitioning under nitrogen (N)
deficiency, but the mechanisms by which N availability affects sugar export out
of leaves and transport into developing ears remain unclear. Maize was grown
under field conditions with different N supply. Plant growth, sugar movement, and
starch turnover in source or sink tissues were investigated at silking and 20 or
21 days after silking. Nitrogen deficiency stunted plant growth and grain yield
compared with N-sufficient plants, and resulted in greater starch concentrations
in leaves due to more as well as larger starch granules in bundle sheath cells.
Transmission electron microscopy revealed an open symplastic pathway for sucrose
movement in N-deficient leaves, while the expression levels of transporters
responsible for sucrose efflux and phloem loading were lower than in N-sufficient
leaves. Nonetheless, greater starch concentrations in the apical cob portion of N
deficient plants implied sufficient carbon supply relative to the diminished sink
strength (decreased kernel number and weight). Together with the high sugar
concentrations in the developing kernels, the results indicated that reduced sink
capacity and sugar utilization during grain filling may limit the yield in N
deficient plants, which in turn imposes a feedback inhibition on sugar export
from leaves.
PMID- 29361033
TI - Single-particle trajectories reveal two-state diffusion-kinetics of hOGG1
proteins on DNA.
AB - We reanalyze trajectories of hOGG1 repair proteins diffusing on DNA. A previous
analysis of these trajectories with the popular mean-squared-displacement
approach revealed only simple diffusion. Here, a new optimal estimator of
diffusion coefficients reveals two-state kinetics of the protein. A simple,
solvable model, in which the protein randomly switches between a loosely bound,
highly mobile state and a tightly bound, less mobile state is the simplest
possible dynamic model consistent with the data. It yields accurate estimates of
hOGG1's (i) diffusivity in each state, uncorrupted by experimental errors arising
from shot noise, motion blur and thermal fluctuations of the DNA; (ii) rates of
switching between states and (iii) rate of detachment from the DNA. The protein
spends roughly equal time in each state. It detaches only from the loosely bound
state, with a rate that depends on pH and the salt concentration in solution,
while its rates for switching between states are insensitive to both. The
diffusivity in the loosely bound state depends primarily on pH and is three to
ten times higher than in the tightly bound state. We propose and discuss some new
experiments that take full advantage of the new tools of analysis presented here.
PMID- 29361034
TI - Exploring DNA variant segregation types in pooled genome sequencing enables
effective mapping of weeping trait in Malus.
AB - To unlock the power of next generation sequencing-based bulked segregant analysis
in allele discovery in out-crossing woody species, and to understand the genetic
control of the weeping trait, an F1 population from the cross 'Cheal's Weeping' *
'Evereste' was used to create two genomic DNA pools 'weeping' (17 progeny) and
'standard' (16 progeny). Illumina pair-end (2 * 151 bp) sequencing of the pools
to a 27.1* (weeping) and a 30.4* (standard) genome (742.3 Mb) coverage allowed
detection of 84562 DNA variants specific to 'weeping', 92148 specific to
'standard', and 173169 common to both pools. A detailed analysis of the DNA
variant genotypes in the pools predicted three informative segregation types of
variants: (type I) in weeping pool-specific variants, and (type
II) and (type III) in variants common to both pools, where the first
allele is assumed to be weeping linked and the allele shown in bold is a variant
in relation to the reference genome. Conducting variant allele frequency and
density-based mappings revealed four genomic regions with a significant
association with weeping: a major locus, Weeping (W), on chromosome 13 and others
on chromosomes 10 (W2), 16 (W3), and 5 (W4). The results from type I variants
were noisier and less certain than those from type II and type III variants,
demonstrating that although type I variants are often the first choice, type II
and type III variants represent an important source of DNA variants that can be
exploited for genetic mapping in out-crossing woody species. Confirmation of the
mapping of W and W2, investigation into their genetic interactions, and
identification of expressed genes in the W and W2 regions provided insight into
the genetic control of weeping and its expressivity in Malus.
PMID- 29361036
TI - Prognostic Significance of Pre-Operative Monocyte-to-Lymphocyte Ratio in Lung
Cancer Patients Undergoing Radical Surgery.
AB - Background: Inflammatory response is known to play a vital role in carcinogenesis
and cancer progression. The prognostic relevance of monocyte-to-lymphocyte ratio
(MLR), as a biomarker of inflammatory response has been demonstrated in patients
with hematologic cancers. Objectives: In this study, we assessed the prognostic
relevance of MLR in patients with resectable lung carcinoma. Methods: Clinical
records of 705 lung cancer patients who underwent radical resection at our
hospital between October 2006 and January 2011 were retrospectively reviewed. The
optimal cutoff value of MLR as a prognostic indicator was determined on receiver
operating characteristic curve analysis. Results: On multivariate analysis using
Cox proportional hazards regression model, MLR was an independent predictor of
both overall survival (hazard ratio [HR] 1.494, 95% confidence interval [CI]
1.158-1.927, P = .002) and disease-free survival (HR 1.547, 95% CI 1.172-2.043, P
= .002). Conclusions: Preoperative MLR may be a simple, reliable prognostic
marker for risk stratification and be used to guide treatment decision-making in
lung cancer patients.
PMID- 29361035
TI - WOX11 recruits a histone H3K27me3 demethylase to promote gene expression during
shoot development in rice.
AB - WUSCHEL-related homeobox (WOX) genes are key regulators of meristem activity and
plant development, the chromatin mechanism of which to reprogram gene expression
remains unclear. Histone H3K27me3 is a chromatin mark of developmentally
repressed genes. How the repressive mark is removed from specific genes during
plant development is largely unknown. Here, we show that WOX11 interacts with the
H3K27me3 demethylase JMJ705 to activate gene expression during shoot development
in rice. Genetic analysis indicates that WOX11 and JMJ705 cooperatively control
shoot growth and commonly regulate the expression of a set of genes involved in
meristem identity, chloroplast biogenesis, and energy metabolism in the shoot
apex. Loss of WOX11 led to increased H3K27me3 and overexpression of JMJ705
decreased the methylation levels at a subset of common targets. JMJ705 is
associated with most of the WOX11-binding sites found in the tested common
targets in vivo, regardless of presence or absence of the JMJ705-binding motif.
Furthermore, wox11 mutation reduced JMJ705-binding to many targets genome-wide.
The results suggest that recruitment of JMJ705 to specific developmental pathway
genes is promoted by DNA-binding transcription factors and that WOX11 functions
to stimulate shoot growth through epigenetic reprogramming of genes involved in
meristem development and energy-generating pathways.
PMID- 29361037
TI - JPP Student Journal Club Commentary: Linking Biology to the Environment: Novel
Methods for Understanding Pediatric Obesity.
PMID- 29361038
TI - Cold-inducible RNA-binding protein (CIRP) induces translation of the cell-cycle
inhibitor p27Kip1.
AB - The CDK inhibitor p27Kip1 plays a central role in controlling cell proliferation
and cell-cycle exit. p27Kip1 protein levels oscillate during cell-cycle
progression and are regulated by mitogen or anti-proliferative signaling. The
abundance of the protein is frequently determined by post-transcriptional
mechanisms including ubiquitin-mediated proteolysis and translational control.
Here, we report that the cold-inducible RNA-binding protein (CIRP) selectively
binds to the 5' untranslated region of the p27Kip1 mRNA. CIRP is induced,
modified and relocalized in response to various stress stimuli and can regulate
cell survival and cell proliferation particularly during stress. Binding of CIRP
to the 5'UTR of the p27Kip1 mRNA significantly enhanced reporter translation. In
cells exposed to mild hypothermia, the induction of CIRP correlated with
increased translation of a p27Kip1 5'UTR reporter and with the accumulation of
p27Kip1 protein. shRNA-mediated CIRP knockdown could prevent the induction of
translation. We found that p27Kip1 is central for the decreased proliferation at
lower temperature, since p27Kip1 KO mouse embryonic fibroblasts (MEFs) hardly
increased their doubling time in hypothermic conditions, whereas wild-type MEFs
significantly delayed proliferation in response to cold stress. This suggests
that the CIRP-dependent p27Kip1 upregulation during mild hypothermia contributes
to the cold shock-induced inhibition of cell proliferation.
PMID- 29361039
TI - Structure-activity relationships and cellular mechanism of action of small
molecules that enhance the delivery of oligonucleotides.
AB - The pharmacological effects of antisense and siRNA oligonucleotides are hindered
by the tendency of these molecules to become entrapped in endomembrane
compartments thus failing to reach their targets in the cytosol or nucleus. We
have previously used high throughput screening to identify small molecules that
enhance the escape of oligonucleotides from intracellular membrane compartments
and have termed such molecules OECs (oligonucleotide enhancing compounds). Here,
we report on the structure-activity relationships of a family of OECs that are
analogs of a hit that emerged from our original screen. These studies demonstrate
key roles for the lipophilic aromatic groups, the tertiary nitrogen, and the
carbamate moiety of the parent compound. We have also investigated the
intracellular site of action of the OECs and have shown that activity is due to
the release of oligonucleotides from intermediate endosomal compartments rather
than from early endosomes or from highly acidic downstream compartments. At high
concentrations of OECs toxicity occurs in a manner that is independent of
caspases or of lysosomal cathepsins but instead involves increased plasma
membrane permeability. Thus, in addition to describing specific characteristics
of this family of OECs, the current study provides insights into basic mechanisms
of oligonucleotide trafficking and their implications for oligonucleotide
delivery.
PMID- 29361040
TI - Highly selective retrieval of accurate DNA utilizing a pool of in situ-replicated
DNA from multiple next-generation sequencing platforms.
AB - Scalable and cost-effective production of error-free DNA is critical to meet the
increased demand for such DNA in the field of biological science. Methods based
on 'Dial-out PCR' have enabled the high-throughput error-free DNA synthesis from
a microarray-synthesized DNA pool by labeling with retrieval PCR tags, and
retrieving error-free DNA of which the sequence is identified via next generation
sequencing (NGS). However, most of the retrieved products contain byproducts due
to background amplification of redundantly labeled DNAs. Here, we present a
highly selective retrieval method of desired DNA from a pool of millions of DNA
clones from NGS platforms. Our strategy is based on replicating entire sequence
verified DNA molecules from NGS plates to obtain population-controlled DNA pool.
Using the NGS-replica pool, we could perform improved and selective retrieval of
desired DNA from the replicated DNA pool compared to other dial-out PCR based
methods. To evaluate the method, we tested this strategy by using 454, Illumina,
and Ion Torrent platforms for producing NGS-replica pool. As a result, we
observed a highly selective retrieval yield of over 95%. We anticipate that
applications based on this method will enable the preparation of high-fidelity
sequenced DNA from heterogeneous collections of DNA molecules.
PMID- 29361041
TI - The human cytomegalovirus terminase complex as an antiviral target: a close-up
view.
AB - Human cytomegalovirus (HCMV) is responsible for life-threatening infections in
immunocompromised individuals and can cause serious congenital malformations.
Available antivirals target the viral polymerase but are subject to cross
resistance and toxicity. New antivirals targeting other replication steps and
inducing fewer adverse effects are therefore needed. During HCMV replication, DNA
maturation and packaging are performed by the terminase complex, which cleaves
DNA to package the genome into the capsid. Identified in herpesviruses and
bacteriophages, and with no counterpart in mammalian cells, these terminase
proteins are ideal targets for highly specific antivirals. A new terminase
inhibitor, letermovir, recently proved effective against HCMV in phase III
clinical trials, but the mechanism of action is unclear. Letermovir has no
significant activity against other herpesvirus or non-human CMV. This review
focuses on the highly conserved mechanism of HCMV DNA-packaging and the potential
of the terminase complex to serve as an antiviral target. We describe the
intrinsic mechanism of DNA-packaging, highlighting the structure-function
relationship of HCMV terminase complex components.
PMID- 29361042
TI - Two-Year Trends of Taxane-Induced Neuropathy in Women Enrolled in a Randomized
Trial of Acetyl-L-Carnitine (SWOG S0715).
AB - Background: Chemotherapy-induced peripheral neuropathy (CIPN) is a common and
disabling side effect of taxanes. Acetyl-L-carnitine (ALC) was unexpectedly found
to increase CIPN in a randomized trial. We investigated the long-term patterns of
CIPN among patients in this trial. Methods: S0715 was a randomized, double-blind,
multicenter trial comparing ALC (1000 mg three times a day) with placebo for 24
weeks in women undergoing adjuvant taxane-based chemotherapy for breast cancer.
CIPN was measured by the 11-item neurotoxicity (NTX) component of the FACT-Taxane
scale at weeks 12, 24, 36, 52, and 104. We examined NTX scores over two years
using linear mixed models for longitudinal data. Individual time points were
examined using linear regression. Regression analyses included stratification
factors and the baseline score as covariates. All statistical tests were two
sided. Results: Four-hundred nine subjects were eligible for evaluation. Patients
receiving ALC had a statistically significantly (P = .01) greater reduction in
NTX scores (worse CIPN) of -1.39 points (95% confidence interval [CI] = -2.48 to
0.30) than the placebo group. These differences were particularly evident at
weeks 24 (-1.68, 95% CI = -3.02 to -0.33), 36 (-1.37, 95% CI = -2.69 to -0.04),
and 52 (-1.83, 95% CI = -3.35 to -0.32). At 104 weeks, 39.5% on the ALC arm and
34.4% on the placebo arm reported a five-point (10%) decrease from baseline. For
both treatment groups, 104-week NTX scores were statistically significantly
different compared with baseline (P < .001). Conclusions: For both groups, NTX
scores were reduced from baseline and remained persistently low. Twenty-four
weeks of ALC therapy resulted in statistically significantly worse CIPN over two
years. Understanding the mechanism of this persistent effect may inform
prevention and treatment strategies. Until then, the potential efficacy and harms
of commonly used supplements should be rigorously studied.
PMID- 29361043
TI - Keratin hydrolysis by dermatophytes.
AB - Dermatophytes are the most common cause of superficial fungal infections (tinea
infections) and are a specialized group of filamentous fungi capable of infecting
and degrading keratinised tissues, including skin, hair, and nail. Essential to
their pathogenicity and virulence is the production of a broad spectrum of
proteolytic enzymes and other key proteins involved in keratin biodegradation and
utilization of its breakdown products. The initial stage of biodegradation of
native keratin is considered to be sulfitolysis, in which the extensive disulfide
bridges present in keratin are hydrolyzed, although some secreted subtilisins can
degrade dye-impregnated keratin azure without prior reduction (Sub3 and Sub4).
Sulfitolysis facilitates the extracellular biodegradation of keratin by the
dermatophytes' extensive array of endo- and exoproteases. The importance of
dermatophyte proteases in infection is widely recognized, and these enzymes have
also been identified as important virulence determinants and allergens. Finally,
the short peptide and amino acid breakdown products are taken up by the
dermatophytes, using as yet poorly characterised transporters, and utilized for
metabolism. In this review, we describe the process of keratin biodegradation by
dermatophytes, with an especial focus on recent developments in cutting edge
molecular biology and '-omic' studies that are helping to dissect the complex
process of keratin breakdown and utilization.
PMID- 29361044
TI - Commercial hybrids and mutant genotypes reveal complex protective roles for
inducible terpenoid defenses in maize.
AB - Plant defense research is facilitated by the use of genome-sequenced inbred
lines; however, a foundational knowledge of interactions in commercial hybrids
remains relevant to understanding mechanisms present in crops. Using an array of
commercial maize hybrids, we quantified the accumulation patterns of defense
related metabolites and phytohormones in tissues challenged with diverse fungal
pathogens. Across hybrids, Southern leaf blight (Cochliobolus heterostrophus)
strongly elicited specific sesqui- and diterpenoid defenses, namely zealexin A4
(ZA4) and kauralexin diacids, compared with the stalk-rotting agents Fusarium
graminearum and Colletotrichum graminicola. With respect to biological activity,
ZA4 and kauralexin diacids demonstrated potent antimicrobial action against F.
graminearum. Unexpectedly, ZA4 displayed an opposite effect on C. graminicola by
promoting growth. Overall, a negative correlation was observed between total
analyzed terpenoids and fungal growth. Statistical analyses highlighted
kauralexin A3 and abscisic acid as metabolites most associated with fungal
suppression. As an empirical test, mutants of the ent-copalyl diphosphate
synthase Anther ear 2 (An2) lacking kauralexin biosynthetic capacity displayed
increased susceptibility to C. heterostrophus and Fusarium verticillioides. Our
results highlight a widely occurring defensive function of acidic terpenoids in
commercial hybrids and the complex nature of elicited pathway products that
display selective activities on fungal pathogen species.
PMID- 29361045
TI - Totally thoracoscopic ablation for atrial fibrillation: a systematic safety
analysis.
AB - Aims: Thoracoscopic surgical ablation has evolved into a successful strategy for
symptomatic atrial fibrillation (AF) refractory to other therapy. More widespread
referral is limited by the lack of information on potential complications. Our
aim was to systematically evaluate 30-day complications of totally thoracoscopic
surgical ablation. Methods and results: We retrospectively studied consecutive
patients undergoing totally thoracoscopic surgical ablation at a referral centre
in the Netherlands (2007-2016). Patients received pulmonary vein isolation, with
additional lesion lines as needed, and left atrial appendage exclusion. The
primary outcomes were freedom from any complications and freedom from
irreversible complications at 30-days. Secondary outcomes included intra- and
post-operative complications according to severity. Included were 558 patients
with median age 62 years (interquartile range 56-68 years), 70% male and 53% with
a previous failed catheter ablation. The cohort consisted of 43% paroxysmal AF,
47% persistent AF, and 10% long-standing persistent AF. Freedom from any 30-day
complication was 88.2%, and from complications with life-long affecting
consequences 97.5%. The intra-operative complication rate was 2.3% with no
strokes or death observed. The median hospital length of stay was 4 days. The
percentage of patients with major and minor complications at 30-days was 3.2% and
8.1%, respectively, with one patient dying of an ischaemic stroke. The only
patient groups with excess complications were women aged >=70 years and patients
with a history of congestive heart failure. Conclusions: Totally thoracoscopic
ablation is associated with a low complication rate in a referral centre and may
be a useful alternative to other rhythm control strategies.
PMID- 29361046
TI - Targeted endothelial gene deletion of triggering receptor expressed on myeloid
cells-1 protects mice during septic shock.
AB - Aims: TREM-1 (Triggering Receptor Expressed on Myeloid cells-1) is an
immunoreceptor expressed on neutrophils and monocytes/macrophages whose role is
to amplify the inflammatory response driven by Toll-Like Receptors engagement.
The pharmacological inhibition of TREM-1 confers protection in several pre
clinical models of acute inflammation. In this study, we aimed to decipher the
role of TREM-1 on the endothelium. Methods and results: We first showed by qRT
PCR, flow cytometry and confocal microscopy that TREM-1 was expressed in human
pulmonary microvascular endothelial cells as well as in mouse vasculature (aorta,
mesenteric artery, and pulmonary vessels). TREM-1 expression was upregulated
following septic insult. We next observed that TREM-1 engagement impaired mouse
vascular reactivity and promoted vascular inflammation. The pharmacological
inhibition of TREM-1 (using the synthetic inhibitory peptide LR12) prevented
these disorders both in vitro and in vivo. We generated endothelium-conditional
Trem-1 ko mice (EndoTREM-1-/-) and submitted them to a caecal ligation and
puncture-induced septic shock. As compared with wild-type littermates, targeted
endothelial Trem-1 deletion conferred protection during septic shock in
modulating inflammatory cells mobilization and activation, in restoring
vasoreactivity, and in improving the survival. Conclusion: We reported that TREM
1 is expressed and inducible in endothelial cells and plays a direct role in
vascular inflammation and dysfunction. The targeted deletion of endothelial Trem
1 conferred protection during septic shock in modulating inflammatory cells
mobilization and activation, restoring vasoreactivity, and improving survival.
The effect of TREM-1 on vascular tone, while impressive, deserves further
investigations including the design of endothelium-specific TREM-1 inhibitors.
PMID- 29361047
TI - Effects of exogenous inosine monophosphate on growth performance, flavor
compounds, enzyme activity, and gene expression of muscle tissues in chicken.
AB - The goal of this experiment was to examine effects of diets supplemented with
exogenous inosine monophosphate (IMP) on the growth performance, flavor
compounds, enzyme activity and gene expression of chicken. A total of 1,500
healthy, 1-day-old male 3-yellow chickens were used for a 52-d experimental
period. Individuals were randomly divided into 5 groups (group I, II, III, IV, V)
with 6 replicates per group, and fed a basal diet supplemented with 0.0, 0.05,
0.1, 0.2, and 0.3% IMP, respectively. There was no significant response to the
increasing dietary IMP level in average daily feed intake (ADFI), average daily
gain (ADG), and feed:gain ratio (F/G) (P >= 0.05). IMP content of the breast and
thigh muscle showed an exponential and linear response to the increasing dietary
IMP level (P < 0.05), the highest IMP content was obtained when the diet with
0.3% and 0.2% exogenous IMP was fed. There were significant effects of IMP level
in diet on free amino acids (FAA) (exponential, linear and quadratic effect, P <
0.05) and delicious amino acids (DAA) (quadratic effect, P < 0.01) content in
breast muscle. FAA and DAA content in thigh muscle showed an exponential and
linear response (P < 0.05), and quadratic response (P < 0.01) to the increasing
dietary IMP level, the highest FAA and DAA content was obtained when the diet
with 0.2% exogenous IMP was fed. Dietary IMP supplementation had a quadratic
effect on 5?-NT and the alkaline phosphatase (ALP) enzyme activity in the breast
muscle (P < 0.05), and the adenosine triphosphate (ATP) enzyme activity in the
thigh muscles increased exponentially and linearly with increasing IMP level in
diet (exponential effect, P = 0.061; linear effect, P = 0.059). Cyclohydrolase
(ATIC) gene expression in thigh muscle had a quadratic response to the increasing
dietary IMP level (P < 0.05), 0.2% exogenous IMP group had the highest (AMPD1)
gene expression of the breast muscle and ATIC gene expression of the thigh
muscle. These results indicate that dietary IMP did not affect the growth
performance of chicken, the diet with 0.2 to 0.3% exogenous IMP is optimal to
improve the meat flavor quality in chicken.
PMID- 29361049
TI - A persistent giant Eustachian valve: functional tricuspid atresia in a newborn.
AB - Persistent right valve of the systemic venous sinus is a rare anomaly with
anatomical variations. This anomaly may present as an obstructive structure that
can inhibit the antegrade flow through the tricuspid valve. We report on a 4-day
old neonate who presented with pronounced peripheral and central cyanosis.
Echocardiographic examination showed a giant Eustachian valve moving towards the
tricuspid valve during late systole and leading to complete obstruction of the
inflow. Blood flow was redirected through the persistent foramen ovale, producing
a right-to-left shunt.
PMID- 29361048
TI - Role of the cytochrome P-450/ epoxyeicosatrienoic acids pathway in the
pathogenesis of renal dysfunction in cirrhosis.
AB - Background: Hepatorenal syndrome (HRS) is a life-threatening complication of
advanced liver cirrhosis that is characterized by hemodynamic alterations in the
kidney and other vascular beds. Cytochrome P(CYP)-450 enzymes metabolize
arachidonic acid to epoxyeicosatrienoic acids (EETs) and 20
hydroxyeicosatetraenoic acids. These eicosanoids regulate blood pressure,
vascular tone and renal tubular sodium transport under both physiological and
pathophysiological states. Methods: Experiments were performed to investigate the
role of the CYP system in the pathogenesis of renal dysfunction during cirrhosis.
Rats underwent bile duct ligation (BDL) or sham surgery and were studied at 2, 4
and 5 weeks post-surgery. In additional experiments, post-BDL rats were treated
with three daily intraperitoneal doses of either the selective epoxygenase
inhibitor N-(methylsulfonyl)-2-(2-propynyloxy)-benzenehexanamide (MSPPOH) or a
vehicle, starting on Day 22 after surgery. Results: BDL led to progressive renal
dysfunction that was associated with reduced renal cortical perfusion but without
any overt histologic changes, consistent with HRS. CYP isoform enzyme expression
was significantly altered in BDL rats. In the kidney, CYP2C23 expression was
upregulated at both the mRNA and protein levels in BDL rats, while CYP2C11 was
downregulated. Histologically, the changes in CYP2C23 and CYP2C11 expression were
localized to the renal tubules. EET production was increased in the kidneys of
BDL rats as assessed by urinary eicosanoid levels. Finally, treatment with the
selective epoxygenase inhibitor MSPPOH significantly reduced renal function and
renal cortical perfusion in BDL rats, suggesting a homeostatic role for
epoxygenase-derived eicosanoids. Conclusions: The CYP/EET pathway might represent
a novel therapeutic target for modulating renal dysfunction in advanced
cirrhosis.
PMID- 29361050
TI - Improved cytotoxicity of novel TRAIL variants produced as recombinant fusion
proteins.
AB - The TNF-Related Apoptosis Inducing Ligand (TRAIL) cytokine triggers apoptosis
specifically in cancer cells. Susceptibility of a given cell to TRAIL depends on
the activity of regulatory proteins, one of the most important of which is BID.
The aim of this study was to increase the cytotoxic potential of TRAIL against
cancer cells. TRAIL was fused to the BH3 domain of BID. Hence, TRAIL acted not
only as an anticancer agent, but also as a specific carrier for the BID fragment.
Two fusion protein variants were obtained by genetic engineering, harboring two
different linker sequences. The short linker allowed both parts of the fusion
protein to fold into their native structures. The long linker influenced the
structure of the fused proteins but nonetheless resulted in their highest
cytotoxic activity. Optimal buffer formulation was determined for all the
analyzed TRAIL variants. Fusing the BH3 domain of BID to TRAIL improved the
cytotoxic potential of TRAIL. Further, these findings may be useful for the
optimization of other anticancer drugs based on TRAIL, since the appropriate
formulation would secure their native structures during prolonged storage.
PMID- 29361051
TI - Non-Small Cell Lung Carcinoma: Clinical Reasoning in the Management of a Patient
Referred to Physical Therapy for Costochondritis.
AB - Background and Purpose: Chest pain, a frequent complaint for seeking medical
care, is often attributed to musculoskeletal pathology. Costochondritis is a
common disorder presenting as chest pain. Initial physical therapist examination
emphasizes red flag screening. Reexamination throughout the episode of care is
critical, particularly when patients are not progressing and/or in the presence
of complex pain presentations. The purpose of this case report is to describe the
clinical reasoning process in the management of a patient referred to physical
therapy with a medical diagnosis of costochondritis. Case Description: A 59-year
old woman presented with a 5-month history of left-sided chest pain that had
progressed to include the cervical and shoulder regions. She reported multiple
psychosocial stressors; a depression screen was positive. She reported a history
of asthma and smoking and improvement in recent fatigue, coughing, dyspnea, and
sweating. At the initial visit, shoulder, cervical, and thoracic active and
passive range of motion and joint mobility testing reproduced her pain. Allodynia
was present throughout the painful areas in the left upper quarter. Outcomes: The
patient demonstrated improvement over 30 days (4 visits). On her fifth visit (day
35), she reported an exacerbation of her chest and upper extremity pain and noted
increased fatigue, sweating, dyspnea, and loss of appetite. Even though her pain
was again reproduced with musculoskeletal testing, the physical therapist
contacted the patient's physician regarding the change in presentation. A
subsequent chest computed tomography scan revealed a non-small cell lung
adenocarcinoma. Discussion: Cancer can masquerade as a musculoskeletal condition.
This case highlights the importance of screening, clinical reasoning, and
communication throughout the episode of care, particularly in the presence of
chronic pain and psychosocial stressors.
PMID- 29361053
TI - Viral suppressors of RNAi employ a rapid screening mode to discriminate viral RNA
from cellular small RNA.
PMID- 29361052
TI - Curve Laterality for Lateral Lumbar Interbody Fusion in Adult Scoliosis Surgery:
The Concave Versus Convex Controversy.
AB - BACKGROUND: Minimally invasive lateral lumbar interbody fusion (LLIF) is an
effective adjunct in adult degenerative scoliosis (ADS) surgery. LLIF approaches
performed from the concavity or convexity have inherent approach-related risks
and benefits. OBJECTIVE: To analyze LLIF approach-related complications and
radiographic and clinical outcomes in patients with ADS. METHODS: A multicenter
retrospective review of a minimally invasive adult spinal deformity database was
queried with a minimum of 2-yr follow-up. Patients were divided into 2 groups as
determined by the side of the curve from which the LLIF was performed: concave or
convex. RESULTS: No differences between groups were noted in demographic, and
preoperative or postoperative radiographic parameters (all P > .05). There were 8
total complications in the convex group (34.8%) and 21 complications in the
concave group (52.5%; P = .17). A subgroup analysis was performed in 49 patients
in whom L4-5 was in the primary curve and not in the fractional curve. In this
subset of patients, there were 6 complications in the convex group (31.6%)
compared to 19 in the concave group (63.3%; P < .05) and both groups experienced
significant improvements in coronal Cobb angle, Oswestry Disability Index, and
Visual Analog Scale score with no difference between groups. CONCLUSION: Patients
undergoing LLIF for ADS had no statistically significant clinical or operative
complication rates regardless of a concave or convex approach to the curve.
Clinical outcomes and coronal plane deformity improved regardless of approach
side. However, in cases wherein L4-5 is in the primary curve, approaching the
fractional curve at L4-5 from the concavity may be associated with a higher
complication rate compared to a convex approach.
PMID- 29361054
TI - Analysis of the human SOX10 mutation Q377X in mice and its implications for
genotype-phenotype correlation in SOX10-related human disease.
AB - Human SOX10 mutations lead to various diseases including Waardenburg syndrome,
Hirschsprung disease, peripheral demyelinating neuropathy, central
leukodystrophy, Kallmann syndrome and various combinations thereof. It has been
postulated that PCWH as a combination of Waardenburg and Hirschsprung disease,
peripheral neuropathy and central leukodystrophy is caused by heterozygous SOX10
mutations that result in the presence of a dominantly acting mutant SOX10 protein
in the patient. One such protein with postulated dominant action is SOX10 Q377X.
In this study, we generated a mouse model, in which the corresponding mutation
was introduced into the Sox10 locus in such a way that Sox10 Q377X is
constitutively expressed. Heterozygous mice carrying this mutation exhibited
pigmentation and enteric nervous system defects similar to mice in which one
Sox10 allele was deleted. However, despite presence of the mutant protein in
Schwann cells and oligodendrocytes throughout development and in the adult, we
found no phenotypic evidence for neurological defects in peripheral or central
nervous systems. In the nervous system, the mutant Sox10 protein did not act in a
dominant fashion but rather behaved like a hypomorph with very limited residual
function. Our results question a strict genotype-phenotype correlation for SOX10
mutations and argue for the influence of additional factors including genetic
background.
PMID- 29361055
TI - Selective terminal methylation of a tRNA wobble base.
AB - Active tRNAs are extensively post-transcriptionally modified, particularly at the
wobble position 34 and the position 37 on the 3'-side of the anticodon. The 5
carboxy-methoxy modification of U34 (cmo5U34) is present in Gram-negative tRNAs
for six amino acids (Ala, Ser, Pro, Thr, Leu and Val), four of which (Ala, Ser,
Pro and Thr) have a terminal methyl group to form 5-methoxy-carbonyl-methoxy
uridine (mcmo5U34) for higher reading-frame accuracy. The molecular basis for the
selective terminal methylation is not understood. Many cmo5U34-tRNAs are
essential for growth and cannot be substituted for mutational analysis. We show
here that, with a novel genetic approach, we have created and isolated mutants of
Escherichia coli tRNAPro and tRNAVal for analysis of the selective terminal
methylation. We show that substitution of G35 in the anticodon of tRNAPro
inactivates the terminal methylation, whereas introduction of G35 to tRNAVal
confers it, indicating that G35 is a major determinant for the selectivity. We
also show that, in tRNAPro, the terminal methylation at U34 is dependent on the
primary m1G methylation at position 37 but not vice versa, indicating a
hierarchical ranking of modifications between positions 34 and 37. We suggest
that this hierarchy provides a mechanism to ensure top performance of a tRNA
inside of cells.
PMID- 29361056
TI - In vitro isolation of small-molecule-binding aptamers with intrinsic dye
displacement functionality.
AB - Aptamer-based sensors offer a powerful tool for molecular detection, but the
practical implementation of these biosensors is hindered by costly and laborious
sequence engineering and chemical modification procedures. We report a simple
strategy for directly isolating signal-reporting aptamers in vitro through
systematic evolution of ligands by exponential enrichment (SELEX) that transduce
binding events into a detectable change of absorbance via target-induced
displacement of a small-molecule dye. We first demonstrate that
diethylthiatricarbocyanine (Cy7) can stack into DNA three-way junctions (TWJs) in
a sequence-independent fashion, greatly altering the dye's absorbance spectrum.
We then design a TWJ-containing structured library and isolate an aptamer against
3,4-methylenedioxypyrovalerone (MDPV), a synthetic cathinone that is an emerging
drug of abuse. This aptamer intrinsically binds Cy7 within its TWJ domain, but
MDPV efficiently displaces the dye, resulting in a change in absorbance within
seconds. This assay is label-free, and detects nanomolar concentrations of MDPV.
It also recognizes other synthetic cathinones, offering the potential to detect
newly-emerging designer drugs, but does not detect structurally-similar non
cathinone compounds or common cutting agents. Moreover, we demonstrate that the
Cy7-displacement colorimetric assay is more sensitive than a conventional strand
displacement fluorescence assay. We believe our strategy offers an effective
generalized approach for the development of sensitive dye-displacement
colorimetric assays for other small-molecule targets.
PMID- 29361057
TI - Adherence to low-sodium Dietary Approaches to Stop Hypertension-style diet may
decrease the risk of incident chronic kidney disease among high-risk patients: a
secondary prevention in prospective cohort study.
AB - Background: Considering the fact that subjects with dysglycemia, dyslipidemia or
high blood pressure are at high risk for chronic kidney disease (CKD), long-term
adherence to the Dietary Approaches to Stop Hypertension (DASH)-style diet may
contribute to the prevention of CKD. This study, examined the association between
adherence to the low-sodium DASH-style diet and incident CKD among high-risk
adults over 3 years of follow-up. Methods: In this prospective cohort study
(followed up for 3 years, 2012-15), we selected 1100 subjects with dysglycemia,
2715 with dyslipidemia and 2089 with high blood pressure, all of whom were free
of CKD at baseline (2009-11) in a subgroup of the Tehran Lipid and Glucose Study.
The low-sodium DASH-style diet was designed based on eight foods and nutrients
using a food frequency questionnaire. Estimated glomerular filtration rate (eGFR)
was calculated and CKD was defined as eGFR <60 mL/min/1.73 m2. Results: After 3
years of follow-up, among subjects with dysglycemia, dyslipidemia or high blood
pressure, the rate of incident CKD was ~16%. In multivariable-adjusted analyses
for participants in the highest compared with the lowest quartile of the low
sodium DASH-style diet score, the odds ratio was 0.58 [95% confidence interval
(CI) 0.36-0.92] for subjects with dysglycemia, 0.64 (95% CI 0.48-0.87) for
subjects with dyslipidemia and 0.62 (95% CI 0.44-0.87) for subjects with high
blood pressure. Conclusions: Higher adherence to the low-sodium DASH-style diet
might be associated with a lower risk of incident CKD among high-risk adults,
highlighting the importance of adherence to the low-sodium DASH-style diet in
substantially reducing both the occurrence of CKD and the burden imposed by it in
the future.
PMID- 29361058
TI - Toward a Molecular Understanding of Abscisic Acid Actions in Floral Transition.
AB - The transition from the vegetative growth phase to flowering is a crucial
checkpoint for plant reproduction and survival, especially under environmental
stress conditions. Numerous factors regulate flowering time, including exogenous
environmental cues such as day length and temperature, as well as salt and
drought stresses, and endogenous phytohormone signaling cascades. Gibberellins
and ABA are one classic combination of phytohormones which antagonistically
regulate several biological processes, including seed dormancy and germination,
primary root growth and seedling development. As regards control of flowering
time, gibberellin exhibits a positive role, and represents an important pathway
in the regulation of floral transition. However, over the past decades, numerous
investigations have demonstrated that the contribution of the stress hormone ABA
to floral transition is still controversial, as both positive and negative
effects have been documented. It is important to determine why and how ABA shows
this contradictory effect on flowering time. In this up to date review, primarily
based on recent publications and emerging data, we summarize the distinct and
contrasting roles of ABA on floral transition, while the detailed molecular
mechanisms underlying these roles are discussed. Finally, the remaining
challenges and open questions in this topic are presented.
PMID- 29361059
TI - TcR-alpha recombinations in renal cell carcinoma exome files correlate with an
intermediate level of T-cell exhaustion biomarkers.
AB - Renal cell carcinoma exome-derived, V(D)J recombination reads had an elevated
presence and variability, for both TcR-alpha and -beta, when compared to marginal
tissue, reflecting an opportunity to assess tumor immunogenicity by comparison
with marginal tissue T cells. PD-1, PD-L2, CTLA4 and FOXP3, all of which are
implicated in the evasion of an anti-tumor immune response, had a significantly
higher expression for samples representing co-detection of productive TcR-alpha
and -beta recombination reads. Samples representing tumors with productive TcR
alpha recombination reads but no detectable, productive TcR-beta recombination
reads, reflected a 20% survival advantage, and RNASeq data indicated an
intermediate level of immune checkpoint gene expression for those samples. These
results raise the question of whether relatively high levels of detection of
productive TcR-alpha recombination reads, in comparison with detection of reads
representing the TcR-beta gene, identify a microenvironment that has not yet
entered a T-cell exhaustion phase and may thereby represent conditions for immune
enhancements that do not require anti-immune checkpoint therapies.
PMID- 29361060
TI - A Negative Regulator in Response to Salinity in Rice: Oryza sativa Salt-, ABA-
and Drought-Induced RING Finger Protein 1 (OsSADR1).
AB - RING (Really Interesting New Gene) finger proteins play crucial roles in abiotic
stress responses in plants. We report the RING finger E3 ligase gene, an Oryza
sativa salt, ABA and drought stress-induced RING finger protein 1 gene (OsSADR1).
We demonstrated that although OsSAR1 possesses E3 ligase activity, a single amino
acid substitution (OsSADR1C168A) in the RING domain resulted in no E3 ligase
activity, suggesting that the activity of most E3s is specified by the RING
domain. Additional assays substantiated that OsSADR1 interacts with three
substrates-no E3 ligase acti and OsPIRIN, and mediates their proteolysis via the
26S proteasome pathway. For OsSADR1, approximately 62% of the transient signals
were in the cytosol and 38% in the nucleus. However, transiently expressed
OsSADR1 was primarily expressed in the nucleus (70%) in 200 mM salt-treated rice
protoplasts. The two nucleus-localized proteins (OsSNAC2 and OsGRAS44) interacted
with OsSADR1 in the cytosol and nucleus. Heterogeneous overexpression of OsSADR1
in Arabidopsis resulted in sensitive phenotypes for salt- and mannitol-responsive
seed germination and seedling growth. With ABA, OsSADR1 overexpression in plants
produced highly tolerant phenotypes, with morphological changes in root length
and stomatal closure. The ABA-tolerant transgenic plants also showed
hypersensitivity phenotypes under severe water deficit conditions. Taken
together, OsSADR1 may act as a regulator in abiotic stress responses by
modulating target protein levels.
PMID- 29361061
TI - Overall mortality of Canadian Armed Forces personnel enrolled 1976-2012.
AB - Background: Military personnel may be exposed to hazardous substances or
environments, making health surveillance critical. However, surveillance is
frequently handicapped by long lag times between exposure(s) and outcomes, which
often manifest post-military release and are often not recorded. Aims: To
describe the burden of mortality in still serving and released Canadian Armed
Forces (CAF) personnel. Methods: The Canadian Forces Cancer and Mortality Study
II (CF CAMS II) is an interdepartmental record linkage study using CAF pay data
and Statistics Canada cancer and mortality data. The cohort included all Regular
Force and class C Reservist men and women who first enrolled in the CAF between
1976 and 2012, inclusive. The anonymized linked data included death data,
including cause and location of death. All-cause mortality (ACM) and
International Classification of Disease (ICD)-10 chapter-level mortality (CLM)
were quantified using standardized mortality ratios (SMRs), with the Canadian
general population (CGP) as the reference population. Results: Approximately 6870
deaths occurred during over 5 million person-years of observations. For ACM, the
CAF risk of death was significantly lower than the CGP for both sexes (females: n
= 540, SMR = 0.76 [95% CI 0.69-0.82]; males: n = 6330, SMR = 0.79 [95% CI 0.77
0.81]). In the CLM analysis, SMRs were significantly lower than, or not
statistically different from, 1.0 for all ICD chapters. Conclusions: Military
service may have a protective effect that may be partly explained by the healthy
soldier effect and the stringent selection process at enrolment.
PMID- 29361062
TI - RGBM: regularized gradient boosting machines for identification of the
transcriptional regulators of discrete glioma subtypes.
AB - We propose a generic framework for gene regulatory network (GRN) inference
approached as a feature selection problem. GRNs obtained using Machine Learning
techniques are often dense, whereas real GRNs are rather sparse. We use a Tikonov
regularization inspired optimal L-curve criterion that utilizes the edge weight
distribution for a given target gene to determine the optimal set of TFs
associated with it. Our proposed framework allows to incorporate a mechanistic
active biding network based on cis-regulatory motif analysis. We evaluate our
regularization framework in conjunction with two non-linear ML techniques, namely
gradient boosting machines (GBM) and random-forests (GENIE), resulting in a
regularized feature selection based method specifically called RGBM and RGENIE
respectively. RGBM has been used to identify the main transcription factors that
are causally involved as master regulators of the gene expression signature
activated in the FGFR3-TACC3-positive glioblastoma. Here, we illustrate that RGBM
identifies the main regulators of the molecular subtypes of brain tumors. Our
analysis reveals the identity and corresponding biological activities of the
master regulators characterizing the difference between G-CIMP-high and G-CIMP
low subtypes and between PA-like and LGm6-GBM, thus providing a clue to the yet
undetermined nature of the transcriptional events among these subtypes.
PMID- 29361064
TI - The Fundamentals of Person-Centered Care for Individuals With Dementia.
AB - Background and Objectives: Person-centered care is a philosophy of care built
around the needs of the individual and contingent upon knowing the unique
individual through an interpersonal relationship. This review article outlines
the history, components, and impact of person-centered care practices. Research
Design and Methods: Through literature review, published articles on person
centered measures and outcomes were examined. Results: The history of person
centered care was described, core principles of care for individuals with
dementia outlined, current tools to measure person-centered care approaches
reviewed, and outcomes of interventions discussed. Discussion and Implications:
Evidence-based practice recommendations for person-centered care for individuals
with dementia are outlined. More research is needed to further assess the
outcomes of person-centered care approaches and models.
PMID- 29361063
TI - Progressive Support for Activities of Daily Living for Persons Living With
Dementia.
AB - Background and Objectives: Dementia is accompanied by increasing need for support
in activities of daily living (ADLs). This brief report/literature review
summarizes the practices to care for early stage, middle stage, and late stage
ADL needs (dressing, toileting, and eating/nutrition), and examines commonalities
across ADL needs and the extent to which practices are reflected in guidelines
and/or evidence. Research Design and Methods: A review of the grey and peer
reviewed literature, using some but not all procedures of a systematic review.
Key terms were identified for ADLs overall and for each of the 3 ADLs, and a
search was conducted using these words in combination with (a) dementia,
Alzheimer's disease, and similar terms, and (b) practices, interventions,
guidelines, recommendations, and similar terms. Searches were conducted using
databases of peer-reviewed literature as well as the Grey Literature Reports and
Google search engine. Sources were included if they provided evidence or
recommendations on interventions to address ADL functioning for dressing,
toileting, and feeding for persons living with dementia. Results: As cognitive
and functional impairment increases, the number of care practices and themes that
embody care practices increases. The majority of practices are evidence-based,
and most evidence is incorporated into guidelines. Discussion and Implications:
Virtually all practices reflect person-centered care principles. Five
recommendations summarize the evidence and recommendations related to providing
support to persons living with dementia in relation to dressing, toileting, and
eating/nutrition.
PMID- 29361065
TI - From Research to Application: Supportive and Therapeutic Environments for People
Living With Dementia.
AB - The evidence about the role the designed and built environment plays in
supporting individuals living with dementia has been steadily mounting for almost
40 years. Beginning with the work of M. Powell Lawton at the Weiss Pavilion at
the Philadelphia Geriatric Center, there are now dozens of researchers who are
exploring how the environment can be either supportive and therapeutic, indeed
even serving as a prosthetic for various changes in cognition, or be a barrier to
independent functioning and high quality of life. Two recent literature reviews
published on the impact of environmental factors and characteristics on
individuals living with dementia clearly delineate evidence that the environment
can have a therapeutic or a debilitating impact on individuals living with
dementia. Rather than duplicate these excellent reviews, this article puts the
knowledge gleaned from this research into the shifting context that is long-term
care. This article begins with an exploration of the evolution of approaches to
the design of spaces for individuals living with dementia from traditional or
medical models, to special care units (SCUs), to person-centered care (PCC),
which is the organizing theme of this supplemental issue. A novel, person
centered way of conceptualizing the domains of environmental systems is then
presented and used as the framework for structuring recommendations and creating
supportive and therapeutic environments for individuals living with dementia.
Although there are distinct pathophysiological and behavioral manifestations of
different forms of dementia, there is almost no evidence that suggests
alternative environmental characteristics are better for one type of dementia
over another. Thus, this article will refer to "individuals living with dementia"
as opposed to Alzheimer's disease or other specific forms of dementia. Further,
this article only addresses residential environments: homes in the community,
independent and assisted living residences and nursing homes. It does not address
other settings, such as hospitals or hospice, or work and public community
spaces, such as stores. It is recognized that individuals living with dementia do
spend time in all these spaces, and fortunately, there is growing interest in
creating more dementia-friendly communities, but they will not be addressed in
this article. Most of the research that has been done has focused on shared
residential settings, so that will be the primary focus on this article.
PMID- 29361067
TI - Evidence-Based Interventions for Transitions in Care for Individuals Living With
Dementia.
AB - Background and Objectives: Despite numerous, often predictable, transitions in
care, little is known about the core elements of successful transitions in care
specifically for persons with dementia. The paper examines available evidence
based interventions to improve the care transitions for persons with dementia and
their caregivers. Research Design and Methods: A state-of-the-art review was
conducted for research published on interventions targeting transitions in care
for persons living with dementia and their caregivers through January 2017.
Results: Our review revealed seven evidence-based interventions to
postpone/prevent or reduce care transitions specific to persons living with
dementia. Effective approaches appear to be those that involve the individual and
caregiver in establishing goals of care, educate the individual and caregiver
about likely transitions in care; provide timely communication of information
about the individual, create strong inter professional teams with competencies in
dementia care, and implement evidence-based models of practice. Discussion and
Implications: Five essential features for consistent and supported care
transitions for persons with dementia and their caregivers are recommended.
Findings reinforce the need for additional research and adaptation of evidence
based transitions in care interventions.
PMID- 29361066
TI - Ongoing Medical Management to Maximize Health and Well-being for Persons Living
With Dementia.
AB - Background and Objectives: Persons living with dementia have complex care needs
including memory loss that should be taken into account by providers and family
caregivers involved with their care. The prevalence of comorbid conditions in
people with dementia is high and, thus, how primary care, community providers and
family caregivers provide best practice care, person-centered care is important.
Research Design and Methods: Care providers should understand the ongoing medical
management needs of persons living with dementia in order to maximize their
quality of life, proactively plan for their anticipated needs, and be as well
prepared as possible for health crises that may occur. Results: This article
provides eight practice recommendations intended to promote understanding and
support of the role of nonphysician care providers in educating family caregivers
about ongoing medical management to improve the wellbeing of persons living with
dementia. Discussion and Implications: Key among these are recommendations to use
nonpharmacological interventions to manage behavioral and psychological symptoms
of dementia as the first line of treatment and recommendations on how to best
support the use and discontinuation of pharmacological interventions as
necessary.
PMID- 29361068
TI - Meeting the Informational, Educational, and Psychosocial Support Needs of Persons
Living With Dementia and Their Family Caregivers.
AB - Background and Objectives: Meeting the unique and changing needs of individuals
living with Alzheimer's disease and their family caregivers can be very
challenging given the dynamic and often unpredictable nature of the disease.
Effective programs are available to help families manage the challenges they will
face. Research Design and Methods: This article first describes the educational,
information, and support needs of individuals living dementia and their family
caregivers across all stages of Alzheimer's. Next, we describe the variety of
services and program models targeted to the needs of individuals living with
Alzheimer's disease or other types of dementia and their families. Results: These
programs can help ensure that person- and family-centered care is maintained from
time of first symptoms through end-of-life. Discussion and Implications: We end
with our recommendations for maintaining person- and family-centered care through
the provision of targeted information, education, and support to individuals and
their families.
PMID- 29361070
TI - Nonphysician Care Providers Can Help to Increase Detection of Cognitive
Impairment and Encourage Diagnostic Evaluation for Dementia in Community and
Residential Care Settings.
AB - In the United States, at least half of older adults living with dementia do not
have a diagnosis. Their cognitive impairment may not have been detected, and some
older adults whose physician recommends that they obtain a diagnostic evaluation
do not follow through on the recommendation. Initiatives to increase detection of
cognitive impairment and diagnosis of dementia have focused primarily on
physician practices and public information programs to raise awareness about the
importance of detection and diagnosis. Nonphysician care providers who work with
older adults in community and residential care settings, such as aging network
agencies, public health agencies, senior housing, assisted living, and nursing
homes, interact frequently with older adults who have cognitive impairment but
have not had a diagnostic evaluation. These care providers may be aware of signs
of cognitive impairment and older adults' concerns about their cognition that
have not been expressed to their physician. Within their scope of practice and
training, nonphysician care providers can help to increase detection of cognitive
impairment and encourage older adults with cognitive impairment to obtain a
diagnostic evaluation to determine the cause of the condition. This article
provides seven practice recommendations intended to increase involvement of
nonphysician care providers in detecting cognitive impairment and encouraging
older adults to obtain a diagnostic evaluation. The Kickstart-Assess-Evaluate
Refer (KAER) framework for physician practice in detection and diagnosis of
dementia is used to identify ways to coordinate physician and nonphysician
efforts and thereby increase the proportion of older adults living with dementia
who have a diagnosis.
PMID- 29361071
TI - Person-Centered Assessment and Care Planning.
AB - The quality of dementia care rendered to individuals and families is contingent
upon the quality of assessment and care planning, and the degree to which those
processes are person-centered. This paper provides recommendations for assessment
and care planning derived from a review of the research literature. These
guidelines build upon previous recommendations published by the Alzheimer's
Association, and apply to all settings, types, and stages of dementia. The target
audience for these guidelines includes professionals, paraprofessionals, and
direct care workers, depending on their scope of practice and training.
PMID- 29361069
TI - Evidence-Based Nonpharmacological Practices to Address Behavioral and
Psychological Symptoms of Dementia.
AB - Background and Objectives: To draw from systematic and other literature reviews
to identify, describe, and critique nonpharmacological practices to address
behavioral and psychological symptoms of dementia (BPSDs) and provide evidence
based recommendations for dementia care especially useful for potential adopters.
Research Design and Methods: A search of systematic and other literature reviews
published from January 2010 through January 2017. Nonpharmacological practices
were summarized to describe the overall conceptual basis related to
effectiveness, the practice itself, and the size and main conclusions of the
evidence base. Each practice was also critically reviewed to determine
acceptability, harmful effects, elements of effectiveness, and level of
investment required, based on time needed for training/implementation,
specialized care provider requirements, and equipment/capital requirements.
Results: Nonpharmacological practices to address BPSDs include sensory practices
(aromatherapy, massage, multi-sensory stimulation, bright light therapy),
psychosocial practices (validation therapy, reminiscence therapy, music therapy,
pet therapy, meaningful activities), and structured care protocols (bathing,
mouth care). Most practices are acceptable, have no harmful effects, and require
minimal to moderate investment. Discussion and Implications: Nonpharmacological
practices are person-centered, and their selection can be informed by considering
the cause and meaning of the individual's behavioral and psychological symptoms.
Family caregivers and paid care providers can implement evidence-based practices
in home or residential care settings, although some practices require the
development of more specific protocols if they are to become widely used in an
efficacious manner.
PMID- 29361072
TI - Long-Term Care Workforce Issues: Practice Principles for Quality Dementia Care.
AB - Purpose: This article is one in a series of articles in this supplement
addressing best practice for quality dementia care. The Alzheimer's Association,
in revising their Dementia Care Practice Recommendations for 2017 has identified
staff across the long-term care spectrum as a distinct and important determinant
of quality dementia care. The purpose of this article is to highlight areas for
developing and supporting a dementia-capable workforce. Methods: The Alzheimer's
Association Principles For Advocacy To Assure Quality Dementia Care Across
Settings provide a framework to examine interventions to support the dementia
care workforce in long-term care settings. Evidence-based approaches that
represent these principles are discussed: (a) staffing, (b) staff training, (c)
compensation, (d) supportive work environments, (e) career growth and retention,
and (f) engagement with family. Results: Although not all settings currently
require attention to the principles described, this article proposes these
principles as best practice recommendations. Recommendations and future research
considerations to further improve the lives of those who live and work in nursing
homes, assisted living, hospice, and home care, are proposed. Additional areas to
improve the quality of a dementia care workforce person-centered care
information, communication and interdepartmental teamwork, and ongoing evaluation
are discussed.
PMID- 29361073
TI - Improving Care Through Public Policy.
PMID- 29361074
TI - Alzheimer's Association Dementia Care Practice Recommendations.
PMID- 29361075
TI - Function, Shoulder Motion, Pain, and Lymphedema in Breast Cancer With and Without
Axillary Web Syndrome: An 18-Month Follow-Up.
AB - Background: Axillary web syndrome (AWS) can develop following breast cancer
surgery and presents as a tight band of tissue in the axilla with shoulder
abduction. Objective: The objectives were to determine the prevalence and natural
history of AWS and the association between AWS and function, range of motion,
pain, lymphedema, and body mass index (BMI). Design: This study was a
longitudinal prospective cohort study utilizing a repeated measures design.
Methods: Axillary web syndrome, function, shoulder range of motion, pain, and
lymphedema (using circumference, bioimpedance spectroscopy, tissue dielectric
constant) were assessed in women at 2, 4, and 12 weeks and 18 months following
breast cancer surgery. Prevalence of AWS and the association with the measured
outcomes were analyzed. Results: Thirty-six women agreed to participate in the
study. The cumulative prevalence of AWS was 50% (18/36) at 18 months following
breast cancer surgery. AWS was identified as a risk factor for reduced function.
Women with AWS had statistically reduced range of motion, lower BMI, and higher
number of lymph nodes removed compared to the non-AWS group. Forty-one percent
(13/32) of women had AWS at 18 months. AWS reoccurred in 6 women following
resolution, and a new case developed beyond the early postoperative period. The
overall prevalence of physical impairments ranged from 66% to 97% within the
first 18 months following surgery regardless of AWS. Limitations: Limitations
include a small sample size and potential treatment effect. Conclusion: AWS
occurs in approximately 50% of women following breast cancer surgery. It can
persist for 18 months and potentially longer, develop beyond the early
postoperative time period, and reoccur after resolution. Clinicians need to be
aware of the chronicity of AWS and its association with reduced range of motion
and function.
PMID- 29361076
TI - Step-grandparenthood in the United States.
AB - Objectives: This study provides new information about the demography of step
grandparenthood in the United States. Specifically, we examine the prevalence of
step-grandparenthood across birth cohorts and for socioeconomic and racial/ethnic
groups. We also examine lifetime exposure to the step-grandparent role. Methods:
Using data from the Panel Study of Income Dynamics and the Health and Retirement
Study, we use percentages to provide first estimates of step-grandparenthood and
to describe demographic and socioeconomic variation in who is a step-grandparent.
We use life tables to estimate the exposure to step-grandparenthood. Results: The
share of step-grandparents is increasing across birth cohorts. However,
individuals without a college education and non-Whites are more likely to become
step-grandparents. Exposure to the step-grandparent role accounts for
approximately 15% of total grandparent years at age 65 for women and men.
Discussion: A growing body of research finds that grandparents are increasingly
instrumental in the lives of younger generations. However, the majority of this
work assumes that these ties are biological, with little attention paid to the
role of family complexity across three generations. Understanding the
demographics of step-grandparenthood sheds light on the family experiences of an
overlooked, but growing segment of the older adult population in the United
States.
PMID- 29361077
TI - SemEHR: A general-purpose semantic search system to surface semantic data from
clinical notes for tailored care, trial recruitment, and clinical research.
AB - Objective: Unlocking the data contained within both structured and unstructured
components of electronic health records (EHRs) has the potential to provide a
step change in data available for secondary research use, generation of
actionable medical insights, hospital management, and trial recruitment. To
achieve this, we implemented SemEHR, an open source semantic search and analytics
tool for EHRs. Methods: SemEHR implements a generic information extraction (IE)
and retrieval infrastructure by identifying contextualized mentions of a wide
range of biomedical concepts within EHRs. Natural language processing annotations
are further assembled at the patient level and extended with EHR-specific
knowledge to generate a timeline for each patient. The semantic data are serviced
via ontology-based search and analytics interfaces. Results: SemEHR has been
deployed at a number of UK hospitals, including the Clinical Record Interactive
Search, an anonymized replica of the EHR of the UK South London and Maudsley
National Health Service Foundation Trust, one of Europe's largest providers of
mental health services. In 2 Clinical Record Interactive Search-based studies,
SemEHR achieved 93% (hepatitis C) and 99% (HIV) F-measure results in identifying
true positive patients. At King's College Hospital in London, as part of the
CogStack program (github.com/cogstack), SemEHR is being used to recruit patients
into the UK Department of Health 100 000 Genomes Project (genomicsengland.co.uk).
The validation study suggests that the tool can validate previously recruited
cases and is very fast at searching phenotypes; time for recruitment criteria
checking was reduced from days to minutes. Validated on open intensive care EHR
data, Medical Information Mart for Intensive Care III, the vital signs extracted
by SemEHR can achieve around 97% accuracy. Conclusion: Results from the multiple
case studies demonstrate SemEHR's efficiency: weeks or months of work can be done
within hours or minutes in some cases. SemEHR provides a more comprehensive view
of patients, bringing in more and unexpected insight compared to study-oriented
bespoke IE systems. SemEHR is open source, available at
https://github.com/CogStack/SemEHR.
PMID- 29361078
TI - Outcome of autosomal dominant polycystic kidney disease patients on peritoneal
dialysis: a national retrospective study based on two French registries (the
French Language Peritoneal Dialysis Registry and the French Renal Epidemiology
and Information Network).
AB - Background: Pathological features of autosomal dominant polycystic kidney disease
(ADPKD) include enlarged kidney volume, higher frequency of digestive
diverticulitis and abdominal wall hernias. Therefore, many nephrologists have
concerns about the use of peritoneal dialysis (PD) in ADPKD patients. We aimed to
analyse survival and technique failure in ADPKD patients treated with PD.
Methods: We conducted two retrospective studies on patients starting dialysis
between 2000 and 2010. We used two French registries: the French Renal
Epidemiology and Information Network (REIN) and the French language Peritoneal
Dialysis Registry (RDPLF). Using the REIN registry, we compared the clinical
features and outcomes of ADPKD patients on PD (n = 638) with those of ADPKD
patients on haemodialysis (HD) (n = 4653); with the RDPLF registry, those same
parameters were determined for ADPKD patients on PD (n = 797) and compared with
those of non-ADPKD patients on PD (n = 12 059). Results: A total of 5291 ADPKD
patients and 12 059 non-ADPKD patients were included. Analysis of the REIN
registry found that ADPKD patients treated with PD represented 10.91% of the
ADPKD population. During the study period, PD was used for 11.2% of the non-ADPKD
population. Compared with ADPKD patients on HD, ADPKD patients on PD had higher
serum albumin levels (38.8 +/- 5.3 versus 36.8 +/- 5.7 g/dL, P < 0.0001) and were
less frequently diabetic (5.31 versus 7.71%, P < 0.03). The use of PD in ADPKD
patients was positively associated with the occurrence of a kidney
transplantation but not with death [hazard ratio 1.15 (95% confidence interval
0.84-1.58)]. Analysis of the RDPLF registry found that compared with non-ADPKD
patients on PD, ADPKD patients on PD were younger and had fewer comorbidities and
better survival. ADPKD status was not associated with an increased risk of
technique failure or an increased risk of peritonitis. Conclusions: According to
our results, PD is proposed to a selected population of ADPKD patients, PD does
not have a negative impact on ADPKD patients' overall survival and PD technique
failure is not influenced by ADPKD status. Therefore PD is a reasonable option
for ADPKD patients.
PMID- 29361079
TI - Hand Edema in Patients at Risk of Breast Cancer-Related Lymphedema: Health
Professionals Should Take Notice.
AB - Background: There is little research on hand edema in the population at risk for
breast cancer-related lymphedema (BCRL). Objectives: Study aims included
reporting potential importance of hand edema (HE) as a risk factor for
progression of edema in patients treated for breast cancer at risk for BCRL,
reporting risk factors for BCRL, and reporting treatment of HE. Design/Methods:
This was a retrospective analysis of 9 patients treated for breast cancer in
Massachusetts General Hospital's lymphedema screening program who presented with
isolated HE. Limb volumes via perometry, BCRL risk factors, and HE treatment are
reported. Results: Edema was mostly isolated to the hand. Three patients had arm
edema >5% on perometry; and 2 of these had edema outside the hand on clinical
examination. Patients were at high risk of BCRL with an average of 2.9/5 known
risk factors. Arm edema progressed to >10% in 2 high-risk patients. Treatment
resulted in an average hand volume reduction of 10.2% via perometry and
improvement upon clinical examination. Limitations: The small sample size and
lack of validated measures of subjective data were limitations. Conclusions: In
this cohort, patients with HE carried significant risk factors for BCRL. Two out
of 9 (22%), both carrying >=4/5 risk factors, progressed to edema >10%. Isolated
HE may be a prognostic factor for edema progression in patients treated for
breast cancer at risk for BCRL. Further research is warranted.
PMID- 29361081
TI - Redundant roles of Bradyrhizobium oligotrophicum Cu-type (NirK) and cd1-type
(NirS) nitrite reductase genes under denitrifying conditions.
AB - Reduction of nitrite to nitric oxide gas by respiratory nitrite reductases (NiRs)
is the key step of denitrification. Denitrifiers are strictly divided into two
functional groups based on whether they possess the copper-containing nitrite
reductase (CuNiR) encoded by nirK or the cytochrome cd1 nitrite reductase (cdNiR)
encoded by nirS. Recently, some organisms carrying both nirK and nirS genes have
been found. Bradyrhizobium oligotrophicum S58 is a nitrogen-fixing oligotrophic
bacterium that carries a set of genes for complete denitrification of nitrate to
dinitrogen, including nirK and nirS genes. We show that denitrification in S58 is
functional under low-oxygen conditions (anaerobiosis and microaerobiosis), but
not under aerobiosis. Under denitrifying conditions, the DeltanirK and DeltanirS
single S58 mutants grew normally and their NiR activity was not affected.
However, the DeltanirKS double mutant grew more slowly, presumably because the
impaired NiR activity resulted in nitrite accumulation in the medium. These
results suggest a redundant role for nirK and nirS genes in B. oligotrophicum S58
denitrification. In addition, we found that the nirS gene product, but not that
of nirK, maintains swimming motility of S58 under aerobic and low-oxygen
conditions in the presence of nitrate.
PMID- 29361082
TI - Dietary Protein and Carbohydrate Levels Affect Performance and Digestive
Physiology of Plodia interpunctella (Lepidoptera: Pyralidae).
AB - In this study, life history and nutritional indices of Plodia interpunctella
(Hubner) (Lepidoptera: Pyralidae) was evaluated on six food commodities: dried
fig, dried wheat germ, dried white mulberry, groundnut, pistachio, and raisin,
compared with artificial diet. The influence of dietary macronutrient content on
digestive alpha-amylase was also assessed. A delay in the developmental time of
P. interpunctella immature stages was detected when larvae were fed on raisin.
The highest survival rate of immature stages was on the artificial diet, and the
lowest was on raisin. The highest realized fecundity and fertility were recorded
for the females reared on artificial diet. Overall, fourth instar P.
interpunctella reared on artificial diet had the highest relative consumed and
growth rate, and lowest rates were observed in larvae fed raisin. Amylolytic
activity and isoform patterns varied depending on larval instar and diets, but
were higher for larvae fed artificial diet with moderate carbohydrate and
protein. Zymograms showed the presence of three isoforms of alpha-amylase in
midgut extracts of P. interpunctella fed different diets. Larvae fed dried white
mulberry, fig, and raisin had one (A2) alpha-amylase isoform. The data suggest
that dietary carbohydrate and protein content induce changes in nutritional
efficiency, development, and alpha-amylase activity. A survey of the differences
in digestive enzyme activity in response to macronutrient balance and imbalance
highlight their importance in the nutrition of insects.
PMID- 29361080
TI - Deletion size analysis of 1680 22q11.2DS subjects identifies a new recombination
hotspot on chromosome 22q11.2.
AB - Recurrent, de novo, meiotic non-allelic homologous recombination events between
low copy repeats, termed LCR22s, leads to the 22q11.2 deletion syndrome
(22q11.2DS; velo-cardio-facial syndrome/DiGeorge syndrome). Although most
22q11.2DS patients have a similar sized 3 million base pair (Mb), LCR22A-D
deletion, some have nested LCR22A-B or LCR22A-C deletions. Our goal is to
identify additional recurrent 22q11.2 deletions associated with 22q11.2DS,
serving as recombination hotspots for meiotic chromosomal rearrangements. Here,
using data from Affymetrix 6.0 microarrays on 1680 22q11.2DS subjects, we
identified what appeared to be a nested proximal 22q11.2 deletion in 38 (2.3%) of
them. Using molecular and haplotype analyses from 14 subjects and their parent(s)
with available DNA, we found essentially three types of scenarios to explain this
observation. In eight subjects, the proximal breakpoints occurred in a small
sized 12 kb LCR distal to LCR22A, referred to LCR22A+, resulting in LCR22A+-B or
LCR22A+-D deletions. Six of these eight subjects had a nested 22q11.2 deletion
that occurred during meiosis in a parent carrying a benign 0.2 Mb duplication of
the LCR22A-LCR22A+ region with a breakpoint in LCR22A+. Another six had a typical
de novo LCR22A-D deletion on one allele and inherited the LCR22A-A+ duplication
from the other parent thus appearing on microarrays to have a nested deletion.
LCR22A+ maps to an evolutionary breakpoint between mice and humans and appears to
serve as a local hotspot for chromosome rearrangements on 22q11.2.
PMID- 29361083
TI - Antibody Response to Hepatitis B Virus Vaccine is Impaired in Patients With
Inflammatory Bowel Disease on Infliximab Therapy.
AB - Background: Studies have demonstrated an association between anti
TNF/immunomodulator agents used in inflammatory bowel disease (IBD) and impaired
hepatitis B virus (HBV) vaccine immunogenicity, but little data exist on whether
specific medication types affect protective HBsAb titers. Our aim was to analyze
this association. Methods: This is a retrospective cohort study. Inclusion
criteria: age >=18, diagnosis of Crohn's disease (CD) or ulcerative colitis (UC),
previous HBV vaccination series and/or >=1 positive HBsAb, and record of IBD
therapy in 6 months before titer level. Patients were stratified based upon
medication exposures: anti-TNF, immunomodulator, combination anti-TNF and
immunomodulatory, and a reference arm. Titer levels following vaccination and
specific medication types given in the 6 months before titer were recorded.
Seroprotection was defined as HBsAb >=10 IU/l and >=100 IU/l. Results: The study
cohort (N = 391) was 70.8% white, 51.4% female and 64.2% had CD and 35.8% had UC.
The mean age was 45.8 years. A significantly lower percentage of patients exposed
to anti-TNF, immunomodulator or dual therapy had titers >=10 (P < 0.01).
Regarding specific medications, only patients exposed to infliximab (P < 0.01)
were less likely to have titer levels >=10, after controlling for other
medication exposures, age at titer level, and interval time between
vaccination/titer level. This was not found for patients exposed to adalimumab,
methotrexate, 6-mercaptopurine, or azathioprine. Conclusions: Patients exposed to
infliximab were significantly less likely to have protective HBsAb titer levels
following vaccination, a trend not seen in patients on adalimumab. Efforts to
vaccinate IBD patients against HBV before use of immunomodulators and anti-TNFs,
infliximab specifically, and screen periodically thereafter must be reinforced.
PMID- 29361084
TI - Lactobacillus brevis KB290 With Vitamin A Ameliorates Murine Intestinal
Inflammation Associated With the Increase of CD11c+ Macrophage/CD103- Dendritic
Cell Ratio.
AB - Background: The ratio of colonic anti-inflammatory CD11c+ macrophages (MPs) to
inflammatory CD103- dendritic cells (DCs) plays pivotal roles in intestinal
inflammation. Little is known about how the ratio is regulated by lactic acid
bacteria (LAB) and bifidobacteria (Bif). We investigated the contribution of
LAB/Bif to this ratio. Methods: We established an in vitro experimental system
using human myeloblastic KG-1 cells, which differentiate into CD11c+ MP-like
(CD11c+ MPL) and CD103- DC-like (CD103- DCL) cells, and explored effective
LAB/Bif strains. The selected strain's effect on the colonic CD11c+ MP/CD103- DC
ratio and intestinal inflammation was examined in mice, and the strain's
underlying mechanisms were investigated in vitro. Results: We screened 19 strains
of LAB/Bif, and found that Lactobacillus brevis KB290 (KB290) increased the
CD11c+ MPL/CD103- DCL cell ratio only in the presence of a vitamin A (VA)
metabolite, retinoic acid (RA). Supplementation of KB290 with VA increased the
CD11c+ MP/CD103- DC ratio in healthy mouse and prevented the disruption of the
ratio during colitis. Supplementation of KB290 with pro-VA (beta-carotene) also
increased the ratio in healthy mouse and ameliorated the development of colitis.
The ratio was increased by reduction of CD103- DCs (or CD103- DCL cells). Our in
vitro data suggested that KB290 induced cell death in CD103- DCL cells in the
presence of RA signaling. Conclusions: Supplementation of KB290 with VA increases
the colonic CD11c+ MP/CD103- DC ratio associated with the amelioration of murine
colitis, suggesting a possible way to control intestinal inflammation by LAB.
PMID- 29361086
TI - Inhibition of Fibroblast Activation Protein Restores a Balanced Extracellular
Matrix and Reduces Fibrosis in Crohn's Disease Strictures Ex Vivo.
AB - Background: Crohn's disease (CD) is a chronic bowel inflammation that ultimately
leads to fibrosis, for which medical therapy is currently unavailable. Fibrotic
strictures in CD are characterized by excessive extracellular matrix (ECM)
deposition, altered balance between matrix metalloproteinases (MMPs) and their
tissue inhibitors (TIMPs), and overexpression of fibroblast activation protein
(FAP), a marker of active fibroblasts. Here we investigated the role of FAP
targeted therapy in ECM remodeling in CD strictures ex vivo. Methods: Bowel
specimens were obtained from stenotic and nonstenotic ileal segments from 30
patients with fibrostenotic CD undergoing surgery. FAP expression was evaluated
in isolated mucosal myofibroblasts by immunoblotting and flow cytometry. Bowel
tissue cultures were treated with anti-FAP antibody, and soluble collagen, TIMP
1, and MMPs were measured in tissue culture supernatants by immunoblotting. Anti
FAP-treated myofibroblasts were analyzed for TIMP-1 expression by immunoblotting,
for migratory potential by wound healing assay, and for apoptosis by Annexin V
staining. Results: Myofibroblasts from stenotic CD mucosa showed upregulation of
FAP expression when compared with nonstenotic mucosa. Treatment of stenotic
tissues with anti-FAP antibody induced a dose-dependent decrease in collagen
production, particularly affecting type I collagen. The treatment also reduced
TIMP-1 production in CD strictures, without altering MMP-3 and MMP-12 secretion.
Accordingly, anti-FAP treatment inhibited TIMP-1 expression in stenotic CD
myofibroblasts and enhanced myofibroblast migration without affecting survival.
Conclusions: FAP inhibition reduced type I collagen and TIMP-1 production by CD
strictures ex vivo without compromising uninvolved bowel areas. These results
suggest that targeting FAP could reconstitute ECM homeostasis in fibrostenotic
CD.
PMID- 29361085
TI - The Influence of Hormonal Fluctuation on Inflammatory Bowel Disease Symptom
Severity-A Cross-Sectional Cohort Study.
AB - Background: Many women with inflammatory bowel disease (IBD) report changes in
symptoms in association with hormonal changes during menses, pregnancy, and
hormonal contraceptive use, suggesting a hormonal influence on disease activity.
We aimed to identify and characterize IBD symptom fluctuations in women during
times of hormonal variation. Methods: From June 2012 through September 2012,
women enrolled in Crohn's and Colitis Foundation of America Partners , an online
Internet cohort of patients with IBD, were invited to participate in this study.
Using a 5-point Likert scale, participants were asked to rate symptom changes
during their menstrual cycle, pregnancy, the postpartum period, and after
menopause. Clinical and demographic differences were assessed using univariate
and multivariable methods. Results: A total of 1,203 female patients with Crohn's
disease (CD) and ulcerative colitis (UC) participated (64% CD, 34% UC). Over half
of the women with IBD reported worsening symptoms during menses. Symptom changes
were similar between women with CD vs UC, except in pregnancy, where symptom
worsening during pregnancy was more commonly seen in UC than CD (P = 0.02).
Overall, women reporting symptom worsening were younger at the time of IBD
diagnosis (P < 0.01), had lower quality of life (SIBDQ) scores (P < 0.01), and
had a higher BMI (25 vs 24) than women without symptom worsening. Conclusions:
Women with IBD report changes in symptom severity during times of hormone
fluctuation. Further clarification of the role of hormones in IBD is warranted in
order to understand these relationships and to identify potential management
strategies for women with IBD and hormonally sensitive gastrointestinal symptoms.
PMID- 29361087
TI - The Addition of an Immunosuppressant After Loss of Response to Anti-TNFalpha
Monotherapy in Inflammatory Bowel Disease: A 2-Year Study.
AB - Background: The addition of an immunosuppressant (IM) after loss of response to
anti-TNFalpha monotherapy is an emerging strategy of therapeutic optimization in
patients with inflammatory bowel disease (IBD). However, few clinical data have
been reported to date. We aimed to evaluate the efficacy and safety of this
selective combination therapy in patients with IBD. Methods: All consecutive
patients with loss of response to anti-TNFalpha monotherapy despite an intensive
dose optimization who added an IM from October 2014 to October 2016 were entered
into a prospective database. Results: Among 630 patients treated with anti
TNFalpha agents during the study period, 46 (7.3%) added an IM. A total of 31
patients (67.4%) were treated with an intravenous anti-TNFalpha (infliximab, as
originator or biosimilar), while 15 (32.6%) were treated with a subcutaneous anti
TNFalpha agent (10 adalimumab and 5 golimumab). The mean duration of follow-up
was 12.8 +/- 7.3 months. Twenty-one patients (45.7%) remained on combination
therapy at the end of follow-up: 15 (32.6%) maintained a steroid-free remission,
and 6 (13.0%) achieved a clinical response. In patients who experienced treatment
success, the median value of C-reactive protein decreased from baseline to the
end of follow-up (13.2 vs 3.0, P = 0.01; normal values <5 mg/L). Adverse events
leading to treatment discontinuation were reported in 8 out of 46 patients
(17.4%). Conclusions: In the largest cohort on this argument reported to date,
the addition of an IM was an effective and safe optimization strategy after loss
of response to anti-TNFalpha monotherapy. Low doses of IM were sufficient to
achieve a clinical response.
PMID- 29361089
TI - Differential Susceptibility to T Cell-Induced Colitis in Mice: Role of the
Intestinal Microbiota.
AB - One of the best characterized mouse models of the inflammatory bowel diseases
(IBD; Crohn's disease, ulcerative colitis) is the CD4+CD45RBhigh T cell transfer
model of chronic colitis. Following our relocation to Texas Tech University
Health Sciences Center (TTUHSC), we observed a dramatic reduction in the
incidence of moderate-to-severe colitis from a 16-year historical average of 90%
at Louisiana State University Health Sciences Center (LSUHSC) to <30% at TTUHSC.
We hypothesized that differences in the commensal microbiota at the 2
institutions may account for the differences in susceptibility to T cell-induced
colitis. Using bioinformatic analyses of 16S rRNA amplicon sequence data, we
quantified and compared the major microbial populations in feces from healthy and
colitic mice housed at the 2 institutions. We found that the bacterial
composition differed greatly between mice housed at LSUHSC vs TTUHSC. We
identified several genera strongly associated with, and signficantly
overrepresented in high responding RAG-/- mice housed at LSUHSC. In addition, we
found that colonization of healthy TTUHSC RAG-/- mice with feces obtained from
healthy or colitic RAG-/- mice housed at LSUHSC transferred susceptibility to T
cell-induced colitis such that the recipients developed chronic colitis with
incidence and severity similar to mice generated at LSUHSC. Finally, we found
that the treatment of mice with preexisting colitis with antibiotics remarkably
attenuated disease. Taken together, our data demonstrate that specific microbial
communities determine disease susceptibility and that manipulation of the
intestinal microbiota alters the induction and/or perpetuation of chronic
colitis.
PMID- 29361088
TI - Long ncRNA Landscape in the Ileum of Treatment-Naive Early-Onset Crohn Disease.
AB - Background: Long noncoding RNAs (lncRNA) are key regulators of gene transcription
and many show tissue-specific expression. We previously defined a novel
inflammatory and metabolic ileal gene signature in treatment-naive pediatric
Crohn disease (CD). We now extend our analyses to include potential regulatory
lncRNA. Methods: Using RNAseq, we systematically profiled lncRNAs and protein
coding gene expression in 177 ileal biopsies. Co-expression analysis was used to
identify functions and tissue-specific expression. RNA in situ hybridization was
used to validate expression. Real-time polymerase chain reaction was used to test
lncRNA regulation by IL-1beta in Caco-2 enterocytes. Results: We characterize
widespread dysregulation of 459 lncRNAs in the ileum of CD patients. Using only
the lncRNA in discovery and independent validation cohorts showed patient
classification as accurate as the protein-coding genes, linking lncRNA to CD
pathogenesis. Co-expression and functional annotation enrichment analyses across
several tissues and cell types 1showed that the upregulated LINC01272 is
associated with a myeloid pro-inflammatory signature, whereas the downregulated
HNF4A-AS1 exhibits association with an epithelial metabolic signature. We
confirmed tissue-specific expression in biopsies using in situ hybridization, and
validated regulation of prioritized lncRNA upon IL-1beta exposure in
differentiated Caco-2 cells. Finally, we identified significant correlations
between LINC01272 and HNF4A-AS1 expression and more severe mucosal injury.
Conclusions: We systematically define differentially expressed lncRNA in the
ileum of newly diagnosed pediatric CD. We show lncRNA utility to correctly
classify disease or healthy states and demonstrate their regulation in response
to an inflammatory signal. These lncRNAs, after mechanistic exploration, may
serve as potential new tissue-specific targets for RNA-based interventions.
PMID- 29361090
TI - Biomarker-Based Models Outperform Patient-Reported Scores in Predicting
Endoscopic Inflammatory Disease Activity.
AB - Background: The Crohn's Disease Activity Index (CDAI), a scoring index including
patient-reported outcomes (PROs), has known limitations for measuring intestinal
inflammatory disease burden. Noninvasive markers of inflammation could prove more
accurate than PROs; thus, regulatory authorities are exploring the use of PROs
and endoscopic data as coprimary end points in clinical trials. The aim of this
study was to assess the predictive ability of individual components of the CDAI,
along with biomarker concentrations, to create models for predicting endoscopic
disease activity. Methods: Between 2004 and 2006, 164 patients with established
Crohn's disease (CD) undergoing clinically indicated ileocolonoscopy were
recruited. Individual CDAI variables and fecal calprotectin (FC) were selected to
explore their predictive accuracy for endoscopic disease activity, with the
Simple Endoscopic Score-Crohn's Disease (SES-CD) as the outcome variable. Simple
Poisson regression was performed on each variable, and 2 multivariate models were
created (PRO-exclusive and PRO+FC [PRO+]). Additional analyses explored the
patient-level agreement between models. Results: Number of liquid stools,
abdominal pain, hematocrit (Hct), FC, and high-sensitivity C-reactive protein
(hsCRP) correlated significantly with the SES-CD. For the prediction of SES-CD
(>7 vs <=6), the area under the curve (AUC) was 0.81, with 63% and 88%
sensitivity and specificity, for the PRO+ model, compared with a 0.56 AUC, with
61% and 55%, respectively, for the PRO model. Intra-individual comparison
revealed the PRO+ model to be superior in the prediction of endoscopically active
disease. Conclusions: The inclusion of biomarkers significantly improved
predictive accuracy for endoscopic disease activity compared with PRO-exclusive
models.
PMID- 29361091
TI - Clostridium difficle Enteropathy Is Associated With a Higher Risk for Acute
Kidney Injury in Patients With an Ileostomy-A Case-Control Study.
AB - Background: Clostridium difficile infection (CDI) is traditionally considered a
colon-affecting disease with frequent pseudomembrane formation. However, multiple
case reports have documented the existence of CDI in the small bowel, and the
literature on outcome of C difficile enteropathy (CDE) is sparse. The aims of our
study are to identify risk factors and to assess patient-related outcomes
associated with CDE. Methods: This is a case-control study involving 112 patients
at our tertiary care center. Patients with an ileostomy who tested positive for C
difficile toxins were assigned to the study group (n = 34). The control group
included patients with an ileostomy who tested negative for the C difficile
toxins (n = 78). Via chart review, we collected data on baseline characteristics,
laboratory values, potential risk factors, and outcome measures. Results:
Patients in the study and control groups were comparable in baseline and
laboratory characteristics. In univariate analysis, CDE was associated with a
history of smoking (hazard ratio [HR], 2.1; 95% confidence interval [CI], 1.06
4.3; P = 0.034), the presence of alcohol use (HR, 3.7; 95% CI, 1.05-12.8; P =
0.042), and older median age at the time of ileostomy (HR, 1.2; 95% CI, 1.07-1.3;
P = 0.001). In multivariate analysis, no significant association between
established CDI risk factors (eg, prior exposure to antibiotics and the use of
proton pump inhibitors or histamine 2 receptor blockers) and the risk for the CDE
was found. Only a history of alcohol intake was found to be associated with CDE
(HR, 3.9; 95% CI, 1.09-14.1; P = 0.036). No significant difference in mortality
was found in the study and control groups (2.9% vs 1.3%, P = 0.52), but patients
with CDE were more likely to have acute kidney injury (AKI) than those without
CDE (odds ratio, 4.0; 95% CI, 1.2-13.0. P = 0.023). Conclusions: We identified a
history of alcohol use a risk factor for CDE. Furthermore, CDE was found be
associated with an increased risk for developing AKI.
PMID- 29361092
TI - Safety, Clinical Response, and Microbiome Findings Following Fecal Microbiota
Transplant in Children With Inflammatory Bowel Disease.
AB - Background: The role of fecal microbiota transplant (FMT) in the treatment of
pediatric inflammatory bowel disease (IBD) is unknown. The aims of this study
were to assess safety, clinical response, and gut microbiome alterations in
children with Crohn's disease (CD), ulcerative colitis (UC), or indeterminate
colitis (IC). Methods: In this open-label, single-center prospective trial,
patients with IBD refractory to medical therapy underwent a single FMT by upper
and lower endoscopy. Adverse events, clinical response, gut microbiome, and
biomarkers were assessed at baseline, 1 week, 1 month, and 6 months following
FMT. Results: Twenty-one subjects were analyzed, with a median age of 12 years,
of whom 57% and 28% demonstrated clinical response at 1 and 6 months post-FMT,
respectively. Two CD patients were in remission at 6 months. Adverse events
attributable to FMT were mild to moderate and self-limited. Patients prior to FMT
showed decreased species diversity and significant microbiome compositional
differences characterized by increased Enterobacteriaceae, Enterococcus,
Haemophilus, and Fusobacterium compared with donors and demonstrated increased
species diversity at 30 days post-FMT. At 6 months, these changes shifted toward
baseline. Clinical responders had a higher relative abundance of Fusobacterium
and a lower diversity at baseline, as well as a greater shift toward donor-like
microbiome after FMT compared with nonresponders. Conclusions: A single FMT is
relatively safe and can result in a short-term response in young patients with
active IBD. Responders possessed increased Fusobacterium prior to FMT and
demonstrated more significant microbiome changes compared with nonresponders
after FMT. Microbiome characteristics may help in predicting response.
PMID- 29361093
TI - Postoperative Morbidity Risks Following Ileocolic Resection for Crohn's Disease
Treated With Anti-TNF Alpha Therapy: A Retrospective Study of 360 Patients.
AB - Background: Despite the effectiveness of anti-TNF alpha (ATA) treatment to induce
and maintain remission in Crohn's disease, surgical intervention is frequently
required. Results of previous studies on the impact of anti-TNF on postoperative
course are discordant. The aim of this study was to evaluate the impact of ATA on
postoperative morbidity following ileocolic resection for Crohn's disease.
Methods: A retrospective review of Crohn's disease patients undergoing ileocolic
resection was performed. Patients receiving medical treatment <=8 weeks prior to
surgery were included and followed up for postoperative morbidity. The Clavien
Dindo classification was used for grading complications. Risk factors for
postoperative morbidity were assessed on multivariable analysis. Results: A total
of 360 patients underwent ileocolic resection for Crohn's disease between 2002
and 2013; 15.3% of patients had ATA <=8 weeks prior to surgery. Laparoscopic
resections were performed in 110 cases (31%), of which 6% were converted to an
open operation. Primary anastomosis without the formation of a diverting
ileostomy was performed in 301 cases. Overall morbidity was 24.2%, with a
mortality rate of 0.8%. ATA use prior to surgery was identified as an independent
risk factor for overall morbidity (odds ratio [OR], 2.05; 95% confidence interval
[CI], 1.08-3.82; P = 0.027) and septic complications (OR, 2.14; 95% CI, 1.03
4.29; P = 0.04). In subgroup analysis of patients with a primary anastomosis, ATA
use had no significant impact on septic or overall morbidity. Conclusions:
Preoperative ATA use is a risk factor for overall postoperative morbidity and
septic complications. However, the formation of a primary anastomosis should not
be influenced by preoperative ATA use.
PMID- 29361094
TI - A Mobile Infliximab Dosing Calculator for Therapy Optimization in Inflammatory
Bowel Disease.
AB - Background: Inadequate infliximab (IFX) drug exposure remains a clinical
challenge and leads to high loss of response rates and therapy failure in
inflammatory bowel disease (IBD). We aimed to determine the feasibility and pilot
effectiveness of a novel, web-based, mobile IFX dosing calculator (mIDC) for
therapy optimization. Methods: We developed an mIDC leveraging the known clinical
variables of C-reative protein (CRP), albumin, patient's weight, disease activity
indices, calprotectin, drug trough levels, and antibodies to IFX that
significantly affect pharmacokinetics and/or outcomes. A prospective
observational cohort study in pediatric and young adult IBD patients receiving
maintenance IFX was performed. System-wide practice adoption of mIDC was achieved
through a quality improvement (QI) initiative within a hospital-based infusion
unit. Results: Forty-nine patients (median age: 16.0 years; 55% female; 65%
Crohn's disease) were followed over 9 months. mIDC recommendations for dose
optimization were followed by the treating physicians in 198 (89%) out of 222
infusions. Twenty-eight (13%) of 222 mIDC recommendations were to escalate IFX
dosing; 15 (54%) of 28 escalation recommendations were declined, and these
patients were more likely to already be receiving IFX dose intensification
compared with those in whom escalation recommendations were followed (P < 0.05).
From mIDC initiation to end of follow-up, mean albumin levels remained unchanged
at 3.8 g/dL. Median CRP remained unchanged at 2 g/L. Median calprotectin levels
showed a downward trend from 30 to 27 MUg/g (n = 9, P < 0.05). The percentage of
patients undergoing therapeutic drug monitoring in clinical care increased from
34% to 86% with the QI initiative. The target median IFX trough goal of >5 MUg/mL
was achieved with 81% probability throughout the QI initiative, an increase of
12% compared with pre-QI values. Conclusions: The use of a novel mIDC is feasible
and potentially effective, facilitating both standardization and
individualization of therapy in clinical care. mIDC appears to be a practical IFX
dosing tool for point-of-care use, leveraging individual pharmacokinetic
considerations.
PMID- 29361095
TI - Changing Infliximab Prescription Patterns in Inflammatory Bowel Disease: A
Population-Based Cohort Study, 1999-2014.
AB - Background: Long-term data on real life use of infliximab (IFX) for inflammatory
bowel disease (IBD) are lacking. We studied prescription patterns during the
first 16 years following marketing authorization. Methods: In a population-based
cohort from the North Denmark Region, all IBD patients exposed to IFX during 1999
to 2014 were identified. Results: A total of 623 patients (210 with ulcerative
colitis [UC] and 413 with Crohn's disease [CD]) were exposed to IFX. In patients
with UC, age at first exposure decreased by 10 months per calendar year (P <
0.05) during the study period. In patients with CD, disease duration at time of
first IFX exposure decreased by 7 months per calendar year (P < 0.001). From 2005
2009 to 2010-2014, the proportion of IFX-exposed patients with pancolitis (40% vs
24%, P = 0.04) and the proportion of patients with extensive CD (P = 0.002)
decreased. The mean time to discontinuation of IFX remained stable in patients
with CD during the study period (2.5-3.0 years) and increased from 0.34 years
(2005-2009) to 1.11 years (2010-2015) in patients with UC (P = 0.04). Conclusion:
During the first 16 years postmarketing, age at first exposure to IFX decreased
in patients with UC, whereas disease duration at time of first exposure decreased
in patients with CD. Also, a significant change toward less extensive disease in
both UC and CD patients exposed to IFX was observed. Treatment duration in
patients with UC increased during the study period, but did not reach the more
constant and longer duration of treatment observed in patients with CD.
PMID- 29361096
TI - Reliability of Measuring Ileo-Colonic Disease Activity in Crohn's Disease by
Magnetic Resonance Enterography.
AB - Background: Magnetic resonance enterography is increasingly utilized for
assessment of luminal Crohn's disease activity. The Magnetic Resonance Index of
Activity and the London Index are the most commonly used outcome measures in
clinical trials. We assessed the reliability of these indices and several
additional items. Methods: A consensus process clarified scoring conventions and
identified additional items based on face validity. Four experienced radiologists
evaluated 50 images in triplicate, in random order, at least 1 month apart, using
a central image management system. Intra- and interrater reliability were
assessed by calculating and comparing intraclass correlation coefficients.
Results: Intrarater intraclass correlation coefficients (95% confidence
intervals) for the Magnetic Resonance Index of Activity, London, and London
"extended" indices and a visual analogue scale were 0.89 (0.84 to 0.91), 0.87
(0.83 to 0.90), 0.89 (0.85 to 0.92), and 0.86 (0.81 to 0.90). Corresponding
interrater intraclass correlation coefficients were 0.71 (0.61 to 0.77), 0.67
(0.55 to 0.75), 0.70 (0.61 to 0.76), and 0.71 (0.62 to 0.77). Reliability for
each index was greatest in the terminal ileum and poorest in the rectum. All 3
indices were highly correlated with the visual analogue scale; 0.79 (0.71 to
0.85), 0.78 (0.71 to 0.84), and 0.79 (0.72 to 0.85) for the Magnetic Resonance
Index of Activity, London, and the London "extended" indices, respectively.
Conclusions: "Substantial" interrater reliability was observed for all 3 indices.
Future studies should assess responsiveness to treatment in order to confirm
their utility as evaluative indices in clinical trials and clinical practice.
PMID- 29361097
TI - Health-Related Quality of Life and Work-Related Outcomes for Patients With Mild
to-Moderate Ulcerative Colitis and Remission Status Following Short-Term and Long
Term Treatment With Multimatrix Mesalamine: A Prospective, Open-Label Study.
AB - Background: Disease activity of patients with ulcerative colitis (UC) predicts
health-related quality of life (HRQL) and work-related outcomes (eg, absenteeism,
productivity). We tested whether outcomes differed among patients in complete
(clinical and endoscopic) remission, partial remission, or not in remission
following treatment with multimatrix mesalamine. Methods: Data were from an open
label, multicountry, prospective trial (ClinicalTrials.gov identifier:
NCT01124149) of 717 adults with active mild-to-moderate UC treated with 4.8 g/day
multimatrix mesalamine tablets for 8 weeks (induction period); 459 patients who
achieved partial or complete remission received daily 2.4 g/day multimatrix
mesalamine for 12 additional months (maintenance period). HRQL (SF-12v2 Health
Survey and Short Inflammatory Bowel Disease Questionnaire) and work-related
outcomes (Work Productivity and Activity Impairment questionnaire) were assessed
at baseline and final visits of each treatment period. Differences in scores by
remission status within each treatment period were tested using analysis of
variance and analysis of covariance models, whereas mixed-effects models with
repeated measures tested changes over time. Results: At their final visit of each
treatment period, patients in partial remission scored significantly better on
all HRQL and work-related domains than patients not in remission (all Bonferroni
adjusted P < 0.05). Scores for patients in partial remission were, almost without
exception, statistically equivalent to those for patients in complete remission.
Fluctuating between complete and partial remission during maintenance treatment
had no impact on outcomes. Conclusions: Patients in partial remission following
multimatrix mesalamine treatment had HRQL and work-related outcomes equivalent to
patients in complete remission. Achievement and maintenance of partial remission
may be sufficient for improvements in patients' functioning, well-being, and work
performance.
PMID- 29361099
TI - It's Too Soon to Count Out Vedo for Postoperative Crohn's Disease.
PMID- 29361098
TI - Patient Decision-Making About Emergency and Planned Stoma Surgery for IBD: A
Qualitative Exploration of Patient and Clinician Perspectives.
AB - Background: Many inflammatory bowel disease (IBD) patients worry about stoma
forming surgery (SFS), sometimes enduring poor bowel-related quality of life to
avoid it. Anticipation of SFS and whether expectations match experience is
underreported. This qualitative study explored influences on patients' SFS
decision-making and compared preoperative concerns with postoperative outcomes.
Methods: We purposively recruited participants with IBD from UK hospital
outpatient and community sources, and IBD clinicians from public hospitals. Four
focus groups, 29 semistructured patient participant interviews, and 18 clinician
interviews were audio recorded, transcribed, and analysed thematically.
Participants had a current temporary, recently-reversed, or permanent stoma, or
were stoma naive. Results: Four themes emerged: Preoperative concerns and
expectations, Patient decision-making, Surgery and recovery, and Long-term
outcomes. Participants and clinicians agreed about most preoperative concerns,
that outcomes were often better than expected, and support from others with a
stoma is beneficial. Patient decision-making involves multiple factors, including
disease status. Some clinicians avoid discussing SFS, and the phrase 'last
resort' can bias patient perceptions; others recommend early discussion,
increasing dialogue when medical management becomes ineffective. The
postoperative period is particularly challenging for patients. Stoma acceptance
is influenced by personal perceptions and pre- and postoperative clinical and
social support. Conclusion: Patients need balanced information on all treatment
options, including surgery, from an early stage. Early multidisciplinary team
dialogue about SFS, and contact with others living well with a stoma, could
enable informed decision-making. Life with a stoma is often better than
anticipated, improving quality of life and control. Ongoing specialist nursing
support aids recovery and adjustment.
PMID- 29361100
TI - Assessing the Optimal Position for Vedolizumab in the Treatment of Ulcerative
Colitis: A Simulation Model.
AB - Background: Vedolizumab, an alpha4beta7 integrin monoclonal antibody inhibiting
gut lymphocyte trafficking, is an effective treatment for ulcerative colitis
(UC). We evaluated the optimal position of vedolizumab in the UC treatment
paradigm. Methods: Using Markov modeling, we assessed multiple algorithms for the
treatment of UC. The base case was a 35-year-old male with steroid-dependent
moderately to severely active UC without previous immunomodulator or biologic
use. The model included 4 different algorithms over 1 year, with vedolizumab use
prior to: initiating azathioprine (Algorithm 1), combination therapy with
infliximab and azathioprine (Algorithm 2), combination therapy with an
alternative anti-tumor necrosis factor (anti-TNF) and azathioprine (Algorithm 3),
and colectomy (Algorithm 4). Transition probabilities and quality-adjusted life
year (QALY) estimates were derived from the published literature. Primary
analyses included simulating 100 trials of 100,000 individuals, assessing
clinical outcomes, and QALYs. Sensitivity analyses employed longer time horizons
and ranges for all variables. Results: Algorithm 1 (vedolizumab use prior to all
other therapies) was the preferred strategy, resulting in 8981 additional
individuals in remission, 18 fewer cases of lymphoma, and 1087 fewer serious
infections per 100,000 patients compared with last-line use (A4). Algorithm 1
also resulted in 0.0197 to 0.0205 more QALYs compared with other algorithms. This
benefit increased with longer time horizons. Algorithm 1 was preferred in all
sensitivity analyses. Conclusion: The model suggests that treatment algorithms
positioning vedolizumab prior to other therapies should be considered for
individuals with moderately to severely active steroid-dependent UC. Further
prospective research is needed to confirm these simulated results.
PMID- 29361101
TI - Systematic Review With Meta-Analysis: Anti-TNF Therapy in Refractory Pouchitis
and Crohn's Disease-Like Complications of the Pouch After Ileal Pouch-Anal
Anastomosis Following Colectomy for Ulcerative Colitis.
AB - Background: Inflammatory complications including chronic refractory pouchitis and
Crohn's disease (CD)-like complications of the pouch are common complications
after ileal pouch-anal anastomosis (IPAA) following colectomy for ulcerative
colitis (UC). We performed a systematic review and meta-analysis to evaluate the
efficacy of anti-TNF therapy in distinguishing patients with chronic refractory
pouchitis from those with CD-like complications of the pouch. Methods: We
performed a systematic literature search to identify articles and abstracts
reporting anti-TNF agents efficacy in treating inflammatory complications of the
pouch after IPAA for UC. Short-term and long-term remissions were evaluated at 8
weeks 95%CI[5-10] and 12 months 95%CI[12-18.5], respectively. Results: We
identified 21 articles and 3 abstracts including 313 patients treated either with
infliximab (n = 194) or adalimumab (n = 119) for inflammatory complications of
the pouch. The rates of short-term and long-term clinical remission were 0.50
(95%CI [0.37-0.63]; I2 = 0.57) and 0.52 (95%CI[0.39-0.65]; I2 = 0.59),
respectively. The rate of remission after anti-TNF induction therapy seemed to be
higher in CD-like complications of the pouch 0.64 (95%CI[0.5-0.77]; I2 = 0.18),
compared to refractory pouchitis 0.10 (95%CI [0.00-0.35]; I2 = 0.00) (P = 0.06),
whereas no such difference appeared after long-term maintenance therapy 0.57
(95%CI[0.43-0.71]; I2 = 0.32) and 0.37 (95%CI [0.14-0.62]; I2 = 0.47),
respectively (P = 0.57). Sensitivity analyses suggested no difference in
outcomes. No significant publication bias has been detected. Conclusion: Anti-TNF
agents have a clear trend to have higher and faster efficacy in CD-like
complications of the pouch compared to refractory pouchitis, highlighting the
need to differentiate these two entities both in daily practice and clinical
trials.
PMID- 29361103
TI - Reviewing the Risk of Colorectal Cancer in Inflammatory Bowel Disease After Liver
Transplantation for Primary Sclerosing Cholangitis.
AB - The presence of concomitant primary sclerosing cholangitis (PSC) with
inflammatory bowel disease (IBD) represents a distinct disease phenotype that
carries a higher risk of colorectal cancer (CRC) than the average IBD patient.
Given that liver transplantation (LT) is the only treatment that offers a
survival benefit in PSC patients with hepatic dysfunction, management decisions
in IBD patients' post-LT for PSC are frequently encountered. One such
consideration is the risk of CRC in this immunosuppressed cohort. With most
studies showing an increased risk of CRC post-LT in these IBD patients, a closer
look at the associated risk factors of CRC and the adopted surveillance
strategies in this subset of patients is warranted. Low-dose ursodeoxycholic acid
has shown a potential chemopreventive effect in PSC-IBD patients pre-LT; however,
a favorable effect remains to be seen in post-LT group. Also, further studies are
necessary to assess the benefit of 5 aminosalicylate therapy. Annual surveillance
colonoscopy in the post-LT period is recommended for PSC-IBD patients subset
given their high risk for CRC.
PMID- 29361102
TI - Sexual Dysfunction in Men With Inflammatory Bowel Disease: A New IBD-Specific
Scale.
AB - Background: Men with inflammatory bowel disease (IBD) may have increased sexual
dysfunction. To measure the prevalence of sexual dysfunction in our male
patients, we aimed to develop a new IBD-specific Male Sexual Dysfunction Scale
(the IBD-MSDS). Methods: We used a cross-sectional survey and enrolled male
patients (N = 175) >=18 years old who attended IBD clinics at 2 Boston hospitals.
We collected information on sexual functioning via a 15-item scale. General male
sexual functioning was measured using the International Index of Erectile
Dysfunction (IIEF); the Patient Health Questionnaire (PHQ-9) measured depressive
symptoms. Medical history and sociodemographic information were extracted from
medical record review. Exploratory factor analyses (EFA) assessed
unidimensionality, factor structure, reliability, and criterion and construct
validity of the 15-item scale. We used regression models to identify clinical
factors associated with sexual dysfunction. Results: EFA suggested retaining 10
items generating a unidimensional scale with strong internal consistency
reliability, alpha = 0.90. Criterion validity assessed using Spearman's
coefficient showing that the IBD-MSDS was significantly correlated with all the
subscales of the IIEF. The IBD-MSDS was significantly correlated (construct
validity) with the PHQ-9 (P < 0.001) and the composite score for active IBD cases
(P < 0.05). Male sexual dysfunction in IBD was significantly associated with the
presence of an ileoanal pouch anastomosis (P = 0.047), depression (P < 0.001),
and increased disease activity (P = 0.021). Conclusions: We have developed and
validated an IBD-specific scale to assess the psychosexual impact of IBD. This
new survey tool may help physicians screen for and identify factors contributing
to impaired sexual functioning in their male patients.
PMID- 29361105
TI - Interventional IBD: The Role of Endoscopist in the Multidisciplinary Team
Management of IBD.
AB - Inflammatory bowel disease (IBD) has been traditionally managed by IBD medical
doctors or IBDologists and colorectal surgeons. Complications related to IBD and
IBD surgery, such as stricture, fistula, and abscess, are common. For the past
decade, endoscopic therapy has emerged as a valid treatment option for those
complications. Endoscopic therapy provides more effective therapy for those
structural complications than medical treatment, while being a less invasive
approach than surgery. Endoscopic therapy plays a growing role in bridging
medical and surgical therapies and is becoming an important component in the
multidisciplinary approach to complex IBD. In fact, endoscopic therapy has become
the treatment of choice for anastomotic stricture and anastomotic sinus. The role
of endoscopic resection of colitis-associated neoplasia is currently being
explored. Interventional IBD is intellectually and technically challenging. We
are calling for proper teaching and training of our next generation of IBD
interventionists.
PMID- 29361104
TI - Sports Participation in Youth With Inflammatory Bowel Diseases: The Role of
Disease Activity and Subjective Physical Health Symptoms.
AB - Background: Physical activity is important for youth with inflammatory bowel
diseases (IBD), and sports participation is a common way in which youth are
physically active. Yet, studies examining sports participation in youth with IBD
and barriers to sports participation are lacking. This study examined the role of
disease complications, body mass index (BMI), subjective physical health, and
psychosocial functioning in influencing sports participation in a large sample of
youth with IBD participating in the Crohn's and Colitis Foundation of America
Partners (CCFA Partners) Kids and Teens Registry. Methods: CCFA Partners Kids and
Teens is an internet-based cohort study in which participants and their parents
self-report demographics, disease characteristics, anthropometrics, and validated
assessments of physical health, psychosocial functioning, and perceived
impairment in sports participation. We performed a cross-sectional analysis of
450 cohort participants, age 12-17 years. Results: Nearly two-thirds of the
sample reported that their IBD resulted in some impairment in sports
participation. IBD disease activity was associated with perceived impairment in
sports participation. In a forward regression analysis controlling for disease
activity, fatigue, pain, and past IBD-related surgery emerged as the most salient
correlates of impairment in sports participation. Conclusions: Disease activity
and subjective physical health symptoms were the most salient correlates of
impairment in sports participation. Whether these barriers interfere with
physical activity more generally deserves further study, as does replication of
these findings longitudinally. Ultimately, a greater understanding of potential
barriers to sports participation may be useful for generating targeted physical
activity recommendations for youth with IBD.
PMID- 29361106
TI - Disagreement Among Gastroenterologists Using the Mayo and Rutgeerts Endoscopic
Scores.
AB - Background: Endoscopy is routinely performed in patients with inflammatory bowel
disease to evaluate disease severity and guide important clinical decisions.
However, variability in the interpretation of endoscopic findings can
significantly impact patient management. Methods: Fifty-eight gastroenterologists
were invited to participate in an online survey including pictures and video
recordings of colonoscopies performed in patients with ulcerative colitis (UC)
and Crohn's disease (CD). Participants were asked to rate the colorectal mucosa
in patients with UC using the Mayo endoscopic subscore (MES), and the neo
terminal ileum and anastomosis in operated patients with CD using the Rutgeerts
score (RS). Overall interrater agreement (IRA) and for several key end points was
assessed using Krippendorff's alpha test. Results: The IRAs for the MES and RS
were 0.47 (95% confidence interval [CI], 0.41-0.54) and 0.33 (95% CI, 0.28-0.38).
The IRAs for UC mucosal healing (MES <= 1) and complete mucosal healing (MES = 0)
were 0.57 (95% CI, 0.40-0.72) and 0.89 (95% CI, 0.73-1) and for CD postoperative
recurrence (RS >= i2), and IRAs for severe postoperative recurrence (RS >= 3)
were 0.44 (95% CI, 0.24-0.62) and 0.54 (95% CI, 0.36-0.71), respectively.
Unexpectedly, although clinical information significantly influenced the IRA,
participant expertise and consultation of scores did not produce significant
changes in the IRA. Conclusions: A high rate of disagreement in endoscopic
scoring was found in this study, even among experienced physicians. The
variability in the assessment of mucosal healing and postoperative recurrence may
translate into relevant differences in patient management.
PMID- 29361107
TI - Effects of sleep deprivation on component processes of working memory in younger
and older adults.
AB - Study Objectives: Working memory (WM) has been described as a multicomponent
process, comprised of the following: attention-driven encoding, maintenance and
rehearsal of information, and encoding to and retrieval from episodic memory.
Impairments can affect higher-order cognitive processes and many everyday
functions. The impact of sleep changes on these cognitive processes across the
life span needs to be investigated. The aim of the current study is to examine
the effects of sleep deprivation on component processes of WM, comparing younger
and older adults across verbal and visuospatial modalities. Methods: Thirty-one
younger adults (19-38 years) and 33 older adults (59-82 years) attended two
counterbalanced sleep protocols: a regular night of sleep followed by testing the
next day (normally rested condition), and 36 hr of total sleep deprivation (TSD),
followed by testing (TSD condition). Participants completed matched versions of
verbal and visuospatial WM tasks across conditions. Results: Younger adults
significantly outperformed older adults on encoding and displacement component
processes, for both verbal and visuospatial WM. Following TSD, younger adults
showed a significantly larger drop compared with older adults in verbal encoding
and in visuospatial displacement. A main effect of condition was observed for
verbal displacement. Conclusions: Differences were observed in the performance of
younger and older adults on component processes of WM following TSD. This
suggests that TSD can have differential effects on each component process when
younger and older adults are compared, in both verbal and visuospatial tasks.
Understanding this profile of changes is important for the development of
possible compensatory strategies or interventions and the differentiation of
clinical and healthy populations.
PMID- 29361108
TI - The effect of refined functional carbohydrates from enzymatically hydrolyzed
yeast on the transmission of environmental Salmonella Senftenberg among broilers
and proliferation in broiler housing.
AB - Hatching eggs collected from resident broiler breeders at 48 wk of age were used
to produce male and female chicks that were assigned sex separately to 96 new
litter pens and fed either a 0 or 50 g/MT RFC (refined functional carbohydrate
feed additive derived from yeast) diet. There were 24 replicate pens of 12
broilers each per diet per sex. Feed intake and BW were determined at 14, 28, and
42 d of age. Litter was sampled by pen using sterile socks at 35 d and tested for
Salmonella spp. using an enzyme linked fluorescence assay method. Salmonella spp.
was isolated from 7 of 48 control-fed broiler pens but no RFC-fed pens (P <=
0.05). Thereafter, 48 males and 48 females were selected based on litter
Salmonella presence and RFC treatment. The cecas of these broilers were
aseptically excised after feed withdrawal and lairage and tested for presence of
Salmonella spp. There were 18 of the 48 control-fed broilers confirmed positive
from litter-positive pens but none from litter-negative pens fed RFC. The serovar
of litter and cecal Salmonella isolates was Salmonella enterica subsp. enterica
serovar Senftenberg (S. Senftenberg). Female broilers that were fed RFC exhibited
greater BW at 28 d (P <= 0.05) and 42 d (P <= 0.05) while RFC-fed males exhibited
improved feed efficiency during the 15-28 d period (P = 0.06). These data
demonstrated that dietary RFC reduced the prevalence of Salmonella in the litter
and ceca of broilers when fed continuously while not being detrimental to broiler
live performance.
PMID- 29361109
TI - MEASUREMENTS OF THE PARASITIC NEUTRON DOSE AT ORGANS FROM MEDICAL LINACS AT
DIFFERENT ENERGIES BY USING BUBBLE DETECTORS.
AB - Conventional linear accelerators (LINACs) for radiotherapy produce fast secondary
neutrons due to photonuclear processes. The neutron presence is considered as an
extra undesired dose during the radiotherapy treatment, which could cause
secondary radio-induced tumors and malfunctions to cardiological implantable
devices. It is thus important to measure the neutron dose contribution to
patients during radiotherapy, not only at high-energy LINACs, but also at lower
energies, near the giant dipole resonance reaction threshold. In this work, the
full body neutron dose equivalent has been measured during single-field
radiotherapy sessions carried out at different LINAC energies (15, 10 and 6 MV)
by using a tissue equivalent (for neutrons) anthropomorphic phantom together with
bubble dosemeters. Results have shown that some neutron photoproduction is still
present also at lower energies. As a consequence, emitted photoneutrons cannot be
ignored and represent a risk contribution for patients undergoing radiotherapy.
PMID- 29361110
TI - COMMITTED EFFECTIVE DOSE DUE TO THE INTAKE OF 40K, 226Ra, 228Ra AND 228Th
CONTAINED IN FOODS INCLUDED IN THE DIET OF THE RIO DE JANEIRO CITY POPULATION,
BRAZIL.
AB - Annual effective dose due to the consumption of 40K, 226Ra, 228Ra and 228Th was
estimated from high-resolution gamma spectrometry, food consumption data for the
inhabitants of Rio de Janeiro City and dose coefficients published by the ICRP
119. A total of 31 samples of cereals, grains, vegetables, flours, liquid and
perishables were analyzed. 40K was measured in all samples, and bean sample
presented highest specific concentration 489.36 +/- 23.70 Bq kg-1. The highest
specific concentration for 226Ra, 228Ra and 228Th was measured in pumpkin (7.82
+/- 1.09 Bq kg-1), carrot (30.18 +/- 1.99 Bq kg-1) and beet (2.43 +/- 0.48 Bq kg
1), respectively. The highest contribution to annual effective dose came from
beans (556.3 MUSv), potato (12.5 MUSv), carrot (10.3 MUSv), banana (4.7 MUSv) and
beet (3.3 MUSv). It was observed that updates of daily food consumption values
was the main reason for an up to 10-fold difference between the annual effective
dose found in the present study and literature data.
PMID- 29361111
TI - Epidemiological Data on the Effectiveness of Influenza Vaccine-Another Piece of
the Puzzle.
PMID- 29361112
TI - What is new in pain modification in osteoarthritis?
AB - There is a big need for the development of novel therapies for the safe
management of chronic pain associated with OA. Here we reviewed PubMed (2015
onward) and ClinicalTrials.gov for ongoing and recently completed trials where
pain in OA is the primary outcome measure. Three broad categories were
identified: biological therapies, small molecules and cryoneurolysis. The most
promising new strategy is blockade of nerve growth factor with antibodies. Two
anti-nerve growth factor antibodies, tanuzemab and fasinumab, are in active
development after the 2010 hold on trials was lifted in 2015. In addition,
several active clinical trials are testing distinct mechanism-based
interventions, including cytokine inhibition, selective MU, delta or kappa opioid
receptor agonists, zoledronate and intra-articular capsaicin. In addition to
pharmacological approaches, cryoneurolytic strategies that directly target
peripheral nerves may play a role in OA pain management, but efficacy profiles
and long-term effects of such treatments need more study. Clearly, the
therapeutic landscape for OA pain is rapidly expanding. Since symptomatic OA is a
heterogeneous disease, the challenge will be to identify patients that will
benefit the most from specific approaches.
PMID- 29361113
TI - Repellent Effects of Insecticides Against Protaphorura fimata (Collembola:
Poduromorpha: Onychiuridae).
AB - Protaphorura fimata Gisin (Poduromorpha: Onychiuridae) is a serious pest of
lettuce [Lactuca sativa L. (Asteraceae)] in the Salinas Valley of California.
Because P. fimata is a subterranean springtail species adapted to soil
environments, individuals are assumed to be able to sense and behaviorally avoid
insecticide-treated soil, and this capacity could be used strategically to
control P. fimata. A series of laboratory bioassays was conducted to examine the
behavior of P. fimata with respect to insecticides via noncontact and contact
assays. In the noncontact assay, significantly more P. fimata individuals were
collected away from the insecticide source than closer to the source (P < 0.05)
when clothianidin, flonicamid, bifenthrin, diamethoate, essential oils, extracts
of C. subtsugae, methomyl, chlorpyrifos, zeta-cypermethrin, thiamethoxam,
pyrethrins, extracts of Burkholderia spp., cyantraniliprole, and oxamyl were used
as insecticides. In the contact assay, P. fimata individuals spent significantly
less time on discs treated with spinetoram and lambda-cyhalothrin during each
crossing than on flonicamid- and oxamyl-treated discs. P. fimata individuals
changed direction more frequently while crossing discs when the discs were
treated with azadirachtin, clothianidin, bifenthrin, thiamethoxam +
chlorantraniliprole, chlorpyrifos, cyantraniliprole, and lambda-cyhalothrin than
when they were treated with water. In another contact assay, the number of
seedlings injured by P. fimata feeding was significantly lower when germinating
seeds were enclosed in a barrier treated with clothianidin, chlopyrifos,
pyrethrins, and cyantraniliprole than when they were enclosed in a spinosad
treated barrier. The implications of these data for P. fimata management in the
Salinas Valley are discussed.
PMID- 29361114
TI - Molecular diagnostics in FUO.
PMID- 29361115
TI - The GATA transcription factor ELT-2 modulates both the expression and
methyltransferase activity of PRMT-1 in Caenorhabditis elegans.
AB - Protein arginine methyltransferase 1 (PRMT1) catalyzes asymmetric arginine
dimethylation of cellular proteins and thus modulates various biological
processes, including gene regulation, RNA metabolism, cell signaling and DNA
repair. Since prmt-1 null mutant completely abolishes asymmetric dimethylarginine
in C. elegans, PRMT-1 is thought to play a crucial role in determining levels of
asymmetric arginine dimethylation. However, the mechanism underlying the
regulation of PRMT-1 activity remains largely unknown. Here, we explored for
transcription factors that induce the expression of PRMT-1 by an RNAi screen
using transgenic C. elegans harbouring prmt-1 promoter upstream of gfp. Of 529
clones, we identify a GATA transcription factor elt-2 as a positive regulator of
Pprmt-1:: gfp expression and show that elt-2 RNAi decreases endogenous PRMT-1
expression at mRNA and protein levels. Nevertheless, surprisingly arginine
methylation levels are increased when elt-2 is silenced, implying that erythroid
like transcription factor (ELT)-2 may also have ability to inhibit
methyltransferase activity of PRMT-1. Supporting this idea, GST pull-down and co
immunoprecipitation assays demonstrate the interaction between ELT-2 and PRMT-1.
Furthermore, we find that ELT-2 interferes with PRMT-1-induced arginine
methylation in a dose-dependent manner. Collectively, our results illustrate the
two modes of PRMT-1 regulation, which could determine the levels of asymmetric
arginine dimethylation in C. elegans.
PMID- 29361116
TI - Efficient development of a stable cell pool for antibody production using a
single plasmid.
AB - Therapeutic antibodies are the fastest growing group of biopharmaceuticals.
Evaluation of drug candidates requires a sufficient amount of antibodies.
Production of antibodies with stable cell pools is an efficient strategy to
produce grams of proteins for drug candidate selection. Many methods have been
described for developing stable cell pools for antibody expression. However, most
of the reported methods are laborious due to the low frequency of high producers.
In this study, we determined optimal vectors and screening parameters to develop
a strategy for efficient construction of stable antibody expressing cell pools.
The cell pool constructed using the optimized strategy consistently yielded a
higher expression titer, up to 10-fold improvement. Further, this method resulted
in a higher ratio of the cell pools with the main product peak above 95% as
assessed by size-exclusion chromatography. High producers could be obtained by
means of screening five 96-well plates. This strategy will greatly reduce clone
screening size during Clinical Lead Selection. This study provides a platform
with efficient design of plasmids and screening strategies for significant cost
and labour savings in high expression of two-subunit proteins such as antibodies.
PMID- 29361117
TI - Discrepancy Between Low Levels of mTOR Activity and High Levels of P-S6 in
Primary Central Nervous System Lymphoma May Be Explained by PAS Domain-Containing
Serine/Threonine-Protein Kinase-Mediated Phosphorylation.
AB - The primary aim of this study was to determine mTOR-pathway activity in primary
central nervous system lymphoma (PCNSL), which could be a potential target for
therapy. After demonstrating that p-S6 positivity largely exceeded mTOR activity,
we aimed to identify other pathways that may lead to S6 phosphorylation. We
measured mTOR activity with immunohistochemistry for p-mTOR and its downstream
effectors p(T389)-p70S6K1, p-S6, and p-4E-BP1 in 31 cases of PCNSL and 51 cases
of systemic diffuse large B-cell lymphoma (DLBCL) and evaluated alternative S6
phosphorylation pathways with p-RSK, p(T229)-p70S6K1, and PASK antibodies.
Finally, we examined the impact of PASK inhibition on S6 phosphorylation on BHD1
cell line. mTOR-pathway activity was significantly less frequent in PCNSL
compared with DLBCL. p-S6 positivity was related to mTOR-pathway in DLBCL, but
not in PCNSL. Among the other kinases potentially responsible for S6
phosphorylation, PASK proved to be positive in all cases of PCNSL and DLBCL.
Inhibition of PASK resulted in reduced expression of p-S6 in BHD1-cells. This is
the first study demonstrating an mTOR independent p-S6 activity in PCNSL and that
PASK may contribute to the phosphorylation of S6. Our findings also suggest a
potential role of PASK in the pathomechanism of PCNSL and in DLBCL.
PMID- 29361120
TI - Sexual Competitiveness, Field Survival, and Dispersal of Anastrepha obliqua
(Diptera: Tephritidae) Fruit Flies Irradiated at Different Doses.
AB - The sterile insect technique (SIT) is used in area-wide pest management programs
for establishing low pest prevalence and/or areas free of fruit flies (Diptera:
Tephritidae). The aim of this technique is to induce high levels of sterility in
the wild population, for this the released insects must have a high sexual
competitiveness and field dispersal. However, radiation decreases these
biological attributes that do not allow it to compete successfully with wild
insects. In this study the sexual competitiveness, field survival and dispersal
of Anastrepha obliqua (Macquart; Diptera: Tephritidae) irradiated at 0, 40, 50,
60, 70, and 80 Gy were evaluated in laboratory. A dose of 60 Gy produced 98%
sterility, whereas doses of 70 and 80 Gy produced 99% sterility. Sexual
competitiveness was assessed in field cages, comparing males irradiated at 0, 50,
60, 70, and 80 Gy against wild males for mating with wild fertile females. Males
irradiated at 50 and 60 Gy achieved more matings than those irradiated at 70 and
80 Gy. Wild males were more competitive than mass-reared males, even when these
were not irradiated (0 Gy). There was no effect of irradiation on mating latency,
yet wild males showed significantly shorter mating latency than mass-reared
males. Female remating did not differ among those that mated with wild males and
those that mated with males irradiated with different doses. The relative
sterility index (RSI) increased from 0.25 at 80 Gy to 0.37 at 60 Gy. The Fried
competitiveness index was 0.69 for males irradiated at 70 Gy and 0.57 for those
irradiated at 80 Gy, which indicates that a 10 Gy reduction in the irradiation
dose produces greater induction of sterility in the wild population. There were
no significant differences in field survival and dispersal between flies
irradiated at 70 or 80 Gy. Reducing the irradiation dose to 60 or 70 Gy could
improve the performance of sterile males and the effectiveness of the SIT. Our
results also distinguish between the effects of irradiation and mass-rearing on
the performance of sterile males.
PMID- 29361119
TI - Imaging fibroblast activation protein to monitor therapeutic effects of
neutralizing interleukin-22 in collagen-induced arthritis.
AB - Objectives: RA is a chronic autoimmune disease leading to progressive destruction
of cartilage and bone. RA patients show elevated IL-22 levels and the amount of
IL-22-producing Th cells positively correlates with the extent of erosive
disease, suggesting a role for this cytokine in RA pathogenesis. The purpose of
this study was to determine the feasibility of SPECT/CT imaging with 111In
labelled anti-fibroblast activation protein antibody (28H1) to monitor the
therapeutic effect of neutralizing IL-22 in experimental arthritis. Methods: Mice
(six mice/group) with CIA received anti-IL-22 or isotype control antibodies. To
monitor therapeutic effects after treatment, SPECT/CT images were acquired 24 h
after injection of 111In-28H1. Imaging results were compared with macroscopic,
histologic and radiographic arthritis scores. Results: Neutralizing IL-22 before
CIA onset effectively prevented arthritis development, reaching a disease
incidence of only 50%, vs 100% in the control group. SPECT imaging showed
significantly lower joint tracer uptake in mice treated early with anti-IL-22
antibodies compared with the control-treated group. Reduction of disease activity
in those mice was confirmed by macroscopic, histological and radiographic
pathology scores. However, when treatment was initiated in a later phase of CIA,
progression of joint pathology could not be prevented. Conclusion: These findings
suggest that IL-22 plays an important role in CIA development, and neutralizing
this cytokine seems an attractive new strategy in RA treatment. Most importantly,
SPECT/CT imaging with 111In-28H1 can be used to specifically monitor therapy
responses, and is potentially more sensitive in disease monitoring than the gold
standard method of macroscopic arthritis scoring.
PMID- 29361118
TI - Assessment of Circulating Tumor Cells as a Predictive Biomarker of Histology in
Women With Suspected Ovarian Cancer.
AB - Background: The clinical assessment of circulating tumor cells (CTCs) as a blood
based biomarker is FDA-approved for use in breast, colorectal, and prostate
cancers. The objective of this prospective clinical study was to determine
whether pretreatment CTCs are a useful diagnostic biomarker in women with complex
pelvic masses. Methods: Whole blood was collected from 49 women with newly
diagnosed pelvic masses. The presence of CTCs was compared between women with and
without ovarian cancer histopathologic diagnosis after surgery using a Chi
squared test. Results: CTCs were absent in those with benign disease (0/14),
present in 17% (5/29) of patients with a histologic diagnosis of ovarian
carcinoma, and present in 80% (4/5) of patients with ovarian metastases from
other cancers (P = 0.001). All 5 women with ovarian cancer who had CTCs present
presented stage III or IV of the disease (P = 0.13). Conclusions: CTCs were more
prevalent in patients with metastases to the ovary than in primary ovarian
carcinomas.
PMID- 29361121
TI - A New Gastric Cancer Among Us.
PMID- 29361122
TI - Multiplatform next-generation sequencing identifies novel RNA molecules and
transcript isoforms of the endogenous retrovirus isolated from cultured cells.
AB - In this study, we applied short- and long-read RNA sequencing techniques, as well
as PCR analysis to investigate the transcriptome of the porcine endogenous
retrovirus (PERV) expressed from cultured porcine kidney cell line PK-15. This
analysis has revealed six novel transcripts and eight transcript isoforms,
including five length and three splice variants. We were able to establish
whether a deletion in a transcript is the result of the splicing of mRNAs or of
genomic deletion in one of the PERV clones. Additionally, we re-annotated the
formerly identified RNA molecules. Our analysis revealed a higher complexity of
PERV transcriptome than it was earlier believed.
PMID- 29361123
TI - Quantitative super-resolution single molecule microscopy dataset of YFP-tagged
growth factor receptors.
AB - Background: Super-resolution single molecule localization microscopy (SMLM) is a
method for achieving resolution beyond the classical limit in optical microscopes
(approx. 200 nm laterally). Yellow fluorescent protein (YFP) has been used for
super-resolution single molecule localization microscopy, but less frequently
than other fluorescent probes. Working with YFP in SMLM is a challenge because a
lower number of photons are emitted per molecule compared with organic dyes,
which are more commonly used. Publically available experimental data can
facilitate development of new data analysis algorithms. Findings: Four complete,
freely available single molecule super-resolution microscopy datasets on YFP
tagged growth factor receptors expressed in a human cell line are presented,
including both raw and analyzed data. We report methods for sample preparation,
for data acquisition, and for data analysis, as well as examples of the acquired
images. We also analyzed the SMLM datasets using a different method: super
resolution optical fluctuation imaging (SOFI). The 2 modes of analysis offer
complementary information about the sample. A fifth single molecule super
resolution microscopy dataset acquired with the dye Alexa 532 is included for
comparison purposes. Conclusions: This dataset has potential for extensive reuse.
Complete raw data from SMLM experiments have typically not been published. The
YFP data exhibit low signal-to-noise ratios, making data analysis a challenge.
These datasets will be useful to investigators developing their own algorithms
for SMLM, SOFI, and related methods. The data will also be useful for researchers
investigating growth factor receptors such as ErbB3.
PMID- 29361124
TI - DNA-binding landscape of IRF3, IRF5 and IRF7 dimers: implications for dimer
specific gene regulation.
AB - Transcription factors IRF3, IRF5 and IRF7 (IRF3/5/7) have overlapping, yet
distinct, roles in the mammalian response to pathogens. To examine the role that
DNA-binding specificity plays in delineating IRF3/5/7-specific gene regulation we
used protein-binding microarrays (PBMs) to characterize the DNA binding of
IRF3/5/7 homodimers. We identified both common and dimer-specific DNA binding
sites, and show that DNA-binding differences can translate into dimer-specific
gene regulation. Central to the antiviral response, IRF3/5/7 regulate type I
interferon (IFN) genes. We show that IRF3 and IRF7 bind to many interferon
stimulated response element (ISRE)-type sites in the virus-response elements
(VREs) of IFN promoters. However, strikingly, IRF5 does not bind the VREs,
suggesting evolutionary selection against IRF5 homodimer binding. Mutational
analysis reveals a critical specificity-determining residue that inhibits IRF5
binding to the ISRE-variants present in the IFN gene promoters. Integrating PBM
and reporter gene data we find that both DNA-binding affinity and affinity
independent mechanisms determine the function of DNA-bound IRF dimers, suggesting
that DNA-based allostery plays a role in IRF binding site function. Our results
provide new insights into the role and limitations of DNA-binding affinity in
delineating IRF3/5/7-specific gene expression.
PMID- 29361125
TI - Integrative analysis of the late maturation programme and desiccation tolerance
mechanisms in intermediate coffee seeds.
AB - The 'intermediate seed' category was defined in the early 1990s using coffee
(Coffea arabica) as a model. In contrast to orthodox seeds, intermediate seeds
cannot survive complete drying, which is a major constraint for seed storage and
has implications for both biodiversity conservation and agricultural purposes.
However, intermediate seeds are considerably more tolerant to drying than
recalcitrant seeds, which are highly sensitive to desiccation. To gain insight
into the mechanisms governing such differences, changes in desiccation tolerance
(DT), hormone contents, and the transcriptome were analysed in developing coffee
seeds. Acquisition of DT coincided with a dramatic transcriptional switch
characterised by the repression of primary metabolism, photosynthesis, and
respiration, and the up-regulation of genes coding for late-embryogenesis
abundant (LEA) proteins, heat-shock proteins (HSPs), and antioxidant enzymes.
Analysis of the heat-stable proteome in mature coffee seeds confirmed the
accumulation of LEA proteins identified at the transcript level. Transcriptome
analysis also suggested a major role for ABA and for the transcription factors
CaHSFA9, CaDREB2G, CaANAC029, CaPLATZ, and CaDOG-like in DT acquisition. The
ability of CaHSFA9 and CaDREB2G to trigger HSP gene transcription was validated
by Agrobacterium-mediated transformation of coffee somatic embryos.
PMID- 29361126
TI - Changes in co-morbidity pattern in patients starting renal replacement therapy in
Europe-data from the ERA-EDTA Registry.
AB - Background: Patients starting renal replacement therapy (RRT) for end-stage renal
disease often present with one or more co-morbidities. This study explored the
prevalence of co-morbidities in patients who started RRT in Europe during the
period from 2005 to 2014. Methods: Using data from patients aged 20 years or
older from all 11 national or regional registries providing co-morbidity data to
the European Renal Association - European Dialysis and Transplant Association
Registry, we examined the prevalence of the following co-morbidities: diabetes
mellitus (DM) (primary renal disease and/or co-morbidity), ischaemic heart
disease (IHD), congestive heart failure (CHF), peripheral vascular disease (PVD),
cerebrovascular disease (CVD) and malignancy. Results: Overall, 70% of 7578
patients who initiated RRT in 2014 presented with at least one co-morbidity:
39.0% presented with DM, 25.0% with IHD, 22.3% with CHF, 17.7% with PVD, 16.4%
with malignancy and 15.5% with CVD. These percentages differed substantially
between countries. Co-morbidities were more common in men than in women, in older
patients than in younger patients, and in patients on haemodialysis at Day 91
when compared with patients on peritoneal dialysis. Between 2005 and 2014 the
prevalence of DM and malignancy increased over time, whereas the prevalence of
IHD and PVD declined. Conclusions: More than two-thirds of patients initiating
RRT in Europe have at least one co-morbidity. With the rising age at the start of
RRT over the last decade, there have been changes in the co-morbidity pattern:
the prevalence of cardiovascular co-morbidities decreased, while the prevalence
of DM and malignancy increased.
PMID- 29361127
TI - Contrasting genetic regulation of plant development in wild barley grown in two
European environments revealed by nested association mapping.
AB - Barley is cultivated more widely than the other major world crops because it
adapts well to environmental constraints, such as drought, heat, and day length.
To better understand the genetic control of local adaptation in barley, we
studied development in the nested association mapping population HEB-25, derived
from crossing 25 wild barley accessions with the cultivar 'Barke'. HEB-25 was
cultivated in replicated field trials in Dundee (Scotland) and Halle (Germany),
differing in regard to day length, precipitation, and temperature. Applying a
genome-wide association study, we located 60 and 66 quantitative trait locus
(QTL) regions regulating eight plant development traits in Dundee and Halle,
respectively. A number of QTLs could be explained by known major genes such as
PHOTOPERIOD 1 (Ppd-H1) and FLOWERING LOCUS T (HvFT-1) that regulate plant
development. In addition, we observed that developmental traits in HEB-25 were
partly controlled via genotype * environment and genotype * donor interactions,
defined as location-specific and family-specific QTL effects. Our findings
indicate that QTL alleles are available in the wild barley gene pool that show
contrasting effects on plant development, which may be deployed to improve
adaptation of cultivated barley to future environmental changes.
PMID- 29361129
TI - Foraging Distance of the Argentine Ant in California Vineyards.
AB - Argentine ants, Linepithema humile (Mayr) (Hymenoptera: Formicidae), form
mutualisms with hemipteran pests in crop systems. In vineyards, they feed on
honeydew produced by mealybugs and soft scales, which they tend and protect from
natural enemies. Few options for controlling Argentine ants are available; one of
the more effective approaches is to use liquid baits containing a low dose of an
insecticide. Knowledge of ant foraging patterns is required to estimate how many
bait stations to deploy per unit area. To measure how far ants move liquid bait
in vineyards, we placed bait stations containing sugar water and a protein marker
in plots for 6 d, and then collected ants along transects extending away from
bait stations. The ants moved an average of 16.08 m and 12.21 m from bait
stations in the first and second years of the study, respectively. Marked ants
were found up to 63 m from bait stations; however, proportions of marked ants
decreased exponentially as distance from the bait station increased. Results
indicate that Argentine ants generally forage at distances <36 m in California
vineyards, thus suggesting that insecticide bait stations must be deployed at
intervals of 36 m or less to control ants. We found no effect of insecticide on
distances that ants moved the liquid bait, but this may have been because bait
station densities were too low to affect the high numbers of Argentine ants that
were present at the study sites.
PMID- 29361128
TI - Variable Rates of Simple Satellite Gains across the Drosophila Phylogeny.
AB - Simple satellites are tandemly repeating short DNA motifs that can span megabases
in eukaryotic genomes. Because they can cause genomic instability through
nonallelic homologous exchange, they are primarily found in the repressive
heterochromatin near centromeres and telomeres where recombination is minimal,
and on the Y chromosome, where they accumulate as the chromosome degenerates.
Interestingly, the types and abundances of simple satellites often vary
dramatically between closely related species, suggesting that they turn over
rapidly. However, limited sampling has prevented detailed understanding of their
evolutionary dynamics. Here, we characterize simple satellites from whole-genome
sequences generated from males and females of nine Drosophila species, spanning
40 Ma of evolution. We show that PCR-free library preparation and postsequencing
GC-correction better capture satellite quantities than conventional methods. We
find that over half of the 207 simple satellites identified are species-specific,
consistent with previous descriptions of their rapid evolution. Based on a
maximum parsimony framework, we determined that most interspecific differences
are due to lineage-specific gains. Simple satellites gained within a species are
typically a single mutation away from abundant existing satellites, suggesting
that they likely emerge from existing satellites, especially in the genomes of
satellite-rich species. Interestingly, unlike most of the other lineages which
experience various degrees of gains, the lineage leading up to the satellite-poor
D. pseudoobscura and D. persimilis appears to be recalcitrant to gains, providing
a counterpoint to the notion that simple satellites are universally rapidly
evolving.
PMID- 29361130
TI - A sigma factor toolbox for orthogonal gene expression in Escherichia coli.
AB - Synthetic genetic sensors and circuits enable programmable control over timing
and conditions of gene expression and, as a result, are increasingly incorporated
into the control of complex and multi-gene pathways. Size and complexity of
genetic circuits are growing, but stay limited by a shortage of regulatory parts
that can be used without interference. Therefore, orthogonal expression and
regulation systems are needed to minimize undesired crosstalk and allow for
dynamic control of separate modules. This work presents a set of orthogonal
expression systems for use in Escherichia coli based on heterologous sigma
factors from Bacillus subtilis that recognize specific promoter sequences. Up to
four of the analyzed sigma factors can be combined to function orthogonally
between each other and toward the host. Additionally, the toolbox is expanded by
creating promoter libraries for three sigma factors without loss of their
orthogonal nature. As this set covers a wide range of transcription initiation
frequencies, it enables tuning of multiple outputs of the circuit in response to
different sensory signals in an orthogonal manner. This sigma factor toolbox
constitutes an interesting expansion of the synthetic biology toolbox and may
contribute to the assembly of more complex synthetic genetic systems in the
future.
PMID- 29361131
TI - Structural divergence creates new functional features in alphavirus genomes.
AB - Alphaviruses are mosquito-borne pathogens that cause human diseases ranging from
debilitating arthritis to lethal encephalitis. Studies with Sindbis virus (SINV),
which causes fever, rash, and arthralgia in humans, and Venezuelan equine
encephalitis virus (VEEV), which causes encephalitis, have identified RNA
structural elements that play key roles in replication and pathogenesis. However,
a complete genomic structural profile has not been established for these viruses.
We used the structural probing technique SHAPE-MaP to identify structured
elements within the SINV and VEEV genomes. Our SHAPE-directed structural models
recapitulate known RNA structures, while also identifying novel structural
elements, including a new functional element in the nsP1 region of SINV whose
disruption causes a defect in infectivity. Although RNA structural elements are
important for multiple aspects of alphavirus biology, we found the majority of
RNA structures were not conserved between SINV and VEEV. Our data suggest that
alphavirus RNA genomes are highly divergent structurally despite similar genomic
architecture and sequence conservation; still, RNA structural elements are
critical to the viral life cycle. These findings reframe traditional assumptions
about RNA structure and evolution: rather than structures being conserved,
alphaviruses frequently evolve new structures that may shape interactions with
host immune systems or co-evolve with viral proteins.
PMID- 29361133
TI - Comparison of physical and biological properties of CardioCel(r) with commonly
used bioscaffolds.
AB - OBJECTIVES: Durability of bioscaffolds cross-linked with glutaraldehyde and used
in cardiovascular surgery is limited by biomechanical instability, calcification
and reduced biocompatibility. This study compares CardioCel(r), a bovine
pericardial scaffold engineered via the ADAPT(r) process to ensure optimized
biostability and biocompatibility, with the commonly used bioscaffolds. METHODS:
Bovine pericardial scaffolds, cross-linked with 0.6% glutaraldehyde (XenoLogiXTM,
PeriGuard(r)), dye-mediated photo-oxidized (PhotoFixTM) and a non-crosslinked
porcine scaffold (CorMatrix(r)), were compared with CardioCel (decellularized,
cross-linked with 0.05% monomeric glutaraldehyde, detoxified) by thermal
stability and mechanical tests. Biocompatibility and calcification were assessed
in a juvenile subcutaneous rat model at 6 and 12 weeks. RESULTS: CardioCel
displayed significantly higher (P < 0.01) cross-link stability (77.99 +/- 0.64
degrees C) than CorMatrix (57.88 +/- 0.22 degrees C) and PhotoFix (53.96 +/-
0.41 degrees C). Tensile strength of CardioCel (8.31 +/- 3.36 MPa) was
comparable with XenoLogiX (11.00 +/- 5.43 MPa, P = 0.734), PeriGuard (16.44 +/-
6.69 MPa, P = 0.136), PhotoFix (7.10 +/- 6.11, P = 0.399) and CorMatrix (9.75 +/-
2.61, P = 0.204). XenoLogiX and PeriGuard recorded the highest Young's modulus
(67.01 +/- 30.36 vs 95.67 +/- 45.91 MPa), while CardioCel (50.21 +/- 19.92 MPa)
was comparable with CorMatrix (36.78 +/- 10.47 MPa, P = 0.204) and PhotoFix
(33.50 +/- 10.24, P = 0.399). CorMatrix displayed a significantly (P < 0.05)
greater stiffness (4.74 +/- 0.77 MPa) at 10% strain than PeriGuard (3.73 +/- 1.79
MPa), PhotoFix (1.59 +/- 0.40 MPa) and CardioCel (3.39 +/- 0.83 MPa). Differences
in extractable calcium did not reach significance; however, the inorganic
phosphorus content of PhotoFix (21.3 +/- 9.0 ug/mg) was higher than CardioCel
(11.35 +/- 0.76 ug/mg, P = 0.004) or PeriGuard (10.7 +/- 2.18 ug/mg, P = 0.002)
at 12 weeks. CardioCel underwent a typical mild host-graft response with
fibroblast infiltration and remodelling. Foreign body reactions were visible in
both XenoLogiX and PeriGuard, with isolated fibroblast infiltration. PhotoFix
showed severe inflammation and 2 implants were completely degraded at 12 weeks.
CONCLUSIONS: CardioCel demonstrated optimized physical properties, minimal
mineralization potential and superior biocompatibility. These results may benefit
the long-term performance of this bioscaffold for cardiovascular surgery. The
favourable characteristics of the comparator products were counterbalanced by
less desirable features that may have negative implications on durability and
performance when used in cardiovascular procedures.
PMID- 29361132
TI - Characterization of DNA ADP-ribosyltransferase activities of PARP2 and PARP3: new
insights into DNA ADP-ribosylation.
AB - Poly(ADP-ribose) polymerases (PARPs) act as DNA break sensors and catalyze the
synthesis of polymers of ADP-ribose (PAR) covalently attached to acceptor
proteins at DNA damage sites. It has been demonstrated that both mammalian PARP1
and PARP2 PARylate double-strand break termini in DNA oligonucleotide duplexes in
vitro. Here, we show that mammalian PARP2 and PARP3 can PARylate and mono(ADP
ribosyl)ate (MARylate), respectively, 5'- and 3'-terminal phosphate residues at
double- and single-strand break termini of a DNA molecule containing multiple
strand breaks. PARP3-catalyzed DNA MARylation can be considered a new type of
reversible post-replicative DNA modification. According to DNA substrate
specificity of PARP3 and PARP2, we propose a putative mechanistic model of PARP
catalyzed strand break-oriented ADP-ribosylation of DNA termini. Notably, PARP
mediated DNA ADP-ribosylation can be more effective than PARPs' auto-ADP
ribosylation depending on the DNA substrates and reaction conditions used.
Finally, we show an effective PARP3- or PARP2-catalyzed ADP-ribosylation of high
molecular-weight (~3-kb) DNA molecules, PARP-mediated DNA PARylation in cell-free
extracts and a persisting signal of anti-PAR antibodies in a serially purified
genomic DNA from bleomycin-treated poly(ADP-ribose) glycohydrolase-depleted HeLa
cells. These results suggest that certain types of complex DNA breaks can be
effectively ADP-ribosylated by PARPs in cellular response to DNA damage.
PMID- 29361134
TI - Investigating the feasibility of tumour molecular profiling in gastrointestinal
malignancies in routine clinical practice.
AB - Background: Targeted capture sequencing can potentially facilitate precision
medicine, but the feasibility of this approach in gastrointestinal (GI)
malignancies is unknown. Patients and methods: The FOrMAT (Feasibility of a
Molecular Characterisation Approach to Treatment) study was a feasibility study
enrolling patients with advanced GI malignancies from February 2014 to November
2015. Targeted capture sequencing (mainly using archival formalin-fixed paraffin
embedded diagnostic/resection samples) was carried out to detect mutations, copy
number variations and translocations in up to 46 genes which had
prognostic/predictive significance or were targets in current/upcoming clinical
trials. Results: Of the 222 patients recruited, 215 patients (96.8%) had
available tissue samples, 125 patients (56.3%) had >=16 genes successfully
sequenced and 136 patients (61.2%) had >=1 genes successfully sequenced. Sample
characteristics influenced the proportion of successfully sequenced samples, e.g.
tumour type (colorectal 70.9%, biliary 52.6%, oesophagogastric 50.7%, pancreas
27.3%, P = 0.002), tumour cellularity (high versus low: 78.3% versus 13.3%, P <=
0.001), tumour content (high versus low: 78.6% versus 27.3%, P = 0.001) and type
of sample (resection versus biopsy: 82.4% versus 47.6%, P <= 0.001). Currently,
actionable alterations were detected in 90 (40.5%) of the 222 patients recruited
(66% of the 136 patients sequenced) and 2 patients subsequently received a
targeted therapy. The most frequently detected currently actionable alterations
were mutations in KRAS, BRAF, TP53 and PIK3CA. For the 205 patients with archival
samples, the median time to obtain sequencing results was 18.9 weeks, including a
median of 4.9 weeks for sample retrieval and 5.1 weeks for sequencing.
Conclusions: Targeted sequencing detected actionable alterations in formalin
fixed paraffin-embedded samples, but tissue characteristics are of critical
importance in determining sequencing success. Routine molecular profiling of GI
tumours outside of clinical trials is not an effective use of healthcare
resources unless more targeted drugs become available. ClinicalTrials.gov
identifier: NCT02112357.
PMID- 29361135
TI - Detection of PD-L1 in circulating tumor cells and white blood cells from patients
with advanced non-small-cell lung cancer.
AB - Background: Expression of PD-L1 in tumor cells and tumor-infiltrating immune
cells has been associated with improved efficacy to anti-PD-1/PD-L1 inhibitors in
patients with advanced-stage non-small-cell lung cancer (NSCLC) and emerged as a
potential biomarker for the selection of patients to cancer immunotherapies. We
investigated the utility of circulating tumor cells (CTCs) and circulating white
blood cells (WBCs) as a noninvasive method to evaluate PD-L1 status in advanced
NSCLC patients. Patients and methods: CTCs and circulating WBCs were enriched
from peripheral blood samples (ISET(r) platform; Rarecells) from 106 NSCLC
patients. PD-L1 expression on ISET filters and matched-tumor tissue was evaluated
by automated immunostaining (SP142 antibody; Ventana), and quantified in tumor
cells and WBCs. Results: CTCs were detected in 80 (75%) patients, with levels
ranging from 2 to 256 CTCs/4 ml, and median of 60 CTCs/4 ml. Among 71 evaluable
samples with matched-tissue and CTCs, 6 patients (8%) showed >=1 PD-L1-positive
CTCs and 11 patients (15%) showed >=1% PD-L1-positive tumor cells in tumor tissue
with 93% concordance between tissue and CTCs (sensitivity = 55%; specificity =
100%). From 74 samples with matched-tissue and circulating WBCs, 40 patients
(54%) showed >=1% PD-L1-positive immune infiltrates in tumor tissue and 39
patients (53%) showed >=1% PD-L1 positive in circulating WBCs, with 80%
concordance between blood and tissue (sensitivity = 82%; specificity = 79%). We
found a trend for worse survival in patients receiving first-line cisplatin-based
chemotherapy treatments, whose tumors express PD-L1 in CTCs or immune cells
(progression-free and overall survival), similar to the effects of PD-L1
expression in matched-patient tumors. Conclusions: These results demonstrated
that PD-L1 status in CTCs and circulating WBCs correlate with PD-L1 status in
tumor tissue, revealing the potential of CTCs assessment as a noninvasive real
time biopsy to evaluate PD-L1 expression in patients with advanced-stage NSCLC.
PMID- 29361136
TI - Differential binding affinity of mutated peptides for MHC class I is a predictor
of survival in advanced lung cancer and melanoma.
AB - Background: Cancer mutations generate novel (neo-)peptides recognised by T cells,
but the determinants of recognition are not well characterised. The difference in
predicted class I major histocompatibility complex (MHC-I) binding affinity
between wild-type and corresponding mutant peptides (differential agretopicity
index; DAI) may reflect clinically relevant cancer peptide immunogenicity. Our
aim was to explore the relationship between DAI, measures of immune infiltration
and patient outcomes in advanced cancer. Patients and methods: Cohorts of
patients with advanced non-small-cell lung cancer (NSCLC; LUAD, n = 66) and
melanoma (SKCM, n = 72) were obtained from The Cancer Genome Atlas. Three
additional cohorts of immunotherapy treated patients with advanced melanoma
(total n = 131) and NSCLC (n = 31) were analysed. Neopeptides and their clonal
status were defined using genomic data. MHC-I binding affinity was predicted for
each neopeptide and DAI values summarised as the sample mean DAI. Correlations
between mean DAI and markers of immune activity were evaluated using measures of
lymphocyte infiltration and immune gene expression. Results: In univariate and
multivariate analyses, mean DAI significantly correlated with overall survival in
3/5 cohorts, with evidence of superiority over nonsynonymous mutational and
neoantigen burden. In these cohorts, the effect was seen for mean DAI of clonal
but not subclonal peptides. In SKCM, the association between mean DAI and
survival bordered significance (P = 0.068), reaching significance in an
immunotherapy-treated melanoma cohort (P = 0.003). Mean DAI but not mutational
nor neoantigen burden was positively correlated with independently derived
markers of immune infiltration in both SKCM (P = 0.027) and LUAD (P = 0.024).
Conclusions: The association between mean DAI, survival and measures of immune
activity support the hypothesis that DAI is a determinant of cancer peptide
immunogenicity. Investigation of DAI as a marker of immunologically relevant
peptides in further datasets and future clinical studies of neoantigen based
immunotherapies is warranted.
PMID- 29361137
TI - Homodimer formation by the ATP/UTP receptor P2Y2 via disulfide bridges.
AB - Many class C G-protein coupled receptors (GPCRs) function as homo- or
heterodimers and several class A GPCRs have also been shown to form a homodimer.
We expressed human P2Y2 receptor (P2Y2R) in cultured cells and compared SDS-PAGE
patterns under reducing and non-reducing conditions. Under non-reducing
conditions, approximately half of the P2Y2Rs were electrophoresed as a dimer. We
then produced Cys to Ser mutants at four sites (Cys25, Cys106, Cys183 and Cys278)
in the extracellular domains of P2Y2R and examined the effect on dimer formation
and receptor activity. All single mutants formed dimers similarly to the wild
type protein, but C25S, C106S and C183S P2Y2R lost activity, while C278S P2Y2R
maintained weak activity. Coexpression with wild-type P2Y2R recovered the
activity of the C25S mutant. These results show that Cys106 and Cys183 are
required for monomer or homodimer activity; Cys25 is required for monomer
activity, but it is not needed in one protomer for homodimer activity; and Cys278
can be replaced in the monomer and homodimer. Approximately, half of C25S/C278S
double mutants were electrophoresed as a dimer, similarly to the wild-type and
single mutants, and dimers with the wild-type protein were active. These results
suggest involvement of Cys106 and Cys183 in disulfide bonding between protomers
in homodimer formation.
PMID- 29361138
TI - The WOX11-LBD16 Pathway Promotes Pluripotency Acquisition in Callus Cells During
De Novo Shoot Regeneration in Tissue Culture.
AB - De novo shoot regeneration in tissue culture undergoes at least two phases.
Explants are first cultured on auxin-rich callus-inducing medium (CIM) to produce
a group of pluripotent cells termed callus; the callus is then transferred to
cytokinin rich shoot-inducing medium (SIM) to promote the formation of shoot
progenitor cells, from which adventitious shoots may differentiate. Here, we show
that the Arabidopsis thaliana transcription factor gene LATERAL ORGAN BOUNDARIES
DOMAIN16 (LBD16) is involved in pluripotency acquisition in callus cells. LBD16,
which is activated by WUSCHEL RELATED HOMEOBOX11 (WOX11), is specifically
expressed in the newly formed callus on CIM and its expression decreases quickly
when callus is moved to SIM. Blocking the WOX11-LBD16 pathway results in the loss
of pluripotency in callus cultured on CIM, leading to shooting defects on SIM.
Further analysis showed that LBD16 may function in the establishment of the root
primordium-like identity in the newly formed callus, indicating that the root
primordium-like identity is the cellular nature of pluripotency in callus cells.
Additionally, LBD16 promotes cell division during callus initiation. Our study
clarified that the WOX11-LBD16 pathway promotes pluripotency acquisition in
callus cells.
PMID- 29361140
TI - A rapid fluorescent indicator displacement assay and principal component/cluster
data analysis for determination of ligand-nucleic acid structural selectivity.
AB - We describe a rapid fluorescence indicator displacement assay (R-FID) to evaluate
the affinity and the selectivity of compounds binding to different DNA
structures. We validated the assay using a library of 30 well-known nucleic acid
binders containing a variety chemical scaffolds. We used a combination of
principal component analysis and hierarchical clustering analysis to interpret
the results obtained. This analysis classified compounds based on selectivity for
AT-rich, GC-rich and G4 structures. We used the FID assay as a secondary screen
to test the binding selectivity of an additional 20 compounds selected from the
NCI Diversity Set III library that were identified as G4 binders using a thermal
shift assay. The results showed G4 binding selectivity for only a few of the 20
compounds. Overall, we show that this R-FID assay, coupled with PCA and HCA,
provides a useful tool for the discovery of ligands selective for particular
nucleic acid structures.
PMID- 29361139
TI - Diff-seq: A high throughput sequencing-based mismatch detection assay for DNA
variant enrichment and discovery.
AB - Much of the within species genetic variation is in the form of single nucleotide
polymorphisms (SNPs), typically detected by whole genome sequencing (WGS) or
microarray-based technologies. However, WGS produces mostly uninformative reads
that perfectly match the reference, while microarrays require genome-specific
reagents. We have developed Diff-seq, a sequencing-based mismatch detection assay
for SNP discovery without the requirement for specialized nucleic-acid reagents.
Diff-seq leverages the Surveyor endonuclease to cleave mismatched DNA molecules
that are generated after cross-annealing of a complex pool of DNA fragments.
Sequencing libraries enriched for Surveyor-cleaved molecules result in increased
coverage at the variant sites. Diff-seq detected all mismatches present in an
initial test substrate, with specific enrichment dependent on the identity and
context of the variation. Application to viral sequences resulted in increased
observation of variant alleles in a biologically relevant context. Diff-Seq has
the potential to increase the sensitivity and efficiency of high-throughput
sequencing in the detection of variation.
PMID- 29361141
TI - Vacuolar Transporters for Cadmium and Arsenic in Plants and their Applications in
Phytoremediation and Crop Development.
AB - Soil contamination by heavy metals and metalloids such as cadmium (Cd) and
arsenic (As) poses a major threat to the environment and to human health.
Vacuolar sequestration is one of the main mechanisms by which plants control
toxic materials including Cd and As. Understanding the mechanisms of heavy metal
tolerance and accumulation can be useful for both phytoremediation and safe crop
development. In this review, we summarize recent advances in deciphering the
molecular mechanisms underlying vacuolar sequestration of Cd and As, and discuss
potential biotechnological applications of this knowledge and efforts towards
attaining these goals.
PMID- 29361142
TI - Multiple target autoantigens on endothelial cells identified in juvenile
dermatomyositis using proteomics.
AB - Objective: Although generally classified within the group of inflammatory
myopathies, JDM displays many pathological features of vasculitis. Previous work
has shown that AECA are abundant in other forms of vasculitis. We therefore
investigated whether such antibodies might also be detected in JDM. Methods: We
screened plasma from children with JDM for the presence of AECA by western
blotting and 2D gel electrophoresis (2DE) using proteins extracted from human
aortic endothelial cells as the substrate. We performed mass spectrometry to
identify candidate antigens from 2DE gels and used ELISA to confirm the presence
of specific antibodies. Results: We identified 22 candidate target autoantigens
for AECA probed with JDM plasma. Interestingly, 17 of these 22 target antigens
were proteins associated with antigen processing and protein trafficking. ELISA
confirmed the presence of antibodies to heat shock cognate 71 kDa protein in JDM
plasma, particularly in children with active, untreated disease. Conclusion:
Children with JDM express antibodies to autoantigens in endothelial cells. The
clinical and pathological significance of such autoantibodies require further
investigation.
PMID- 29361143
TI - Epididymal cysteine-rich secretory proteins are required for epididymal sperm
maturation and optimal sperm function.
AB - STUDY QUESTION: What is the role of epididymal cysteine-rich secretory proteins
(CRISPs) in male fertility? SUMMARY ANSWER: While epididymal CRISPs are not
absolutely required for male fertility, they are required for optimal sperm
function. WHAT IS KNOWN ALREADY: CRISPs are members of the CRISP, Antigen 5 and
Pathogenesis related protein 1 (CAP) superfamily and are characterized by the
presence of an N-terminal CAP domain and a C-terminal CRISP domain. CRISPs are
highly enriched in the male reproductive tract of mammals, including in the
epididymis. Within humans there is one epididymal CRISP, CRISP1, whereas in mice
there are two, CRISP1 and CRISP4. STUDY DESIGN, SIZE, DURATION: In order to
define the role of CRISPs within the epididymis, Crisp1 and Crisp4 knockout mouse
lines were produced then interbred to produce Crisp1 and 4 double knockout (DKO)
mice, wherein the expression of all epididymal CRISPs was ablated. Individual and
DKO models were then assessed, relative to their own strain-specific wild type
littermates for fertility, and sperm output and functional competence at young
(10-12 weeks of age) and older ages (22-24 weeks). Crisp1 and 4 DKO and control
mice were also compared for their ability to bind to the zona pellucida and
achieve fertilization. PARTICIPANTS/MATERIALS, SETTING, METHODS: Knockout mouse
production was achieved using modified embryonic stem cells and standard methods.
The knockout of individual genes was confirmed at a mRNA (quantitative PCR) and
protein (immunochemistry) level. Fertility was assessed using breeding
experiments and a histological assessment of testes and epididymal tissue. Sperm
functional competence was assessed using a computer assisted sperm analyser,
induction of the acrosome reaction using progesterone followed by staining for
acrosome contents, using immunochemical and western blotting to assess the
ability of sperm to manifest tyrosine phosphorylation under capacitating
conditions and using sperm-zona pellucida binding assays and IVF methods. A
minimum of three biological replicates were used per assay and per genotype. MAIN
RESULTS AND THE ROLE OF CHANCE: While epididymal CRISPs are not absolutely
required for male fertility, their production results in enhanced sperm function
and, depending on context, CRISP1 and CRISP4 act redundantly or autonomously.
Specifically, CRISP1 is the most important CRISP in the establishment of normally
motile sperm, whereas CRISP4 acts to enhance capacitation-associated tyrosine
phosphorylation, and CRISP1 and CRISP4 act together to establish normal acrosome
function. Both are required to achieve optimal sperm-egg interaction. The
presence of immune infiltrates into the epididymis of older, but not younger, DKO
animals also suggests epididymal CRISPs function to produce an immune privileged
environment for maturing sperm within the epididymis. LIMITATIONS REASONS FOR
CAUTION: Caution should be displayed in the translation of mouse-derived data
into the human wherein the histology of the epididymis is someone what different.
The mice used in the study were housed in a specific pathogen-free environment
and were thus not exposed to the full range of environmental challenges
experienced by wild mice or humans. As such, the role of CRISPs in the
maintenance of an immune privileged environment, for example, may be understated.
WIDER IMPLICATIONS OF THE FINDINGS: The combined deletion of Crisp1 and Crisp4 in
mice is equivalent to the removal of all CRISP expression in humans. As such,
these data suggest that mammalian CRISPs, including that in humans, function to
enhance sperm function and thus male fertility. These data also suggest that in
the presence of an environmental challenge, CRISPs help to maintain an immune
privileged environment and thus, protect against immune-mediated male
infertility. LARGE SCALE DATA: Not applicable. STUDY FUNDING AND COMPETING
INTEREST(S): This study was funded by the National Health and Medical Research
Council, the Victorian Cancer Agency and a scholarship from the Chinese
Scholarship Council. The authors have no conflicts of interest to declare.
PMID- 29361144
TI - High calcium to phosphorus ratio impairs growth and bone mineralization in Pekin
ducklings.
AB - Two experiments were conducted to investigate the effect of high dietary calcium
(Ca) level on growth performance, Ca and phosphorus (P) metabolism, and nutrient
utilization in ducklings subjected to normal and low P levels in diets. A
completely randomized design was used with a factorial arrangement of 2 total
dietary P levels [normal-P (0.60%) and low-P (0.45%) groups] * 4 dietary Ca
levels [low-Ca (0.55%), normal-Ca (0.75%), medium-Ca (0.95%) and high-Ca (1.15%)
groups)]. Compared to normal-P group, low-P group had lower (P < 0.05) final body
weight (BW), average daily gain (ADG), and average daily feed intake (ADFI) and
reduced (P < 0.05) serum Ca and P levels, bone Ca, P, and ash content, and bone
mineral density in ducklings during the starter period. Under the low-P group,
birds from high-Ca group had lower (P < 0.05) final BW, ADG, ADFI, bone ash
content, bone mineral density, and the utilization of energy, Ca, and P than
those from low-Ca, normal-Ca, and medium-Ca groups. Our results indicate that
high-Ca diet induced greater growth suppression and bone mineralization loss in
ducklings fed a low-P diet. The aggravated negative effect of high dietary Ca
level with a low P level might be related to the elevated serum alkaline
phosphatase activity and the reduced utilization of energy, Ca, and P.
PMID- 29361145
TI - Adding a new dimension to the weekend effect: an analysis of a national data set
of electronic AKI alerts.
AB - Background: Increased mortality related to differences in delivery of weekend
clinical care is the subject of much debate. Aim: We compared mortality following
detection of acute kidney injury (AKI) on week and weekend days across community
and hospital settings. Design: A prospective national cohort study, with AKI
identified using the Welsh National electronic AKI reporting system. Methods:
Data were collected on outcome for all cases of adult AKI in Wales between 1
November 2013 and 31 January 2017. Results: There were a total of 107 298
episodes. Weekday detection of AKI was associated with 28.8% (26 439); 90-day
mortality compared to 90-day mortality of 31.9% (4551) for AKI detected on
weekdays (RR: 1.11, 95% CI: 1.08-1.14, P < 0.001, HR: 1.16 95% CI: 1.12-1.20, P <
0.001). There was no 'weekend effect' for mortality associated with hospital
acquired AKI. Weekday detection of community-acquired AKI (CA-AKI) was associated
with a 22.6% (10 356) mortality compared with weekend detection of CA-AKI, which
was associated with a 28.6% (1619) mortality (RR: 1.26, 95% CI: 1.21-1.32, P <
0.001, HR: 1.34, 95%CI: 1.28-1.42, P < 0.001). The excess mortality in weekend CA
AKI was driven by CA-AKI detected at the weekend that was not admitted to
hospital compared with CA-AKI detected on weekdays which was admitted to hospital
(34.5% vs. 19.1%, RR: 1.8, 95% CI: 1.69-1.91, P < 0.001, HR: 2.03, 95% CI: 1.88
2.19, P < 0.001). Conclusion: 'Weekend effect' in AKI relates to access to in
patient care for patients presenting predominantly to hospital emergency
departments with AKI at the weekend.
PMID- 29361146
TI - Plasma levels of hsa-miR-152-3p are associated with diabetic nephropathy in
patients with type 2 diabetes.
AB - Background: MicroRNAs (miRNAs) are small non-coding RNAs participating in post
transcriptional regulation of genes. Their key role in modulating the
susceptibility to human diseases is now widely recognized, in particular in the
context of cardiometabolic disorders. The aim of the present study was to
identify miRNAs associated with diabetic nephropathy (DN) in patients with type 2
diabetes (T2D). Methods: A next-generation sequencing-based miRNA profiling was
performed in a case-control study for DN in plasma samples of 23 T2D patients
with DN (cases) and 23 T2D without (controls). The main associations were
confirmed using quantitative reverse transcription-polymerase chain reaction and
tested for replication in an independent case-control collection of 100 T2D
patients, 50 with DN and 50 without. Results: From the 381 known mature miRNAs
that were found highly expressed in the discovery samples, we observed and
replicated an association between increased plasma levels of hsa-miR-152-3p and
DN (P = 4.03 * 10-4 in the combined samples). Hsa-miR-152-3p plasma levels were
further found to be positively correlated (P = 0.003) to plasma osmolarity, a
surrogate marker for solute carrier net activity, whose regulation is controlled
by several genes including SLC5A3, one of the predicted targets of hsa-miR-152
3p. Conclusions: We observed strong evidence for the association of hsa-miR-152
3p plasma levels and DN in patients with T2D, confirming an association
previously observed in patients with type 1 diabetes.
PMID- 29361148
TI - A New Species of Simulium (Asiosimulium) (Diptera: Simuliidae) from Thailand.
AB - Simulium (Asiosimulium) saeungae sp. nov. (Diptera: Simuliidae) is described
based on females, males, pupae, and mature larvae collected from Nan Province,
Northern Thailand. It is characterized by the medium-long cerci in the female,
enlarged hind basitarsus, and broad ventral plate with its posterior margin not
deeply concave in the male, arborescent pupal gill with 42-56 filaments in the
pupa and smaller number of primary rays of the labral fan (30-33) in the larva.
This is the fifth species of the subgenus Asiosimulium, the second smallest among
10 subgenera in the Oriental Region. Taxonomic notes are given to distinguish
this new species from the three known species from Thailand and one from Nepal.
PMID- 29361147
TI - Glucocorticoid use and factors associated with variability in this use in the
Systemic Lupus International Collaborating Clinics Inception Cohort.
AB - Objectives: To describe glucocorticoid (GC) use in the SLICC inception cohort and
to explore factors associated with GC use. In particular we aimed to assess
temporal trends in GC use and to what extent physician-related factors may
influence use. Methods: Patients were recruited within 15 months of diagnosis of
SLE from 33 centres between 1999 and 2011 and continue to be reviewed annually.
Descriptive statistics were used to detail oral and parenteral GC use. Cross
sectional and longitudinal analyses were performed to explore factors associated
with GC use at enrolment and over time. Results: We studied 1700 patients with a
mean (s.d.) follow-up duration of 7.26 (3.82) years. Over the entire study
period, 1365 (81.3%) patients received oral GCs and 447 (26.3%) received
parenteral GCs at some point. GC use was strongly associated with treatment
centre, age, race/ethnicity, sex, disease duration and disease activity. There
was no change in the proportion of patients on GCs or the average doses of GC
used over time according to year of diagnosis. Conclusion: GCs remain a
cornerstone in SLE management and there have been no significant changes in their
use over the past 10-15 years. While patient and disease factors contribute to
the variation in GC use, between-centre differences suggest that physician
related factors also contribute. Evidence-based treatment algorithms are needed
to inform a more standardized approach to GC use in SLE.
PMID- 29361150
TI - National scale-up of tuberculosis-human immunodeficiency virus collaborative
activities in Myanmar from 2005 to 2016 and tuberculosis treatment outcomes for
patients with human immunodeficiency virus-positive tuberculosis in the Mandalay
Region in 2015.
AB - Background: HIV-associated TB is a serious public health problem in Myanmar.
Study objectives were to describe national scale-up of collaborative activities
to reduce the double burden of TB and HIV from 2005 to 2016 and to describe TB
treatment outcomes of individuals registered with HIV-associated TB in 2015 in
the Mandalay Region. Methods: Secondary analysis of national aggregate data and,
for treatment outcomes, a cohort study of patients with HIV-associated TB in the
Mandalay Region. Results: The number of townships implementing collaborative
activities increased from 7 to 330 by 2016. The number of registered TB patients
increased from 1577 to 139 625 in 2016, with the number of individuals tested for
HIV increasing from 432 to 114 180 (82%) in 2016: 10 971 (10%) were diagnosed as
HIV positive. Uptake of co-trimoxazole preventive therapy (CPT) and
antiretroviral therapy (ART) nationally in 2016 was 77% and 52%, respectively. In
the Mandalay Region, treatment success was 77% and mortality was 18% in 815 HIV
associated TB patients. Risk factors for unfavourable outcomes and death were
older age (>=45 years) and not taking CPT and/or ART. Conclusion: Myanmar is
making good progress with reducing the HIV burden in TB patients, but better
implementation is needed to reach 100% HIV testing and 100% CPT and ART uptake in
TB-HIV co-infected patients.
PMID- 29361149
TI - Scopoletin 8-hydroxylase: a novel enzyme involved in coumarin biosynthesis and
iron-deficiency responses in Arabidopsis.
AB - Iron deficiency is a serious agricultural problem, particularly in alkaline
soils. Secretion of coumarins by Arabidopsis thaliana roots is induced under iron
deficiency. An essential enzyme for the biosynthesis of the major Arabidopsis
coumarins, scopoletin and its derivatives, is Feruloyl-CoA 6'-Hydroxylase1
(F6'H1), which belongs to a large enzyme family of the 2-oxoglutarate and Fe2+
dependent dioxygenases. We have functionally characterized another enzyme of this
family, which is a close homologue of F6'H1 and is encoded by a strongly iron
responsive gene, At3g12900. We purified At3g12900 protein heterologously
expressed in Escherichia coli and demonstrated that it is involved in the
conversion of scopoletin into fraxetin, via hydroxylation at the C8 position, and
that it thus functions as a scopoletin 8-hydroxylase (S8H). Its function in plant
cells was confirmed by the transient expression of S8H protein in Nicotiana
benthamiana leaves, followed by metabolite profiling and biochemical and ionomic
characterization of Arabidopsis s8h knockout lines grown under various iron
regimes. Our results indicate that S8H is involved in coumarin biosynthesis, as
part of mechanisms used by plants to assimilate iron.
PMID- 29361151
TI - Chemoprevention of colorectal cancer by black raspberry anthocyanins involved the
modulation of gut microbiota and SFRP2 demethylation.
AB - Freeze-dried black raspberry (BRB) powder is considered as a potential cancer
chemopreventive agent. In this study, we fed azoxymethane (AOM)/dextran sodium
sulfate (DSS)-treated C57BL/6J mice with a diet containing BRB anthocyanins for
12 weeks, and this led to a reduction in colon carcinogenesis. These animals had
consistently lower tumor multiplicity compared with AOM/DSS-treated mice not
receiving BRB anthocyanins. In AOM/DSS-treated mice, the number of pathogenic
bacteria, including Desulfovibrio sp. and Enterococcus spp., was increased
significantly, whereas probiotics such as Eubacterium rectale, Faecalibacterium
prausnitzii and Lactobacillus were dramatically decreased, but BRB anthocyanins
supplement could reverse this imbalance in gut microbiota. BRB anthocyanins also
caused the demethylation of the SFRP2 gene promoter, resulting in increased
expression of SFRP2, both at the mRNA and protein levels. Furthermore, the
expression levels of DNMT31 and DNMT3B, as well as of p-STAT3 were downregulated
by BRB anthocyanins in these animals. Taken together, these results suggested
that BRB anthocyanins could modulate the composition of gut commensal microbiota,
and changes in inflammation and the methylation status of the SFRP2 gene may play
a central role in the chemoprevention of CRC.
PMID- 29361152
TI - Sequanix: a dynamic graphical interface for Snakemake workflows.
AB - Summary: We designed a PyQt graphical user interface-Sequanix-aimed at
democratizing the use of Snakemake pipelines in the NGS space and beyond. By
default, Sequanix includes Sequana NGS pipelines (Snakemake format)
(http://sequana.readthedocs.io), and is also capable of loading any external
Snakemake pipeline. New users can easily, visually, edit configuration files of
expert-validated pipelines and can interactively execute these production-ready
workflows. Sequanix will be useful to both Snakemake developers in exposing their
pipelines and to a wide audience of users. Availability and implementation:
Source on http://github.com/sequana/sequana, bio-containers on
http://bioconda.github.io and Singularity hub (http://singularity-hub.org).
Contact: dimitri.desvillechabrol@pasteur.fr or thomas.cokelaer@pasteur.fr.
Supplementary information: Supplementary data are available at Bioinformatics
online.
PMID- 29361153
TI - Physician Judgments and the Burden of Chronic Pain.
AB - Objective: In a moderated mediation model, this study examined the interaction
effect of pain severity and medical evidence on physician judgments of chronic
pain. The effects of higher pain severity on physician judgments were expected to
be mediated through anticipated clinical burden, but only when medical evidence
was low. Design: Participants were randomly assigned to one of six case
descriptions of a chronic pain patient in a 3 * 2 design that varied by reported
pain severity (4, 6, 8/10) and medical evidence (low vs high). Setting: An
academic training program for medical residents/fellows. Subjects:
Residents/fellows in clinical departments at postgraduate year 2 or higher (N =
109). Methods: Participants read case descriptions and then made judgments about
the patient and rated the level of burden they expected to assume in treating the
patient. Results: Higher pain severity occasioned greater pain discounting and
higher likelihood of prescribing opioid medication. When medical evidence was
low, participants had less trust in the patient's pain report, attributed pain
more to psychosocial than medical factors, and were less likely to refer for
possible surgery. Analyses yielded no support for moderated mediation as expected
burden was high across all conditions. Significant associations were found
between expected burden and multiple clinical judgments. Conclusions: Results did
not support the proposed moderated mediation model as all patients were expected
to be burdensome across clinical presentations, reflecting negative expectations
of patients with chronic pain. Such expectations can have adverse implications
for patient-provider communication, shared decision-making, and the delivery of
personalized care.
PMID- 29361154
TI - Bone remodelling: locus minori or unappreciated potential of tofacitinib?
PMID- 29361155
TI - Reliability of simple capillaroscopic definitions in describing capillary
morphology in rheumatic diseases.
PMID- 29361156
TI - DEVELOPING RADIATION RESISTANT THERMAL NEUTRON DETECTORS FOR THE E_LIBANS
PROJECT: PRELIMINARY RESULTS.
AB - Radiation-resistant, gamma-insensitive, active thermal neutron detectors were
developed to monitor the thermal neutron cavity of the E_LIBANS project. Silicon
and silicon carbide semiconductors, plus vented air ion chambers, were chosen for
this purpose. This communication describes the performance of these detectors,
owing on the results of dedicated measurement campaigns.
PMID- 29361158
TI - Evaluation of Caenorhabditis elegans as a host model for Paracoccidioides
brasiliensis and Paracoccidioides lutzii.
AB - Paracoccidioidomycosis is a systemic fungal infection affecting mainly Latin
American countries that is caused by Paracoccidioides brasiliensis and
Paracoccidioides lutzii. During the study of fungal pathogenesis, in vivo studies
are crucial to understand the overall mechanisms involving the infection as well
as to search for new therapeutic treatments and diagnosis. Caenorhabditis elegans
is described as an infection model for different fungi species and a well
characterized organism to study the innate immune response. This study evaluates
C. elegans as an infection model for Paracoccidioides spp. It was observed that
both species do not cause infection in C. elegans, as occurs with Candida
albicans, and one possible explanation is that the irregular size and shape of
Paracoccidioides spp. difficult the ingestion of these fungi by the nematode.
Besides this difficulty in the infection, we could observe that the simple
exposition of C. elegans to Paracoccidioides species was able to trigger a
distinct pattern of expression of antimicrobial peptide genes. The expression of
cnc-4, nlpl-27 and nlp-31 was superior after the exposure to P. brasiliensis in
comparison to P. lutzii (P < 0.05), and these findings demonstrate important
differences regarding innate immune response activation caused by the two species
of the Paracoccidioides genus.
PMID- 29361157
TI - APE2 promotes DNA damage response pathway from a single-strand break.
AB - As the most common type of DNA damage, DNA single-strand breaks (SSBs) are
primarily repaired by the SSB repair mechanism. If not repaired properly or
promptly, unrepaired SSBs lead to genome stability and have been implicated in
cancer and neurodegenerative diseases. However, it remains unknown how unrepaired
SSBs are recognized by DNA damage response (DDR) pathway, largely because of the
lack of a feasible experimental system. Here, we demonstrate evidence showing
that an ATR-dependent checkpoint signaling is activated by a defined plasmid
based site-specific SSB structure in Xenopus HSS (high-speed supernatant) system.
Notably, the distinct SSB signaling requires APE2 and canonical checkpoint
proteins, including ATR, ATRIP, TopBP1, Rad9 and Claspin. Importantly, the SSB
induced ATR DDR is essential for SSB repair. We and others show that APE2
interacts with PCNA via its PIP box and preferentially interacts with ssDNA via
its C-terminus Zf-GRF domain, a conserved motif found in >100 proteins involved
in DNA/RNA metabolism. Here, we identify a novel mode of APE2-PCNA interaction
via APE2 Zf-GRF and PCNA C-terminus. Mechanistically, the APE2 Zf-GRF-PCNA
interaction facilitates 3'-5' SSB end resection, checkpoint protein complex
assembly, and SSB-induced DDR pathway. Together, we propose that APE2 promotes
ATR-Chk1 DDR pathway from a single-strand break.
PMID- 29361159
TI - The effect of an isoflavonid-rich liquorice extract on fermentation,
methanogenesis and the microbiome in the rumen simulation technique.
AB - Due to the antimicrobial activity of flavonoids, it has been suggested that they
may provide a possible alternative to antibiotics to stimulate productivity and
reduce the environmental load of ruminant agriculture. We hypothesised that an
extract of liquorice, rich in prenylated isoflavonoids and particularly
glabridin, might potentially improve the efficiency of nitrogen utilisation and
reduce methane production in the rumen. When added to a long-term rumen
simulating fermentor (RUSITEC), liquorice extract at 1 g L-1 decreased ammonia
production (-51%; P < 0.001) without affecting the overall fermentation process.
When added at 2 g L-1, decreases in not only ammonia production (-77%; P <
0.001), but also methane (-27%; P = 0.039) and total VFA production (-15%; P =
0.003) were observed. These effects in fermentation were probably related to a
decrease in protozoa numbers, a less diverse bacteria population as well as
changes in the structure of both the bacterial and archaeal communities. The
inclusion of an isoflavonoid-rich extract from liquorice in the diet may
potentially improve the efficiency of the feed utilisation by ruminants.
PMID- 29361161
TI - A COMPARISON OF OCCUPATIONAL DOSES IN CONVENTIONAL AND INTERVENTIONAL RADIOLOGY
IN IRAN.
AB - Occupational exposures in conventional and interventional radiology were
investigated over a period of 10 years for all radiation workers. The statistical
analysis carried out on the refined data showed that the average annual effective
doses in conventional and interventional radiology were 0.28 and 0.59 mSv for
measurably exposed workers and 0.18 and 0.52 mSv for all monitored workers in
2014. More than 99.9 and 82.8% of radiation workers in conventional and
interventional radiology received annual doses less than the public dose limit (1
mSv) in 2014. Comparing the occupational dose levels of different countries
(including Iran) in conventional as well as interventional radiology showed a
poor comparability among them. Regarding the doses above the investigation level,
the analysis showed that majority of them were due to improper use of personal
dosimeters (false doses) and only 0.01 and 0.12% of the dose records actually
crossed the level in conventional and interventional radiology in 2014.
PMID- 29361160
TI - Glutathione S-Transferase Protein Expression in Different Life Stages of
Zebrafish (Danio rerio).
AB - Zebrafish is a widely used animal model in biomedical sciences and toxicology.
Although evidence for the presence of phases I and II xenobiotic defense
mechanisms in zebrafish exists on the transcriptional and enzyme activity level,
little is known about the protein expression of xenobiotic metabolizing enzymes.
Given the important role of glutathione S-transferases (GSTs) in phase II
biotransformation, we analyzed cytosolic GST proteins in zebrafish early life
stages and different organs of adult male and female fish, using a targeted
proteomics approach. The established multiple reaction monitoring-based assays
enable the measurement of the relative abundance of specific GST isoenzymes and
GST classes in zebrafish through a combination of proteotypic peptides and
peptides shared within the same class. GSTs of the classes alpha, mu, pi and rho
are expressed in zebrafish embryo as early as 4 h postfertilization (hpf). The
majority of GST enzymes are present at 72 hpf followed by a continuous increase
in expression thereafter. In adult zebrafish, GST expression is organ dependent,
with most of the GST classes showing the highest expression in the liver. The
expression of a wide range of cytosolic GST isoenzymes and classes in zebrafish
early life stages and adulthood supports the use of zebrafish as a model organism
in chemical-related investigations.
PMID- 29361162
TI - A novel family of tyrosine integrases encoded by the temperate pleolipovirus
SNJ2.
AB - Genomes of halophilic archaea typically contain multiple loci of integrated
mobile genetic elements (MGEs). Despite the abundance of these elements, however,
mechanisms underlying their site-specific integration and excision have not been
investigated. Here, we identified and characterized a novel recombination system
encoded by the temperate pleolipovirus SNJ2, which infects haloarchaeon Natrinema
sp. J7-1. SNJ2 genome is inserted into the tRNAMet gene and flanked by 14 bp
direct repeats corresponding to attachment core sites. We showed that SNJ2
encodes an integrase (IntSNJ2) that excises the proviral genome from its host
cell chromosome, but requires two small accessory proteins, Orf2 and Orf3, for
integration. These proteins were co-transcribed with IntSNJ2 to form an operon.
Homology searches showed that IntSNJ2-type integrases are widespread in
haloarchaeal genomes and are associated with various integrated MGEs.
Importantly, we confirmed that SNJ2-like recombination systems are encoded by
haloarchaea from three different genera and are critical for integration and
excision. Finally, phylogenetic analysis suggested that IntSNJ2-type recombinases
belong to a novel family of archaeal integrases distinct from previously
characterized recombinases, including those from the archaeal SSV- and pNOB8-type
families.
PMID- 29361163
TI - Genomic and Expression Analyses Identify a Disease-Modifying Variant for
Fibrostenotic Crohn's Disease.
AB - Background and Aims: Crohn's disease [CD] is a chronic inflammatory disease with
unpredictable behaviour. More than half of CD patients eventually develop
complications such as stenosis, for which they then require endoscopic dilatation
or surgery, as no anti-fibrotic drugs are currently available. We aim to identify
disease-modifying genes associated with fibrostenotic CD. Methods: We performed a
within-case analysis comparing 'extreme phenotypes' using the Immunochip and
replication of the top single nucleotide polymorphisms [SNPs] with Agena
Bioscience in two independent case-control cohorts totalling 322 cases with
fibrostenotis [recurrent after surgery] and 619 cases with purely inflammatory
CD. Results: Combined meta-analysis resulted in a genome-wide significant signal
for SNP rs11861007 [p = 6.0910-11], located on chromosome 16, in lncRNA RP11
679B19.1, an lncRNA of unknown function, and close to exon 9 of the WWOX gene,
which codes for WW domain-containing oxidoreductase. We analysed mRNA expression
of TGF-beta and downstream genes in ileocecal resection material from ten
patients with and without the WWOX risk allele. Patients carrying the risk allele
[A] showed enhanced colonic expression of TGF-beta compared to patients
homozygous for the wild-type [G] allele [p = 0.0079]. Conclusion: We have
identified a variant in WWOX and in lncRNA RP11-679B19.1 as a disease-modifying
genetic variant associated with recurrent fibrostenotic CD and replicated this
association in an independent cohort. WWOX can potentially play a crucial role in
fibrostenosis in CD, being positioned at the crossroads of inflammation and
fibrosis.
PMID- 29361164
TI - Central retinal vein occlusion in temporal arteritis: red sign or red herring?
PMID- 29361165
TI - Single blood transfusion induces the production of donor-specific alloantibodies
and regulatory T cells mainly in the spleen.
AB - Donor-specific blood transfusion is known to induce alloresponses and lead to
immunosuppression. We examined their underlying mechanisms by employing fully
allogeneic rat combinations. Transfused recipients efficiently produced
alloantibodies of the IgM and IgG subclasses directed against donor class I MHC.
The recipients exhibited active expansion of CD4+ T cells and CD4+FOXP3+
regulatory T cells (Treg cells), followed by CD45R+ B cells and IgM+ or IgG
subclass+ antibody-forming cells mainly in the spleen. From 1.5 days, the
resident MHCII+CD103+ dendritic cells (DCs) in the splenic T-cell area,
periarterial lymphocyte sheath, formed clusters with recipient BrdU+ or 5-ethynyl
2'-deoxyuridine+ cells, from which the proliferative response of CD4+ T cells
originated peaking at 3-4 days. Transfusion-induced antibodies had donor
passenger cell-depleting activity in vitro and in vivo and could suppress acute
GvH disease caused by donor T cells. Furthermore, Treg cells significantly
suppressed mixed leukocyte reactions in a donor-specific manner. In conclusion,
single blood transfusion efficiently induced a helper T-cell-dependent anti-donor
class I MHC antibody-forming cell response with immunoglobulin class switching,
and a donor-specific Treg cell response mainly in the spleen, probably by way of
the indirect allorecognition via resident DCs. These antibodies and Treg cells
may be involved, at least partly, in the donor-specific transfusion-induced
suppression of allograft rejection.
PMID- 29361166
TI - Intermediate Developmental Phases During Regeneration.
AB - The initial view that regeneration can be a continuum in terms of regulatory
mechanisms is gradually changing, and recent evidence points towards the presence
of discrete regulatory steps and intermediate phases. Furthermore, regeneration
presents an excellent example of a process generating order and pattern, i.e. a
self-organization process. It is likely that the process traverses a set of
intermediate phases before reaching an endpoint. Although some progress has been
made in deciphering the identity of these intermediate phases, a lot more work is
needed to derive a comprehensive and complete picture. Here, we discuss the
intermediate developmental phases in plant regeneration and compare them with the
possible intermediate developmental phases in animal regeneration.
PMID- 29361168
TI - Novel stent design for transcatheter mitral valve implantation.
AB - OBJECTIVES: In this study, results of a functional in vitro study of 2 newly
developed valved stents for transcatheter mitral valve implantation are
presented. METHODS: Two novel stent designs, an oval-shaped and a D-shaped stent
with a strut fixation system were developed. The fixation force of the novel
stents were tested in vitro in porcine hearts with a tensile test set-up. In
further experiments, the stents were equipped with a circular valved stent, and
the valve performances were investigated in a pulsatile heart valve tester.
RESULTS: Sufficient mean stent fixation forces in the range of 24.2 +/- 0.9 N to
28.6 +/- 1.9 N were measured for the different stent models. The novel valved
stents showed good performance in an in vitro pulsatile heart valve tester. A
sufficient opening area and low opening pressures were measured for all tested
mitral valved stents. Compared with an established reference valve, the D-shaped
stent and the oval-shaped valved stent showed a lower systolic transvalvular
pressure gradient, which indicates slightly greater extent of valvular leakage of
the closed valved stents. However, the mitral nitinol valved stents demonstrated
adequate durability. CONCLUSIONS: This study indicates a sufficient annular
fixation force of the tested transcatheter mitral valve implantation valved stent
prototypes. Therefore, these mitral valved stents demonstrate a new type of
mitral valved stent design.
PMID- 29361169
TI - eComment. New horizons in diagnosing and managing cardiac echinococcosis.
PMID- 29361167
TI - A novel mechanism causing imbalance of mitochondrial fusion and fission in human
myopathies.
AB - Mitochondrial dynamics play an important role in cellular homeostasis and a
variety of human diseases are linked to its dysregulated function. Here, we
describe a 15-year-old boy with a novel disease caused by altered mitochondrial
dynamics. The patient was the second child of consanguineous Jewish parents. He
developed progressive muscle weakness and exercise intolerance at 6 years of age.
His muscle biopsy revealed mitochondrial myopathy with numerous ragged red and
cytochrome c oxidase (COX) negative fibers and combined respiratory chain complex
I and IV deficiency. MtDNA copy number was elevated and no deletions of the mtDNA
were detected in muscle DNA. Whole exome sequencing identified a homozygous
nonsense mutation (p.Q92*) in the MIEF2 gene encoding the mitochondrial dynamics
protein of 49 kDa (MID49). Immunoblotting revealed increased levels of proteins
promoting mitochondrial fusion (MFN2, OPA1) and decreased levels of the fission
protein DRP1. Fibroblasts of the patient showed elongated mitochondria, and
significantly higher frequency of fusion events, mtDNA abundance and aberrant
mitochondrial cristae ultrastructure, compared with controls. Thus, our data
suggest that mutations in MIEF2 result in imbalanced mitochondrial dynamics and a
combined respiratory chain enzyme defect in skeletal muscle, leading to
mitochondrial myopathy.
PMID- 29361170
TI - eComment. Posterior mitral valve leaflet prolapse: one term, several different
meanings.
PMID- 29361171
TI - eComment. Narrative therapy for decision making about pectus excavatum treatment:
Concepts clarification and basic claims demonstration challenge.
PMID- 29361173
TI - The Changing Face of Noncardia Gastric Cancer Incidence Among US Non-Hispanic
Whites.
AB - Background: The initial step for noncardia gastric carcinogenesis is atrophic
gastritis, driven by either Helicobacter pylori infection or autoimmunity. In
recent decades, the prevalence rates of these two major causes declined and
increased, respectively, with changes in Western lifestyles. We therefore
assessed gastric cancer incidence trends for US race/ethnic groups, 1995-2013.
Methods: Age-standardized rates (ASRs) from 45 North American Association of
Central Cancer Tumor Registries were summarized by estimated annual percentage
change (EAPC) and 95% confidence intervals (CIs). Age period cohort models
supplemented standard descriptive techniques and projected future trends.
Results: There were 137 447 noncardia cancers in 4.4 billion person-years of
observation. Among non-Hispanic whites, the ASR was 2.2 per 100 000 person-years,
with an EAPC of -2.3% (95% CI = -2.0% to -2.6%). Notwithstanding this overall
decline, EAPCs rose 1.3% (95% CI = 0.6% to 2.1%) for persons younger than age 50
years and fell -2.6% (95% CI = -2.4% to -2.9%) for older individuals. These
converging trends manifested a birth cohort effect more pronounced among women
than men, with incidence among women born in 1983 twofold (95% CI = 1.1-fold to
3.6-fold) greater than those born in 1951. Age interaction was also statistically
significant among Hispanic whites, with slightly increasing vs decreasing EAPCs
for younger and older individuals, respectively. Incidence declined regardless of
age for other races. Current trends foreshadow expected reversals in both falling
incidence and male predominance among non-Hispanic whites. Conclusions: Dysbiosis
of the gastric microbiome associated with modern living conditions may be
increasing risk of autoimmune gastritis and consequent noncardia cancer. The
changing face by age and sex of gastric cancer warrants analytical studies to
identify potential causal mechanisms.
PMID- 29361174
TI - Toxicity of Bifenthrin and Mixtures of Bifenthrin Plus Acephate, Imidacloprid,
Thiamethoxam, or Dicrotophos to Adults of Tarnished Plant Bug (Hemiptera:
Miridae).
AB - To assess the toxicity of bifenthrin and four mixtures of insecticides to
tarnished plant bug, we used an insecticide dip method of green bean to treat
adults of a laboratory colony; mortality was assessed after 48 h. LC50s for
imidacloprid, bifenthrin, acephate, thiamethoxam, and dicrotophos were 0.12,
0.39, 0.62, 0.67, and 3.96 ppm, respectively. LC75s for imidacloprid, bifenthrin,
acephate, thiamethoxam, and dicrotophos were 0.61, 4.22, 5.10, 2.65, and 7.86
ppm, respectively. Based on the LC50s and LC75s, dicrotophos was much less toxic
than the other chemicals tested. PoloMix software was used to determine
syngerism, antagonism, or addition effects of the mixtures. Three out of four
analyses of the joint action of bifenthrin plus imidacloprid or acephate or
dicrotophos showed that toxicity was not independent and not correlated. For
bifenthrin plus dicrotophos, observed mortality was greater than expected
mortality at most concentrations suggesting synergism. Mixtures of bifenthrin
plus imidacloprid and bifenthrin plus acephate showed observed mortality
significantly less than expected, suggesting antagonism. LC50s for bifenthrin
plus dicrotophos, acephate, imidacloprid, and thiamethoxam were 0.38, 1.06, 0.17,
and 0.26 ppm, respectively. LC75s for bifenthrin plus dicrotophos, acephate,
imidacloprid, and thiamethoxam were 13.61, 13.18, 0.67, and 0.80 ppm,
respectively. Based on the LC50s and LC75s, bifenthrin plus acephate was 3- to 10
fold less toxic than the other chemicals tested. Bifenthrin plus acephate is
frequently used in tank mixes to control tarnished plant bug and other cotton
pests, and the effectiveness of each individual chemical appears to be reduced in
one to one ratio mixtures.
PMID- 29361175
TI - Intratumor Heterogeneity of the Estrogen Receptor and the Long-term Risk of Fatal
Breast Cancer.
AB - Background: Breast cancer patients with estrogen receptor (ER)-positive disease
have a continuous long-term risk for fatal breast cancer, but the biological
factors influencing this risk are unknown. We aimed to determine whether high
intratumor heterogeneity of ER predicts an increased long-term risk (25 years) of
fatal breast cancer. Methods: The STO-3 trial enrolled 1780 postmenopausal lymph
node-negative breast cancer patients randomly assigned to receive adjuvant
tamoxifen vs not. The fraction of cancer cells for each ER intensity level was
scored by breast cancer pathologists, and intratumor heterogeneity of ER was
calculated using Rao's quadratic entropy and categorized into high and low
heterogeneity using a predefined cutoff at the second tertile (67%). Long-term
breast cancer-specific survival analyses by intra-tumor heterogeneity of ER were
performed using Kaplan-Meier and multivariable Cox proportional hazard modeling
adjusting for patient and tumor characteristics. Results: A statistically
significant difference in long-term survival by high vs low intratumor
heterogeneity of ER was seen for all ER-positive patients (P < .001) and for
patients with luminal A subtype tumors (P = .01). In multivariable analyses,
patients with high intratumor heterogeneity of ER had a twofold increased long
term risk as compared with patients with low intratumor heterogeneity (ER
positive: hazard ratio [HR] = 1.98, 95% confidence interval [CI] = 1.31 to 3.00;
luminal A subtype tumors: HR = 2.43, 95% CI = 1.18 to 4.99). Conclusions:
Patients with high intratumor heterogeneity of ER had an increased long-term risk
of fatal breast cancer. Interestingly, a similar long-term risk increase was seen
in patients with luminal A subtype tumors. Our findings suggest that intratumor
heterogeneity of ER is an independent long-term prognosticator with potential to
change clinical management, especially for patients with luminal A tumors.
PMID- 29361177
TI - Development, characterization, and in vitro-in vivo evaluation of polymeric
nanoparticles containing miconazole and farnesol for treatment of vulvovaginal
candidiasis.
AB - Vulvovaginal candidiasis (VVC) is caused mainly by the opportunistic fungus
Candida albicans, and its yeast to hyphae transition is considered a major
virulence factor. Farnesol is a molecule that inhibits yeast to hyphae
transition. The increased incidence of VVC has influenced a need for developing
new therapeutic strategies. The objective was to develop a mucoadhesive
nanostructured system composed of miconazole and farnesol co-encapsulated within
chitosan nanoparticles. The miconazole presented a minimal inhibitory
concentration (MIC) of 1 MUg/ml against C. albicans. The farnesol was capable of
inhibiting yeast to hyphae transition at levels greater or equal to 300 MUM. The
combination of miconazole and farnesol showed no change in miconazole MIC.
Chitosan nanoparticles containing miconazole and farnesol were prepared by ionic
gelation and showed favorable characteristics for use on mucous membranes. They
showed size variation and polydispersion index (PDI) after 30 days, but the
efficiency of drug encapsulation was maintained. Regarding toxicity in cultured
fibroblasts (BALB/c 3T3) the nanoparticles were considered nontoxic. The
nanoparticles showed antifungal activity against the C. albicans strain used with
MICs of 2.5 MUg/ml and 2 MUg/ml for nanoparticles containing miconazole or
miconazole/farnesol, respectively. Nanoparticles containing farnesol inhibited
yeast to hyphae transition at concentrations greater than or equal to 240 MUM.
The in vivo antifungal activity was assessed in the murine model for VVC. The
results suggested that chitosan nanoparticles containing miconazole and farnesol
were effective at inhibiting fungal proliferation. Additionally, chitosan
nanoparticles containing farnesol were capable of decreasing the pathogenicity of
infection, demonstrated through the absence of inflammation.
PMID- 29361176
TI - The prolyl isomerase FKBP25 regulates microtubule polymerization impacting cell
cycle progression and genomic stability.
AB - FK506 binding proteins (FKBPs) catalyze the interconversion of cis-trans proline
conformers in proteins. Importantly, FK506 drugs have anti-cancer and
neuroprotective properties, but the effectors and mechanisms underpinning these
properties are not well understood because the cellular function(s) of most FKBP
proteins are unclear. FKBP25 is a nuclear prolyl isomerase that interacts
directly with nucleic acids and is associated with several DNA/RNA binding
proteins. Here, we show the catalytic FKBP domain binds microtubules (MTs)
directly to promote their polymerization and stabilize the MT network.
Furthermore, FKBP25 associates with the mitotic spindle and regulates entry into
mitosis. This interaction is important for mitotic spindle dynamics, as we
observe increased chromosome instability in FKBP25 knockdown cells. Finally, we
provide evidence that FKBP25 association with chromatin is cell-cycle regulated
by Protein Kinase C phosphorylation. This disrupts FKBP25-DNA contacts during
mitosis while maintaining its interaction with the spindle apparatus.
Collectively, these data support a model where FKBP25 association with chromatin
and MTs is carefully choreographed to ensure faithful genome duplication.
Additionally, they highlight that FKBP25 is a MT-associated FK506 receptor and
potential therapeutic target in MT-associated diseases.
PMID- 29361178
TI - dropClust: efficient clustering of ultra-large scRNA-seq data.
AB - Droplet based single cell transcriptomics has recently enabled parallel screening
of tens of thousands of single cells. Clustering methods that scale for such high
dimensional data without compromising accuracy are scarce. We exploit Locality
Sensitive Hashing, an approximate nearest neighbour search technique to develop a
de novo clustering algorithm for large-scale single cell data. On a number of
real datasets, dropClust outperformed the existing best practice methods in terms
of execution time, clustering accuracy and detectability of minor cell sub-types.
PMID- 29361179
TI - Particle size affects short-term preference behavior of brown-egg laying hens fed
diets based on corn or barley.
AB - We studied the influence of particle size of the main cereal of the diet on
preference behavior by laying hens. Diets formed a 2 * 5 factorial with 2 main
cereals (corn vs. barley) and 5 grinding sizes of the cereal (4, 6, 8, 10, and 12
mm screen). Each treatment was replicated 5 times (10 hens each). After a fasting
period of 8 h, hens received their respective experimental diets from 06.00 to
14.00 hours. The geometric mean diameter (GMD) and the geometric standard
deviation of the residuals in the feeder were determined every 2 hours. In
addition, CP, ash, and Ca contents of the feeds were determined at the start and
at the end of the experimental period. The experimental design was completely
randomized with data analyzed as repeated measures with particle size and cereal
as main effects. The GMD of the original feeds increased with increases in screen
size and was greater for the barley than for the corn diets. The difference in
GMD between the original diets and the residuals measured at 2 h intervals
decreased as the experiment progressed (P < 0.001 for the interaction). Crude
protein, ash, and Ca concentrated in the coarse fraction of the original diets
and of the uneaten feed, an effect more pronounced for the minerals. Independent
of the coarseness of the feed sieve, ash and Ca contents were higher in the
uneaten feed at 14.00 h than in the original diets. Hens showed a clear
preference for coarse particles irrespective of the concentration of CP, ash, or
Ca in the different fractions of the diets. Data showed that birds under-consumed
Ca during the morning, a period in which the requirements for mineral deposition
are low. In summary, hens showed a significant preference for coarser particles,
an effect that was more evident when the cereals were ground coarse. Hens,
however, did not show any preference for consuming those feed fractions with
greater CP, ash, or Ca contents.
PMID- 29361180
TI - Prevalence of Burnout Among Pain Medicine Physicians and Its Potential Effect
upon Clinical Outcomes in Patients with Oncologic Pain or Chronic Pain of
Nononcologic Origin.
AB - Objective: To evaluate the prevalence of burnout among physicians treating
patients with chronic pain and to assess the potential relationships between the
presence of burnout and patients' clinical outcomes such as pain relief,
satisfaction with pain control, and quality of life. Design: An observational,
prospective, and noncomparative study. Setting: Pain medicine clinics. Subjects:
Physicians from medical departments involved in the management of chronic pain.
Patients aged >=18 years who exhibited moderate chronic pain lasting at least
three months. Methods: Physicians were evaluated with the Maslach Burnout
Inventory-Human Services Survey (MBI-HSS). Patients were evaluated with the
Charlson Comorbidity Index, the Brief Pain Inventory-Short Form (BPI-SF), the
EuroQol-5D (EQ-5D), and ad hoc instruments for evaluating satisfaction with pain
control, the extent to which the treatment met patients' expectations, and
subjective impressions of improvement. Results: Of the 301 physician
participants, 22 (7.3%, 95% confidence interval [CI] = 4.9 to 10.8) met the
criteria of burnout. Burnout was higher among physicians from pain units, while
none of the 35 primary care physicians reported burnout. The presence of burnout
was positively associated with patients' pain relief (odds ratio [OR] = 1.423,
95% CI = 1.090 to 1.858) but not with satisfaction with pain control or quality
of life. Of the remaining independent variables, being treated by pain unit
physicians was significantly associated with worse pain relief (OR = 0.592, 95%
CI = 0.507 to 0.691), lower satisfaction (beta = -0.680, 95% CI = -0.834 to
0.525), and worse quality of life (beta = -4.047, 95% CI = -5.509 to -2.585)
compared with being treated by physicians from other specialties (e.g.,
traumatologists, oncologists, etc.). Conclusions: Our study shows a lack of
negative or clinically relevant (as shown by the negligible to small effect
sizes) impact of burnout on patient-reported outcomes (namely, pain relief,
satisfaction, and quality of life) in patients with chronic pain who are treated
by pain medicine physicians.
PMID- 29361181
TI - Toward Understanding Person-Place Transactions in Neighborhoods: A Qualitative
Participatory Geospatial Approach.
AB - Background and Objectives: Emerging research regarding aging in neighborhoods
emphasizes the importance of this context for well-being; however, in-depth
information about the nature of person-place relationships is lacking. The
interwoven and complex nature of person and place points to methods that can
examine these relationships in situ and explore meanings attached to places.
Participatory geospatial methods can capture situated details about place that
are not verbalized during interviews or otherwise discerned, and qualitative
methods can explore interpretations, both helping to generate deep understandings
of the relationships between person and place. This article describes a combined
qualitative-geospatial approach for studying of older adults in neighborhoods and
investigates the qualitative-geospatial approach developed, including its utility
and feasibility in exploring person-place transactions in neighborhoods. Research
Design and Methods: We developed and implemented a qualitative-geospatial
approach to explore how neighborhood and person transact to shape sense of social
connectedness in older adults. Methods included narrative interviews, go-along
interviews, and global positioning system tracking with activity/travel diary
completion followed by map-based interviews. We used a variety of data analysis
methods with attention to fully utilizing diverse forms of data and integrating
data during analysis. We reflected on and examined the utility and feasibility of
the approach through a variety of methods. Results: Findings indicate the unique
understandings that each method contributes, the strengths of the overall
approach, and the feasibility of implementing the approach. Discussion and
Implications: The developed approach has strong potential to generate knowledge
about person-place transactions that can inform practice, planning, policy, and
research to promote older adults' well-being.
PMID- 29361183
TI - The Babushkas of Chernobyl.
PMID- 29361182
TI - Putting Sex Into Context in Later Life: Environmental Disorder and Sexual
Interest Among Partnered Seniors.
AB - Background and Objectives: This study examines whether neighborhood and household
disorder is associated with sexual interest among partnered seniors. Research
Design and Methods: Analyses use dyadic data from Wave 2 of the National Social
Life, Health, and Aging Project (NSHAP), a nationally representative sample of
community-dwelling older adults (2010-2011). Measures of environmental disorder
were conducted by trained interviewers. Survey data were also linked to census
tract information from the 2009 American Community Survey. We used actor-partner
interdependence models to estimate the likelihood of reporting low sexual
interest. Results: There was no observed association between neighborhood context
(physical disorder or census tract socioeconomic disadvantage) and sexual
interest, but husbands were more likely to report low sexual interest if they
lived in more disorderly households. High marital quality protected against low
sexual interest, but these evaluations did not mediate or moderate the putative
effect of household disorder. Discussion and Implications: Regardless of the
broader neighborhood context, helping older adults maintain an orderly home space
may help sustain sexual functioning. Future research should consider how various
features of the environment matter for additional aspects of late-life sexuality.
PMID- 29361184
TI - Aging in Context.
PMID- 29361185
TI - This Is My Place.
PMID- 29361187
TI - The C-S-A gene system regulates hull pigmentation and reveals evolution of
anthocyanin biosynthesis pathway in rice.
AB - Floral organs in rice (Oryza sativa) can be purple, brown, or red in color due to
the accumulation of flavonoids, but the molecular mechanism underlying specific
organ pigmentation is not clear. Here, we propose a C-S-A gene model for rice
hull pigmentation and characterize it through genetic, molecular, and metabolomic
approaches. Furthermore, we conducted phylogenetic studies to reveal the
evolution of rice color. In this gene system, C1 encodes a R2R3-MYB transcription
factor and acts as a color-producing gene, and S1 encodes a bHLH protein that
functions in a tissue-specific manner. C1 interacts with S1 and activates
expression of A1, which encodes a dihydroflavonol reductase. As a consequence,
the hull is purple where functional A1 participation leads to high accumulation
of cyanidin 3-O-glucoside. Loss of function of A1 leads to a brown hull color due
to accumulation of flavonoids such as hesperetin 5-O-glucoside, rutin, and
delphinidin 3-O-rutinoside. This shows a different evolutionary pathway of rice
color in japonica and indica, supporting independent origin of cultivars in each
subspecies. Our findings provide a complete perspective on the gene regulation
network of rice color formation and supply the theoretical basis for extended
application of this beneficial trait.
PMID- 29361188
TI - Both Weight at Age 20 and Weight Gain Have an Impact on Sleep Disturbances Later
in Life: Results of the EpiHealth Study.
AB - Study Objectives: Obesity is often associated with impaired sleep, whereas the
impact of body mass index (BMI) at younger age and previous weight gain on sleep
problems remains unknown. Methods: The present study utilized data from the
Swedish EpiHealth cohort study. A total of 15845 participants (45-75 years)
filled out an internet-based questionnaire. BMI was calculated from both measured
data at study time and self-reported data at age 20 from the questionnaire.
Results: Sleep-related symptoms were most common among obese individuals (BMI >
30 kg/m2). An association between weight gain and sleep problems was found and
those with a low BMI at age 20 were most vulnerable to weight gain when it came
to risk of sleep problems. Among those who were underweight (BMI < 18.5 kg/m2) at
age 20, weight gain (kg/year) was associated with difficulties initiating sleep
with an adjusted OR of 2.64 (95% CI: 1.51-4.62) after adjusting for age, sex,
smoking, alcohol consumption, physical activity, education, and civil status. The
corresponding adjusted OR's among those who had been normal weight (BMI 18.5
24.99) and overweight (BMI 25-29.99 kg/m2) at age 20 were 1.89 (1.47-2.45) and
1.02 (0.48-2.13), respectively. Also difficulties maintaining sleep and snoring
were most strongly related to weight gain among those who were underweight at age
20 with decreasing odds with increasing BMI at that age. Conclusions: Sleep
problems are related to weight gain and obesity. The impact of weight is most
pronounced among those who had a low BMI when young.
PMID- 29361189
TI - Obstructive sleep apnea drug therapy: apnea-hypopnea index leaves us high and
dry.
PMID- 29361191
TI - Response to Jolobe: 'Molecular diagnostics in FUO'.
PMID- 29361190
TI - Conserved and species-specific transcription factor co-binding patterns drive
divergent gene regulation in human and mouse.
AB - The mouse is widely used as system to study human genetic mechanisms. However,
extensive rewiring of transcriptional regulatory networks often confounds
translation of findings between human and mouse. Site-specific gain and loss of
individual transcription factor binding sites (TFBS) has caused functional
divergence of orthologous regulatory loci, and so we must look beyond this
positional conservation to understand common themes of regulatory control.
Fortunately, transcription factor co-binding patterns shared across species often
perform conserved regulatory functions. These can be compared to 'regulatory
sentences' that retain the same meanings regardless of sequence and species
context. By analyzing TFBS co-occupancy patterns observed in four human and mouse
cell types, we learned a regulatory grammar: the rules by which TFBS are combined
into meaningful regulatory sentences. Different parts of this grammar associate
with specific sets of functional annotations regardless of sequence conservation
and predict functional signatures more accurately than positional conservation.
We further show that both species-specific and conserved portions of this grammar
are involved in gene expression divergence and human disease risk. These findings
expand our understanding of transcriptional regulatory mechanisms, suggesting
that phenotypic divergence and disease risk are driven by a complex interplay
between deeply conserved and species-specific transcriptional regulatory
pathways.
PMID- 29361193
TI - Moisture-driven xylogenesis in Pinus ponderosa from a Mojave Desert mountain
reveals high phenological plasticity.
AB - Future seasonal dynamics of wood formation in hyperarid environments are still
unclear. Although temperature-driven extension of the growing season and
increased forest productivity are expected for boreal and temperate biomes under
global warming, a similar trend remains questionable in water-limited regions. We
monitored cambial activity in a montane stand of ponderosa pine (Pinus ponderosa)
from the Mojave Desert for 2 consecutive years (2015-2016) showing opposite-sign
anomalies between warm- and cold-season precipitation. After the wet
winter/spring of 2016, xylogenesis started 2 months earlier compared to 2015,
characterized by abundant monsoonal (July-August) rainfall and hyperarid spring.
Tree size did not influence the onset and ending of wood formation, highlighting
a predominant climatic control over xylem phenological processes. Moisture
conditions in the previous month, in particular soil water content and dew point,
were the main drivers of cambial phenology. Latewood formation started roughly at
the same time in both years; however, monsoonal precipitation triggered the
formation of more false rings and density fluctuations in 2015. Because of
uncertainties in future precipitation patterns simulated by global change models
for the Southwestern United States, the dependency of P. ponderosa on seasonal
moisture implies a greater conservation challenge than for species that respond
mostly to temperature conditions.
PMID- 29361192
TI - Acute ethanol exposure has bidirectional actions on the endogenous neuromodulator
adenosine in rat hippocampus.
AB - BACKGROUND AND PURPOSE: Ethanol is a widely used recreational drug with complex
effects on physiological and pathological brain function. In epileptic patients,
the use of ethanol can modify seizure initiation and subsequent seizure activity
with reports of ethanol being both pro- and anticonvulsant. One proposed target
of ethanol's actions is the neuromodulator adenosine, which is released during
epileptic seizures to feedback and inhibit the occurrence of subsequent seizures.
Here, we investigated the actions of acute ethanol exposure on adenosine
signalling in rat hippocampus. EXPERIMENTAL APPROACH: We have combined
electrophysiology with direct measurements of extracellular adenosine using
microelectrode biosensors in rat hippocampal slices. KEY RESULTS: We found that
ethanol has bidirectional actions on adenosine signalling: depressant
concentrations of ethanol (50 mM) increased the basal extracellular concentration
of adenosine under baseline conditions, leading to the inhibition of synaptic
transmission, but it inhibited adenosine release during evoked seizure activity
in brain slices. The reduction in activity-dependent adenosine release was in
part produced by effects on NMDA receptors, although other mechanisms also
appeared to be involved. Low concentrations of ethanol (10-15 mM) enhanced
pathological network activity by selectively blocking activity-dependent
adenosine release. CONCLUSIONS AND IMPLICATIONS: The complex dose-dependent
actions of ethanol on adenosine signalling could in part explain the mixture of
pro-convulsant and anticonvulsant actions of ethanol that have previously been
reported.
PMID- 29361194
TI - Clinical and Ethical Considerations for Delivering Couple and Family Therapy via
Telehealth.
AB - Studies have generally supported telehealth as a feasible, effective, and safe
alternative to in-office visits. Telehealth may also be of particular benefit to
couples/families interested in relational treatments, as it addresses some of the
barriers that may be more prominent for families, such as childcare and
scheduling difficulties. Therapists interested in expanding their practice to
include telehealth should understand ethical and practical considerations of this
modality. This article discusses areas unique to the delivery of telehealth to
couples and families. Each broad domain is then elaborated upon with case
examples from actual clinical practice and specific recommendations for
addressing potential difficulties. Authors recommend further empirical research
examining differences in modality outcome, as well as feasibility of the
suggestions proposed here.
PMID- 29361195
TI - First record on stranding of a live giant squid Architeuthis dux outside Japanese
waters.
PMID- 29361196
TI - "Thank You for Including Us!" - Introducing a Community-Based Collaborative
Approach to Translating Clinic Materials.
AB - When working with clients in another language, having culturally relevant and
properly translated clinic materials is vital to effective clinical practice. Not
having them presents a barrier for bilingual therapists and their clients. This
paper reviews common translation methods and introduces a multi-level, community
based approach for translating clinic materials for non-English speaking clients.
Informed by decolonizing practices, this five-tier method includes members from
the target community as cultural brokers to verify the accuracy and nuances of
language for their cultural group, as well as a constant comparative method to
ensure methodological rigor in the process of inclusion. We present community
member's feedback and recommendations, as well as discuss advantages and
challenges of using this collaborative culturally responsive translation method.
PMID- 29361197
TI - Structured Goal Planning and Supportive Telephone Follow-up in Rheumatology Care:
Results From a Pragmatic, Stepped-Wedge, Cluster-Randomized Trial.
AB - OBJECTIVE: To evaluate patient-reported health effects of an add-on structured
goal-planning and supportive telephone follow-up rehabilitation program compared
with traditional rehabilitation programs in patients with rheumatic diseases.
METHODS: In this pragmatic stepped-wedge, cluster-randomized, controlled trial,
389 patients with rheumatic diseases recruited from 6 rehabilitation centers
received either traditional rehabilitation or traditional rehabilitation extended
with an add-on program tailored to individual needs. The add-on program comprised
a self-management booklet, motivational interviewing in structured individualized
goal planning, and 4 supportive follow-up phone calls after discharge. Data were
collected by questionnaires on admission and discharge from rehabilitation stay,
and at 6 months and 12 months after discharge. The primary outcome was health
related quality of life (HRQoL) measured by the Patient Generated Index (range 0
100, where 0 = low). Secondary outcomes included patient-reported health status,
self-efficacy, pain, fatigue, global disease activity, and motivation for change.
The main statistical analysis was a linear repeated measures mixed model
performed on the intent-to-treat population using all available data. RESULTS: A
significant treatment effect of the add-on intervention on HRQoL was found on
discharge (mean difference 3.32 [95% confidence interval 0.27, 6.37]; P = 0.03).
No significant between-group differences were found after 6 or 12 months. Both
groups showed positive changes in HRQoL following rehabilitation, which gradually
declined, although the values remained at higher levels after 6 and 12 months
compared with baseline values. CONCLUSION: The add-on program enhanced the short
term effect of rehabilitation with respect to patient-specific HRQoL, but it did
not prolong the effect as intended.
PMID- 29361198
TI - Food provisioning in Magellanic penguins as inferred from stable isotope ratios.
AB - RATIONALE: Food provisioning is considered one of the main traits affecting
offspring fitness. Differences in food provisioning between sexes, particularly
in dimorphic species, could affect the amount and type of food provided, due to
differences in the amount of food carried to the nest as a result of differential
resources exploitation. Quantitative evidence for sexual differences in food
provisioning by parents in penguins is scarce. The Magellanic penguin is
moderately sexually dimorphic and breeds along a broad latitudinal range, with
birds north and south of this range being essentially dietary specialists while
those at intermediate latitudes consuming a more diverse diet. METHODS: We used
stable isotope analysis of carbon and nitrogen to examine if there was a
differential parental contribution to chicks in ten Magellanic penguin colonies
throughout its latitudinal breeding distribution. We used the heuristic Euclidean
isotopic distance (ED) and individual isotope distances between the chicks and
their parents as a proxy for diet similarity (the smaller the distance, the more
similar the diet). RESULTS: The analysis showed that chicks tended to have a more
similar diet to that of their male parent and that this pattern was more evident
at colonies and in seasons where penguins had a more diverse diet, which could be
explained by differences in diet between parents. Distance in delta15 N values,
but not in delta13 C values, differed between both sexes and their chicks in all
the pairs sampled, suggesting that delta15 N values drive the differences found
in ED between chicks and their parents. CONCLUSIONS: We have developed an
approach that provides the first assessment of the extent of differential food
provisioning between male and female Magellanic penguins. Results suggest chicks
have a diet more similar to that of their male parent, probably related to the
higher trophic level of male penguin prey.
PMID- 29361199
TI - Mavrilimumab, a Fully Human Granulocyte-Macrophage Colony-Stimulating Factor
Receptor alpha Monoclonal Antibody: Long-Term Safety and Efficacy in Patients
With Rheumatoid Arthritis.
AB - OBJECTIVE: Mavrilimumab, a human monoclonal antibody, targets granulocyte
macrophage colony-stimulating factor receptor alpha. We undertook to determine
the long-term safety and efficacy of mavrilimumab in rheumatoid arthritis
patients in 2 phase IIb studies (1071 and 1107) and in 1 open-label extension
study (ClinicalTrials.gov identifier: NCT01712399). METHODS: In study 1071,
patients with an inadequate response to disease-modifying antirheumatic drugs
(DMARDs) received mavrilimumab (30, 100, or 150 mg) or placebo every other week
plus methotrexate. In study 1107, patients with an inadequate response to anti
tumor necrosis factor agents and/or DMARDs received 100 mg mavrilimumab every
other week or 50 mg golimumab every 4 weeks plus methotrexate. Patients entering
the open-label extension study received 100 mg mavrilimumab every other week plus
methotrexate. Long-term safety and efficacy of mavrilimumab were assessed.
RESULTS: A total of 442 patients received mavrilimumab (14 of 245 patients from
study 1071, 9 of 70 patients from study 1107, and 52 of 397 patients from the
open-label extension study discontinued mavrilimumab treatment throughout the
studies). The cumulative safety exposure was 899 patient-years; the median
duration of mavrilimumab treatment was 2.5 years (range 0.1-3.3 years). The most
common treatment-emergent adverse events (AEs) were nasopharyngitis (n = 69; 7.68
per 100 patient-years) and bronchitis (n = 51; 5.68 per 100 patient-years). At
weeks 74 and 104, 3.5% and 6.2% of patients, respectively, demonstrated reduction
in forced expiratory volume in 1 second, while 2.9% and 3.4% of patients,
respectively, demonstrated reduction in forced vital capacity (>20% reduction
from baseline to <80% predicted). Most pulmonary changes were transient and only
infrequently associated with AEs. Mavrilimumab at 100 mg every other week
demonstrated sustained efficacy; at week 122, 65.0% of patients achieved a
Disease Activity Score in 28 joints using the C-reactive protein level (DAS28
CRP) of <3.2, and 40.6% of patients achieved a DAS28-CRP of <2.6. CONCLUSION:
Long-term treatment with mavrilimumab maintained response and was well-tolerated
with no increased incidence of treatment-emergent AEs. Safety data were
comparable with those from both phase IIb qualifying studies.
PMID- 29361200
TI - Improving Mortality in End-Stage Renal Disease Due to Granulomatosis With
Polyangiitis (Wegener's) From 1995 to 2014: Data From the United States Renal
Data System.
AB - OBJECTIVE: To examine temporal trends in mortality rates in a large nationwide
cohort of patients with end-stage renal disease (ESRD) due to granulomatosis with
polyangiitis (Wegener's) (GPA-ESRD). METHODS: We identified cases of GPA-ESRD
reported in the US Renal Data System between 1995 and 2014, using coding by
nephrologists for the cause of ESRD. The cohort was divided into four 5-year
subcohorts based on the year of onset of ESRD (1995-1999, 2000-2004, 2005-2009,
and 2010-2014) to assess trends in mortality rates and hazard ratios (HRs) for
overall death and cause-specific death, adjusting for potential confounders.
RESULTS: Between 1995 and 2014, there were 5,929 incident cases of GPA-ESRD. The
mortality rate (incidence per 100 patient-years) declined from 19.0 in 1995-1999
to 15.3 in 2010-2014 (P for trend = 0.01). The multivariable-adjusted HR for
mortality in the 2010-2014 cohort was 0.77 (95% confidence interval [95% CI] 0.66
0.90) (P for trend < 0.001 versus the 1995-1999 cohort). The corresponding cause
specific HRs for mortality, after accounting for competing risk, were 0.61 (95%
CI 0.47-0.80) for CVD-related death and 0.42 (95% CI 0.28-0.63) for death due to
infection (P for trend < 0.001). CONCLUSION: In this study of nearly all patients
in whom GPA-ESRD developed in the US over 2 decades, we observed significant
improvements in mortality among GPA-ESRD patients. The number of cause-specific
deaths due to CVD and the number due to infections declined significantly during
the study period. These findings are encouraging and likely reflect improved
management of both GPA and ESRD.
PMID- 29361201
TI - Convergence of nuclear magnetic shieldings and one-bond 1 J(11 B1 H) indirect
spin-spin coupling constants in small boron molecules.
AB - Self-consistent field Hartree-Fock, density functional theory, and coupled
cluster calculations of the nuclear magnetic shielding constants of BH and BH3
molecules have been conducted to characterize the convergence of individual
results obtained with correlation-consistent and polarization-consistent basis
sets. The individual 11 B and 1 H NMR parameters were estimated in the complete
basis set limit and compared with benchmark results. Only the KT3 density
functional accurately reproduced 11 B shielding in BH molecule.
PMID- 29361202
TI - Treatment of Chronic Chikungunya Arthritis With Methotrexate: A Systematic
Review.
AB - OBJECTIVE: Chikungunya virus infection is a rapidly emerging global viral
infection that can cause chronic, debilitating arthritis that in some ways mimics
rheumatoid arthritis. The aim of this study was to evaluate the available
evidence regarding the efficacy and safety of methotrexate (MTX), a therapy that
is widely used in rheumatoid arthritis, for the treatment of chronic chikungunya
arthritis. METHODS: A systematic literature search was performed to identify all
published trials that evaluated MTX as monotherapy or combination therapy in
patients with chronic chikungunya arthritis. PubMed, SciELO, Scopus, and Cochrane
Library databases were searched from study inception to August 2017. We also
searched Google Scholar, the International Clinical Trials Registry Platform
Search Portal, and clinicaltrials.gov. RESULTS: Among 131 possibly relevant
studies, 6 met our criteria for evaluation: 4 were retrospective studies, 1 was a
non-controlled prospective study, and 1 was an unblinded randomized clinical
trial of combination MTX therapy. In the randomized clinical trial, triple
therapy with MTX, hydroxychloroquine, and sulfasalazine was superior to
hydroxychloroquine monotherapy, as assessed by the mean +/- SD Disease Activity
Score in 28 joints using the erythrocyte sedimentation rate (3.39 +/- 0.87 versus
4.74 +/- 0.65; P < 0.0001) and the Health Assessment Questionnaire score (1.14 +/
0.31 versus 1.88 +/- 0.47; P < 0.0001). CONCLUSION: The number of available
studies is limited, but taken together, these studies demonstrate that MTX is
sufficiently efficacious to justify further study of MTX for the treatment of
chronic chikungunya arthritis. The trials lacked rigorous study designs and used
different treatment strategies and outcome measures. This systematic review
underscores the need for randomized, prospective, placebo-controlled studies of
MTX monotherapy for the treatment of chronic chikungunya arthritis.
PMID- 29361203
TI - The Association Between HLA Genetic Susceptibility Markers and Sonographic
Enthesitis in Psoriatic Arthritis.
AB - OBJECTIVE: Enthesitis is an important pathophysiologic component of psoriatic
arthritis (PsA). HLA genes are implicated in the pathogenesis of PsA. Little is
known about the relationship between HLA genetic susceptibility markers and
enthesitis in PsA patients. Our aim was to examine the association between HLA
genetic susceptibility markers and sonographic enthesitis in PsA. METHODS: A
cross-sectional analysis was conducted in patients with PsA. Sonographic
enthesitis was assessed according to the Madrid Sonography Enthesitis Index
scoring system. HLA genotyping was performed using sequence-specific
oligonucleotide probes. The association between 6 HLA susceptibility markers of
PsA and the severity of sonographic enthesitis was assessed using multivariate
regression models adjusted for age, sex, body mass index, and disease duration.
RESULTS: Two hundred twenty-five patients were included, 57.8% of whom were men.
The mean +/- SD age was 56.1 +/- 12.7 years, and the mean +/- SD PsA duration was
16.9 +/- 12.3 years. In the multivariate regression model, HLA-B*27 was
associated with a higher enthesitis score (beta = 4.24 [95% confidence interval
{95% CI} 0.02, 8.46]), and the interaction between HLA-B*27 and PsA duration was
statistically significant, showing an increasing effect of HLA-B*27 with longer
PsA duration (beta = 4.62 [95% CI 1.38, 7.86]). CONCLUSION: HLA-B*27 is
associated with more severe sonographic enthesitis in PsA, particularly in
patients with longer disease duration. This finding highlights the possible role
of genetic variants in predisposing to PsA subphenotypes.
PMID- 29361204
TI - Editorial: Lessons Learned From Chikungunya in the Americas.
PMID- 29361205
TI - Twin DNA Methylation Profiling Reveals Flare-Dependent Interferon Signature and B
Cell Promoter Hypermethylation in Systemic Lupus Erythematosus.
AB - OBJECTIVE: Systemic lupus erythematosus (SLE) has limited monozygotic twin
concordance, implying a role for pathogenic factors other than genetic variation,
such as epigenetic changes. Using the disease-discordant twin model, we
investigated genome-wide DNA methylation changes in sorted CD4+ T cells,
monocytes, granulocytes, and B cells in twin pairs with at least 1 SLE-affected
twin. METHODS: Peripheral blood obtained from 15 SLE-affected twin pairs (6
monozygotic and 9 dizygotic) was processed using density-gradient centrifugation
for the granulocyte fraction. CD4+ T cells, monocytes, and B cells were further
isolated using magnetic beads. Genome-wide DNA methylation was analyzed using
Infinium HumanMethylation450K BeadChips. When comparing probes from SLE-affected
twins and co-twins, differential DNA methylation was considered statistically
significant when the P value was less than 0.01 and biologically relevant when
the median DNA methylation difference was >7%. Findings were validated by
pyrosequencing and replicated in an independent case-control sample. RESULTS: In
paired analyses of twins discordant for SLE restricted to the gene promoter and
start region, we identified 55, 327, 247, and 1,628 genes with differentially
methylated CpGs in CD4+ T cells, monocytes, granulocytes, and B cells,
respectively. All cell types displayed marked hypomethylation in interferon
regulated genes, such as IFI44L, PARP9, and IFITM1, which was more pronounced in
twins who experienced a disease flare within the past 2 years. In contrast to
what was observed in the other cell types, differentially methylated CpGs in B
cells were predominantly hypermethylated, and the most important upstream
regulators included TNF and EP300. CONCLUSION: Hypomethylation of interferon
regulated genes occurs in all major cellular compartments in SLE-affected twins.
The observed B cell promoter hypermethylation is a novel finding with potential
significance in SLE pathogenesis.
PMID- 29361207
TI - The Ratio of Blood T Follicular Regulatory Cells to T Follicular Helper Cells
Marks Ectopic Lymphoid Structure Formation While Activated Follicular Helper T
Cells Indicate Disease Activity in Primary Sjogren's Syndrome.
AB - OBJECTIVE: To investigate whether the balance of blood follicular helper T (Tfh)
cells and T follicular regulatory (Tfr) cells can provide information about
ectopic lymphoid neogenesis and disease activity in primary Sjogren's syndrome
(SS). METHODS: We prospectively recruited 56 patients clinically suspected of
having SS. Sixteen of these patients subsequently fulfilled the American-European
Consensus Group criteria for SS and were compared to 16 patients with non-SS
sicca syndrome. Paired blood and minor salivary gland (MSG) biopsy samples were
analyzed to study Tfr cells and subsets of Tfh cells in both compartments.
RESULTS: Patients with primary SS had normal Tfh cell counts in peripheral blood;
however, activated programmed death 1-positive (PD-1+) inducible costimulator
positive (ICOS+) Tfh cells in peripheral blood were strongly associated with
disease activity assessed by the European League Against Rheumatism Sjogren's
Syndrome Disease Activity Index (r = 0.8547, P = 0.0008). Conversely, the blood
Tfr cell:Tfh cell ratio indicated ectopic lymphoid structure formation in MSGs,
being strongly associated with B cell, CD4+ T cell, and PD-1+ICOS+ T cell
infiltration in MSGs, and was especially increased in patients with focal
sialadenitis. Further analysis showed that the blood Tfr cell:Tfh cell ratio
allowed discrimination between SS patients and healthy donors with excellent
accuracy and was a strong predictor of SS diagnosis (odds ratio [OR] 12.96, P =
0.028) and the presence of focal sialadenitis (OR 10, P = 0.022) in patients
investigated for sicca symptoms, thus highlighting the potential clinical value
of this marker. CONCLUSION: The blood Tfr cell:Tfh cell ratio and PD-1+ICOS+ Tfh
cells constitute potential novel biomarkers for different features of primary SS.
While the blood Tfr cell:Tfh cell ratio is associated with ectopic lymphoid
neogenesis, activated Tfh cells indicate disease activity.
PMID- 29361208
TI - Peroxiredoxin System of Aspergillus nidulans Resists Inactivation by High
Concentration of Hydrogen Peroxide-Mediated Oxidative Stress.
AB - Most eukaryotic peroxiredoxins (Prxs) are readily inactivated by a high
concentration of hydrogen peroxide (H2O2) during catalysis owing to their "GGLG"
and "YF" motifs. However, such oxidative stress sensitive motifs were not found
in the previously identified filamentous fungal Prxs. Additionally, the
information on filamentous fungal Prxs is limited and fragmentary. Herein, we
cloned and gained insight into Aspergillus nidulans Prx (An.PrxA) in the aspects
of protein properties, catalysis characteristics, and especially H2O2
tolerability. Our results indicated that An.PrxA belongs to the newly defined
family of typical 2-Cys Prxs with a marked characteristic that the "resolving"
cysteine (CR) is invertedly located preceding the "peroxidatic" cysteine (CP) in
amino acid sequences. The inverted arrangement of CR and CP can only be found
among some yeast, bacterial, and filamentous fungal deduced Prxs. The most
surprising characteristic of An.PrxA is its extraordinary ability to resist
inactivation by extremely high concentrations of H2O2, even that approaching 600
mM. By screening the H2O2-inactivation effects on the components of Prx systems,
including Trx, Trx reductase (TrxR), and Prx, we ultimately determined that it is
the robust filamentous fungal TrxR rather than Trx and Prx that is responsible
for the extreme H2O2 tolerence of the An.PrxA system. This is the first
investigation on the effect of the electron donor partner in the H2O2
tolerability of the Prx system.
PMID- 29361206
TI - Effects and moderators of psychosocial interventions on quality of life, and
emotional and social function in patients with cancer: An individual patient data
meta-analysis of 22 RCTs.
AB - OBJECTIVE: This individual patient data (IPD) meta-analysis aimed to evaluate the
effects of psychosocial interventions (PSI) on quality of life (QoL), emotional
function (EF), and social function (SF) in patients with cancer, and to study
moderator effects of demographic, clinical, personal, and intervention-related
characteristics. METHODS: Relevant studies were identified via literature
searches in 4 databases. We pooled IPD from 22 (n = 4217) of 61 eligible
randomized controlled trials. Linear mixed-effect model analyses were used to
study intervention effects on the post-intervention values of QoL, EF, and SF (z
scores), adjusting for baseline values, age, and cancer type. We studied
moderator effects by testing interactions with the intervention for demographic,
clinical, personal, and intervention-related characteristics, and conducted
subsequent stratified analyses for significant moderator variables. RESULTS: PSI
significantly improved QoL (beta = 0.14,95%CI = 0.06;0.21), EF (beta = 0.13,95%CI
= 0.05;0.20), and SF (beta = 0.10,95%CI = 0.03;0.18). Significant differences in
effects of different types of PSI were found, with largest effects of
psychotherapy. The effects of coping skills training were moderated by age,
treatment type, and targeted interventions. Effects of psychotherapy on EF may be
moderated by cancer type, but these analyses were based on 2 randomized
controlled trials with small sample sizes of some cancer types. CONCLUSIONS: PSI
significantly improved QoL, EF, and SF, with small overall effects. However, the
effects differed by several demographic, clinical, personal, and intervention
related characteristics. Our study highlights the beneficial effects of coping
skills training in patients treated with chemotherapy, the importance of targeted
interventions, and the need of developing interventions tailored to the specific
needs of elderly patients.
PMID- 29361209
TI - Delivery of Chicken Egg Ovalbumin to Dendritic Cells by Listeriolysin O-Secreting
Vegetative Bacillus subtilis.
AB - Listeriolysin O (LLO), one of the most immunogenic proteins of Listeria
monocytogenes and its main virulence factor, mediates bacterial escape from the
phagosome of the infected cell. Thus, its expression in a nonpathogenic bacterial
host may enable effective delivery of heterologous antigens to the host cell
cytosol and lead to their processing predominantly through the cytosolic MHC
class I presentation pathway. The aim of this project was to characterize the
delivery of a model antigen, chicken egg ovalbumin (OVA), to the cytosol of
dendritic cells by recombinant Bacillus subtilis vegetative cells expressing LLO.
Our work indicated that LLO produced by non-sporulating vegetative bacteria was
able to support OVA epitope presentation by MHC I molecules on the surface of
antigen presenting cells and consequently influence OVA-specific cytotoxic T cell
activation. Additionally, it was proven that the genetic context of the epitope
sequence is of great importance, as only the native full-sequence OVA fused to
the N-terminal fragment of LLO was sufficient for effective epitope delivery and
activation of CD8+ lymphocytes. These results demonstrate the necessity for
further verification of the fusion antigen potency of enhancing the MHC I
presentation, and they prove that LLO-producing B. subtilis may represent a novel
and attractive candidate for a vaccine vector.
PMID- 29361210
TI - Preparation and Antioxidant Activities In Vitro of a Designed Antioxidant Peptide
from Pinctada fucata by Recombinant Escherichia coli.
AB - An antioxidant peptide derived from Pinctada fucata meat using an Alcalase2.4L
enzymatic hydrolysis method (named AOP) and identified by LC-TOF-MS has promising
clinical potential for generating cosmetic products that protect skin from
sunshine. To date, there have been few published studies investigating the
structure-activity relationship in these peptides. To prepare antioxidant
peptides better and improve their stability, the design and expression of an
antioxidant peptide from Pinctada fucata (named DSAOP) was studied. The peptide
contains a common precursor of an expression vector containing an alpha-helix
tandemly linked according to the BamHI restriction sites. The DNA fragments
encoding DSAOP were synthesized and subcloned into the expression vector pET-30a
(+), and the peptide was expressed mostly as soluble protein in recombinant
Escherichia coli. Meanwhile, the DPPH radical scavenging activity, superoxide
radical scavenging activity, and hydroxyl radical scavenging activity of DSAOP
IC50 values were 0.136 +/- 0.006, 0.625 +/- 0.025, and 0.306 +/- 0.015 mg/ml,
respectively, with 2-fold higher DPPH radical scavenging activity compared with
chemosynthesized AOP (p < 0.05), as well as higher superoxide radical scavenging
activity compared with natural AOP (p < 0.05). This preparation method was at the
international advanced level. Furthermore, pilot-scale production results showed
that DSAOP was expressed successfully in fermenter cultures, which indicated that
the design strategy and expression methods would be useful for obtaining
substantial amounts of stable peptides at low costs. These results showed that
DSAOP produced with recombinant Escherichia coli could be useful in cosmetic skin
care products, health foods, and pharmaceuticals.
PMID- 29361211
TI - Bespoke Pretargeted Nanoradioimmunotherapy for the Treatment of Non-Hodgkin
Lymphoma.
AB - Non-Hodgkin lymphoma (NHL) is one of the most common types of hematologic
malignancies. Pretargeted radioimmunotherapy (PRIT), the sequential
administration of a bispecific antibody-based primary tumor-targeting component
followed by a radionucleotide-labeled treatment effector, has been developed to
improve the treatment efficacy and to reduce the side effects of conventional
RIT. Despite the preclinical success of PRIT, clinical trials revealed that the
immunogenicity of the bispecific antibody as well as the presence of competing
endogenous effector molecules often compromised the treatment. One strategy to
improve PRIT is to utilize bio-orthogonal ligation reactions to minimize
immunogenicity and improve targeting. Herein, we report a translatable
pretargeted nanoradioimmunotherapy strategy for the treatment of NHL. This
pretargeting system is composed of a dibenzylcyclooctyne (DBCO)-functionalized
anti-CD20 antibody (alpha-CD20) tumor-targeting component and an azide- and
yttrium-90-(90Y) dual-functionalized dendrimer. The physicochemical properties of
both pretargeting components have been extensively studied. We demonstrated that
an optimized dual-functionalized dendrimer can undergo rapid strain-promoted
azide-alkyne cycloaddition with the DBCO-functionalized alpha-CD20 at the
physiological conditions. The treatment effector in our pretargeting system can
not only selectively deliver radionucleotides to the target tumor cells but also
increase the complement-dependent cytotoxicity of alpha-CD20 and thus enhance the
antitumor effects, as justified by comprehensive in vitro and in vivo studies in
mouse NHL xenograft and disseminated models.
PMID- 29361212
TI - Biomimetic Optical Cellulose Nanocrystal Films with Controllable Iridescent Color
and Environmental Stimuli-Responsive Chromism.
AB - As a wise and profound teacher, nature provides numerous creatures with rich
colors to us. To biomimic structural colors in nature as well as color changes
responsive to environmental stimuli, there is a long way to go for the
development of free-standing photonic films from natural polymers. Herein, a
highly flexible, controllably iridescent, and multistimuli-responsive cellulose
nanocrystal (CNC) film is prepared by simply introducing a small molecule as both
plasticizer and hygroscopic agent. The presence of the additive does not block
the self-assembly of CNC in aqueous solution but results in the enhancement of
its mechanical toughness, making it possible to obtain free-standing iridescent
CNC films with tunable structural colors. In response to environmental humidity
and mechanical compression, such films can change structural colors smoothly by
modulating their chiral nematic structures. Notably, the chromism is reversible
by alternately changing relative humidity between 16 and 98%, mimicking the
longhorn beetle Tmesisternus isabellae. This chromic effect enables various
applications of the biofilms in colorimetric sensors, anticounterfeiting
technology, and decorative coatings.
PMID- 29361213
TI - Matrix Metalloproteinase 9 Displays a Particular Time Response to Acute Stress:
Variation in Its Levels and Activity Distribution in Rat Hippocampus.
AB - A single stress exposure facilitates memory formation through neuroplastic
processes that reshape excitatory synapses in the hippocampus, probably requiring
changes in extracellular matrix components. We tested the hypothesis that matrix
metalloproteinase 9 (MMP-9), an enzyme that degrades components of extracellular
matrix and synaptic proteins such as beta-dystroglycan (beta-DG43), changes their
activity and distribution in rat hippocampus during the acute stress response.
After 2.5 h of restraint stress, we found (i) increased MMP-9 levels and
potential activity in whole hippocampal extracts, accompanied by beta-DG43
cleavage, and (ii) a significant enhancement of MMP-9 immunoreactivity in
dendritic fields such as stratum radiatum and the molecular layer of hippocampus.
After 24 h of stress, we found that (i) MMP-9 net activity rises at somatic
field, i.e., stratum pyramidale and granule cell layers, and also at synaptic
field, mainly stratum radiatum and the molecular layer of hippocampus, and (ii)
hippocampal synaptoneurosome fractions are enriched with MMP-9, without variation
of its potential enzymatic activity, in accordance with the constant level of
cleaved beta-DG43. These findings indicate that stress triggers a peculiar timing
response in the MMP-9 levels, net activity, and subcellular distribution in the
hippocampus, suggesting its involvement in the processing of substrates during
the stress response.
PMID- 29361214
TI - Molecular Simulations of the Hydration Behavior of a Zwitterion Brush Array and
Its Antifouling Property in an Aqueous Environment.
AB - We carried out umbrella sampling and molecular dynamics (MD) simulations to
investigate molecular interactions between sulfobetaine zwitterions or between
sulfobetaine brushes in different media. Simulation results show that it is more
energetically favorable for the two sulfobetaine zwitterions or brushes to be
fully hydrated in aqueous solutions than in vacuum where strong ion pairs are
formed. Structural properties of the hydrated sulfobetaine brush array and its
antifouling behavior against a foulant gel are subsequently studied through
steered MD simulations. We find that sulfobetaine brush arrays with different
grafting densities have different structures and antifouling mechanisms. At a
comparably higher grafting density, the sulfobetaine brush array exhibits a more
organized structure which can hold a tightly bound hydration water layer at the
interface. Compression of this hydration layer results in a strong repulsive
force. However, at a comparably lower grafting density, the brush array exhibits
a randomly oriented structure in which the antifouling of the brush array is
through the deformation of the sulfobetaine branches.
PMID- 29361215
TI - ATPbind: Accurate Protein-ATP Binding Site Prediction by Combining Sequence
Profiling and Structure-Based Comparisons.
AB - Protein-ATP interactions are ubiquitous in a wide variety of biological
processes. Correctly locating ATP binding sites from protein information is an
important but challenging task for protein function annotation and drug
discovery. However, there is no method that can optimally identify ATP binding
sites for different proteins. In this study, we report a new composite predictor,
ATPbind, for ATP binding sites by integrating the outputs of two template-based
predictors (i.e., S-SITE and TM-SITE) and three discriminative sequence-driven
features of proteins: position specific scoring matrix, predicted secondary
structure, and predicted solvent accessibility. In ATPbind, we assembled multiple
support vector machines (SVMs) based on a random undersampling technique to cope
with the serious imbalance phenomenon between the numbers of ATP binding sites
and of non-ATP binding sites. We also constructed a new gold-standard benchmark
data set consisting of 429 ATP binding proteins from the PDB database to evaluate
and compare the proposed ATPbind with other existing predictors. Starting from a
query sequence and predicted I-TASSER models, ATPbind can achieve an average
accuracy of 72%, covering 62% of all ATP binding sites while achieving a Matthews
correlation coefficient value that is significantly higher than that of other
state-of-the-art predictors.
PMID- 29361216
TI - Medical Imaging for the Tracking of Micromotors.
AB - Micro/nanomotors are useful tools for several biomedical applications, including
targeted drug delivery and minimally invasive microsurgeries. However, major
challenges such as in vivo imaging need to be addressed before they can be safely
applied on a living body. Here, we show that positron emission tomography (PET),
a molecular imaging technique widely used in medical imaging, can also be used to
track a large population of tubular Au/PEDOT/Pt micromotors. Chemisorption of an
iodine isotope onto the micromotor's Au surface rendered them detectable by PET,
and we could track their movements in a tubular phantom over time frames of up to
15 min. In a second set of experiments, micromotors and the bubbles released
during self-propulsion were optically tracked by video imaging and bright-field
microscopy. The results from direct optical tracking agreed with those from PET
tracking, demonstrating that PET is a suitable technique for the imaging of large
populations of active micromotors in opaque environments, thus opening
opportunities for the use of this mature imaging technology for the in vivo
localization of artificial swimmers.
PMID- 29361217
TI - Progress in the Development of Preventative Drugs for Cisplatin-Induced Hearing
Loss.
AB - Cisplatin is a highly effective treatment for malignant cancers and has become a
cornerstone in chemotherapeutic regimens. Unfortunately, its use in the clinic is
often coupled with a high incidence of severe hearing loss. Over the past few
decades, enormous effort has been put forth to find protective agents that
selectively protect against the ototoxic side effects of cisplatin and do not
interfere with its antitumoral activity. Many therapies have been successful in
preclinical work, but only a few have shown any protection in the clinic, and
none have been approved by the FDA. This review summarizes the clinical and
preclinical studies of the most effective small-molecule candidates currently in
clinical trials, while also detailing their molecular mechanisms of action, to
gain insight for future drug development in the field.
PMID- 29361218
TI - Single Site Fluorination of the GM4 Ganglioside Epitope Upregulates
Oligodendrocyte Differentiation.
AB - Relapsing multiple sclerosis is synonymous with demyelination, and thus,
suppressing and or reversing this process is of paramount clinical significance.
While insulating myelin sheath has a large lipid composition (ca. 70-80%), it
also has a characteristically large composition of the sialosylgalactosylceramide
gangliosde GM4 present. In this study, the effect of the carbohydrate epitope on
oligodendrocyte differentiation is determined. While the native epitope had no
impact on oligodendroglial cell viability, a single site OH -> F substitution is
the structural basis of a significant increase in ATP production that is optimal
at 50 MUg/mL. From a translational perspective, this subtle change increases the
amount of MBP+ oligodendrocytes compared to the control studies and may open up
novel therapeutic remyelination strategies.
PMID- 29361219
TI - Accessibility of Protein-Bound Chlorophylls Probed by Dynamic Electron
Polarization.
AB - The possibility to probe the accessibility of sites of proteins represents an
important point to explore their interactions with specific substrates in
solution. The dynamic electron polarization of nitroxide radicals induced by
excited triplet states of organic molecules is a phenomenon that is known to
occur in aqueous solutions. The interaction within the radical-triplet pair
causes a net emissive dynamic electron polarization of the nitroxide radical,
that can be detected by means of time-resolved electron paramagnetic resonance
(TR-EPR) spectroscopy. We have exploited this effect to prove the accessibility
of chlorophylls bound to a protein, namely, the water-soluble chlorophyll protein
WSCP. The results have important implications for topological studies in
macromolecules.
PMID- 29361221
TI - Design Rules for Graphene and Carbon Nanotube Solvents and Dispersants.
AB - The constantly widening industrial applications of carbon-based nanomaterials
puts into sharp perspective the lack of true solvents in which the materials
spontaneously exfoliate to individual molecules. This work shows that the
different geometry of graphene compared to that of carbon nanotubes can change
the potency of a molecule to act as a solvent or dispersant. Through analysis of
the structure/function relationships, we derive a number of design rules that
will aid the identification of the best solvent or dispersant candidates.
PMID- 29361220
TI - Tundrenone: An Atypical Secondary Metabolite from Bacteria with Highly Restricted
Primary Metabolism.
AB - Methane-oxidizing bacteria, aerobes that utilize methane as their sole carbon and
energy source, are being increasingly studied for their environmentally
significant ability to remove methane from the atmosphere. Their genomes indicate
that they also have a robust and unusual secondary metabolism. Bioinformatic
analysis of the Methylobacter tundripaludum genome identified biosynthetic gene
clusters for several intriguing metabolites, and this report discloses the
structural and genetic characterization of tundrenone, one of these metabolites.
Tundrenone is a highly oxidized metabolite that incorporates both a modified
bicyclic chorismate-derived fragment and a modified lipid tail bearing a
beta,gamma-unsaturated alpha-hydroxy ketone. Tundrenone has been genetically
linked to its biosynthetic gene cluster, and quorum sensing activates its
production. M. tundripaludum's genome and tundrenone's discovery support the idea
that additional studies of methane-oxidizing bacteria will reveal new naturally
occurring molecular scaffolds and the biosynthetic pathways that produce them.
PMID- 29361222
TI - Conformational Change-Induced Fluorescence of Bovine Serum Albumin-Gold
Complexes.
AB - We report new findings on the red fluorescent (lambdaem = 640 nm) bovine serum
albumin (BSA)-gold (Au) compound initially described by Xie et al. (J. Am. Chem.
Soc. 2009, 131, 888-889) as Au25 nanoclusters. The BSA-Au compounds were further
reducible to yield nanoparticles, suggesting that these compounds were BSA
cationic Au complexes. We examined the correlations between BSA conformations (pH
induced as well as denatured) and the resulting fluorescence of BSA-Au complexes,
to understand the possible cationic Au binding sites. The red fluorescence of the
BSA-Au complex was associated with a particular isoform of BSA, the aged form (pH
> 10) of the five pH-dependent BSA conformations, while the other conformations,
expanded (pH < 2.7), fast (2.7 < pH < 4.3), normal (4.3 < pH < 8), and basic (8 <
pH < 10) did not result in red fluorescence. There could be internal energy
transfer mechanisms to produce red fluorescence, deduced from excitation-emission
map measurements. The ensemble minimum number of Au(III) per BSA to yield red
fluorescence was <7. We illustrate the presence of multiple specific Au binding
sites in BSA, and present an interpretation of the fluorescence of the BSA-Au
complex, alternative to a single-site nucleation of a neutral Au25 nanocluster.
PMID- 29361223
TI - Phonon Driven Floquet Matter.
AB - The effect of electron-phonon coupling in materials can be interpreted as a
dressing of the electronic structure by the lattice vibration, leading to
vibrational replicas and hybridization of electronic states. In solids, a
resonantly excited coherent phonon leads to a periodic oscillation of the atomic
lattice in a crystal structure bringing the material into a nonequilibrium
electronic configuration. Periodically oscillating quantum systems can be
understood in terms of Floquet theory, which has a long tradition in the study of
semiclassical light-matter interaction. Here, we show that the concepts of
Floquet analysis can be applied to coherent lattice vibrations. This coupling
leads to phonon-dressed quasi-particles imprinting specific signatures in the
spectrum of the electronic structure. Such dressed electronic states can be
detected by time- and angular-resolved photoelectron spectroscopy (ARPES)
manifesting as sidebands to the equilibrium band structure. Taking graphene as a
paradigmatic material with strong electron-phonon interaction and nontrivial
topology, we show how the phonon-dressed states display an intricate sideband
structure revealing the electron-phonon coupling at the Brillouin zone center and
topological ordering of the Dirac bands. We demonstrate that if time-reversal
symmetry is broken by the coherent lattice perturbations a topological phase
transition can be induced. This work establishes that the recently demonstrated
concept of light-induced nonequilibrium Floquet phases can also be applied when
using coherent phonon modes for the dynamical control of material properties.
PMID- 29361224
TI - Carbon Nanosheets Containing Discrete Co-Nx-By-C Active Sites for Efficient
Oxygen Electrocatalysis and Rechargeable Zn-Air Batteries.
AB - Structural and compositional engineering of atomic-scaled metal-N-C catalysts is
important yet challenging in boosting their performance for the oxygen reduction
reaction (ORR) and oxygen evolution reaction (OER). Here, boron (B)-doped Co-N-C
active sites confined in hierarchical porous carbon sheets (denoted as Co-N,B
CSs) were obtained by a soft template self-assembly pyrolysis method.
Significantly, the introduced B element gives an electron-deficient site that can
activate the electron transfer around the Co-N-C sites, strengthen the
interaction with oxygenated species, and thus accelerate reaction kinetics in the
4e- processed ORR and OER. As a result, the catalyst showed Pt-like ORR
performance with a half-wave potential (E1/2) of 0.83 V versus (vs) RHE, a
limiting current density of about 5.66 mA cm-2, and higher durability (almost no
decay after 5000 cycles) than Pt/C catalysts. Moreover, a rechargeable Zn-air
battery device comprising this Co-N,B-CSs catalyst shows superior performance
with an open-circuit potential of ~1.4 V, a peak power density of ~100.4 mW cm-2,
as well as excellent durability (128 cycles for 14 h of operation). DFT
calculations further demonstrated that the coupling of Co-Nx active sites with B
atoms prefers to adsorb an O2 molecule in side-on mode and accelerates ORR
kinetics.
PMID- 29361225
TI - Supramolecular Assembly of Photosystem II and Adenosine Triphosphate Synthase in
Artificially Designed Honeycomb Multilayers for Photophosphorylation.
AB - Plant thylakoids have a typical stacking structure, which is the site of
photosynthesis, including light-harvesting, water-splitting, and adenosine
triphosphate (ATP) production. This stacking structure plays a key role in
exchange of substances with extremely high efficiency and minimum energy
consumption through photosynthesis. Herein we report an artificially designed
honeycomb multilayer for photophosphorylation. To mimic the natural thylakoid
stacking structure, the multilayered photosystem II (PSII)-ATP synthase-liposome
system is fabricated via layer-by-layer (LbL) assembly, allowing the three
dimensional distributions of PSII and ATP synthase. Under light illumination,
PSII splits water into protons and generates a proton gradient for ATP synthase
to produce ATP. Moreover, it is found that the ATP production is extremely
associated with the numbers of PSII layers. With such a multilayer structure
assembled via LbL, one can better understand the mechanism of PSII and ATP
synthase integrated in one system, mimicking the photosynthetic grana structure.
On the other hand, such an assembled system can be considered to improve the
photophosphorylation.
PMID- 29361226
TI - Microstructure, Magnetic, and Magnetoresistance Properties of La0.7Sr0.3MnO3:CuO
Nanocomposite Thin Films.
AB - (La0.7Sr0.3MnO3)0.67:(CuO)0.33 (LSMO:CuO) nanocomposite thin films were deposited
on SrTiO3 (001), LaAlO3 (001), and MgO (001) substrates by pulsed laser
deposition, and their microstructure as well as magnetic and magnetoresistance
properties were investigated. X-ray diffraction (XRD) and transmission electron
microscopy (TEM) results show that LSMO:CuO films grow as highly textured self
assembled vertically aligned nanocomposite (VAN), with a systematic domain
structure and strain tuning effect based on the substrate type and laser
deposition frequency. A record high low-field magnetoresistance (LFMR) value of
~80% has been achieved in LSMO:CuO grown on LaAlO3 (001) substrate under high
frequency. Detailed analysis indicates that both the strain state and the phase
boundary effect play a significant role in governing the overall LFMR behavior.
PMID- 29361227
TI - Enhanced Adsorption of a Protein-Nanocarrier Complex onto Cell Membranes through
a High Freeze Concentration by a Polyampholyte Cryoprotectant.
AB - The transportation of biomolecules into cells is of great importance in tissue
engineering and as stimulation for antitumor immune cells. Previous freezing
strategies at ultracold temperatures (-80 degrees C) used for intracellular
transportation exhibit certain limitations such as extended time requirements and
harsh delivery system conditions. Thus, the need remains to develop simplified
methods for safe nanomaterial delivery. Here, we demonstrated a unique strategy
based on the ice-crystallization-induced freeze concentration for protein
intracellular delivery in combination with a polyampholyte cryoprotectant. We
found that upon sustained lowering of the temperature from -6 to -20 degrees C
over a short duration, the adsorption of proteins onto the peripheral cell
membrane was markedly increased through the facile ice-crystallization-induced
freeze concentration. Furthermore, we proposed a freeze concentration factor
(alpha) that depends on the freezing-point depression and is estimated from an
analysis of the fraction of frozen water. Notably, the alpha values of the
polyampholyte cryoprotectant were 8-fold higher than those of the currently used
cryoprotectant dimethyl sulfoxide (DMSO) at particular temperatures of interest.
Our results illustrate that the presence of a polyampholyte cryoprotectant
significantly enhanced the adsorption of the protein/nanocarrier complex onto
membranes compared to that obtained with DMSO because of the high freeze
concentration. The present study demonstrated the direct relationship between
freezing and the penetration of proteins across the periphery of the cell
membrane by means of increased concentration during freezing. These results may
be useful in providing a guideline for the intracellular delivery of
biomacromolecules using ice-crystallization-induced continuous freezing combined
with polyampholyte cryoprotectants.
PMID- 29361228
TI - A DNA Tracer System for Hydrological Environment Investigations.
AB - To monitor and manage hydrological pollution effectively, tracing sources of
pollutants is of great importance and also is in urgent need. A variety of
tracers have been developed such as isotopes, silica, bromide, and dyes; however,
practical limitations of these traditional tracers still exist such as lack of
multiplexed, multipoint tracing and interference of background noise. To overcome
these limitations, a new tracing system based on DNA nanomaterials, namely DNA
tracer, has already been developed. DNA tracers possess remarkable advantages
including sufficient species, specificity, environmental friendly, stable
migration, and high sensitivity as well as allowing for multipoints tracing. In
this review article, we introduce the molecular design, synthesis, protection and
signal readout strategies of DNA tracers, compare the advantages and
disadvantages of DNA tracer with traditional tracers, and summarize the-state-of
art applications in hydrological environment investigations. In the end, we
provide our perspective on the future development of DNA tracers.
PMID- 29361229
TI - Large Enhancement of Thermal Conductivity and Lorenz Number in Topological
Insulator Thin Films.
AB - Topological insulators (TI) have attracted extensive research effort due to their
insulating bulk states but conducting surface states. However, investigation and
understanding of thermal transport in topological insulators, particularly the
effect of surface states, are lacking. In this work, we studied thickness
dependent in-plane thermal and electrical conductivity of Bi2Te2Se TI thin films.
A large enhancement in both thermal and electrical conductivity was observed for
films with thicknesses below 20 nm, which is attributed to the surface states and
bulk-insulating nature of these films. Moreover, a surface Lorenz number much
larger than the Sommerfeld value was found. Systematic transport measurements
indicated that the Fermi surface is located near the charge neutrality point
(CNP) when the film thickness is below 20 nm. Possible reasons for the large
Lorenz number include electrical and thermal current decoupling in the surface
state Dirac fluid, and bipolar diffusion transport. A simple computational model
indicates that the surface states and bipolar diffusion indeed can lead to
enhanced electrical and thermal transport and a large Lorenz number.
PMID- 29361230
TI - CDNs-STING Interaction Mechanism Investigations and Instructions on Design of CDN
Derivatives.
AB - Cyclic dinucleotides (CDNs) present thousand-fold differences of dissociation
constants to STING, a pivotal protein in cytosolic dsDNA immunity. To understand
how subtle chemical changes in CDNs lead to these substantial variances, a
precise ranking of binding affinity is needed. However, the large size and
flexibility of CDNs elevate the entropic effect and pose a challenge for this
precise prediction. Therefore, in this paper, we developed a new protocol, a
combination of selective-integrated tempering sampling of ligands and molecular
docking, to take into account the entropic effects originating from extensive
ligand configurational space and solvation on binding affinity evaluations. The
calculated ranking orders of CDNs and CDN-derivatives to wild type STING and
R232H mutant are in agreement with experimental measurements. Further molecular
dynamics analysis revealed that the interaction between phosphonate groups and
232R differentiates the binding affinities. The 2'-5' linked phosphonate groups
have a larger tendency to form hydrogen bonds with 232R than those with 3'-5'
linkages. Moreover, the new protocol identified structural features that enhanced
CDNs-STING binding, such as anti-glycosidic bonds and large pro-R distances,
which explains the high binding affinity of dithio-RpRp-2'3'-CDA to STING and is
expected to provide valuable guidance in the lead-drug optimization.
PMID- 29361231
TI - Hinge-Shift Mechanism Modulates Allosteric Regulations in Human Pin1.
AB - Allostery, which is regulation from distant sites, plays a major role in biology.
While traditional allostery is described in terms of conformational change upon
ligand binding as an underlying principle, it is possible to have allosteric
regulations without significant conformational change through modulating the
conformational dynamics by altering the local effective elastic modulus of the
protein upon ligand binding. Pin1 utilizes this dynamic allostery to regulate its
function. It is a modular protein containing a WW domain and a larger peptidyl
prolyl isomerase domain (PPIase) that isomerizes phosphoserine/threonine-proline
(pS/TP) motifs. The WW domain serves as a docking module, whereas catalysis
solely takes place within the PPIase domain. Here, we analyze the change in the
dynamic flexibility profile of the PPIase domain upon ligand binding to the WW
domain. Substrate binding to the WW domain induces the formation of a new rigid
hinge site around the interface of the two domains and loosens the flexibility of
a rigid site existing in the Apo form around the catalytic site. This hinge-shift
mechanism enhances the dynamic coupling of the catalytic positions with the
PPIase domain, where the rest of the domain can cooperatively respond to the
local conformational changes around the catalytic site, leading to an increase in
catalytic efficiency.
PMID- 29361232
TI - Flaw-Containing Alumina Hollow Nanostructures Have Ultrahigh Fracture Strength To
Be Incorporated into High-Efficiency GaN Light-Emitting Diodes.
AB - In the present study, we found that alpha-alumina hollow nanoshell structure can
exhibit an ultrahigh fracture strength even though it contains a significant
number of nanopores. By systematically performing in situ mechanical testing and
finite element simulations, we could measure that the fracture strength of an
alpha-alumina hollow nanoshell structure is about four times higher than that of
the conventional bulk size alpha-alumina. The high fracture strength of the alpha
alumina hollow nanoshell structure can be explained in terms of conventional
fracture mechanics, in that the position and size of the nanopores are the most
critical factors determining the fracture strength, even at the nanoscales. More
importantly, by deriving a fundamental understanding, we would be able to provide
guidelines for the design of reliable ceramic nanostructures for advanced GaN
light-emitting diodes (LEDs). To that end, we demonstrated how our ultrastrong
alpha-alumina hollow nanoshell structures could be successfully incorporated into
GaN LEDs, thereby greatly improving the luminous efficiency and output power of
the LEDs by 2.2 times higher than that of conventional GaN LEDs.
PMID- 29361233
TI - Manipulating the Architecture of Atomically Thin Transition Metal (Hydr)oxides
for Enhanced Oxygen Evolution Catalysis.
AB - Graphene-like nanomaterials have received tremendous research interest due to
their atomic thickness and fascinating properties. Previous studies mainly focus
on the modulation of their electronic structures, which undoubtedly optimizes the
electronic properties, but is not the only determinant of performance in
practical applications. Herein, we propose a generalized strategy to
incrementally manipulate the architectures of several atomically thin transition
metal (hydr)oxides, and study their effects on catalytic water oxidation. The
results demonstrate the obvious superiority of a wrinkled nanosheet architecture
in both catalytic activity and durability. For instance, wrinkled Ni(OH)2
nanosheets display a low overpotential of 358.2 mV at 10 mA cm-2, a high current
density of 187.2 mA cm-2 at 500 mV, a small Tafel slope of 54.4 mV dec-1, and
excellent long-term durability with gradually optimized performance,
significantly outperforming other nanosheet architectures and previously reported
catalysts. The outstanding catalytic performance is mainly attributable to the 3D
porous network structure constructed by wrinkled nanosheets, which not only
provides sufficient contact between electrode materials and current collector,
but also offers highly accessible channels for facile electrolyte diffusion and
efficient O2 escape. Our study provides a perspective on improving the
performance of graphene-like nanomaterials in a wide range of practical
applications.
PMID- 29361234
TI - Direct Synthesis of Hyperdoped Germanium Nanowires.
AB - A low-temperature chemical vapor growth of Ge nanowires using Ga as seed material
is demonstrated. The structural and chemical analysis reveals the homogeneous
incorporation of ~3.5 at. % Ga in the Ge nanowires. The Ga-containing Ge
nanowires behave like metallic conductors with a resistivity of about ~300
MUOmegacm due to Ga hyperdoping with electronic contributions of one-third of the
incorporated Ga atoms. This is the highest conduction value observed by in situ
doping of group IV nanowires reported to date. This work demonstrates that Ga is
both an efficient seed material at low temperatures for Ge nanowire growth and an
effective dopant changing the semiconductor into a metal-like conductor.
PMID- 29361235
TI - Intrapleural Fibrinolytic Therapy for Empyema and Pleural Loculation: Knowns and
Unknowns.
PMID- 29361237
TI - How Long Should We Be Vigilant after a Hospitalization for a Chronic Obstructive
Pulmonary Disease Exacerbation?
PMID- 29361236
TI - beta-Arrestin-2-Dependent Signaling Promotes CCR4-mediated Chemotaxis of Murine T
Helper Type 2 Cells.
AB - Allergic asthma is a complex inflammatory disease that leads to significant
healthcare costs and reduction in quality of life. Although many cell types are
implicated in the pathogenesis of asthma, CD4+ T-helper cell type 2 (Th2) cells
are centrally involved. We previously reported that the asthma phenotype is
virtually absent in ovalbumin-sensitized and -challenged mice that lack global
expression of beta-arrestin (beta-arr)-2 and that CD4+ T cells from these mice
displayed significantly reduced CCL22-mediated chemotaxis. Because CCL22-mediated
activation of CCR4 plays a role in Th2 cell regulation in asthmatic inflammation,
we hypothesized that CCR4-mediated migration of CD4+ Th2 cells to the lung in
asthma may use beta-arr-dependent signaling. To test this hypothesis, we assessed
the effect of various signaling inhibitors on CCL22-induced chemotaxis using in
vitro-polarized primary CD4+ Th2 cells from beta-arr2-knockout and wild-type
mice. Our results show, for the first time, that CCL22-induced, CCR4-mediated Th2
cell chemotaxis is dependent, in part, on a beta-arr2-dependent signaling
pathway. In addition, we show that this chemotactic signaling mechanism involves
activation of P-p38 and Rho-associated protein kinase. These findings point to a
proinflammatory role for beta-arr2-dependent signaling and support beta-arr2 as a
novel therapeutic target in asthma.
PMID- 29361238
TI - Digital Image Analyses on Whole-Lung Slides in Mouse Models of Acute Pneumonia.
AB - Descriptive histopathology of mouse models of pneumonia is essential in assessing
the outcome of infections, molecular manipulations, or therapies in the context
of whole lungs. Quantitative comparisons between experimental groups, however,
have been limited to laborious stereology or ill-defined scoring systems that
depend on the subjectivity of a more or less experienced observer. Here, we
introduce self-learning digital image analyses that allow us to transform optical
information from whole mouse lung sections into statistically testable data. A
pattern-recognition-based software and a nuclear count algorithm were adopted to
quantify user-defined pathologies from whole slide scans of lungs infected with
Streptococcus pneumoniae or influenza A virus compared with PBS-challenged lungs.
The readout parameters "relative area affected" and "nuclear counts per area" are
proposed as relevant criteria for the quantification of lesions from hematoxylin
and eosin-stained sections, also allowing for the generation of a heat map of,
for example, immune cell infiltrates with anatomical assignments across entire
lung sections. Moreover, when combined with immunohistochemical labeling of
marker proteins, both approaches are useful for the identification and counting
of, for example, immune cell populations, as validated here by direct comparisons
with flow cytometry data. The solutions can easily and flexibly be adjusted to
specificities of different models or pathogens. Automated digital analyses of
whole mouse lung sections may set a new standard for the user-defined, high
throughput comparative quantification of histological and immunohistochemical
images. Still, our algorithms established here are only a start, and need to be
tested in additional studies and other applications in the future.
PMID- 29361240
TI - Hurricane Maria: A Preventable Humanitarian and Health Care Crisis Unveiling the
Puerto Rican Dilemma.
PMID- 29361239
TI - New Method for Quantitation of Lipid Droplet Volume From Light Microscopic Images
With an Application to Determination of PAT Protein Density on the Droplet
Surface.
AB - Determination of lipid droplet (LD) volume has depended on direct measurement of
the diameter of individual LDs, which is not possible when LDs are small or
closely apposed. To overcome this problem, we describe a new method in which a
volume-fluorescence relationship is determined from automated analysis of
calibration samples containing well-resolved LDs. This relationship is then used
to estimate total cellular droplet volume in experimental samples, where the LDs
need not be individually resolved, or to determine the volumes of individual LDs.
We describe quantitatively the effects of various factors, including image noise,
LD crowding, and variation in LD composition on the accuracy of this method. We
then demonstrate this method by utilizing it to address a scientifically
interesting question, to determine the density of green fluorescent protein (GFP)
tagged Perilipin-Adipocyte-Tail (PAT) proteins on the LD surface. We find that
PAT proteins cover only a minority of the LD surface, consistent with models in
which they primarily serve as scaffolds for binding of regulatory proteins and
enzymes, but inconsistent with models in which their major function is to
sterically block access to the droplet surface.
PMID- 29361241
TI - The SAGE Model of Social Psychological Research.
AB - We propose a SAGE model for social psychological research. Encapsulated in our
acronym is a proposal to have a synthetic approach to social psychological
research, in which qualitative methods are augmentative to quantitative ones,
qualitative methods can be generative of new experimental hypotheses, and
qualitative methods can capture experiences that evade experimental reductionism.
We remind social psychological researchers that psychology was founded in
multiple methods of investigation at multiple levels of analysis. We discuss
historical examples and our own research as contemporary examples of how a SAGE
model can operate in part or as an integrated whole. The implications of our
model are discussed.
PMID- 29361242
TI - MR Imaging-based Estimation of Upper Motor Neuron Density in Patients with
Amyotrophic Lateral Sclerosis: A Feasibility Study.
AB - Purpose To determine if magnetic resonance (MR) imaging metrics can estimate
primary motor cortex (PMC) motor neuron (MN) density in patients with amyotrophic
lateral sclerosis (ALS). Materials and Methods Between 2012 and 2014, in situ
brain MR imaging was performed in 11 patients with ALS (age range, 35-81 years;
seven women and four men) soon after death (mean, 5.5 hours after death; range,
3.2-9.6 hours). The brain was removed, right PMC (RPMC) was excised, and MN
density was quantified. RPMC metrics (thickness, volume, and magnetization
transfer ratio) were calculated from MR images. Regression modeling was used to
estimate MN density by using RPMC and global MR imaging metrics (brain and tissue
volumes); clinical variables were subsequently evaluated as additional
estimators. Models were tested at in vivo MR imaging by using the same imaging
protocol (six patients with ALS; age range, 54-66 years; three women and three
men). Results RPMC mean MN density varied over a greater than threefold range
across patients and was estimated by a linear function of normalized gray matter
volume (adjusted R2 = 0.51; P = .008; <10% error in most patients). When
considering only sporadic ALS, a linear function of normalized RPMC and white
matter volumes estimated MN density (adjusted R2 = 0.98; P = .01; <10% error in
all patients). In vivo data analyses detected decreases in MN density over time.
Conclusion PMC mean MN density varies widely in end-stage ALS possibly because of
disease heterogeneity. MN density can potentially be estimated by MR imaging
metrics. (c) RSNA, 2018 Online supplemental material is available for this
article.
PMID- 29361243
TI - Emphysematous and Nonemphysematous Gas Trapping in Chronic Obstructive Pulmonary
Disease: Quantitative CT Findings and Pulmonary Function.
AB - Purpose To identify a prevalent computed tomography (CT) subtype in patients with
chronic obstructive pulmonary disease (COPD) by separating emphysematous from
nonemphysematous contributions to total gas trapping and to attempt to predict
and grade the emphysematous gas trapping by using clinical and functional data.
Materials and Methods Two-hundred and two consecutive eligible patients (159 men
and 43 women; mean age, 70 years [age range, 41-85 years]) were prospectively
studied. Pulmonary function and CT data were acquired by pulmonologists and
radiologists. Noncontrast agent-enhanced thoracic CT scans were acquired at full
inspiration and expiration, and were quantitatively analyzed by using two
software programs. CT parameters were set as follows: 120 kVp; 200 mAs; rotation
time, 0.5 second; pitch, 1.1; section thickness, 0.75 mm; and reconstruction
kernels, b31f and b70f. Gas trapping obtained by difference of inspiratory and
expiratory CT density thresholds (percentage area with CT attenuation values less
than -950 HU at inspiration and percentage area with CT attenuation values less
than -856 HU at expiration) was compared with that obtained by coregistration
analysis. A logistic regression model on the basis of anthropometric and
functional data was cross-validated and trained to classify patients with COPD
according to the relative contribution of emphysema to total gas trapping, as
assessed at CT. Results Gas trapping obtained by difference of inspiratory and
expiratory CT density thresholds was highly correlated (r = 0.99) with that
obtained by coregistration analysis. Four groups of patients were distinguished
according to the prevalent CT subtype: prevalent emphysematous gas trapping,
prevalent functional gas trapping, mixed severe, and mixed mild. The predictive
model included predicted forced expiratory volume in 1 second/vital capacity,
percentage of predicted forced expiratory volume in 1 second, percentage of
diffusing capacity for carbon monoxide, and body mass index as emphysema
regressors at CT, with 81% overall accuracy in classifying patients according to
its extent. Conclusion The relative contribution of emphysematous and
nonemphysematous gas trapping obtained by coregistration of inspiratory and
expiratory CT scanning can be determined accurately by difference of CT
inspiratory and expiratory density thresholds. CT extent of emphysema can be
predicted with accuracy suitable for clinical purposes by pulmonary function data
and body mass index. (c) RSNA, 2018 Online supplemental material is available for
this article.
PMID- 29361244
TI - Characterization of Prostate Cancer with Gleason Score of at Least 7 by Using
Quantitative Multiparametric MR Imaging: Validation of a Computer-aided Diagnosis
System in Patients Referred for Prostate Biopsy.
AB - Purpose To determine the performance of a computer-aided diagnosis (CAD) system
trained at characterizing cancers in the peripheral zone (PZ) with a Gleason
score of at least 7 in patients referred for multiparametric magnetic resonance
(MR) imaging before prostate biopsy. Materials and Methods Two institutional
review board-approved prospective databases of patients who underwent
multiparametric MR imaging before prostatectomy (database 1) or systematic and
targeted biopsy (database 2) were retrospectively used. All patients gave
informed consent for inclusion in the databases. A CAD combining the 10th
percentile of the apparent diffusion coefficient and the time to peak of
enhancement was trained to detect cancers in the PZ with a Gleason score of at
least 7 in 106 patients from database 1. The CAD was tested in 129 different
patients from database 2. All targeted lesions were prospectively scored at
biopsy by using a five-level Likert score. The CAD scores were retrospectively
calculated. Biopsy results were used as the reference standard. Areas under the
receiver operating characteristic curves (AUCs) were computed for CAD and Likert
scores by using binormal smoothing for per-lesion and per-lobe analyses, and a
density function for per-patient analysis. Results The CAD outperformed the
Likert score in the overall population and all subgroups, except in the
transition zone. The difference was statistically significant for the overall
population (AUC, 0.95 [95% confidence interval {CI}: 0.90, 0.98] vs 0.88 [95% CI:
0.68, 0.96]; P = .02) at per-patient analysis, and for less-experienced
radiologists (<1 year) at per-lesion (AUC, 0.90 [95% CI: 0.81, 0.95] vs 0.83 [95%
CI: 0.73, 0.90]; P = .04) and per-lobe (AUC, 0.92 [95% CI: 0.80, 0.96] vs 0.84
[95% CI: 0.72, 0.91]; P = .04) analysis. Conclusion The CAD outperformed the
Likert score prospectively assigned at biopsy in characterizing cancers with a
Gleason score of at least 7. (c) RSNA, 2018 Online supplemental material is
available for this article.
PMID- 29361245
TI - Brain Gliomas: Multicenter Standardized Assessment of Dynamic Contrast-enhanced
and Dynamic Susceptibility Contrast MR Images.
AB - Purpose To evaluate the feasibility of a standardized protocol for acquisition
and analysis of dynamic contrast material-enhanced (DCE) and dynamic
susceptibility contrast (DSC) magnetic resonance (MR) imaging in a multicenter
clinical setting and to verify its accuracy in predicting glioma grade according
to the new World Health Organization 2016 classification. Materials and Methods
The local research ethics committees of all centers approved the study, and
informed consent was obtained from patients. One hundred patients with glioma
were prospectively examined at 3.0 T in seven centers that performed the same
preoperative MR imaging protocol, including DCE and DSC sequences. Two
independent readers identified the perfusion hotspots on maps of volume transfer
constant (Ktrans), plasma (vp) and extravascular-extracellular space (ve)
volumes, initial area under the concentration curve, and relative cerebral blood
volume (rCBV). Differences in parameters between grades and molecular subtypes
were assessed by using Kruskal-Wallis and Mann-Whitney U tests. Diagnostic
accuracy was evaluated by using receiver operating characteristic curve analysis.
Results The whole protocol was tolerated in all patients. Perfusion maps were
successfully obtained in 94 patients. An excellent interreader reproducibility of
DSC- and DCE-derived measures was found. Among DCE-derived parameters, vp and ve
had the highest accuracy (are under the receiver operating characteristic curve
[Az] = 0.847 and 0.853) for glioma grading. DSC-derived rCBV had the highest
accuracy (Az = 0.894), but the difference was not statistically significant (P >
.05). Among lower-grade gliomas, a moderate increase in both vp and rCBV was
evident in isocitrate dehydrogenase wild-type tumors, although this was not
significant (P > .05). Conclusion A standardized multicenter acquisition and
analysis protocol of DCE and DSC MR imaging is feasible and highly reproducible.
Both techniques showed a comparable, high diagnostic accuracy for grading
gliomas. (c) RSNA, 2018 Online supplemental material is available for this
article.
PMID- 29361246
TI - Precision Matters in MR Imaging-targeted Prostate Biopsies: Evidence from a
Prospective Study of Cognitive and Elastic Fusion Registration Transrectal
Biopsies.
AB - Purpose To measure the precision in placement of a biopsy needle in a magnetic
resonance (MR) imaging-detected target with transrectal ultrasonography (US), to
document the clinical relevance of precision, and to report on the precision of
cognitive and software-based registrations. Materials and Methods This
prospective study was approved by the institutional review board and performed
between June 2013 and September 2013. Patients provided informed verbal consent.
Two cores each were obtained with cognitive and fusion techniques in 88 patients
with a Prostate Imaging Reporting and Data System version 1 score of at least 3.
Precision was measured with Euclidian geometry by using the Digital Imaging and
Communications in Medicine archives of the biopsy as the distance from the core
to the center (dCC) and the distance from the core to the surface of the target
modeled as a sphere. To address clustering of data from multiple cores in the
same patients, analyses of precision focused on the best shot for a patient or a
technique. The Welch unequal variance t test and Yates corrected chi2 test were
used as appropriate. Results Mean precision was 2.5 mm (95% confidence interval:
1.8 mm, 3.3 mm). Positive cores were closer to the center than were negative
cores (dCC: 1.7 mm vs 3.1 mm, respectively; P = .025). More cancers were detected
with on-target than off-target cores (33 of 71 cores [46.5%] vs three of 17 cores
[17.6%]; P = .03). Cores obtained with the fusion technique achieved a higher
precision than did cores obtained with the cognitive technique (dCC: 2.8 mm vs
7.1 mm, respectively; P < .0001). Targeted cores demonstrated cancer in 44
patients. Fewer cancers were detected with the cognitive technique than with the
fusion technique (31 of 44 patients [70.5%] vs 40 of 44 patients [90.9%]; P =
.03). Conclusion A deformable MR imaging/transrectal US image registration system
achieved a higher precision and depicted cancer in more patients than did the
cognitive freehand technique. (c) RSNA, 2018.
PMID- 29361247
TI - Changes in Primary Care Health Care Utilization after Inclusion of Epidemiologic
Data in Lumbar Spine MR Imaging Reports for Uncomplicated Low Back Pain.
AB - Purpose To determine whether inclusion of an epidemiologic statement in radiology
reports of lumbar magnetic resonance (MR) imaging influences downstream health
care utilization in the primary care population. Materials and Methods Beginning
July 1, 2013, a validated epidemiologic statement regarding prevalence of common
findings in asymptomatic patients was included in all lumbar MR imaging reports
at a tertiary academic medical center. Data were collected from July 1, 2012,
through June 30, 2014, and retrospective analysis was completed in September
2016. The electronic medical record was reviewed to capture health care
utilization rates in patients for 1 year after index MR imaging. Of 4527 eligible
adult patients with low back pain referred for lumbar spine MR imaging during the
study period, 375 patients had their studies ordered by in-network primary care
providers, did not have findings other than degenerative disease, and had at
least one follow-up encounter within the system within 1 year of index MR
imaging. In the before-and-after study design, a pre-statement-implementation
cohort was compared with a post-statement-implementation cohort by using
univariate and multivariate statistical models to evaluate treatment utilization
rates in these groups. Results Patients in the statement group were 12% less
likely to be referred to a spine specialist (137 of 187 [73%] vs 159 of 188
[85%]; P = .007) and were 7% less likely to undergo repeat imaging (seven of 187
[4%] vs 20 of 188 [11%]; P = .01) compared with patients in the nonstatement
group. The intervention was not associated with any change in narcotic
prescription (53 of 188 [28%] vs 54 of 187 [29%]; P = .88) or with the rate of
low back surgery (24 of 188 [13%] vs 16 of 187 [9%]; P = .19). Conclusion In this
study, inclusion of a simple epidemiologic statement in lumbar MR imaging reports
was associated with decreased utilization in high-cost domains of low back pain
management. (c) RSNA, 2018.
PMID- 29361249
TI - Coramine and other analeptics.
PMID- 29361248
TI - Characterization of FtsY, its interaction with Ffh, and proteomic identification
of their potential substrates in Mycobacterium tuberculosis.
AB - The universally conserved signal recognition particle (SRP) pathway that mediates
co-translational targeting of membrane and secretory proteins is essential for
eukaryotic and prokaryotic cells. The Mycobacterium tuberculosis SRP pathway
consists of 2 proteins, Ffh and FtsY, and a 4.5S RNA molecule. Although the
Escherichia coli SRP pathway is well studied, understanding of the M.
tuberculosis SRP pathway components is very limited. In this study, we have
overexpressed and characterized the M. tuberculosis SRP receptor (SR) FtsY as a
GTP binding protein. Further, we established the direct protein-protein
interaction between Ffh and FtsY. The Ffh-FtsY complex formation resulted in
mutual stimulation of their GTP hydrolysis activity. We also attempted to
biochemically characterize the SRP components by constructing the antisense gene
knockdown strains of ffh and ftsY in M. tuberculosis. Loss of ffh and ftsY
resulted in a decreased in vitro growth rate of the antisense ffh strain as
compared with the antisense ftsY strain. Finally, 2-D gel electrophoresis of
antisense depleted ffh and ftsY strains identified differential expression of 14
proteins.
PMID- 29361250
TI - Monitoring the nervous system: it's time to up our game.
PMID- 29361251
TI - Feasibility and pilot studies: small steps before giant leaps.
PMID- 29361252
TI - Basic and advanced echocardiographic evaluation of myocardial dysfunction in
sepsis and septic shock.
AB - Sepsis continues to be a leading cause of mortality and morbidity in the
intensive care unit. Cardiovascular dysfunction in sepsis is associated with
worse short- and long-term outcomes. Sepsis-related myocardial dysfunction is
noted in 20%-65% of these patients and manifests as isolated or combined left or
right ventricular systolic or diastolic dysfunction. Echocardiography is the most
commonly used modality for the diagnosis of sepsis-related myocardial
dysfunction. With the increasing use of ultrasonography in the intensive care
unit, there is a renewed interest in sepsis-related myocardial dysfunction. This
review summarises the current scope of literature focused on sepsis-related
myocardial dysfunction and highlights the use of basic and advanced
echocardiographic techniques for the diagnosis of sepsis-related myocardial
dysfunction and the management of sepsis and septic shock.
PMID- 29361253
TI - Anaesthetic issues in robotic-assisted minimally invasive surgery.
AB - Over the past decade there has been an exponential increase in the number of
robotic-assisted surgical procedures performed in Australia and internationally.
Despite this growth, there are no level I or II studies examining the anaesthetic
implications of these procedures. Available observational studies provide insight
into the significant challenges for the anaesthetist. Most anaesthetic
considerations overlap with those of non-robotic surgery. However, issues with
limited patient access and extremes of positioning resulting in physiological
disturbances and risk of injury are consistently demonstrated concerns specific
to robotic-assisted procedures.
PMID- 29361254
TI - High flow humidified nasal oxygen in pregnant women.
AB - Failed airway management in the obstetric patient undergoing general anaesthesia
is associated with major sequelae for the mother and/or fetus. Effective and
adequate pre-oxygenation is an important safety strategy and a recommendation in
all current major airway guidelines. Pre-oxygenation practice in the obstetric
population may be suboptimal based on current literature. Recently, clinical
applications for high flow nasal oxygen, also known as transnasal humidified
rapid insufflation ventilatory exchange or THRIVE, are expanding in the non
obstetric population and may have theoretical benefits if used for pre
oxygenation and apnoeic oxygenation in the obstetric population. We review the
current literature surrounding high flow nasal oxygen relevant to the pregnant
woman. We also propose a basis for potential advantages and complications for its
use in this context.
PMID- 29361255
TI - Prevalence of augmented renal clearance and performance of glomerular filtration
estimates in Indigenous Australian patients requiring intensive care admission.
AB - Augmented renal clearance (ARC) refers to the enhanced renal excretion of
circulating solute commonly demonstrated in numerous critically ill subgroups.
This study aimed to describe the prevalence of ARC in critically ill Indigenous
Australian patients and explore the accuracy of commonly employed mathematical
estimates of glomerular filtration. We completed a single-centre, prospective,
observational study in the intensive care unit (ICU), Alice Springs Hospital,
Central Australia. Participants were critically ill adult Indigenous and non
Indigenous Australian patients with a urinary catheter in situ. Exclusion
criteria were anuria, pregnancy or the requirement for renal replacement therapy.
Daily eight-hour measured creatinine clearances (CrCLm) were collected
throughout the ICU stay. ARC was defined by a CrCLm >=130 ml/min/1.73
m2. The Cockcroft-Gault and Chronic Kidney Disease Epidemiology
Collaboration equations were also used to calculate mathematical estimates for
comparison. In total, 131 patients were recruited (97 Indigenous, 34 non
Indigenous) and 445 samples were collected. The median (range) CrCLm
was 93.0 (5.14 to 205.2) and 90.4 (18.7 to 206.8) ml/min/1.73 m2 in
Indigenous and non-Indigenous patients, respectively. Thirty-one of 97 (32%)
Indigenous patients manifested ARC, compared to 7 of 34 (21%) non-Indigenous
patients (P=0.21). Younger age, major surgery, higher baseline renal
function and an absence of diabetes were all associated with ARC. Both
mathematical estimates manifest limited accuracy. ARC was prevalent in critically
ill Indigenous patients, which places them at significant risk of underdosing
with renally excreted drugs. CrCLm should be obtained wherever
possible to ensure accurate dosing.
PMID- 29361256
TI - The effect of sevoflurane on the transmural dispersion of repolarisation in
patients with type 2 diabetes mellitus: a prospective observational study.
AB - The 'torsadogenic' property of a drug is linked to its ability to increase the
transmural dispersion of repolarisation, represented by the interval between the
peak of, and the end of, the T-wave (Tp-e interval) in an electrocardiogram.
Reports have consistently shown that sevoflurane does not increase the Tp-e
interval. Type 2 diabetes is a risk factor for increased QTc (rate-corrected QT
interval), QTcd (rate-corrected QTc dispersion: difference between the maximum
and the minimum QTc interval), and Tp-e, as well as the rate-corrected Tp-e (Tp
e/QTc ratio). The study aimed to ascertain whether sevoflurane increased the Tp-e
interval and Tp-e/QTc ratio in patients with diabetes, thereby increasing their
risk of torsades. We enrolled 35 female patients; 17 with type 2 diabetes and 18
controls undergoing non-laparoscopic surgery under sevoflurane anaesthesia. The
Tp-e interval, Tp-e/QTc ratio, QTc and QTcd were recorded after intubation, 5,
10, 30 and 60 minutes into the anaesthetic, and were compared between the groups.
No significant increase in the Tp-e interval or Tp-e/QTc was observed between or
within the groups (a 13 ms increase was considered significant). In the control
group, the QTc was significantly increased from baseline immediately after
intubation (449 versus 414 ms, P <0.001); at 5 minutes (434 versus 414 ms,
P=0.01); at 10 minutes (444 versus 414 ms, P=0.002); at 30 minutes
(439 versus 414 ms, P=0.001) and at 60 minutes (442 versus 414 ms;
P <0.001) (a 20 ms increase was considered significant). No significant
increase in QTc was observed in the diabetic group. There were no between or
within group differences observed for QTcd. Our findings suggest that sevoflurane
does not have a significant predictable pro-arrhythmic effect in type 2 diabetic
patients in the absence of other factors affecting ventricular repolarisation.
PMID- 29361257
TI - The impact of alcohol-related admissions on resource use in critically ill
patients from 2009 to 2015: an observational study.
AB - Excessive alcohol use is associated with increased health care utilisation and
increased mortality. This observational study sought to identify the proportion
of patients admitted with a critical illness in which alcohol misuse contributed,
and to examine the resource use for this group. We performed an observational
retrospective database review of all admissions to the Alice Springs Hospital
intensive care unit (ICU) between 1 January 2009 and 31 December 2015. The Alice
Springs Hospital ICU is a ten-bed unit located in Central Australia, with
approximately 600 admissions annually. The per capita consumption of alcohol in
Central Australia is approximately 1.5 times the national average. The primary
aim was to determine the proportion of admissions to intensive care in which
alcohol misuse was identified as a contributing cause. Secondary aims examined
resource utilisation including ICU and hospital length of stay, need for and
duration of mechanical ventilation, and ICU re-admission. There were 3,768
admissions involving 2,670 individual patients. Of these admissions 947 (25%)
were associated with alcohol misuse. Admissions associated with alcohol were
significantly more likely to require mechanical ventilation (30% versus 20%,
P <0.01), and had a significantly longer ICU length of stay (2.1 versus
1.9 days, P <0.05). The proportion of admissions in which alcohol misuse
was implicated is amongst the highest in the published literature. The results of
this study should drive further policy change directed at harm minimisation, and
warrant more detailed epidemiological work at both a local and national level.
PMID- 29361258
TI - The use of a simple three-level bronchoscopic assessment of inhalation injury to
predict in-hospital mortality and duration of mechanical ventilation in patients
with burns.
AB - Major burn centres in Australia use bronchoscopy to assess severity of inhalation
injuries despite limited evidence as to how best to classify severity of
inhalational injury or its relationship to patient outcomes. All patients with
burns who were admitted to the intensive care unit (ICU) at The Alfred Hospital
between February 2010 and July 2014 and underwent bronchoscopy to assess
inhalational injury, were reviewed. Age, total body surface area burnt, severity
of illness indices and mechanisms of injury were extracted from medical histories
and local ICU and burns registries. Inhalational injury was classified based on
the Abbreviated Injury Score and then grouped into three categories (none/mild,
moderate, or severe injury). Univariable and multivariable analyses were
undertaken to examine the relationship between inhalational injury and outcomes
(in-hospital mortality and duration of mechanical ventilation). One hundred and
twenty-eight patients were classified as having none/mild inhalational injury, 81
moderate, and 13 severe inhalation injury. Mortality in each group was 2.3%
(3/128), 7.4% (6/81) and 30.7% (4/13) respectively. Median (interquartile range)
duration of mechanical ventilation in each group was 26 (11-82) hours, 84 (32
232) hours and 94 (21-146) hours respectively. After adjusting for age, total
body surface area burnt and severity of illness, only the severe inhalation
injury group was independently associated with increased mortality (odds ratio
20.4 [95% confidence intervals {CI} 1.74 to 239.4], P=0.016). Moderate
inhalation injury was independently associated with increased duration of
ventilation (odds ratio 2.25 [95% CI 1.53 to 3.31], P <0.001), but not
increased mortality. This study suggests that stratification of bronchoscopically
assessed inhalational injury into three categories can provide useful prognostic
information about duration of ventilation and mortality. Larger multicentre
prospective studies are required to validate these findings.
PMID- 29361259
TI - The establishment of an anaesthetist-managed intraoperative neurophysiological
monitoring service and initial outcome data.
AB - Neurophysiological monitoring has been recommended to reduce the risk of
neurological damage during a wide variety of surgeries. While the concept of an
anaesthesia-led intraoperative neurophysiological monitoring (IONM) service is
not new, the quality of this service provision has not been studied. In this
article, we present our experience with the establishment of this service, and
the results of our audit of 302 cases monitored over the initial four years. Our
results identified that an anaesthesia-led IONM service was able to achieve a
reliable signal in 95.4% of cases and capture significant alerts in 15.6% of
these cases with sensitivity, specificity, false positive and negative rates
consistent with published data. Our results indicate an anaesthesia-led IONM
service is effective in identifying patients at an increased risk of an adverse
outcome.
PMID- 29361261
TI - Predicting medical emergency team calls, cardiac arrest calls and re-admission
after intensive care discharge: creation of a tool to identify at-risk patients.
AB - We aimed to develop a predictive model for intensive care unit (ICU)-discharged
patients at risk of post-ICU deterioration. We performed a retrospective, single
centre cohort observational study by linking the hospital admission, patient
pathology, ICU, and medical emergency team (MET) databases. All patients
discharged from the Alfred Hospital ICU to wards between July 2012 and June 2014
were included. The primary outcome was a composite endpoint of any MET call,
cardiac arrest call or ICU re-admission. Multivariable logistic regression
analysis was used to identify predictors of outcome and develop a risk
stratification model. Four thousand, six hundred and thirty-two patients were
included in the study. Of these, 878 (19%) patients had a MET call, 51 (1.1%)
patients had cardiac arrest calls, 304 (6.5%) were re-admitted to ICU during the
same hospital stay, and 964 (21%) had MET calls, cardiac arrest calls or ICU re
admission. A discriminatory predictive model was developed (area under the
receiver operating characteristic curve 0.72 [95% confidence intervals {CI} 0.70
to 0.73]) which identified the following factors: increasing age (odds ratio [OR]
1.012 [95% CI 1.007 to 1.017] P <0.001), ICU admission with subarachnoid
haemorrhage (OR 2.26 [95% CI 1.22 to 4.16] P=0.009), admission to ICU from
a ward (OR 1.67 [95% CI 1.31 to 2.13] P <0.001), Acute Physiology and
Chronic Health Evaluation (APACHE) III score without the age component (OR 1.005
[95% CI 1.001 to 1.010] P=0.025), tracheostomy on ICU discharge (OR 4.32
[95% CI 2.9 to 6.42] P <0.001) and discharge to cardiothoracic (OR 2.43
[95%CI 1.49 to 3.96] P <0.001) or oncology wards (OR 2.27 [95% CI 1.05 to
4.89] P=0.036). Over the two-year period, 361 patients were identified as
having a greater than 50% chance of having post-ICU deterioration. Factors are
identifiable to predict patients at risk of post-ICU deterioration. This
knowledge could be used to guide patient follow-up after ICU discharge, optimise
healthcare resources, and improve patient outcomes and service delivery.
PMID- 29361260
TI - Associations of fluid amount, type, and balance and acute kidney injury in
patients undergoing major surgery.
AB - Fluid administration has been reported to be associated with an increased risk of
acute kidney injury (AKI). We assessed whether, after correction for fluid
balance, amount and chloride content of fluids administered have an independent
association with AKI. We performed an observational study in patients after major
surgery assessing the independent association of AKI with volume, chloride
content and fluid balance, after adjustment for Physiological and Operative
Severity Score for enUmeration of Mortality and morbidity (POSSUM) score, age,
elective versus emergency surgery, and duration of surgery. We studied 542
consecutive patients undergoing major surgery. Of these, 476 patients had renal
function tested as part of routine clinical care and 53 patients (11.1%)
developed postoperative AKI. After adjustments, a 100 ml greater mean daily fluid
balance was artificially associated with a 5% decrease in the instantaneous
hazard of AKI: adjusted Hazard Ratio (aHR) 0.951, 95% confidence intervals (CI)
0.935 to 0.967, P <0.001. However, after adjustment for the proportion of
chloride-restrictive fluids, mean daily fluid amounts and balances, POSSUM
morbidity, age, duration and emergency status of surgery, and the confounding
effect of fluid balance, every 5% increase in the proportion of chloride-liberal
fluid administered was associated with an 8% increase in the instantaneous hazard
of AKI (aHR 1.079, 95% CI 1.032 to 1.128, P=0.001), and a 100 ml increase
in mean daily fluid amount given was associated with a 6% increase in the
instantaneous hazard of AKI (aHR 1.061, 95% CI 1.047 to 1.075, P <0.001).
After adjusting for key risk factors and for the confounding effect of fluid
balance, greater fluid administration and greater administration of chloride-rich
fluid were associated with greater risk of AKI.
PMID- 29361262
TI - Cannula cricothyroidotomy and rescue oxygenation with the Rapid-O2TM oxygen
insufflation device in the management of a can't intubate/can't oxygenate
scenario.
AB - We describe the successful use of cannula cricothyroidotomy and the Rapid-O2TM
oxygen insufflation device (Meditech Systems Ltd, Dorset, UK) for rescue of a
can't intubate/can't oxygenate (CICO) scenario in a patient with severe airway
haemorrhage post-debridement of laryngeal amyloidosis. This case highlights the
practical utility of a cannula technique for CICO rescue when appropriate
equipment is used and when institutional measures are taken to prepare for this
rare anaesthetic crisis.
PMID- 29361263
TI - Ongoing design concerns of portable oxygen cylinders.
PMID- 29361264
TI - Fluid bolus therapy for the ward treatment of oliguria after major abdominal
surgery.
PMID- 29361265
TI - Intraoperative use of adjuvants for opioid sparing: a cross-sectional survey of
anaesthetists in teaching hospitals in South Australia.
PMID- 29361266
TI - Major haemorrhage associated with the Flexi-Seal(r) Fecal Management System.
PMID- 29361267
TI - A general framework for sensor-based human activity recognition.
AB - Today's wearable devices like smartphones, smartwatches and intelligent glasses
collect a large amount of data from their built-in sensors like accelerometers
and gyroscopes. These data can be used to identify a person's current activity
and in turn can be utilised for applications in the field of personal fitness
assistants or elderly care. However, developing such systems is subject to
certain restrictions: (i) since more and more new sensors will be available in
the future, activity recognition systems should be able to integrate these new
sensors with a small amount of manual effort and (ii) such systems should avoid
high acquisition costs for computational power. We propose a general framework
that achieves an effective data integration based on the following two
characteristics: Firstly, a smartphone is used to gather and temporally store
data from different sensors and transfer these data to a central server. Thus,
various sensors can be integrated into the system as long as they have
programming interfaces to communicate with the smartphone. The second
characteristic is a codebook-based feature learning approach that can encode data
from each sensor into an effective feature vector only by tuning a few intuitive
parameters. In the experiments, the framework is realised as a real-time activity
recognition system that integrates eight sensors from a smartphone, smartwatch
and smartglasses, and its effectiveness is validated from different perspectives
such as accuracies, sensor combinations and sampling rates.
PMID- 29361268
TI - Gamma Ventral Capsulotomy in Intractable Obsessive-Compulsive Disorder.
AB - BACKGROUND: Despite the development of effective pharmacologic and cognitive
behavioral treatments for obsessive-compulsive disorder (OCD), some patients
continue to be treatment-refractory and severely impaired. Fiber tracts
connecting orbitofrontal and dorsal anterior cingulate cortex with subcortical
nuclei have been the target of neurosurgical lesions as well as deep brain
stimulation in these patients. We report on the safety and efficacy of ventral
gamma capsulotomy for patients with intractable OCD. METHODS: Fifty-five patients
with severely disabling, treatment-refractory OCD received bilateral lesions in
the ventral portion of the anterior limb of the internal capsule over a 20-year
period using the Leksell Gamma Knife. The patients were prospectively followed
over 3 years with psychiatric, neurologic, and neuropsychological assessments of
safety and efficacy, as well as structural neuroimaging. RESULTS: Thirty-one of
55 patients (56%) had an improvement in the primary efficacy measure, the Yale
Brown Obsessive Compulsive Scale, of >=35% over the 3-year follow-up period.
Patients had significant improvements in depression, anxiety, quality of life,
and global functioning. Patients tolerated the procedure well without significant
acute adverse events. Five patients (9%) developed transient edema that required
short courses of dexamethasone. Three patients (5%) developed cysts at long-term
follow-up, 1 of whom developed radionecrosis resulting in an ongoing minimally
conscious state. CONCLUSIONS: Gamma Knife ventral capsulotomy is an effective
radiosurgical procedure for many treatment-refractory OCD patients. A minority of
patients developed cysts at long-term follow-up, 1 of whom had permanent
neurological sequelae.
PMID- 29361269
TI - [The role of pharmacist in a telemedicine collaboration in hemodialysis: Water
bacteriological quality monitoring].
AB - OBJECTIVES: In French health centers, the pharmacist is responsible for the
quality of hemodialysis fluids. In an insular hospital, it is difficult to make
bacteriological controls because of the lack of an environmental laboratory.
Alternative choices of methods must be seek to facilitate water control and
ensure the security of hemodialysis for patients. Controlling the microbiological
risk is an essential condition for the good operation of a telemedicine
partnership in dialysis. METHODS: A review of the different methods that has been
tried is presented. The hospital has experienced since 2014 a microorganism
detection test by ATPmetry. An overview of the results is discussed. RESULTS: The
usability of this technique allows quarterly controls on the water treated by
reverse osmosis and on fluids after one and two ultrafiltrations from every
generator. Cases of non-compliance were due to false positives, which were
squashed by verification control in 50% of the cases, and the other non
compliances were fixed by corrective actions. CONCLUSIONS: The ATPmetry technique
permits the collection of rapid results and verification of the effectiveness of
the corrective actions immediately after their implementation. This method has
been undertaken in a routine use instead of the reference technique
(bacteriological cultures). Assuming a constant vigilance in the quality of
dialysis fluids that is a part of a quality approach, the pharmacist is at the
heart of the telemedicine partnership developed in hemodialysis on the island.
PMID- 29361270
TI - Update on SAMS: Statin-associated muscle symptoms.
PMID- 29361271
TI - Nonatherosclerotic vascular causes of acute abdominal pain.
AB - BACKGROUND: To examine the epidemiology, treatments, and outcomes of acute
symptomatic non-atherosclerotic mesenteric vascular disease. METHODS: Subjects
were reviewed over a six year period. Categories included embolism (EM),
dissection (DI), and aneurysm (AN). Presentation, demographics, treatment and
outcomes were compared. RESULTS: 46 patients were identified (EM:20, AN:15,
DI:11). Age at presentation differed (EM: 66.3, AN 62.4, DI 54.6, p < .05). EM
more likely affected the superior mesenteric artery (EM80%, AN20%, DI45%, p =
.002), DI hepatic artery (EM20%, AN13%, DI55%, p < .05), and AN mesenteric
branches (EM5%, AN47%, DI0%; p = .001). EM more likely had history of arrhythmia
(EM40%, AN7%, DI0%, p,0.05) and diarrhea (EM30%, AN7%, DI0%, p < .05). Treatment
was most often surgical in EM (EM85%, AN33%, DI9%, p < .001), endovascular in AN
(EM5%, AN40%, DI 9%, p < .02), and conservative in DI (EM15%, AN 33%, DI82%, p <
.05). In hospital mortality was infrequent (EM10%, AN7%, DI0%, p = ns). Mean
hospital length of stay differed by mechanism (EM13.6days, AN9.2, DI2.3, p =
.005). Median follow up was 61 months. Survival at 1, 3 and 5 years for emboli
was 75%, 70% and 59%, for aneurysms 93%, 86%, and 77%, and for dissections 100%
at all time points (p = .043 log rank). CONCLUSIONS: Patients with EM, AN, and DI
differ in age, anatomic distribution and method of treatment. The etiology
significantly affects long term survival.
PMID- 29361272
TI - HIV-Associated Psoriasis.
AB - Human immunodeficiency virus (HIV) prevalence is increasing worldwide as people
on antiretroviral therapy are living longer. These patients are often susceptible
to debilitating inflammatory disorders that are frequently refractory to standard
treatment. Psoriasis is a systemic inflammatory disorder, associated with both
physical and psychological burden, and can be the presenting feature of HIV
infection. In this population, psoriasis tends to be more severe, to have
atypical presentations and higher failure rates with the usual prescribed
treatments. Management of moderate and severe HIV-associated psoriasis is
challenging. Systemic conventional and biologic agents may be considered, but
patients should be carefully followed up for potential adverse events, like
opportunist infections, and regular monitoring of CD4 counts and HIV viral loads.
PMID- 29361273
TI - Multiple Acral Syringomas.
PMID- 29361274
TI - Quantifying bone structure, micro-architecture, and pathophysiology with MRI.
AB - The radiology of bone has been transformed by magnetic resonance imaging, which
has the ability to interrogate bone's complex architecture and physiology. New
techniques provide information about both the macrostructure and microstructure
of bone ranging from micrometre detail to the whole skeleton. Furthermore
functional information about bone physiology can be used to detect disease early
before structural changes occur. The future of bone imaging is in quantifying the
anatomical and functional information to diagnose and monitor disease more
precisely. This review explores the state of the art in quantitative MRI bone
imaging.
PMID- 29361275
TI - Individuals with varus thrust do not increase knee adduction when running with
body borne load.
AB - Osteoarthritis (OA) is a common occupational hazard for service members. This
study quantified how body borne load impacts knee biomechanics for participants
who do and do not present varus thrust (range of knee adduction motion exhibited
from heel strike to mid-stance (0-51%)) during over-ground running. Eighteen (9
varus thrust and 9 control) military personnel had knee biomechanics recorded
when running with three load conditions (light, ~6 kg, medium, 15% BW, and heavy,
30% BW). Subject-based means for knee biomechanics were calculated and submitted
to a RM ANOVA to test the main effects and possible interactions between load and
varus thrust group. The varus thrust group exhibited greater varus thrust (p =
.001) and peak stance (PS, 0-100%) knee adduction (p = .009) posture compared to
the control group with the light load, but not for the medium (p = .741 and p =
.825) or heavy loads (p = .142 and p = .429). With the heavy load, varus thrust
group reduced varus thrust (p = .023), whereas, the control group increased varus
thrust (p = .037) compared to the light load, and increased PS knee adduction
moment compared to light (p = .006) and medium loads (p = .031). The varus thrust
group, however, exhibited no significant difference in knee adduction moment
between any load (p = .174). With the addition of body borne load, varus thrust
participants exhibited a significant reduction in knee biomechanics related to
OA; whereas, control participants adopted knee biomechanics, including greater
varus thrust and knee adduction moment, related to the development of OA.
PMID- 29361277
TI - Painless Scrotal Hematoma as a Sign of Adrenal Hemorrhage in Newborns.
PMID- 29361276
TI - Characterizing viscoelastic properties of breast cancer tissue in a mouse model
using indentation.
AB - Breast cancer is one of the leading cancer forms affecting females worldwide.
Characterizing the mechanical properties of breast cancer tissue is important for
diagnosis and uncovering the mechanobiology mechanism. Although most of the
studies were based on human cancer tissue, an animal model is still describable
for preclinical analysis. Using a custom-build indentation device, we measured
the viscoelastic properties of breast cancer tissue from 4T1 and SKBR3 cell
lines. A total of 7 samples were tested for each cancer tissue using a mouse
model. We observed that a viscoelastic model with 2-term Prony series could best
describe the ramp and stress relaxation of the tissue. For long-term responses,
the SKBR3 tissues were stiffer in the strain levels of 4-10%, while no
significant differences were found for the instantaneous elastic modulus. We also
found tissues from both cell lines appeared to be strain-independent for the
instantaneous elastic modulus and for the long-term elastic modulus in the strain
level of 4-10%. In addition, by inspecting the cellular morphological structure
of the two tissues, we found that SKBR3 tissues had a larger volume ratio of
nuclei and a smaller volume ratio of extracellular matrix (ECM). Compared with
prior cellular mechanics studies, our results indicated that ECM could contribute
to the stiffening the tissue-level behavior. The viscoelastic characterization of
the breast cancer tissue contributed to the scarce animal model data and provided
support for the linear viscoelastic model used for in vivo elastography studies.
Results also supplied helpful information for modeling of the breast cancer
tissue in the tissue and cellular levels.
PMID- 29361278
TI - Febrile infants and lumbar punctures: unravelling the evidence.
PMID- 29361279
TI - Initial primary spontaneous pneumothorax in children and adolescents: Operate or
wait?
AB - PURPOSE: The management of primary spontaneous pneumothorax (PSP) in the
pediatric population is not standardized. The purpose of this study was to
understand the management options for a first episode of PSP in children and
adolescents, and their associated outcomes. METHODS: A retrospective study was
conducted for patients 5-20 years old with a diagnosis of PSP at a large academic
children's hospital between 2002 and 2014. Patient data were reviewed for each
case. Management and outcomes were analyzed and compared between groups. RESULTS:
Eighty patients met all inclusion criteria. Overall recurrence rate was 40% with
86% occurring within 12 months of the initial PSP. Patients with recurrent PSP
were significantly taller. Size of pneumothorax based on initial chest x-ray was
comparable between recurrent and nonrecurrent groups. A negative CT scan for
subpleural blebs did not predict recurrence. Patients undergoing thoracoscopic
blebectomy and mechanical pleurodesis at initial presentation had significantly
lower recurrence rate compared to patients who underwent nonoperative management
(operative group 14%, nonoperative group 45%; p=0.0373). CONCLUSIONS: Recurrence
following nonoperative management was high with the majority occurring within a
year and requiring readmission. These findings support offering surgery to
families as a potential initial management option. LEVEL OF EVIDENCE: 3b/4 -
retrospective series or case control study, single institution, very limited
population.
PMID- 29361280
TI - Ballast water management system: Assessment of chemical quality status of several
ports in Adriatic Sea.
AB - Oxidant treatment of ballast water (BW) is commonly used in BW systems in order
to minimize the transport of alien species. The release of disinfection by
products (DBPs) associated to the treatment of BW and cross-contamination of
butyltin (BT) compounds through BW discharge is a topic of environmental concern.
A chemical port baseline survey has been conducted in seven ports of the Adriatic
Sea. Analysis have been performed on transplanted mussels, surface sediment,
seawater, BW. Results showed an evidence of BT contamination, particularly in
sediments, probably related to their illegal usage or to intensive shipping
activities. Therefore, BW may act as a vector and contribute to re-buildup of BT
contamination in the coastal regions. A baseline set of data concerning DBPs is
provided, showing the preferential distribution of these compounds in the marine
environment that will be useful for future considerations on monitoring and
assessment of chemical contamination associated with BW.
PMID- 29361281
TI - A high-throughput headspace gas chromatographic technique for the determination
of nitrite content in water samples.
AB - This paper reports on a high-throughput headspace gas chromatographic method (HS
GC) for the determination of nitrite content in water sample, based on GC
measurement of cyclohexene produced from the reaction between nitrite and
cyclamate in a closed vial. The method has a relative standard deviation of
<3.5%; The differences between the results of the nitrite measurements obtained
by this method and those of a reference method were less than 5.8% and the
recoveries of the method were in the range of 94.8-102% (for a spiked nitrite
content range from 0.002 to 0.03 mg/L). The limit of detection of the method was
0.46 MUg L-1. Due to an overlapping mode in the headspace auto-sampler system,
the method can provide an automated and high-throughput nitrite analysis for the
surface water samples. In short, the present HS-GC method is simple, accurate,
and sensitive, and it is very suitable to be used in the batch sample testing.
PMID- 29361283
TI - Cerebral vasomotor reactivity predicts the development of acute stroke in
patients with internal carotid artery stenosis.
AB - OBJECTIVE: To investigate the relationship between cerebral vasomotor reactivity
(VMR) and acute stroke in patients with internal carotid artery stenosis.
METHODS: 54 patients with internal carotid artery stenosis were enrolled. VMR was
calculated by transcranial Doppler monitoring of the velocity of blood flow. 3
Dimensional dynamic contrast enhanced magnetic resonance angiography was used to
detect stenosis, and diffusion weighted imaging was used to detect infarction.
RESULTS: VMR value was significantly lower in patients with carotid artery
stenosis than in control group (T=3.112, P=0.002), and significantly lower in
patients with aortic atherosclerotic stroke than in non-infarct group (T=10.930,
P=0.000). However, VMR value was significantly higher in patients with new-onset
small-artery occlusion stroke than in non-infarction group (T=-2.538, P=0.013).
Scatter plots showed that aortic atherosclerotic stroke occurred mainly in
patients with severe internal carotid artery stenosis, and VMR value in cerebral
artery significantly decreased. CONCLUSION: Decreased VMR value is an important
prognostic factor for the occurrence of aortic atherosclerotic stroke, and can be
used as a reference for preoperative hemodynamic evaluation in patients with
internal carotid artery stenosis.
PMID- 29361282
TI - A rapid quantitative assay for juvenile hormones and intermediates in the
biosynthetic pathway using gas chromatography tandem mass spectrometry.
AB - A method for rapid quantitation of insect juvenile hormones (JH) and
intermediates in the biosynthetic pathway, both in vitro and in vivo (hemolymph
and whole body), has been developed using GC-MS/MS. This method is as simple as
the radiochemical assay (RCA), the most commonly used method for measurement of
JH biosynthesis in vitro, without need for further purification and
derivatization, or radioactive precursors or ligands. It shows high sensitivity,
accuracy and reproducibility. Linear responses were obtained the range of 1-800
ng/mL (approximately 4-3000 nM). Recovery efficiencies for farnesol, farnesal,
methyl farnesoate and JH III were approximately 100% in vitro and over 90% in
vivo, with excellent reproducibility at three different spike levels. Titer of JH
III in the hemolymph was relatively low at day 0 (adult female emergence) (79.68
+/- 5.03 ng/mL) but increased to a maximum of 1717 ng/mL five days later. In
whole body, JH III quantity reached a maximum on day 4 (845.5 +/- 87.9 ng/g) and
day 5 (679.7 +/- 164.6 ng/g) and declined rapidly thereafter. It is in agreement
with the hemolymph titer changes and biosynthetic rate of JH in vitro. Comparison
with the results of inhibition of JH biosynthesis by two known inhibitors
(allatostatin (AST) mimic H17 and pitavastatin) using RCA and GC-MS/MS, showed
that there was little difference between the two methods In contrast to other
methods, the present method with GC-MS/MS can be used to elucidate the mechanism
of inhibition by inhibitors of JH biosynthesis without any derivatization and
purification. This method is applicable to screening of JH inhibitors and the
study of inhibitory mechanisms with high sensitivity and accurate quantification.
It may also be useful for the determination of JH titer in other Arthropods.
PMID- 29361284
TI - Intraoperative 3 tesla magnetic resonance imaging: our experience in tumors.
AB - OBJECTIVE: To report our experience in the use of 3 tesla intraoperative magnetic
resonance imaging (MRI) in neurosurgical procedures for tumors, and to evaluate
the criteria for increasing the extension of resection. MATERIAL AND METHODS:
This retrospective study included all consecutive intraoperative MRI studies done
for neuro-oncologic disease in the first 13 months after the implementation of
the technique. We registered possible immediate complications, the presence of
tumor remnants, and whether the results of the intraoperative MRI study changed
the surgical management. We recorded the duration of surgery in all cases.
RESULTS: The most common tumor was recurrent glioblastoma, followed by primary
glioblastoma and metastases. Complete resection was achieved in 28%, and tumor
remnants remained in 72%. Intraoperative MRI enabled neurosurgeons to improve the
extent of the resection in 85% of cases. The mean duration of surgery was 390+/
122minutes. CONCLUSION: Intraoperative MRI using a strong magnetic field (3
teslas) is a valid new technique that enables precise study of the tumor
resection to determine whether the resection can be extended without damaging
eloquent zones. Although the use of MRI increases the duration of surgery, the
time required decreases as the team becomes more familiar with the technique.
PMID- 29361285
TI - Effect Modifications of Lipid-Lowering Therapy on Progression of Aortic Stenosis
(from the Simvastatin and Ezetimibe in Aortic Stenosis [SEAS] Study).
AB - Observational studies indicate that low-density lipoprotein (LDL) cholesterol
acts as a primary contributor to an active process leading to aortic stenosis
(AS) development. However, randomized clinical trials have failed to demonstrate
an effect of lipid lowering on impeding AS progression. This study explored if
pretreatment LDL levels and AS severity altered the efficacy of lipid-lowering
therapy. The study goal was evaluated in the analysis of surviving patients with
baseline data in the Simvastatin and Ezetimibe in Aortic Stenosis (SEAS) trial of
1,873 asymptomatic patients with mild-to-moderate AS. Serially measured peak
aortic jet velocity was the primary effect estimate. Linear mixed model analysis
adjusted by baseline peak jet velocity and pretreatment LDL levels was used to
assess effect modifications of treatment. Data were available in 1,579 (84%)
patients. In adjusted analyses, lower baseline peak aortic jet velocity and
higher pretreatment LDL levels increased the effect of randomized treatment (p =
0.04 for interaction). As such, treatment impeded progression of AS in the
highest quartile of LDL among patients with mild AS at baseline (0.06 m/s per
year slower progression vs placebo in peak aortic jet velocity, 95% confidence
interval 0.01 to 0.11, p = 0.03), but not in the 3 other quartiles of LDL.
Conversely, among patients with moderate AS, there was no detectable effect of
treatment in any of the pretreatment LDL quartiles (all p >=0.14). In conclusion,
in a non-prespecified post hoc analysis, the efficacy of lipid-lowering therapy
on impeding AS progression increased with higher pretreatment LDL and lower peak
aortic jet velocity (SEAS study: NCT00092677).
PMID- 29361286
TI - Gender-Specific Differences in All-Cause Mortality Between Incomplete and
Complete Revascularization in Patients With ST-Elevation Myocardial Infarction
and Multi-Vessel Coronary Artery Disease.
AB - The best revascularization strategy (complete vs incomplete revascularization) in
patients with ST-elevation myocardial infarction (STEMI) is still debated. The
interaction between gender and revascularization strategy in patients with STEMI
on all-cause mortality is uncertain. The aim of the present study was to evaluate
gender-specific difference in all-cause mortality between incomplete and complete
revascularization in patients with STEMI and multi-vessel coronary artery
disease. The study population consisted of 375 men and 115 women with a first
STEMI and multi-vessel coronary artery disease without cardiogenic shock at
admission or left main stenosis. The 30-day and 5-year all-cause mortality was
examined in patients categorized according to gender and revascularization
strategy (incomplete and complete revascularization). Within the first 30 days,
men and women with incomplete revascularization were associated with higher
mortality rates compared with men with complete revascularization. However, the
gender-strategy interaction variable was not independently associated with 30-day
mortality after STEMI when corrected for baseline characteristics and
angiographic features. Within the survivors of the first 30 days, men with
incomplete revascularization (compared with men with complete revascularization)
were independently associated with all-cause mortality during 5 years of follow
up (hazard ratios 3.07, 95% confidence interval 1.24;7.61, p = 0.016). In
contrast, women with incomplete revascularization were not independently
associated with 5-year all-cause mortality (hazard ratios 0.60, 95% confidence
interval 0.14;2.51, p = 0.48). In conclusion, no gender-strategy differences
occurred in all-cause mortality within 30 days after STEMI. However, in the
survivors of the first 30 days, incomplete revascularization in men was
independently associated with all-cause mortality during 5-year follow-up, but
this was not the case in women.
PMID- 29361287
TI - Coronary Computed Tomography-Derived Fractional Flow Reserve Assessment-A
Gatekeeper in Intermediate Stenoses.
PMID- 29361288
TI - Comparisons of the Framingham and Pooled Cohort Equation Risk Scores for
Detecting Subclinical Vascular Disease in Blacks Versus Whites.
AB - The pooled cohort Atherosclerotic Cardiovascular Disease (ASCVD) risk calculator
is designed to improve cardiovascular risk estimation compared with the
Framingham Risk Score, particularly in blacks. Although the ASCVD risk score
better predicts mortality and incident cardiovascular disease in blacks, less is
known about its performance for subclinical vascular disease measures, including
arterial stiffness and carotid intima-media thickness. We sought to determine if
the ASCVD risk score better identifies subclinical vascular disease in blacks
compared with the Framingham risk score. We calculated both the Framingham and
ASCVD cohort risk scores in 1,231 subjects (mean age 53 years, 59% female, 37%
black) without known cardiovascular disease and measured the extent of arterial
stiffness, as determined by pulse wave velocity (PWV), central pulse pressure
(CPP), and central augmentation index (CAIx), and subclinical atherosclerosis, as
determined by carotid-IMT (C-IMT). Compared with whites, blacks had higher CAIx
(23.9 +/- 10.2 vs 22.1 +/- 9.6%, p = 0.004), CPP (36.4 +/- 10.5 vs 34.9 +/- 9.8
mmHg, p = 0.014), PWV (7.6 +/- 1.5 vs 7.3 +/- 1.3 m/s, p = 0.004), and C-IMT
(0.67 +/- 0.10 vs 0.65 +/- 0.10 mm, p = 0.005). In a multivariable analysis
including race and Framingham risk score, race remained an independent predictor
of all measures of subclinical vascular disease; however, models with race and
the ASCVD risk score showed that race was not an independent predictor of
subclinical vascular disease. In conclusion, greater subclinical vascular disease
in blacks was not estimated by the Framingham risk score. The new ASCVD risk
score provided a better estimate of racial differences in vascular function and
structure.
PMID- 29361289
TI - Relation of Baseline Hemoglobin Level to In-Hospital Outcomes in Patients Who
Undergo Percutaneous Coronary Intervention (from a Japanese Multicenter
Registry).
AB - Scarce data exist regarding the relation between baseline hemoglobin and in
hospital outcomes after percutaneous coronary intervention (PCI). We studied
13,010 cases of PCI in a Japanese multicenter registry from 2008 to 2016.
Patients were divided into 5 groups according to 2-g/dl increments in their
preprocedural hemoglobin (from <10 to >16 g/dl). Patients with lower hemoglobin
levels were older and had higher proportions of females and co-morbidities,
including diabetes mellitus and renal failure, than those with higher hemoglobin
levels. In-hospital complications were observed more frequently in patients with
lower than higher levels. After adjustment, baseline hemoglobin was inversely
associated with total procedural complications (odds ratio [OR] 0.87, 95%
confidence interval [CI] 0.84 to 0.90, p <0.001), in-hospital mortality (OR 0.82,
95% CI 0.77 to 0.87, p <0.001), and bleeding complications (OR 0.93, 95% CI 0.88
to 0.98, p = 0.007). Categorically, reverse J-shaped curvilinear correlations
were present between baseline hemoglobin and in-hospital adverse outcomes. When
the reference group comprised patients with a baseline hemoglobin of 12 to 14
g/dl, patients within the lowest hemoglobin levels (<10 g/dl) were at the highest
risk of total procedural complications (OR 2.57, 95% CI 2.07 to 3.17, p <0.001),
in-hospital mortality (OR 3.46, 95% CI 2.34 to 5.11, p <0.001), and bleeding
complications (OR 2.36, 95% CI 1.70 to 3.25, p <0.001). In subgroup analyses,
similar trends were observed in both men and women, and in both patients with
acute coronary syndrome and stable coronary artery disease. In conclusion, a low
baseline hemoglobin is a simple and powerful predictor of poor outcomes in
patients who undergo PCI.
PMID- 29361290
TI - Analysis of Regional Variation in Transcatheter Aortic Valve Implantation and
Overall Aortic Valve Replacement.
PMID- 29361291
TI - Takotsubo Syndrome and Cancer.
PMID- 29361292
TI - Child road traffic injury mortality in Victoria, Australia (0-14 years), the need
for targeted action.
AB - INTRODUCTION: Extensive efforts to reduce unintentional injury were enacted in
the last three decades of the 20th century. Examination of road traffic injury
mortality indicates the extent of fatal, unintentional child injuries (0-14
years) future interventions must address. AIMS: (1) describe in-depth child road
traffic injury (RTI) deaths 2001-2012 in Victoria, Australia (2) identify the
potential preventability of the RTI causes by currently available countermeasures
and scope for enhanced implementation and novel solutions. METHOD: Fatal
Victorian child injury data were extracted from the National Coronial Information
System (NCIS) for the 12 year period January 2001-December 2012. All on-road data
was analysed. Data for passenger and pedestrian deaths was examined in depth.
Associated factors were determined using univariate and pairwise analysis of
factors. Published WHO key prevention strategies, and the recent literature were
reviewed, focusing on the identified fatalities among children 0-14 years.
RESULTS: For 172 RTI deaths, head injury was the leading medical cause of death
(68%). Significantly, the most vulnerable age group for both passengers and
pedestrians was 0-4 years. Rural children were over-represented with children
aged 0-4 years at greatest risk. Common factors for occupants were loss of
control and veering to the incorrect side. For pedestrians the major factors
related to rural residence and supervision. DISCUSSION AND CONCLUSIONS: This
study confirms that RTIs are complex and follow chains of events. Numerous
promising interventions were identified. Wider implementation of these advanced
engineering, education and enforcement strategies may further improve mortality
rates in Victoria. Feasible solutions for aspects of the child pedestrian problem
remain elusive. This study describes the RTI problem in greater depth than
previous studies and reveals that some existing measures are not fully
implemented. The need for targeted action in: 0-4 year olds; head injury; and
rural regions of Victoria is highlighted. The need for a safe systems approach is
paramount.
PMID- 29361293
TI - ICS classification system of infected osteosynthesis: Long-term results.
AB - The best treatment strategy for infected osteosyntheses is still debated. While
hardware removal or eventually early device exchange may be necessary in most of
the cases, temporary hardware retention until fracture healing can be a valid
alternative option in others. Aim of the present study is to report the long-term
results of 215 patients with infected osteosyntheses, treated according to the
ICS (Infection, Callus, Stability) classification in two Italian hospitals.
Patients classified as ICS Type 1 (N = 83) feature callus progression and
hardware stability, in spite of the presence of infection; these patients were
treated with suppressive antibiotic therapy coupled with local debridement in
18.1% of the cases, and no hardware removal until bone healing. Type 2 patients
(N = 75) are characterized by the presence of infection and hardware stability,
but no callus progression; these patients were treated as Type 1 patients, but
with additional callus stimulation therapies. Type 3 patients (N = 57), showing
infection, no callus progression and loss of hardware stability, underwent
removal and exchange of the fixation device. Considering only the initial
treatment, performed according to the ICS classification, at a minimum 5 years
follow up, 89.3% achieved bone healing and 93.5% did not show infection
recurrence. The ICS classification appears as a useful and reliable tool to help
standardizing the decision-making process in treating infected osteosynthesis
with the most conservative approach.
PMID- 29361294
TI - CaP cement is equivalent to iliac bone graft in filling of large metaphyseal
defects: 2 year prospective randomised study on distal radius osteotomies.
AB - The purpose of this prospective randomised study was to compare the clinical and
radiological outcomes of injectable CaP bone cement with corticocancellous bone
graft used to fill voids after corrective opening wedge osteotomies in the distal
radius. 17 women/3 men, median age 56 (51.3; 61.0), underwent an open-wedge
osteotomy of a dorsal malunion in the distal radius randomised to filling the
defect either with bone graft (10) or CaP bone cement (10). Dorsal titanium
locking plates were used and the wrist was plastered for 8 weeks. Follow-ups for
24 months included X-rays, CT scans, VAS on wrist and iliac crest, grip strength,
ROM, Quick-DASH and Gartland & Werley scores. No difference was found between the
2 groups as to clinical outcome or radiological results with no loss of
reduction. One bone graft patient developed a pseudarthrosis and one CaP patient
suffered a plate fracture 6 months post-operatively. CaP bone cement is a good
alternative to bone graft as a void filler in open-wedge osteotomies of the
distal radius. The procedure is shorter, easier with the post-operative advantage
of no donor site pain. Level of Evidence Randomised controlled trial. Level I
evidence.
PMID- 29361295
TI - Treatment of acute achilles tendon rupture with the panda rope bridge technique.
AB - INTRODUCTION: Although nonsurgical methods and many surgical techniques have been
developed for repairing a ruptured Achilles tendon, there is no consensus on its
best treatment. In this article, a novel minimally invasive technique called the
Panda Rope Bridge Technique (PRBT) is described. METHODS: Patient with acute
Achilles tendon rupture was operated on in the prone position. The PRBT begin
with making the proximal bridge anchor (Krackow sutures in the myotendinous
junction), the distal bridge anchor (two suture anchors in the calcaneus bone)
and the ropes (threads of the suture anchors) stretched between the anchor sites.
Then a small incision was made to debride and reattach the stumps of ruptured
tendon. After the surgery, no cast or splint fixation was applied. All patients
performed enhanced recovery after surgery (ERAS), which included immediate ankle
mobilisation from day 1, full weight-bearing walking from day 5 to 7, and
gradually take part in athletic exercises from 8 weeks postoperatively. RESULTS:
PBRT was performed in 11patients with acute Achilles tendon rupture between June
2012 and June 2015. No wound infection, fistula, skin necrosis, sural nerve
damage, deep venous thrombosis or tendon re-rupture was found. One year after the
surgery, all patients reported 100 AOFAS ankle-hindfoot score points and the mean
ATRS was 96.6. CONCLUSION: The PRBT is a simple, effective and minimally invasive
technique, with no need for immobilisation of the ankle, making possible
immediate and aggressive postoperative rehabilitation.
PMID- 29361296
TI - Peri-operative chest physiotherapy for paediatric cardiac patients: a systematic
review and meta-analysis.
AB - BACKGROUND: Chest physiotherapy (CPT) is implemented before and after congenital
heart disease (CHD) surgery in paediatrics to prevent and treat postoperative
pulmonary complications (PPC). Currently, there are no systematic reviews or meta
analyses on the efficacy of CPT in this population. OBJECTIVE: To conduct a
systematic review and meta-analysis to determine whether peri-operative CPT is
safe and effective for paediatric patients with CHD. DATA SOURCES: A literature
search was conducted on PEDro, MEDLINE, CINAHL, Informit, The Cochrane Library
and Scopus in March and April 2016. ELIGIBILITY CRITERIA: English peer-reviewed
articles that utilised CPT before or after cardiac surgery for paediatric CHD.
Systematic reviews were excluded. DATA EXTRACTION AND SYNTHESIS: Completed by two
independent researchers using the Crowe Critical Appraisal Tool. Data were
collated using a piloted data extraction tool. Mix Version 2.0.1.4 was used for
meta-analysis, and data were extracted using an odds ratio (with a random effects
model). RESULTS: Eleven studies met the inclusion criteria for the systematic
review. Variable results were found regarding the effect of CPT on peripheral
oxygen saturation and pain. Meta-analysis showed that CPT did not prevent
pneumonia (odds ratio (OR) 2.01; 95% confidence interval (CI) 0.80 to 5.05;
P=0.13), and did not prevent or treat atelectasis (OR 1.27; 95% CI 0.18 to 8.87;
P=0.81). LIMITATIONS: There was a lack of high-quality studies. The included
studies were comprised of heterogeneous treatment, limiting external validity.
CONCLUSION: Active therapies such as mobilisation, deep breathing and incentive
spirometry were more effective than passive treatment. Percussion led to oxygen
desaturation, and percussion, vibration and suctioning increased the risk of
developing atelectasis. Systematic review registration number CRD42015024768.
PMID- 29361297
TI - Why participants in The United Kingdom Rotator Cuff Tear (UKUFF) trial did not
remain in their allocated treatment arm: a qualitative study.
AB - OBJECTIVE: The UKUFF trial was a three-way parallel group randomised trial
comparing surgical and non-surgical treatments for people with rotator cuff tears
of their shoulder. High crossover between arms in the UKUFF led to the original
trial design being reconfigured; 'Rest then Exercise' was halted. This study
explored why participants recruited did not remain within allocated treatment
arms and explored crossover and surgical decision making. DESIGN: A qualitative
phenomenological approach. PARTICIPANTS: Purposive sampling (n=18) included
participants randomised to 'Rest then Exercise' arm considered least likely to
proceed to surgery but who had surgery, plus participants from all arms not
having surgery. METHODS: In-depth, semi-structured interviews were recorded and
transcribed. Field-notes, memos, member-checking and a reflexive diary were used.
DATA ANALYSES: In accordance with Interpretative Phenomenological Analysis. Peer
review, code-recode audits and constant comparison occurred throughout. RESULTS:
1. Impact of symptoms and diagnosis: these influenced crossover; long durations
of severe pain and failed conservative treatment increased eagerness for surgery.
2. Perceptions and expectations of treatment: surgery provided hope for
participants, especially when "Rest then Exercise" was perceived as having
previously failed. Surgeons were perceived to believe "tears need repairing". 3.
Professionals know best: autonomy and communication: patients deciding not to
have surgery had to actively leave the surgical waiting list. Increasing age,
carer role, self-employment, co-morbidity and improving symptoms were reasons
described for declining surgery. CONCLUSIONS: Most participants had failed
conservative treatment before trial entry and described strong preferences
regarding treatment. Trials should demonstrate patient and clinician equipoise
but participants' rarely described equipoise. If conservative treatments are
usually provided sequentially in clinical practice, it may be inappropriate to
include them as comparators in surgical trials. This is a qualitative study and
not eligible for trial registration since it was carried out independently of the
UKUFF trial (UKUFF ISRCTN97804283 Date assigned 29/06/2007).
PMID- 29361298
TI - What is the patient acceptability of real time 1:1 videoconferencing in an
orthopaedics setting? A systematic review.
AB - BACKGROUND: Real time 1:1 videoconferencing (VC) has the potential to play an
important role in the management of orthopaedic pathologies. Despite positive
reporting of telemedicine studies uptake in clinical practice remains low.
Acceptability to patients is an important element of system take-up in
telemedicine and a focus towards qualitative methodology may explore the
underlying reasons behind its acceptability. In this paper we have systematically
reviewed qualitative studies that include evidence about patient responses to VC
services in an orthopaedic setting. OBJECTIVES: To determine whether real time
1:1 videoconferencing is acceptable to patients in an orthopaedic setting. DATA
SOURCES: MEDLINE, AMED, PsychINFO, CINAHL, SCOPUS, Cochrane Database, Evidence
Search and Open Grey were searched with forwards and backwards reference
screening of eligible papers. ELIGIBILITY CRITERIA: Qualitative studies exploring
the acceptability of VC in an orthopaedic setting were included. STUDY APPRAISAL
AND SYNTHESIS METHODS: Studies were appraised using the CASP tool. A Directed
Content Framework Analysis was conducted using Normalisation Process Theory.
RESULTS: Four studies were included for review. The themes for the four studies
did not overlap and did not report clinician acceptability of VC. The Directed
Content Analysis of these papers using Normalisation Process Theory highlighted
factors which contribute towards its acceptability. CONCLUSIONS: All studies
concluded that the use of VC was acceptable. Further qualitative research
exploring both patient and clinician acceptability is required utilising a
theoretical framework to allow for repeatability and generalisability. Systematic
Review Registration Number: PROSPERO CRD42015024944.
PMID- 29361299
TI - Extracorporeal membrane oxygenation as a direct bridge to heart transplantation
in adults.
AB - OBJECTIVES: Venoarterial extracorporeal membrane oxygenation (ECMO) use as a
bridge to transplant is extremely infrequent in adults. We investigated patient
outcomes of the use of ECMO as bridge to transplant. METHODS: United Network of
Organ Sharing provided de-identified patient-level data. Between 2003 and 2016,
25,168 adult recipients were identified. Of these, 107 (0.4%) were bridged with
ECMO and 6148 (24.4%) were bridged with a continuous-flow left ventricular assist
device. RESULTS: Patients in ECMO group were younger, more likely to have
severely disabled functional status, shorter waitlist time, and were more
frequently mechanically ventilated than were patients in the continuous-flow left
ventricular assist device group. Kaplan-Meier analysis demonstrated estimated
posttransplant survival of 73.1% versus 93.1% at 90 days (P < .001) and 67.4%
versus 82.4% at 3 years (P < .001) in ECMO and continuous-flow left ventricular
assist device groups, respectively. Analysis of a propensity-matched cohort still
demonstrated a lower survival in ECMO group at 90 days (74.8% vs 88.8%; P = .025)
and 3 years (69.3% vs 82.2%; P = .054). Among the ECMO patients, multivariable
logistic and Cox regression analyses showed model for end-stage liver disease
excluding international normalized ratio (MELD-XI) score to be the sole
contributor to both 90-day (odds ratio, 1.94; 95% confidence interval, 1.00-3.76;
P = .050) and 3-year mortality (hazard ratio, 1.47; 95% confidence interval, 1.16
1.88; P = .002). ECMO-supported patients with a high MELD-XI score (>17) were
associated with poor posttransplant survival compared with those with a low MELD
XI score (<13) (90 day, 54.4% vs 85.0% [P < .001] and 3 year, 49.5% vs 73.5% [P <
.001]). CONCLUSIONS: Bridge to transplant with ECMO was associated with increased
early/mid-term mortality, especially in patients with a high MELD-XI score who
demonstrated > 50% 3-year mortality. These findings may help to inform future
organ allocation policies.
PMID- 29361301
TI - Discussion.
PMID- 29361300
TI - Cardiothoracic surgery training grants provide protected research time vital to
the development of academic surgeons.
AB - BACKGROUND: The Ruth L. Kirschstein Institutional National Research Service Award
(T32) provides institutions with financial support to prepare trainees for
careers in academic medicine. In 1990, the Cardiac Surgery Branch of the National
Heart, Lung and Blood Institute (NHLBI) was replaced by T32 training grants,
which became crucial sources of funding for cardiothoracic (CT) surgical
research. We hypothesized that T32 grants would be valuable for CT surgery
training and yield significant publications and subsequent funding. METHODS: Data
on all trainees (past and present) supported by CT T32 grants at two institutions
were obtained (T32), along with information on trainees from two similarly sized
programs without CT T32 funding (Non-T32). Data collected were publicly available
and included publications, funding, degrees, fellowships, and academic rank. Non
surgery residents and residents who did not pursue CT surgery were excluded.
RESULTS: Out of 76 T32 trainees and 294 Non-T32 trainees, data on 62 current
trainees or current CT surgeons (T32: 42 vs Control: 20) were included. Trainees
who were supported by a CT T32 grant were more likely to pursue CT surgery after
residency (T32: 40% [30/76] vs Non-T32: 7% [20/294], P < .0001), publish
manuscripts during residency years (P < .0001), obtain subsequent NIH funding
(T32: 33% [7/21] vs Non-T32: 5% [1/20], P = .02), and pursue advanced fellowships
(T32: 41% [9/22] vs Non-T32: 10% [2/20], P = .02). CONCLUSIONS: T32 training
grants supporting CT surgery research are vital to develop academic surgeons.
These results support continued funding by the NHLBI to effectively develop and
train the next generation of academic CT surgeons.
PMID- 29361302
TI - Irreversible cardiac failure with intraventricular thrombosis: A novel technique
of paracorporeal biventricular assist device implantation with ventricles
excision.
PMID- 29361303
TI - Virtual surgical planning, flow simulation, and 3-dimensional electrospinning of
patient-specific grafts to optimize Fontan hemodynamics.
AB - BACKGROUND: Despite advances in the Fontan procedure, there is an unmet clinical
need for patient-specific graft designs that are optimized for variations in
patient anatomy. The objective of this study is to design and produce patient
specific Fontan geometries, with the goal of improving hepatic flow distribution
(HFD) and reducing power loss (Ploss), and manufacturing these designs by
electrospinning. METHODS: Cardiac magnetic resonance imaging data from patients
who previously underwent a Fontan procedure (n = 2) was used to create 3
dimensional models of their native Fontan geometry using standard image
segmentation and geometry reconstruction software. For each patient, alternative
designs were explored in silico, including tube-shaped and bifurcated conduits,
and their performance in terms of Ploss and HFD probed by computational fluid
dynamic (CFD) simulations. The best-performing options were then fabricated using
electrospinning. RESULTS: CFD simulations showed that the bifurcated conduit
improved HFD between the left and right pulmonary arteries, whereas both types of
conduits reduced Ploss. In vitro testing with a flow-loop chamber supported the
CFD results. The proposed designs were then successfully electrospun into tissue
engineered vascular grafts. CONCLUSIONS: Our unique virtual cardiac surgery
approach has the potential to improve the quality of surgery by manufacturing
patient-specific designs before surgery, that are also optimized with balanced
HFD and minimal Ploss, based on refinement of commercially available options for
image segmentation, computer-aided design, and flow simulations.
PMID- 29361304
TI - Impact of proximal radiopaque coronary bypass graft markers on postbypass surgery
coronary angiography.
AB - BACKGROUND: Implantation of radiopaque bypass graft markers during coronary
artery bypass surgery (CABG) has the potential of facilitating subsequent
coronary angiography. This study sought to investigate the impact of proximal
coronary bypass graft markers on angiographic outcomes during subsequent coronary
angiography in a large cohort. METHODS AND RESULTS: Between 2005 and 2016, we
enrolled 1378 patients (331 with and 1047 without bypass graft markers) with a
history of CABG who underwent their first subsequent coronary angiography at our
institution. Primary endpoints were radiation time and absolute amount of
contrast media used. In unadjusted analyses, radiation time, duration of
angiography, dose area product, and the amount of contrast agent were
significantly lower in patients with proximal bypass graft markers (P < .001).
After full adjustment, proximal coronary bypass graft markers remained a
significant predictor for less radiation time and a lower consumption of contrast
agent but not for dose area product, which was mainly associated with body mass
index and sex. Bypass graft markers were not associated with a lower graft
patency. CONCLUSIONS: Radiopaque coronary bypass graft markers can improve the
detection of bypass grafts during subsequent coronary angiography and are
associated with a lower radiation time and less consumption of contrast agent.
Thus, this easy and cost-efficient technique might significantly reduce the risk
of coronary angiography after CABG.
PMID- 29361305
TI - Identification of Drug Characteristics for Implementing Multiregional Clinical
Trials Including Japan.
AB - PURPOSE: Multiregional clinical trials (MRCT) are a standard strategy used to
improve global drug approval efficiency and the feasibility of clinical trials.
Japan is the world's third largest drug market with a unique health care system,
making it a key inclusion as an operational region for MRCT (MRCT-JP) for global
drug development. We aimed to identify the factors required for efficient drug
development by comprehensively reviewing the clinical trials of drugs approved in
Japan to identify the factors associated with whether or not MRCT-JP is
implemented. METHODS: We surveyed the review reports and summaries of application
data published by the Pharmaceuticals and Medical Devices Agency. We identified
drugs for which the clinical trial data package included MRCT-JP and selected the
same number of drugs for which the clinical trial data package did not include
MRCT-JP from the most recent survey period for comparison. We also examined other
publication information, in addition to the review reports, as necessary. The
influence of each explanatory variable was analyzed by logistic regression
analysis, with whether or not MRCT-JP was implemented as the explanatory
variable. Statistical significance was set at 5%. FINDINGS: In the survey period
up to September 2017, 165 drugs developed with MRCT-JP were approved for
manufacture and sale in Japan. "Respiratory system," "inhalation," "biological
drug," and "under review" evaluation status for the United States, European
Union, and other areas, "approved" evaluation status for the United States, "new
ingredients," "priority review," "non-Japanese firm," and "Top 1-10" and "Top 11
20" drug sales rankings for pharmaceutical companies were identified as potential
factors leading to the implementation of MRCT-JP. In contrast, "general anti
infectives for systemic use," "various," "external," "chemical compound,"
"unsubmitted" evaluation status for both the United States and European Union,
and "Top 51+" drug sales rankings were potential factors for not implementing
MRCT-JP. IMPLICATIONS: Therapeutic classification and agent type, in addition to
capital type and United States and European Union evaluation status suggested by
a previous study, were associated with implementing MRCT-JP. It is important to
determine the best way to utilize MRCT-JP to maximize the value of products. Our
findings were based on successful cases and may therefore be helpful for
designing clinical development plans. Appropriate use of MRCT-JP will improve
productivity in the pharmaceutical industry.
PMID- 29361306
TI - Immunoribosomes: Where's there's fire, there's fire.
AB - The MHC class I antigen presentation pathway enables T cell immunosurveillance of
cancer cells, viruses and other intracellular pathogens. Rapidly degraded newly
synthesized proteins (DRiPs) are a major source of self-, and particularly, viral
antigenic peptides. A number of findings support the idea that a substantial
fraction of antigenic peptides are synthesized by "immunoribosomes", a subset of
translating ribosomes that generate class I peptides with enhanced efficiency.
Here, we review the evidence for the immunoribosome hypothesis.
PMID- 29361307
TI - Renal tubule injury: a driving force toward chronic kidney disease.
AB - Renal tubules are the major component of the kidney and are vulnerable to a
variety of injuries including hypoxia, proteinuria, toxins, metabolic disorders,
and senescence. It has long been believed that tubules are the victim of injury.
In this review, we shift this concept to renal tubules as a driving force in the
progression of kidney diseases. In response to injury, tubular epithelial cells
undergo changes and function as inflammatory and fibrogenic cells, with the
consequent production of various bioactive molecules that drive interstitial
inflammation and fibrosis. Innate immune-sensing receptors on the tubular
epithelium also aggravate immune responses. Necroinflammation, an
autoamplification loop between tubular cell death and interstitial inflammation,
leads to the exacerbation of renal injury. Furthermore, tubular cells also play
an active role in progressive renal injury via emerging mechanisms associated
with a partial epithelial-mesenchymal transition, cell-cycle arrest at both G1/S
and G2/M check points, and metabolic disorder. Thus, a better understanding the
mechanisms by which tubular injury drives inflammation and fibrosis is necessary
for the development of therapeutics to halt the progression of chronic kidney
disease.
PMID- 29361308
TI - Maternal depressive symptoms and infant healthcare utilization: The moderating
role of prenatal mindfulness.
PMID- 29361309
TI - In-vitro wear of natural tooth surface opposed with zirconia reinforced lithium
silicate glass ceramic after accelerated ageing.
AB - OBJECTIVE: To evaluate the effect of different pH media on zirconia-reinforced
lithium silicate glass ceramic and how they interact with opposing dentition
after being aged in different pH cycling and high temperature conditions.
METHODS: Twenty-five rectangular shaped specimens were prepared from lithium
silicate reinforced with zirconia blanks (Suprinity, Vita Zahnfabrick) and stored
in different pH media (3 & 7.2) for different periods (24h & 7 days) at
temperature (55 degrees C). After their surface roughness (Ra) evaluation, aged
ceramic specimens were subjected to cyclic abrasive wear with opposing natural
teeth enamel for 150,000 cycles using a chewing simulator. Weight loss and
Scanning Electron Microscope (SEM) images were used to evaluate the cyclic wear
results. RESULTS: After different pH storage, ceramic group stored at 3 pH for 1
W (1 week) gave significantly higher mean Ra value (0.618MUm+/-0.117) than
control lowest mean value (0.357MUm+/-0.054) before cyclic wear. On the other
hand, it caused the least significant weight loss value (0.004gm+/-0.001) to
opposing tooth enamel. There was significant tooth enamel weight loss (0.043gm+/
0.004) when opposed with ceramic group stored in 3 pH media for 24h (24-H). Their
SEM images showed a prominent wear scar on enamel cusp tip. There was a
significant increase in surface roughness Ra of ceramic material after abrasive
cyclic wear. SIGNIFICANCE: Great attention should be paid to Ra of this type of
glass ceramic even if it is considered as minimal values. It can induce a
significant amount of enamel tooth wear after a period equivalent to one year of
intra-oral function rather than the significantly higher surface Ra of such
ceramic type can do.
PMID- 29361310
TI - Kounys syndrome after rocuronium administration.
AB - Kounis syndrome encompasses concepts including angina and allergic infarction
described in relation to exposure to different allergens. The aim of this article
is to describe a case of Kounis Syndrome type II after exposure to rocuronium as
well as the patholophysiology and the treatment of this syndrome.
PMID- 29361311
TI - Perioperative hyperoxia: Myths and realities.
PMID- 29361312
TI - The usefulness of interpectoral block as an analgesic technique in breast cancer
surgery.
AB - OBJECTIVE: To compare the analgesic efficacy of continuous interpectoral block
(CIPB) compared to intravenous analgesia (IV) after breast surgery. MATERIAL AND
METHOD: A prospective, comparative and randomised study of women aged from 18
75years, ASAI-III, operated for breast cancer. In group1 (CIPB) after general
anaesthetic, an ultrasound-guided interpectoral catheter was placed and 30mL of
0.5% ropivacaine was administered through it. In the event of an increase in
heart rate and blood pressure >15% after the surgical incision, intravenous
fentanyl 1MUg.kg-1 was administered, repeating the dose as necessary. In the
postoperative period, perfusion of ropivacaine 0.2% 5mL.h-1; with PCA bolus
5mL/30minutes was administered through the catheter for 24hours and rescue
analgesia prescribed with 5mg subcutaneous morphine chloride. In group2 (IV),
after induction of general anaesthesia, intravenous fentanyl was administered in
the same way as in the other group. The patients received metamizole 2g with
dexketoprofen 50mg and ondansetron 4mg postoperatively followed by perfusion of
metamizole 4%, tramadol 0.2% and ondansetron 0.08% 2ml.h-1; with PCA bolus
2mL/20min for 24hours. The same rescue analgesia was prescribed. The principal
variables recorded were pain at rest and during movement, according to a simple
verbal scale (VAS 0-10) and the rescue analgesia required on discharge from
recovery, at 12 and at 24hours. RESULTS: 137 patients were included: 81 in group1
(59.12%) and 56 in group2 (40.87%). No significant differences were observed in
the analgesia between either group, but differences were observed in the dose of
intraoperative fentanyl (P<.05). Differences that were not significant were
observed in the rescue analgesia required on recovery (10% fewer on group1).
CONCLUSIONS: Both techniques provided effective postoperative analgesia, but the
CIPB group required significantly less intraoperative fentanyl.
PMID- 29361313
TI - Big Strides in Cellular MicroRNA Expression.
AB - A lack of knowledge of the cellular origin of miRNAs has greatly confounded
functional and biomarkers studies. Recently, three studies characterized miRNA
expression patterns across >78 human cell types. These combined data expand our
knowledge of miRNA expression localization and confirm that many miRNAs show cell
type-specific expression patterns.
PMID- 29361314
TI - Simvastatin alters M1/M2 polarization of murine BV2 microglia via Notch
signaling.
AB - Microglia play a critical role in the regulation of CNS immune function, which
can be greatly affected by M1/M2 polarization. The role of Notch signaling in
Statins induced alteration of M1/M2 polarization in BV2 cells was assessed in
this study. M1 markers in LPS and Jagged-1 treated group were significantly
increased and such increase was attenuated by simvastatin; however, M2 markers
were enhanced. Moreover, simvastatin enhance the expression of Notch signaling
molecules, and its regulatory effects were blocked in Notch1 knocked down cells.
In conclusion, these findings indicated that simvastatin alters M1/M2
polarization of murine BV2 microglia via Notch signaling.
PMID- 29361315
TI - Corrigendum to "Structure, folding and stability of a minimal homologue from
Anemonia sulcata of the sea anemone potassium channel blocker ShK" [Peptides 99
(2018) 169-178].
PMID- 29361316
TI - Structure and Conformational Dynamics of the Human Spliceosomal Bact Complex.
AB - The spliceosome is a highly dynamic macromolecular complex that precisely excises
introns from pre-mRNA. Here we report the cryo-EM 3D structure of the human Bact
spliceosome at 3.4 A resolution. In the Bact state, the spliceosome is activated
but not catalytically primed, so that it is functionally blocked prior to the
first catalytic step of splicing. The spliceosomal core is similar to the yeast
Bact spliceosome; important differences include the presence of the RNA helicase
aquarius and peptidyl prolyl isomerases. To examine the overall dynamic behavior
of the purified spliceosome, we developed a principal component analysis-based
approach. Calculating the energy landscape revealed eight major conformational
states, which we refined to higher resolution. Conformational differences of the
highly flexible structural components between these eight states reveal how
spliceosomal components contribute to the assembly of the spliceosome, allowing
it to generate a dynamic interaction network required for its subsequent
catalytic activation.
PMID- 29361317
TI - Associations between ambient air pollution and daily mortality in a cohort of
congestive heart failure: Case-crossover and nested case-control analyses using a
distributed lag nonlinear model.
AB - BACKGROUND: Persons with congestive heart failure may be at higher risk of the
acute effects related to daily fluctuations in ambient air pollution. To meet
some of the limitations of previous studies using grouped-analysis, we developed
a cohort study of persons with congestive heart failure to estimate whether daily
non-accidental mortality were associated with spatially-resolved, daily exposures
to ambient nitrogen dioxide (NO2) and ozone (O3), and whether these associations
were modified according to a series of indicators potentially reflecting
complications or worsening of health. METHODS: We constructed the cohort from the
linkage of administrative health databases. Daily exposure was assigned from
different methods we developed previously to predict spatially-resolved, time
dependent concentrations of ambient NO2 (all year) and O3 (warm season) at
participants' residences. We performed two distinct types of analyses: a case
crossover that contrasts the same person at different times, and a nested case
control that contrasts different persons at similar times. We modelled the
effects of air pollution and weather (case-crossover only) on mortality using
distributed lag nonlinear models over lags 0 to 3 days. We developed from
administrative health data a series of indicators that may reflect the underlying
construct of "declining health", and used interactions between these indicators
and the cross-basis function for air pollutant to assess potential effect
modification. RESULTS: The magnitude of the cumulative as well as the lag
specific estimates of association differed in many instances according to the
metric of exposure. Using the back-extrapolation method, which is our preferred
exposure model, we found for the case-crossover design a cumulative mean
percentage changes (MPC) in daily mortality per interquartile increment in NO2
(8.8 ppb) of 3.0% (95% CI: -0.9, 6.9%) and for O3 (16.5 ppb) 3.5% (95% CI: -4.5,
12.1). For O3 there was strong confounding by weather (unadjusted MPC = 7.1%; 95%
CI: 1.7, 12.7%). For the nested case-control approach the cumulative MPC for NO2
in daily mortality was 2.9% (95% CI: -0.9, 6.9%) and for O3 7.3% (95% CI: 3.0,
11.9%). We found evidence of effect modification between daily mortality and
cumulative NO2 and O3 according to the prescribed dose of furosemide in the
nested case-control analysis, but not in the case-crossover analysis.
CONCLUSIONS: Mortality in congestive heart failure was associated with exposure
to daily ambient NO2 and O3 predicted from a back-extrapolation method using a
land use regression model from dense sampling surveys. The methods used to assess
exposure can have considerable influence on the estimated acute health effects of
the two air pollutants.
PMID- 29361318
TI - Zika virus encephalitis.
PMID- 29361319
TI - A 60-Year-Old Male Smoker With Chronic Obstructive Pulmonary Disease and
Hypereosinophilia.
PMID- 29361320
TI - Medical Care According to Risk Level and Adaptation to Spanish COPD Guidelines
(Gesepoc): The Epoconsul Study.
AB - INTRODUCTION: EPOCONSUL is the first national audit to analyze medical care for
COPD in pulmonology departments in Spain. The main objective was to perform a
retrospective analysis to determine the distribution of GesEPOC 2017 COPD risk
levels and to evaluate clinical activity according to the new recommendations.
MATERIAL AND METHODS: This is a cross-sectional clinical audit in which
consecutive COPD cases were recruited over one year. The study evaluated risk and
clinical phenotype according to GesEPOC 2017, and their correlation with the
clinical interventions employed. RESULTS: The most common risk category was high
risk (79.8% versus 20.2%; p < 0.001), characterized by a higher level of severity
on BODE and BODEx indexes, and a higher comorbidity burden. The most common
phenotype was non-exacerbator. The most commonly used treatment in low-risk
patients was bronchodilator monotherapy (34.8%) and triple therapy in high-risk
patients (53.7%). High risk was most frequently characterized by phenotype (57.6%
versus 52%; p = 0.014) and pulmonary function test results: lung volume (47.7%
versus 35.8%; p < 0.001), lung diffusion (51.4% versus 42.1%; p < 0.001) and walk
test (37.8% versus 15.8%; p < 0.001). CONCLUSIONS: Most patients treated in
pulmonology departments were high-risk and non-exacerbator phenotype. Clinical
interventions differed according to risk level and mainly followed GesEPOC
recommendations, although there is significant room for improvement.
PMID- 29361321
TI - Centennial record of anthropogenic impacts in Galveston Bay: Evidence from trace
metals (Hg, Pb, Ni, Zn) and lignin oxidation products.
AB - During the 20th century the impacts of industrialization and urbanization in
Galveston Bay resulted in significant shifts in trace metals (Hg, Pb, Ni, Zn) and
vascular plant biomarkers (lignin phenols) recorded within the surface sediments
and sediment cores profile. A total of 22 sediment cores were collected in
Galveston Bay in order to reconstruct the historical input of Hg, Pb, Ni, Zn and
terrestrial organic matter. Total Hg (T-Hg) concentration ranged between 6 and
162 ng g-1 in surface sediments, and showed decreasing concentrations southward
from the Houston Ship Channel (HSC) toward the open estuary. Core profiles of T
Hg and trace metals (Ni, Zn) showed substantial inputs starting in 1905, with
peak concentrations between 1960 and 1970's, and decreasing thereafter with
exception to Pb, which peaked around 1930-1940s. Stable carbon isotopes and
lignin phenols showed an increasing input of terrestrial organic matter driven by
urban development within the watershed in the early 1940s. Both the enrichment
factor and the geoaccumulation index (Igeo) for T-Hg as a measure of the
effectiveness of environmental management practices showed substantial
improvements since the 1970s. The natural recovery rate in Galveston Bay since
the peak input of T-Hg was non-linear and displayed a slow recovery during the
twenty-first century.
PMID- 29361322
TI - Pulmonary aspergilloma mimicking synchronous lung cancer on PET/CT in a patient
with head and neck cancer.
PMID- 29361323
TI - Accuracy of the TIMI and GRACE scores in predicting coronary disease in patients
with non-ST-elevation acute coronary syndrome.
AB - INTRODUCTION: The GRACE and TIMI scores have been well validated for assessment
of prognosis in non-ST-elevation acute coronary syndrome (NSTE-ACS). However,
their value in predicting coronary artery disease (CAD) has been little studied.
We aimed to assess the relationship between these scores and the extent of
coronary disease. METHODS: We analyzed 238 consecutive patients admitted for NSTE
ACS and undergoing a coronary angiogram during hospitalization. The severity of
CAD was assessed using the SYNTAX score. Obstructive CAD was defined as >=50%
stenosis in the left main or >=70% stenosis in other vessels. Severe CAD was
defined as a SYNTAX score >32. The Pearson test was used to assess the
correlation between scores. RESULTS: The SYNTAX score was higher in patients at
high risk (GRACE score: p<0.001 and TIMI score: p=0.001). Moreover, there was a
significant positive correlation between the GRACE and SYNTAX scores (r=0.23,
p<0.001) as well as between TIMI and SYNTAX (r=0.2, p=0.002). Both clinical
scores can predict obstructive CAD moderately well (area under the curve [AUC]
for GRACE score: 0.599, p=0.015; TIMI score: AUC 0.639, p=0.001) but not severe
disease. A GRACE score of 120 and a TIMI score of 2 were predictive of
obstructive CAD with, respectively, a sensitivity of 57% and 75.7% and a
specificity of 61.8% and 47.9%. CONCLUSION: The GRACE and TIMI scores correlate
moderately with the extent of coronary disease assessed by the SYNTAX score. They
can predict obstructive CAD but not severe disease.
PMID- 29361324
TI - Association between Medicare high-risk criteria and outcomes after carotid
revascularization procedures.
AB - BACKGROUND: The U.S. Centers for Medicare and Medicaid Services (CMS) has defined
a set of high-risk criteria to help define patients who are appropriate for
carotid artery stenting (CAS), but these criteria have never been validated. We
aimed to validate the CMS high-risk criteria in a nationally representative
cohort of patients undergoing CAS and carotid endarterectomy (CEA). METHODS: All
patients undergoing CAS (with embolic protection) or CEA in the Vascular Quality
Initiative (VQI) database (2013-2016) were included. Patients were stratified as
being at normal risk (Nr) or high risk (Hr) for undergoing CEA on the basis of
CMS criteria. Thirty-day and 2-year stroke outcomes were compared for CAS vs CEA
in both the Nr and Hr groups using 1:1 coarsened exact matching and multivariable
Cox proportional hazards modeling. RESULTS: A total of 51,942 patients (CAS,
7030; CEA, 44,912) underwent carotid revascularization during the study period.
Thirty-day (Nr, 1.7% vs 1.0%; Hr, 2.5% vs 1.4%) and 2-year (Nr, 1.9% vs 1.0%; Hr,
2.4% vs 1.3%) stroke occurred more frequently after CAS vs CEA on crude analysis
(P < .001). After matching 2920 pairs of patients on 18 preoperative variables,
the risk of 30-day and 2-year stroke remained higher after CAS in the Hr group
(30-day risk: hazard ratio [HR], 1.90; 95% confidence interval [CI], 1.26-2.85; 2
year risk: HR, 1.65; 95% CI, 1.05-2.60) but was similar for CAS vs CEA in the Nr
group (30-day risk: HR, 0.97; 95% CI, 0.48-1.95; 2-year risk: HR, 1.49; 95% CI,
0.76-2.90). CONCLUSIONS: These data suggest that the utility of CAS in Nr
patients may be underappreciated, whereas the potential benefit of CAS in Hr
patients may be overestimated. Re-evaluation of the criteria for identifying
patients at high risk for CEA and the national guidelines on the indications for
CAS is strongly indicated.
PMID- 29361325
TI - The Dorsal Aponeurosis of the Thumb.
AB - PURPOSE: To evaluate the thumb dorsal aponeurosis anatomy. Consideration of
structural differences between the fingers and thumb will provide an improved
clinical understanding of the thumb dorsal aponeurosis anatomy. METHODS: Ten
fresh cadaver hands from 5 patients with an average age of 31.6 (range, 22-41)
years were dissected. The thenar muscles were identified and insertion sites were
documented. The fibers of the dorsal aponeurosis and contributions were
identified. RESULTS: The flexor pollicis brevis superficial head contributed to
the radial fibers of the dorsal aponeurosis in 8 specimens and contributed to the
deep head in 1 specimen. The abductor pollicis brevis provided fibers to the
radial dorsal aponeurosis in all 10 specimens. The oblique and transverse heads
of the adductor pollicis contributed to the ulnar dorsal aponeurosis in 8 and 9
hands, respectively. The fibers of the intrinsic apparatus were composed of 3
major types: transverse, oblique, and long. CONCLUSIONS: This investigation
provides a detailed anatomic study of the dorsal aponeurosis of the thumb with
observation of both intrinsic and extrinsic contributions. CLINICAL RELEVANCE:
Understanding the anatomy of the dorsal aponeurosis of the thumb remains
important not only for evaluation of the classic Stener lesion, but also for the
appropriate treatment of deformity, contracture, and neuromuscular disorders
involving the thumb.
PMID- 29361326
TI - Evidence-Based Review of Pharmacotherapy for Acute Agitation. Part 1: Onset of
Efficacy.
AB - BACKGROUND: The main goal of antipsychotic medication in the management of acute
agitation in the emergency department is to rapidly induce calm without
oversedation, enabling patients to participate in their own care. However, there
is a paucity of comparative studies, particularly with newer fast-acting second
generation antipsychotic agents. OBJECTIVE OF THE REVIEW: This structured
evidence-based review compared the onset of efficacy of antipsychotic treatments
for acute agitation using data from randomized controlled trials identified by a
literature search of the PubMed database. RESULTS: Based on findings from 28
blinded randomized controlled trials, onset of efficacy was rapid and generally
observed at the first time point after intramuscular administration of
ziprasidone (15-30 min) or olanzapine (15-30 min), but was more likely to be
delayed with intramuscular haloperidol, even when combined with lorazepam (30-60
min), and intramuscular aripiprazole (45-90 min). When administered orally, rapid
onset of efficacy was also consistently observed at the first assessment time
point with olanzapine (15-120 min), risperidone (30-120 min), and sublingual
asenapine (15 min). Significant effects were apparent for inhaled loxapine within
10-20 min. Effects were apparent within approximately 5-10 min with i.v.
droperidol. Onset of efficacy was typically more rapid with second-generation
antipsychotic agents than benzodiazepines, but data are limited. CONCLUSIONS:
Although the patient populations of trials included in this review do not truly
reflect that of the emergency department, the results provide useful information
to emergency physicians on the rapid efficacy of certain newer-generation
antipsychotic agents for the treatment of acutely agitated patients.
PMID- 29361327
TI - Comparison of macular parameters after femtosecond laser-assisted and
conventional cataract surgery in age-related macular degeneration.
AB - PURPOSE: To evaluate differences in postoperative central macular thickness,
central macular volume, corrected distance visual acuity (CDVA), and number of
intravitreal anti-vascular endothelial growth factor (VEGF) injections between
conventional and femtosecond laser-assisted cataract surgery in wet age-related
macular degeneration (AMD). SETTING: Tertiary referral center, Lucerne,
Switzerland. DESIGN: Retrospective case series. METHODS: Consecutive patients
with AMD and cataract were enrolled between January 2010 and December 2015.
Associations between postoperative changes in central macular thickness, central
macular volume, CDVA, and number of anti-VEGF injections with type of surgery
were assessed statistically. RESULTS: The study comprised 140 eyes (110
patients). No differences in postoperative central macular thickness (-9.20 MUm;
95% confidence interval [CI], -41.68 to 23.28; P = .576), central macular volume
(-0.08 mm2; 95% CI, -0.36 to 0.19; P = .553), visual acuity (0.03 logarithm of
the minimum angle of resolution; 95% CI, -0.09 to 0.15; P = .647) or
postoperative number of anti-VEGF injections (0.30; 95% CI, -0.45 to 1.05; P =
.427) were found between the femtosecond laser group and the conventional group
over a mean follow-up of 619 days +/- 473 (SD). In the 33 eyes that had optical
coherence tomography measurement within a postoperative period of 2 weeks, the
central macular volume was significantly lower in femtosecond laser-treated eyes
(-0.71 mm2; 95% CI, -1.19 to -0.23; P = .005). CONCLUSIONS: Overall, the
postoperative course between wet AMD after femtosecond laser and conventional
cataract surgery was equal. During the early follow-up, femtosecond laser-treated
eyes had less subclinical macular edema, indicating a possible benefit for
patients with macular vulnerability.
PMID- 29361328
TI - Write a scientific paper (WASP) - a career-critical skill.
AB - The ability to write a scientific paper (WASP) is becoming progressively more
critical because the "publish or perish" mantra is increasingly valid in today's
world where success is judged by number of publications and quality of
publications based on journals which publish the researcher's work. These metrics
are used to gauge applicants in often cut-throat competitions for jobs and/or
career advancement. However, the science and art of paper-writing comprise a vast
panoply of different skills, from writing a proposal, to ethics and data
protection applications, to data collection and analysis, to writing and dealing
with editors and authors, and so on. Over the next few issues, Early Human
Development will embark on a series of Best Practice Guidelines that will outline
and explain the various requisite WASP skills while providing practical
guidelines for paper writing. The purpose is to impart the authors' collective
experience to trainees in this crucial aspect of career progress. This first set
of WASP papers will mainly focus on statistical analysis using ExcelTM.
PMID- 29361329
TI - WASP (Write a Scientific Paper) using Excel - 1: Data entry and validation.
AB - Data collection for the purposes of analysis, after the planning and execution of
a research study, commences with data input and validation. The process of data
entry and analysis may appear daunting to the uninitiated, but as pointed out in
the 1970s in a series of papers by British Medical Journal Deputy Editor TDV
Swinscow, modern hardware and software (he was then referring to the availability
of hand calculators) permits the performance of statistical testing outside a
computer laboratory. In this day and age, modern software, such as the ubiquitous
and almost universally familiar Microsoft ExcelTM greatly facilitates this
process. This first paper comprises the first of a collection of papers which
will emulate Swinscow's series, in his own words, "addressed to readers who want
to start at the beginning, not to those who are already skilled statisticians."
These papers will have less focus on the actual arithmetic, and more emphasis on
how to actually implement simple statistics, step by step, using Excel, thereby
constituting the equivalent of Swinscow's papers in the personal computer age.
Data entry can be facilitated by several underutilised features in Excel. This
paper will explain Excel's little-known form function, data validation
implementation at input stage, simple coding tips and data cleaning tools.
PMID- 29361330
TI - Microbiological properties of poultry breast meat treated with high-intensity
ultrasound.
AB - Lactic acid, psychrophilic, and mesophilic bacteria, Escherichia coli, Salmonella
spp. and Staphylococcus aureus were enumerated on chicken breasts after treatment
with different high intensity ultrasound (frequency 40 kHz, intensity 9.6 W/cm-2)
application times (0, 30, and 50 min) and packaging atmospheres (aerobic and
vacuum) after a 7-day storage. The experiment was performed in commercial 7-week
old chicken breasts. Counts were performed prior to and immediately after
ultrasonication, and on the 7th day of chill-storage. After sonication and
storage, mesophiles, psychrophiles, LAB and S. aureus increased statistically.
Psychrophiles decreased significantly under anaerobic packaging. There were no
differences among ultrasonication times in terms of mesophiles, psychrophiles,
LAB, E. coli and Salmonella spp. S. aureus numbers had a significant reduction
after 50 min sonication. Under these experimental conditions, high-intensity
ultrasound for 50 min is a control method of S. aureus and the anaerobic
packaging reduces numbers of psychrophiles in chicken breast. The effect of
ultrasound is only significant after the storage time.
PMID- 29361331
TI - Damage assessment in composite laminates via broadband Lamb wave.
AB - Time of flight (ToF) based method for damage detection using Lamb waves is widely
used. However, due to the energy dissipation of Lamb waves and the non-ignorable
size of damage in composite structure, the performance of damage detection is
restricted. The objective of this research is to establish an improved method to
locate and assess damages in composite structure. To choose appropriate
excitation parameters, the propagation characters of Lamb waves in quasi
isotropic composite laminates are firstly studied and the broadband excitation is
designed. Subsequently, the pulse compression technique is adopted for energy
concentration and high-accuracy distance estimation. On this basis, the gravity
center of intersections of path loci is employed for damage localization and the
convex envelop of identified damage edge points is taken for damage contour
estimation. As a result, both damage location and size can be evaluated, thereby
providing the information for quantitative damage detection. The experiment
consisting of five different sizes of damage is carried for method verification
and the identified results show the efficiency of the proposed method.
PMID- 29361332
TI - Safety and efficacy of immunotherapy with the recombinant B-cell epitope-based
grass pollen vaccine BM32.
AB - BACKGROUND: BM32 is a grass pollen allergy vaccine based on recombinant fusion
proteins consisting of nonallergenic peptides from the IgE-binding sites of the 4
major grass pollen allergens and the hepatitis B preS protein. OBJECTIVE: We
sought to study the safety and clinical efficacy of immunotherapy (allergen
immunotherapy) with BM32 in patients with grass pollen-induced rhinitis and
controlled asthma. METHODS: A double-blind, placebo-controlled, multicenter
allergen immunotherapy field study was conducted for 2 grass pollen seasons.
After a baseline season, subjects (n = 181) were randomized and received 3
preseasonal injections of either placebo (n = 58) or a low dose (80 MUg, n = 60)
or high dose (160 MUg, n = 63) of BM32 in year 1, respectively, followed by a
booster injection in autumn. In the second year, all actively treated subjects
received 3 preseasonal injections of the BM32 low dose, and placebo-treated
subjects continued with placebo. Clinical efficacy was assessed by using combined
symptom medication scores, visual analog scales, Rhinoconjunctivitis Quality of
Life Questionnaires, and asthma symptom scores. Adverse events were graded
according to the European Academy of Allergy and Clinical Immunology. Allergen
specific antibodies were determined by using ELISA, ImmunoCAP, and ImmunoCAP
ISAC. RESULTS: Although statistical significance regarding the primary end point
was not reached, BM32-treated subjects, when compared with placebo-treated
subjects, showed an improvement regarding symptom medication, visual analog
scale, Rhinoconjunctivitis Quality of Life Questionnaire, and asthma symptom
scores in both treatment years. This was accompanied by an induction of allergen
specific IgG without induction of allergen-specific IgE and a reduction in the
seasonally induced increase in allergen-specific IgE levels in year 2. In the
first year, more grade 2 reactions were observed in the active (n = 6) versus
placebo (n = 1) groups, whereas there was almost no difference in the second
year. CONCLUSIONS: Injections of BM32 induced allergen-specific IgG, improved
clinical symptoms of seasonal grass pollen allergy, and were well tolerated.
PMID- 29361333
TI - Specific IgE to gelatin as a cause of anaphylaxis to zoster vaccine.
PMID- 29361334
TI - Progress in clinical research in surgery and IDEAL.
AB - The quality of clinical research in surgery has long attracted criticism. High
quality randomised trials have proved difficult to undertake in surgery, and many
surgical treatments have therefore been adopted without adequate supporting
evidence of efficacy and safety. This evidence deficit can adversely affect
research funding and reimbursement decisions, lead to slow adoption of
innovations, and permit widespread adoption of procedures that offer no benefit,
or cause harm. Improvement in the quality of surgical evidence would therefore be
valuable. The Idea, Development, Exploration, Assessment, and Long-term Follow-up
(IDEAL) Framework and Recommendations specify desirable qualities for surgical
studies, and outline an integrated evaluation pathway for surgery, and similar
complex interventions. We used the IDEAL Recommendations to assess methodological
progress in surgical research over time, assessed the uptake and influence of
IDEAL, and identified the challenges to further methodological progress.
Comparing studies from the periods 2000-04 and 2010-14, we noted apparent
improvement in the use of standard outcome measures, adoption of Consolidated
Standards of Reporting Trials (CONSORT) standards, and assessment of the quality
of surgery and of learning curves, but no progress in the use of qualitative
research or reporting of modifications during procedure development. Better
education about research, integration of rigorous evaluation into routine
practice and training, and linkage of such work to awards systems could foster
further improvements in surgical evidence. IDEAL has probably contributed only
slightly to the improvements described to date, but its uptake is accelerating
rapidly. The need for the integrated evaluation template IDEAL offers for surgery
and other complex treatments is becoming more widely accepted.
PMID- 29361336
TI - A new powerful drug to combat river blindness.
PMID- 29361337
TI - The Effect of a Voice Therapy Program Based on the Taxonomy of Vocal Therapy in
Women with Behavioral Dysphonia.
AB - OBJECTIVE: This study aims to propose and analyze the effect of a voice therapy
program (VTP) in women with behavioral dysphonia. MATERIALS AND METHODS: This is
a controlled, blinded, and nonrandomized cohort study. Participants of this study
were 22 women with behavioral dysphonia divided into two groups: G1, 11 women
with behavioral dysphonia who received the VTP, and G2, 11 women with behavioral
dysphonia who did not receive any intervention. Before and after 6 weeks, the
outcome variables evaluated in both groups were auditory-perceptual evaluation of
the global degree of vocal quality (vowel /a/ and counting), instrumental
acoustic parameters, Voice-Related Quality of Life, vocal and larynx symptoms,
and musculoskeletal pain. The statistical analysis used the Wilcoxon, chi-square,
and Mann-Whitney tests (P < 0.05). RESULTS: After 6 weeks, we observed a
significantly higher improvement in the general degree of vocal deviation in
vowels, a reduced F0 and symptom of "fatigue while talking" in G1, and an
increased "shoulder" pain intensity in G2. Both groups showed improvement in the
socioemotional domain of Voice-Related Quality of Life. In addition, the
comparison between the groups showed a significantly greater reduction in
fundamental frequency and the "voice loss" symptom in G1 compared with G2.
CONCLUSIONS: The VTP using semioccluded vocal tract exercises obtained a positive
effect on voice quality, symptoms, and musculoskeletal pain in women with
behavioral dysphonia. The proposal, based on the taxonomy of voice therapy, seems
to have promoted a phonatory balance, muscle relaxation, and improvement in the
vocal resistance of this population.
PMID- 29361335
TI - Single dose moxidectin versus ivermectin for Onchocerca volvulus infection in
Ghana, Liberia, and the Democratic Republic of the Congo: a randomised,
controlled, double-blind phase 3 trial.
AB - BACKGROUND: The morbidity and socioeconomic effects of onchocerciasis, a
parasitic disease that is primarily endemic in sub-Saharan Africa, have motivated
large morbidity and transmission control programmes. Annual community-directed
ivermectin treatment has substantially reduced prevalence. Elimination requires
intensified efforts, including more efficacious treatments. We compared
parasitological efficacy and safety of moxidectin and ivermectin. METHODS: This
double-blind, parallel group, superiority trial was done in four sites in Ghana,
Liberia, and the Democratic Republic of the Congo. We enrolled participants (aged
>=12 years) with at least 10 Onchocerca volvulus microfilariae per mg skin who
were not co-infected with Loa loa or lymphatic filariasis microfilaraemic.
Participants were randomly allocated, stratified by sex and level of infection,
to receive a single oral dose of 8 mg moxidectin or 150 MUg/kg ivermectin as
overencapsulated oral tablets. The primary efficacy outcome was skin
microfilariae density 12 months post treatment. We used a mixed-effects model to
test the hypothesis that the primary efficacy outcome in the moxidectin group was
50% or less than that in the ivermectin group. The primary efficacy analysis
population were all participants who received the study drug and completed 12
month follow-up (modified intention to treat). This study is registered with
ClinicalTrials.gov, number NCT00790998. FINDINGS: Between April 22, 2009, and Jan
23, 2011, we enrolled and allocated 998 participants to moxidectin and 501
participants to ivermectin. 978 received moxidectin and 494 ivermectin, of which
947 and 480 were included in primary efficacy outcome analyses. At 12 months,
skin microfilarial density (microfilariae per mg of skin) was lower in the
moxidectin group (adjusted geometric mean 0.6 [95% CI 0.3-1.0]) than in the
ivermectin group (4.5 [3.5-5.9]; difference 3.9 [3.2-4.9], p<0.0001; treatment
difference 86%). Mazzotti (ie, efficacy-related) reactions occurred in 967 (99%)
of 978 moxidectin-treated participants and in 478 (97%) of 494 ivermectin-treated
participants, including ocular reactions (moxidectin 113 [12%] participants and
ivermectin 47 [10%] participants), laboratory reactions (788 [81%] and 415
[84%]), and clinical reactions (944 [97%] and 446 [90%]). No serious adverse
events were considered to be related to treatment. INTERPRETATION: Skin
microfilarial loads (ie, parasite transmission reservoir) are lower after
moxidectin treatment than after ivermectin treatment. Moxidectin would therefore
be expected to reduce parasite transmission between treatment rounds more than
ivermectin could, thus accelerating progress towards elimination. FUNDING:
UNICEF/UNDP/World Bank/WHO Special Programme for Research and Training in
Tropical Diseases.
PMID- 29361338
TI - Voice Tremor Outcomes of Subthalamic Nucleus and Zona Incerta Deep Brain
Stimulation in Patients With Parkinson Disease.
AB - OBJECTIVES: We aimed to study the effect of deep brain stimulation (DBS) in the
subthalamic nucleus (STN) and caudal zona incerta (cZi) on level of perceived
voice tremor in patients with Parkinson disease (PD). STUDY DESIGN: This is a
prospective nonrandomized design with consecutive patients. METHODS: Perceived
voice tremor was assessed in patients with PD having received either STN-DBS (8
patients, 5 bilateral and 3 unilateral, aged 43.1-73.6 years; median = 61.2
years) or cZi-DBS (14 bilateral patients, aged 39.0-71.9 years; median = 56.6
years) 12 months before the assessment. Sustained vowels that were produced OFF
and ON stimulation (with simultaneous l-DOPA medication) were assessed
perceptually in terms of voice tremor by two raters on a four-point rating scale.
The assessments were repeated five times per sample and rated in a blinded and
randomized procedure. RESULTS: Three out of the 22 patients (13%) were concluded
to have voice tremor OFF stimulation. Patients with PD with STN-DBS showed mild
levels of perceived voice tremor OFF stimulation and a group level improvement.
Patients with moderate/severe perceived voice tremor and cZi-DBS showed marked
improvements, but there was no overall group effect. Six patients with cZi-DBS
showed small increases in perceived voice tremor severity. CONCLUSIONS: STN-DBS
decreased perceived voice tremor on a group level. cZi-DBS decreased perceived
voice tremor in patients with PD with moderate to severe preoperative levels of
the symptom.
PMID- 29361339
TI - ADHD, CD, and ODD: Systematic review of genetic and environmental risk factors.
AB - This review aims to analyze the relationships between Attention-Deficit
Hyperactivity Disorder (ADHD), Oppositional Defiant Disorder (ODD), and Conduct
Disorder (CD), particularly regarding the relative importance of genetic and
environmental factors in the development of these disorders. Studies that
examined at least two of these disorders were obtained from multiple databases,
following the procedures of the Cochrane Collaboration initiative. Of the 279
documents obtained, nine were retained for in-depth analysis and were considered
eligible for inclusion. In addition, eight studies from the manual search were
included. The objectives, methodological aspects (sample and instruments), and
the main conclusions were extracted from each study. Overall, the results suggest
that (a) the causes for the onset and maintenance of these disorders are more
associated with genetic factors than environmental factors, although the
importance of the latter is recognized, and (b) children with ADHD have a
predisposition to manifest behaviors that are common to ODD and CD, including the
antisocial behavior that these children often display.
PMID- 29361340
TI - Multiple supervised residual network for osteosarcoma segmentation in CT images.
AB - Automatic and accurate segmentation of osteosarcoma region in CT images can help
doctor make a reasonable treatment plan, thus improving cure rate. In this paper,
a multiple supervised residual network (MSRN) was proposed for osteosarcoma image
segmentation. Three supervised side output modules were added to the residual
network. The shallow side output module could extract image shape features, such
as edge features and texture features. The deep side output module could extract
semantic features. The side output module could compute the loss value between
output probability map and ground truth and back-propagate the loss information.
Then, the parameters of residual network could be modified by gradient descent
method. This could guide the multi-scale feature learning of the network. The
final segmentation results were obtained by fusing the results output by the
three side output modules. A total of 1900 CT images from 15 osteosarcoma
patients were used to train the network and a total of 405 CT images from another
8 osteosarcoma patients were used to test the network. Results indicated that
MSRN enabled a dice similarity coefficient (DSC) of 89.22%, a sensitivity of
88.74% and a F1-measure of 0.9305, which were larger than those obtained by fully
convolutional network (FCN) and U-net. Thus, MSRN for osteosarcoma segmentation
could give more accurate results than FCN and U-Net.
PMID- 29361341
TI - Quality of life and psychological symptoms in patients with pulmonary
hypertension.
AB - BACKGROUND: Pulmonary arterial hypertension (PAH) has a delay in diagnosis that
makes time since diagnosis of interest in this population. OBJECTIVES: To assess
psychological conditions, perceived stress, QOL, and interpersonal support and to
explore whether these factors may correlate with time since diagnosis in patients
with PAH. METHODS: Participants at an academic medical center (n = 108) completed
psychological questionnaires (Cambridge Pulmonary Hypertension Outcome Review,
Patient Health Questionnaire-9, Perceived Stress Scale-10, and Interpersonal
Support Evaluation List-Short Form). RESULTS: Prevalence of psychiatric disorder,
major depression, and "other depressive disorder" were 29.6%, 15.7%, and 9.3%,
respectively. Participants reported adequate social support, high perceived
stress, and average quality of life. Time since diagnosis was positively
associated with greater perceived social support (rho = 0.174, p = .075) and
greater perceived stress (rho = 0.191, p = .048), but no other psychological
factor. CONCLUSIONS: Routine psychological assessment and timely referral for
mental health services are suggested. Social support may buffer patients from
stress.
PMID- 29361342
TI - Trajectories of BMI change impact glucose and insulin metabolism.
AB - BACKGROUND AND AIMS: The aim of this study was to examine, in a community
setting, whether trajectory of weight change over twelve years is associated with
glucose and insulin metabolism at twelve years. METHODS AND RESULTS: Participants
were 532 community-living middle-aged and elderly adults from the Personality and
Total Health (PATH) Through Life study. They spanned the full weight range
(underweight/normal/overweight/obese). Latent class analysis and multivariate
generalised linear models were used to investigate the association of Body Mass
Index (BMI, kg/m2) trajectory over twelve years with plasma insulin (MUlU/ml),
plasma glucose (mmol/L), and HOMA2 insulin resistance and beta cell function at
follow-up. All models were adjusted for age, gender, hypertension, pre-clinical
diabetes status (normal fasting glucose or impaired fasting glucose) and physical
activity. Four weight trajectories were extracted; constant normal (mean baseline
BMI = 25; follow-up BMI = 25), constant high (mean baseline BMI = 36; follow-up
BMI = 37), increase (mean baseline BMI = 26; follow-up BMI = 32) and decrease
(mean baseline BMI = 34; follow-up BMI = 28). At any given current BMI,
individuals in the constant high and increase trajectories had significantly
higher plasma insulin, greater insulin resistance, and higher beta cell function
than those in the constant normal trajectory. Individuals in the decrease
trajectory did not differ from the constant normal trajectory. Current BMI
significantly interacted with preceding BMI trajectory in its association with
plasma insulin, insulin resistance, and beta cell function. CONCLUSION: The
trajectory of preceding weight has an independent effect on blood glucose
metabolism beyond body weight measured at any given point in time.
PMID- 29361343
TI - Three-season effectiveness of inactivated influenza vaccine in preventing
influenza illness and hospitalization in children in Japan, 2013-2016.
AB - OBJECTIVES: We assessed the vaccine effectiveness (VE) of inactivated influenza
vaccine (IIV) in children 6 months to 15 years of age in 2015/16 season. In
addition, based on the data obtained during the three seasons from 2013 to 2016,
we estimated the three-season VE in preventing influenza illness and
hospitalization. METHODS: Our study was conducted according to a test-negative
case-control design (TNCC) and as a case-control study based on influenza rapid
diagnostic test results. RESULTS: During 2015/16 season, the quadrivalent IIV was
first used in Japan. The adjusted VE in preventing influenza illness was 49% (95%
confidence interval [CI]: 42-55%) against any type of influenza, 57% (95% CI: 50
63%) against influenza A and 34% (95% CI: 23-44%) against influenza B. The 3
season adjusted VE was 45% (95% CI: 41-49%) against influenza virus infection
overall (N = 12,888), 51% (95% CI: 47-55%) against influenza A (N = 10,410), and
32% (95% CI: 24-38%) against influenza B (N = 9232). An analysis by age groups
showed low or no significant VE in infants or adolescents. By contrast, VE was
highest in the young group (1-5 years old) and declined with age thereafter. The
3-season adjusted VE in preventing hospitalization as determined in a case
control study was 52% (95% CI: 42-60%) for influenza A and 28% (95% CI: 4-46%)
for influenza B, and by TNCC design, it was 54% (95% CI: 41-65%) for influenza A
and 34% (95% CI: 6-54%) for influenza B. CONCLUSION: We demonstrated not only VE
in preventing illness, but also VE in preventing hospitalization based on much
larger numbers of children than previous studies.
PMID- 29361345
TI - [Refusal of somatic care and delirium: How to reconcile medical duty with the
right of the patient?]
PMID- 29361344
TI - Immunogenicity of HPV prophylactic vaccines: Serology assays and their use in HPV
vaccine evaluation and development.
AB - When administered as standard three-dose schedules, the licensed HPV prophylactic
vaccines have demonstrated extraordinary immunogenicity and efficacy. We
summarize the immunogenicity of these licensed vaccines and the most commonly
used serology assays, with a focus on key considerations for one-dose vaccine
schedules. Although immune correlates of protection against infection are not
entirely clear, both preclinical and clinical evidence point to neutralizing
antibodies as the principal mechanism of protection. Thus, immunogenicity
assessments in vaccine trials have focused on measurements of antibody responses
to the vaccine. Non-inferiority of antibody responses after two doses of HPV
vaccines separated by 6 months has been demonstrated and this evidence supported
the recent WHO recommendations for two-dose vaccination schedules in both boys
and girls 9-14 years of age. There is also some evidence suggesting that one dose
of HPV vaccines may provide protection similar to the currently recommended two
dose regimens but robust data on efficacy and immunogenicity of one-dose vaccine
schedules are lacking. In addition, immunogenicity has been assessed and reported
using different methods, precluding direct comparison of results between
different studies and vaccines. New head-to-head vaccine trials evaluating one
dose immunogenicity and efficacy have been initiated and an increase in the
number of trials relying on immunobridging is anticipated. Therefore,
standardized measurement and reporting of immunogenicity for the up to nine HPV
types targeted by the current vaccines is now critical. Building on previous HPV
serology assay standardization and harmonization efforts initiated by the WHO HPV
LabNet in 2006, new secondary standards, critical reference reagents and testing
guidelines will be generated as part of a new partnership to facilitate
harmonization of the immunogenicity testing in new HPV vaccine trials.
PMID- 29361346
TI - Novel Antibiotic-Loaded Cement Femoral Head Spacer for the Treatment of Advanced
Pyogenic Arthritis in Adult Hip.
AB - BACKGROUND: The aim of this study is to present a treatment for end-stage
pyogenic arthritis of the hip using a novel cement spacer. This spacer caused
less damage to the proximal femoral bone and made the conversion to total hip
arthroplasty (THA) more convenient while maintaining the advantages of current
cement spacers loaded with antibiotics. METHODS: We retrospectively reviewed 10
consecutive cases of hip pyogenic arthritis with joint destruction. These cases
occurred from September 2009 to June 2015. In these cases, we used an antibiotic
loaded, cement spacer that was formed in the shape of the femoral head. This
spacer rested on multiple screws that were inserted in the remaining neck of the
femur. Once the infection subsided, a conversion to THA was performed in all
cases. Evaluation included clinical and radiologic outcomes and the development
of complications. RESULTS: The mean interval between spacer insertion and
conversion to THA was 101.6 days (range, 59-187 days). The mean follow-up period
from initial spacer insertion was 44.9 months (range, 15-95 months). All cases
underwent noncemented THA following the resolution of the initial infection. The
mean Harris Hip Scores at initial visit, before conversion to THA, and on final
follow-up were 58.8, 71.0, and 92.5, respectively. No case had any spacer-related
complications, recurrence of infection, or dislocation. CONCLUSION: The treatment
of advanced pyogenic arthritis with this novel femoral head spacer technique
significantly reduced pain, preserved proximal femoral bone and soft tissue
tension, controlled infection, improved function, and allowed for easier
conversion to THA.
PMID- 29361348
TI - Efficacy of Trunk Regimes on Balance, Mobility, Physical Function, and Community
Reintegration in Chronic Stroke: A Parallel-Group Randomized Trial.
AB - OBJECTIVE: The study objective was to examine the efficacy of plinth and Swiss
ball-based trunk exercise regimes on balance, mobility, physical function, and
community reintegration compared with standard physiotherapy in chronic stroke.
SUBJECTS AND METHODS: This observer-blinded parallel-group randomized trial was
conducted in outpatient stroke units. People with chronic stroke aged between 30
and 75 years, first onset of unilateral cortical lesion, poor trunk performance,
10 m independent walking ability with or without walking aids, and absence of
pusher syndrome were included. Trunk Impairment Scale 2.0, Brunel Balance
Assessment, Tinetti scale, gait speed, Stroke Impact Scale-16, and Reintegration
to Normal Living Index were the measures. Experimental interventions involved the
practice of selective upper and lower trunk movements using either plinth or
Swiss ball. Control group received standard physiotherapy. All the patients
practiced 1 hour exercise session, 3 sessions a week over a duration of 6 weeks
and followed up after 3 and 12 months. RESULTS: Of 108 patients allocated into 3
groups, baseline characteristics were similar. Postintervention compared with
control group, the plinth, and Swiss ball groups showed significant mean changes
in the outcome measures: trunk impairment scale 2.0 (3.6;4.1 points), Brunel
Balance Assessment (1-level), Tinetti scale (5;5.2 points), gait speed (.06;.08
m/s), Stroke Impact Scale-16 (8.7;7.2 points), and community reintegration
(7.6;8.8 points). These improvements were retained during 3-12 months' follow-up.
Statistical significant was set at P < .05. CONCLUSION: Plinth and Swiss ball
based trunk exercise regimes showed significant improvements in balance,
mobility, physical function, and community reintegration in chronic stroke as
against standard physiotherapy.
PMID- 29361349
TI - Copper removal and microbial community analysis in single-chamber microbial fuel
cell.
AB - In this study, copper removal and electricity generation were investigated in a
single-chamber microbial fuel cell (MFC). Result showed that copper was
efficiently removed in the membrane-less MFC with removal efficiency of 98.3% at
the tolerable Cu2+ concentration of 12.5 mg L-1, the corresponding open circuit
voltage and maximum power density were 0.78 V and 10.2 W m-3, respectively. The
mechanism analysis demonstrated that microbial electrochemical reduction
contributed to the copper removal with the products of Cu and Cu2O deposited at
biocathode. Moreover, the microbial community analysis indicated that microbial
communities changed with different copper concentrations. The dominant phyla were
Proteobacteria and Bacteroidetes which could play key roles in electricity
generation, while Actinobacteria and Acidobacteria were also observed which were
responsible for Cu-resistant and copper removal. It will be of important guiding
significance for the recovery of copper from low concentration wastewater through
single-chamber MFC with simultaneous energy recovery.
PMID- 29361347
TI - Preliminary mapping of the structural effects of age in pediatric bipolar
disorder with multimodal MR imaging.
AB - This study investigates multimodal structural MR imaging biomarkers of
development trajectories in pediatric bipolar disorder. T1-weighted and diffusion
weighted MR imaging was conducted to investigate cross-sectional group
differences with age between typically developing controls (N = 26) and youths
diagnosed with bipolar disorder (N = 26). Region-based analysis was used to
examine cortical thickness of gray matter and diffusion tensor parameters in
superficial white matter, and tractography-based analysis was used to examine
deep white matter fiber bundles. Patients and controls showed significantly
different maturation trajectories across brain areas; however, the magnitude of
differences varied by region. The rate of cortical thinning with age was greater
in patients than controls in the left frontal pole. While controls showed
increasing fractional anisotropy (FA) and axial diffusivity (AD) with age,
patients showed an opposite trend of decreasing FA and AD with age in fronto
temporal-striatal regions located in both superficial and deep white matter. The
findings support fronto-temporal-striatal alterations in the developmental
trajectories of youths diagnosed with bipolar disorder, and further, show the
value of multimodal computational techniques in the assessment of
neuropsychiatric disorders. These preliminary results warrant further
investigation into longitudinal changes and the effects of treatment in the brain
areas identified in this study.
PMID- 29361350
TI - A decrease of ATP production steered by PEDF in cardiomyocytes with oxygen
glucose deprivation is associated with an AMPK-dependent degradation pathway.
AB - AIMS: The activated AMP activated protein kinase (AMPK) serves as a transient
protective cardiovascular kinase via preserving adenosine triphosphate (ATP)
production under ischemic conditions. However, recent studies reveal that
inhibition of AMPK in stroke is neuroprotection. Pigment epithelium derived
factor (PEDF) is also known for the protection of ischemic cardiomyocytes.
However, the relationship between PEDF and AMPK in cardiomyocytes is poorly
understood. METHODS AND RESULTS: Rat neonatal and adult left ventricular
cardiomyocytes were isolated and subjected to oxygen-glucose deprivation (OGD).
During OGD, PEDF significantly reduced AMPKalpha levels to decrease ATP
production and reduced ATP expenditure both in neonatal and adult cardiomyocytes,
which increased energy reserves and cell viability. Importantly, pharmacological
AMPK inhibitor reduced ATP production but failed to decrease ATP expenditure,
thus leading cells into death. Furthermore, AMPKalpha was degraded by a ubiquitin
dependent proteasomal degradation pathway, which is associated with a
PEDF/PEDFR/peroxisome proliferator activated receptor gamma (PPARgamma) axis.
Inhibition of PPARgamma or proteasome disrupted the interaction of AMPKalpha and
PPARgamma, which abolished AMPKalpha degradation. Importantly, the decrease of
AMPKalpha and ATP level was normalized after recovery of oxygen and glucose.
CONCLUSIONS: We demonstrate a novel mechanism for regulation of cardiac ATP
production by PEDF involving AMPKalpha and PPARgamma. PEDF promotes proteasomal
degradation of AMPK and, subsequently, reduces ATP production. The reduction of
ATP production associated with the decrease of ATP expenditure completed by PEDF
increase energy reserves and reduces cell energy failure, prolonging the cell
activity during OGD.
PMID- 29361351
TI - Power of resting echocardiographic measurements to classify pulmonary
hypertension patients according to European society of cardiology exercise
testing risk stratification cut-offs.
AB - BACKGROUND: Right ventricular function is the major determinant of morbidity and
mortality in pulmonary arterial hypertension (PAH). The ESC risk assessment
strategy for PAH is based on clinical status, exercise testing, NTproBNP, imaging
and haemodynamics but does not include right ventricular function. Our aims were
to test the power of resting echocardiographic measurements to classify PAH
patients according to ESC exercise testing risk stratification cut-offs and to
determine if the classification power of echocardiographic parameters varied in
chronic thrombo-embolic pulmonary hypertension (CTEPH). METHODS: We prospectively
and consecutively recruited 46 PAH patients and 42 CTEPH patients referred for
cardio-pulmonary exercise testing and comprehensive transthoracic
echocardiography. Exercise testing parameters analyzed were peak oxygen
consumption, percentage of predicted maximal oxygen consumption and the slope of
ventilation against carbon dioxide production. Receiver operator characteristic
curves were used to determine the optimal diagnostic cut-off values of
echocardiographic parameters for classifying the patients in intermediate or high
risk category according to exercise testing. RESULTS: Measurements of right
ventricular systolic function were the best for classifying in PAH (area under
the curve 0.815 to 0.935). Measurements of right ventricular pressure overload
(0.810 to 0.909) were optimal for classifying according to exercise testing in
CTEPH. Measurements of left ventricular function were of no use in either group.
CONCLUSIONS: Measurements of right ventricular systolic function can classify
according to exercise testing risk stratification cut-offs in PAH. However, this
is not the case in CTEPH where pressure overload, rather than right ventricular
function seems to be linked to exercise performance.
PMID- 29361352
TI - "PAFIYAMA" syndrome; further evidence on a novel clinical entity.
PMID- 29361353
TI - Area at risk and collateral circulation in a first acute myocardial infarction
with occluded culprit artery. STEMI vs non-STEMI patients.
AB - BACKGROUND: It is unclear why among patients with first acute myocardial
infarction and an occluded culprit artery only some present ST segment elevation.
In fact, there is no study that compares the angiographic area at risk and the
collateral circulation in first NSTEMI vs STEMI patients. METHODS AND RESULTS:
205 patients admitted for myocardial infarction with occluded culprit artery were
included, 132 STEMI and 73 NSTEMI. Demographic data, the area at risk determined
by the BARI score and collateral supply by the Rentrop score from the 2 groups
were compared. NSTEMI patients showed lower peak Tn I than STEMI in the overall
group but also in the 3 subsets with different culprit arteries (p < .001). They
also presented a higher rate of left circumflex coronary artery (CFX) as culprit
artery (52% vs 14%, p < .001), smaller BARI score area of the culprit artery (5.4
vs 7.6, p < .001), and higher frequency of well-developed collaterals (Rentrop
score >= 2, 1.82 vs 0.41, p < .001). The latter was also higher in each of the 3
different culprit arteries (p = .002-<0.001) Among 38 NSTEMI patients with CFX
occlusion, 20 with >=1 mm ST depression in V2 to V4 (possible posterior
infarction) showed a similar Rentrop score than the 18 with other ECG changes but
lower Tn I peak (p = .012). CONCLUSIONS: In first acute myocardial infarction
with an occluded culprit artery NSTEMI patients - including those with possible
posterior infarction - present smaller infarct size and higher collateral blood
supply than STEMI patients in each of the 3 main culprit arteries.
PMID- 29361354
TI - Gaze-Evoked Deformations in Optic Nerve Head Drusen: Repetitive Shearing as a
Potential Factor in the Visual and Vascular Complications.
AB - PURPOSE: To determine if ocular ductions deform intrapapillary and peripapillary
tissues in optic nerve head drusen (ONHD) and to compare these deformations with
healthy eyes and eyes with other optic neuropathies. DESIGN: Observational case
series. PARTICIPANTS: Twenty patients with ONHD. METHODS: Axial rasters of the
optic nerve from a spectral-domain OCT device (Cirrus 5000; Carl Zeiss Meditec,
Inc, Dublin, CA) were used to analyze the shape of the peripapillary basement
membrane (ppBM) layer in 20 confirmed cases of ONHD. We compared registered
images obtained from 2 eye positions: 10 degrees to 15 degrees in adduction and
30 degrees to 40 degrees in abduction. Geometric morphometrics was used to
analyze the shape of the ppBM layer defined by placing 10 equidistant landmarks
extending 2500 MUm on both sides of the basement membrane opening. We also
adapted an image strain tracking technique to measure regional intrapapillary
strains in 6 patients. Using manually placed nodes on the reference image (in
adduction), an iterative, block-matching algorithm is used to determine local
displacements between the reference and its paired image in abduction.
Displacement vectors were used to calculate the mean shear and effective strain
(percent change). MAIN OUTCOME MEASURES: Peripapillary shape deformations,
intrapapillary shear strains, and effective strains. RESULTS: We found a
statistically significant difference in the shape of the ppBM layer between
abduction and adduction (P < 0.01). The deformation was characterized by a
relative posterior displacement temporally in adduction that reversed in
abduction. Strain tracking in all 6 patients showed substantial gaze-induced
shearing and effective strains. Mean effective strains were 7.5% outside the
drusen. Shear and effective strains were significantly larger outside versus
within the drusen (P < 0.003 and P < 0.01, respectively). CONCLUSIONS: This study
demonstrates that horizontal ocular ductions induce significant shearing
deformations of the peripapillary retina and prelaminar intrapapillary tissues.
We also found that the deformations in healthy persons are similar in magnitude
to ONHD. Based on these findings, we speculate that patients with intrapapillary
calcifications exposed to the long-term effects of repetitive shearing (induced
by ocular ductions) may contribute to the progressive axonal loss and vascular
complications associated with ONHD.
PMID- 29361355
TI - Long-Term Outcomes of Total Exudative Retinal Detachments in Stage 3B Coats
Disease.
AB - PURPOSE: To evaluate the long-term outcomes of treatment of total exudative
retinal detachments (ERDs) secondary to Coats disease (stage 3B) and the role of
vitrectomy. DESIGN: Retrospective, observational case series. PARTICIPANTS: A
total of 16 eyes in 16 patients undergoing treatment for total ERDs secondary to
Coats disease with at least 5 years of follow-up. METHODS: We reviewed the
records of patients with stage 3B Coats disease. The interventions, including the
timing of vitrectomy if used, and clinical course were recorded. MAIN OUTCOME
MEASURES: The primary outcome measures were visual acuity at the most recent
appointment, whether there was progression to neovascular glaucoma (NVG) or
phthisis bulbi, and need for enucleation. RESULTS: All patients received ablative
treatment (photocoagulation or cryotherapy), with 8 having scleral buckling (SB)
and 6 having external drainage of subretinal fluid (XD). Of the 12 patients who
had pars plana vitrectomy (PPV), 8 had early PPV (EV) in the first year after
presenting, and 4 of 8 in the expectant management group had late PPV (late
vitrectomy) at a mean of 4.3 years post-presentation for treatment of significant
traction retinal detachment (TRD). The other 4 patients of 8 in the expectant
management group did not require vitrectomy. Mean follow-up overall was 9 1/2
years. At the date of last follow-up, 50% had no light perception or light
perception vision, which was consistent across the subgroups that underwent EV
(4/8), late vitrectomy (2/4), or no PPV (2/4). A total of 4 of 16 patients had
progression to NVG or phthisis, 1 of whom required enucleation. CONCLUSIONS: In
this retrospective series of patients with Stage 3B Coats disease, ablative
therapy with a combination of PPV, XD, or SB was effective in preventing
progression to NVG or phthisis in the majority of patients, thus preserving the
globe. Half of the patients (4/8) in this series who did not undergo PPV in the
early vitrectomy group developed late-onset TRD, suggesting a possible role for
early prophylactic vitrectomy with possible SB and XD; however, this is balanced
by the other half (4/8) in the expectant management group who did not require any
vitrectomy.
PMID- 29361357
TI - Genetic Risk Score Is Associated with Vertical Cup-to-Disc Ratio and Improves
Prediction of Primary Open-Angle Glaucoma in Latinos.
AB - PURPOSE: Genome-wide association studies have identified multiple genetic
variants associated with vertical cup-to-disc ratio (VCDR). Genetic risk scores
(GRS) examine the aggregate genetic effect of individual variants on a trait by
combining these separate genetic variants into a single measure. The purpose of
this study was to construct GRS for VCDR and to determine whether the GRS are
associated with VCDR and whether the GRS increase the discriminatory ability for
primary open-angle glaucoma (POAG) in a Latino population. DESIGN: Population
based genetic association study. PARTICIPANTS: A total of 4018 Latino
participants recruited from Los Angeles. METHODS: Weighted and unweighted GRS
were constructed using 68 previously reported VCDR single nucleotide
polymorphisms (SNPs), as well as SNPs from our own genome-wide association data.
Linear and logistic regression analyses examined the associations of GRS with
VCDR and POAG, respectively. To evaluate the discriminatory ability of the GRS
for POAG, we conducted receiver operating characteristic (ROC) analyses. MAIN
OUTCOME MEASURES: The relationship between GRS and VCDR in Latinos. RESULTS: The
GRS were associated significantly with VCDR (P < 0.0001), after adjusting for
age, gender, central corneal thickness, intraocular pressure, and education. The
weighted GRS explained an additional 2.74% of the variation in VCDR. Adding the
weighted GRS derived from previously reported SNPs resulted in a moderate
improvement in the discriminatory ability for POAG during ROC analyses, yielding
an area under the ROC curve (AUC) of 0.735 (95% CI, 0.701-0.768). When our own
SNPs were used, the AUC increased significantly to 0.809 (95% CI, 0.781-0.837; P
< 0.0001). We obtained similar results for the unweighted GRS. CONCLUSIONS: To
our knowledge, we identified a novel association between GRS and VCDR and its
improvement in the discriminatory ability of POAG in a Latino population.
PMID- 29361359
TI - Appropriate methods to define bacteriuria relationship with surgical site
infection.
PMID- 29361358
TI - Excess mortality patterns during 1918-1921 influenza pandemic in the state of
Arizona, USA.
AB - PURPOSE: Our understanding of the temporal dynamics and age-specific mortality
patterns of the 1918-1921 influenza pandemic remains scarce due to lack of
detailed respiratory mortality datasets in the United States and abroad. METHODS:
We manually retrieved individual death records from Arizona during 1915-1921 and
applied time series models to estimate the age specific mortality burden of the
1918-1921 influenza pandemic. We estimated influenza-related excess mortality
rates and mortality rate ratio increase over baseline based on pneumonia and
influenza (P&I), respiratory, tuberculosis and all-cause death categories.
RESULTS: Based on our analysis of 35,151 individual mortality records from
Arizona, we identified three successive pandemic waves in spring 1918, fall 1918
winter 1919 and winter 1920. The pandemic associated excess mortality rates per
10,000 population in Arizona was estimated at 83 for P&I, 86 for respiratory
causes, 84 for all-causes and 9 for tuberculosis. Age-specific P&I and
tuberculosis excess death rates were highest among 25- to 44-year-olds and
individuals >=65 years, respectively. The 25- to 44-year-olds and 5- to 14-year
olds had highest P&I and tuberculosis mortality impact respectively when
considering the ratio over background mortality. CONCLUSIONS: The 1918-1921
influenza pandemic killed an estimated 0.8% of the Arizona population in three
closely spaced consecutive waves. The mortality impact of the fall 1918 wave in
Arizona lies in the upper range of previous estimates reported for other US
settings and Europe, with a telltale age distribution of deaths concentrated
among young adults. We identified a significant rise in tuberculosis-related
mortality during the pandemic, lending support to the hypothesis that
tuberculosis was a risk factor for severe pandemic infection. Our findings add to
our current understanding of the mortality impact of this pandemic in the US and
globally.
PMID- 29361360
TI - Residual bioburden after standard cleaning of the reusable orthopedic depth
gauge.
PMID- 29361356
TI - The African Descent and Glaucoma Evaluation Study (ADAGES) III: Contribution of
Genotype to Glaucoma Phenotype in African Americans: Study Design and Baseline
Data.
AB - PURPOSE: To describe the study protocol and baseline characteristics of the
African Descent and Glaucoma Evaluation Study (ADAGES) III. DESIGN: Cross
sectional, case-control study. PARTICIPANTS: Three thousand two hundred sixty-six
glaucoma patients and control participants without glaucoma of African or
European descent were recruited from 5 study centers in different regions of the
United States. METHODS: Individuals of African descent (AD) and European descent
(ED) with primary open-angle glaucoma (POAG) and control participants completed a
detailed demographic and medical history interview. Standardized height, weight,
and blood pressure measurements were obtained. Saliva and blood samples to
provide serum, plasma, DNA, and RNA were collected for standardized processing.
Visual fields, stereoscopic disc photographs, and details of the ophthalmic
examination were obtained and transferred to the University of California, San
Diego, Data Coordinating Center for standardized processing and quality review.
MAIN OUTCOME MEASURES: Participant gender, age, race, body mass index, blood
pressure, history of smoking and alcohol use in POAG patients and control
participants were described. Ophthalmic measures included intraocular pressure,
visual field mean deviation, central corneal thickness, glaucoma medication use,
or past glaucoma surgery. Ocular conditions, including diabetic retinopathy, age
related macular degeneration, and past cataract surgery, were recorded. RESULTS:
The 3266 ADAGES III study participants in this report include 2146 AD POAG
patients, 695 ED POAG patients, 198 AD control participants, and 227 ED control
participants. The AD POAG patients and control participants were significantly
younger (both, 67.4 years) than ED POAG patients and control participants (73.4
and 70.2 years, respectively). After adjusting for age, AD POAG patients had
different phenotypic characteristics compared with ED POAG patients, including
higher intraocular pressure, worse visual acuity and visual field mean deviation,
and thinner corneas (all P < 0.001). Family history of glaucoma did not differ
between AD and ED POAG patients. CONCLUSIONS: With its large sample size,
extensive specimen collection, and deep phenotyping of AD and ED glaucoma
patients and control participants from different regions in the United States,
the ADAGES III genomics study will address gaps in our knowledge of the genetics
of POAG in this high-risk population.
PMID- 29361361
TI - A national survey of interventions and practices in the prevention of blood
culture contamination and associated adverse health care events.
AB - The scientific literature indicates that blood culture contamination often leads
to inappropriate antimicrobial treatment, adverse patient occurrences, and
potential reporting of false-positive central line-associated bloodstream
infections. The findings of a national infection prevention survey of blood
culture practices and related interventions in hospitals support the need for
infection preventionists to expand their participation in the review of topics
related to the ordering and collection of blood for culture.
PMID- 29361362
TI - An exploration of surgical team perceptions toward implementation of surgical
safety checklists in a non-native English-speaking country.
AB - BACKGROUND: In-depth information on the success and failure of implementing the
World Health Organization surgical safety checklist (SSC) has been questioned in
non-native English-speaking countries. This study explored the experiences of SSC
implementation and documented barriers and strategies to improve SSC
implementation. METHODS: A qualitative study was performed in 33 Thai hospitals.
The information from focus group discussions with 39 nurses and face-to-face, in
depth interviews with 50 surgical personnel was analyzed using content analysis.
RESULTS: Major barriers were an unclear policy, inadequate personnel, refusals
and resistance from the surgical team, English/electronic SSC, and foreign
patients. The key strategies to improve SSC implementation were found to be
policy management, training using role-play and station-based deconstruction,
adapting SSC implementation suitable for the hospital's context, building self
awareness, and patient involvement. CONCLUSION: The barriers of SSC were related
to infrastructure and patients. Effective policy management, teamwork and
individual improvement, and patient involvement may be the keys to successful SSC
implementation.
PMID- 29361363
TI - Hand hygiene "hall monitors": Leveraging the Hawthorne effect.
AB - Unfortunately, more than a decade after the World Health Organization's campaign
launched, many centers still face challenges with low rates of hand hygiene
compliance. Several electronic hand hygiene monitoring systems are available, and
the cost of setup and maintenance varies by institution. At our institution, with
extremely limited funds for complex interventions, we instead hired a pragmatic
teenager in search of a summer job and trained her as a hand hygiene "hall
monitor." We describe an effective, inexpensive intervention that improved our
unit's hand hygiene performance while also providing youth employment.
PMID- 29361364
TI - Databases for pediatric surgical health services research.
PMID- 29361365
TI - Discussion.
PMID- 29361366
TI - Physiologic levels of resistin induce a shift from proliferation to apoptosis in
macrophage and VSMC co-culture.
AB - BACKGROUND: Resistin, an adipokine with inflammatory properties, has been
associated with plaque vulnerability. Vascular smooth muscle cells and
macrophages are the major cellular components in advanced atherosclerotic plaques
and interdependently affect plaque stability. The purpose of this study was to
examine the effects of resistin on the interactions of vascular smooth muscle
cells and macrophages using co-culture systems. METHODS: Human monocytes were
differentiated into macrophages. Vascular smooth muscle cells were grown and
starved prior to co-culture condition. Indirect co-culture was performed by
treating macrophages with resistin at 10 ng/mL for 24 hours with/without
epsilonV1-2, a selective protein kinase C epsilon inhibitor. Macrophages
supernatants were then used to treat vascular smooth muscle cells for 24 hours.
Direct co-culture was performed by culturing macrophages and vascular smooth
muscle cells together for 24 to 48 hours. Cultures were evaluated for changes in
proliferation, apoptosis, and gene expression of apoptosis, proliferation, and
inflammation-associated genes. RESULTS: Macrophages induced vascular smooth
muscle cells proliferation, which was further exaggerated in resistin-treated
macrophages in the indirect co-culture model. Resistin also upregulated cyclin D1
and proliferating cell nuclear antigen via protein kinase C epsilon in the
indirect co-culture. Augmented proliferation was further confirmed in the direct
co-culture model, particularly at increased macrophage ratios. However, resistin
treatment induced apoptosis in the presence of direct cell to cell interactions.
Along with the shift to apoptosis, expressions of caspase 3 and caspase 8 were
upregulated. The expression of kappa-light-chain-enhancer of activated B cells 1
and 2 was similar in direct and indirect co-cultures. CONCLUSION: Resistin
promotes a shift from proliferation to apoptosis in vascular smooth muscle cells
and macrophage co-culture systems with cellular composition similar to that found
in vulnerable regions of plaques. Protein kinase C epsilon mediates the effects
of resistin, suggesting that protein kinase C epsilon may represent a therapeutic
strategy in resistin-associated atherosclerotic complications.
PMID- 29361367
TI - Management of the colorectal polyp referred for resection: A case-matched
comparison of advanced endoscopic surgery and laparoscopic colectomy.
AB - BACKGROUND: Colonoscopy is the gold standard for colorectal screening and
surveillance. Advanced endoscopic polypectomy techniques such as endoscopic
submucosal dissection (ESD) have been introduced to remove large colorectal
polyps. Our aim was to compare the outcomes of patients who underwent ESD with
those of who underwent laparoscopic colectomy for benign colorectal polyps.
METHODS: Patients with a preoperative diagnosis of benign colorectal polyp who
underwent ESD or colectomy between 2011 and 2016 were case matched for age, sex,
body mass index, American Society of Anesthesiologists status, polyp size, and
location. Outcomes and cost data were analyzed. Polyps proximal to the splenic
flexure were grouped as right-sided polyps, and polyps distal to the splenic
flexure were grouped as left-sided polyps. RESULTS: We identified 144 patients in
the laparoscopic resection group and 111 patients in the ESD group; 48 patients
met the matching criteria. Of the 48 patients in the ESD group, 5 required
operative resection. Mean duration of stay in laparoscopic resection group and
the ESD group was 5.2 +/- 2.4 days vs 1.5 +/- 1.4 (P < .001). Mean operative time
was no different (136 +/- 45 vs 133 +/- 72.7 minutes, respectively). Six patients
had follow-up colonoscopy within a year in the laparoscopic resection group
versus 22 patients in the ESD group. The laparoscopic group had 21% complication
rate versus 15% for the ESD group (P > .05). ESD had a 43% cost-reduction
advantage over laparoscopic colectomy, with a 44% and 39% cost advantage for
right- and left-sided lesions, respectively. CONCLUSION: ESD is more cost
effective than conventional segmental resection. With an experienced endoscopist,
ESD can be offered as a colon-preserving procedure.
PMID- 29361368
TI - Predictors for local recurrence and distant metastasis of mucinous colorectal
adenocarcinoma.
AB - BACKGROUND: Mucinous adenocarcinoma (MA) is a unique subtype of colorectal
carcinoma. Although some investigators considered MA a predictor for poor
prognosis, predictors for poor clinical outcome of MA were not elucidated. The
present study aimed to investigate the predictors for local recurrence and
distant metastasis of MA. METHODS: This was a retrospective review of patients
with MA who underwent operation with curative intent. Variables included patient
and tumor characteristics, TNM stage, investigations, details of surgery, and
postoperative outcomes, including local recurrence and distant metastasis.
Univariate and multivariate regression analyses were performed to determine the
risk factors for local and systemic disease recurrence. RESULTS: A total of 106
patients (83 male) of a mean age of 51.5 years were included; 62% of patients had
colonic tumors, and 38% had rectal tumors; 77% and 58% of colonic and rectal
cancers, respectively, were T3-T4 tumors. There were no lymph node metastases in
61% of colonic tumors and 55% of rectal tumors. Local recurrence occurred in 15
patients (14%) and distant metastasis in 9 (9%). Predictors for local recurrence
were age (odds ratio [OR]: 1.04; P = .04), female sex (OR: 4.5; P = .01), rectal
tumors (OR: 3.73; P = .02), and T4 tumors (OR: 10.9; P = 0.03). Predictors for
distant metastasis were age (OR: 1.1; P = .016), local recurrence (OR: 24.28; P <
.0001), and T4 tumors (OR: 19.3; P = .049). CONCLUSION: Patients' age, female
sex, and T4 tumors were significant predictors for local recurrence and distant
metastasis. Rectal tumors had a greater likelihood for regional recurrence than
colonic tumors. Local recurrence was an independent risk factor for distant
metastasis.
PMID- 29361370
TI - Correlating liver stiffness with disease severity scoring system (DS3) values in
Gaucher disease type 1 (GD1) patients.
AB - Gaucher disease (GD) is an autosomal-recessive lysosomal storage disease caused
by a deficiency of the enzyme, glucocerebrocidase, resulting in accumulation of
lipid-laden storage cells in multiple organs such as bone marrow, liver, spleen,
and lungs. Type 1 Gaucher disease is the most common form of this condition in
which the brain and spinal cord (the central nervous system) are not affected.
The Gaucher disease severity scoring system (GD-DS3) is typically used to assess
disease severity accounting for skeletal, hematologic, and visceral disease. In
addition to being time consuming for the clinician to calculate the scores, some
of the assessments are subjective and may falsely increase or decrease disease
severity. The purpose of this study was to determine if there is a correlation
between liver stiffness values obtained from MR elastography (MRE) and the GD-DS3
score. An IRB approved, HIPAA compliant retrospective study was performed. All
patients with type 1 GD imaged with MRE between 2011 and 2016 were included in
this study. Clinical and imaging data was collected. Two pediatric radiologists
analyzed MR images from abdomen and thigh studies independently to determine bone
marrow involvement using a semi-quantitative scoring system with one reviewer
analyzing a subset of studies to determine inter-observer reliability. The
collected data was used to calculate a GD-DS3 score for all patients. GD-DS3
scores were compared with liver MRE stiffness values. Clinical MRE scores were
plotted against GD-DS3 severity scores for 31 patients (15 males, 16 females;
median age 27years, age range: 4-67years). The median GD-DS3 score was 4 (range:
1-10.1) and median MRE value was 2.43kPa (range: 1.30-5.20kPa). A significant
positive correlation was found between MRE and GD-DS3 scores; Pearson's
correlation coefficient value of r=0.47, p<0.001 for all scores, r=0.68, p<0.001
for complete scores and r=0.46, p<0.07 for incomplete scores. The inter-observer
variation of bone marrow burden showed only fair agreement with a Kappa
coefficient of 0.26. There is a significant positive correlation between
increasing liver stiffness and increasing composite GD-DS3 scores. This supports
the use of MRE, a non-invasive reproducible quantitative test, as both an
additional assessment and independent marker for monitoring disease severity and
progression in GD.
PMID- 29361369
TI - Meloxicam increases epidermal growth factor receptor expression improving
survival after hepatic resection in diet-induced obese mice.
AB - BACKGROUND: Patients with fatty liver have delayed regenerative responses,
increased hepatocellular injury, and increased risk for perioperative mortality.
Currently, no clinical therapy exists to prevent liver failure or improve
regeneration in patients with fatty liver. Previously we demonstrated that obese
mice have markedly reduced levels of epidermal growth factor receptor in liver.
We sought to identify pharmacologic agents to increase epidermal growth factor
receptor expression to improve hepatic regeneration in the setting of fatty liver
resection. METHODS: Lean (20% calories from fat) and diet-induced obese mice (60%
calories from fat) were subjected to 70% or 80% hepatectomy. RESULTS: Using the
BaseSpace Correlation Engine of deposited gene arrays we identified agents that
increased hepatic epidermal growth factor receptor. Meloxicam was identified as
inducing epidermal growth factor receptor expression across species. Meloxicam
improved hepatic steatosis in diet-induced obese mice both grossly and
histologically. Immunohistochemistry and Western blot analysis demonstrated that
meloxicam pretreatment of diet-induced obese mice dramatically increased
epidermal growth factor receptor protein expression in hepatocytes. After 70%
hepatectomy, meloxicam pretreatment ameliorated liver injury and significantly
accelerated mitotic rates of hepatocytes in obese mice. Recovery of liver mass
was accelerated in obese mice pretreated with meloxicam (by 26% at 24 hours and
38% at 48 hours, respectively). After 80% hepatectomy, survival was dramatically
increased with meloxicam treatment. CONCLUSION: Low epidermal growth factor
receptor expression is a common feature of fatty liver disease. Meloxicam
restores epidermal growth factor receptor expression in steatotic hepatocytes.
Meloxicam pretreatment may be applied to improve outcome after fatty liver
resection or transplantation with steatotic graft.
PMID- 29361371
TI - Mass spectrometry analysis reveals differences in the host cell protein species
found in pseudotyped lentiviral vectors.
AB - Lentiviral vectors (LVs) have been successfully used in clinical trials showing
long term therapeutic benefits. Studying the role of cellular proteins in
lentivirus HIV-1 life cycle can help understand virus assembly and budding,
leading to improvement of LV production for gene therapy. Lentiviral vectors were
purified using size exclusion chromatography (SEC). The cellular protein
composition of LVs produced by two different methods was compared: the transient
transfection system pseudotyped with the VSV-G envelope, currently used in
clinical trials, and a stable producer cell system using a non-toxic envelope
derived from cat endogenous retrovirus RD114, RDpro. Proteins of LVs purified by
size exclusion chromatography were identified by tandem mass spectrometry
(MS/MS). A smaller number of cellular protein species were detected in stably
produced vectors compared to transiently produced vector samples. This may be due
to the presence of co-purified VSV-G vesicles in transiently produced vectors.
AHNAK (Desmoyokin) was unique to RDpro-Env vectors. The potential role in LV
particle production of selected proteins identified by MS analysis including
AHNAK was assessed using shRNA gene knockdown technique. Down-regulation of the
selected host proteins AHNAK, ALIX, and TSG101 in vector producer cells did not
result in a significant difference in vector production.
PMID- 29361372
TI - Arterial Phantoms with Regional Variations in Wall Stiffness and Thickness.
AB - Regional wall stiffening and thickening are two common pathological features of
arteries. To account for these two features, we developed a new arterial phantom
design framework to facilitate the development of vessel models that contain a
lesion segment whose wall stiffness and thickness differ from those of other
segments. This new framework is based on multi-part injection molding principles
that sequentially casted the lesion segment and the flank segments of the vessel
model using molding parts devised with computer-aided design tools. The vessel
mimicking material is created from polyvinyl alcohol cryogel, and its acoustic
properties are similar to those of arteries. As a case demonstration, we
fabricated a stenosed three-segment phantom composed of a central lesion segment
(5.1-mm diameter, 1.95-mm wall thickness, 212.6-kPa elastic modulus) and two
flank segments (6.0-mm diameter, 1.5-mm wall thickness, 133.7-kPa elastic
modulus). B-mode imaging confirmed the difference in thickness between the lesion
segment and flank segments of the phantom. Also, Doppler-based vessel wall
displacement analysis revealed that when pulsatile flow was fed through the
phantom (carotid pulse; 27 mL/s peak flow rate), the lesion segment distended
less compared with the flank segments. Specifically, the three-beat averaged peak
wall displacement in the lesion segment was measured as 0.28 mm, and it was
significantly smaller than that of the flank segments (0.60 mm). It is
anticipated that this new multi-segment arterial phantom can serve as a
performance testbed for the evaluation of local arterial stiffness estimation
algorithms.
PMID- 29361374
TI - Emergency department volunteers: Defining the position and its effect on the
patient experience.
PMID- 29361375
TI - Arthroscopic soft-tissue interposition arthroplasty of the glenohumeral joint for
ochronotic arthropathy: A case report.
PMID- 29361373
TI - Ultrasound-Mediated Microbubble Destruction Suppresses Melanoma Tumor Growth.
AB - Melanoma is one of the most aggressive types of cancer, and its incidence has
increased rapidly in the past few decades. In this study, we investigated a novel
treatment approach, the use of low-intensity ultrasound (2.3 W/cm2 at 1 MHz)
mediated Optison microbubble (MB) destruction (UMMD) to treat melanoma in a flank
tumor model. The effect of UMMD was first evaluated in the melanoma cell line B16
F10 (B16) in vitro and then in mice inoculated with B16 cells. MB+B16 cells were
exposed to US in vitro, resulting in significant cell death proportional to duty
cycle (R2 = 0.74): approximately 30%, 50%, 80% and 80% cell death at 10%, 30%,
50% and 100% DC respectively. Direct implantation of tumors with MBs, followed by
sonication, resulted in retarded tumor growth and improved survival (p = 0.0106).
Immunohistochemical analyses confirmed the significant changes in expression of
the cell proliferation marker Ki67 (p = 0.037) and a microtubule-associated
protein 2 (p = 0.048) after US + MB treatment. These results suggest that UMMD
could be used as a possible treatment approach in isolated melanoma and has the
potential to translate to clinical trials.
PMID- 29361376
TI - Diagnosis and treatment of slipped capital femoral epiphysis: Recent trends to
note.
AB - : Slipped capital femoral epiphysis (SCFE) is not frequently encountered during
routine practice and diagnosis and treatment are often delayed. It is important
to understand symptoms and imaging features to avoid delayed diagnosis. After the
diagnosis is made correct classification of the disease is required. The
classification should be based on the physeal stability in order to choose safe
and effective treatment. However, surgeons should bear in mind that the
assessment is challenging and actual physeal stability is not always consistent
with the stability predicted by a clinical classification method. TREATMENT OF
STABLE SCFE: Closed reduction is not indicated for stable SCFE, where continuity
between the epiphysis and metaphysis has not been disrupted. Treatment method(s)
is (are) chosen from in-situ fixation, osteotomy and femoroacetabular impingement
treatment. A single screw fixation is often used to fix the epiphysis and the
dynamic method is considered especially for young patients. Traditional three
dimensional trochanteric osteotomies have been associated with procedural
complexity and uncertainty. A simpler osteotomy method using an updated imaging
analysis technology should be considered. Modified-Dunn procedure is indicated
for a severe stable SCFE. However, caution is required because recent studies
have reported a high rate of complications including postoperative femoral head
avascular necrosis (AVN) and hip instability when this method is indicated for
stable SCFE. TREATMENT OF UNSTABLE SCFE: Treatment of unstable SCFE is difficult
and complication rate is high. Most of unstable SCFE patients were previously
treated with closed method and it was difficult to predict an occurrence of
postoperative AVN. However, treatment of unstable SCFE has gradually changed in
recent years and many studies have shown that physeal hemodynamics can be
assessed during treatment. Preoperative assessments include contrast-enhanced MRI
and bone scintigraphy. Intraoperative assessments include confirmation of
bleeding after drilling the femoral head and monitoring the intracranial pressure
by laser doppler flowmetry. It is expected that postoperative AVN can be
prevented in many cases by performing the treatment while assessing the
intraoperative physeal hemodynamics. Open surgeries have begun to be indicated in
the treatment of unstable SCFE through either of anterior approach or (modified)
Dunn procedure. The authors expect that recent improvements in assessment of
physeal hemodynamics and open treatment method provide improved clinical outcomes
in the treatment of SCFE.
PMID- 29361377
TI - Application of liquid semen technology under the seasonal dairy production system
in New Zealand.
AB - Systems for preserving semen in liquid form for artificial insemination were
developed before cryopreserved semen became widely available in the 1960s.
Advantages of liquid semen include reduced number of sperm per dose, reduced
storage and transportation costs, increased insemination speed and safety in the
field. A liquid semen dose requires one tenth the sperm number in a frozen semen
dose to achieve equivalent fertility (24 day non-return rate: 67.6% for liquid
versus 67.8% for frozen). The main disadvantage of liquid semen is its relatively
short shelf life, thus limiting its application mainly to countries, like New
Zealand and Ireland, with predominantly seasonal dairy production systems.
Nevertheless, successful application of liquid semen technology can improve the
rate of genetic gain by increasing the utilization of elite sires. This brief
review covers the principles of liquid semen preservation and describes why and
how this technology is implemented by Livestock Improvement Corporation in New
Zealand.
PMID- 29361378
TI - [Use of ITS and ISSR markers in the molecular characterisation of Pleurotus
djamor hybrid strains].
AB - BACKGROUND: Molecular characterisation of wild type Pleurotus species is
important for germplasm conservation and its further use for genetic improvement.
No molecular studies have been performed with monokaryons used for producing
hybrid strains, either with the reconstituted strains obtained by pairing those
monokaryons. The molecular characterisation of parental dikaryons, hybrid, and
reconstituted strains as well as monokaryotic strains, is therefore of utmost
importance. AIMS: To carry out the molecular identification of Pleurotus djamor
strains, i.e. dikaryotic wild type strains, hybrid strains, and the monokaryotic
strains used for the hybrid formation. METHODS: Five wild type strains of P.
djamor from different states in Mexico were collected and molecularly identified
by sequencing the ITS1-5.8-ITS2 region using ITS1 and ITS4 universal
oligonucleotides. Four hybrid strains were obtained by pairing neohaplonts of two
wild type strains selected. Six ISSR markers were used for the molecular
characterisation of monokaryotic and dikaryotic strains. RESULTS: Using the ITS
markers, an amplified product of 700bp was obtained in five wild type strains,
with a 99-100% similarity with P. djamor. A total of 95 fragments were obtained
using the ISSR markers, with 99% of polymorphism. CONCLUSIONS: Wild type strains
were identified as P. djamor, and were clearly grouped with Mexican strains from
other states of Mexico. ISSR markers allowed the generation of polymorphic bands
in monokaryotic and dikaryotic strains, splitting both types of strains. The high
degree of polymorphism indicates the genetic diversity of P. djamor, an advantage
in mushroom production and in the improving of the species.
PMID- 29361379
TI - A novel homozygous MFN2 mutation associated with severe and atypical CMT2
phenotype.
AB - BACKGROUND: Charcot-Marie-Tooth (CMT) neuropathies represent the most common
forms of inherited polyneuropathies. CMT2A, the axonal form, accounts for about
one third of all CMT cases. Variants in the MFN2 gene have been recognized to be
a major cause of CMT2A. To date, more than 100 pathogenetic mutations in MFN2
have been identified, leading to different neurological clinical spectrum,
varying from hereditary neuropathies to more severe clinical phenotypes.
Pathogenic variants in MFN2 mainly act in a dominant manner, although in a few
sporadic or familial cases, homozygous or compound heterozygous mutations have
been reported. RESULTS: We describe a child carrying a novel homozygous MFN2
mutation leading to an early-onset sensorimotor axonal neuropathy with an
atypical and severe phenotype. CONCLUSION: The case highlights a very rare
mechanism of inheritance for MFN2 mutations and expands the clinical and allelic
variance of severe CMT2A phenotype. Moreover, it proposes the involvement of
cerebellar peduncles observed at neuroimaging as a novel clue to suspect the
diagnosis and address genetic testing.
PMID- 29361380
TI - Interleukin-6: A promising cytokine to support liver regeneration and adaptive
immunity in liver pathologies.
AB - Liver pathologies (fibrosis, cirrhosis, alcoholic, non-alcoholic diseases and
hepatocellular carcinoma) represent one of the most common causes of death
worldwide. A number of genetic and environmental factors contribute to the
development of liver diseases. Interleukin-6 (IL-6) is a pleiotropic cytokine,
exerting variety of effects on inflammation, liver regeneration, and defence
against infections by regulating adaptive immunity. Due to its high abundance in
inflammatory settings, IL-6 is often viewed as a detrimental cytokine. However,
accumulating evidence supports the view that IL-6 has a beneficial impact in
numerous liver pathologies, due to its roles in liver regeneration and in
promoting an anti-inflammatory response in certain conditions. IL-6 promotes
proliferation, angiogenesis and metabolism, and downregulates apoptosis and
oxidative stress; together these functions are critical for mediating
hepatoprotection. IL-6 is also an important regulator of adaptive immunity where
it induces T cell differentiation and regulates autoimmunity. It can augment
antiviral adaptive immune responses and mitigate exhaustion of T cells during
chronic infection. This review focuses on studies that present IL-6 as a key
factor in regulating liver regeneration and in supporting effector immune
functions and suggests that these functions of IL-6 can be exploited in treatment
strategies for liver pathologies.
PMID- 29361381
TI - Drug induced pseudolymphoma.
AB - Atypical lymphocytic infiltrates of the skin comprise a broad spectrum of
entities ranging from benign infiltrates to those that are malignant. Many of
these infiltrates are in fact reactive lymphomatoid ones related to drug therapy
falling under the general category of drug associated pseudolymphoma. Within this
nosologic umbrella are nodular and diffuse infiltrates resembling low grade T and
B cell lymphoma consistent with lymphocytoma cutis, drug associated reversible T
cell dyscrasias which draw a strong morphologic and phenotypic parallel with
mycosis fungoides and the various pre-lymphomatous T cell dyscrasias, and
angiocentric CD30 positive infiltrates mirroring lymphomatoid papulosis. The
implicated drug classes are quite varied and include antidepressants,
antihistamines, calcium channel blockers, statins, anticonvulsants, and various
biologic drugs. The drugs from these various drug classes exert certain effects
on lymphoid function including evoking overzealous responses to other antigenic
stimuli. As the adverse effect on lymphocyte function may be cumulative over
years and or reflect the interplay of other drugs, a temporal association may not
exist between the onset of the rash/lesion and the initiation of the drug. In
certain lymphomatoid reactions however such as DRESS syndrome the drug may
function as both an antigen as well as an immune dysregulating agent. It is
critical that the pathologist works carefully with the clinician in the
evaluation of all atypical cutaneous lymphoid infiltrates where the distinction
between pseudolymphoma versus lymphoma cannot be reliably made based on
pathologic analysis alone.
PMID- 29361383
TI - How Primate Brains Vary and Evolve.
AB - Studies of brain evolution tend to focus on differences across species rather
than on variation within species. A new study measures and compares intraspecific
variation in macaque and human brain anatomy to explore the effect that short
term diversity has on long-term evolution.
PMID- 29361382
TI - Modeling the Predictive Social Mind.
AB - The social mind is tailored to the problem of predicting the mental states and
actions of other people. However, social cognition researchers have only
scratched the surface of the predictive social mind. We discuss here a new
framework for explaining how people organize social knowledge and use it for
social prediction. Specifically, we propose a multilayered framework of social
cognition in which two hidden layers - the mental states and traits of others -
support predictions about the observable layer - the actions of others. A
parsimonious set of psychological dimensions structures each layer, and proximity
within and across layers guides social prediction. This simple framework
formalizes longstanding intuitions from social cognition, and in doing so offers
a generative model for deriving new hypotheses about predictive social cognition.
PMID- 29361384
TI - Evaluation of endothelial dysfunction, endothelial plasma markers, and
traditional metabolic parameters in children with adiposity.
AB - BACKGROUND/PURPOSE: To investigate the correlations among endothelial function
assessment parameters, asymmetric dimethylarginine (ADMA)-related biomarkers, and
traditional risk factors in adipose children. METHODS: We enrolled adipose
children aged 7-18 years between July 2014 and August 2016 as well as normal
weight controls from the outpatient clinic. Vascular measurements including
echocardiography, carotid intima media thickness, pulse wave velocity (PWV), and
flow-mediated dilation (FMD) were measured. Venous blood samples including
traditional metabolic and endothelial dysfunction parameters were analyzed.
Participants were grouped as adipose vs. normal-weight and as adipose with
hypertension vs. adipose without hypertension. Clinical presentations, laboratory
data, and cardiovascular measurement were compared. RESULTS: Of the 105 enrolled
children, 85 were adipose. Adipose children had higher systolic blood pressure,
larger left ventricular (LV) mass, and adverse traditional metabolic biomarkers.
FMD was significantly reduced (8.25 (5.32-12.06) % vs. 12.49 (7.18-16.58) %, p =
0.018) in the adipose group. PWV was markedly increased (4.65 (4.2-5.5) m/sec vs.
3.95 (3.38-4.35) m/sec, p < 0.001) in the hypertensive adipose children.
Endothelial dysfunction parameters were not significantly changed in this study.
CONCLUSION: Adipose children were at higher risk of hypertension and LV
hypertrophy. FMD, PWV and traditional cardiovascular biomarkers can detect subtle
vascular changes. Hypertension is an important sign of arterial involvement in
adipose children. Although ADMA-related biomarkers were not statistically
significant, future studies are needed to confirm its correlation with adiposity
and hypertension in children. The early detection and prevention of endothelial
dysfunction may decrease the rate of progression to cardiovascular consequences
in later life.
PMID- 29361385
TI - Mutation screening of INS and KCNJ11 genes in Taiwanese children with type 1B
diabetic onset before the age of 5 years.
AB - Type 1 diabetes (T1D) is caused by beta-cell destruction, usually leading to
absolute insulin deficiency. T1D is a heterogeneous disease and is divided into
two subtypes according to the presence or absence of pancreatic autoantibodies:
type 1A (immune mediated) and type 1B (idiopathic). Genes such as KCNJ11 or INS,
which play key roles in beta-cell function, provide some insight into the
pathogenesis of type 1B diabetes. In this study, we screened 110 Taiwanese
children (61 males and 49 females) with T1D onset before the age of 5 years for
mutations of INS and KCNJ11. We identified one missense heterozygous mutation in
KCNJ11 (c.989A>G, p.Y330C) and no INS mutations among 28 probands. This is the
first study to screen patients with autoantibody-negative T1D diagnosed before
the age of 5 years for INS and KCNJ11 mutations in Taiwan. Although KCNJ11
mutations are always reported in patients with permanent neonatal diabetes, this
gene mutation can be detected after 6 months of age. Further studies in other
patients with type 1B diabetes and their families are required to elucidate the
contributions of the KCNJ11 mutation to the T1D phenotype.
PMID- 29361386
TI - Wilderness First Responder: Are Skills Soon Forgotten?
AB - Wilderness first responders are trained to provide competent medical care in
wilderness settings or until evacuation for more advanced treatment can be
obtained. In light of the isolated environments in which they are called upon to
respond to illnesses and injuries, their ability to effectively apply their
training is crucial. Despite the responsibility assigned to them, there is an
absence of research assessing the skill and knowledge retention of wilderness
first responders, creating a gap in understanding whether a deficit in their
ability to perform exists between certifications. Without such research, it is
important to review knowledge and skill retention in related responder groups.
The literature over the past 4 decades documents the loss over time of skills and
knowledge across an array of trained responders, both professional and laypeople.
Although the findings reviewed suggest that WFRs will exhibit a similar pattern
of increasing skill loss beginning shortly after certification and a slower, but
concurrent, decrease in knowledge, research is needed to document or refute this
assumption.
PMID- 29361387
TI - Microangiopathic Hemolytic Anemia Following Three Different Species of Hump-Nosed
Pit Viper (Genus: Hypnale) Envenoming in Sri Lanka.
AB - There are 3 species of hump-nosed pit vipers in Sri Lanka: Hypnale hypnale,
Hypnale zara, and Hypnale nepa. The latter 2 are endemic to the country.
Microangiopathic hemolytic anemia (MAHA) is a known complication of hump-nosed
pit viper bites. It was previously documented as a complication of general viper
bites and not species specific. We report a series of 3 patients who developed
MAHA after being bitten by each species of hump-nosed pit viper. The first
patient was bitten by H hypnale and developed a severe form of MAHA associated
with acute kidney injury and thrombocytopenia falling into the category of
thrombotic microangiopathy. The other 2 developed MAHA that resolved without any
complications.
PMID- 29361388
TI - Quantitative analysis of elevation of serum creatinine via renal transporter
inhibition by trimethoprim in healthy subjects using physiologically-based
pharmacokinetic model.
AB - Serum creatinine (SCr) levels rise during trimethoprim therapy for infectious
diseases. This study aimed to investigate whether the elevation of SCr can be
quantitatively explained using a physiologically-based pharmacokinetic (PBPK)
model incorporating inhibition by trimethoprim on tubular secretion of creatinine
via renal transporters such as organic cation transporter 2 (OCT2), OCT3,
multidrug and toxin extrusion protein 1 (MATE1), and MATE2-K. Firstly,
pharmacokinetic parameters in the PBPK model of trimethoprim were determined to
reproduce the blood concentration profile after a single intravenous and oral
administration of trimethoprim in healthy subjects. The model was verified with
datasets of both cumulative urinary excretions after a single administration and
the blood concentration profile after repeated oral administration. The
pharmacokinetic model of creatinine consisted of the creatinine synthesis rate,
distribution volume, and creatinine clearance (CLcre), including tubular
secretion via each transporter. When combining the models for trimethoprim and
creatinine, the predicted increments in SCr from baseline were 29.0%, 39.5%, and
25.8% at trimethoprim dosages of 5 mg/kg (b.i.d.), 5 mg/kg (q.i.d.), and 200 mg
(b.i.d.), respectively, which were comparable with the observed values. The
present model analysis enabled us to quantitatively explain increments in SCr
during trimethoprim treatment by its inhibition of renal transporters.
PMID- 29361389
TI - Behavioral addictions in early-onset Parkinson disease are associated with DRD3
variants.
AB - BACKGROUND: Impulse control disorders (ICDs) comprise abnormal behaviors
frequently found in patients with Parkinson's disease (PD) receiving
antiparkinsonian medication. ICDs in PD would develop when dopaminergic treatment
overstimulates the dopamine receptor D3 (DR3). Here we studied whether DR3 gene
(DRD3) is associated to ICD in PD patients with early-onset (EOPD). METHODS: We
performed association analysis of the rs6280 DRD3 single nucleotide variation
(SNV) (Ser9Gly) in a clinical sample of 126 non early-onset PD (NEOPD) and 73
EOPD (age at onset < 45). ICD was evaluated using the Questionnaire for Impulsive
Compulsive Disorders (QUIP) in PD. RESULTS: In the total sample, we found that a
younger onset of PD is linked to ICD traits with a potentially addictive
reinforcement (ICDARs, behavioral addictions) (p = .017) and a trend for total
ICDs (p = .078) while punding was not associated (p = .75). EOPD sample showed an
increase of DRD3 C+ genotype for ICD (p = .022) and ICDARs (p = .043) but not for
punding (p = .170). The post-hoc analyses including the time of evolution and
Pramipexol or Ropinirole treatments, confirmed the independent effect of the DRD3
upon ICDs (p = .028) and ICDARs (p = .041) as well as the interaction between
DRD3 and Pramipexol treatment upon ICDARs (OR = 4.60, 95% CI 1.20-17.632, p =
.026). The NEOPD group showed no association between DRD3 and ICDs. CONCLUSIONS:
We found that behavioral addictions in PD are associated with an early onset of
the disease, the rs6280 DRD3 SNV and the type of dopamine agonist. Further
investigation in independent samples is warranted.
PMID- 29361390
TI - Does hot weather affect work-related injury? A case-crossover study in Guangzhou,
China.
AB - BACKGROUND: Despite increasing concerns about the health effects of climate
change, the extent to which workers are affected by hot weather is not well
documented. This study aims to investigate the association between high
temperatures and work-related injuries using data from a large subtropical city
in China. METHODS: We used workers' compensation claims to identify work-related
injuries in Guangzhou, China during 2011-2012. To feature the heat effect, the
study period was restricted to the warm seasons in Guangzhou (1 May-31 October).
We conducted a time-stratified case-crossover study to examine the association
between ambient outdoor temperatures, including daily maximum and minimum
temperatures, and cases of work-related injury. The relationships were assessed
using conditional Poisson regression models. RESULTS: Overall, a total of 5418
workers' compensation claims were included over the study period. Both maximum
and minimum temperatures were significantly associated with work-related
injuries, but associations varied by subgroup. One degrees C increase in maximum
temperature was associated with a 1.4% (RR = 1.014, 95%CIs 1.012-1.017) increase
in daily injury claims. Significant associations were seen for male and middle
aged workers, workers in small and medium-sized enterprises, and those working in
manufacturing sector. And 1 degrees C increase in minimum temperature was
associated with 1.7% (RR = 1.017, 95%CIs 1.012-1.021) increase in daily injury
claims. Significant associations were observed for female and middle-aged
workers, workers in large-sized enterprises, and those working in transport and
construction sectors. CONCLUSIONS: We found a higher risk of work-related
injuries due to hot weather in Guangzhou, China. This study provides important
epidemiological evidence for policy-makers and industry that may assist in the
formulation of occupational safety and climate adaptation strategies.
PMID- 29361391
TI - Breastfeeding Practices and Opinions of Latina Mothers in an Urban Pediatric
Office: A Focus Group Study.
AB - INTRODUCTION: The purpose of this qualitative study was to explore beliefs about
breastfeeding among Latina mothers. The focus groups were part of a sequential
mixed methods study. METHODS: Two focus groups were conducted. Participants were
Spanish-speaking mothers with infants younger than 12 months. Focus groups were
conducted in Spanish and audiorecorded. The researchers performed open coding of
the data, compared and converged codes, and identified common themes and
relationships among the themes. RESULTS: Mothers described concerns about
adequacy of breastfeeding for their infants' needs, the continued goodness of
breast milk, and weaning. They expressed lack of knowledge about using breast
pumps and other assists that could help them breastfeed. DISCUSSION: Culturally
tailored breastfeeding education and support have not been adequately implemented
in this urban clinic and likely in other similar settings. More targeted
attention to this population could improve exclusive breastfeeding of infants and
ultimately result in better child health.
PMID- 29361392
TI - Preschool wheeze, genes and treatment.
AB - Preschool wheeze is a common but poorly understood cause of respiratory morbidity
that is both distinct from and overlaps with infantile bronchiolitis and school
age asthma. Attempts at classification by epidemiology, pathophysiology,
therapeutic response and clinical phenotype are imperfect and yet fundamental to
both treatment choice and research design. The four main therapeutic classes for
preschool wheeze, namely beta2 agonists, anticholinergics, corticosteroids and
leukotriene modifiers are employed with variable and often scanty evidence base,
with evidence for a genetic influence on response variations. The article will
discuss the pharmacogenetics of the various options, summarise current treatment
recommendations, and explore future research directions.
PMID- 29361393
TI - Complementary and Integrative Health Approaches-Insights and Implications for
Practice and Research.
PMID- 29361394
TI - Falls and resulting fractures in Myotonic Dystrophy: Results from a multinational
retrospective survey.
AB - Myotonic Dystrophy type 1 multisystem involvement leads to functional impairment
with an increased risk of falling. This multinational study estimates the
prevalence of falls and fall-associated fractures. A web-based survey among
disease-specific registries (Germany, UK and The Netherlands) was carried out
among DM1 ambulant adults with a total of 573 responses retrieved. Results
provided a risk ratio estimation of 30%-72% for falls and of 11%-17% for
associated fractures. There was no significant difference for falls between male
and female, but there was for fall-related fractures with a higher prevalence in
women. Balance and leg weakness were the most commonly reported causes for
falling. This study is based on a voluntary retrospective survey with naturally
inherent limitations; however, the sample size allows for robust comparisons. The
estimated risk of falls in this cohort with a mean age of 46 years compares to a
previous estimation for a healthy population of over 65 years of age. These
results suggest a premature-ageing DM1 phenotype with an increased risk of
falling depending on age and disease severity that, so far, might have been
underestimated. This may have clinical implications for the development of care
guidelines and when testing new interventions in this population.
PMID- 29361395
TI - Hereditary myopathy with early respiratory failure (HMERF): Still rare, but
common enough.
AB - Phenotypic and genetic/allelic heterogeneity is a feature of many neuromuscular
disorders, titinopathies being one of them. Hereditary Myopathy with Early
Respiratory Failure (HMERF) has been considered an extremely rare disease with
definite clinicopathologic hallmarks, and geographically restricted to the
Northern European population with one single titin gene defect identified in
previous years. The recent availability of massive parallel sequencing
techniques, allowing the screening of all coding regions of the genome in
undiagnosed patients, together with a growing awareness of the main muscle MRI
features of the disease, has led to the discovery of a number of HMERF families
and new titin mutations in the last five years. We reviewed the clinical,
pathological and muscle imaging findings that are still cornerstones for the
diagnosis of this disease, as well as the most recent molecular genetic findings.
HMERF is more common and geographically widespread than previously expected, and
the knowledge of the whole phenotypic and molecular spectrum of HMERF can
increase the number of diagnosed patients considerably.
PMID- 29361396
TI - Childhood-onset form of myotonic dystrophy type 1 and autism spectrum disorder:
Is there comorbidity?
AB - Myotonic dystrophy type 1 (DM1) is a multisystemic disorder with neuromuscular
symptoms and brain dysfunctions. Depending on the phenotypic expression, the
degree of cognitive impairment remains heterogeneous, ranging from moderate to
severe intellectual disability in the congenital form, to executive, visuospatial
and personality dysfunction in the adult-onset form. Studies exploring the
cognitive or psychiatric impairments in the childhood form of DM1, characterized
by an age of onset between one and ten years, uneventful pre and post natal
history and normal development the first year of life, are scarce and show
conflicting results in regard to a comorbid diagnosis of Autism Spectrum Disorder
(ASD). The aim of the current review is to summarize diagnostic criteria and
update the state of the debate regarding comorbidity. Evidence from 9 studies
collected in PubMed database (representing a total of 175 cases) focusing on
clinical, neuropsychological and neuroimaging domains in childhood DM1 is
considered and similarities or differences between childhood DM1 and ASD are
identified. Highlighting what is known about the neurocognitive features specific
to the childhood-onset form of DM1 could help (1) propose early screening
regarding socio-emotional and attentional/executive functions or (2) implement
therapeutic programs based on reinforcement of executive skills or social
cognition.
PMID- 29361397
TI - 227th ENMC International Workshop:: Finalizing a plan to guarantee quality in
translational research for neuromuscular diseases Heemskerk, Netherlands, 10-11
February 2017.
PMID- 29361398
TI - Platform for systems medicine research and diagnostic applications in psychotic
disorders-The METSY project.
AB - Psychotic disorders are associated with metabolic abnormalities including
alterations in glucose and lipid metabolism. A major challenge in the treatment
of psychosis is to identify patients with vulnerable metabolic profiles who may
be at risk of developing cardiometabolic co-morbidities. It is established that
both central and peripheral metabolic organs use lipids to control energy balance
and regulate peripheral insulin sensitivity. The endocannabinoid system,
implicated in the regulation of glucose and lipid metabolism, has been shown to
be dysregulated in psychosis. It is currently unclear how these endocannabinoid
abnormalities relate to metabolic changes in psychosis. Here we review recent
research in the field of metabolic co-morbidities in psychotic disorders as well
as the methods to study them and potential links to the endocannabinoid system.
We also describe the bioinformatics platforms developed in the EU project METSY
for the investigations of the biological etiology in patients at risk of
psychosis and in first episode psychosis patients. The METSY project was
established with the aim to identify and evaluate multi-modal peripheral and
neuroimaging markers that may be able to predict the onset and prognosis of
psychiatric and metabolic symptoms in patients at risk of developing psychosis
and first episode psychosis patients. Given the intrinsic complexity and
widespread role of lipid metabolism, a systems biology approach which combines
molecular, structural and functional neuroimaging methods with detailed metabolic
characterisation and multi-variate network analysis is essential in order to
identify how lipid dysregulation may contribute to psychotic disorders. A
decision support system, integrating clinical, neuropsychological and
neuroimaging data, was also developed in order to aid clinical decision making in
psychosis. Knowledge of common and specific mechanisms may aid the etiopathogenic
understanding of psychotic and metabolic disorders, facilitate early disease
detection, aid treatment selection and elucidate new targets for pharmacological
treatments.
PMID- 29361399
TI - Current trends in diagnosis and treatment of mental disorders.
PMID- 29361400
TI - Future coordination and integration of the results of the EU funded projects on
schizophrenia and other mental disorders.
PMID- 29361401
TI - Immobilization and image-guidance methods for radiation therapy of limb extremity
soft tissue sarcomas: Results of a multi-institutional survey.
AB - Radiation therapy for limb-extremity soft tissue sarcoma (STS) requires accurate,
reproducible dose delivery. However, patient positioning is challenging and there
is a lack of existing guidelines to assist institutional standardization.
Therefore, we conducted a multi-institutional international survey of STS
immobilization, image guidance methods, and treatment protocols to investigate
current practice. Seventy-three UK radiotherapy centers and 15 hospitals in 7
other countries completed a questionnaire on STS immobilization and image
guidance procedures. Specifically, the survey collated information on the current
usage of immobilization equipment, including custom devices, patient setup
tolerances, the use of written protocols, the modality and frequency of image
guidance, the method of treatment, allocated treatment times, and the application
of surgical clips. Multiple combinations of immobilization devices were reported.
In the UK, 12%, 40%, 30%, 12%, and 5% use 1, 2, 3, 4, and 5 types of device for
lower limb STS. Vacuum bag plus either foot or ankle support was most common
(66%). Of 15 international centers, 27%, 60%, 7%, 0%, 7% use 1, 2, 3, 4, 5
devices, with vacuum bags (73%) and thermoplastic (47%) predominant, similar to
UK values of 77% and 52%. For image guidance, in the UK, 37% use kV planar, 34%
use MV planar, and 16% use cone-beam CT for the first 3 fractions and then
weekly. Internationally, daily imaging was more prevalent with 33% using kV
planar, 7% MV planar, and 40% cone-beam CT daily. Custom devices plus
combinations of devices, along with 5- and 10-mm set-up tolerances, were most
commonly reported. Less than half of centers have written treatment protocols.
Conventional treatment is most common in the UK, with only 42% using conformal
techniques. Treatment is allocated between 10 and 30 minutes. Twenty-six percent
of UK centers and 53% of international centers use surgical clips. Across
treatment centers, there is no consistent approach to STS immobilization, image
guidance methods, or treatment protocols assessed by this survey. A wide variety
of immobilization devices and configurations are utilized, and the frequency and
modality of imaging are similarly diverse. In the absence of guidelines, the
creation of an online repository of example immobilization techniques could
enable centers to compare a diversity of cases. The availability of a forum for
viewing and discussing a range of cases could potentially lead to improved
patient setup and reduce the time taken to devise an individual immobilization
strategy.
PMID- 29361402
TI - Does urinary tract infection alter fetal fibronectin vaginal swab results?
PMID- 29361403
TI - Structure based virtual screening of the Ebola virus trimeric glycoprotein using
consensus scoring.
AB - Ebola virus (EBOV) causes zoonotic viral infection with a potential risk of
global spread and a highly fatal effect on humans. Till date, no drug has gotten
market approval for the treatment of Ebola virus disease (EVD), and this perhaps
allows the use of both experimental and computational approaches in the antiviral
drug discovery process. The main target of potential vaccines that are recently
undergoing clinical trials is trimeric glycoprotein (GP) of the EBOV and its
exact crystal structure was used in this structure based virtual screening study,
with the aid of consensus scoring to select three possible hit compounds from
about 36 million compounds in MCULE's database. Amongst these three compounds,
(5R)-5-[[5-(4-chlorophenyl)-1,2,4-oxadiazol-3-yl]methyl]-N-[(4
methoxyphenyl)methyl]-4,5-dihydroisoxazole-3-carboxamide (SC-2, C21H19ClN4O4)
showed good features with respect to drug likeness, ligand efficiency metrics,
solubility, absorption and distribution properties and non-carcinogenicity to
emerge as the most promising compound that can be optimized to lead compound
against the GP EBOV. The binding mode showed that SC-2 is well embedded within
the trimeric chains of the GP EBOV with molecular interactions with some amino
acids. The SC-2 hit compound, upon its optimization to lead, might be a good
potential candidate with efficacy against the EBOV pathogen and subsequently
receive necessary approval to be used as antiviral drug for the treatment of EVD.
PMID- 29361404
TI - Using YouTube analytics to evaluate a Chinese video-based lecture regarding
Parkinson's disease.
PMID- 29361405
TI - Neuroinflammation and sympathetic overactivity: Mechanisms and implications in
hypertension.
AB - Essential hypertension is a multifactorial disorder with a strong genetic
predisposition. Although anti-hypertensive medications have drastically reduced
cardiovascular diseases mortality and morbidity rates, a significant percentage
of hypertensive individuals currently on anti-hypertensive therapy, remain
hypertensive. In spite of the emergence of transgenic animals and sophisticated
tools to study the pathophysiology of hypertension, unraveling the causal
mechanisms remains a challenge. Research on borderline hypertensive humans and/or
prehypertensive rat models revealed an elevation in centrally-mediated
sympathetic activity and a heightened neuroinflammatory state. Hyperactive brain
renin angiotensin system (RAS), oxidative stress and neuroinflammation in
brainstem cardiovascular centers and other brain regions are implicated as key
factors in augmenting sympathetic activity in hypertension and other
cardiovascular abnormalities. Angiotensin (Ang) II, the main RAS effector
peptide, has been shown to trigger significant upsurges in pro-inflammatory
cytokines and reactive oxygen species (ROS). Both microglial and astroglial
cells, via a host of different mechanisms, contribute to pro-inflammatory states
and ROS generation in the brain. Hence, it becomes essential to understand the
impact of Ang II and neuroinflammatory mediators on the impairment of
cardioregulatory centers in the brain, and to investigate the role of glia in Ang
II-mediated sympathoexcitation. Understanding the mechanisms leading to an
elevation in neuroinflammatory states, and the possible ways of counteracting it,
could aid in devising better therapeutic strategies for the treatment of
cardiovascular diseases and hypertension. This review primarily focuses on the
molecular aspects of hypertension from a neuroinflammatory standpoint within
brainstem cardiovascular centers.
PMID- 29361406
TI - Politics is Now a Major Risk Factor for Illness and Death in America.
PMID- 29361407
TI - Kinematic measures for upper limb robot-assisted therapy following stroke and
correlations with clinical outcome measures: A review.
AB - AIM OF THE STUDY: This review classifies the kinematic measures used to evaluate
post-stroke motor impairment following upper limb robot-assisted rehabilitation
and investigates their correlations with clinical outcome measures. METHODS: An
online literature search was carried out in PubMed, MEDLINE, Scopus and IEEE
Xplore databases. Kinematic parameters mentioned in the studies included were
categorized into the International Classification of Functioning, Disability and
Health (ICF) domains. The correlations between these parameters and the clinical
scales were summarized. RESULTS: Forty-nine kinematic parameters were identified
from 67 articles involving 1750 patients. The most frequently used parameters
were: movement speed, movement accuracy, peak speed, number of speed peaks, and
movement distance and duration. According to the ICF domains, 44 kinematic
parameters were categorized into Body Functions and Structure, 5 into Activities
and no parameters were categorized into Participation and Personal and
Environmental Factors. Thirteen articles investigated the correlations between
kinematic parameters and clinical outcome measures. Some kinematic measures
showed a significant correlation coefficient with clinical scores, but most were
weak or moderate. CONCLUSIONS: The proposed classification of kinematic measures
into ICF domains and their correlations with clinical scales could contribute to
identifying the most relevant ones for an integrated assessment of upper limb
robot-assisted rehabilitation treatments following stroke. Increasing the
assessment frequency by means of kinematic parameters could optimize clinical
assessment procedures and enhance the effectiveness of rehabilitation treatments.
PMID- 29361408
TI - Supplementing in vitro embryo production media by NPPC and sildenafil affect the
cytoplasmic lipid content and gene expression of bovine cumulus-oocyte complexes
and embryos.
AB - In our study, we added natriuretic peptide type C (NPPC) and/or sildenafil during
in vitro maturation (IVM) of bovine cumulus-oocyte complexes (COCs) followed by
in vitro culture (IVC) of embryos with or without sildenafil. We evaluated the
effects on the lipid content (LC) of oocytes and embryos and also verified the
expression of 96 transcripts related to competence in matured COCs and 96
transcripts related to embryo quality in blastocysts. After IVM, LC was decreased
in oocytes by NPPC while sildenafil did not affect LC in oocytes. The genes
involved in lipid metabolism and lipid accumulation (DGAT1, PLIN2and PLIN3) were
not affected in COCs after treatment during IVM, although the expression of PTX3
(a cumulus cells expansion biomarker) was increased and the hatched blastocyst
rate was increased by NPPC during IVM. During IVM, sildenafil increased the mRNA
relative abundance of HSF1 and PAF1 and decreased REST in blastocysts. The use of
sildenafil in IVC increased the LC of blastocysts. The mRNA abundance in
blastocysts produced during IVC with sildenafil was changed for ATF4, XBP1,
DNMT3A, DNMT3B, COX2, and SOX2. Although NPPC reduced the LC of oocytes after IVM
and upregulated markers for cumulus expansion, embryo production was not affected
and the produced blastocysts were able to regain their LC after IVC. Finally, the
use of sildenafil during IVC increased the cytoplasmic LC of embryos but did not
affect embryo quality, as measured by analysis of 96 transcripts related to
embryo quality.
PMID- 29361409
TI - [M. Le Guen, M. Carbonnel et JM Ayoubi reply to the correspondence by C.
Lallemenad et MP Debord on the article by A. Rousseau et al.: "Comparative study
about enhanced recovery after cesarean section: what benefits, what risks?"
Gynecol Obstet Fertil Senol 2017;45:287-92].
PMID- 29361410
TI - [Presentation and outcome of breast cancer under 40 years - A French monocentric
study].
AB - OBJECTIVE: The aim of our study was to evaluate the impact of young age on breast
cancer presentation and women's prognosis. METHODS: We performed a descriptive
retrospective study in the university teaching hospital of Tours from January
2007 to December 2013. All women managed for an invasive breast cancer were
included. The population was divided in 2 groups according to age: <=40 years
and>40 years. We studied differences in histological, management and outcome
characteristics. RESULTS: Two thousand and eighty three women with an invasive
breast cancer were included. A hundred and fifty five in the group of women with
an age <=40 years and 1928 in the group of women with an age>40 years.
Histological characteristics of breast cancer in younger women were worse than in
their older counterparts (with more aggressive features: grade 3, negative
hormone receptors, positive Her 2 status, triple negative molecular sub-type).
Overall survival was lower in young women than in women age>40 years (P=0.05),as
was recurrence free survival (P<0.001), locoregional recurrence free survival
(P=0.02) and distant metastasis free survival(P<0.001). Age<=40 years was an
independent factor predictive of poor recurrence free survival. CONCLUSION: In
our study we found an impact of age<=40 years on invasive breast cancer
presentation and prognosis.
PMID- 29361411
TI - Unplanned emergency department or urgent care visits after outpatient rotator
cuff repair: potential for avoidance.
AB - BACKGROUND: With the cost of health care rising, the potential to avoid costs
from an unplanned return to the emergency department (ED) or urgent care center
(UC) after elective outpatient rotator cuff repair (RCR) has been discussed but
not extensively assessed. METHODS: Outpatient RCR procedures were queried in a
closed health care system, and all unplanned ED and UC visits within 7 days of
procedures were collected and compared with other typical outpatient orthopedic
procedures (knee arthroscopy, carpal tunnel release, and anterior cruciate
ligament reconstruction). Avoidable diagnoses (ADs) for the unplanned visits were
defined in advance as visits for (1) constipation, (2) nausea or vomiting, (3)
pain, and (4) urinary retention. Final tallies of all visits versus visits with
ADs were compared. RESULTS: From June 2015 to May 2016, 1306 outpatient RCRs were
performed (729 male and 577 female patients; average age, 60 years). Of the
patients, 90 returned for ED or UC visits (6.9%), with 34 for ADs (2.6%). Pain
was the most common AD. However, when RCR was compared with other case types, ED
or UC visits for urinary retention were significantly more common (P = .007),
whereas there was no significant difference with the other ADs. The 1306 RCRs led
to a greater proportion of ED or UC visits than the combined 5825 other cases
studied (P < .001). DISCUSSION AND CONCLUSIONS: Unplanned ED visits within 7 days
of outpatient RCR are measurable and in many cases, such as ED or UC visits for
pain, are avoidable. Visits for urinary retention are seen more commonly after
RCR. Outpatient RCR led to more unplanned ED and UC visits than other common
outpatient orthopedic surgical procedures.
PMID- 29361412
TI - Prevalence of Propionibacterium acnes in the glenohumeral compared with the
subacromial space in primary shoulder arthroscopies.
AB - HYPOTHESIS: We hypothesized that the prevalence of Propionibacterium acnes in
patients undergoing primary shoulder arthroscopy is equal in the glenohumeral
space compared with the subacromial space. METHODS: Patients aged 18 years or
older with shoulder arthroscopies were included. The exclusion criteria were
prior shoulder operations, complete rotator cuff tears, systemic inflammatory
diseases, tumors, shoulder injections within 6 months of surgery, and antibiotic
therapy within 14 days preoperatively. After standardized skin disinfection with
Kodan Tinktur Forte Gefarbt, a skin swab was taken at the posterior portal.
Arthroscopy was performed without cannulas, prospectively randomized to start
either in the glenohumeral space or in the subacromial space, with direct
harvesting of a soft-tissue biopsy specimen. Sample cultivation was conducted
according to standardized criteria for bone and joint aspirate samples and
incubated for 14 days. Matrix-assisted laser desorption-ionization time-of-flight
spectrometry was used for specimen identification in positive culture results.
RESULTS: The study prospectively included 115 consecutive patients with normal C
reactive protein levels prior to surgery (54.8% men; mean age, 47.2 +/- 14.6
years). P acnes was detected on the skin after disinfection in 36.5% of patients,
in the glenohumeral space in 18.9%, and in the subacromial space in 3.5% (P =
.016). CONCLUSION: The prevalence of P acnes is significantly higher in the
glenohumeral space compared with the subacromial space in primary shoulder
arthroscopies. The results do not confirm the contamination theory but also
cannot clarify whether P acnes is a commensal or enters the joint hematologically
or even lymphatically or via an unknown pathway. Despite standardized surgical
skin disinfection, P acnes can be detected in skin swab samples in more than one
third of patients.
PMID- 29361413
TI - Os acromiale open reduction and internal fixation: a review of iliac crest
autogenous bone grafting and local bone grafting.
AB - BACKGROUND: Symptomatic os acromiale are fairly uncommon, and treatment has
included fragment excision, decompression, and open reduction and internal
fixation. Nonunion rates as high as 40% have been reported after fixation of os
acromiale. This study assessed whether union of an os acromiale could be reliably
achieved without the use of an iliac crest bone graft. METHODS: This was a
retrospective study of 32 consecutive shoulders that were treated with screw
fixation and a local bone graft or iliac crest bone graft. The mean age was 50.3
years (range, 21-74 years), and the mean follow-up was 46.9 months (range, 12-120
months). Fusion was assessed clinically and radiologically. RESULTS: All 32 os
acromiale were fused by 3 months on x-ray imaging. There were 18 shoulders in the
iliac crest bone graft group and 14 in the local bone graft group. Rotator cuff
repairs were performed concomitantly in 25 patients. Hardware was removed in 4
patients, a seroma was drained in 1 patient, and a superficial infection occurred
in 1 patient. CONCLUSION: This is the largest study of os acromiale fixation
using screws and a tension band to our knowledge. We report a 100% union rate
using this technique, with 13% requiring hardware removal and the occurrence of 1
superficial infection. This study shows a local bone graft is as effective as
iliac crest bone graft in achieving fusion.
PMID- 29361414
TI - The evaluation of safety and efficacy of colistin use in pediatric intensive care
unit: Results from two reference hospitals and review of literature.
AB - Colistin, an old cationic polypeptide antibiotic, have been reused due to rising
incidence of infections caused by multi-drug resistant (MDR) Gram-negative
microorganisms and the lack of new antibiotics. Therefore, we evaluated safety
and efficacy of colistin in treatment of these infections. This study included
104 critically ill children with a median age of 55,9 months between January 2011
and January 2016. Nephrotoxicity occurred in 11 (10.5%) patients. Nephrotoxicity
occurred between the third and seventh day of treatment in 63% of colistin
induced nephrotoxicity episodes. The subgroup analysis between the patients who
developed nephrotoxicity during colistin treatment and those that did not, showed
no significant difference in terms of age, underlying disease, cause for PICU
admission and type of infection required colistin treatment, P values were 0.615,
0.762, 0.621, 0.803, respectively. All patients were receiving a concomitant
nephrotoxic agent (P = 0,355). The majority of the patients (52%) were having
primary or secondary immune deficiency in treatment failure group and the most
common cause of PICU admission was sepsis in treatment failure group, P values
were 0.007 and 0.045, respectively. Mortality attributed to colistin failure and
crude mortality were 14.4% and 29.8%, respectively. In conclusion, colistin may
have a role in the treatment of infections caused by multidrug-resistant Gram
negative bacteria in critically ill children. However, the patients have to be
followed for side effects throughout colistin treatment, not for only early
stage. And the clinicians should be aware of increase in the rate of
nephrotoxicity in patients those have been receiving a concomitant nephrotoxic
agent.
PMID- 29361415
TI - The association between erythromycin monotherapy for Mycobacterium avium complex
lung disease and cross-resistance to clarithromycin: A retrospective case-series
study.
AB - Long-term, low-dose erythromycin monotherapy, based on the anti-inflammatory
effects of macrolides, has been reported to have the potential to suppress the
exacerbation of Mycobacterium avium complex (MAC) lung disease with less
toxicity. It remains unclear whether erythromycin monotherapy induces cross
resistance to clarithromycin, a key drug for MAC. To clarify this point, we
conducted a retrospective, single-center, case-series study on patients with MAC
lung disease who underwent erythromycin monotherapy for at least 6 months. Drug
susceptibility tests, before and after erythromycin treatment initiation, were
analyzed. Thirty-three patients were included in our study. All 33 patients
showed susceptibility to clarithromycin for MAC both before and after
erythromycin monotherapy. There was no significant difference in clarithromycin
minimum inhibitory concentrations between before and after erythromycin treatment
(median difference = 0 MUg/ml; P = .313, Wilcoxon's signed-rank test). We
conclude that erythromycin monotherapy for MAC lung disease may not induce cross
resistance to clarithromycin.
PMID- 29361417
TI - A Review of Resources and Methodologies Available for Teaching and Assessing
Patient-Related Communication Skills in Radiology.
AB - ACGME expectations for radiology trainees' proficiencies in communication skills
pose a challenge to program directors who wish to develop curricula addressing
these competencies. Numerous educational resources and pedagogical approaches
have emerged to address such competencies specifically for radiology, but have
yet to be systematically catalogued. In this paper, we review and compile these
resources into a toolkit that will help residencies develop curricula around
patient-centered communication. We describe numerous web-based resources and
published models that have incorporated innovative, contemporary pedagogical
techniques. In undertaking this compilation, our hope is to kindle discussion
about the development of formalized or standardized communication curricula or
guides for radiology residencies.
PMID- 29361416
TI - Laboratory surveillance of antimicrobial resistance and multidrug resistance
among Streptococcus pneumoniae isolated in the Kinki region of Japan, 2001-2015.
AB - The 7-valent pneumococcal conjugate vaccine (PCV7) was introduced among children
in Japan in 2010. There are no long-term multicenter surveillance studies of
antimicrobial resistance in S. pneumoniae before and after the introduction of
PCV7. Therefore, we examined chronological trends in antimicrobial resistance
among 4534 strains of S. pneumoniae isolated from both children and adults in the
Kinki region of Japan during 2001-2015. High-level penicillin and third
generation cephalosporin resistance in S. pneumoniae increased among both
children and adults during the period before the introduction of PCV7 (2001
2010). Besides penicillin and cephalosporin, pneumococcal carbapenem and
macrolide resistance increased among children. The rate of resistance to these
antibiotics was higher among children than among adults. The introduction of PCV7
decreased the rate of non-susceptibility to beta-lactam antibiotics and the rate
of multidrug resistant S. pneumoniae among children, but not among adults.
PMID- 29361418
TI - Target engagement and histopathology of neuraxial resiniferatoxin in dog.
AB - OBJECTIVE: To evaluate target engagement of intracisternally (IC) delivered TRPV1
agonist, resiniferatoxin (RTX), as measured by primary afferent and dorsal horn
substance P immunoreactivity (sP-IR), histopathology and thermal escape latencies
in dogs. STUDY DESIGN: Prospective experimental trial. ANIMALS: Fourteen adult
male Beagle dogs, weighing 10.3-13.2 kg; 11 dogs surviving to scheduled
euthanasia. METHODS: Anesthetized dogs were randomly assigned to be administered
IC RTX (3.6 MUg, 0.1 mL kg-1) in a hyperbaric (hRTX, n = 6), normobaric (nRTX, n
= 4) vehicle or a hyperbaric vehicle (hVehicle, n = 4). Over 16 days, animals
were examined for thoracic and pelvic limb paw thermal withdrawal latencies and
neurologic function. Spinal cords, trigeminal ganglia and dorsal root ganglia
(DRGs) were assessed for morphologic changes and sP-IR. RESULTS: IC RTX in
anesthetized dogs resulted in a < 1 hour increase in blood pressure. Acute
reactions leading to euthanasia within 8 hours occurred in three dogs (two hRTX,
one nRTX). All other animals recovered with normal neurologic, bowel and bladder
function. Final groups were: vehicle n = 4, hRTX n = 4 and nRTX n = 3. Animals in
nRTX and hRTX showed increases in escape latencies in thoracic paws and, to a
lesser extent, in pelvic paws, correlating to a loss of sP-IR in cervical cord
with smaller reductions in thoracic and lumbar cord. In animals surviving to
euthanasia, thickening of the arachnoid membrane (predominantly in the cervical
region) was the most consistent change. This change, present in controls, was
interpreted to be vehicle related. There was no evidence of structural changes in
brain and spinal cord. CONCLUSIONS AND CLINICAL RELEVANCE: IC RTX produced
localized loss of spinal and DRG sP with a corresponding thermal analgesia,
absent motor impairment or spinal pathology. Loss of three animals emphasizes the
need to refine the use of this promising therapeutic modality in managing
companion animal pain.
PMID- 29361419
TI - Effects of mismatches distant from the target position on gene correction with a
5'-tailed duplex.
AB - The introduction of a 5'-tailed duplex (5'-TD) fragment into cells corrects a
base-substitution mutation in a target DNA. We previously reported that the gene
correction efficiency was improved when a frameshift type of second mismatch was
present ~330 bases distant from the target position, between the target DNA and
the 5'-TD fragment. In this study, the effects of the second mismatches on the
gene correction were further examined. Base-base mismatches 332 bases distant
from the target position slightly enhanced gene correction, but less efficiently
than the previously studied frameshift mismatches. The gene correction efficiency
was also increased when the distance between the target position and the second
frameshift mismatch was changed to ~270 bases. These results suggested that the
introduction of an appropriate second frameshift mismatch into the 5'-TD fragment
improves the gene correction efficiency.
PMID- 29361420
TI - Quantification of burnout in emergency nurses: A systematic review and meta
analysis.
AB - BACKGROUND: Previous studies showed increased levels of absenteeism, drug abuse,
depression, and symptoms allied with burnout in emergency nurses. This meta
analysis aimed to quantify the three dimensions of burnout in emergency nurses
and estimate the proportion of nurses who experience higher than tolerable levels
of burnout. MATERIALS AND METHODS: A systematic search was conducted on PubMed,
Scielo, Xueshu Baidu and Informa databases with a cut-off time between 1997 and
2017 to retrieve published papers in any language that had estimated the burnout
levels in emergency nurses by using MBI scale. RESULTS: We identified a total of
11 eligible studies. The total mean estimate was moderate for emotional
exhaustion (25.552), but clearly trending towards higher level, whereas
depersonalization (10.383) and lack of personal accomplishment (30.652) showed
higher burnouts levels. The proportion of emergency nurses suffering from high
emotional exhaustion, high depersonalization, and low personal accomplishment was
40.5%, 44.3%, and 42.7%, respectively. CONCLUSION: Burnout is detrimental to
achieving high-quality healthcare services and causes a loss of productivity. It
is high time for nursing leader and management personnel to identify appropriate
measures to counteract burnout.
PMID- 29361421
TI - A Systematic Review of Arthroscopic Versus Open Tenotomy of Iliopsoas Tendonitis
After Total Hip Replacement.
AB - PURPOSE: To conduct a systematic review of the literature comparing patient
outcomes following arthroscopic and open operative management of iliopsoas
tendonitis (IPT) following total hip replacement (THR). METHODS: This review
study was conducted in accordance with the Preferred Reporting Items for
Systematic review and Meta-Analysis (PRISMA) statement. Inclusion criteria were
as follows: outcome studies following open or arthroscopic iliopsoas tendon
release after THR with at least 6 months of follow-up, English language, and
human studies. The exclusion criteria included case reports, articles evaluating
nonsurgical management or cup revision, and articles without a specific diagnosis
of IPT or in which results between open and arthroscopic treatment were reported
in conjunction. RESULTS: A total of 131 studies were initially retrieved, with 7
satisfying all inclusion criteria (4 studies on arthroscopic tenotomy and 3
studies on open tenotomy). The review included a total of 88 patients with IPT-61
patients treated arthroscopically and 27 patients treated with open tenotomy. In
total, 77 of the 88 patients demonstrated successful outcomes following surgery.
In the group treated with arthroscopy, 91.8% (56/61) of patients had successful
outcomes, whereas in those treated with open tenotomy, 77.8% (21/27) of patients
had successful outcomes. Of patients with signs of mechanical impingement from
acetabular component overhang, those who underwent open tenotomy had complete
pain relief in 6/8 patients (75%) compared to arthroscopic tenotomy in which
there was relief in 40/43 patients (93%). CONCLUSIONS: Arthroscopic iliopsoas
release for management of IPT is suggested to be an effective minimally invasive
operative technique that may also yield a lower complication rate in comparison
to open tenotomy. Tenotomy, both arthroscopic and open, are successful treatment
options for IPT, including those with signs of mechanical impingement, and are
recommended prior to cup revision. LEVEL OF EVIDENCE: Level IV, systematic review
of level IV studies.
PMID- 29361422
TI - Arthroscopically-Assisted Latissimus Dorsi Transfer for Irreparable Rotator Cuff
Insufficiency: Modes of Failure and Clinical Correlation.
AB - PURPOSE: The main objective of this retrospective study was to analyze the rate
and modes of failure of latissimus dorsi transfer (LDT). The secondary objective
was to evaluate whether a rupture of the transfer was associated with a worse
outcome. METHODS: During a 2-year period, we performed consecutive LDTs either
for irreparable posterior-superior rotator cuff tears (RCTs) or for failed prior
repair. All the LDTs were performed by a single surgeon. All transfers were
arthroscopically assisted and fixed as a tubularized LD tendon in a bone tunnel
inside the humeral head. Three metal clips were placed systematically
intraoperatively in the tubularized tendon at a fixed distance of 2, 4, and 6 cm
from the tip of the tendon. Immediate postoperative standard anteroposterior
radiographs were performed and the position of the metal clips was compared with
their position on radiographs performed at 6 weeks and 3 and 24 months
postoperatively. Constant, Subjective Shoulder Value (SSV), Simple Shoulder Test
(SST), Activities of daily living requiring active external rotation (ADLER),
visual analog scale (VAS), American Shoulder and Elbow Surgeons (ASES) scores and
patient's subjective satisfaction (assessed by self-questionnaire) at last follow
up were compared between patients who had a rupture of the transfer and those who
did not. RESULTS: Sixty-six patients were included. Six of 66 patients (9%) were
lost to follow-up. There were 11 complications (18.3%) in the global series (10
hematoma and 1 subscapularis retear). At a mean 35.2 months (range 24-50 months),
there were 23/60 cases of rupture (38%). The 7 scores and the satisfaction
reported were significantly lower for patients who had a rupture of the transfer
versus those who had an intact transfer: Constant score, 42.8 versus 68.7 (P =
.001); SSV, 48.9 versus 71.6 (P = .001); SST, 4.8 versus 8.4 (P = .012); ADLER,
19.7 versus 26.7 (P = .005); VAS, 3.7 versus 2.3 (P = .082); ASES, 55.4 versus
74.8 (P = .056); and 13% of either satisfied or very satisfied patients versus
78% (P < .001). CONCLUSIONS: The rate of rupture of LDT is high (38%). With
complete healing of LDT, the outcome is significantly lower in those with rupture
compared with those without rupture, showing that LDT can efficiently treat
massive and irreparable RCT. LEVEL OF EVIDENCE: Level IV, case series treatment
study.
PMID- 29361423
TI - Distal Mononeuropathy Before and After Arthroscopic Rotator Cuff Repair: A
Prospective Investigation.
AB - PURPOSE: The purpose of this study was to characterize the occurrence of distal
mononeuropathy (DMN) in patients before and after arthroscopic rotator cuff
repair (RCR) as well as resolution of the symptoms. METHODS: One hundred one
patients over the age of 18 undergoing arthroscopic RCR +/- concurrent procedures
completed a questionnaire regarding the presence of a symptomatic DMN. Patients
with history of diabetic neuropathy, cervical radiculopathy, brachial plexopathy,
or Spurling sign were excluded. All patients underwent physical examination to
determine the characteristics and location of symptoms. Postoperatively, patients
underwent repeat examination at 2, 6, and 12 weeks. RESULTS: Preoperatively, 19%
(19/101) of RCR patients described DMN symptoms (9 median nerve symptoms, 5 ulnar
nerve symptoms, 4 nonspecific symptoms, one with both ulnar and median nerve
symptoms). Ninety percent (17/19) patients with preoperative DMN symptoms
described resolution within the final 12 weeks of follow-up. A portion of
previously asymptomatic RCR patients (12/82) developed new DMN symptoms (6
nonspecific symptoms, 3 ulnar nerve symptoms, 2 median nerve symptoms, one radial
sensory nerve symptoms) postoperatively, with 92% (11/12) having resolution by
the final 12-week follow-up. At the final 12 weeks, 3 RCR patients had DMN
symptoms with 2 of those 3 patients having their symptoms existing
preoperatively. CONCLUSIONS: This study supports the hypothesis that DMN can be a
preexisting finding in patients undergoing arthroscopic RCR. Similarly, it is
common for patients undergoing arthroscopic RCR to develop new DMN symptoms
following their procedure. Regardless, there is good evidence to show that a
large majority of both groups of patients will go on to have resolution of their
symptoms. LEVEL OF EVIDENCE: Level IV, prospective case series.
PMID- 29361424
TI - Effect of Aromatase Inhibitor Therapy on Sleep and Activity Patterns in Early
stage Breast Cancer.
AB - INTRODUCTION: Adherence to aromatase inhibitor (AI) therapy is poor, often
because of treatment-emergent side effects, including musculoskeletal symptoms,
fatigue, and insomnia. In the present analysis, we examined the sleep patterns
and daytime function both objectively using actigraphy and subjectively using
validated questionnaires in women initiating AI therapy. PATIENTS AND METHODS:
Postmenopausal women with stage 0-III hormone receptor-positive breast cancer who
were initiating AI therapy were eligible. The patients wore actigraphy devices
for 10 consecutive days and completed questionnaires at baseline before the
initiation of AI and after 3 months of AI therapy. Associations between the
baseline demographics and symptoms, changes in patient-reported outcomes and
actigraphy measures from baseline to 3 months of AI therapy and discontinuation
of AI therapy were examined using sign tests, logistic regression models,
Spearman's correlation, and linear mixed models. RESULTS: Forty-two patients
(86%) completed the baseline assessments and 23 patients (47%) completed both the
baseline and the 3-month assessments. Objectively measured daytime function as
measured by total daytime activity decreased during the 3 months after starting
AI (232,566 activity count vs. 204,205 activity count; P = .023), and the
decrease was more evident in women with higher baseline physical function.
Reduced daytime activity correlated with increased fatigue (rho = -0.49; P =
.017). CONCLUSION: Daytime function decreased after initiation of AI therapy and
correlated moderately with increased fatigue, although no association was
identified with changes in pain or sleep quality. Additional studies are required
to understand why function is reduced, which could have implications for
interventions to improve patient tolerance of, and persistence with, AI therapy.
PMID- 29361425
TI - The Clinical Presentation, Survival Outcomes, and Management of Patients With
Renal Cell Carcinoma and Cardiac Metastasis Without Inferior Vena Cava
Involvement: Results From a Pooled Clinical Trial Database and Systematic Review
of Reported Cases.
AB - BACKGROUND: Cardiac metastases from renal cell carcinoma (RCC) are uncommon and
there are limited data regarding the presentation and outcomes of this
population. The objective of this study was to evaluate the characteristics and
outcomes of patients with RCC with cardiac metastasis without inferior vena cava
(IVC) involvement. MATERIALS AND METHODS: We conducted a pooled retrospective
analysis of metastatic RCC patients treated in 4 clinical trials. Additionally,
we conducted a systematic review of cases reported in the literature from 1973 to
2015. Patients with cardiac metastases from RCC without IVC involvement were
included. Patient and disease characteristics were described. Additionally,
treatments, response to therapy, and survival outcomes were summarized. RESULTS:
Of 1765 metastatic RCC patients in the clinical trials database, 10 had cardiac
metastases without IVC involvement. All patients received treatment with targeted
therapy. There was 1 observed partial response (10%) and 6 patients showed stable
disease (60%). The median progression-free survival was 6.9 months. The
systematic review of reported clinical cases included 39 patients. In these
patients, the most common cardiac site of involvement was the right ventricle
(51%; n = 20). Patients were treated with medical (28%; n = 11) and/or surgical
treatment (49%; n = 19) depending on whether disease was isolated (n = 13) or
multifocal (n = 26). CONCLUSION: To our knowledge, this is the first series to
report on the presentation and outcomes of patients with cardiac metastasis
without IVC involvement in RCC. We highlight that although the frequency of
patients with cardiac metastases without IVC involvement is low, these patients
have a unique clinical presentation and warrant special multidisciplinary
management.
PMID- 29361426
TI - Evaluation of a Patient With Temporomandibular Joint Disorders in Paget's Disease
of Bone.
PMID- 29361427
TI - Genomic characterisation of human monkeypox virus in Nigeria.
PMID- 29361428
TI - Obesity and hypertension in Latin America: Current perspectives.
AB - In the countries of Central America, South America and the Caribbean, there has
been a dramatic rise in obesity, the metabolic syndrome, hypertension and other
cardiovascular risk factors in the last few decades. Epidemiological evidence
highlights a consistent correlation between obesity and hypertension, and the
presence of obesity predisposes an individual to a greater risk of hypertension
although the mechanisms remain unclear. Obesity and hypertension are two key
drivers of the cardio-renal disease continuum, and patients with uncontrolled
cardiovascular risk in their mid-life will likely have an increased risk of
clinical cardiovascular and renal outcomes in old age. This article summarizes
the current status for the prevalence and consequences of obesity and
hypertension in Latin America, with the aim of initiating a call to action to all
stakeholders for greater implementation of primary prevention strategies,
particularly in the young.
PMID- 29361429
TI - Sunitinib in patients with pre-treated pancreatic neuroendocrine tumors: A real
world study.
AB - INTRODUCTION: Besides data reported in a Phase-III trial, data on sunitinib in
pancreatic Neuroendocrine Tumors (panNETs) are scanty. AIM: To evaluate sunitinib
efficacy and tolerability in panNETs patients treated in a real-world setting.
PATIENTS AND METHODS: Retrospective analysis of progressive panNETs treated with
sunitinib. Efficacy was assessed by evaluating progression-free survival, overall
survival, and disease control (DC) rate (stable disease (SD) + partial response +
complete response). Data are reported as median (25th-75th IQR). RESULTS: Eighty
patients were included. Overall, 71.1% had NET G2, 26.3% had NET G1, and 2.6% had
NET G3 neoplasms. A total of 53 patients (66.3%) had received three or more
therapeutic regimens before sunitinib, with 24 patients (30%) having been treated
with four previous treatments. Median PFS was 10 months. Similar risk of
progression was observed between NET G1 and NET G2 tumors (median PFS 11 months
and 8 months, respectively), and between patients who had received >= 3 vs <= 2
therapeutic approaches before sunitinib (median PFS 9 months and 10 months,
respectively). DC rate was 71.3% and SD was the most frequent observed response,
occurring in 43 pts (53.8%). Overall, 59 pts (73.8%) experienced AEs, which were
grade 1-2 in 43 of them (72.9%), grade 3 in 15 pts (25.4%), and grade 4 in one
patient (1.7%). Six pts (7.5%) stopped treatment due to toxicity. CONCLUSIONS:
The present real-world experience shows that sunitinib is a safe and effective
treatment for panNETs, even in the clinical setting of heavily pre-treated,
progressive diseases.
PMID- 29361431
TI - Peer Learning and Preserving the Physician's Right to Learn.
PMID- 29361430
TI - Association between hypertension in adolescents and the health risk factors of
their parents: an epidemiological family study.
AB - Hypertension in adolescence may be a predictor of cardiovascular problems in
adulthood. Therefore, verification of the factors associated with this condition
in adolescence is important. The aim of this study was to analyze the
relationship between hypertension in adolescents with hypertension and the
sociodemographic characteristics and lifestyle of their parents. This study was
conducted on 1231 adolescents, 1202 mothers, and 871 fathers. The blood pressure
of the adolescents was measured with an oscillometric device. Details of parental
hypertension, sociodemographic characteristics, and lifestyle were obtained by
self-report. The prevalence of hypertension was higher among adolescents with
older fathers and older mothers, with both parents reporting hypertension and
with mothers who were overweight. In multivariable analysis, adolescents with
older mothers (OR = 2.36; 95% confidence interval [CI] = 1.12-4.98), hypertensive
mothers (OR = 2.22 [95% CI = 1.26-3.89]), and hypertensive fathers (OR = 1.70
[95% CI = 1.03-2.81]) were more likely to have hypertension. In the analysis that
considered clusters of health risk factors, higher risks of hypertension were
observed in adolescents whose mothers had four or more aggregated risk factors
(OR = 2.53 [95% CI = 1.11-5.74]). In conclusion, there was a relationship between
hypertension in adolescents and hypertension in their parents. However, an
association between hypertension in adolescents and parental age and clusters of
health risk factors was only observed for their mothers.
PMID- 29361432
TI - Research Priorities for Optimizing Geriatric Pharmacotherapy: An International
Consensus.
AB - Medication management is becoming increasingly challenging for older people, and
there is limited evidence to guide medication prescribing and administration for
people with multimorbidity, frailty, or at the end of life. Currently, there is a
lack of clear research priorities in the field of geriatric pharmacotherapy. To
address this issue, international experts from 5 research groups in geriatric
pharmacotherapy and pharmacoepidemiology research were invited to attend the
inaugural Optimizing Geriatric Pharmacotherapy through Pharmacoepidemiology
Network workshop. A modified nominal group technique was used to explore and
consolidate the priorities for conducting research in this field. Eight research
priorities were elucidated: quality of medication use; vulnerable patient groups;
polypharmacy and multimorbidity; person-centered practice and research;
deprescribing; methodological development; variability in medication use; and
national and international comparative research. The research priorities are
discussed in detail in this article with examples of current gaps and future
actions presented. These priorities highlight areas for future research in
geriatric pharmacotherapy to improve medication outcomes in older people.
PMID- 29361434
TI - The WelTel Trial in context and the importance of null findings.
PMID- 29361433
TI - Effect of an interactive text-messaging service on patient retention during the
first year of HIV care in Kenya (WelTel Retain): an open-label, randomised
parallel-group study.
AB - BACKGROUND: Retention of patients in HIV care is crucial to ensure timely
treatment initiation, viral suppression, and to avert AIDS-related deaths. We did
a randomised trial to determine whether a text-messaging intervention improved
retention during the first year of HIV care. METHODS: This unmasked, randomised
parallel-group study was done at two clinics in informal settlements in Nairobi,
Kenya. Eligible participants were aged 18 years or older, HIV-positive, had their
own mobile phone or access to one, and were able to use simple text messaging (or
have somebody who could text message on their behalf). Participants were randomly
assigned (1:1), with random block sizes of 2, 4, and 6, to the intervention or
control group. Participants in the intervention group received a weekly text
message from the automated WelTel service for 1 year and were asked to respond
within 48 h. Participants in the control group did not receive text messages.
Participants in both groups received usual care, which comprised psychosocial
support and counselling; patient education; CD4 cell count; treatment; screening
for tuberculosis, opportunistic infections, and sexually transmitted infections;
prevention of mother-to-child transmission and family planning services; and up
to two telephone calls for missed appointments. The primary outcome was retention
in care at 12 months (ie, clinic attendance 10-14 months after the first visit).
Participants who did not attend this 12-month appointment were traced, and we
considered as retained those who were confirmed to be active in care elsewhere.
The data analyst and clinic staff were masked to the group assignment, whereas
participants and research nurses were not. We analysed the intention-to-treat
population. This trial is registered with ClinicalTrials.gov, number NCT01630304.
FINDINGS: Between April 4, 2013, and June 4, 2015, we screened 1068 individuals,
of whom 700 were recruited. 349 people were allocated to the intervention group
and 351 to the control group. Participants were followed up for a median of 55
weeks (IQR 51-60). At 12 months, 277 (79%) of 349 participants in the
intervention group were retained, compared with 285 (81%) of 351 participants in
the control group (risk ratio 0.98, 95% CI 0.91-1.05; p=0.54). There was one mild
adverse event related to the intervention, a domestic dispute that occurred when
a participant's partner became suspicious of the weekly messages and follow-up
calls. INTERPRETATION: This weekly text-messaging service did not improve
retention of people in early HIV care. The intervention might have a modest role
in improving self-perceived health-related quality of life in individuals in HIV
care in similar settings. FUNDING: National Institutes of Health and Canadian
Institutes of Health Research Canadian HIV Trials Network.
PMID- 29361436
TI - The quest for optimal intraoperative colorectal cancer detection.
PMID- 29361435
TI - Safety and effectiveness of SGM-101, a fluorescent antibody targeting
carcinoembryonic antigen, for intraoperative detection of colorectal cancer: a
dose-escalation pilot study.
AB - BACKGROUND: Tumour-targeted fluorescence imaging has the potential to advance
current practice of oncological surgery by selectively highlighting malignant
tissue during surgery. Carcinoembryonic antigen (CEA) is overexpressed in 90% of
colorectal cancers and is a promising target for colorectal cancer imaging. We
aimed to assess the tolerability of SGM-101, a fluorescent anti-CEA monoclonal
antibody, and to investigate the feasibility to detect colorectal cancer with
intraoperative fluorescence imaging. METHODS: We did an open-label, pilot study
in two medical centres in the Netherlands. In the dose-escalation cohort, we
included patients (aged >=18 years) with primary colorectal cancer with increased
serum CEA concentrations (upper limit of normal of >=3 ng/mL) since diagnosis,
who were scheduled for open or laparoscopic tumour resection. In the expansion
cohort, we included patients (aged >=18 years) with recurrent or peritoneal
metastases of colorectal cancer, with increasing serum concentrations of CEA
since diagnosis, who were scheduled for open surgical resection. We did not mask
patients, investigators, or anyone from the health-care team. We assigned
patients using a 3 + 3 dose design to 5 mg, 7.5 mg, or 10 mg of SGM-101 in the
dose-escalation cohort. In the expansion cohort, patients received a dose that
was considered optimal at that moment of the study but not higher than the dose
used in the dose-escalation cohort. SGM-101 was administered intravenously for 30
min to patients 2 or 4 days before surgery. Intraoperative imaging was done to
identify near-infrared fluorescent lesions, which were resected and assessed for
fluorescence. The primary outcome was tolerability and safety of SGM-101,
assessed before administration and continued up to 12 h after dosing, on the day
of surgery, the first postoperative day, and follow-up visits at the day of
discharge and the first outpatient clinic visit. Secondary outcomes were
effectiveness of SGM-101 for detection of colorectal cancer, assessed by tumour
to-background ratios (TBR); concordance between fluorescent signal and tumour
status of resected tissue; and diagnostic accuracy in both cohorts. This trial is
registered with the Nederlands Trial Register, number NTR5673, and
ClinicalTrials.gov, number NCT02973672. FINDINGS: Between January, 2016, and
February, 2017, 26 patients (nine in the dose-escalation cohort and 17 in the
expansion cohort) were included in this study. SGM-101 did not cause any
treatment-related adverse events, although three possibly related mild adverse
events were reported in three (33%) of nine patients in the dose-escalation
cohort and five were reported in three (18%) of 17 patients in the expansion
cohort. Five moderate adverse events were reported in three (18%) patients in the
expansion cohort, but they were deemed unrelated to SGM-101. No changes in vital
signs, electrocardiogram, or laboratory results were found after administration
of the maximum dose of 10 mg of SGM-101 in both cohorts. A dose of 10 mg,
administered 4 days before surgery, showed the highest TBR (mean TBR 6.10 [SD
0.42] in the dose-escalation cohort). In the expansion cohort, 19 (43%) of 43
lesions were detected using fluorescence imaging and were not clinically
suspected before fluorescent detection, which changed the treatment strategy in
six (35%) of 17 patients. Sensitivity was 98%, specificity was 62%, and accuracy
of fluorescence intensity was 84% in the expansion cohort. INTERPRETATION: This
study presents the first clinical use of CEA-targeted detection of colorectal
cancer and shows that SGM-101 is safe and can influence clinical decision making
during the surgical procedure for patients with colorectal cancer. FUNDING:
Surgimab.
PMID- 29361438
TI - Comparison of anxiety and child-care education characteristics of mothers who
have children with or without speech delays.
AB - INTRODUCTION: Speech delay in a child could be the cause and/or result of the
emotional disorder. The child rearing attitude that the parents have accepted
could have both positive and negative effects on the personality of the child.
OBJECTIVE: The current study aimed to investigate the sociodemographic features
and the mothers' anxiety of children with speech delay. METHODS: One hundred five
mothers with children aged between 3 and 6 years with speech delays were included
in the patient group, and 105 mothers who have children aged between 3 and 6
years with normal speech and language development were included in the control
group. An information form questionnaire including demographic characteristics,
the Family Life and Childrearing Attitude Scale (PARI - Parental Attitude
Research Instrument) and beck anxiety scale were requested from all mothers in
the patient and the control groups. RESULTS: In the current study, there was a
significant difference between the groups in terms of gender (p=0.001). According
to Parental Attitude Research Instrument, the mean of mothers of the children
with speech delays was higher than the mean of mothers of normal children in
terms of the answers to overprotective mother aspect (p<0.01). The mothers of
children with speech delays had more overprotective motherhood attitudes;
however, the difference in terms of the answers to the aspects of democratic
attitude and provision of equality, refusal to be a housewife, husband-wife
conflict, and suppression and discipline were not statistically significant. The
beck anxiety scale, a significant difference was detected between the two groups
(p<0.01). It was found that the mothers of children with speech delays had more
severe levels of anxiety. CONCLUSION: The social structure of the family, the
attitudes and the behaviors of the mother, and the anxiety levels of the mothers
have important effects on child development. Thus, it is necessary to perform
further studies related to speech delays, in which many factors play a role in
the etiology.
PMID- 29361437
TI - Effect of caffeine on vestibular evoked myogenic potential: a systematic review
with meta-analysis.
AB - INTRODUCTION: Caffeine can be considered the most consumed drug by adults
worldwide, and can be found in several foods, such as chocolate, coffee, tea,
soda and others. Overall, caffeine in moderate doses, results in increased
physical and intellectual productivity, increases the capacity of concentration
and reduces the time of reaction to sensory stimuli. On the other hand, high
doses can cause noticeable signs of mental confusion and error induction in
intellectual tasks, anxiety, restlessness, muscle tremors, tachycardia,
labyrinthine changes, and tinnitus. OBJECTIVE: Considering that the vestibular
evoked myogenic potential is a clinical test that evaluates the muscular response
of high intensity auditory stimulation, the present systematic review aimed to
analyze the effects of caffeine on vestibular evoked myogenic potential. METHODS:
This study consisted of the search of the following databases: MEDLINE, CENTRAL,
ScienceDirect, Scopus, Web of Science, LILACS, SciELO and ClinicalTrials.gov.
Additionally, the gray literature was also searched. The search strategy included
terms related to intervention (caffeine or coffee consumption) and the primary
outcome (vestibular evoked myogenic potential). RESULTS: Based on the 253
potentially relevant articles identified through the database search, only two
full-text publications were retrieved for further evaluation, which were
maintained for qualitative analysis. CONCLUSION: Analyzing the articles found,
caffeine has no effect on vestibular evoked myogenic potential in normal
individuals.
PMID- 29361439
TI - Emergency endoscopic needle-knife precut papillotomy in acute severe cholangitis
resulting from impacted common bile duct stones at duodenal papilla.
AB - AIM: To evaluate the efficacy and safety of emergency endoscopic needle-knife
precut papillotomy in acute severe cholangitis resulting from impacted common
bile duct stones at duodenal papilla. METHODS: Between January 2010 and January
2015, 118 cases of acute severe cholangitis with impacted common bile duct stones
at the native papilla underwent emergency endoscopic retrograde
cholangiopancreatography (ERCP) and early needle-knife precut papillotomy in a
tertiary referral center. Precut techniques were performed according to the
different locations of stones in the duodenal papilla. Clinical data about
therapy and recovery of the 118 patients were recorded and analyzed. RESULTS: One
hundred and eighteen patients underwent emergency ERCP within 24 h after
hospitalization, with a total success rate of 100%. The mean operating time was
6.4 +/- 4.1 min. Postoperative acute physiology and chronic health evaluation
(APACHE) II scores, white blood cell count and liver function improved
significantly. The complication rate was 4.2% (5/118); two with hemorrhage and
three with acute pancreatitis. There was no procedure-related mortality.
CONCLUSION: Emergency endoscopic needle-knife precut papillotomy is effective and
safe for acute severe cholangitis resulting from impacted common bile duct stones
at the duodenal papilla.
PMID- 29361440
TI - International consensus (ICON) on comprehensive management of the laryngeal
nerves risks during thyroid surgery.
AB - The laryngeal monitoring of the inferior and superior laryngeal nerves, and the
vagus nerve, has advanced for last years, in practice of thyroid and parathyroid
surgery. Different methods are used, using direct or indirect laryngeal
stimulation and also intermittent or continuous nerve registration. At present
time, various recommendations of it in the world use are reported, and
availability of the tool used remains a limit in some countries. The aim of this
Icon during Ifos 2017 was to share knowledge about laryngeal intraoperative nerve
monitoring (LIONM) procedures and to evaluate current practices used to improve
the quality of thyroid and parathyroid surgery. Benefits of LIONM were discussed
with three experts (Pr G. Randolph from Boston, Pr Henning Dralle from Halle in
Germany, Pr Hoon Yub KIM from Seoul). All of them have been actively involved in
the development and use of laryngeal nerve monitoring during thyroid and
parathyroid surgery.
PMID- 29361441
TI - Neurophysiologic effects of transcutaneous auricular vagus nerve stimulation
(taVNS) via electrical stimulation of the tragus: A concurrent taVNS/fMRI study
and review.
AB - BACKGROUND: Electrical stimulation of the auricular branch of the vagus nerve
(ABVN) via transcutaneous auricular vagus nerve stimulation (taVNS) may influence
afferent vagal networks. There have been 5 prior taVNS/fMRI studies, with
inconsistent findings due to variability in stimulation targets and parameters.
OBJECTIVE: We developed a taVNS/fMRI system to enable concurrent electrical
stimulation and fMRI acquisition to compare the effects of taVNS in relation to
control stimulation. METHODS: We enrolled 17 healthy adults in this single-blind,
crossover taVNS/fMRI trial. Based on parameters shown to affect heart rate in
healthy volunteers, participants received either left tragus (active) or earlobe
(control) stimulation at 500 MUs 25 HZ for 60 s (repeated 3 times over 6 min).
Whole brain fMRI analysis was performed exploring the effect of: active
stimulation, control stimulation, and the comparison. Region of interest analysis
of the midbrain and brainstem was also conducted. RESULTS: Active stimulation
produced significant increased BOLD signal in the contralateral postcentral
gyrus, bilateral insula, frontal cortex, right operculum, and left cerebellum.
Control stimulation produced BOLD signal activation in the contralateral
postcentral gyrus. In the active vs. control contrast, tragus stimulation
produced significantly greater BOLD increases in the right caudate, bilateral
anterior cingulate, cerebellum, left prefrontal cortex, and mid-cingulate.
CONCLUSION: Stimulation of the tragus activates the cerebral afferents of the
vagal pathway and combined with our review of the literature suggest that taVNS
is a promising form of VNS. Future taVNS/fMRI studies should systematically
explore various parameters and alternative stimulation targets aimed to optimize
this novel form of neuromodulation.
PMID- 29361442
TI - Effects of TDCS dosage on working memory in healthy participants.
AB - BACKGROUND: Transcranial direct current stimulation (tDCS) has been found to
improve working memory (WM) performance in healthy participants following a
single session. However, results are mixed and the overall effect size is small.
Interpretation of these results is confounded by heterogeneous study designs,
including differences in tDCS dose (current intensity) and sham conditions used.
AIMS: We systematically investigated the effect of tDCS dose on working memory
using behavioural and neurophysiological outcomes. METHODS: In a single-blind
parallel group design, 100 participants were randomised across five groups to
receive 15 min of bifrontal tDCS at different current intensities (2 mA, 1 mA,
and three sham tDCS conditions at 0.034 mA, 0.016 mA, or 0 mA). EEG activity was
acquired while participants performed a WM task prior to, during, and following
tDCS. Response time, accuracy and an event-related EEG component (P3) were
evaluated. RESULTS: We found no significant differences in response time or
performance accuracy between current intensities. The P3 amplitude was
significantly lower in the 0 mA condition compared to the 0.034 mA, 1 mA and 2 mA
tDCS conditions. Changes in WM accuracy were moderately correlated with changes
in frontal P3 amplitude (channel Fz) following tDCS compared to baseline levels
(r = 0.34). CONCLUSIONS: Working memory was not significantly altered by tDCS,
regardless of dose. The P3 amplitude showed that stimulation at 1 mA, 2 mA and a
sham condition (0.034 mA) had biological effects, with the largest effect size
for 1 mA stimulation. These findings indicate higher sensitivity of
neurophysiological outcomes to tDCS and suggests that sham stimulation previously
considered inactive may alter neuronal function.
PMID- 29361443
TI - The 0.035-Inch Wire Externalization Technique for Overcoming a Severely Angled
and Calcified Aortic Bifurcation.
PMID- 29361444
TI - Prognostic Implication of Functional Incomplete Revascularization and Residual
Functional SYNTAX Score in Patients With Coronary Artery Disease.
AB - OBJECTIVES: The aim of this study was to investigate the prognostic implication
of functional incomplete revascularization (IR) and residual functional SYNTAX
(Synergy Between Percutaneous Coronary Intervention With Taxus and Cardiac
Surgery) score (rFSS) in comparison with 3-vessel fractional flow reserve (FFR)
and residual SYNTAX score. BACKGROUND: IR is associated with poor clinical
outcomes in patients who underwent percutaneous coronary intervention. METHODS: A
total of 385 patients who underwent 3-vessel FFR measurement after stent
implantation were included in this study. The rFSS was defined as residual SYNTAX
score measured only in vessels with FFR <=0.8. The study population was divided
into the functional IR group (rFSS >=1) and the functional complete
revascularization (CR) group (rFSS = 0). The primary outcome was major adverse
cardiac events (MACEs; a composite of cardiac death, myocardial infarction, and
ischemia-driven revascularization) at 2 years. RESULTS: Functional CR was
achieved in 283 patients (73.5%). At 2-year follow-up, the functional IR group
showed a significantly higher risk for MACEs (functional IR vs. CR, 14.6% vs.
4.2%; hazard ratio: 4.09; 95% confidence interval: 1.82 to 9.21; p < 0.001) than
the functional CR group. In a multivariate-adjusted model, functional IR was an
independent predictor of MACEs (adjusted hazard ratio: 4.17; 95% confidence
interval: 1.85 to 9.44; p < 0.001). The rFSS showed a significant association
with estimated 2-year MACE rate (hazard ratio: 1.09 per 1-U increase; 95%
confidence interval: 1.02 to 1.17; p = 0.018). When added to clinical risk
factors, rFSS showed the highest integrated discrimination improvement value for
MACEs (3.5%; p = 0.002) among 3-vessel FFR, residual SYNTAX score, and rFSS.
CONCLUSIONS: Patients with functional IR showed significantly higher rate of 2
year MACEs than those with functional CR. A combined anatomic and physiological
scoring system (rFSS) after stent implantation better discriminated the risk for
adverse events than anatomic or physiological assessment alone. (Clinical
Implication of 3-Vessel Fractional Flow Reserve [FFR]; NCT01621438).
PMID- 29361445
TI - Clipping the Ring: Transcatheter Edge-to-Ring Mitral Valve Repair in a Patient
With Prior Mitral Annuloplasty Ring.
PMID- 29361446
TI - 3-Dimensional-Printed Model for Planning Transcatheter Mitral Valve Replacement.
PMID- 29361447
TI - A Novel Angiographic Quantification of Aortic Regurgitation After TAVR Provides
an Accurate Estimation of Regurgitation Fraction Derived From Cardiac Magnetic
Resonance Imaging.
AB - OBJECTIVES: This study sought to compare a new quantitative angiographic
technique to cardiac magnetic resonance-derived regurgitation fraction (CMR-RF)
for the quantification of prosthetic valve regurgitation (PVR) after
transcatheter aortic valve replacement (TAVR). BACKGROUND: PVR after TAVR is
challenging to quantify, especially during the procedure. METHODS: Post
replacement aortograms in 135 TAVR recipients were analyzed offline by
videodensitometry to measure the ratio of the time-resolved contrast density in
the left ventricular outflow tract to that in the aortic root (videodensitometric
aortic regurgitation [VD-AR]). CMR was performed within an interval of <=30 days
(11 +/- 6 days) after the procedure. RESULTS: The average CMR-RF was 6.7 +/- 7.0%
whereas the average VD-AR was 7.0 +/- 7.0%. The correlation between VD-AR and CMR
RF was substantial (r = 0.78, p < 0.001). On receiver-operating characteristic
curves, a VD-AR >=10% corresponded to >mild PVR as defined by CMR-RF (area under
the curve: 0.94; p < 0.001; sensitivity 100%, specificity 83%), whereas a VD-AR
>=25% corresponded to moderate-to-severe PVR (area under the curve: 0.99; p =
0.004; sensitivity 100%, specificity 98%). Intraobserver reproducibility was
excellent for both techniques (for CMR-RF, intraclass correlation coefficient:
0.91, p < 0.001; for VD-AR intraclass correlation coefficient: 0.93, p < 0.001).
The difference on rerating was -0.04 +/- 7.9% for CMR-RF and -0.40 +/- 6.8% for
VD-AR. CONCLUSIONS: The angiographic VD-AR provides a surrogate assessment of PVR
severity after TAVR that correlates well with the CMR-RF.
PMID- 29361448
TI - Angiographic or Functional Success?: Rethinking the Goal of Percutaneous Coronary
Intervention.
PMID- 29361449
TI - Transcatheter Tricuspid Valve Repair With the PASCAL System.
PMID- 29361450
TI - Thrombotic Occlusion and Revascularization of a Left Main Coronary Artery
Aneurysm Years After Surgical Closure of Left Coronary-to-Right Ventricle
Fistula.
PMID- 29361451
TI - Quantifying Paravalvular Aortic Regurgitation in Transcatheter Aortic Valve
Replacement: The Pursuit of Perfection.
PMID- 29361452
TI - Should we continue to measure endometrial thickness in modern-day medicine? The
effect on live birth rates and birth weight.
AB - The evaluation of endometrial thickness (EMT) is still part of standard cycle
monitoring during IVF, despite the lack of robust evidence of any value of this
measurement to predict little revalidation in contemporary medical practice;
other tools, however, such as endocrine profile monitoring, have become
increasingly popular. The aim of this study was to reassess whether EMT affects
the outcome of a fresh embryo transfer in modern-day medicine, using a
retrospective, single-centre cohort of 3350 IVF cycles (2827 women) carried out
between 2010 and 2014. In the multivariate regression analysis, EMT was non
linearly associated with live birth, with live birth rates being the lowest with
an EMT less than 7.0 mm (21.6%; P < 0.001) and then between 7.0 mm and 9.0 mm
(30.2%; P = 0.008). An EMT less than 7.0 mm was also associated with a decrease
in neonatal birthweight z-scores (-0.40; 95% CI -0.69 to -0.12). In conclusion,
these results reaffirm the use of EMT as a potential prognostic tool for live
birth rates and neonatal birthweight in contemporary IVF, namely when considered
together with other ovarian stimulation monitoring methods, such as the late
follicular endocrine profile.
PMID- 29361453
TI - Lower follicular fluid vitamin D concentration is related to a higher number of
large ovarian follicles.
AB - Vitamin D receptor-knockout mice fail to produce mature oocytes, indicating
vitamin D is crucial for folliculogenesis in mice. However, the actions of
vitamin D during folliculogenesis remain unknown. This prospective study aimed to
assess whether follicular fluid (FF) vitamin D (25OHD3) concentrations are
related to specific responses to ovarian stimulation. Women undergoing ovarian
stimulation for IVF participated in the study. FF 25OHD3 concentrations were
assessed in the first follicle aspirate on oocyte retrieval day. Oestradiol and
progesterone concentrations were assessed on the trigger day. K-means grouping
analysis showed that 25OHD3 FF concentrations clustered into a higher and lower
group (mean +/- SEM 17.4 +/- 6.61 ng/ml and 35.5 +/- 7.17 ng/ml, respectively, P
< 0.001). The clusters were analysed according to the oestradiol and progesterone
concentrations, follicle number and size and resulting oocyte number and
maturity. The FF 25OHD3 concentrations were no different among the infertility
diagnoses. The lower 25OHD3 group had more follicles (>=16.0 mm, P = 0.009) and
higher serum oestradiol concentrations (P < 0.03) on the day of HCG
administration. In this study, lower follicular 25OHD3 concentrations predicted a
better response to ovarian stimulation shown by a greater production of larger
follicles and higher serum oestradiol concentrations.
PMID- 29361454
TI - Interleukin 11 blockade during mid to late gestation does not affect maternal
blood pressure, pregnancy viability or subsequent fertility in mice.
AB - Interleukin (IL)11 is a crucial regulator during the initiation of pregnancy in
humans and mice. Elevated levels are detected in serum, placenta and decidua of
women with pre-eclampsia. Elevated IL11 during placentation recapitulates pre
eclampsia in mice, although withdrawal rescues pre-eclampsia features, suggesting
that IL11 could provide a novel therapeutic target. The aim of this study was to
determine the safety profile of an IL11 antagonist ligated to polyethylene glycol
(PEGIL11A) during pregnancy in mice. Blocking IL11 signalling during mid to late
gestation pregnancy in mice did not affect pregnancy viability, or alter
placental or fetal weight, or morphology. Importantly, decidual area remained
unchanged. PEGIL11A did not affect maternal blood pressure, urinary protein or
term pup weight. PEGIL11A administration to non-pregnant mice did not affect
subsequent fertility; there was no difference in number of implantation sites, or
placental or fetal weight between PEGIL11A and PEG-treated mice. These data show
that blocking IL11Ralpha during placentation does not alter the placenta,
decidua, fetus, maternal blood pressure or kidneys. These findings highlight the
potential of IL11 signalling inhibition as a safe therapy to alleviate pre
eclampsia symptoms and demonstrate the potential for IL11 inhibition as a novel
fertility-preserving therapy for women with cancer.
PMID- 29361455
TI - Tension Pneumothorax During One-Lung Ventilation - An Underestimated
Complication?
PMID- 29361456
TI - The Combination of Diameters of Cricoid Ring and Left Main Bronchus for Selecting
the "Best Fit" Double-Lumen Tube.
AB - OBJECTIVES: The aims of this study were to measure diameters of the cricoid ring
and left main bronchus in Asian adult patients and to assess the accuracy of
double lumen tube size selected according to cricoid and left main bronchus
diameter, respectively. DESIGN: Retrospective observational study. SETTING:
Academic, tertiary care hospital. PARTICIPANTS: Preoperative CT scans from 87 men
and 94 women who had undergone general anesthesia for lung operations.
INTERVENTIONS: No intervention. MEASUREMENTS AND MAIN RESULTS: The diameters of
the cricoid ring and left main bronchus were measured from thoracic computed
tomography images after correction of slant. The "best-fit" size of double lumen
tube was determined by comparing diameter of the left main bronchus and cricoid
ring with the diameter of the double lumen tube. Diameters of the cricoid ring
and left main bronchus were both significantly greater in men compared with women
(p < 0.0001). Shapes of cricoid rings were different between genders (p <
0.0001), while shapes of the left main bronchus were not significant different (p
= 0.343). With reference to the "best fit" size, the rate of agreement of cricoid
ring size, left main bronchus size, and height size for men were 100%, 100%, and
94.3%. For women, the rate of agreement of cricoid ring size, left main bronchus
size, and height size were 94.7%, 63.8%, and 51.1%. CONCLUSIONS: The "best fit"
size of a double lumen tube should be decided by a combination of diameters of
the cricoid ring and the left main bronchus.
PMID- 29361457
TI - Postoperative Delirium in Cardiac Surgery Patients.
AB - OBJECTIVE: The authors studied the incidence of postoperative delirium among
cardiac surgery patients using the Intensive Care Delirium Screening Checklist
(ICDSC). DESIGN: Prospective screening. SETTING: Two university hospitals.
PARTICIPANTS: A total of 1,036 consecutive patients. INTERVENTIONS: None.
MEASUREMENTS AND MAIN RESULTS: Patients were prospectively screened from day 1 to
day 10 after surgery or until hospital discharge. Appropriate perioperative data
were collected. The overall incidence of postoperative delirium was 11.5%. In the
multivariate logistic regression analysis, age over 70 years, higher EuroSCORE
points, longer aortic occlusion time, and profuse drainage increased the
incidence of delirium. The duration of mechanical ventilation and intensive care
unit length of stay were longer in the group of patients with delirium (10.6
hours [6.6-19.5] v 6.4 hours [4.9-8.6], p < 0.001, and 1.7 days [0.9-4.2] v 0.9
days [0.9-1], p < 0.001). CONCLUSIONS: Postoperative delirium is common after
cardiac surgery, and it is associated with the duration of mechanical
ventilation.
PMID- 29361458
TI - Hypoxia During One-Lung Ventilation-A Review and Update.
PMID- 29361459
TI - Extended use of bemiparin as thromboprophylaxis during bariatric surgery: results
of anti-factor Xa activity measurements.
AB - BACKGROUND: The incidence of venous thromboembolism (VTE) in morbidly obese
patients after obesity surgery is between .2% and 3.5%. Because there are a lack
of prospective studies on the type of drug, the correct dosage, and the optimal
duration, there are no specific recommendations found in the guidelines on
thrombophylaxis. OBJECTIVES: To compare the incidence of VTE and hemorrhagic
events in bariatric surgical patients receiving bemiparin thromboprophylaxis who
have prophylactic and nonprophylactic Anti-factor Xa (AFXa) levels. SETTING:
University General Hospital of Ciudad Real, Spain, public practice. METHODS: A
cohort study of 122 morbidly obese patients who underwent bariatric surgery. The
thromboprophylactic regimen consisted of bemiparin 5000 IU/24 hr for 30 days.
AFXa levels were measured on the second and third day postoperation (prophylactic
range: .3-.5 IU/mL). Body mass index, co-morbidities, prothrombotic risk factors,
and thrombotic and hemorrhagic events were noted. RESULTS: The mean body mass
index was 48.4 kg/m2. In 50 samples, the level of AFXa was within the
prophylactic range; in 71, they were in the subprophylactic range. No VTEs were
observed. Major hemorrhagic events were observed in 2.4%. We did not find a
significant association between AFXa and thromboembolic and hemorrhagic events.
There is a significant negative correlation between the level of AFXa and body
mass index. CONCLUSION: A regimen of 5000 IU/24 hr of bemiparin for 30 days after
obesity surgery appears to prevent VTE without increasing the risk of a major
hemorrhage. The level of AFXa is not associated with postoperative thrombotic or
hemorrhagic events occurring after bariatric surgery.
PMID- 29361460
TI - Corrections.
PMID- 29361461
TI - Germany's delayed coal phase-out and respiratory health.
PMID- 29361462
TI - Safety and efficacy of tiotropium in children aged 1-5 years with persistent
asthmatic symptoms: a randomised, double-blind, placebo-controlled trial.
AB - BACKGROUND: Few studies have assessed the safety and efficacy of potential asthma
medications in children younger than 5 years. We descriptively assessed the
safety and efficacy of tiotropium, a long-acting anticholinergic drug, in
children aged 1-5 years with persistent asthmatic symptoms. METHODS: This
exploratory 12-week, randomised, double-blind, placebo-controlled, parallel
group, phase 2/3, regulatory multicentre trial was done at 32 hospitals, clinics,
and clinical research units in 11 countries in Asia, Europe, and North America.
Children aged 1-5 years with at least a 6-month history of persistent asthmatic
symptoms and a need for inhaled corticosteroids were eligible. Patients were
randomly allocated using an interactive voice or web-based response system to
receive once-daily tiotropium 2.5 MUg, tiotropium 5 MUg, or placebo as an add-on
to inhaled corticosteroids with or without additional controller medication.
Patients and investigators were masked to study group assignment. Tiotropium was
given via the Respimat inhaler once daily as two puffs of 1.25 MUg in the 2.5 MUg
group, two puffs of 2.5 MUg in the 5 MUg group, or two puffs of placebo. The
primary outcomes were safety, which was assessed by comparing adverse events
between the tiotropium and placebo groups, and efficacy, which was measured as
the change in weekly mean combined daytime asthma symptom score from baseline to
week 12. Statistical analyses of treatment effects were exploratory; although
endpoints were defined, they were used for descriptive analyses only. The safety
and primary analyses were done in all patients who received at least one dose of
their assigned treatment. This study is registered with ClinicalTrials.gov
(NCT01634113), and is completed. FINDINGS: Between July 26, 2012, and Dec 4,
2014, 102 children were randomly assigned to the three treatment groups (36 to
receive tiotropium 2.5 MUg, 32 to receive tiotropium 5 MUg, and 34 to receive
placebo). 101 children completed the study and were included in the analyses. The
changes in adjusted weekly mean combined daytime asthma symptom scores between
baseline and week 12 were not significantly different between any of the groups.
The adjusted mean difference between the tiotropium 2.5 MUg group and placebo
group was -0.080 (95% CI -0.312 to 0.152) and the difference between tiotropium 5
MUg and placebo group was -0.048 (-0.292 to 0.195). Adverse events were less
frequent with tiotropium treatment than with placebo (20 [56%] of 36 children
with tiotropium 2.5 MUg, 18 [58%] of 31 with tiotropium 5 MUg, and 25 [74%] of 34
with placebo), although no formal statistical comparison between groups was
performed. A greater proportion of children reported asthma exacerbations as
adverse events in the placebo group (ten [29%] of 34) than in the tiotropium
groups (five [14%] of 36 in the 2.5 MUg group and two [6%] of 31 in the 5 MUg
group). Serious adverse events were reported in three patients (all of whom
received placebo); no adverse events led to discontinuation of treatment or
death. INTERPRETATION: To our knowledge, our small study is the first to assess
the safety and efficacy of tiotropium in children aged 1-5 years with persistent
asthmatic symptoms. Tolerability of tiotropium was similar to that of placebo,
which is consistent with previous findings in older populations. Although mean
daytime asthma symptom scores were not significantly different between groups,
tiotropium showed the potential to reduce asthma exacerbation risk compared with
placebo. The findings of the study are limited by the small sample size and
descriptive statistical analyses. Additional well powered trials are needed to
further assess the safety and efficacy of tiotropium in young children. FUNDING:
Boehringer Ingelheim.
PMID- 29361463
TI - Tiotropium: from COPD to young children with asthma?
PMID- 29361465
TI - Unification of Protein Abundance Datasets Yields a Quantitative Saccharomyces
cerevisiae Proteome.
AB - Protein activity is the ultimate arbiter of function in most cellular pathways,
and protein concentration is fundamentally connected to protein action. While the
proteome of yeast has been subjected to the most comprehensive analysis of any
eukaryote, existing datasets are difficult to compare, and there is no consensus
abundance value for each protein. We evaluated 21 quantitative analyses of the S.
cerevisiae proteome, normalizing and converting all measurements of protein
abundance into the intuitive measurement of absolute molecules per cell. We
estimate the cellular abundance of 92% of the proteins in the yeast proteome and
assess the variation in each abundance measurement. Using our protein abundance
dataset, we find that a global response to diverse environmental stresses is not
detected at the level of protein abundance, we find that protein tags have only a
modest effect on protein abundance, and we identify proteins that are
differentially regulated at the mRNA abundance, mRNA translation, and protein
abundance levels.
PMID- 29361464
TI - Integration of Multi-omics Data from Mouse Diversity Panel Highlights
Mitochondrial Dysfunction in Non-alcoholic Fatty Liver Disease.
AB - The etiology of non-alcoholic fatty liver disease (NAFLD), the most common form
of chronic liver disease, is poorly understood. To understand the causal
mechanisms underlying NAFLD, we conducted a multi-omics, multi-tissue integrative
study using the Hybrid Mouse Diversity Panel, consisting of ~100 strains of mice
with various degrees of NAFLD. We identified both tissue-specific biological
processes and processes that were shared between adipose and liver tissues. We
then used gene network modeling to predict candidate regulatory genes of these
NAFLD processes, including Fasn, Thrsp, Pklr, and Chchd6. In vivo knockdown
experiments of the candidate genes improved both steatosis and insulin
resistance. Further in vitro testing demonstrated that downregulation of both
Pklr and Chchd6 lowered mitochondrial respiration and led to a shift toward
glycolytic metabolism, thus highlighting mitochondria dysfunction as a key
mechanistic driver of NAFLD.
PMID- 29361466
TI - Integrative Personal Omics Profiles during Periods of Weight Gain and Loss.
AB - Advances in omics technologies now allow an unprecedented level of phenotyping
for human diseases, including obesity, in which individual responses to excess
weight are heterogeneous and unpredictable. To aid the development of better
understanding of these phenotypes, we performed a controlled longitudinal weight
perturbation study combining multiple omics strategies (genomics,
transcriptomics, multiple proteomics assays, metabolomics, and microbiomics)
during periods of weight gain and loss in humans. Results demonstrated that: (1)
weight gain is associated with the activation of strong inflammatory and
hypertrophic cardiomyopathy signatures in blood; (2) although weight loss
reverses some changes, a number of signatures persist, indicative of long-term
physiologic changes; (3) we observed omics signatures associated with insulin
resistance that may serve as novel diagnostics; (4) specific biomolecules were
highly individualized and stable in response to perturbations, potentially
representing stable personalized markers. Most data are available open access and
serve as a valuable resource for the community.
PMID- 29361467
TI - Scikit-ribo Enables Accurate Estimation and Robust Modeling of Translation
Dynamics at Codon Resolution.
AB - Ribosome profiling (Ribo-seq) is a powerful technique for measuring protein
translation; however, sampling errors and biological biases are prevalent and
poorly understood. Addressing these issues, we present Scikit-ribo
(https://github.com/schatzlab/scikit-ribo), an open-source analysis package for
accurate genome-wide A-site prediction and translation efficiency (TE) estimation
from Ribo-seq and RNA sequencing data. Scikit-ribo accurately identifies A-site
locations and reproduces codon elongation rates using several digestion protocols
(r = 0.99). Next, we show that the commonly used reads per kilobase of transcript
per million mapped reads-derived TE estimation is prone to biases, especially for
low-abundance genes. Scikit-ribo introduces a codon-level generalized linear
model with ridge penalty that correctly estimates TE, while accommodating
variable codon elongation rates and mRNA secondary structure. This corrects the
TE errors for over 2,000 genes in S. cerevisiae, which we validate using mass
spectrometry of protein abundances (r = 0.81), and allows us to determine the
Kozak-like sequence directly from Ribo-seq. We conclude with an analysis of
coverage requirements needed for robust codon-level analysis and quantify the
artifacts that can occur from cycloheximide treatment.
PMID- 29361468
TI - Neoadjuvant plus adjuvant dabrafenib and trametinib versus standard of care in
patients with high-risk, surgically resectable melanoma: a single-centre, open
label, randomised, phase 2 trial.
AB - BACKGROUND: Dual BRAF and MEK inhibition produces a response in a large number of
patients with stage IV BRAF-mutant melanoma. The existing standard of care for
patients with clinical stage III melanoma is upfront surgery and consideration
for adjuvant therapy, which is insufficient to cure most patients. Neoadjuvant
targeted therapy with BRAF and MEK inhibitors (such as dabrafenib and trametinib)
might provide clinical benefit in this high-risk p opulation. METHODS: We
undertook this single-centre, open-label, randomised phase 2 trial at the
University of Texas MD Anderson Cancer Center (Houston, TX, USA). Eligible
participants were adult patients (aged >=18 years) with histologically or
cytologically confirmed surgically resectable clinical stage III or
oligometastatic stage IV BRAFV600E or BRAFV600K (ie, Val600Glu or Val600Lys)
mutated melanoma. Eligible patients had to have an Eastern Cooperative Oncology
Group performance status of 0 or 1, a life expectancy of more than 3 years, and
no previous exposure to BRAF or MEK inhibitors. Exclusion criteria included
metastases to bone, brain, or other sites where complete surgical excision was in
doubt. We randomly assigned patients (1:2) to either upfront surgery and
consideration for adjuvant therapy (standard of care group) or neoadjuvant plus
adjuvant dabrafenib and trametinib (8 weeks of neoadjuvant oral dabrafenib 150 mg
twice per day and oral trametinib 2 mg per day followed by surgery, then up to 44
weeks of adjuvant dabrafenib plus trametinib starting 1 week after surgery for a
total of 52 weeks of treatment). Randomisation was not masked and was implemented
by the clinical trial conduct website maintained by the trial centre. Patients
were stratified by disease stage. The primary endpoint was investigator-assessed
event-free survival (ie, patients who were alive without disease progression) at
12 months in the intent-to-treat population. This trial is registered at
ClinicalTrials.gov, number NCT02231775. FINDINGS: Between Oct 23, 2014, and April
13, 2016, we randomly assigned seven patients to standard of care, and 14 to
neoadjuvant plus adjuvant dabrafenib and trametinib. The trial was stopped early
after a prespecified interim safety analysis that occurred after a quarter of the
participants had been accrued revealed significantly longer event-free survival
with neoadjuvant plus adjuvant dabrafenib and trametinib than with standard of
care. After a median follow-up of 18.6 months (IQR 14.6-23.1), significantly more
patients receiving neoadjuvant plus adjuvant dabrafenib and trametinib were alive
without disease progression than those receiving standard of care (ten [71%] of
14 patients vs none of seven in the standard of care group; median event-free
survival was 19.7 months [16.2-not estimable] vs 2.9 months [95% CI 1.7-not
estimable]; hazard ratio 0.016, 95% CI 0.00012-0.14, p<0.0001). Neoadjuvant plus
adjuvant dabrafenib and trametinib were well tolerated with no occurrence of
grade 4 adverse events or treatment-related deaths. The most common adverse
events in the neoadjuvant plus adjuvant dabrafenib and trametinib group were
expected grade 1-2 toxicities including chills (12 patients [92%]), headache (12
[92%]), and pyrexia (ten [77%]). The most common grade 3 adverse event was
diarrhoea (two patients [15%]). INTERPRETATION: Neoadjuvant plus adjuvant
dabrafenib and trametinib significantly improved event-free survival versus
standard of care in patients with high-risk, surgically resectable, clinical
stage III-IV melanoma. Although the trial finished early, limiting
generalisability of the results, the findings provide proof-of-concept and
support the rationale for further investigation of neoadjuvant approaches in this
disease. This trial is currently continuing accrual as a single-arm study of
neoadjuvant plus adjuvant dabrafenib and trametinib. FUNDING: Novartis
Pharmaceuticals Corporation.
PMID- 29361471
TI - A turning point in the fight against ovarian cancer?
PMID- 29361469
TI - Patients with melanoma treated with an anti-PD-1 antibody beyond RECIST
progression: a US Food and Drug Administration pooled analysis.
AB - BACKGROUND: Patients who receive immunotherapeutic drugs might develop an
atypical response pattern, wherein they initially meet conventional response
criteria for progressive disease but later have decreases in tumour burden. Such
responses warrant further investigation into the potential benefits and risks for
patients who continue immunotherapy beyond disease progression defined by the
Response Evaluation Criteria in Solid Tumors (RECIST) version 1.1. METHODS: For
this pooled analysis, we included all submissions of trial reports and data to
the US Food and Drug Administration (FDA) in support of marketing applications
for anti-programmed death receptor-1 (PD-1) antibodies (alone or in combination)
for the treatment of patients with unresectable or metastatic melanoma that
allowed for continuation of the antibody beyond RECIST-defined progression in the
anti-PD-1 group and were approved by FDA before Jan 1, 2017. To investigate the
effect of treatment beyond progression in patients with metastatic melanoma and
to better characterise which of these patients would benefit from extended
treatment, we pooled individual patient data from patients who received at least
one dose of an anti-PD-1 antibody in the included trials. We included any patient
receiving the anti-PD-1 antibody after their RECIST-defined progression date in
the treatment beyond progression cohort and analysed them descriptively at
baseline and at time of progression versus the cohort not receiving treatment
beyond progression. We analysed the target lesion response after progression in
patients in the treatment beyond progression cohort relative to progressive
disease and baseline target lesion burden. We defined a treatment beyond
progression response as a decrease in target lesion tumour burden (sum of the
reference diameters) of at least 30% from the burden at the time of RECIST
defined progression that did not require confirmation at a subsequent assessment.
We also compared individual timepoint responses, overall survival, and adverse
events in the treatment beyond progression versus no treatment beyond progression
cohorts. FINDINGS: Among the eight multicentre clinical trials meeting this
study's inclusion criteria, we pooled the data from 2624 patients receiving
immunotherapy. 1361 (52%) had progressive disease, of whom 692 (51%) received
continued anti-PD-1 antibody treatment beyond RECIST-defined progression and 669
(49%) did not. 95 (19%) of 500 patients in the treatment beyond progresssion
cohort with evaluable assessments had a 30% or more decrease in tumour burden,
when considering burden at RECIST-defined progression as the reference point,
representing 14% of the 692 patients treated beyond progression and 4% of all
2624 patients treated with immunotherapy. Median overall survival in patients
with RECIST-defined progressive disease given anti-PD-1 antibody was longer in
the treatment beyond progression cohort (24.4 months, 95% CI 21.2-26.3) than in
the cohort of patients who did not receive treatment beyond progression (11.2
months, 10.1-12.9). 362 (54%) of 669 patients in the no treatment beyond
progression cohort had a serious adverse event up to 90 days after treatment
discontinuation compared with 295 (43%) of 692 patients in the treatment beyond
progression cohort. Immune-related adverse events that occurred up to 90 days
from discontinuation were similar between the treatment beyond progression cohort
(78 [11%] of 692 patients) and the no treatment beyond progression cohort (106
[16%] of 669). INTERPRETATION: Continuation of treatment beyond progression in
the product labelling of these immunotherapies has not been recommended because
the clinical benefit remains to be proven. Treatment beyond progression with anti
PD-1 antibody therapy might be appropriate for selected patients with
unresectable or metastatic melanoma, identified by specific criteria at the time
of progression, based on the potential for late responses in the setting of the
known toxicity profile. FUNDING: None.
PMID- 29361470
TI - Prexasertib, a cell cycle checkpoint kinase 1 and 2 inhibitor, in BRCA wild-type
recurrent high-grade serous ovarian cancer: a first-in-class proof-of-concept
phase 2 study.
AB - BACKGROUND: High-grade serous ovarian carcinoma is characterised by TP53
mutations, DNA repair defects, and genomic instability. We hypothesised that
prexasertib (LY2606368), a cell cycle checkpoint kinase 1 and 2 inhibitor, would
be active in BRCA wild-type disease. METHODS: In an open-label, single-centre,
two-stage, proof-of-concept phase 2 study, we enrolled women aged 18 years or
older with measurable, recurrent high-grade serous or high-grade endometrioid
ovarian carcinoma. All patients had a negative family history of hereditary
breast and ovarian cancer or known BRCA wild-type status, measurable disease
according to Response Evaluation Criteria in Solid Tumors (RECIST) version 1.1,
Eastern Cooperative Oncology Group performance status score 0-2, and adequate
haematological, renal, hepatic, and bone-marrow function. Patients received
intravenous prexasertib 105 mg/m2 administered over 1 h every 14 days in 28-day
cycles until disease progression, unacceptable toxicity, or withdrawal of
consent. The primary endpoint of investigator-assessed tumour response, based on
RECIST version 1.1, was assessed per protocol (assessable patients who had
undergone CT imaging at baseline and attended at least one protocol-specified
follow-up) and by intention to treat. The final analysis of this cohort of
patients with BRCA wild-type high-grade serous ovarian carcinoma is reported
here. This ongoing trial is registered with ClinicalTrials.gov, number
NCT02203513, and continues to enrol patients for the BRCA-mutated ovarian cancer
cohort. FINDINGS: Between Jan 20, 2015, and Nov 2, 2016, we enrolled 28 women
with a median age of 64 years (IQR 58.0-69.5) who had previously received a
median of 5.0 (IQR 2.5-5.0) systemic therapies. Most patients (22 [79%]) had
platinum-resistant or platinum-refractory disease. All women received at least
one dose of prexasertib, but four (14%) of 28 patients were not assessable for
RECIST response. Eight (33%, 95% CI 16-55) of 24 patients assessable per protocol
had partial responses. In the intention-to-treat population, eight (29%, 95% CI
13-49) of 28 had a partial responses. The most common (in >10% patients) grade 3
or 4 treatment-emergent adverse events were neutropenia in 26 (93%) of 28
patients, reduced white blood cell count in 23 (82%), thrombocytopenia in seven
(25%), and anaemia in three (11%). Grade 4 neutropenia was reported in 22 (79%)
patients after the first dose of prexasertib and was transient (median duration 6
days [IQR 4-8]) and recovered without growth-factor support in all cases. The
treatment-related serious adverse event of grade 3 febrile neutropenia was
reported in two (7%) patients. One patient died during the study due to tumour
progression. INTERPRETATION: Prexasertib showed clinical activity and was
tolerable in patients with BRCA wild-type high-grade serous ovarian carcinoma.
This drug warrants further development in this setting, especially for patients
with platinum-resistant or platinum-refractory disease. FUNDING: Intramural
Research Program of the National Institutes of Health and National Cancer
Institute.
PMID- 29361472
TI - Revisiting RECIST: the case of treatment beyond progression.
PMID- 29361473
TI - Neoadjuvant therapy in melanoma: the next step?
PMID- 29361474
TI - Microcytosis is important in screening of iron deficiency anemia.
PMID- 29361475
TI - Incidence of pheochromocytoma and sympathetic paraganglioma in the Netherlands: A
nationwide study and systematic review.
AB - INTRODUCTION: Recent years have seen major changes in clinical practice which may
have affected the incidence rates of pheochromocytoma(PCC)/sympathetic
paraganglioma(sPGL). There is, however, a lack of up-to-date information
describing trends in these incidence rates. METHODS: We searched the Dutch
pathology registry to identify all histopathologically confirmed cases of
PCC/sPGL diagnosed between 1995 and 2015. We calculated incidence rates according
to age category as well as age-standardized incidence rates (ASR). We also
searched Medline and Embase to find data on nationwide incidence rates of
PCC/sPGL. RESULTS: The nationwide pathology study revealed a total of 1493
patients with either PCC or sPGL. The ASR for PCC increased from 0.29 (95% CI:
0.24-0.33) to 0.46 (95% CI: 0.39-0.53) per 100,000 person-years in the periods
1995-1999 and 2011-2015, respectively. For sPGL the ASR in these same periods
were 0.08 (95% CI: 0.06-0.10) and 0.11 (95% CI: 0.09-0.13) per 100,000 person
years, respectively. Concomitantly, PCC size decreased (beta -0.17; P < .001) and
age at diagnosis increased (beta 0.13; P = .001). Our systematic search yielded 3
papers reporting on a total of 530 PCC/sPGL cases, showing a combined annual
incidence rate varying from 0.04 to 0.21 per 100,000 person-years. CONCLUSION:
Incidence rates of PCC/sPGL have increased significantly over the past two
decades. This trend coincides with a higher age and a smaller tumor size at
diagnosis. Most likely these observations are at least in part the result of
changes in clinical practice during the study period, with a more intensified use
of both imaging studies and biochemical tests for detecting PCC/sPGL.
PMID- 29361477
TI - Albumin-Binding MR Probe Detects High-Risk Coronary Plaques in Patients.
PMID- 29361476
TI - Severe idiopathic pulmonary fibrosis: A clinical approach.
AB - Idiopathic pulmonary fibrosis (IPF) is a devastating progressive disease
associated with a high mortality rate. Novel antifibrotic therapies have been
recently demonstrated to slow disease progression and improve survival. However,
the management of IPF remains a difficult challenge, since lung complications can
still occur, particularly in patients with advanced-stage disease. This paper
highlights the most common complications and difficult tasks related to severe
IPF such as acute exacerbation of the disease, development of lung cancer, rapid
disease progression, and indication for lung transplantation.
PMID- 29361478
TI - Targeted Imaging for Cell Death in Cardiovascular Disorders.
AB - Cell death is desirable in cancer cells and undesirable in organs with limited
regenerative potential, like the heart. Cell death comes in many forms, but only
apoptosis and to a lesser degree necrosis is currently relevant to the clinical
imager. Noninvasive imaging of cell death is an attractive option to understand
pathophysiology, track disease activity, and evaluate response to intervention.
Apoptosis seems to be the most promising target for imaging cell death, because
it could be reversible and might be modulated with interventions. Molecular,
nuclear, optical, or magnetic resonance imaging-based methods have been developed
to identify intermediate steps in the apoptosis cascade. Animal studies show
promising results for noninvasive imaging in various cardiovascular diseases.
Human studies have shown feasibility, but clinical use is yet inconclusive. Newer
technologies offer promise, especially for tracking apoptosis in evaluation of
novel therapeutic interventions.
PMID- 29361481
TI - nu-net: Deep Learning for Generalized Biventricular Mass and Function Parameters
Using Multicenter Cardiac MRI Data.
PMID- 29361479
TI - Feature-Tracking Global Longitudinal Strain Predicts Death in a Multicenter
Population of Patients With Ischemic and Nonischemic Dilated Cardiomyopathy
Incremental to Ejection Fraction and Late Gadolinium Enhancement.
AB - OBJECTIVES: The aim of this study was to evaluate the prognostic value of cardiac
magnetic resonance (CMR) feature-tracking-derived global longitudinal strain
(GLS) in a large multicenter population of patients with ischemic and nonischemic
dilated cardiomyopathy. BACKGROUND: Direct assessment of myocardial fiber
deformation with GLS using echocardiography or CMR feature tracking has shown
promise in providing prognostic information incremental to ejection fraction (EF)
in single-center studies. Given the growing use of CMR for assessing persons with
left ventricular (LV) dysfunction, we hypothesized that feature-tracking-derived
GLS may provide independent prognostic information in a multicenter population of
patients with ischemic and nonischemic dilated cardiomyopathy. METHODS:
Consecutive patients at 4 U.S. medical centers undergoing CMR with EF <50% and
ischemic or nonischemic dilated cardiomyopathy were included in this study.
Feature-tracking GLS was calculated from 3 long-axis cine-views. The primary
endpoint was all-cause death. Cox proportional hazards regression modeling was
used to examine the association between GLS and death. Incremental prognostic
value of GLS was assessed in nested models. RESULTS: Of the 1,012 patients in
this study, 133 died during median follow-up of 4.4 years. By Kaplan-Meier
analysis, the risk of death increased significantly with worsening GLS tertiles
(log-rank p < 0.0001). Each 1% worsening in GLS was associated with an 89.1%
increased risk of death after adjustment for clinical and imaging risk factors
including EF and late gadolinium enhancement (LGE) (hazard ratio [HR]:1.891 per
%; p < 0.001). Addition of GLS in this model resulted in significant improvement
in the C-statistic (0.628 to 0.867; p < 0.0001). Continuous net reclassification
improvement (NRI) was 1.148 (95% confidence interval: 0.996 to 1.318). GLS was
independently associated with death after adjustment for clinical and imaging
risk factors (including EF and late gadolinium enhancement) in both ischemic (HR:
1.942 per %; p < 0.001) and nonischemic dilated cardiomyopathy subgroups (HR:
2.101 per %; p < 0.001). CONCLUSIONS: CMR feature-tracking-derived GLS is a
powerful independent predictor of mortality in a multicenter population of
patients with ischemic or nonischemic dilated cardiomyopathy, incremental to
common clinical and CMR risk factors including EF and LGE.
PMID- 29361480
TI - Clinical Utility of Combined Optical Coherence Tomography and Near-Infrared
Spectroscopy for Assessing the Mechanism of Very Late Stent Thrombosis.
PMID- 29361482
TI - Poor Correlation, Reproducibility, and Agreement Between Volumetric Versus Linear
Epicardial Adipose Tissue Measurement: A 3D Computed Tomography Versus 2D
Echocardiography Comparison.
PMID- 29361483
TI - Atherosclerosis, Stenosis, and Ischemia: One Primary, One Secondary, and One
Tertiary.
PMID- 29361484
TI - Same Lesion, Different Artery, Different FFR!?
PMID- 29361485
TI - LBBB and High Afterload: A Dangerous Liaison?
PMID- 29361486
TI - Afterload Hypersensitivity in Patients With Left Bundle Branch Block.
AB - OBJECTIVES: This study sought to investigate the hypothesis that patients with
left bundle branch block (LBBB) are hypersensitive to elevated afterload.
BACKGROUND: Epidemiological data suggest that LBBB can provoke heart failure in
patients with hypertension. METHODS: In 11 asymptomatic patients with isolated
LBBB and 11 age-matched control subjects, left ventricular ejection fraction
(LVEF) and global longitudinal strain (GLS) were measured by echocardiography.
Systolic arterial pressure was increased by combining pneumatic extremity
constrictors and handgrip exercise. To obtain more insight into mechanisms of
afterload response, 8 anesthetized dogs with left ventricular (LV) micromanometer
and dimension crystals were studied during acutely induced LBBB and aortic
constriction. Regional myocardial work was assessed by LV pressure-dimension
analysis. RESULTS: Consistent with normal afterload dependency, elevation of
systolic arterial pressure by 38 +/- 12 mm Hg moderately reduced LVEF from 60 +/-
4% to 54 +/- 6% (p < 0.01) in control subjects. In LBBB patients, however, a
similar blood pressure increase caused substantially larger reduction in LVEF (p
< 0.01), from 56 +/- 6% to 42 +/- 7% (p < 0.01). There were similar findings for
GLS. In the dog model, aortic constriction abolished septal shortening (p <
0.02), and septal work decreased to negative values (p < 0.01). Therefore, during
elevated systolic pressure, the septum made no contribution to global LV work, as
indicated by net negative work, and instead absorbed energy from work done by the
LV lateral wall. CONCLUSIONS: Moderate elevation of arterial pressure caused
marked reductions in LVEF and GLS in patients with LBBB. This reflects a
cardiodepressive effect of elevated afterload in the dyssynchronous ventricle and
was attributed to loss of septal function.
PMID- 29361487
TI - Novel Approach for In Vivo Detection of Vulnerable Coronary Plaques using
Molecular 3-T CMR Imaging with an Albumin-Binding Probe.
AB - OBJECTIVES: This study sought to investigate the potential of the noninvasive
albumin-binding probe gadofosveset-enhanced cardiac magnetic resonance (GE-CMR)
for detection of coronary plaques that can cause acute coronary syndromes (ACS).
BACKGROUND: ACS are frequently caused by rupture or erosion of coronary plaques
that initially do not cause hemodynamically significant stenosis and are
therefore not detected by invasive x-ray coronary angiography (XCA). METHODS: A
total of 25 patients with ACS or symptoms of stable coronary artery disease
underwent GE-CMR, clinically indicated XCA, and optical coherence tomography
(OCT) within 24 h. GE-CMR was performed approximately 24 h following a 1-time
application of gadofosveset-trisodium. Contrast-to-noise ratio (CNR) was
quantified within coronary segments in comparison with blood signal. RESULTS: A
total of 207 coronary segments were analyzed on GE-CMR. Segments containing a
culprit lesion in ACS patients (n = 11) showed significant higher signal
enhancement (CNR) following gadofosveset-trisodium application than segments
without culprit lesions (n = 196; 6.1 [3.9 to 16.5] vs. 2.1 [0.5 to 3.5]; p <
0.001). GE-CMR was able to correctly identify culprit coronary lesions in 9 of 11
segments (sensitivity 82%) and correctly excluded culprit coronary lesions in 162
of 195 segments (specificity 83%). Additionally, segmented areas of thin-cap
fibroatheroma (n = 22) as seen on OCT demonstrated significantly higher CNR than
segments without coronary plaque or segments containing early atherosclerotic
lesions (n = 185; 9.2 [3.3 to 13.7] vs. 2.1 [0.5 to 3.4]; p = 0.001).
CONCLUSIONS: In this study, we demonstrated for the first time the noninvasive
detection of culprit coronary lesions and thin-cap fibroatheroma of the coronary
arteries in vivo by using GE-CMR. This method may represent a novel approach for
noninvasive cardiovascular risk prediction.
PMID- 29361488
TI - Regional Left Ventricular Myocardial Mechanics in Degenerative Myxomatous Mitral
Valve Disease: A Comparison Between Fibroelastic Deficiency and Barlow's Disease.
PMID- 29361489
TI - Liver Stiffness Reflecting Right-Sided Filling Pressure Can Predict Adverse
Outcomes in Patients With Heart Failure.
AB - OBJECTIVES: This study sought to investigate whether elevated liver stiffness
(LS) values at discharge reflect residual liver congestion and are associated
with worse outcomes in patients with heart failure (HF). BACKGROUND: Transient
elastography is a newly developed, noninvasive method for assessing LS, which can
be highly reflective of right-sided filling pressure associated with passive
liver congestion in patients with HF. METHODS: LS values were determined for 171
hospitalized patients with HF before discharge using a Fibroscan device. RESULTS:
The median LS value was 5.6 kPa (interquartile range: 4.4 to 8.1; range 2.4 to
39.7) and that of right-sided filling pressure, which was estimated based on LS,
was 5.7 mm Hg (interquartile range: 4.1 to 8.2 mm Hg; range 0.1 to 18.9 mm Hg).
The patients in the highest LS tertile (>6.9 kPa, corresponding to an estimated
right-sided filling pressure of >7.1 mm Hg) had advanced New York Heart
Association functional class, high prevalence of jugular venous distention and
moderate/severe tricuspid regurgitation, large inferior vena cava (IVC) diameter,
low hemoglobin and hematocrit levels, high serum direct bilirubin level, and a
similar left ventricular ejection fraction compared with the lower tertiles.
During follow-up periods (median: 203 days), 8 (5%) deaths and 33 (19%)
hospitalizations for HF were observed. The patients in the highest LS group had a
significantly higher mortality rate and HF rehospitalization (hazard ratio: 3.57;
95% confidence interval: 1.93 to 6.83; p < 0.001) compared with the other
tertiles. Although LS correlated with IVC diameter and serum direct bilirubin and
brain natriuretic peptide levels, LS values were predictive of worse outcomes,
even after adjustment for these indices. CONCLUSIONS: These data suggest that LS
is a useful index for assessing systemic volume status and predicting the
severity of HF, and that the presence of liver congestion at discharge is
associated with worse outcomes in patients with HF.
PMID- 29361490
TI - Deranged Myocyte Microstructure in Situs Inversus Totalis Demonstrated by
Diffusion Tensor Cardiac Magnetic Resonance.
PMID- 29361491
TI - Incremental Value of Subtended Myocardial Mass for Identifying FFR-Verified
Ischemia Using Quantitative CT Angiography: Comparison With Quantitative Coronary
Angiography and CT-FFR.
AB - OBJECTIVES: This study examined the incremental value of subtended myocardial
mass (Vsub) as assessed by coronary computed tomography angiography (CTA) for
identifying lesion-specific ischemia verified by invasive fractional flow reserve
(FFR) in quantitative coronary CTA. BACKGROUND: FFR is determined not only by
coronary stenosis severity, but also by Vsub. One-step evaluation of combined
Vsub and coronary lesion morphology may improve the accuracy of coronary CTA for
identifying ischemia-producing lesions. METHODS: A total of 246 intermediate
coronary artery lesions (30% to 80% diameter stenosis) in 220 patients (mean age
61.7 years, 168 men) interrogated by FFR were retrospectively studied. Coronary
CTA data were used to assess the Vsub by coronary artery stenosis, minimal lumen
area (MLA), percentage of aggregated plaque volume (%APV), positive remodeling,
and low-attenuation plaque. The ability of Vsub/MLA2 to discriminate lesions with
FFR <=0.80 was examined. Diagnostic performance, odds ratios, and category-less
net reclassification improvements of coronary CTA parameters for FFR-verified
(<=0.80) ischemia were evaluated. On-site computed tomography (CT) derived-FFR
(CT-FFR) and quantitative coronary angiography (QCA) data were also compared.
RESULTS: Of 246 lesions, 84 (34.1%) showed an FFR <=0.80. Vsub was independently
associated with an FFR <=0.80 (odds ratio: 1.04/1 cm3; p = 0.032) and showed
incremental value over MLA. Vsub/MLA2 >4.16 was the best single parameter for
discriminating an FFR <=0.80 with 83.3% sensitivity and 67.9% specificity. The
area under the curve (AUC) of Vsub/MLA2 >4.16 (0.80 [95% confidence interval:
0.75 to 0.85]) was better than that of MLA (change in [Delta]AUC: 0.069; p <
0.001), %APV (DeltaAUC: 0.096; p = 0.017), and diameter stenosis of QCA
(DeltaAUC: 0.080; p = 0.037) and was comparable to that of CT-FFR (AUC 0.77;
DeltaAUC: 0.035; p = 0.304). CONCLUSIONS: Vsub is an independent determinant of
an FFR <=0.80. The mathematical index of Vsub/MLA2 >4.16 assessed by coronary CTA
shows better diagnostic performance for the detection of ischemia-producing
lesions than CT-derived MLA alone or %APV and QCA parameters and was comparable
to that of on-site CT-FFR.
PMID- 29361494
TI - Stone Liver, Heart in Danger: Could the Liver Stiffness Assessment Improve the
Management of Patients With Heart Failure?
PMID- 29361493
TI - Many Faces of Fabry's Cardiomyopathy.
PMID- 29361492
TI - Intra-Atrial Dyssynchrony During Sinus Rhythm Predicts Recurrence After the First
Catheter Ablation for Atrial Fibrillation.
AB - OBJECTIVES: The purpose of this study was to evaluate the usefulness of intra
atrial dyssynchrony as a marker of underlying left atrial (LA) remodeling to
predict recurrence after the first atrial fibrillation (AF) ablation. BACKGROUND:
Catheter ablation for AF remains far from curative with relatively high
recurrence rates. One of the causes of recurrence is poor patient selection out
of a diverse patient population with different degrees of LA remodeling. METHODS:
We included 208 patients with a history of AF (59.4 +/- 10 years of age; 26.0%
nonparoxysmal AF) referred for catheter ablation of AF who underwent pre-ablation
cardiac magnetic resonance in sinus rhythm. Clinical follow-up was 20 +/- 6
months. Using tissue tracking cardiac magnetic resonance, we measured the LA
longitudinal strain in each of 12 equal-length segments in 2- and 4-chamber
views. We defined intra-atrial dyssynchrony as the standard deviation of the time
to the peak longitudinal strain corrected by the cycle length (SD-time to peak
strain [TPS], %). RESULTS: Patients with AF recurrence after ablation (n = 101)
had significantly higher SD-TPS than those without (n = 107; 3.9% vs. 2.2%; p <
0.001). Multivariable cox analysis showed that SD-TPS was associated with
recurrence after adjusting for clinical risk factors, AF type, LA structure and
function, and fibrosis (p < 0.001). Furthermore, receiver-operator
characteristics analysis showed SD-TPS improved prediction of recurrence better
than clinical risk factors, LA structure and function, and fibrosis. CONCLUSIONS:
Intra-atrial dyssynchrony during sinus rhythm is an independent predictor of
recurrence after the first catheter ablation of paroxysmal or persistent AF.
Assessment of intra-atrial dyssynchrony may improve ablation outcomes by refining
patient selection.
PMID- 29361495
TI - Mechanisms of Hypercalcemia in Non-Hodgkin Lymphoma and Associated Outcomes: A
Retrospective Review.
AB - INTRODUCTION: The etiology of hypercalcemia in non-Hodgkin lymphoma (NHL) has
been most often attributed to either elevated serum levels of 1,25
dihydroxycholecalciferol (calcitriol) or parathyroid-related protein (PTHrP). In
a single-center retrospective review, we evaluated the incidence of, and outcomes
associated with, hypercalcemia in NHL. PATIENTS AND METHODS: The medical records
of patients with a histologically confirmed diagnosis of NHL and >= 1 episode of
hypercalcemia were evaluated for demographic and lymphoma-specific factors,
including the response to therapy and overall survival. RESULTS: Fifty-four
patients with NHL met the inclusion criteria. Most patients (57.4%) had diffuse
large B-cell lymphoma, of which, 70% were the nongerminal center subtype.
Approximately one half (42.6%) of the included patients had undergone serologic
investigation into the etiology of hypercalcemia; however, only 17 patients
(31.5%) had both a serum PTHrP and a calcitriol level properly collected. Of the
17 cases for which both a serum calcitriol and a PTHrP were collected, most
(61.1%) were found to have neither an elevation of serum calcitriol nor an
elevation of PTHrP. The degree of calcitriol elevation correlated with worse
progression-free survival (P = .04) but not overall survival. CONCLUSION: The
major mechanism by which NHL patients develop hypercalcemia is not mediated by
calcitriol or PTHrP. Hypercalcemia is most prevalent in patients with diffuse
large B-cell lymphoma of the nongerminal cell subtype. Patients with calcitriol
mediated hypercalcemia showed a trend toward worse outcomes, suggesting that
calcitriol might be a marker of high-grade lymphoma, transformation to such, or a
surrogate for more advanced disease.
PMID- 29361496
TI - Associations of lipoproteins with cardiovascular and infection-related outcomes
in patients receiving hemodialysis.
AB - BACKGROUND: In hemodialysis (HD) patients, higher lipid levels are associated
with lower mortality. Lipid-lowering therapy does not reduce all-cause mortality
or cardiovascular (CV) mortality. Lipoproteins play a role in the innate immune
system. Our objective was to determine whether protection from infection might
counterbalance adverse CV outcomes associated with lipoproteins. METHODS: We
examined associations between serum apolipoprotein (Apo) A1, B, C2, C3, high
density lipoprotein and low-density lipoprotein (LDL) cholesterol and
triglyceride levels and infectious mortality or hospitalization, CV mortality or
hospitalization, and all-cause mortality in 433 prevalent HD patients. Cox models
with time-varying apolipoprotein concentrations collected every 6 months for up
to 2 years were used for analyses. RESULTS: Median follow-up time for all-cause
mortality was 2.7 years (25th-75th percentile range: 2.2-3.4 years). One hundred
seventy-nine (41%) patients had an infection-related event. In multivariable
models, higher Apo B and LDL were associated with lower risks of infection
related outcomes (hazard ratio Apo B 0.92 [95% confidence interval 0.86-0.99 per
10 mg/dL, P = .03]; hazard ratio LDL 0.93 [95% confidence interval 0.87-1.00 per
10 mg/dL, P = .05]). Sixty-three (15%) participants had a CV-related event. No
significant associations were observed between lipoproteins and CV outcomes.
Eighty-seven (20%) participants died. Higher Apo A1, Apo B, and Apo C3 were
associated with lower risks of all-cause mortality. There was no interaction
between the use of lipid-lowering medication and any of the outcomes. CONCLUSION:
Associations of lipoproteins with lower risk of serious infection accompanied by
no significant association with CV events may help to explain the paradoxical
association between lipids and survival and lack of benefit of lipid-lowering
therapies in HD.
PMID- 29361497
TI - Reversal of metabolic disorders by pharmacological activation of bile acid
receptors TGR5 and FXR.
AB - OBJECTIVES: Activation of the bile acid (BA) receptors farnesoid X receptor (FXR)
or G protein-coupled bile acid receptor (GPBAR1; TGR5) improves metabolic
homeostasis. In this study, we aim to determine the impact of pharmacological
activation of bile acid receptors by INT-767 on reversal of diet-induced
metabolic disorders, and the relative contribution of FXR vs. TGR5 to INT-767's
effects on metabolic parameters. METHODS: Wild-type (WT), Tgr5-/-, Fxr-/-, Apoe-/
and Shp-/- mice were used to investigate whether and how BA receptor activation
by INT-767, a semisynthetic agonist for both FXR and TGR5, could reverse diet
induced metabolic disorders. RESULTS: INT-767 reversed HFD-induced obesity
dependent on activation of both TGR5 and FXR and also reversed the development of
atherosclerosis and non-alcoholic fatty liver disease (NAFLD). Mechanistically,
INT-767 improved hypercholesterolemia by activation of FXR and induced
thermogenic genes via activation of TGR5 and/or FXR. Furthermore, INT-767
inhibited several lipogenic genes and de novo lipogenesis in the liver via
activation of FXR. We identified peroxisome proliferation-activated receptor
gamma (PPARgamma) and CCAAT/enhancer-binding protein alpha (CEBPalpha) as novel
FXR-regulated genes. FXR inhibited PPARgamma expression by inducing small
heterodimer partner (SHP) whereas the inhibition of CEBPalpha by FXR was SHP
independent. CONCLUSIONS: BA receptor activation can reverse obesity, NAFLD, and
atherosclerosis by specific activation of FXR or TGR5. Our data suggest that,
compared to activation of FXR or TGR5 only, dual activation of both FXR and TGR5
is a more attractive strategy for treatment of common metabolic disorders.
PMID- 29361498
TI - Respiromics - An integrative analysis linking mitochondrial bioenergetics to
molecular signatures.
AB - OBJECTIVE: Energy metabolism is challenged upon nutrient stress, eventually
leading to a variety of metabolic diseases that represent a major global health
burden. METHODS: Here, we combine quantitative mitochondrial respirometry
(Seahorse technology) and proteomics (LC-MS/MS-based total protein approach) to
understand how molecular changes translate to changes in mitochondrial energy
transduction during diet-induced obesity (DIO) in the liver. RESULTS: The
integrative analysis reveals that significantly increased palmitoyl-carnitine
respiration is supported by an array of proteins enriching lipid metabolism
pathways. Upstream of the respiratory chain, the increased capacity for ATP
synthesis during DIO associates strongest to mitochondrial uptake of pyruvate,
which is routed towards carboxylation. At the respiratory chain, robust increases
of complex I are uncovered by cumulative analysis of single subunit
concentrations. Specifically, nuclear-encoded accessory subunits, but not
mitochondrial-encoded or core units, appear to be permissive for enhanced lipid
oxidation. CONCLUSION: Our integrative analysis, that we dubbed "respiromics",
represents an effective tool to link molecular changes to functional mechanisms
in liver energy metabolism, and, more generally, can be applied for mitochondrial
analysis in a variety of metabolic and mitochondrial disease models.
PMID- 29361499
TI - A Brief History of Coronary Artery Stents.
PMID- 29361500
TI - Long-term Persistent Elite HIV-controllers: The Right Model of Functional Cure.
PMID- 29361502
TI - Dentures wearing reduce motion artifacts related to tongue movement in magnetic
resonance imaging.
AB - PURPOSE: Tongue movement with unstable swallowing cause artifacts on magnetic
resonance imaging (MRI). This may be associated with loss of occlusal support.
This study aimed to clarify whether motion artifacts can be mitigated by denture
wearing during MRI examination in patients without occlusal support, and whether
denture wearing affect tongue stability, form, and position were also evaluated.
METHODS: Ten subjects without occlusal support (6 male, 4 female; mean age
73.20+/-10.12 years) participated in the study. MRI was performed with dentures
worn (DW), followed with removal of dentures (NDW). Luminance standard deviation
(LSD) was measured in regions of interest in the axial and sagittal planes. The
position of the base of the tongue (TB), tip of the tongue apex (TA), and
tongue's long diameter (TLD) were compared between DW and NDW. RESULTS: NDW
evoked ambiguous MR images in the axial and sagittal planes compared with DW.
There were significant differences in LSD between DW and NDW in both the axial
(p=0.047) and sagittal planes (p=0.02). No significant difference in the position
of TB were observed (p=0.78). The position of TA was significantly more protruded
with DW (p=0.007). Also, TLD was significantly longer with DW (p=0.001).
CONCLUSIONS: Results of this study suggest that wearing the dentures during MRI
examination reduces motion artifacts in edentulous patients without occlusal
support, and maintained the normal form of the tongue during imaging.
PMID- 29361501
TI - Synthetic High-Density Lipoprotein-Mediated Targeted Delivery of Liver X
Receptors Agonist Promotes Atherosclerosis Regression.
AB - Targeting at enhancing reverse cholesterol transport (RCT) is apromising strategy
for treating atherosclerosis via infusion of reconstitute high density
lipoprotein (HDL) as cholesterol acceptors or increase of cholesterol efflux by
activation of macrophage liver X receptors (LXRs). However, systemic activation
of LXRs triggers excessive lipogenesis in the liver and infusion of HDL
downregulates cholesterol efflux from macrophages. Here we describe an
enlightened strategy using phospholipid reconstituted apoA-I peptide (22A)
derived synthetic HDL (sHDL) to deliver LXR agonists to the atheroma and examine
their effect on atherosclerosis regression in vivo. A synthetic LXR agonist,
T0901317 (T1317) was encapsulated in sHDL nanoparticles (sHDL-T1317). Similar to
the T1317 compound, the sHDL-T1317 nanoparticles upregulated the expression of
ATP-binding cassette transporters and increased cholesterol efflux in macrophages
in vitro and in vivo. The sHDL nanoparticles accumulated in the atherosclerotic
plaques of ApoE-deficient mice. Moreover, a 6-week low-dose LXR agonist-sHDL
treatment induced atherosclerosis regression while avoiding lipid accumulation in
the liver. These findings identify LXR agonist loaded sHDL nanoparticles as a
promising therapeutic approach to treat atherosclerosis by targeting RCT in a
multifaceted manner: sHDL itself serving as both a drug carrier and cholesterol
acceptor and the LXR agonist mediating upregulation of ABC transporters in the
aorta.
PMID- 29361504
TI - The development and evaluation of a novel repurposing of a peripheral gaming
device for the acquisition of forces applied to a hydraulic treatment plinth.
AB - This technical note details the stages taken to create an instrumented hydraulic
treatment plinth for the measurement of applied forces in the vertical axis. The
modification used a widely available low-cost peripheral gaming device and
required only basic construction and computer skills. The instrumented treatment
plinth was validated against a laboratory grade force platform across a range of
applied masses from 0.5-15 kg, mock Gr I-IV vertebral mobilisations and a dynamic
response test. Intraclass correlation coefficients demonstrated poor reliability
(0.46) for low masses of 0.5 kg improving to excellent for larger masses up to15
kg respectively; excellent to good reliability (0.97-0.86) for the mock
mobilisations and moderate reliability (0.51) for the dynamic response test. The
study demonstrates how a cheap peripheral gaming device can be repurposed so that
forces applied to a hydraulic treatment plinth can be collected reliably when
applied in a clinically reasoned manner.
PMID- 29361503
TI - Twin studies for the prognosis, prevention and treatment of musculoskeletal
conditions.
AB - BACKGROUND: Musculoskeletal conditions are highly prevalent in our ageing society
and are therefore incurring substantial increases in population levels of years
lived with disability (YLD). An evidence-based approach to the prognosis,
prevention, and treatment of those disorders can allow an overall improvement in
the quality of life of patients, while also softening the burden on national
health care systems. METHODS: In this Masterclass article, we provide an overview
of the most relevant twin study designs, their advantages, limitations and major
contributions to the investigation of traits related to the domain of
musculoskeletal physical therapy. CONCLUSIONS: Twin studies can be an important
scientific tool to address issues related to musculoskeletal conditions. They
allow researchers to understand how genes and environment combine to influence
human health and disease. Twin registries and international collaboration through
existing networks can provide resources for achieving large sample sizes and
access to expertise in study design and analysis of twin data.
PMID- 29361506
TI - Supplementing Resident Research Funding Through a Partnership With Local
Industry.
AB - OBJECTIVE: To develop a model for the supplementation of resident research
funding through a resident-hosted clinical immersion with local industry. DESIGN:
Designated research residents hosted multiple groups of engineers and business
professionals from local industry in general surgery-focused clinical immersion
weeks. The participants in these week-long programs are educated about general
surgery and brought to the operating room to observe a variety of surgeries.
SETTING: This study was performed at the University of Minnesota, in Minneapolis,
Minnesota, at a tertiary medical center. PARTICIPANTS: Ten designated research
residents hosted general surgery immersion programs. Fifty-seven engineers and
business professionals from 5 different local biomedical firms have participated
in this program. RESULTS: General surgery research residents (in collaboration
with the University of Minnesota's Institute for Engineering in Medicine) have
hosted 9 clinical immersion programs since starting the collaborative in 2015.
Immersion participant response to the experiences was very positive. Two full
time resident research positions can be funded annually through participation in
this program. CONCLUSIONS: With decreasing funding available for surgical
research, particularly resident research, innovative ways to fund resident
research are needed. The general surgery clinical immersion program at the
University of Minnesota has proven its value as a supplement for resident
research funding and may be a sustainable model for the future.
PMID- 29361505
TI - A bibiliometric analysis of the Journal of Infection and Public Health: 2008
2016.
AB - BACKGROUND: The Journal of Infection and Public Health published its first issue
in 2008. The fact that the journal has been published uninterruptedly as well as
its editorial content and the application of a peer-review process to select
manuscripts, made the journal eligible to be indexed by databases such as Scopus.
This study presents a general overview of the journal from 2008 until 2016 using
bibliometric indicators to assess its performance. METHODS: The data for this
study, dating between 2008 and 2016, was extracted from Scopus database. All the
information was exported in CSV format to the Sequel Pro software for data
analysis. Additionally, collaboration and word co-occurrence networks were
generated using VOSviewer. RESULTS: The total number of documents published
between 2008-2016 was 586, of which local authorship (Saudi Arabia) constituted a
small share, accounting only for 19.3% (113 documents). Those with foreign
authorship (other countries excluding Saudi Arabia) represented 80.7% (473) of
the total. Furthermore, the three countries that co-author documents with
researchers from Saudi Arabia are Egypt, the United States and the United
Kingdom. Likewise, these three countries serve as nodes connecting researchers
from other countries located in Asia, Africa, Europe and Latin America. An
analysis of the top-five journals that cited the research published revealed that
that 90.2% of them belonged to the first and second quartiles confirms the
quality of the research being published. Word co-occurrence analysis established
a slight shift of focus in the research topics published during the last four
years studied, as a new cluster was formed with terms related to "education",
"intervention" and "implementation". CONCLUSION: The journal has managed, in very
few years, to be considered by researchers worldwide. Furthermore, throughout the
years, the journal showed an evolution in the main topics covered, providing a
contemporary overview of public health.
PMID- 29361507
TI - Dr. Congeniality: Understanding the Importance of Surgeons' Nontechnical Skills
Through 360 degrees Feedback.
AB - OBJECTIVE: Physician performance is a complex construct that is broadly defined
by technical and nontechnical components. The primary aim of this study was to
identify which Big Five personality traits (openness, conscientiousness,
extraversion, agreeableness, and emotional stability) in surgeons were related to
patient satisfaction and teamwork performance in a surgical setting. A secondary
aim of this study was to examine the specific perceptions of physician behavior
related to patient satisfaction and teamwork performance. DESIGN: Orthopedic
surgeons received anonymous multisource 360 degrees feedback from managers,
colleagues, nurses, technicians, and trainees. Personality traits were
categorized with a modified Delphi Consensus technique using the Big Five
framework. Patient satisfaction was measured using retrospective Clinician &
Group-Consumer Assessment of Healthcare Providers and System (CG-CAHPS) data.
Teamwork performance was measured using the Quality PULSE 360 Teamwork Index.
SETTING: Research was performed at a large academic medical center in the
northeastern United States. PARTICIPANTS: Participants in this study included a
sample of 24 orthopedic surgeons. RESULTS: Backward stepwise regressions were
used to determine which model with the most variance used the fewest explanatory
variables. Personality traits acted as predictor variables in the regression
models and patient satisfaction and teamwork performance were utilized as outcome
variables. The higher the physicians' emotional stability, the higher patients'
overall satisfaction (beta = 0.41, p = 0.04) and willingness to recommend them to
other patients (beta = 0.45, p = 0.03). Furthermore, high emotional stability was
related to effective surgical teams as rated by team members (beta = -0.75, p =
0.00) such that the more emotionally stable physicians were, the higher their
teamwork rating by colleagues. CONCLUSIONS: Both physicians-in-training and in
practice physicians may benefit from engaging in empathic and constructive
behaviors with patients and team members.
PMID- 29361508
TI - Building a Simulator with Life-like Realism for Teaching Abdominal Operations.
AB - OBJECTIVE: The objective of this communication is to provide an evolutionary
description of an attempt to replicate the success of the Rampahl Cardiac
Simulator using perfused abdominal organ blocks the way that the Rampahl
Simulator uses ex vivo porcine hearts. DESIGN: This descriptive paper makes no
attempt to prove the effectiveness of the described educational tool, but rather,
outlines the successes and failures in development. The proven value of a
perfused organ teaching tool, as the Rampahl Simulator, suggests that others can
build upon the work described in this paper so that, in the future, perfused
abdominal organs will be available to students of general surgery as a routine
part of their pre-operative preparation. SETTING: The Animal Resource Facility of
the University of Utah, under the oversight of the University Institutional
Animal Use and Care Committee (IACUC), provided the animals, operating suites and
technical support. PARTICIPANTS: During each development phase, General Surgery
Residents and Medical Students from all levels participated. In addition,
operating room staff with an interest in either medical school or perfusion were
invited to participate. RESULTS: The efforts described in this paper eventually
resulted in a reliable teaching tool for abdominal procedures in that viability
of the porcine abdominal organs for up to three hours after euthanasia was
regularly achieved. CONCLUSIONS: General Surgery Teaching Programs of a size
similar to the University of Utah may have access to the resources necessary to
replicate this teaching tool in a cost-effective manner. However smaller teaching
programs, such as those without a research facility, may not be able to adapt the
procedures described in this paper.
PMID- 29361509
TI - Renal Transplantation as a Platform for Teaching Residents Open Vascular Surgical
Techniques: Effects on Early Graft Function.
AB - BACKGROUND: Over the past decade, increases in vascular fellowships and the use
of endovascular technology have decreased the general surgery residents' exposure
to open vascular surgery. We sought to elucidate whether renal transplant is a
safe way to teach general surgery residents the essential tenants of vascular
surgery without adversely affecting early patient outcomes. METHODS: All
solitary, adult deceased donor kidney transplants performed at the University of
Wisconsin from 2011 through 2016 were identified and divided into a resident
assist (RA) and fellow-assist cohorts (FA). DGF, defined by the requirement of
dialysis within 1 week of transplant, was the primary outcome. Early graft
survival and postoperative complications were considered the secondary endpoints.
RESULTS: Of the 774 total cases, there were 228 (29.5%) in the RA cohort and 546
(70.5%) in the FA cohort. The RA and FA cohorts had comparable characteristics,
except for a nonclinically significant difference in mean donor creatinine (0.96
vs 0.88mg/dL, p = 0.03). RA cases had a similar DGF rate compared to FA cases
(25% vs 26%, p = 0.93). Additionally, there was no difference in 2-year graft
survival (93.7% vs 95.5%, p = 0.38), nor the rates of graft thromboses (0.4% vs
0.7%, p = 0.65), incisional hernias (0.9% vs 1.8%, p = 0.35), and ureteral
strictures (2.2% vs 1.6%, p = 0.55) between the 2 cohorts. CONCLUSIONS: Resident
involvement in renal transplantation has no effect on DGF and early allograft
function. Though the procedural involvement of each resident in a case is
variable, it seems to be a safe way to teach retroperitoneal vascular exposure
and anastomotic techniques.
PMID- 29361510
TI - Asthma and Allergic Disorders in Uganda: A Population-Based Study Across Urban
and Rural Settings.
AB - BACKGROUND: Allergic diseases are increasing in sub-Saharan Africa, but few
studies have characterized the burden among adults. OBJECTIVE: We conducted a
study to evaluate the prevalence and risk factors of allergic disorders in urban
and rural Uganda. METHODS: We present a cross-sectional analysis of enrollment
data from a population-based cohort study of adults aged >=35 years in urban and
rural Uganda. Sociodemographic and both lifetime and 12-month respiratory
symptoms data were collected and spirometry was conducted following standard
guidelines. RESULTS: In 1,308 adults (median age 43.8 years and 52.3% female), we
found an age-adjusted prevalence of 6.8% for asthma (9.8% urban, 4.3% rural; P <
.001), 11.9% for allergic rhinitis (16.4% urban, 7.8% rural; P < .001), and 8.2%
for eczema (9.9% urban, 7.8% rural; P = .15). Urbanization was the primary driver
of asthma, accounting for 61.4% of cases (95% confidence interval [CI] 22.0% to
83.4%), and was the strongest risk factor for any allergic illness (odds ratio
[OR] = 1.87, 95% CI 1.39-2.51). Parental asthma was not associated with allergic
illness. Asthma was associated with a lower forced expiratory volume in 1 second
(FEV1) by 0.56 z scores (95% CI 0.33-0.80). We found a dose-response association
between lower quintiles of the FEV1/forced vital capacity ratio and both
hospitalization (OR = 1.77, 95% CI 1.21-2.59) and impairment in daily activities
(1.65, 1.20-2.27). CONCLUSIONS: Asthma and allergic rhinitis were twice as
prevalent in urban settings. Asthma was associated with greater impairment and
worse lung function outcomes. We identified a high prevalence of allergic
disorders in Uganda, which can be expected to increase due to urbanization and
resultant exposures throughout early development.
PMID- 29361511
TI - Outcome of 490 Desensitizations to Chemotherapy Drugs with a Rapid One-Solution
Protocol.
AB - BACKGROUND: Hypersensitivity reactions to chemotherapy drugs are quite frequent.
Desensitization for chemotherapy drugs has become an option to maintain first
line therapy in patients who have suffered such reactions. OBJECTIVE: The
objective of this study was to describe our experience in desensitization with
antineoplastic agents using a rapid 1-solution protocol. METHODS: We performed a
3-year prospective observational study recording all patients who were
desensitized with this protocol. All patients signed an informed consent. Skin
test was performed at concentrations previously described as nonirritant.
Desensitization was performed using only 1 solution of the drug prepared
following the manufacturer instructions. Most drugs were diluted in a volume of
500 mL. We started infusion at 5 mL/h and increased doses at 15-minute intervals
to 10, 25, 50, 75, and 100 mL/h. If no reaction occurred, and if the
pharmacokinetics of the drug allowed it, we stepped up to 150, 200, and 250 mL/h.
RESULTS: Ninety patients were desensitized to 93 drugs: oxaliplatin (30),
carboplatin (16), paclitaxel (19), docetaxel (6), cetuximab (5), rituximab (6),
and others (11). A total number of 490 procedures were performed. Sixteen
patients (17.77%) presented 26 reactions (5.3%). Most reactions appeared in
patients who were desensitized to platins and in patients with severe reactions.
All but 3 cycles were completely administrated. No deaths or hospital admissions
were recorded. CONCLUSIONS: This 1-solution protocol for desensitization has
demonstrated to be safe and useful in our study population, especially for mild
to-moderate reactions and nonplatinum drugs. If our results were reproducible in
other centers and larger populations, they could contribute to simplifying
protocols and making desensitization available for more patients.
PMID- 29361512
TI - Environmental factors regulate Paneth cell phenotype and host susceptibility to
intestinal inflammation in Irgm1-deficient mice.
AB - Crohn's disease (CD) represents a chronic inflammatory disorder of the intestinal
tract. Several susceptibility genes have been linked to CD, though their precise
role in the pathogenesis of this disorder remains unclear. Immunity-related
GTPase M (IRGM) is an established risk allele in CD. We have shown previously
that conventionally raised (CV) mice lacking the IRGM ortholog, Irgm1 exhibit
abnormal Paneth cells (PCs) and increased susceptibility to intestinal injury. In
the present study, we sought to utilize this model system to determine if
environmental conditions impact these phenotypes, as is thought to be the case in
human CD. To accomplish this, wild-type and Irgm1-/- mice were rederived into
specific pathogen-free (SPF) and germ-free (GF) conditions. We next assessed how
these differential housing environments influenced intestinal injury patterns,
and epithelial cell morphology and function in wild-type and Irgm1-/- mice.
Remarkably, in contrast to CV mice, SPF Irgm1-/- mice showed only a slight
increase in susceptibility to dextran sodium sulfate-induced inflammation. SPF
Irgm1-/- mice also displayed minimal abnormalities in PC number and morphology,
and in antimicrobial peptide expression. Goblet cell numbers and epithelial
proliferation were also unaffected by Irgm1 in SPF conditions. No microbial
differences were observed between wild-type and Irgm1-/- mice, but gut bacterial
communities differed profoundly between CV and SPF mice. Specifically,
Helicobacter sequences were significantly increased in CV mice; however,
inoculating SPF Irgm1-/- mice with Helicobacter hepaticus was not sufficient to
transmit a pro-inflammatory phenotype. In summary, our findings suggest the
impact of Irgm1-deficiency on susceptibility to intestinal inflammation and
epithelial function is critically dependent on environmental influences. This
work establishes the importance of Irgm1-/- mice as a model to elucidate host
environment interactions that regulate mucosal homeostasis and intestinal
inflammatory responses. Defining such interactions will be essential for
developing novel preventative and therapeutic strategies for human CD.
PMID- 29361513
TI - Manipulation of dipeptidylpeptidase 10 in mouse and human in vivo and in vitro
models indicates a protective role in asthma.
AB - We previously identified dipeptidylpeptidase 10 (DPP10) on chromosome 2 as a
human asthma susceptibility gene, through positional cloning. Initial association
results were confirmed in many subsequent association studies but the functional
role of DPP10 in asthma remains unclear. Using the MRC Harwell N-ethyl-N
nitrosourea (ENU) DNA archive, we identified a point mutation in Dpp10 that
caused an amino acid change from valine to aspartic acid in the beta-propeller
region of the protein. Mice carrying this point mutation were recovered and a
congenic line was established (Dpp10145D ). Macroscopic examination and lung
histology revealed no significant differences between wild-type and
Dpp10145D/145D mice. However, after house dust mite (HDM) treatment, Dpp10 mutant
mice showed significantly increased airway resistance in response to 100 mg/ml
methacholine. Total serum IgE levels and bronchoalveolar lavage (BAL) eosinophil
counts were significantly higher in homozygotes than in control mice after HDM
treatment. DPP10 protein is present in airway epithelial cells and altered
expression is observed in both tissue from asthmatic patients and in mice
following HDM challenge. Moreover, knockdown of DPP10 in human airway epithelial
cells results in altered cytokine responses. These results show that a Dpp10
point mutation leads to increased airway responsiveness following allergen
challenge and provide biological evidence to support previous findings from human
genetic studies. This article has an associated First Person interview with the
first author of the paper.
PMID- 29361514
TI - Inorganic arsenic causes fatty liver and interacts with ethanol to cause
alcoholic liver disease in zebrafish.
AB - The rapid increase in fatty liver disease (FLD) incidence is attributed largely
to genetic and lifestyle factors; however, environmental toxicants are a
frequently overlooked factor that can modify the effects of more common causes of
FLD. Chronic exposure to inorganic arsenic (iAs) is associated with liver disease
in humans and animal models, but neither the mechanism of action nor the
combinatorial interaction with other disease-causing factors has been fully
investigated. Here, we examined the contribution of iAs to FLD using zebrafish
and tested the interaction with ethanol to cause alcoholic liver disease (ALD).
We report that zebrafish exposed to iAs throughout development developed specific
phenotypes beginning at 4 days post-fertilization (dpf), including the
development of FLD in over 50% of larvae by 5 dpf. Comparative transcriptomic
analysis of livers from larvae exposed to either iAs or ethanol revealed the
oxidative stress response and the unfolded protein response (UPR) caused by
endoplasmic reticulum (ER) stress as common pathways in both these models of FLD,
suggesting that they target similar cellular processes. This was confirmed by our
finding that arsenic is synthetically lethal with both ethanol and a well
characterized ER-stress-inducing agent (tunicamycin), suggesting that these
exposures work together through UPR activation to cause iAs toxicity. Most
significantly, combined exposure to sub-toxic concentrations of iAs and ethanol
potentiated the expression of UPR-associated genes, cooperated to induce FLD,
reduced the expression of as3mt, which encodes an arsenic-metabolizing enzyme,
and significantly increased the concentration of iAs in the liver. This
demonstrates that iAs exposure is sufficient to cause FLD and that low doses of
iAs can potentiate the effects of ethanol to cause liver disease.This article has
an associated First Person interview with the first author of the paper.
PMID- 29361515
TI - Superior cervical gangliectomy induces non-exudative age-related macular
degeneration in mice.
AB - Non-exudative age-related macular degeneration, a prevalent cause of blindness,
is a progressive and degenerative disease characterized by alterations in Bruch's
membrane, retinal pigment epithelium, and photoreceptors exclusively localized in
the macula. Although experimental murine models exist, the vast majority take a
long time to develop retinal alterations and, in general, these alterations are
ubiquitous, with many resulting from non-eye-specific genetic manipulations;
additionally, most do not always reproduce the hallmarks of human age-related
macular degeneration. Choroid vessels receive sympathetic innervation from the
superior cervical ganglion, which, together with the parasympathetic system,
regulates blood flow into the choroid. Choroid blood flow changes have been
involved in age-related macular degeneration development and progression. At
present, no experimental models take this factor into account. The aim of this
work was to analyze the effect of superior cervical gangliectomy (also known as
ganglionectomy) on the choroid, Bruch's membrane, retinal pigment epithelium and
retina. Adult male C57BL/6J mice underwent unilateral superior cervical
gangliectomy and a contralateral sham procedure. Although superior cervical
gangliectomy induced ubiquitous choroid and choriocapillaris changes, it induced
Bruch's membrane thickening, loss of retinal pigment epithelium melanin content
and retinoid isomerohydrolase, the appearance of drusen-like deposits, and
retinal pigment epithelium and photoreceptor atrophy, exclusively localized in
the temporal side. Moreover, superior cervical gangliectomy provoked a localized
increase in retinal pigment epithelium and photoreceptor apoptosis, and a decline
in photoreceptor electroretinographic function. Therefore, superior cervical
gangliectomy recapitulated the main features of human non-exudative age-related
macular degeneration, and could become a new experimental model of dry age
related macular degeneration, and a useful platform for developing new therapies.
PMID- 29361516
TI - High-throughput screen for compounds that modulate neurite growth of human
induced pluripotent stem cell-derived neurons.
AB - Development of technology platforms to perform compound screens of human induced
pluripotent stem cell (hiPSC)-derived neurons with relatively high throughput is
essential to realize their potential for drug discovery. Here, we demonstrate the
feasibility of high-throughput screening of hiPSC-derived neurons using a high
content, image-based approach focused on neurite growth, a process that is
fundamental to formation of neural networks and nerve regeneration. From a
collection of 4421 bioactive small molecules, we identified 108 hit compounds,
including 37 approved drugs, that target molecules or pathways known to regulate
neurite growth, as well as those not previously associated with this process.
These data provide evidence that many pathways and targets known to play roles in
neurite growth have similar activities in hiPSC-derived neurons that can be
identified in an unbiased phenotypic screen. The data also suggest that hiPSC
derived neurons provide a useful system to study the mechanisms of action and off
target activities of the approved drugs identified as hits, leading to a better
understanding of their clinical efficacy and toxicity, especially in the context
of specific human genetic backgrounds. Finally, the hit set we report constitutes
a sublibrary of approved drugs and tool compounds that modulate neurites. This
sublibrary will be invaluable for phenotypic analyses and interrogation of hiPSC
based disease models as probes for defining phenotypic differences and cellular
vulnerabilities in patient versus control cells, as well as for investigations of
the molecular mechanisms underlying human neurite growth in development and
maintenance of neuronal networks, and nerve regeneration.
PMID- 29361517
TI - Inhibition of galectin-3 ameliorates the consequences of cardiac lipotoxicity in
a rat model of diet-induced obesity.
AB - Obesity is accompanied by metabolic alterations characterized by insulin
resistance and cardiac lipotoxicity. Galectin-3 (Gal-3) induces cardiac
inflammation and fibrosis in the context of obesity; however, its role in the
metabolic consequences of obesity is not totally established. We have
investigated the potential role of Gal-3 in the cardiac metabolic disturbances
associated with obesity. In addition, we have explored whether this participation
is, at least partially, acting on mitochondrial damage. Gal-3 inhibition in rats
that were fed a high-fat diet (HFD) for 6 weeks with modified citrus pectin (MCP;
100 mg/kg/day) attenuated the increase in cardiac levels of total triglyceride
(TG). MCP treatment also prevented the increase in cardiac protein levels of
carnitine palmitoyl transferase IA, mitofusin 1, and mitochondrial complexes I
and II, reactive oxygen species accumulation and decrease in those of complex V
but did not affect the reduction in 18F-fluorodeoxyglucose uptake observed in HFD
rats. The exposure of cardiac myoblasts (H9c2) to palmitic acid increased the
rate of respiration, mainly due to an increase in the proton leak, glycolysis,
oxidative stress, beta-oxidation and reduced mitochondrial membrane potential.
Inhibition of Gal-3 activity was unable to affect these changes. Our findings
indicate that Gal-3 inhibition attenuates some of the consequences of cardiac
lipotoxicity induced by a HFD since it reduced TG and lysophosphatidyl choline
(LPC) levels. These reductions were accompanied by amelioration of the
mitochondrial damage observed in HFD rats, although no improvement was observed
regarding insulin resistance. These findings increase the interest for Gal-3 as a
potential new target for therapeutic intervention to prevent obesity-associated
cardiac lipotoxicity and subsequent mitochondrial dysfunction.
PMID- 29361518
TI - The IgCAM CLMP regulates expression of Connexin43 and Connexin45 in intestinal
and ureteral smooth muscle contraction in mice.
AB - CAR-like membrane protein (CLMP), an immunoglobulin cell adhesion molecule
(IgCAM), has been implicated in congenital short-bowel syndrome in humans, a
condition with high mortality for which there is currently no cure. We therefore
studied the function of CLMP in a Clmp-deficient mouse model. Although we found
that the levels of mRNAs encoding Connexin43 or Connexin45 were not or were only
marginally affected, respectively, by Clmp deficiency, the absence of CLMP caused
a severe reduction of both proteins in smooth muscle cells of the intestine and
of Connexin43 in the ureter. Analysis of calcium signaling revealed a disordered
cell-cell communication between smooth muscle cells, which in turn induced an
impaired and uncoordinated motility of the intestine and the ureter.
Consequently, insufficient transport of chyme and urine caused a fatal delay to
thrive, a high rate of mortality, and provoked a severe hydronephrosis in CLMP
knockouts. Neurotransmission and the capability of smooth muscle cells to
contract in ring preparations of the intestine were not altered. Physical
obstructions were not detectable and an overall normal histology in the intestine
as well as in the ureter was observed, except for a slight hypertrophy of smooth
muscle layers. Deletion of Clmp did not lead to a reduced length of the intestine
as shown for the human CLMP gene but resulted in gut malrotations. In sum, the
absence of CLMP caused functional obstructions in the intestinal tract and ureter
by impaired peristaltic contractions most likely due to a lack of gap-junctional
communication between smooth muscle cells.
PMID- 29361519
TI - Curcumin and derivatives function through protein phosphatase 2A and presenilin
orthologues in Dictyostelium discoideum.
AB - Natural compounds often have complex molecular structures and unknown molecular
targets. These characteristics make them difficult to analyse using a classical
pharmacological approach. Curcumin, the main curcuminoid of turmeric, is a
complex molecule possessing wide-ranging biological activities, cellular
mechanisms and roles in potential therapeutic treatment, including Alzheimer's
disease and cancer. Here, we investigate the physiological effects and molecular
targets of curcumin in Dictyostelium discoideum We show that curcumin exerts
acute effects on cell behaviour, reduces cell growth and slows multicellular
development. We employed a range of structurally related compounds to show the
distinct role of different structural groups in curcumin's effects on cell
behaviour, growth and development, highlighting active moieties in cell function,
and showing that these cellular effects are unrelated to the well-known
antioxidant activity of curcumin. Molecular mechanisms underlying the effect of
curcumin and one synthetic analogue (EF24) were then investigated to identify a
curcumin-resistant mutant lacking the protein phosphatase 2A regulatory subunit
(PsrA) and an EF24-resistant mutant lacking the presenilin 1 orthologue (PsenB).
Using in silico docking analysis, we then showed that curcumin might function
through direct binding to a key regulatory region of PsrA. These findings reveal
novel cellular and molecular mechanisms for the function of curcumin and related
compounds.
PMID- 29361520
TI - Divergent effects of adrenaline in human induced pluripotent stem cell-derived
cardiomyocytes obtained from hypertrophic cardiomyopathy.
AB - Hypertrophic cardiomyopathy (HCM) is a common inherited cardiac disease that
affects the heart muscle with diverse clinical outcomes. HCM can cause sudden
cardiac death (SCD) during or immediately after mild to rigorous physical
activity in young patients. However, the mechanism causing SCD as a result of
exercise remains unknown, but exercise-induced ventricular arrhythmias are
thought to be responsible for this fatal consequence. To understand the disease
mechanism behind HCM in a better way, we generated patient-specific induced
pluripotent stem cell-derived cardiomyocytes (hiPSC-CMs) from HCM patients
carrying either the MYBPC3-Gln1061X or TPM1-Asp175Asn mutation. We extensively
investigated the effects of low to high concentrations of adrenaline on action
potential characteristics, and the occurrence of arrhythmias in the presence of
various concentrations of adrenaline and in wash-out condition. We classified and
quantified different types of arrhythmias observed in hiPSC-CMs, and found that
the occurrence of arrhythmias was dependent on concentrations of adrenaline and
positions of mutations in genes causing HCM. In addition, we observed ventricular
tachycardia types of arrhythmias in hiPSC-CMs carrying the TPM1-Asp175Asn
mutation. We additionally examined the antiarrhythmic potency of bisoprolol in
HCM-specific hiPSC-CMs. However, bisoprolol could not reduce the occurrence of
arrhythmias during administration or during the wash-out condition of adrenaline
in HCM-specific hiPSC-CMs. Our study demonstrates hiPSC-CMs as a promising tool
for studying HCM. The experimental design used in this study could be suitable
and beneficial for studying other components and drugs related to cardiac disease
in general.
PMID- 29361521
TI - Developmental abnormalities in supporting cell phalangeal processes and
cytoskeleton in the Gjb2 knockdown mouse model.
AB - Mutations in the GJB2 gene [which encodes connexin 26 (Cx26)] are the most common
causes of hereditary hearing loss in humans, and previous studies showed
postnatal development arrest of the organ of Corti in different Cx26-null mouse
models. To explore the pathological changes and the mechanism behind the cochlear
abnormalities in these mice further, we established transgenic mouse models by
conditional knockdown of cochlear Cx26 at postnatal day (P) 0 and P8. Auditory
brainstem responses were recorded and the morphological features in the organ of
Corti were analyzed 18 days after Cx26 knockdown. Mice in the P0 knockdown group
displayed severe hearing loss at all frequencies, whereas mice in the P8
knockdown group showed nearly normal hearing. In the P8 knockdown group, the
organ of Corti displayed normal architecture, and no ultrastructural changes were
observed. In the P0 knockdown group, the phalangeal processes of Deiter's cells
did not develop into finger-like structures, and the formation of microtubules in
the pillar cells was significantly reduced; moreover, the amount of acetylated
alpha-tubulin was reduced in pillar cells. Our results indicate that Gjb2
participates in postnatal development of the cytoskeleton in pillar cells during
structural maturation of the organ of Corti. In P0 knockdown mice, the reduction
in microtubules in pillar cells might be responsible for the failure of the
tunnel of Corti to open, and the malformed phalangeal processes might negatively
affect the supporting framework of the organ of Corti, which would be a new
mechanism of Gjb2-related hearing loss.
PMID- 29361523
TI - The feedback loop between miR-21, PDCD4 and AP-1 functions as a driving force for
renal fibrogenesis.
AB - Renal fibrosis is a final common pathway of chronic kidney disease. Sustained
activation of fibroblasts is considered to play a key role in perpetuating renal
fibrosis but the driving force in the perpetuation stage is only partially
understood. To date, some investigations have specifically identified
overexpression of microRNA 21 (miR-21) in the progression of kidney fibrosis.
Nevertheless, the precise role of miR-21 in fibroblast activation remains largely
unknown. In this study, we found that miR-21 was significantly upregulated in
activated fibroblasts and that it maintained itself at constant high levels by
employing an auto-regulatory loop between miR-21, PDCD4 and AP-1. Persistently
upregulated miR-21 suppressed protein expression of Smad7 and, eventually,
enhanced the TGF-beta1/Smad pathway to promote fibroblast activation. More
importantly, we found miR-21 sequestration with miR-21 antagomir or AP-1
inhibitors attenuated unilateral ureteral obstruction (UUO)-induced renal
fibrosis. miR-21-knockout mice also suffered far less interstitial fibrosis in
response to kidney injury. Altogether, these data suggest that miR-21 is a main
driving force of fibroblast activation and keeps its high expression level by
employing a double negative autoregulatory loop. Targeting this aberrantly
activated feedback loop may provide new therapeutic strategy in treating fibrotic
kidneys.
PMID- 29361522
TI - The fibronectin ED-A domain enhances recruitment of latent TGF-beta-binding
protein-1 to the fibroblast matrix.
AB - Dysregulated secretion and extracellular activation of TGF-beta1 stimulates
myofibroblasts to accumulate disordered and stiff extracellular matrix (ECM)
leading to fibrosis. Fibronectin immobilizes latent TGF-beta-binding protein-1
(LTBP-1) and thus stores TGF-beta1 in the ECM. Because the ED-A fibronectin
splice variant is prominently expressed during fibrosis and supports
myofibroblast activation, we investigated whether ED-A promotes LTBP-1
fibronectin interactions. Using stiffness-tuneable substrates for human dermal
fibroblast cultures, we showed that high ECM stiffness promotes expression and
colocalization of LTBP-1 and ED-A-containing fibronectin. When rescuing
fibronectin-depleted fibroblasts with specific fibronectin splice variants, LTBP
1 bound more efficiently to ED-A-containing fibronectin than to ED-B-containing
fibronectin and fibronectin lacking splice domains. Function blocking of the ED-A
domain using antibodies and competitive peptides resulted in reduced LTBP-1
binding to ED-A-containing fibronectin, reduced LTBP-1 incorporation into the
fibroblast ECM and reduced TGF-beta1 activation. Similar results were obtained by
blocking the heparin-binding stretch FNIII12-13-14 (HepII), adjacent to the ED-A
domain in fibronectin. Collectively, our results suggest that the ED-A domain
enhances association of the latent TGF-beta1 by promoting weak direct binding to
LTBP-1 and by enhancing heparin-mediated protein interactions through HepII in
fibronectin.
PMID- 29361524
TI - F-box proteins Pof3 and Pof1 regulate Wee1 degradation and mitotic entry in
fission yeast.
AB - The key cyclin-dependent kinase Cdk1 (Cdc2) promotes irreversible mitotic entry,
mainly by activating the phosphatase Cdc25 while suppressing the tyrosine kinase
Wee1. Wee1 needs to be downregulated at the onset of mitosis to ensure rapid
activation of Cdk1. In human somatic cells, one mechanism of suppressing Wee1
activity is mediated by ubiquitylation-dependent proteolysis through the
Skp1/Cul1/F-box protein (SCF) ubiquitin E3 ligase complex. This mechanism is
believed to be conserved from yeasts to humans. So far, the best-characterized
human F-box proteins involved in recognition of Wee1 are beta-TrCP (BTRCP) and
Tome-1 (CDCA3). Although fission yeast Wee1 was the first identified member of
its conserved kinase family, the F-box proteins involved in recognition and
ubiquitylation of Wee1 have not been identified in this organism. In this study,
our screen using Wee1-Renilla luciferase as the reporter revealed that two F-box
proteins, Pof1 and Pof3, are required for downregulating Wee1 and are possibly
responsible for recruiting Wee1 to SCF. Our genetic analyses supported a
functional relevance between Pof1 and Pof3 and the rate of mitotic entry, and
Pof3 might play a major role in this process.
PMID- 29361525
TI - Stress-activated MAPKs and CRM1 regulate the subcellular localization of Net1A to
control cell motility and invasion.
AB - The neuroepithelial cell transforming gene 1A (Net1A, an isoform of Net1) is a
RhoA subfamily guanine nucleotide exchange factor (GEF) that localizes to the
nucleus in the absence of stimulation, preventing it from activating RhoA. Once
relocalized in the cytosol, Net1A stimulates cell motility and extracellular
matrix invasion. In the present work, we investigated mechanisms responsible for
the cytosolic relocalization of Net1A. We demonstrate that inhibition of MAPK
pathways blocks Net1A relocalization, with cells being most sensitive to JNK
pathway inhibition. Moreover, activation of the JNK or p38 MAPK family pathway is
sufficient to elicit Net1A cytosolic localization. Net1A relocalization
stimulated by EGF or JNK activation requires nuclear export mediated by CRM1.
JNK1 (also known as MAPK8) phosphorylates Net1A on serine 52, and alanine
substitution at this site prevents Net1A relocalization caused by EGF or JNK
activation. Glutamic acid substitution at this site is sufficient for Net1A
relocalization and results in elevated RhoA signaling to stimulate myosin light
chain 2 (MLC2, also known as MYL2) phosphorylation and F-actin accumulation.
Net1A S52E expression stimulates cell motility, enables Matrigel invasion and
promotes invadopodia formation. These data highlight a novel mechanism for
controlling the subcellular localization of Net1A to regulate RhoA activation,
cell motility, and invasion.
PMID- 29361526
TI - Calcium signaling mediates five types of cell morphological changes to form
neural rosettes.
AB - Neural rosette formation is a critical morphogenetic process during neural
development, whereby neural stem cells are enclosed in rosette niches to
equipoise proliferation and differentiation. How neural rosettes form and provide
a regulatory micro-environment remains to be elucidated. We employed the human
embryonic stem cell-based neural rosette system to investigate the structural
development and function of neural rosettes. Our study shows that neural rosette
formation consists of five types of morphological change: intercalation,
constriction, polarization, elongation and lumen formation. Ca2+ signaling plays
a pivotal role in the five steps by regulating the actions of the cytoskeletal
complexes, actin, myosin II and tubulin during intercalation, constriction and
elongation. These, in turn, control the polarizing elements, ZO-1, PARD3 and beta
catenin during polarization and lumen production for neural rosette formation. We
further demonstrate that the dismantlement of neural rosettes, mediated by the
destruction of cytoskeletal elements, promotes neurogenesis and astrogenesis
prematurely, indicating that an intact rosette structure is essential for orderly
neural development.
PMID- 29361527
TI - alpha5beta1 integrin trafficking and Rac activation are regulated by APPL1 in a
Rab5-dependent manner to inhibit cell migration.
AB - Cell migration is a tightly coordinated process that requires the spatiotemporal
regulation of many molecular components. Because adaptor proteins can serve as
integrators of cellular events, they are being increasingly studied as regulators
of cell migration. The adaptor protein containing a pleckstrin-homology (PH)
domain, phosphotyrosine binding (PTB) domain, and leucine zipper motif 1 (APPL1)
is a 709 amino acid endosomal protein that plays a role in cell proliferation and
survival as well as endosomal trafficking and signaling. However, its function in
regulating cell migration is poorly understood. Here, we show that APPL1 hinders
cell migration by modulating both trafficking and signaling events controlled by
Rab5 in cancer cells. APPL1 decreases internalization and increases recycling of
alpha5beta1 integrin, leading to higher levels of alpha5beta1 integrin at the
cell surface that hinder adhesion dynamics. Furthermore, APPL1 decreases the
activity of the GTPase Rac and its effector PAK, which in turn regulate cell
migration. Thus, we demonstrate a novel role for the interaction between APPL1
and Rab5 in governing crosstalk between signaling and trafficking pathways on
endosomes to affect cancer cell migration.This article has an associated First
Person interview with the first author of the paper.
PMID- 29361528
TI - Dileucine-like motifs in the C-terminal tail of connexin32 control its
endocytosis and assembly into gap junctions.
AB - Defects in assembly of gap junction-forming proteins, called connexins (Cxs), are
observed in a variety of cancers. Connexin32 (Cx32; also known as GJB1) is
expressed by the polarized cells in epithelia. We discovered two dileucine-based
motifs, which govern the intracellular sorting and endocytosis of transmembrane
proteins, in the C-terminal tail of Cx32 and explored their role in regulating
its endocytosis and gap junction-forming abilities in pancreatic and prostate
cancer cells. One motif, designated as LI, was located near the juxtamembrane
domain, whereas the other, designated as LL, was located distally. We also
discovered a non-canonical motif, designated as LR, in the C-terminal tail. Our
results showed that rendering these motifs non-functional had no effect on the
intracellular sorting of Cx32. However, rendering the LL or LR motif
nonfunctional enhanced the formation of gap junctions by inhibiting Cx32
endocytosis by the clathrin-mediated pathway. Rendering the LI motif
nonfunctional inhibited gap junction formation by augmenting the endocytosis of
Cx32 via the LL and LR motifs. Our studies have defined distinct roles of these
motifs in regulating the endocytosis of Cx32 and its gap junction-forming
ability.This article has an associated First Person interview with the first
author of the paper.
PMID- 29361529
TI - Yeast cells contain a heterogeneous population of peroxisomes that segregate
asymmetrically during cell division.
AB - Here, we used fluorescence microscopy and a peroxisome-targeted tandem
fluorescent protein timer to determine the relative age of peroxisomes in yeast.
Our data indicate that yeast cells contain a heterogeneous population of
relatively old and young peroxisomes. During budding, the peroxisome retention
factor inheritance of peroxisomes protein 1 (Inp1) selectively associates to the
older organelles, which are retained in the mother cells. Inp2, a protein
required for transport of peroxisomes to the bud, preferentially associates to
younger organelles. Using a microfluidics device, we demonstrate that the
selective segregation of younger peroxisomes to the buds is carefully maintained
during multiple budding events. The replicative lifespan of mother cells
increased upon deletion of INP2, which resulted in the retention of all
organelles in mother cells. These data suggest that, in wild-type yeast,
transport of aged and deteriorated peroxisomes to the bud is prevented, whereas
the young and vital organelles are preferably transported to the newly forming
buds.
PMID- 29361530
TI - Non-equivalence of nuclear import among nuclei in multinucleated skeletal muscle
cells.
AB - Skeletal muscle is primarily composed of large myofibers containing thousands of
post-mitotic nuclei distributed throughout a common cytoplasm. Protein production
and localization in specialized myofiber regions is crucial for muscle function.
Myonuclei differ in transcriptional activity and protein accumulation, but how
these differences among nuclei sharing a cytoplasm are achieved is unknown.
Regulated nuclear import of proteins is one potential mechanism for regulating
transcription spatially and temporally in individual myonuclei. The best
characterized nuclear localization signal (NLS) in proteins is the classical NLS
(cNLS), but many other NLS motifs exist. We examined cNLS and non-cNLS reporter
protein import using multinucleated muscle cells generated in vitro, revealing
that cNLS and non-cNLS nuclear import differs among nuclei in the same cell.
Investigation of cNLS nuclear import rates in isolated myofibers ex vivo
confirmed differences in nuclear import rates among myonuclei. Analyzing nuclear
import throughout myogenesis revealed that cNLS and non-cNLS import varies during
differentiation. Taken together, our results suggest that both spatial and
temporal regulation of nuclear import pathways are important in muscle cell
differentiation and protein regionalization in myofibers.
PMID- 29361531
TI - Epithelial and stromal circadian clocks are inversely regulated by their mechano
matrix environment.
AB - The circadian clock is an autonomous molecular feedback loop inside almost every
cell in the body. We have shown that the mammary epithelial circadian clock is
regulated by the cellular microenvironment. Moreover, a stiff extracellular
matrix dampens the oscillations of the epithelial molecular clock. Here, we
extend this analysis to other tissues and cell types, and identify an inverse
relationship between circadian clocks in epithelia and fibroblasts. Epithelial
cells from mammary gland, lung and skin have significantly stronger oscillations
of clock genes in soft 3D microenvironments, compared to stiff 2D environments.
Fibroblasts isolated from the same tissues show the opposite response, exhibiting
stronger oscillations and more prolonged rhythmicity in stiff microenvironments.
RNA analysis identified that a subset of mammary epithelial clock genes, and
their regulators, are upregulated in 3D microenvironments in soft compared to
stiff gels. Furthermore, the same genes are inversely regulated in fibroblasts
isolated from the same tissues. Thus, our data reveal for the first time an
intrinsic difference in the regulation of circadian genes in epithelia and
fibroblasts.
PMID- 29361532
TI - Nucleoplasmic lamins define growth-regulating functions of lamina-associated
polypeptide 2alpha in progeria cells.
AB - A-type lamins are components of the peripheral nuclear lamina but also localize
in the nuclear interior in a complex with lamina-associated polypeptide (LAP)
2alpha. Loss of LAP2alpha and nucleoplasmic lamins in wild-type cells increases
cell proliferation, but in cells expressing progerin (a mutant lamin A that
causes Hutchinson-Gilford progeria syndrome), low LAP2alpha levels result in
proliferation defects. Here, the aim was to understand the molecular mechanism
governing how relative levels of LAP2alpha, progerin and nucleoplasmic lamins
affect cell proliferation. Cells from progeria patients and inducible progerin
expressing cells expressing low levels of progerin proliferate faster than wild
type or lamin A-expressing control cells, and ectopic expression of LAP2alpha
impairs proliferation. In contrast, cells expressing high levels of progerin and
lacking lamins in the nuclear interior proliferate more slowly, and ectopic
LAP2alpha expression enhances proliferation. However, simultaneous expression of
LAP2alpha and wild-type lamin A or an assembly-deficient lamin A mutant restored
the nucleoplasmic lamin A pool in these cells and abolished the growth-promoting
effect of LAP2alpha. Our data show that LAP2alpha promotes or inhibits
proliferation of progeria cells depending on the level of A-type lamins in the
nuclear interior.This article has an associated First Person interview with the
first author of the paper.
PMID- 29361533
TI - Role of membrane-tension gated Ca2+ flux in cell mechanosensation.
AB - Eukaryotic cells are sensitive to mechanical forces they experience from the
environment. The process of mechanosensation is complex, and involves elements
such as the cytoskeleton and active contraction from myosin motors. Ultimately,
mechanosensation is connected to changes in gene expression in the cell, known as
mechanotransduction. While the involvement of the cytoskeleton in
mechanosensation is known, the processes upstream of cytoskeletal changes are
unclear. In this paper, by using a microfluidic device that mechanically
compresses live cells, we demonstrate that Ca2+ currents and membrane tension
sensitive ion channels directly signal to the Rho GTPase and myosin contraction.
In response to membrane tension changes, cells actively regulate cortical myosin
contraction to balance external forces. The process is captured by a
mechanochemical model where membrane tension, myosin contraction and the osmotic
pressure difference between the cytoplasm and extracellular environment are
connected by mechanical force balance. Finally, to complete the picture of
mechanotransduction, we find that the tension-sensitive transcription factor YAP
family of proteins translocate from the nucleus to the cytoplasm in response to
mechanical compression.
PMID- 29361534
TI - Drp1 polymerization stabilizes curved tubular membranes similar to those of
constricted mitochondria.
AB - Dynamin-related protein 1 (Drp1), an 80 kDa mechanochemical GTPase of the dynamin
superfamily, is required for mitochondrial division in mammals. Despite the role
of Drp1 dysfunction in human disease, its molecular mechanism remains poorly
understood. Here, we examined the effect of Drp1 on membrane curvature using
tubes pulled from giant unilamellar vesicles (GUVs). We found that GTP promoted
rapid rearrangement of Drp1 from a uniform distribution to discrete foci, in line
with the assembly of Drp1 scaffolds at multiple nucleation sites around the lipid
tube. Polymerized Drp1 preserved the membrane tube below the protein coat, also
in the absence of pulling forces, but did not induce spontaneous membrane
fission. Strikingly, Drp1 polymers stabilized membrane curvatures similar to
those of constricted mitochondria against pressure changes. Our findings support
a new model for mitochondrial division whereby Drp1 mainly acts as a scaffold for
membrane curvature stabilization, which sets it apart from other dynamin
homologs.
PMID- 29361535
TI - Regulation of profibrotic responses by ADAM17 activation in high glucose requires
its C-terminus and FAK.
AB - Glomerular matrix accumulation is the hallmark of diabetic nephropathy. The
metalloprotease ADAM17 mediates high glucose (HG)-induced matrix production by
kidney mesangial cells through release of ligands for the epidermal growth factor
receptor. Here, we study the mechanism by which HG activates ADAM17. We find that
the C-terminus is essential for ADAM17 activation and the profibrotic response to
HG. In the C-terminus, Src-mediated Y702 phosphorylation and PI3K-MEK-Erk
mediated T735 phosphorylation are crucial for ADAM17 activation, both are also
required for the HG-induced increase in cell surface mature ADAM17. The non
receptor tyrosine kinase FAK is a central mediator of these processes. These data
not only support a crucial role for the C-terminus in ADAM17 activation and
downstream profibrotic responses to HG, but also highlight FAK as a potential
alternative therapeutic target for diabetic nephropathy.
PMID- 29361537
TI - Septins are involved at the early stages of macroautophagy in S. cerevisiae.
AB - Autophagy is a conserved cellular degradation pathway wherein double-membrane
vesicles called autophagosomes capture long-lived proteins, and damaged or
superfluous organelles, and deliver them to the lysosome for degradation. Septins
are conserved GTP-binding proteins involved in many cellular processes, including
phagocytosis and the autophagy of intracellular bacteria, but no role in general
autophagy was known. In budding yeast, septins polymerize into ring-shaped arrays
of filaments required for cytokinesis. In an unbiased genetic screen and in
subsequent targeted analysis, we found autophagy defects in septin mutants. Upon
autophagy induction, pre-assembled septin complexes relocalized to the pre
autophagosomal structure (PAS) where they formed non-canonical septin rings at
PAS. Septins also colocalized with autophagosomes, where they physically
interacted with the autophagy proteins Atg8 and Atg9. When autophagosome
degradation was blocked in septin-mutant cells, fewer autophagic structures
accumulated, and an autophagy mutant defective in early stages of autophagosome
biogenesis (atg1Delta), displayed decreased septin localization to the PAS. Our
findings support a role for septins in the early stages of budding yeast
autophagy, during autophagosome formation.This article has an associated First
Person interview with the first author of the paper.
PMID- 29361538
TI - Green light for quantitative live-cell imaging in plants.
AB - Plants exhibit an intriguing morphological and physiological plasticity that
enables them to thrive in a wide range of environments. To understand the cell
biological basis of this unparalleled competence, a number of methodologies have
been adapted or developed over the last decades that allow minimal or non
invasive live-cell imaging in the context of tissues. Combined with the ease to
generate transgenic reporter lines in specific genetic backgrounds or accessions,
we are witnessing a blooming in plant cell biology. However, the imaging of plant
cells entails a number of specific challenges, such as high levels of
autofluorescence, light scattering that is caused by cell walls and their
sensitivity to environmental conditions. Quantitative live-cell imaging in plants
therefore requires adapting or developing imaging techniques, as well as mounting
and incubation systems, such as micro-fluidics. Here, we discuss some of these
obstacles, and review a number of selected state-of-the-art techniques, such as
two-photon imaging, light sheet microscopy and variable angle epifluorescence
microscopy that allow high performance and minimal invasive live-cell imaging in
plants.
PMID- 29361536
TI - FGF2-dependent mesenchyme and laminin-111 are niche factors in salivary gland
organoids.
AB - Epithelial progenitor cells are dependent upon a complex 3D niche to promote
their proliferation and differentiation during development, which can be
recapitulated in organoids. The specific requirements of the niche remain unclear
for many cell types, including the proacinar cells that give rise to secretory
acinar epithelial cells that produce saliva. Here, using ex vivo cultures of E16
primary mouse submandibular salivary gland epithelial cell clusters, we
investigated the requirement for mesenchymal cells and other factors in producing
salivary organoids in culture. Native E16 salivary mesenchyme, but not NIH3T3
cells or mesenchymal cell conditioned medium, supported robust protein expression
of the progenitor marker Kit and the acinar/proacinar marker AQP5, with a
requirement for FGF2 expression by the mesenchyme. Enriched salivary epithelial
clusters that were grown in laminin-enriched basement membrane extract or laminin
111 together with exogenous FGF2, but not with EGF, underwent morphogenesis to
form organoids that displayed robust expression of AQP5 in terminal buds.
Knockdown of FGF2 in the mesenchyme or depletion of mesenchyme cells from the
organoids significantly reduced AQP5 levels even in the presence of FGF2,
suggesting a requirement for autocrine FGF2 signaling in the mesenchyme cells for
AQP5 expression. We conclude that basement membrane proteins and mesenchyme cells
function as niche factors in salivary organoids.
PMID- 29361539
TI - Glycans modify mesenchymal stem cell differentiation to impact on the function of
resulting osteoblasts.
AB - Glycans are inherently heterogeneous, yet glycosylation is essential in
eukaryotes, and glycans show characteristic cell type-dependent distributions. By
using an immortalized human mesenchymal stromal cell (MSC) line model, we show
that both N- and O-glycan processing in the Golgi functionally modulates early
steps of osteogenic differentiation. We found that inhibiting O-glycan processing
in the Golgi prior to the start of osteogenesis inhibited the mineralization
capacity of the formed osteoblasts 3 weeks later. In contrast, inhibition of N
glycan processing in MSCs altered differentiation to enhance the mineralization
capacity of the osteoblasts. The effect of N-glycans on MSC differentiation was
mediated by the phosphoinositide-3-kinase (PI3K)/Akt pathway owing to reduced Akt
phosphorylation. Interestingly, by inhibiting PI3K during the first 2 days of
osteogenesis, we were able to phenocopy the effect of inhibiting N-glycan
processing. Thus, glycan processing provides another layer of regulation that can
modulate the functional outcome of differentiation. Glycan processing can thereby
offer a novel set of targets for many therapeutically attractive processes.
PMID- 29361540
TI - Unconventional myosin VIIA promotes melanoma progression.
AB - Unconventional myosin VIIA (Myo7a) is an actin-based motor molecule that normally
functions in the cochlear hair cells of the inner ear. Mutations of MYO7A/Myo7a
have been implicated in inherited deafness in both humans and mice. However,
there is limited information about the functions of Myo7a outside of the
specialized cells of the ears. Herein, we report a previously unidentified
function of Myo7a by demonstrating that it plays an important role in melanoma
progression. We found that silencing Myo7a by means of RNAi inhibited melanoma
cell growth through upregulation of cell cycle regulator p21 (also known as
CDKN1A) and suppressed melanoma cell migration and invasion through
downregulation of RhoGDI2 (also known as ARHGDIB) and MMP9. Furthermore, Myo7a
depletion suppressed melanoma cell metastases to the lung, kidney and bone in
mice. In contrast, overexpression of Myo7a promoted melanoma xenograft growth and
lung metastasis. Importantly, Myo7a levels are remarkably elevated in human
melanoma patients. Collectively, we demonstrated for the first time that Myo7a is
able to function in non-specialized cells, a finding that reveals the complicated
disease-related roles of Myo7a, especially in melanomas.
PMID- 29361541
TI - Functional analysis after rapid degradation of condensins and 3D-EM reveals
chromatin volume is uncoupled from chromosome architecture in mitosis.
AB - The requirement for condensin in chromosome formation in somatic cells remains
unclear, as imperfectly condensed chromosomes do form in cells depleted of
condensin by conventional methodologies. In order to dissect the roles of
condensin at different stages of vertebrate mitosis, we have established a
versatile cellular system that combines auxin-mediated rapid degradation with
chemical genetics to obtain near-synchronous mitotic entry of chicken DT40 cells
in the presence and absence of condensin. We analyzed the outcome by live- and
fixed-cell microscopy methods, including serial block face scanning electron
microscopy with digital reconstruction. Following rapid depletion of condensin,
chromosomal defects were much more obvious than those seen after a slow depletion
of condensin. The total mitotic chromatin volume was similar to that in control
cells, but a single mass of mitotic chromosomes was clustered at one side of a
bent mitotic spindle. Cultures arrest at prometaphase, eventually exiting mitosis
without segregating chromosomes. Experiments where the auxin concentration was
titrated showed that different condensin levels are required for anaphase
chromosome segregation and formation of a normal chromosome architecture.This
article has an associated First Person interview with the first author of the
paper.
PMID- 29361542
TI - The ARF guanine nucleotide exchange factor GBF1 is targeted to Golgi membranes
through a PIP-binding domain.
AB - ADP-ribosylation factors (ARF) GTPases are activated by guanine nucleotide
exchange factors (GEFs) to support cellular homeostasis. Key to understanding
spatio-temporal regulation of ARF signaling is the mechanism of GEF recruitment
to membranes. Small GEFs are recruited through phosphoinositide (PIP) binding by
a pleckstrin homology (PH) domain downstream from the catalytic Sec7 domain
(Sec7d). The large GEFs lack PH domains, and their recruitment mechanisms are
poorly understood. We probed Golgi recruitment of GBF1, a GEF catalyzing ARF
activation required for Golgi homeostasis. We show that the homology downstream
of Sec7d-1 (HDS1) regulates Golgi recruitment of GBF1. We document that GBF1
binds phosphoinositides, preferentially PI3P, PI4P and PI(4,5)P2, and that lipid
binding requires the HDS1 domain. Mutations within HDS1 that reduce GBF1 binding
to specific PIPs in vitro inhibit GBF1 targeting to Golgi membranes in cells. Our
data imply that HDS1 and PH domains are functionally analogous in that each uses
lipid-based membrane information to regulate GEF recruitment. Lipid-based
recruitment of GBF1 extends the paradigm of lipid regulation to small and large
GEFs and suggests that lipid-based mechanisms evolved early during GEF
diversification. This article has an associated First Person interview with the
first author of the paper.
PMID- 29361544
TI - Axonal endoplasmic reticulum is very narrow.
AB - The endoplasmic reticulum (ER) is an interconnected network of tubules and
sheets. In most tissues of the body, ER tubules have a diameter of ~60 nm. Using
new methods for serial-section electron microscopy, a distinct class of very
narrow, 20- to 30-nm-diameter tubules were found in neurons of both the central
and peripheral nervous system. The narrow tubules appear to be the most abundant
form of ER in axons, and are also found interspersed in the cell bodies and
dendrites. At the site of branch points, there is a small sheet that has a
similarly narrow lumen. The narrowness of the ER is likely to be important for
the as yet poorly characterized functions of the axonal ER.
PMID- 29361543
TI - Mechano-sensitization of mammalian neuronal networks through expression of the
bacterial large-conductance mechanosensitive ion channel.
AB - Development of remote stimulation techniques for neuronal tissues represents a
challenging goal. Among the potential methods, mechanical stimuli are the most
promising vectors to convey information non-invasively into intact brain tissue.
In this context, selective mechano-sensitization of neuronal circuits would pave
the way to develop a new cell-type-specific stimulation approach. We report here,
for the first time, the development and characterization of mechano-sensitized
neuronal networks through the heterologous expression of an engineered bacterial
large-conductance mechanosensitive ion channel (MscL). The neuronal functional
expression of the MscL was validated through patch-clamp recordings upon
application of calibrated suction pressures. Moreover, we verified the effective
development of in-vitro neuronal networks expressing the engineered MscL in terms
of cell survival, number of synaptic puncta and spontaneous network activity. The
pure mechanosensitivity of the engineered MscL, with its wide genetic
modification library, may represent a versatile tool to further develop a mechano
genetic approach.This article has an associated First Person interview with the
first author of the paper.
PMID- 29361546
TI - A 'molecular guillotine' reveals the interphase function of Kinesin-5.
AB - Motor proteins are important for transport and force generation in a variety of
cellular processes and in morphogenesis. Here, we describe a general strategy for
conditional motor mutants by inserting a protease cleavage site into the 'neck'
between the head domain and the stalk of the motor protein, making the protein
susceptible to proteolytic cleavage at the neck by the corresponding protease. To
demonstrate the feasibility of this approach, we inserted the cleavage site of
the tobacco etch virus (TEV) protease into the neck of the tetrameric motor
Kinesin-5. Application of TEV protease led to a specific depletion and functional
loss of Kinesin-5 in Drosophila embryos. With our approach, we revealed that
Kinesin-5 stabilizes the microtubule network during interphase in syncytial
embryos. The 'molecular guillotine' can potentially be applied to many motor
proteins because Kinesins and myosins have conserved structures with accessible
neck regions.This article has an associated First Person interview with the first
author of the paper.
PMID- 29361547
TI - 3D correlative electron microscopy reveals continuity of Brucella-containing
vacuoles with the endoplasmic reticulum.
AB - Entry of the facultative intracellular pathogen Brucella into host cells results
in the formation of endosomal Brucella-containing vacuoles (eBCVs) that initially
traffic along the endocytic pathway. eBCV acidification triggers the expression
of a type IV secretion system that translocates bacterial effector proteins into
host cells. This interferes with lysosomal fusion of eBCVs and supports their
maturation to replicative Brucella-containing vacuoles (rBCVs). Bacteria
replicate in rBCVs to large numbers, eventually occupying most of the cytoplasmic
volume. As rBCV membranes tightly wrap each individual bacterium, they are
constantly being expanded and remodeled during exponential bacterial growth.
rBCVs are known to carry endoplasmic reticulum (ER) markers; however, the
relationship of the vacuole to the genuine ER has remained elusive. Here, we have
reconstructed the 3-dimensional ultrastructure of rBCVs and associated ER by
correlative structured illumination microscopy (SIM) and focused ion
beam/scanning electron microscopic tomography (FIB/SEM). Studying B. abortus
infected HeLa cells and trophoblasts derived from B. melitensis-infected mice, we
demonstrate that rBCVs are complex and interconnected compartments that are
continuous with neighboring ER cisternae, thus supporting a model that rBCVs are
extensions of genuine ER.
PMID- 29361545
TI - Abscisic acid - an anti-angiogenic phytohormone that modulates the phenotypical
plasticity of endothelial cells and macrophages.
AB - Abscisic acid (ABA) has shown anti-inflammatory and immunoregulatory properties
in preclinical models of diabetes and inflammation. Herein, we studied the
effects of ABA on angiogenesis, a strictly controlled process that, when
dysregulated, leads to severe angiogenic disorders including vascular overgrowth,
exudation, cellular inflammation and organ dysfunction. By using a 3D sprouting
assay, we show that ABA effectively inhibits migration, growth and expansion of
endothelial tubes without affecting cell viability. Analyses of the retinal
vasculature in developing normoxic and hyperoxic mice challenged by oxygen
toxicity reveal that exogenously administered ABA stunts the development and
regeneration of blood vessels. In these models, ABA downregulates endothelial
cell (EC)-specific growth and migratory genes, interferes with tip and stalk cell
specification, and hinders the function of filopodial protrusions required for
precise guidance of vascular sprouts. In addition, ABA skews macrophage
polarization towards the M1 phenotype characterized by anti-angiogenic marker
expression. In accordance with this, ABA treatment accelerates macrophage-induced
programmed regression of fetal blood vessels. These findings reveal protective
functions of ABA against neovascular growth through modulation of EC and
macrophage plasticity, suggesting the potential utility of ABA as a treatment in
vasoproliferative diseases.
PMID- 29361548
TI - Maturation of neural stem cells and integration into hippocampal circuits - a
functional study in an in situ model of cerebral ischemia.
AB - The hippocampus is the region of the brain that is most susceptible to ischemic
lesion because it contains pyramidal neurons that are highly vulnerable to
ischemic cell death. A restricted brain neurogenesis limits the possibility of
reversing massive cell death after stroke and, hence, endorses cell-based
therapies for neuronal replacement strategies following cerebral ischemia.
Neurons differentiated from neural stem/progenitor cells (NSPCs) can mature and
integrate into host circuitry, improving recovery after stroke. However, how the
host environment regulates the NSPC behavior in post-ischemic tissue remains
unknown. Here, we studied functional maturation of NSPCs in control and post
ischemic hippocampal tissue after modelling cerebral ischemia in situ We traced
the maturation of electrophysiological properties and integration of the NSPC
derived neurons into the host circuits, with these cells developing appropriate
activity 3 weeks or less after engraftment. In the tissue subjected to ischemia,
the NSPC-derived neurons exhibited functional deficits, and differentiation of
embryonic NSPCs to glial types - oligodendrocytes and astrocytes - was boosted.
Our findings of the delayed neuronal maturation in post-ischemic conditions,
while the NSPC differentiation was promoted towards glial cell types, provide new
insights that could be applicable to stem cell therapy replacement strategies
used after cerebral ischemia.
PMID- 29361549
TI - Inflachromene inhibits autophagy through modulation of Beclin 1 activity.
AB - Autophagy is a central intracellular catabolic mechanism that mediates the
degradation of cytoplasmic proteins and organelles, and regulation of autophagy
is essential for homeostasis. HMGB1 is an important sepsis mediator when secreted
and also functions as an inducer of autophagy by binding to Beclin 1. In this
study, we studied the effect of inflachromene (ICM), a novel HMGB1 secretion
inhibitor, on autophagy. ICM inhibited autophagy by inhibiting nucleocytoplasmic
translocation of HMGB1 and by increasing Beclin 1 ubiquitylation for degradation
by enhancing the interaction between Beclin 1 and E3 ubiquitin ligase RNF216.
These data suggest that ICM could be used as a potential autophagy suppressor.
PMID- 29361550
TI - The developing Drosophila eye - a new model to study centriole reduction.
AB - In the developing Drosophila eye, the centrioles of the differentiating retinal
cells are not surrounded by the microtubule-nucleating gamma-tubulin, suggesting
that they are unable to organize functional microtubule-organizing centers.
Consistent with this idea, Cnn and Spd-2, which are involved in gamma-tubulin
recruitment, and the scaffold protein Plp, which plays a role in the organization
of the pericentriolar material, are lost in the third-instar larval stage.
However, the centrioles maintain their structural integrity, and both the parent
centrioles accumulate Asl and Ana1. Although the loading of Asl points to the
acquisition of the motherhood condition, the daughter centrioles fail to recruit
Plk4 and do not duplicate. However, it is surprising that the mother centrioles
that accumulate Plk4 also never duplicate. This suggests that the loading of Plk4
is not sufficient, in this system, to allow centriole duplication. By halfway
through pupal life, the centriole number decreases and structural defects,
ranging from being incomplete or lacking B-tubules, are detected. Asl, Ana1 and
Sas-4 are still present, suggesting that the centriole integrity does not depend
on these proteins.
PMID- 29361551
TI - Characterization of a novel RP2-OSTF1 interaction and its implication for actin
remodelling.
AB - Retinitis pigmentosa 2 (RP2) is the causative gene for a form of X-linked retinal
degeneration. RP2 was previously shown to have GTPase-activating protein (GAP)
activity towards the small GTPase ARL3 via its N-terminus, but the function of
the C-terminus remains elusive. Here, we report a novel interaction between RP2
and osteoclast-stimulating factor 1 (OSTF1), an intracellular protein that
indirectly enhances osteoclast formation and activity and is a negative regulator
of cell motility. Moreover, this interaction is abolished by a human pathogenic
mutation in RP2. We utilized a structure-based approach to pinpoint the binding
interface to a strictly conserved cluster of residues on the surface of RP2 that
spans both the C- and N-terminal domains of the protein, and which is
structurally distinct from the ARL3-binding site. In addition, we show that RP2
is a positive regulator of cell motility in vitro, recruiting OSTF1 to the cell
membrane and preventing its interaction with the migration regulator Myo1E.
PMID- 29361552
TI - The Golgi ribbon in mammalian cells negatively regulates autophagy by modulating
mTOR activity.
AB - In vertebrates, individual Golgi stacks are joined into a compact ribbon
structure; however, the relevance of a ribbon structure has been elusive. Here,
we exploit the finding that the membrane tether of the trans-Golgi network, GCC88
(encoded by GCC1), regulates the balance between Golgi mini-stacks and the Golgi
ribbon. Loss of Golgi ribbons in stable cells overexpressing GCC88 resulted in
compromised mechanistic target of rapamycin (mTOR) signaling and a dramatic
increase in LC3-II-positive autophagosomes, whereas RNAi-mediated depletion of
GCC88 restored the Golgi ribbon and reduced autophagy. mTOR was absent from
dispersed Golgi mini-stacks whereas recruitment of mTOR to lysosomes was
unaffected. We show that the Golgi ribbon is a site for localization and
activation of mTOR, a process dependent on the ribbon structure. We demonstrate a
strict temporal sequence of fragmentation of Golgi ribbon, loss of Golgi mTOR and
subsequent increased autophagy. Golgi ribbon fragmentation has been reported in
various neurodegenerative diseases and we demonstrate the potential relevance of
our findings in neuronal cells using a model of neurodegeneration. Overall, this
study highlights a role for the Golgi ribbon in pathways central to cellular
homeostasis.This article has an associated First Person interview with the first
author of the paper.
PMID- 29361553
TI - A branching morphogenesis program governs embryonic growth of the thyroid gland.
AB - The developmental program that regulates thyroid progenitor cell proliferation is
largely unknown. Here, we show that branching-like morphogenesis is a driving
force to attain final size of the embryonic thyroid gland in mice. Sox9, a key
factor in branching organ development, distinguishes Nkx2-1+ cells in the thyroid
bud from the progenitors that originally form the thyroid placode in anterior
endoderm. As lobes develop the thyroid primordial tissue branches several
generations. Sox9 and Fgfr2b are co-expressed distally in the branching
epithelium prior to folliculogenesis. The thyroid in Fgf10 null mutants has a
normal shape but is severely hypoplastic. Absence of Fgf10 leads to defective
branching and disorganized angiofollicular units although Sox9/Fgfr2b expression
and the ability of cells to differentiate and form nascent follicles are not
impaired. These findings demonstrate a novel mechanism of thyroid development
reminiscent of the Fgf10-Sox9 program that characterizes organogenesis in
classical branching organs, and provide clues to aid understanding of how the
endocrine thyroid gland once evolved from an exocrine ancestor present in the
invertebrate endostyle.
PMID- 29361554
TI - Gli3 in fetal thymic epithelial cells promotes thymocyte positive selection and
differentiation by repression of Shh.
AB - Gli3 is a Hedgehog (Hh)-responsive transcription factor that can function as a
transcriptional repressor or activator. We show that Gli3 activity in mouse
thymic epithelial cells (TECs) promotes positive selection and differentiation
from CD4+ CD8+ to CD4+ CD8- single-positive (SP4) cells in the fetal thymus and
that Gli3 represses Shh Constitutive deletion of Gli3, and conditional deletion
of Gli3 from TECs, reduced differentiation to SP4, whereas conditional deletion
of Gli3 from thymocytes did not. Conditional deletion of Shh from TECs increased
differentiation to SP4, and expression of Shh was upregulated in the Gli3
deficient thymus. Use of a transgenic Hh reporter showed that the Hh pathway was
active in thymocytes, and increased in the Gli3-deficient fetal thymus.
Neutralisation of endogenous Hh proteins in the Gli3-/- thymus restored SP4
differentiation, indicating that Gli3 in TECs promotes SP4 differentiation by
repression of Shh Transcriptome analysis showed that Hh-mediated transcription
was increased whereas TCR-mediated transcription was decreased in Gli3-/-
thymocytes compared with wild type.
PMID- 29361555
TI - PLAC8, a new marker for human interstitial extravillous trophoblast cells,
promotes their invasion and migration.
AB - Proper differentiation of trophoblast cells in the human placenta is a
prerequisite for a successful pregnancy, and dysregulation of this process may
lead to malignant pregnancy outcomes, such as preeclampsia. Finding specific
markers for different types of trophoblast cells is essential for understanding
trophoblast differentiation. Here, we report that placenta-specific protein 8
(PLAC8) is specifically expressed in the interstitial extravillous trophoblast
cells (iEVTs) on the fetomaternal interface. Using model systems, including
placental villi-decidua co-culture, iEVTs induction by using primary trophoblast
cells or explants, etc., we found that PLAC8 promotes invasion and migration of
iEVTs. Mechanistically, time-lapse imaging, GTPase activity assay, co
immunoprecipitation and RNA-seq studies show that PLAC8 increases the Cdc42 and
Rac1 activities, and further induces the formation of filopodia at the leading
edge of the migratory trophoblast cells. More interestingly, PLAC8 is
significantly upregulated under hypoxia and expression of PLAC8 is higher in
iEVTs from preeclamptic placentas when compared with those from the normal
control placentas. Together, PLAC8 is a new marker for iEVTs and plays an
important role in promoting trophoblast invasion and migration.
PMID- 29361557
TI - Two distinct mechanisms silence chinmo in Drosophila neuroblasts and
neuroepithelial cells to limit their self-renewal.
AB - Whether common principles regulate the self-renewing potential of neural stem
cells (NSCs) throughout the developing central nervous system is still unclear.
In the Drosophila ventral nerve cord and central brain, asymmetrically dividing
NSCs, called neuroblasts (NBs), progress through a series of sequentially
expressed transcription factors that limits self-renewal by silencing a genetic
module involving the transcription factor Chinmo. Here, we find that Chinmo also
promotes neuroepithelium growth in the optic lobe during early larval stages by
boosting symmetric self-renewing divisions while preventing differentiation.
Neuroepithelium differentiation in late larvae requires the transcriptional
silencing of chinmo by ecdysone, the main steroid hormone, therefore allowing
coordination of neural stem cell self-renewal with organismal growth. In
contrast, chinmo silencing in NBs is post-transcriptional and does not require
ecdysone. Thus, during Drosophila development, humoral cues or tissue-intrinsic
temporal specification programs respectively limit self-renewal in different
types of neural progenitors through the transcriptional and post-transcriptional
regulation of the same transcription factor.
PMID- 29361556
TI - H2A.Z promotes the transcription of MIR156A and MIR156C in Arabidopsis by
facilitating the deposition of H3K4me3.
AB - Vegetative phase change in Arabidopsis thaliana is mediated by a decrease in the
level of MIR156A and MIR156C, resulting in an increase in the expression of their
targets, SQUAMOSA PROMOTER BINDING PROTEIN-LIKE (SPL) genes. Changes in chromatin
structure are required for the downregulation of MIR156A and MIR156C, but whether
chromatin structure contributes to their initial elevated expression is unknown.
We found that mutations in components of the SWR1 complex (ARP6, SEF) and in
genes encoding H2A.Z (HTA9 and HTA11) reduce the expression of MIR156A and
MIR156C, and accelerate vegetative phase change, indicating that H2A.Z promotes
juvenile vegetative identity. However, arp6 and sef did not accelerate the
temporal decline in miR156, and the downregulation of MIR156A and MIR156C was not
accompanied by significant change in the level of H2A.Z at these loci. We
conclude that H2A.Z contributes to the high expression of MIR156A/MIR156C early
in shoot development, but does not regulate the timing of vegetative phase
change. Our results also suggest that H2A.Z promotes the expression of
MIR156A/MIR156C by facilitating the deposition of H3K4me3, rather than by
decreasing nucleosome occupancy.
PMID- 29361560
TI - Transient loss of venous integrity during developmental vascular remodeling leads
to red blood cell extravasation and clearance by lymphatic vessels.
AB - Maintenance of blood vessel integrity is crucial for vascular homeostasis and is
mainly controlled at the level of endothelial cell (EC) junctions. Regulation of
endothelial integrity has largely been investigated in the mature quiescent
vasculature. Less is known about how integrity is maintained during vascular
growth and remodeling involving extensive junctional reorganization. Here, we
show that embryonic mesenteric blood vascular remodeling is associated with a
transient loss of venous integrity and concomitant extravasation of red blood
cells (RBCs), followed by their clearance by the developing lymphatic vessels. In
wild-type mouse embryos, we observed activated platelets extending filopodia at
sites of inter-EC gaps. In contrast, embryos lacking the activatory C-type lectin
domain family 1, member b (CLEC1B) showed extravascular platelets and an
excessive number of RBCs associated with and engulfed by the first lymphatic EC
clusters that subsequently form lumenized blood-filled vessels connecting to the
lymphatic system. These results uncover novel functions of platelets in
maintaining venous integrity and lymphatic vessels in clearing extravascular RBCs
during developmental remodeling of the mesenteric vasculature. They further
provide insight into how vascular abnormalities characterized by blood-filled
lymphatic vessels arise.
PMID- 29361558
TI - RBX2 maintains final retinal cell position in a DAB1-dependent and -independent
fashion.
AB - The laminated structure of the retina is fundamental for the organization of the
synaptic circuitry that translates light input into patterns of action
potentials. However, the molecular mechanisms underlying cell migration and
layering of the retina are poorly understood. Here, we show that RBX2, a core
component of the E3 ubiquitin ligase CRL5, is essential for retinal layering and
function. RBX2 regulates the final cell position of rod bipolar cells, cone
photoreceptors and Muller glia. Our data indicate that sustained RELN/DAB1
signaling, triggered by depletion of RBX2 or SOCS7 - a CRL5 substrate adaptor
known to recruit DAB1 - causes rod bipolar cell misposition. Moreover, whereas
SOCS7 also controls Muller glia cell lamination, it is not responsible for cone
photoreceptor positioning, suggesting that RBX2, most likely through CRL5
activity, controls other signaling pathways required for proper cone
localization. Furthermore, RBX2 depletion reduces the number of ribbon synapses
and disrupts cone photoreceptor function. Together, these results uncover RBX2 as
a crucial molecular regulator of retina morphogenesis and cone photoreceptor
function.
PMID- 29361561
TI - Mms19 is a mitotic gene that permits Cdk7 to be fully active as a Cdk-activating
kinase.
AB - Mms19 encodes a cytosolic iron-sulphur assembly component. We found that
Drosophila Mms19 is also essential for mitotic divisions and for the
proliferation of diploid cells. Reduced Mms19 activity causes severe mitotic
defects in spindle dynamics and chromosome segregation, and loss of zygotic Mms19
prevents the formation of imaginal discs. The lack of mitotic tissue in Mms19P/P
larvae can be rescued by overexpression of the Cdk-activating kinase (CAK)
complex, an activator of mitotic Cdk1, suggesting that Mms19 functions in mitosis
to allow CAK (Cdk7/Cyclin H/Mat1) to become fully active as a Cdk1-activating
kinase. When bound to Xpd and TFIIH, the CAK subunit Cdk7 phosphorylates
transcriptional targets and not cell cycle Cdks. In contrast, free CAK
phosphorylates and activates Cdk1. Physical and genetic interaction studies
between Mms19 and Xpd suggest that their interaction prevents Xpd from binding to
the CAK complex. Xpd bound to Mms19 therefore frees CAK complexes, allowing them
to phosphorylate Cdk1 and facilitating progression to metaphase. The structural
basis for the competitive interaction with Xpd seems to be the binding of Mms19,
core TFIIH and CAK to neighbouring or overlapping regions of Xpd.
PMID- 29361559
TI - Comparative analysis of mouse and human placentae across gestation reveals
species-specific regulators of placental development.
AB - An increasing body of evidence points to significant spatio-temporal differences
in early placental development between mouse and human, but a detailed comparison
of placentae in these two species is missing. We set out to compare placentae
from both species across gestation, with a focus on trophoblast progenitor
markers. We found that CDX2 and ELF5, but not EOMES, are expressed in early post
implantation trophoblast subpopulations in both species. Genome-wide expression
profiling of mouse and human placentae revealed clusters of genes with distinct
co-expression patterns across gestation. Overall, there was a closer fit between
patterns observed in the placentae when the inter-species comparison was
restricted to human placentae through gestational week 16 (thus, excluding full
term samples), suggesting that the developmental timeline in mouse runs parallel
to the first half of human placental development. In addition, we identified
VGLL1 as a human-specific marker of proliferative cytotrophoblast, where it is co
expressed with the transcription factor TEAD4. As TEAD4 is involved in
trophectoderm specification in the mouse, we posit a regulatory role for VGLL1 in
early events during human placental development.
PMID- 29361563
TI - Floral homeotic proteins modulate the genetic program for leaf development to
suppress trichome formation in flowers.
AB - As originally proposed by Goethe in 1790, floral organs are derived from leaf
like structures. The conversion of leaves into different types of floral organ is
mediated by floral homeotic proteins, which, as described by the ABCE model of
flower development, act in a combinatorial manner. However, how these
transcription factors bring about this transformation process is not well
understood. We have previously shown that floral homeotic proteins are involved
in suppressing the formation of branched trichomes, a hallmark of leaf
development, on reproductive floral organs of Arabidopsis Here, we present
evidence that the activities of the C function gene AGAMOUS (AG) and the related
SHATTERPROOF1/2 genes are superimposed onto the regulatory network that controls
the distribution of trichome formation in an age-dependent manner. We show that
AG regulates cytokinin responses and genetically interacts with the organ
polarity gene KANADI1 to suppress trichome initiation on gynoecia. Thus, our
results show that parts of the genetic program for leaf development remain active
during flower formation but have been partially rewired through the activities of
the floral homeotic proteins.
PMID- 29361562
TI - The Drosophila Ret gene functions in the stomatogastric nervous system with the
Maverick TGFbeta ligand and the Gfrl co-receptor.
AB - The RET receptor tyrosine kinase is crucial for the development of the enteric
nervous system (ENS), acting as a receptor for Glial cell line-derived
neurotrophic factor (GDNF) via GFR co-receptors. Drosophila has a well-conserved
RET homolog (Ret) that has been proposed to function independently of the Gfr
like co-receptor (Gfrl). We find that Ret is required for development of the
stomatogastric (enteric) nervous system in both embryos and larvae, and its loss
results in feeding defects. Live imaging analysis suggests that peristaltic waves
are initiated but not propagated in mutant midguts. Examination of axons
innervating the midgut reveals increased branching but the area covered by the
branches is decreased. This phenotype can be rescued by Ret expression.
Additionally, Gfrl shares the same ENS and feeding defects, suggesting that Ret
and Gfrl might function together via a common ligand. We identified the TGFbeta
family member Maverick (Mav) as a ligand for Gfrl and a Mav chromosomal
deficiency displayed similar embryonic ENS defects. Our results suggest that the
Ret and Gfrl families co-evolved before the separation of invertebrate and
vertebrate lineages.
PMID- 29361564
TI - ELMO and Sponge specify subapical restriction of Canoe and formation of the
subapical domain in early Drosophila embryos.
AB - Canoe/Afadin and the GTPase Rap1 specify the subapical domain during
cellularization in Drosophila embryos. The timing of domain formation is unclear.
The subapical domain might gradually mature or emerge synchronously with the
basal and lateral domains. The potential mechanism for activation of Rap1 by
guanyl nucleotide exchange factors (GEFs) or GTPase activating proteins (GAPs) is
unknown. Here, we retraced the emergence of the subapical domain at the onset of
cellularization by in vivo imaging with CanoeYFP in comparison to the lateral and
basal markers ScribbledGFP and CherrySlam. CanoeYFP accumulates at a subapical
position at about the same time as the lateral marker ScribbledGFP but a few
minutes prior to basal CherrySlam. Furthermore, we show that the unconventional
GEF complex ELMO-Sponge is subapically enriched and is required for subapical
restriction of Canoe. The localization dynamics of ELMO-Sponge suggests a
patterning mechanism for positioning the subapical region adjacent to the apical
region. While marking the disc-like apical regions before cellularization, ELMO
Sponge redistributes to a ring-like pattern surrounding the apical region at the
onset of cellularization.
PMID- 29361565
TI - Rap1 acts via multiple mechanisms to position Canoe and adherens junctions and
mediate apical-basal polarity establishment.
AB - Epithelial apical-basal polarity drives assembly and function of most animal
tissues. Polarity initiation requires cell-cell adherens junction assembly at the
apical-basolateral boundary. Defining the mechanisms underlying polarity
establishment remains a key issue. Drosophila embryos provide an ideal model, as
6000 polarized cells assemble simultaneously. Current data place the actin
junctional linker Canoe (fly homolog of Afadin) at the top of the polarity
hierarchy, where it directs Bazooka/Par3 and adherens junction positioning. Here
we define mechanisms regulating Canoe localization/function. Spatial organization
of Canoe is multifaceted, involving membrane localization, recruitment to nascent
junctions and macromolecular assembly at tricellular junctions. Our data suggest
apical activation of the small GTPase Rap1 regulates all three events, but
support multiple modes of regulation. The Rap1GEF Dizzy (PDZ-GEF) is crucial for
Canoe tricellular junction enrichment but not apical retention. The Rap1
interacting RA domains of Canoe mediate adherens junction and tricellular
junction recruitment but are dispensable for membrane localization. Our data also
support a role for Canoe multimerization. These multifactorial inputs shape Canoe
localization, correct Bazooka and adherens junction positioning, and thus apical
basal polarity. We integrate the existing data into a new polarity establishment
model.
PMID- 29361566
TI - Runx1 is sufficient for blood cell formation from non-hemogenic endothelial cells
in vivo only during early embryogenesis.
AB - Hematopoietic cells differentiate during embryogenesis from a population of
endothelial cells called hemogenic endothelium (HE) in a process called the
endothelial-to-hematopoietic transition (EHT). The transcription factor Runx1 is
required for EHT, but for how long and which endothelial cells are competent to
respond to Runx1 are not known. Here, we show that the ability of Runx1 to induce
EHT in non-hemogenic endothelial cells depends on the anatomical location of the
cell and the developmental age of the conceptus. Ectopic expression of Runx1 in
non-hemogenic endothelial cells between embryonic day (E) 7.5 and E8.5 promoted
the formation of erythro-myeloid progenitors (EMPs) specifically in the yolk sac,
the dorsal aorta and the heart. The increase in EMPs was accompanied by a higher
frequency of HE cells able to differentiate into EMPs in vitro Expression of
Runx1 just 1 day later (E8.5-E9.5) failed to induce the ectopic formation of
EMPs. Therefore, endothelial cells, located in specific sites in the conceptus,
have a short developmental window of competency during which they can respond to
Runx1 and differentiate into blood cells.
PMID- 29361567
TI - Drosophila Sidekick is required in developing photoreceptors to enable visual
motion detection.
AB - The assembly of functional neuronal circuits requires growth cones to extend in
defined directions and recognize the correct synaptic partners. Homophilic
adhesion between vertebrate Sidekick proteins promotes synapse formation between
retinal neurons involved in visual motion detection. We show here that Drosophila
Sidekick accumulates in specific synaptic layers of the developing motion
detection circuit and is necessary for normal optomotor behavior. Sidekick is
required in photoreceptors, but not in their target lamina neurons, to promote
the alignment of lamina neurons into columns and subsequent sorting of
photoreceptor axons into synaptic modules based on their precise spatial
orientation. Sidekick is also localized to the dendrites of the direction
selective T4 and T5 cells, and is expressed in some of their presynaptic
partners. In contrast to its vertebrate homologs, Sidekick is not essential for
T4 and T5 to direct their dendrites to the appropriate layers or to receive
synaptic contacts. These results illustrate a conserved requirement for Sidekick
proteins in establishing visual motion detection circuits that is achieved
through distinct cellular mechanisms in Drosophila and vertebrates.
PMID- 29361568
TI - Integrated analysis of single-cell embryo data yields a unified transcriptome
signature for the human pre-implantation epiblast.
AB - Single-cell profiling techniques create opportunities to delineate cell fate
progression in mammalian development. Recent studies have provided transcriptome
data from human pre-implantation embryos, in total comprising nearly 2000
individual cells. Interpretation of these data is confounded by biological
factors, such as variable embryo staging and cell-type ambiguity, as well as
technical challenges in the collective analysis of datasets produced with
different sample preparation and sequencing protocols. Here, we address these
issues to assemble a complete gene expression time course spanning human pre
implantation embryogenesis. We identify key transcriptional features over
developmental time and elucidate lineage-specific regulatory networks. We resolve
post-hoc cell-type assignment in the blastocyst, and define robust
transcriptional prototypes that capture epiblast and primitive endoderm lineages.
Examination of human pluripotent stem cell transcriptomes in this framework
identifies culture conditions that sustain a naive state pertaining to the inner
cell mass. Our approach thus clarifies understanding both of lineage segregation
in the early human embryo and of in vitro stem cell identity, and provides an
analytical resource for comparative molecular embryology.
PMID- 29361569
TI - Wnt6 maintains anterior escort cells as an integral component of the germline
stem cell niche.
AB - Stem cells reside in a niche, a local environment whose cellular and molecular
complexity is still being elucidated. In Drosophila ovaries, germline stem cells
depend on cap cells for self-renewing signals and physical attachment. Germline
stem cells also contact the anterior escort cells, and here we report that
anterior escort cells are absolutely required for germline stem cell maintenance.
When escort cells die from impaired Wnt signaling or hid expression, the loss of
anterior escort cells causes loss of germline stem cells. Anterior escort cells
function as an integral niche component by promoting DE-cadherin anchorage and by
transiently expressing the Dpp ligand to promote full-strength BMP signaling in
germline stem cells. Anterior escort cells are maintained by Wnt6 ligands
produced by cap cells; without Wnt6 signaling, anterior escort cells die leaving
vacancies in the niche, leading to loss of germline stem cells. Our data identify
anterior escort cells as constituents of the germline stem cell niche, maintained
by a cap cell-produced Wnt6 survival signal.
PMID- 29361570
TI - Dissection of genetic regulation of compound inflorescence development in
Medicago truncatula.
AB - Development of inflorescence architecture is controlled by genetic regulatory
networks. TERMINAL FLOWER1 (TFL1), APETALA1 (AP1), LEAFY (LFY) and FRUITFULL
(FUL) are core regulators for inflorescence development. To understand the
regulation of compound inflorescence development, we characterized mutants of
corresponding orthologous genes, MtTFL1, MtAP1, SINGLE LEAFLET1 (SGL1) and
MtFULc, in Medicago truncatula, and analyzed expression patterns of these genes.
Results indicate that MtTFL1, MtFULc, MtAP1 and SGL1 play specific roles in
identity determination of primary inflorescence meristems, secondary
inflorescence meristems, floral meristems and common primordia, respectively.
Double mutation of MtTFL1 and MtFULc transforms compound inflorescences to simple
flowers, whereas single mutation of MtTFL1 changes the inflorescence branching
pattern from monopodial to sympodial. Double mutant mtap1sgl1 completely loses
floral meristem identity. We conclude that inflorescence architecture in M.
truncatula is controlled by spatiotemporal expression of MtTFL1, MtFULc, MtAP1
and SGL1 through reciprocal repression. Although this regulatory network shares
similarity with the pea model, it has specificity in regulating inflorescence
architecture in Mtruncatula This study establishes M. truncatula as an excellent
genetic model for understanding compound inflorescence development in related
legume crops.
PMID- 29361571
TI - Stereotypical architecture of the stem cell niche is spatiotemporally established
by miR-125-dependent coordination of Notch and steroid signaling.
AB - Stem cell niches act as signaling platforms that regulate stem cell self-renewal
and sustain stem cells throughout life; however, the specific developmental
events controlling their assembly are not well understood. Here, we show that
during Drosophila ovarian germline stem cell niche formation, the status of Notch
signaling in the cell can be reprogrammed. This is controlled via steroid-induced
miR-125, which targets a negative regulator of Notch signaling, Tom. Thus, miR
125 acts as a spatiotemporal coordinator between paracrine Notch and endocrine
steroid signaling. Moreover, a dual security mechanism for Notch signaling
activation exists to ensure the robustness of niche assembly. Particularly, stem
cell niche cells can be specified either via lateral inhibition, in which a niche
cell precursor acquires Notch signal-sending status randomly, or via peripheral
induction, whereby Delta is produced by a specific cell. When one mechanism is
perturbed due to mutations, developmental defects or environmental stress, the
remaining mechanism ensures that the niche is formed, perhaps abnormally, but
still functional. This guarantees that the germline stem cells will have their
residence, thereby securing progressive oogenesis and, thus, organism
reproduction.
PMID- 29361572
TI - Continuous root xylem formation and vascular acclimation to water deficit
involves endodermal ABA signalling via miR165.
AB - The plant root xylem comprises a specialized tissue for water distribution to the
shoot. Despite its importance, its potential morphological plasticity in response
to environmental conditions such as limited water availability has not been
thoroughly studied. Here, we identify a role for the phytohormone abscisic acid
(ABA) for proper xylem development and describe how ABA signalling-mediated
effects on core developmental regulators are employed to alter xylem morphology
under limited water availability in Arabidopsis Plants with impaired ABA
biosynthesis and reduced ABA signalling in the cell layer surrounding the
vasculature displayed defects in xylem continuity, suggesting that non-cell
autonomous ABA signalling is required for proper xylem development. Conversely,
upon external ABA application or under limited water availability, extra xylem
strands were formed. The observed xylem developmental alterations were dependent
on adequate endodermal ABA signalling, which activated MIR165A. This resulted in
increased miR165 levels that repress class III HD-ZIP transcription factors in
the stele. We conclude that a pathway known to control core developmental
features is employed as a means of modifying plant xylem morphology under
conditions of environmental stress.
PMID- 29361573
TI - Podoplanin regulates mammary stem cell function and tumorigenesis by potentiating
Wnt/beta-catenin signaling.
AB - Stem cells (SCs) drive mammary development, giving rise postnatally to an
epithelial bilayer composed of luminal and basal myoepithelial cells.
Dysregulation of SCs is thought to be at the origin of certain breast cancers;
however, the molecular identity of SCs and the factors regulating their function
remain poorly defined. We identified the transmembrane protein podoplanin (Pdpn)
as a specific marker of the basal compartment, including multipotent SCs, and
found Pdpn localized at the basal-luminal interface. Embryonic deletion of Pdpn
targeted to basal cells diminished basal and luminal SC activity and affected the
expression of several Wnt/beta-catenin signaling components in basal cells.
Moreover, Pdpn loss attenuated mammary tumor formation in a mouse model of beta
catenin-induced breast cancer, limiting tumor-initiating cell expansion and
promoting molecular features associated with mesenchymal-to-epithelial cell
transition. In line with the loss-of-function data, we demonstrated that
mechanistically Pdpn enhances Wnt/beta-catenin signaling in mammary basal cells.
Overall, this study uncovers a role for Pdpn in mammary SC function and,
importantly, identifies Pdpn as a new regulator of Wnt/beta-catenin signaling, a
key pathway in mammary development and tumorigenesis.
PMID- 29361574
TI - TCF7L1 suppresses primitive streak gene expression to support human embryonic
stem cell pluripotency.
AB - Human embryonic stem cells (hESCs) are exquisitely sensitive to WNT ligands,
which rapidly cause differentiation. Therefore, hESC self-renewal requires robust
mechanisms to keep the cells in a WNT inactive but responsive state. How they
achieve this is largely unknown. We explored the role of transcriptional
regulators of WNT signaling, the TCF/LEFs. As in mouse ESCs, TCF7L1 is the
predominant family member expressed in hESCs. Genome-wide, it binds a gene cohort
involved in primitive streak formation at gastrulation, including NODAL, BMP4 and
WNT3 Comparing TCF7L1-bound sites with those bound by the WNT signaling effector
beta-catenin indicates that TCF7L1 acts largely on the WNT signaling pathway.
TCF7L1 overlaps less with the pluripotency regulators OCT4 and NANOG than in
mouse ESCs. Gain- and loss-of-function studies indicate that TCF7L1 suppresses
gene cohorts expressed in the primitive streak. Interestingly, we find that BMP4,
another driver of hESC differentiation, downregulates TCF7L1, providing a
mechanism of BMP and WNT pathway intersection. Together, our studies indicate
that TCF7L1 plays a major role in maintaining hESC pluripotency, which has
implications for human development during gastrulation.
PMID- 29361576
TI - Ammonia excretion and acid-base regulation in the American horseshoe crab,
Limulus polyphemus.
AB - Many studies have investigated ammonia excretion and acid-base regulation in
aquatic arthropods, yet current knowledge of marine chelicerates is non-existent.
In American horseshoe crabs (Limulus polyphemus), book gills bear physiologically
distinct regions: dorsal and ventral half-lamellae, a central mitochondria-rich
area (CMRA) and peripheral mitochondria-poor areas (PMPAs). In the present study,
the CMRA and ventral half-lamella exhibited characteristics important for ammonia
excretion and/or acid-base regulation, as supported by high expression levels of
Rhesus-protein 1 (LpRh-1), cytoplasmic carbonic anhydrase (CA-2) and
hyperpolarization-activated cyclic nucleotide-gated K+ channel (HCN) compared
with the PMPA and dorsal half-lamella. The half-lamellae displayed remarkable
differences; the ventral epithelium was ion-leaky whereas the dorsal counterpart
possessed an exceptionally tight epithelium. LpRh-1 was more abundant than Rhesus
protein 2 (LpRh-2) in all investigated tissues, but LpRh-2 was more prevalent in
the PMPA than in the CMRA. Ammonia influx associated with high ambient ammonia
(HAA) treatment was counteracted by intact animals and complemented by
upregulation of branchial CA-2, V-type H+-ATPase (HAT), HCN and LpRh-1 mRNA
expression. The dorsal epithelium demonstrated characteristics of active ammonia
excretion. However, an influx was observed across the ventral epithelium as a
result of the tissue's high ion conductance, although the influx rate was not
proportionately high considering the ~3-fold inwardly directed ammonia gradient.
These novel findings suggest a role for the coxal gland in excretion and in the
maintenance of hemolymph ammonia regulation under HAA. Hypercapnic exposure
induced compensatory respiratory acidosis and partial metabolic depression.
Functional differences between the two halves of a branchial lamella may be
physiologically beneficial in reducing the backflow of waste products into
adjacent lamellae, especially in fluctuating environments where ammonia levels
can increase.
PMID- 29361577
TI - Nosema ceranae parasitism impacts olfactory learning and memory and
neurochemistry in honey bees (Apis mellifera).
AB - Nosema sp. is an internal parasite of the honey bee, Apis mellifera, and one of
the leading contributors to colony losses worldwide. This parasite is found in
the honey bee midgut and has profound consequences for the host's physiology.
Nosema sp. impairs foraging performance in honey bees, yet, it is unclear whether
this parasite affects the bee's neurobiology. In this study, we examined whether
Nosema sp. affects odor learning and memory and whether the brains of parasitized
bees show differences in amino acids and biogenic amines. We took newly emerged
bees and fed them with Nosema ceranae At approximate nurse and forager ages, we
employed an odor-associative conditioning assay using the proboscis extension
reflex and two bioanalytical techniques to measure changes in brain chemistry. We
found that nurse-aged bees infected with N. ceranae significantly outperformed
controls in odor learning and memory, suggestive of precocious foraging, but by
forager age, infected bees showed deficits in learning and memory. We also
detected significant differences in amino acid concentrations, some of which were
age specific, as well as altered serotonin, octopamine, dopamine and l-dopa
concentrations in the brains of parasitized bees. These findings suggest that N.
ceranae infection affects honey bee neurobiology and may compromise behavioral
tasks. These results yield new insight into the host-parasite dynamic of honey
bees and N. ceranae, as well as the neurochemistry of odor learning and memory
under normal and parasitic conditions.
PMID- 29361575
TI - Nkx genes establish second heart field cardiomyocyte progenitors at the arterial
pole and pattern the venous pole through Isl1 repression.
AB - NKX2-5 is the most commonly mutated gene associated with human congenital heart
defects (CHDs), with a predilection for cardiac pole abnormalities. This
homeodomain transcription factor is a central regulator of cardiac development
and is expressed in both the first and second heart fields (FHF and SHF). We have
previously revealed essential functions of nkx2.5 and nkx2.7, two Nkx2-5 homologs
expressed in zebrafish cardiomyocytes, in maintaining ventricular identity.
However, the differential roles of these genes in the specific subpopulations of
the anterior (aSHF) and posterior (pSHF) SHFs have yet to be fully defined. Here,
we show that Nkx genes regulate aSHF and pSHF progenitors through independent
mechanisms. We demonstrate that Nkx genes restrict proliferation of aSHF
progenitors in the outflow tract, delimit the number of pSHF progenitors at the
venous pole and pattern the sinoatrial node acting through Isl1 repression.
Moreover, optical mapping highlights the requirement for Nkx gene dose in
establishing electrophysiological chamber identity and in integrating the
physiological connectivity of FHF and SHF cardiomyocytes. Ultimately, our results
may shed light on the discrete errors responsible for NKX2-5-dependent human CHDs
of the cardiac outflow and inflow tracts.
PMID- 29361579
TI - Negligible differences in metabolism and thermal tolerance between diploid and
triploid Atlantic salmon (Salmo salar).
AB - The mechanisms that underlie thermal tolerance in aquatic ectotherms remain
unresolved. Triploid fish have been reported to exhibit lower thermal tolerance
than diploids, offering a potential model organism to better understand the
physiological drivers of thermal tolerance. Here, we compared triploid and
diploid juvenile Atlantic salmon (Salmo salar) in freshwater to investigate the
proposed link between aerobic capacity and thermal tolerance. We measured
specific growth rates (SGR) and resting (aerobic) metabolic rates (RMR) in
freshwater at 3, 7 and 9 weeks of acclimation to 10, 14 and 18 degrees C.
Additionally, maximum metabolic rates (MMR) were measured at 3 and 7 weeks of
acclimation, and critical thermal maxima (CTmax) were measured at 9 weeks. Mass,
SGR and RMR differed between ploidies across all temperatures at the beginning of
the acclimation period, but all three metrics were similar across ploidies by
week 7. Aerobic scope (MMR-RMR) remained consistent across ploidies, acclimation
temperatures and time. At 9 weeks, CTmax was independent of ploidy, but
correlated positively with acclimation temperature despite the similar aerobic
scope between acclimation groups. Our findings suggest that acute thermal
tolerance is not modulated by aerobic scope, and the altered genome of triploid
Atlantic salmon does not translate to reduced thermal tolerance of juvenile fish
in freshwater.
PMID- 29361580
TI - Fitness consequences of plasticity in an extended phenotype.
AB - Like regular phenotypes, extended phenotypes have demonstrable fitness advantages
and their properties may vary plastically across environments. However, the
fitness advantages of plasticity are only known for a select few extended
phenotypes. It is known that the form and functions of spider orb webs can be
manipulated by laboratory experiments. For instance, the physical and chemical
properties of the spiral and gluey silks vary in property as protein intake
varies. Orb web spiders thus represent good models for extended phenotypic
plasticity studies. We performed experiments manipulating the protein intake of
two vertically aligned orb web building spiders to determine whether variations
in the chemical and physical properties of their spiral and gluey silk affect
prey retention in their webs. We found in both spider species that individuals
deprived of protein had a greater gluey silk glycoprotein core volume, and this
correlated strongly with spiral thread stickiness and increased prey retention by
the webs. Moreover, we found strong positive correlations between glue droplet
volume and glycoprotein core volume for spiders in the protein-deprived
treatment, but weaker correlations for protein-fed spiders. We interpreted these
findings as the spiders investing more in glycoprotein when nutrient deprived. We
attribute the associated increase in prey retention capacity as a fitness
consequence of plasticity in the spiral properties.
PMID- 29361578
TI - The loss of hemoglobin and myoglobin does not minimize oxidative stress in
Antarctic icefishes.
AB - The unusual pattern of expression of hemoglobin (Hb) and myoglobin (Mb) among
Antarctic notothenioid fishes provides an exceptional model system for assessing
the impact of these proteins on oxidative stress. We tested the hypothesis that
the lack of oxygen-binding proteins may reduce oxidative stress. Levels and
activity of pro-oxidants and small-molecule and enzymatic antioxidants, and
levels of oxidized lipids and proteins in the liver, oxidative skeletal muscle
and heart ventricle were quantified in five species of notothenioid fishes
differing in the expression of Hb and Mb. Levels of ubiquitinated proteins and
rates of protein degradation by the 20S proteasome were also quantified. Although
levels of oxidized proteins and lipids, ubiquitinated proteins, and antioxidants
were higher in red-blooded fishes than in Hb-less icefishes in some tissues, this
pattern did not persist across all tissues. Expression of Mb was not associated
with oxidative damage in the heart ventricle, whereas the activity of citrate
synthase and the contents of heme were positively correlated with oxidative
damage in most tissues. Despite some tissue differences in levels of protein
carbonyls among species, rates of degradation by the 20S proteasome were not
markedly different, suggesting either alternative pathways for eliminating
oxidized proteins or that redox tone varies among species. Together, our data
indicate that the loss of Hb and Mb does not correspond with a clear pattern of
either reduced oxidative defense or oxidative damage.
PMID- 29361581
TI - Myosin phosphorylation improves contractile economy of mouse fast skeletal muscle
during staircase potentiation.
AB - Phosphorylation of the myosin regulatory light chain (RLC) by skeletal myosin
light chain kinase (skMLCK) potentiates rodent fast twitch muscle but is an ATP
requiring process. Our objective was to investigate the effect of skMLCK
catalyzed RLC phosphorylation on the energetic cost of contraction and the
contractile economy (ratio of mechanical output to metabolic input) of mouse fast
twitch muscle in vitro (25 degrees C). To this end, extensor digitorum longus
(EDL) muscles from wild-type (WT) and from skMLCK-devoid (skMLCK-/-) mice were
subjected to repetitive low-frequency stimulation (10 Hz for 15 s) to produce
staircase potentiation of isometric twitch force, after which muscles were quick
frozen for determination of high-energy phosphate consumption (HEPC). During
stimulation, WT muscles displayed significant potentiation of isometric twitch
force while skMLCK-/- muscles did not (i.e. 23% versus 5% change, respectively).
Consistent with this, RLC phosphorylation was increased ~3.5-fold from the
unstimulated control value in WT but not in skMLCK-/- muscles. Despite these
differences, the HEPC of WT muscles was not greater than that of skMLCK-/-
muscles. As a result of the increased contractile output relative to HEPC, the
calculated contractile economy of WT muscles was greater than that of skMLCK-/-
muscles. Thus, our results suggest that skMLCK-catalyzed phosphorylation of the
myosin RLC increases the contractile economy of WT mouse EDL muscle compared with
skMLCK-/- muscles without RLC phosphorylation.
PMID- 29361582
TI - Auditory neural networks involved in attention modulation prefer biologically
significant sounds and exhibit sexual dimorphism in anurans.
AB - Allocating attention to biologically relevant stimuli in a complex environment is
critically important for survival and reproductive success. In humans, attention
modulation is regulated by the frontal cortex, and is often reflected by changes
in specific components of the event-related potential (ERP). Although brain
networks for attention modulation have been widely studied in primates and avian
species, little is known about attention modulation in amphibians. The present
study aimed to investigate the attention modulation networks in an anuran
species, the Emei music frog (Babina daunchina). Male music frogs produce
advertisement calls from within underground nest burrows that modify the acoustic
features of the calls, and both males and females prefer calls produced from
inside burrows. We broadcast call stimuli to male and female music frogs while
simultaneously recording electroencephalographic (EEG) signals from the
telencephalon and mesencephalon. Granger causal connectivity analysis was used to
elucidate functional brain networks within the time window of ERP components. The
results show that calls produced from inside nests which are highly sexually
attractive result in the strongest brain connections; both ascending and
descending connections involving the left telencephalon were stronger in males
while those in females were stronger with the right telencephalon. Our findings
indicate that the frog brain allocates neural attention resources to highly
attractive sounds within the window of early components of ERP, and that such
processing is sexually dimorphic, presumably reflecting the different
reproductive strategies of males and females.
PMID- 29361583
TI - Oxidation of linoleic and palmitic acid in pre-hibernating and hibernating common
noctule bats revealed by 13C breath testing.
AB - Mammals fuel hibernation by oxidizing saturated and unsaturated fatty acids from
triacylglycerols in adipocytes, yet the relative importance of these two
categories as an oxidative fuel may change during hibernation. We studied the
selective use of fatty acids as an oxidative fuel in noctule bats (Nyctalus
noctula). Pre-hibernating noctule bats that were fed 13C-enriched linoleic acid
(LA) showed 12 times higher tracer oxidation rates compared with conspecifics fed
13C-enriched palmitic acid (PA). After this experiment, we supplemented the diet
of bats with the same fatty acids on five subsequent days to enrich their fat
depots with the respective tracer. We then compared the excess 13C enrichment
(excess atom percentage, APE) in breath of bats for torpor and arousal events
during early and late hibernation. We observed higher APE values in breath of
bats fed 13C-enriched LA than in bats fed 13C-enriched PA for both states (torpor
and arousal), and also for both periods. Thus, hibernating bats selectively
oxidized endogenous LA instead of PA, probably because of faster transportation
rates of polyunsaturated fatty acids compared with saturated fatty acids. We did
not observe changes in APE values in the breath of torpid animals between early
and late hibernation. Skin temperature of torpid animals increased by 0.7 degrees
C between early and late hibernation in bats fed PA, whereas it decreased by -0.8
degrees C in bats fed LA, highlighting that endogenous LA may fulfil two
functions when available in excess: serving as an oxidative fuel and supporting
cell membrane functionality.
PMID- 29361584
TI - The biomechanics of tree frogs climbing curved surfaces: a gripping problem.
AB - The adhesive mechanisms of climbing animals have become an important research
topic because of their biomimetic implications. We examined the climbing
abilities of hylid tree frogs on vertical cylinders of differing diameter and
surface roughness to investigate the relative roles of adduction forces
(gripping) and adhesion. Tree frogs adhere using their toe pads and subarticular
tubercles, the adhesive joint being fluid-filled. Our hypothesis was that on an
effectively flat surface (adduction forces on the largest 120 mm diameter
cylinder were insufficient to allow climbing), adhesion would effectively be the
only means by which tree frogs could climb, but on the 44 and 13 mm diameter
cylinders, frogs could additionally utilise adduction forces by gripping the
cylinder either with their limbs outstretched or by grasping around the cylinder
with their digits, respectively. The frogs' performance would also depend on
whether the surfaces were smooth (easy to adhere to) or rough (relatively non
adhesive). Our findings showed that climbing performance was highest on the
narrowest smooth cylinder. Frogs climbed faster, frequently using a 'walking
trot' gait rather than the 'lateral sequence walk' used on other cylinders. Using
an optical technique to visualise substrate contact during climbing on smooth
surfaces, we also observed an increasing engagement of the subarticular tubercles
on the narrower cylinders. Finally, on the rough substrate, frogs were unable to
climb the largest diameter cylinder, but were able to climb the narrowest one
slowly. These results support our hypotheses and have relevance for the design of
climbing robots.
PMID- 29361585
TI - The reluctant visitor: a terpenoid in toxic nectar can reduce olfactory learning
and memory in Asian honey bees.
AB - The nectar of the thunder god vine, Tripterygium hypoglaucum, contains a
terpenoid, triptolide (TRP), that may be toxic to the sympatric Asian honey bee,
Apis cerana, because honey produced from this nectar is toxic to bees. However,
these bees will forage on, recruit for, and pollinate this plant during a
seasonal dearth of preferred food sources. Olfactory learning plays a key role in
forager constancy and pollination, and we therefore tested the effects of acute
and chronic TRP feeding on forager olfactory learning, using proboscis extension
reflex conditioning. At concentrations of 0.5-10 ug TRP ml-1, there were no
learning effects of acute exposure. However, memory retention (1 h after the last
learning trial) significantly decreased by 56% following acute consumption of 0.5
ug TRP ml-1 Chronic exposure did not alter learning or memory, except at high
concentrations (5 and 10 ug TRP ml-1). TRP concentrations in nectar may therefore
not significantly harm plant pollination. Surprisingly, TRP slightly increased
bee survival, and thus other components in T. hypoglaucum honey may be toxic.
Long-term exposure to TRP could have colony effects but these may be ameliorated
by the bees' aversion to T. hypoglaucum nectar when other food sources are
available and, perhaps, by detoxification mechanisms. The co-evolution of this
plant and its reluctant visitor may therefore likely illustrate a classic
compromise between the interests of both actors.
PMID- 29361586
TI - Femoral bone perfusion through the nutrient foramen during growth and locomotor
development of western grey kangaroos (Macropus fuliginosus).
AB - The nutrient artery passes through the nutrient foramen on the shaft of the femur
and supplies more than half of the total blood flow to the bone. Assuming that
the size of the nutrient foramen correlates with the size of the nutrient artery,
an index of blood flow rate (Qi) can be calculated from nutrient foramen
dimensions. Interspecific Qi is proportional to locomotor activity levels in
adult mammals, birds and reptiles. However, no studies have yet estimated
intraspecific Qi to test for the effects of growth and locomotor development on
bone blood flow requirements. In this study, we used micro-CT and medical CT
scanning to measure femoral dimensions and foramen radius to calculate femoral Qi
during the in-pouch and post-pouch life stages of western grey kangaroos
(Macropus fuliginosus) weighing 5.7 g to 70.5 kg and representing a 12,350-fold
range in body mass. A biphasic scaling relationship between Qi and body mass was
observed (breakpoint at ca. 1-5 kg body mass right before permanent pouch exit),
with a steep exponent of 0.96+/-0.09 (95% CI) during the in-pouch life stage and
a statistically independent exponent of -0.59+/-0.90 during the post-pouch life
stage. In-pouch joeys showed Qi values that were 50-100 times higher than those
of adult diprotodont marsupials of the same body mass, but gradually converged
with them as post-pouch adults. Bone modelling during growth appears to be the
main determinant of femoral bone blood flow during in-pouch development, whereas
bone remodelling for micro-fracture repair due to locomotion gradually becomes
the main determinant when kangaroos leave the pouch and become more active.
PMID- 29361588
TI - Immune function and the decision to deploy weapons during fights in the beadlet
anemone, Actinia equina.
AB - The ability to mitigate the costs of engaging in a fight will depend on an
individual's physiological state. However, the experience of fighting itself may,
in turn, affect an individual's state, especially if the fight results in injury.
Previous studies have found a correlation between immune state and fighting
success, but the causal direction of this relationship remains unclear. Does
immune state determine fighting success? Or does fighting itself influence
subsequent immune state? Using the beadlet anemone, Actinia equina, we
disentangled the cause and effect of this relationship, measuring immune response
once pre-fight and twice post-fight. Contrary to previous findings, pre-fight
immune response did not predict fighting success, but rather predicted whether an
individual used its weapons during the fight. Furthermore, weapon use and contest
outcome significantly affected post-fight immune response. Individuals that used
their weapons maintained a stable immune response following the fight, whereas
those that fought non-injuriously did not. Furthermore, although winners suffered
a reduction in immune response similar to that of losers immediately post-fight,
winners began to recover pre-fight levels within 24 h. Our findings indicate that
immune state can influence strategic fighting decisions and, moreover, that fight
outcome and the agonistic behaviours expressed can significantly affect
subsequent immunity.
PMID- 29361587
TI - Biomechanical mechanisms underlying exosuit-induced improvements in walking
economy after stroke.
AB - Stroke-induced hemiparetic gait is characteristically asymmetric and
metabolically expensive. Weakness and impaired control of the paretic ankle
contribute to reduced forward propulsion and ground clearance - walking subtasks
critical for safe and efficient locomotion. Targeted gait interventions that
improve paretic ankle function after stroke are therefore warranted. We have
developed textile-based, soft wearable robots that transmit mechanical power
generated by off-board or body-worn actuators to the paretic ankle using Bowden
cables (soft exosuits) and have demonstrated the exosuits can overcome deficits
in paretic limb forward propulsion and ground clearance, ultimately reducing the
metabolic cost of hemiparetic walking. This study elucidates the biomechanical
mechanisms underlying exosuit-induced reductions in metabolic power. We evaluated
the relationships between exosuit-induced changes in the body center of mass
(COM) power generated by each limb, individual joint power and metabolic power.
Compared with walking with an exosuit unpowered, exosuit assistance produced more
symmetrical COM power generation during the critical period of the step-to-step
transition (22.4+/-6.4% more symmetric). Changes in individual limb COM power
were related to changes in paretic (R2=0.83, P=0.004) and non-paretic (R2=0.73,
P=0.014) ankle power. Interestingly, despite the exosuit providing direct
assistance to only the paretic limb, changes in metabolic power were related to
changes in non-paretic limb COM power (R2=0.80, P=0.007), not paretic limb COM
power (P>0.05). These findings contribute to a fundamental understanding of how
individuals post-stroke interact with an exosuit to reduce the metabolic cost of
hemiparetic walking.
PMID- 29361589
TI - Cold temperature represses daily rhythms in the liver transcriptome of a
stenothermal teleost under decreasing day length.
AB - The climate-change-driven increase in temperature is occurring rapidly and
decreasing the predictability of seasonal rhythms at high latitudes. It is
therefore urgent to understand how a change in the relationship between
photoperiod and temperature can affect ectotherms in these environments. We
tested whether temperature affects daily rhythms of transcription in a cold
adapted salmonid using high-throughput RNA sequencing. Arctic char (Salvelinus
alpinus) from a subarctic population were reared at a high and a low temperature
(15 and 8 degrees C) for 1 month under natural, decreasing day length during late
summer. Liver transcriptomes were compared between samples collected in the
middle and towards the end of the light period and in the middle of the dark
period. Daily variation in transcription was lower in fish from the low
temperature compared with strong daily variation in warm-acclimated fish,
suggesting that cold temperatures dampen the cycling of transcriptional rhythms
under a simultaneously decreasing day length. Different circadian clock genes had
divergent expression patterns, responding either by decreased expression or by
increased rhythmicity at 15 degrees C compared with 8 degrees C. The results
point out mechanisms that can affect the ability of fish to adapt to increasing
temperatures caused by climate change.
PMID- 29361590
TI - Limits to sustained energy intake. XXVII. Trade-offs between first and second
litters in lactating mice support the ecological context hypothesis.
AB - Increased reproductive effort may lead to trade-offs with future performance and
impact offspring, thereby influencing optimal current effort level. We
experimentally enlarged or reduced litter size in mice during their first
lactation, and then followed them through a successive unmanipulated lactation.
Measurements of food intake, body mass, milk energy output (MEO), litter size and
litter mass were taken. Offspring from the first lactation were also bred to
investigate their reproductive success. In their first lactation, mothers with
enlarged litters (n=9, 16 pups) weaned significantly smaller pups, culled more
pups, and increased MEO and food intake compared with mothers with reduced
litters (n=9, 5 pups). In the second lactation, no significant differences in pup
mass or litter size were observed between groups, but mothers that had previously
reared enlarged litters significantly decreased pup mass, MEO and food intake
compared with those that had reared reduced litters. Female offspring from
enlarged litters weaned slightly smaller pups than those from reduced litters,
but displayed no significant differences in any of the other variables measured.
These results suggest that females with enlarged litters suffered from a greater
energetic burden during their first lactation, and this was associated with
lowered performance in a successive reproductive event and impacted on their
offspring's reproductive performance. Female 'choice' about how much to invest in
the first lactation may thus be driven by trade-offs with future reproductive
success. Hence, the 'limit' on performance may not be a hard physiological limit.
These data support the ecological context hypothesis.
PMID- 29361591
TI - Naked mole rat brain mitochondria electron transport system flux and H+ leak are
reduced during acute hypoxia.
AB - Mitochondrial respiration and ATP production are compromised by hypoxia. Naked
mole rats (NMRs) are among the most hypoxia-tolerant mammals and reduce metabolic
rate in hypoxic environments; however, little is known regarding mitochondrial
function during in vivo hypoxia exposure in this species. To address this
knowledge gap, we asked whether the function of NMR brain mitochondria exhibits
metabolic plasticity during acute hypoxia. Respirometry was utilized to assess
whole-animal oxygen consumption rates and high-resolution respirometry was
utilized to assess electron transport system (ETS) function in saponin
permeabilized NMR brain. We found that NMR whole-animal oxygen consumption rate
reversibly decreased by ~85% in acute hypoxia (4 h at 3% O2). Similarly, relative
to untreated controls, permeabilized brain respiratory flux through the ETS was
decreased by ~90% in acutely hypoxic animals. Relative to carbonyl cyanide p
trifluoro-methoxyphenylhydrazone-uncoupled total ETS flux, this functional
decrease was observed equally across all components of the ETS except for complex
IV (cytochrome c oxidase), at which flux was further reduced, supporting a
regulatory role for this enzyme during acute hypoxia. The maximum enzymatic
capacities of ETS complexes I-V were not altered by acute hypoxia; however, the
mitochondrial H+ gradient decreased in step with the decrease in ETS respiration.
Taken together, our results indicate that NMR brain ETS flux and H+ leak are
reduced in a balanced and regulated fashion during acute hypoxia. Changes in NMR
mitochondrial metabolic plasticity mirror whole-animal metabolic responses to
hypoxia.
PMID- 29361592
TI - Stress decreases pollen foraging performance in honeybees.
AB - Foraging in honeybees is energetically demanding. Here, we examined whether
stressors, which generally increase metabolic demands, can impair foraging
performance. A controlled non-pathogenic stressor (immune challenge) resulted in
a change in the foraging preferences of bees. It reduced pollen foraging and
increased the duration of trips in pollen foragers. Stress also reduced the
amount of octopamine in the brain of pollen foragers (a biogenic amine involved
in the regulation of foraging and flight behaviour in insects). According to the
literature, flight metabolic rate is higher during pollen foraging than during
nectar foraging, and nectar gives a higher energetic return relative to the
foraging effort when compared with pollen. We thus propose that stress might be
particularly detrimental to the performance of pollen foragers, and stressed bees
prefer the energy-rich resource of nectar. In conclusion, stress, even at low
levels, could have consequences for bee foraging behaviour and thereby the
nutritional balance of the colony.
PMID- 29361593
TI - SERT gene polymorphisms are associated with risk-taking behaviour and breeding
parameters in wild great tits.
AB - Individual differences in coping with potentially dangerous situations are
affected by a combination of genetic and environmental factors. How genetic
polymorphisms and behavioural variations are related to fitness is unknown. One
of the candidate genes affecting a variety of behavioural processes, including
impulsivity, anxiety and mood fluctuations in both humans and other vertebrates,
is the serotonin transporter gene (SERT/SLC6A). The aim of this study was to
assess an association between SERT genotypes and novelty-seeking and risk-taking
behaviours as well as breeding parameters of great tits (Parus major) in a
natural environment. We associated polymorphisms in the promoter exonic regions
of the SERT gene with parental risk-taking-related behaviour and fitness traits.
Our results show that: (1) risk-taking behaviour in our great tit population is
linked to single nucleotide polymorphisms in the SERT gene exon 3 and exon 8; (2)
the genotype-behaviour associations are consistent with the presence of different
stressors; and (3) polymorphisms in exon 8 could be associated with fitness
related traits, such as the start of egg-laying and hatching success. We showed
for the first time that genetic variability of SERT plays an important role in
shaping individual decision-making that affects fitness in a wild population.
However, the results are based on one population and on the polymorphisms that
are in a single gene. Therefore, replication studies are needed in order to
confirm these preliminary results.
PMID- 29361594
TI - Size-dependent avoidance of a strong magnetic anomaly in Caribbean spiny
lobsters.
AB - On a global scale, the geomagnetic field varies predictably across the Earth's
surface, providing animals that migrate long distances with a reliable source of
directional and positional information that can be used to guide their movements.
In some locations, however, magnetic minerals in the Earth's crust generate an
additional field that enhances or diminishes the overall field, resulting in
unusually steep gradients of field intensity within a limited area. How animals
respond to such magnetic anomalies is unclear. The Caribbean spiny lobster,
Panulirus argus, is a benthic marine invertebrate that possesses a magnetic sense
and is likely to encounter magnetic anomalies during migratory movements and
homing. As a first step toward investigating whether such anomalies affect the
behavior of lobsters, a two-choice preference experiment was conducted in which
lobsters were allowed to select one of two artificial dens, one beneath a
neodymium magnet and the other beneath a non-magnetic weight of similar size and
mass (control). Significantly more lobsters selected the control den,
demonstrating avoidance of the magnetic anomaly. In addition, lobster size was
found to be a significant predictor of den choice: lobsters that selected the
anomaly den were significantly smaller as a group than those that chose the
control den. Taken together, these findings provide additional evidence for
magnetoreception in spiny lobsters, raise the possibility of an ontogenetic shift
in how lobsters respond to magnetic fields, and suggest that magnetic anomalies
might influence lobster movement in the natural environment.
PMID- 29361595
TI - Fasting enhances mitochondrial efficiency in duckling skeletal muscle by acting
on the substrate oxidation system.
AB - During food deprivation, animals must develop physiological responses to maximize
energy conservation and survival. At the subcellular level, energy conservation
is mainly achieved by a reduction in mitochondrial activity and an upregulation
of oxidative phosphorylation efficiency. The aim of this study was to decipher
mechanisms underlying the increased mitochondrial coupling efficiency reported in
fasted birds. Mitochondrial oxidative phosphorylation activity, efficiency and
membrane potential were measured in mitochondria isolated from the gastrocnemius
muscle of ducklings. The content and activities of respiratory chain complexes
were also determined. Results from ducklings fasted for 6 days were compared with
ducklings fed ad libitum Here, we report that 6 days of fasting improved coupling
efficiency in muscle mitochondria of ducklings by depressing proton-motive force
through the downregulation of substrate oxidation reactions. Fasting did not
change the basal proton conductance of mitochondria but largely decreased the
oxidative phosphorylation activity, which was associated with decreased
activities of succinate-cytochrome c reductase (complexes II-III) and citrate
synthase, and altered contents in cytochromes b and c+c1 In contrast, fasting did
not change cytochrome aa3 content or the activity of complexes I, II and IV.
Altogether, these data show that the lower capacity of the respiratory machinery
to pump protons in ducklings fasted for 6 days generates a lower membrane
potential, which triggers a decreased proton leak activity and thus a higher
coupling efficiency. We propose that the main site of action would be located at
the level of co-enzyme Q pool/complex III of the electron transport chain.
PMID- 29361596
TI - Malpighian tubules of Trichoplusia ni: recycling ions via gap junctions and
switching between secretion and reabsorption of Na+ and K+ in the distal ileac
plexus.
AB - The functional kidney in insects consists of the Malpighian tubules and hindgut.
Malpighian tubules secrete ions and fluid aiding in hydromineral homeostasis,
acid-base balance and metabolic waste excretion. In many insects, including
lepidopterans, the Malpighian tubule epithelium consists of principal cells (PCs)
and secondary cells (SCs). The SCs in the Malpighian tubules of larvae of the
lepidopteran Trichoplusia ni have been shown to reabsorb K+, transporting it in a
direction opposite to that in the neighbouring PCs that secrete K+ One of the
mechanisms that could enable such an arrangement is a gap junction (GJ)-based
coupling of the two cell types. In the current study, we have immunolocalized GJ
protein Innexin-2 to the PC-PC and SC-PC cell-cell borders. We have demonstrated
that GJs in the SC-containing region of the Malpighian tubules enable Na+ and K+
reabsorption by the SCs. We also demonstrated that in ion-loaded animals, PCs
switch from Na+/K+ secretion to reabsorption, resulting in an ion-transporting
phenotype similar to that of tubules with pharmacologically blocked GJs.
Concomitantly, mRNA abundance encoding GJ proteins was downregulated. Finally, we
observed that such PC-based reabsorption was only present in the distal ileac
plexus connected to the rectal complex. We propose that this plasticity in the PC
function in the distal ileac plexus is likely to be aimed at providing an ion
supply for the SC function in this segment of the tubule.
PMID- 29361597
TI - Variations on a theme: bumblebee learning flights from the nest and from flowers.
AB - On leaving a significant place to which they will return, bees and wasps perform
learning flights to acquire visual information to guide them back. The flights
are set in different contexts, such as from their nest or a flower, which are
functionally and visually different. The permanent and inconspicuous nest hole of
a bumblebee worker is locatable primarily through nearby visual features, whereas
a more transient flower advertises itself by its colour and shape. We compared
the learning flights of bumblebees leaving their nest or a flower in an
experimental situation in which the nest hole, flower and their surroundings were
visually similar. Consequently, differences in learning flights could be
attributed to the bee's internal state when leaving the nest or flower rather
than to the visual scene. Flights at the flower were a quarter as long as those
at the nest and more focused on the flower than its surroundings. Flights at the
nest covered a larger area with the bees surveying a wider range of directions.
For the initial third of the learning flight, bees kept within about 5 cm of the
flower and nest hole, and tended to face and fixate the nest, flower and nearby
visual features. The pattern of these fixations varied between nest and flower,
and these differences were reflected in the bees' return flights to the nest and
flower. Together, these findings suggest that learning flights are tuned to the
bees' inherent expectations of the visual and functional properties of nests and
flowers.
PMID- 29361598
TI - Effects of mechanical disturbance and salinity stress on bioenergetics and
burrowing behavior of the soft-shell clam Mya arenaria.
AB - Bioturbation of sediments by burrowing organisms plays a key role in the
functioning of coastal ecosystems. Burrowing is considered an energetically
expensive activity, yet the energy costs of burrowing and the potential impacts
of multiple stressors (such as salinity stress and wave action) on bioenergetics
and burrowing performance of marine bioturbators are not well understood. We
investigated the effects of mechanical disturbance and salinity stress on the
burrowing behavior, aerobic capacity and energy expense of digging in a common
marine bioturbator, the soft-shell clam Mya arenaria from the Baltic Sea (control
salinity 15). Mya arenaria showed large individual variability in the burrowing
efficiency, with an average of ~7% of the body energy reserves used per burial.
Clams with higher mitochondrial capacity and lower energy expenditure per burial
showed higher endurance. Acclimation for 3-4 weeks to low (5) or fluctuating (5
15) salinity reduced the burrowing speed and the number of times the clams can
rebury but did not affect the mitochondrial capacity of the whole body or the
gill. Acclimation to the fluctuating salinity shifted the predominant fuel use
for burrowing from proteins to lipids. Our data indicate that the reduced
burrowing performance of clams under the salinity stress is not due to the
limitations of energy availability or aerobic capacity but must involve other
mechanisms (such as impaired muscle performance). The reduction in the burrowing
capacity of clams due to salinity stress may have important implications for
survival, activity and ecological functions of the clams in shallow coastal
ecosystems.
PMID- 29361599
TI - Disembodying the invisible: electrocommunication and social interactions by
passive reception of a moving playback signal.
AB - Mormyrid weakly electric fish have a special electrosensory modality that allows
them to actively sense their environment and to communicate with conspecifics by
emitting sequences of electric signals. Electroreception is mediated by different
types of dermal electroreceptor organs for active electrolocation and
electrocommunication, respectively. During electrocommunication, mormyrids
exhibit stereotyped discharge sequences and locomotor patterns, which can be
induced by playback of electric signals. This raises the question: what sensory
information is required to initiate and sustain social interactions, and which
electrosensory pathway mediates such interactions? By experimentally excluding
stimuli from vision and the lateral line system, we show that Mormyrus rume
proboscirostris can rely exclusively on its electrosensory system to track a
mobile source of electric communication signals. Detection of electric playback
signals induced discharge cessations, followed by double-pulse patterns. The
animals tried to interact with the moving signal source and synchronized their
discharge activity to the playback. These behaviors were absent in control trials
without playback. Silencing the electric organ in some fish did not impair their
ability to track the signal source. Silenced fish followed on trajectories
similar to those obtained from intact animals, indicating that active
electrolocation is no precondition for close-range interactions based on
electrocommunication. However, some silenced animals changed their strategy when
searching for the stationary playback source, which indicates passive sensing.
Social interactions among mormyrids can therefore be induced and mediated by
passive reception of electric communication signals without the need for
perception of the location of the signal source through other senses.
PMID- 29361600
TI - How does a slender tibia resist buckling? Effect of material, structural and
geometric characteristics on buckling behaviour of the hindleg tibia in stick
insect postembryonic development.
AB - During the lifespan of the stick insect Carausius morosus, their long and narrow
tibiae experience substantial compressive loads. The mechanical load on the
tibiae increases as the weight of the insect rises. The increase in body weight
is accompanied by a notable increase in the insect's body size and, accordingly,
by an increase in the length of the tibiae. Both of these changes can raise the
risk of buckling of the tibiae. In this study, we tracked changes in the material
and geometric properties of the hindleg tibia of C. morosus during growth. The
results show that although buckling (either by Euler buckling or local buckling)
is the dominant failure mode under compression, the tibia is very capable of
maintaining its buckling resistance in each postembryonic developmental stage.
This is essentially the result of a compromise between the increasing slenderness
of the tibia and its increasing material stiffness. The use of an optimal radius
to thickness ratio, a soft resilin-dominated core, and chitin fibres oriented in
both longitudinal and circumferential directions are presumably additional
strategies preventing buckling of the tibia. This study, providing the first
quantitative data on changes in the biomechanical properties of cuticle during
the entire life of an insect, is expected to shed more light on the structure
property-function relationship in this complex biological composite.
PMID- 29361601
TI - Honey bee (Apis mellifera) sociability and nestmate affiliation are dependent on
the social environment experienced post-eclosion.
AB - Underpinning the formation of a social group is the motivation of individuals to
aggregate and interact with conspecifics, termed sociability. Here, we developed
an assay, inspired by vertebrate approaches to evaluate social behaviours, to
simultaneously examine the development of honey bee (Apis mellifera) sociability
and nestmate affiliation. Focal bees were placed in a testing chamber which was
separated from groups of nestmates and conspecific non-nestmates by single-layer
mesh screens. Assessing how much time bees spent contacting the two mesh screens
allowed us to quantify simultaneously how much bees sought proximity and
interaction with other bees and their preference for nestmates over non
nestmates. Both sociability and nestmate affiliation could be detected soon after
emergence as an adult. Isolation early in adult life impaired honey bee
sociability but there was no evidence for a critical period for the development
of the trait, as isolated bees exposed to their hive for 24 h when as old as 6
days still recovered high levels of sociability. Our data show that, even for
advanced social insects, sociability is a developmental phenomenon and experience
dependent.
PMID- 29361602
TI - Lizards assess complex social signals by lateralizing colour but not motion
detection.
AB - Vertebrates lateralize many behaviours including social interactions. Social
displays typically comprise multiple components, yet our understanding of how
these are processed comes from studies that typically examine responses to the
dominant component or the complex signal as a whole. Here, we examined laterality
in lizard responses to determine whether receivers separate the processing of
motion and colour signal components in different brain hemispheres. In
Psammophilus dorsalis, males display colours that dynamically change during
courtship and aggressive interactions. We tested the visual grasp reflex of both
sexes using robotic stimuli that mimicked two signal components: (1) multiple
speeds of head-bobbing behaviour and (2) multiple colours. We found no laterality
in response to different motion stimuli, indicating that motion similarly
attracts attention from the two visual fields across sexes. Notably, receivers
showed left visual field dominance to colours, especially when males were exposed
to 'aggression-specific' colours and females to 'courtship-specific' colours.
PMID- 29361603
TI - Low-cost synchronization of high-speed audio and video recordings in bio-acoustic
experiments.
AB - In this paper, we present a method for synchronizing high-speed audio and video
recordings of bio-acoustic experiments. By embedding a random signal into the
recorded video and audio data, robust synchronization of a diverse set of sensor
streams can be performed without the need to keep detailed records. The
synchronization can be performed using recording devices without dedicated
synchronization inputs. We demonstrate the efficacy of the approach in two sets
of experiments: behavioral experiments on different species of echolocating bats
and the recordings of field crickets. We present the general operating principle
of the synchronization method, discuss its synchronization strength and provide
insights into how to construct such a device using off-the-shelf components.
PMID- 29361604
TI - Cold tolerance is linked to osmoregulatory function of the hindgut in Locusta
migratoria.
AB - There is growing evidence that maintenance of ion and water balance determines
cold tolerance in many insects. The hindgut of terrestrial insects is critical
for maintaining organismal homeostasis as it regulates solute and water balance
of the haemolymph. Here, we used ex vivo everted gut sacs of Locustamigratoria to
examine the effects of temperature (0-30 degrees C), thermal acclimation,
hypoxia, and ionic and osmotic forces on bulk water and ion (Na+, K+ and Cl-)
movement across the rectal epithelium. These findings were related to
simultaneous in vivo measurements of water and ion balance in locusts exposed to
similar temperatures. As predicted, we observed a critical inhibition of net
water and ion reabsorption at low temperature that was proportional to the in
vivo loss of water and ion homeostasis. Further, cold-acclimated locusts, which
are known to defend ion and water balance at low temperature, were characterised
by improved reabsorptive capacity at low temperature. These findings strongly
support the hypothesis that transport mechanisms in the hindgut at low
temperature are essential for cold tolerance. The loss of osmoregulatory capacity
at low temperature was primarily caused by reduced active transport, while rectal
paracellular permeability to fluorescein isothiocyanate dextran was unchanged at
0 and 30 degrees C. During cold exposure, water reabsorption was independent of
major cation gradients across the epithelia, while a reduction in mucosal Cl-
availability and an increase in mucosal osmolality markedly depressed water
reabsorption. These findings are discussed in the context of existing knowledge
and with suggestions for future physiological studies on cold acclimation and
adaptation in insects.
PMID- 29361605
TI - Renoguanylin stimulates apical CFTR translocation and decreases HCO3- secretion
through PKA activity in the Gulf toadfish (Opsanus beta).
AB - The guanylin peptides - guanylin, uroguanylin and renoguanylin (RGN) - are
endogenously produced hormones in teleost fish enterocytes that are activators of
guanylyl cyclase-C (GC-C) and are potent modulators of intestinal physiology,
particularly in seawater teleosts. Most notably, they reverse normal net ion
absorbing mechanisms that are vital to water absorption, an important process for
seawater teleost survival. The role of guanylin-peptide stimulation of the
intestine remains unclear, but it is hypothesized to facilitate the removal of
solids from the intestine by providing fluid to enable their removal by
peristalsis. The present study used one member of this group of peptides - RGN -
to provide evidence for the prominent role that protein kinase A (PKA) plays in
mediating the effects of guanylin-peptide stimulation in the posterior intestine
of the Gulf toadfish (Opsanus beta). Protein kinase G was found to not mediate
the intracellular effects of RGN, despite previous evidence showing that GC-C
activation leads to higher cyclic guanosine monophosphate formation. RGN reversed
the absorptive short-circuit current and increased conductance in the Gulf
toadfish intestine. These effects are correlated to increased trafficking of the
cystic fibrosis transmembrane conductance regulator (CFTR) Cl- channel to the
apical membrane, which is negated by PKA inhibition. Moreover, RGN decreased HCO3
secretion, likely by limiting apical HCO3-/Cl- exchange (possibly by reducing
SLC26a6 activity), a reduction that was enhanced by PKA inhibition. RGN seems to
alter PKA activity in the posterior intestine to recruit CFTR to the apical
membrane and reduce HCO3- secretion.
PMID- 29361606
TI - An improved method for detecting torpor entrance and arousal in a mammalian
hibernator using heart rate data.
AB - We used electrocardiogram (ECG) telemeters to measure the heart rate of
hibernating Ictidomys tridecemlineatus (thirteen-lined ground squirrel). An
increase in heart rate from 2.2 to 5 beats min-1 accurately identified arousal
from torpor before any change in body temperature was detected. Variability in
raw heart rate data was significantly reduced by a forward-backward Butterworth
low-pass filter, allowing for discrete differential analysis. A decrease in
filtered heart rate to 70% of maximum values in interbout euthermia (from
approximately 312 to 235 beats min-1) accurately detected entrance into torpor
bouts. At this point, body temperature had fallen from 36.1 degrees C to only
34.7 degrees C, much higher than the 30 degrees C typically used to identify
entrance. Using these heart rate criteria allowed advanced detection of entrance
and arousal (detected 51.9 and 76 min earlier, respectively), compared with
traditional body temperature criteria. This method will improve our ability to
detect biochemical and molecular markers underlying these transition periods,
during which many physiological changes occur.
PMID- 29361607
TI - Magnificent magpie colours by feathers with layers of hollow melanosomes.
AB - The blue secondary and purple-to-green tail feathers of magpies are structurally
coloured owing to stacks of hollow, air-containing melanosomes embedded in the
keratin matrix of the barbules. We investigated the spectral and spatial
reflection characteristics of the feathers by applying (micro)spectrophotometry
and imaging scatterometry. To interpret the spectral data, we performed optical
modelling, applying the finite-difference time domain (FDTD) method as well as an
effective media approach, treating the melanosome stacks as multi-layers with
effective refractive indices dependent on the component media. The differently
coloured magpie feathers are realised by adjusting the melanosome size, with the
diameter of the melanosomes as well as their hollowness being the most sensitive
parameters that influence the appearance of the feathers.
PMID- 29361608
TI - Sleep deprivation negatively impacts reproductive output in Drosophila
melanogaster.
AB - Most animals sleep or exhibit a sleep-like state, yet the adaptive significance
of this phenomenon remains unclear. Although reproductive deficits are associated
with lifestyle-induced sleep deficiencies, how sleep loss affects reproductive
physiology is poorly understood, even in model organisms. We aimed to bridge this
mechanistic gap by impairing sleep in female fruit flies and testing its effect
on egg output. We found that sleep deprivation by feeding caffeine or by
mechanical perturbation resulted in decreased egg output. Transient activation of
wake-promoting dopaminergic neurons decreased egg output in addition to sleep
levels, thus demonstrating a direct negative impact of sleep deficit on
reproductive output. Similarly, loss-of-function mutation in dopamine transporter
fumin (fmn) led to both significant sleep loss and lowered fecundity. This
demonstration of a direct relationship between sleep and reproductive fitness
indicates a strong driving force for the evolution of sleep.
PMID- 29361609
TI - Environmental enrichment modulates the response to chronic stress in zebrafish.
AB - Several studies have shown that manipulations to the housing environment modulate
susceptibility to stress in laboratory animals, mainly in rodents. Environmental
enrichment (EE) is one such manipulation that promotes neuroprotection and
neurogenesis, besides affecting behaviors such as drug self-administration.
Zebrafish are a popular and useful animal model for behavioral neuroscience
studies; however, studies evaluating the impact of housing conditions in this
species are scarce. In this study, we verified the effects of EE on behavioral
(novel tank test) and biochemical [cortisol and reactive oxygen species (ROS)]
parameters in zebrafish submitted to unpredictable chronic stress (UCS).
Consistent with our previous findings, UCS increased anxiety-like behavior,
cortisol and ROS levels in zebrafish. EE for 21 or 28 days attenuated the effects
induced by UCS on behavior and cortisol, and prevented the effects on ROS levels.
Our findings reinforce the idea that EE exerts neuromodulatory effects across
species, reducing vulnerability to stress and its biochemical impact. Also, these
results indicate that zebrafish is a suitable model animal to study the
behavioral effects and neurobiological mechanisms related to EE.
PMID- 29361611
TI - How does the snakehead Channa argus survive in air? The combined roles of the
suprabranchial chamber and physiological regulations during aerial respiration.
AB - This study aimed to test the hypothesis that the aerial survival of the northern
snakehead is involved not only with suprabranchial chamber respiration but also
with physiological regulations. The aerial survival time and oxygen consumption
rate (VO2) were determined in snakeheads with either normal or injured
suprabranchial organs. Some hematological and biochemical parameters were
assessed during aerial exposure. The results showed that resting VO2 decreased
when switching from water to air in both the control and the suprabranchial organ
injured fish, with decreases of 22.4% and 23.5%, respectively. Resting VO2 in air
was not different between the control and the suprabranchial organ-injured fish.
The red blood cell (RBC) count and hemoglobin concentration showed no marked
changes, while RBC size increased when exposed to air. The liver lactate
concentration remained unchanged, and the white muscle lactate concentration
decreased when switching from water to air. The blood ammonia concentration
tended to increase during aerial respiration. These results suggest that the
aerial survival of the snakehead is positively associated with a combination of
factors, including respiration of suprabranchial organs and other accessory
organs, depressed metabolic demands and increased oxygen transport, and
negatively associated with the accumulation of blood ammonia but not anaerobic
metabolism.
PMID- 29361610
TI - miR-9a mediates the role of Lethal giant larvae as an epithelial growth inhibitor
in Drosophila.
AB - Drosophila lethal giant larvae (lgl) encodes a conserved tumor suppressor with
established roles in cell polarity, asymmetric division, and proliferation
control. Lgl's human orthologs, HUGL1 and HUGL2, are altered in human cancers,
however, its mechanistic role as a tumor suppressor remains poorly understood.
Based on a previously established connection between Lgl and Fragile X protein
(FMRP), a miRNA-associated translational regulator, we hypothesized that Lgl may
exert its role as a tumor suppressor by interacting with the miRNA pathway.
Consistent with this model, we found that lgl is a dominant modifier of
Argonaute1 overexpression in the eye neuroepithelium. Using microarray profiling
we identified a core set of ten miRNAs that are altered throughout tumorigenesis
in Drosophila lgl mutants. Among these are several miRNAs previously linked to
human cancers including miR-9a, which we found to be downregulated in lgl
neuroepithelial tissues. To determine whether miR-9a can act as an effector of
Lgl in vivo, we overexpressed it in the context of lgl knock-down by RNAi and
found it able to reduce the overgrowth phenotype caused by Lgl loss in epithelia.
Furthermore, cross-comparisons between miRNA and mRNA profiling in lgl mutant
tissues and human breast cancer cells identified thrombospondin (tsp) as a common
factor altered in both fly and human breast cancer tumorigenesis models. Our work
provides the first evidence of a functional connection between Lgl and the miRNA
pathway, demonstrates that miR-9a mediates Lgl's role in restricting epithelial
proliferation, and provides novel insights into pathways controlled by Lgl during
tumor progression.
PMID- 29361612
TI - Spermine modulates fungal morphogenesis and activates plasma membrane H+-ATPase
during yeast to hyphae transition.
AB - Polyamines play a regulatory role in eukaryotic cell growth and morphogenesis.
Despite many molecular advances, the underlying mechanism of action remains
unclear. Here, we investigate a mechanism by which spermine affects the
morphogenesis of a dimorphic fungal model of emerging relevance in plant
interactions, Yarrowia lipolytica, through the recruitment of a phytohormone-like
pathway involving activation of the plasma membrane P-type H+-ATPase.
Morphological transition was followed microscopically, and the H+-ATPase activity
was analyzed in isolated membrane vesicles. Proton flux and acidification were
directly probed at living cell surfaces by a non-invasive selective ion electrode
technique. Spermine and indol-3-acetic acid (IAA) induced the yeast-hypha
transition, influencing the colony architecture. Spermine induced H+-ATPase
activity and H+ efflux in living cells correlating with yeast-hypha dynamics.
Pharmacological inhibition of spermine and IAA pathways prevented the physio
morphological responses, and indicated that spermine could act upstream of the
IAA pathway. This study provides the first compelling evidence on the fungal
morphogenesis and colony development as modulated by a spermine-induced acid
growth mechanism analogous to that previously postulated for the multicellular
growth regulation of plants.
PMID- 29361613
TI - Human hepatocyte depletion in the presence of HIV-1 infection in dual
reconstituted humanized mice.
AB - Human immunodeficiency virus type 1 (HIV-1) infection impairs liver function, and
liver diseases have become a leading cause of morbidity in infected patients. The
immunopathology of liver damage caused by HIV-1 remains unclear. We used chimeric
mice dually reconstituted with a human immune system and hepatocytes to address
the relevance of the model to pathobiology questions related to human hepatocyte
survival in the presence of systemic infection. TK-NOG males were transplanted
with mismatched human hematopoietic stem/progenitor cells and hepatocytes, human
albumin concentration and the presence of human immune cells in blood were
monitored for hepatocytes and immune reconstitution, and mice were infected with
HIV-1. HIV-1-infected animals showed a decline in human albumin concentration
with a significant reduction in percentage of human hepatocytes compared to
uninfected mice. The decrease in human albumin levels correlated with a decline
in CD4+ cells in the liver and with an increase in HIV-1 viral load. HIV-1
infection elicited proinflammatory response in the immunological milieu of the
liver in HIV-infected mice compared to uninfected animals, as determined by
upregulation of IL23, CXCL10 and multiple toll-like receptor expression. The
inflammatory reaction associated with HIV-1 infection in vivo could contribute to
the depletion and dysfunction of hepatocytes. The dual reconstituted TK-NOG mouse
model is a feasible platform to investigate hepatocyte-related HIV-1
immunopathogenesis.This article has an associated First Person interview with the
first author of the paper.
PMID- 29361614
TI - [Systemic Treatment of Malignant Pleural Mesothelioma].
AB - Malignant pleural mesothelioma(MPM)is a highly aggressive tumor with a poor
prognosis and an increasing incidence worldwide. The only standard first-line
chemotherapy for patients with unresectable MPM is cisplatin(CDDP)plus peme-
trexed(PEM)(CDDP/PEM), with a median overall survival of about 12months and a
median progression-free survival(PFS) of less than 6 months. There are no
treatments with proven benefit on survival for relapsed MPM patients. Therefore,
novel therapeutic strategies are urgently required. Several molecular pathways
involved in MPM have been identified; these include growth factor signaling
pathways, cell cycle regulation, apoptosis, and angiogenesis. Fortunately,
several agents targeting these processes have yielded promising results in
preliminary trials. The addition of vascular endothelial growth factor(VEGF)
inhibitor bevacizumab to the standard CDDP/PEM provides a 2.7-month survival
benefit. Triple angiokinase inhibitor nintedanib, inhibiting the VEGFR, PDGFR,
and FGFR, plus standard chemotherapy demonstrated a significant improvement in
median PFS of 3.7 months in the overall study population, and a greater median
PFS benefit of 4.0 months in epithelioid MPM. Mesothelin is an attractive target
protein expressed on mesothelioma cells. Amatuximab, a chimeric anti-mesothelin
antibody, in combination with CDDP/PEM, is currently being tested in randomized,
double-blind, placebo-controlled phase II study. Anetumab ravtansine, mesothelin
directed antibody drug conjugate, was evaluated in a randomized trial to compare
to vinorelbine in patients with MPM who have high mesothelin expression and have
progressed on CDDP/PEM-based first-line chemotherapy. However, anetumab
ravtansine was not superior to vinorelbine in primary endpoint of PFS(4.3 months
vs 4.5 months). Immune checkpoint blockades have demonstrated promising
preclinical and clinical results in several cancer types, and are currently being
investigated in clinical trials for MPM patients. PD-L1 expression in tumor
tissue of MPM was reported, ranging between 20% and 70%. PD-L1 expression was
significantly associated with a worse survival and overexpression was more common
in sarcomatoid histology. This review summarizes clinical results for the latest
systemic treatments in MPM.
PMID- 29361615
TI - [Why Cardio-Oncology Is Necessary Today - From a Viewpoint of the Tumor Internal
Medicine].
AB - Cancer and cardiovascular disease are 2 major disease of the Japanese cause of
death. Both patients with cancer complicated with cardiovascular disease and
patients with cancer developing cardiovascular disorder during cancer therapyare
increasing recently. Because aging is the onset risk factor, as for these, it is
predicted that the patients with both cancer and cardiovascular disease increase
more and more by the arrival of the aging society. Recently, the new research
field called cardiooncology( or onco-cardiology)has been established, and the
cooperation of medical oncologist and cardiologist becomes indispensable.
PMID- 29361616
TI - [Onco-Cardiology - From the Standpoint of Cardiology].
AB - In Japan, cardiovascular diseases are frequent complications in cancer patients
owing to the rapidly aging population and changes in the overall lifestyle. In
addition, new anticancer therapies have substantially improved the prognosis of
cancer patients. Cardiotoxicity, also referred to as cancer treatment-related
cardiac dysfunction, has become an important cause of morbidity and mortality in
cancer patients. Cardiotoxicity may consist of hypertension, arrhythmia,
thromboembolism, coronary artery disease, valvular disease, and left ventricular
dysfunction which may progress to heart failure. Close interactions between
cardiologists and oncologists are required for the optimal care of many cancer
patients. Although cardiologists are expected to assist and advise the oncologist
by providing diagnostic and prognostic information regarding developing
cardiotoxicity, little is known about the cardiovascular pathogenic mechanisms
associated with cancer treatment. Onco-cardiology is a medical subspecialty that
focuses on the diagnosis and treatment of cardiotoxicity in cancer patients. This
review describes the concept of onco-cardiology, and focuses on the management of
cardiotoxicity that may arise during or after cancer therapy from the standpoint
of cardiology. We also discuss noninvasive diagnostic options to identify and
characterize cardiotoxicity.
PMID- 29361617
TI - [Cardiotoxicity of Cancer Chemotherapy - Mechanisms and Therapeutic Approach].
AB - Recent progress in cancer chemotherapy has improved the long-term outcome for
cancer patients. Under such circumstances, it is increasingly of clinical
importance to manage the cardiovascular complications, which are related to both
cancer itself and adverse effects of cancer therapies. Among the most concerning
as cardiovascular complications of cancer therapies is chemotherapy-induced
cardiotoxicity or chemotherapy-related cardiac dysfunction(CTRCD). CTRCD has been
intuitively classified according to the extent of structural abnormalities and
degree of reversibility; type 1 is irreversible and dose-dependent with
structural abnormalities, and type 2 is reversible after cessation of treatment
and dose-independent without structural abnormalities. An example of drugs
causing type 1 and 2 CTRCD is anthracyclines and trastuzumab, respectively,
although both drugs are likely to induce cardiotoxicity through a combined
action. In addition, there is growing awareness that CTRCD is also caused by anti
VEGF inhibitors and tyrosine kinase inhibitors(TKIs), particularly in patients
with cardiovascular comorbidities and risk factors. Interdisciplinary
collaboration between oncology and cardiology specialists will contribute to the
solution of unmet needs to elucidate epidemiologic and pathophysiologic aspects
of CTRCD and to establish diagnostic strategies with risk prediction and evidence
based therapeutic strategies against CTRCD in cancer patients and cancer
survivors.
PMID- 29361618
TI - [Management of Venous Thromboembolism in Cancer Patients].
AB - The clinical relevance of the association between venous thromboembolism(VTE)and
cancer is well documented. VTE is one of the leading causes of death in cancer
patients. It would be an advantage to have knowledge on predictive parameters for
the development of thrombosis and to be able to select cancer patients
individually according to their riskprofiles. An elevated platelet count is
associated with an increased riskof VTE in cancer patients. The biomarkers
including D-dimer have been identified and used to extend the existing
riskstratification. Treatment of VTE in cancer patients is complicated due to a
high rate of recurrence in addition to a higher riskof bleeding during
anticoagulation therapy. Current guidelines recommend low-molecular-weight
heparin(LMWH)monotherapy over vitamin K antagonist(VKA)for the treatment of
cancer-associated VTE. However, recent clinical study could not show any superior
efficacy of LMWH over VKA in preventing VTE recurrence or overall mortality. The
direct oral anticoagulants(DOACs)may be an effective treatment for VTE in cancer
patients, although the riskreduction for recurrent VTE with the DOACs compared to
LMWH has not been well assessed. Physicians should frequently re-evaluate the
risk-benefit ratio of ongoing anticoagulation therapy in individual patient, in
views of the overall clinical conditions including their quality of life and life
expectancy.
PMID- 29361619
TI - [Breast and Endocrine Tumor Supportive Care for Febrile Neutropenia in
Chemotherapy - Current Situation and Future Perspective in Breast Cancer
Treatment -].
PMID- 29361620
TI - [I. Dose-Dense Chemotherapy as Perioperative Treatment in Breast Cancer].
PMID- 29361621
TI - [II. Maintaining Relative Dose Intensity in Adjuvant Chemotherapy for Early
Breast Cancer].
PMID- 29361622
TI - [III. Indication of G-CSF in Advanced or Metastatic Breast Cancer].
PMID- 29361623
TI - [Effect of Pegfilgrastim Primary Prophylactic Administration on Relative Dose
Intensity(RDI)in Postoperative Adjuvant Chemotherapy(TC Therapy)for Breast Cancer
- A Single-Center, Retrospective Study].
AB - This study assessed the effect of pegfilgrastim in patients with early stage
breast cancer who were receiving docetaxel and cyclophosphamide(TC)therapy(75mg/m
/ 2 docetaxel plus 600 mg/m2 cyclophosphamide). In total, 17 patients who were to
receive 4 planned cycles of TC therapy every 3 weeks were included in this study.
Of the 17 patients, 10 who received pegfilgrastim after January 2016 formed the
Peg-G group and 7 who did not receive pegfilgrastim until December 2015 formed
the control group. We observed a high successful execution rate and relative dose
intensity(RDI)with docetaxel in both groups. The successful execution rates were
100% in the Peg-G group and 42.8% in the control group. The RDI was 86.5%(65.4
100%)in the Peg-G group and 52.5%(48.0-58.0%)in the control group. This study
showed that the use of pegfilgrastim results in a high successful execution rate
and RDI in patients with early stage breast cancer undergoing TC therapy.
PMID- 29361624
TI - [Safety and Efficacy of Cisplatin Treatment after Carboplatin Hypersensitivity
Reactions in Gynecologic Malignancies].
AB - To investigate the safety and efficacy of cisplatin(CDDP)treatment after
carboplatin(CBDCA)hypersensitivity reactions (CHSR)in gynecologic malignancies,
we retrospectively reviewed the clinical records of 544 patients who underwent
paclitaxel and CBDCA therapy(TC therapy). CHSR was observed in 18 patients. Eight
patients were administered weekly paclitaxel and CDDP therapy(wTP
therapy)continuously, to confirm that there was no CDDP hypersensitivity
followingintravenous administration of 10 mgCDDP. At the onset of CHSR, the
patients had received a median of 9 TC therapy cycles, and the median number of
CBDCA administrations was 14. The frequency of CHSR was significantly higher in
patients who received 7 cycles or more of TC therapy and CBDCA
administration(p<0.0001). The median number of wTP therapy administrations was 8.
Although CDDP hypersensitivity reactions were observed in 2 patients, their
symptoms were mild(Grade 2, CTCAE v4.0). Of the 6 patients who received wTP
therapy and had evaluable disease sites, 1, 2, 2 and 1 patients showed CR, PR,
SD, and PD, respectively. The median progression-free survival in these 6
patients was 9.5 months. For patients with the platinum- sensitive disease who
have CHSR, CDDP could improve their prognosis.
PMID- 29361625
TI - [A Case of Triple Negative Spindle Cell Carcinoma of the Breast and Improved
Quality of Life Following Irinotecan Chemotherapy].
AB - We report a case of triple negative spindle cell carcinoma of the breast,
responsive to irinotecan chemotherapy. A 49-year old woman who had a tumor in the
chest wall with a skin ulcer visited our hospital. After being diagnosed with
triple negative spindle cell carcinoma of the breast, she underwent surgery,
adjuvant chemotherapy, and radiation at the other hospital. Fourteen months after
the surgery, she developed an ipsilateral breast tumor as a result of local
recurrence. Since eribulin and paclitaxel plus bevacizumab chemotherapies were
not effective, she was transferred to our hospital, and we administered
irinotecan as third-line chemotherapy. Skin lesions and effusion were reduced and
her quality of life improved for 4 months.
PMID- 29361626
TI - [Secondary Dementia Due to Leptomeningeal Metastasis of Breast Cancer Improved by
Whole Brain Radiation].
AB - A 62-year-old woman received chemotherapy for breast cancer with bone metastasis
and malignant pleural and pericardial effusion. She was examined by imaging for
progressive cognitive impairment and headache. Enhanced MRI findings showed
multiple solid tumors on brain surface, and brain perfusion scintigraphy showed
blood flow decrease in both parietal lobes. She was diagnosed with secondary
dementia due to leptomeningeal metastases of breast cancer, and whole brain
external irradiation was performed(30 Gy/15 Fr). After treatment, multiple tumors
were decreased in size and her cognitive impair- ment was improved.
PMID- 29361627
TI - [A Case of Long-Term Survival after Resection of Metachronous Metastases to the
Liver and Lungs from Primary Rectal Cancer].
AB - A 81-year-old man had undergone high anterior resection for rectalcancer in 20XX.
Abdominalcomputed tomography (CT)showed an isolated shadow of 2 cm in size in the
liver, 9 years after surgery for colorectal cancer. We performed liver S4
segmental resection and cholecystectomy. Histopathological examination confirmed
liver metastases of rectal cancer. On examination of the liver metastasis 5 years
after surgery, chest CT showed a shadow of 10mm in size in S6 of the left lung.
We performed partialresection via video-assisted thoracic surgery(VATS)in
20XX+14. Histopathological examination revealed lung metastases of rectal cancer.
We believe that metachronous metastases from rectal cancer should be removed
surgically if radicalcure is possible.
PMID- 29361628
TI - [Clinical Analysis of Combination Chemotherapy Using High Dose Methotrexate,
Rituximab, and Vincristine with or without Procarbazine for Elderly Patients with
Diffuse Large B-Cell Lymphoma of the Central Nervous System].
AB - We studied the clinical effects of high-dose methotrexate(HD-MTX)combined with
rituximab and vincristine in 5 elderly patients, aged 65-83 years, with diffuse
large B-cell lymphoma of the central nervous system(DLBCL CNS). Patients aged 65-
71 years were given 3.0 g/m2 of HD-MTX, while patients aged 75-83 years were
given 1.5 g/m2 of the drug. All patients showed responses; 1 CR and 1 PR in MTX
3.0 g/m2 group, and 2 CRs and 1 PR in MTX 1.5 g/m2 group.
PMID- 29361629
TI - [A Case of Cervical Lymph Node Metastasis from an Unknown Primary Cancer
Controlled with Immunotherapy, Chemotherapy, and Surgery].
AB - We present a case of cervical lymph node metastasis from an unknown primary
cancer that was controlled with immunotherapy, chemotherapy, and surgery. The
patient, a 61-year-old man, was referred to our department for treatment of a
lesion in the left cervical lateral area. At the initial visit, the mass was
covered by reddened skin and was elastic, hard, and immobile on palpation. The
presence of a malignant disease such as malignant lymphoma or lymphadenitis
because of infection by tubercle bacillus or Epstein-Barr virus was suspected on
the basis of the clinical and magnetic resonance imaging findings. Biopsy and
resection of the cervical mass was performed under general anesthesia. Because
the pathological diagnosis during surgery indicated squamous cell carcinoma, the
surgical approach was changed to neck dissection. Head, neck, and thoracic
computed tomography and other examinations were performed to locate the primary
cancer, but its origin remained unknown. Postoperative therapy consisted of
chemotherapy and immunotherapy. The patient has been followed up for 4 years and
10 months without any evidence of recurrence.
PMID- 29361630
TI - Phase 1 trials of PEGylated recombinant human hyaluronidase PH20 in patients with
advanced solid tumours.
AB - This corrects the article DOI: 10.1038/bjc.2017.327.
PMID- 29361631
TI - Evidence for genetic association between chromosome 1q loci and predisposition to
colorectal neoplasia.
PMID- 29361632
TI - Modeling visual-based pitch, lift and speed control strategies in hoverflies.
AB - To avoid crashing onto the floor, a free falling fly needs to trigger its
wingbeats quickly and control the orientation of its thrust accurately and
swiftly to stabilize its pitch and hence its speed. Behavioural data have
suggested that the vertical optic flow produced by the fall and crossing the
visual field plays a key role in this anti-crash response. Free fall behavior
analyses have also suggested that flying insect may not rely on graviception to
stabilize their flight. Based on these two assumptions, we have developed a model
which accounts for hoverflies' position and pitch orientation recorded in 3D with
a fast stereo camera during experimental free falls. Our dynamic model shows that
optic flow-based control combined with closed-loop control of the pitch suffice
to stabilize the flight properly. In addition, our model sheds a new light on the
visual-based feedback control of fly's pitch, lift and thrust. Since graviceptive
cues are possibly not used by flying insects, the use of a vertical reference to
control the pitch is discussed, based on the results obtained on a complete
dynamic model of a virtual fly falling in a textured corridor. This model would
provide a useful tool for understanding more clearly how insects may or not
estimate their absolute attitude.
PMID- 29361636
TI - [Psychological Care for a High Risk Group of Refugees - Concept of Care for the
Yazidi Women and Children of the Sonderkontingent Baden-Wurttemberg in Freiburg].
AB - After the genocide of Shingal in August 2014 in Northern Iraq, the humanitarian
admissions program Sonderkontingent Baden-Wurttemberg "Schutzbedurftiger Frauen
und Kinder aus dem Nordirak" was implemented. 1100 persons, most of them Yazidis,
were hosted by different municipalities in Germany. The target group is a
particularly vulnerable group of persons with a high risk for developing post
traumatic stress disorder. We present the concept of care in Freiburg for 205
Yazidi women and children in Freiburg. A stepped-care approach was developed for
the psychological care to introduce the Yazidi people to the daily life and to
the health care system in Germany. An outreach of psychological services and an
interdisciplinary and multidisciplinary cooperation of all services involved were
crucial.
PMID- 29361637
TI - Secondary Hyperparathyroidism in HIV-Infected Patients in Central Europe.
AB - Secondary hyperparathyroidism (sHPT) might be a contributor to increased risk of
osteoporosis in adult HIV patients but there is little data available on this
issue in this particular population. The aim of the study was to investigate the
prevalence of sHPT in an HIV-infected population with normal kidney function and
to evaluate its risk factors in HIV patients. This cross-sectional study was
carried out in a single HIV center in Germany using routine data from patients
with normal kidney function attending the clinic between January 1st and December
31st, 2016. In total, 1263 patients were included [998 (79.0%) male, median age
48 (IQR 38-54) years]. In 214 patients (16.9%) elevated PTH levels with low or
normal calcium levels were found. Multivariate logistic regression modeling
showed significant associations with elevated PTH for African ethnicity [OR: 2.12
(95% CI: 1.42-3.16); p<0.001], low 25-hydroxyvitamin D levels [OR: 1.82 (95% CI:
1.32-2.51); p<0.001], low calcium levels [OR 1.69 (95% CI: 1.22-2.33); p=0.001],
and use of tenofovir disoproxil fumarate [OR 2.33 (95% CI: 1.62-3.36); p<0.001].
Additional to common risk factors like vitamin D insufficiency and hypocalcemia,
we found a significant association between the use of TDF and sHPT. Prospective
data are needed to ascertain whether PTH-mediated bone loss is the underlying
mechanism of TDF bone-toxicity. Additional screening of PTH even in HIV-infected
patients with normal or low calcium levels may help to identify patients at
increased risk of bone mineral density loss.
PMID- 29361638
TI - ?
PMID- 29361639
TI - Outcome of Low-Invasive Local Split-Thickness Lengthening for Iliotibial Band
Friction Syndrome.
AB - Conventional surgical methods for iliotibial band friction syndrome (ITBFS) may
affect the iliotibial band (ITB), delaying return to sports activities or
impeding performance. We have developed a minimally invasive method. This study
retrospectively analyzed the outcomes of this procedure in individuals with
ITBFS. This study included 34 knees of 31 individuals. Surgery involved
lengthening the central part of the ITB by splitting it into a superficial and a
deep layer, maintaining the anterior and posterior fibers immediately above the
lateral epicondyle. Outcomes included time to resume sports activity, personal
best times to run a 5000-m race before and after surgery, and 2-month post
surgery muscle strengths. The mean postoperative time to return to competition
was 5.8 weeks. Personal best times of 5000-m race improved in 13 of 17 runners.
Two months post-surgery, the mean extensor muscle strengths on the healthy and
affected sides did not significantly differ nor did the flexor muscle strengths.
In ITBFS, the ITB itself is normal. Lengthening the limited region of the ITB
immediately above the lateral femoral epicondyle removes the cause of ITBFS, with
a reduction in inflammation. This technique resulted in early return to
competition without degrading performance.
PMID- 29361640
TI - Respiratory Rate Threshold Accurately Estimates the Second Lactate Threshold.
AB - PURPOSE: The modified Dmax method can accurately determine the second lactate
threshold (LT2), which is valuable for predicting aerobic performance and
prescribing exercise. The purpose of this study is to determine if the modified
Dmax method can accurately find thresholds in VE (VT2), VCO2 (VCO2T), RER (RERT),
and RR (RRT) to estimate LT2. METHODS: Forty-one participants (females n=23,
males n=18) completed an incremental exercise test to determine LT2, VT2, VCO2T,
RERT, RRT, and blood lactate=4 mmol/L (La4). RESULTS: VT2 and RRT were strongly
correlated with VO2 and HR at LT2, with very small bias and limits of agreement,
indicating that VT2 and RRT provide accurate estimates of LT2 (VO2 at VT2-LT2
mean difference=0.37+/-1.91 ml/kg/min, p=0.95; HR at VT2-LT2 mean
difference=0.25+/-2.58 bpm, p=0.99; VO2 at RRT-LT2 mean difference 0.26+/-2.11
ml/kg/min, p=0.99; HR at RRT-LT mean difference 0.44+/-3.31 bpm, p=0.99). VCO2T,
RERT, and La4 were either accurate for VO2 or HR estimates of LT2, but not both.
CONCLUSIONS: VT2 and RRT provide accurate estimates of LT2 using the modified
Dmax method. There is the potential to use RRT in developing field tests to
estimate LT2 in practical settings.
PMID- 29361641
TI - [Surveillance of Supervised Flat-Sharing Communities Requiring Intensive Home
Care: Results and Conclusions].
AB - BACKGROUND: Patients with intensive care and long-term mechanical ventilation
needs are increasingly cared for in supervised flat-sharing communities. The
municipal public health and environment department of Munich audited nursing
services between April 2015 and August 2016. METHODS: The structural analysis of
the nursing services was conducted using standardised checklists, and statistical
analysis was performed. In agreement with the residents and providers of the
nursing service, flats were inspected. RESULTS: 20 of the 43 supervised flat
sharing communities in Munich were designed for intensive care patients. Nine
nursing services took care of them. Monitoring of organizational structures and
hygiene management were found to be positive. There was room for improvement in
practical implementation of hygiene standards. Requirements for personal
qualifications and for emergencies such as electrical power outages have to be
regulated. CONCLUSION: It was shown that regular consulting, instructions and
auditing by the municipal public health and environment department have a
positive effect on hygiene and emergency management. National and binding
agreements still need to be worked out.
PMID- 29361642
TI - [Clinical and sociodemographic Differences Between Patients with and without
Migration Background in a Psychiatric Outpatient Service].
AB - OBJECTIVE: A better understanding of specific sociodemographic and clinical
factors in patients with migration background may help to significantly improve
psychiatric treatment outcome of these patients. Therefore, we investigated these
factors in a large sample of psychiatric outpatients. METHODS: N = 423
psychiatric patients of a large outpatient service were assessed for
sociodemographic variables as well as clinical variables including diagnosis,
psychopharmacological treatment, treatment duration and current symptom load (SCL
14). RESULTS: We found significant differences between patients with and without
migration background in terms of sociodemographic and clinical factors such as
education, employment and main diagnose. Patients with migration background had a
significantly higher current symptom load, especially for somatic symptoms.
CONCLUSION: The data underline the large differences between patients with and
without migration background regarding sociodemographic and clinical factors.
These differences should be considered in psychiatric treatment of these
patients.
PMID- 29361643
TI - [Dealing with Relatives of the Victims of the "Aktion T4" by the National
Socialist Institutions as well as by Wuerttemberg Asylums].
AB - The role of the relatives in the context of the "euthanasia" "Aktion T4" ("T4"
campaign) has been controversially discussed in recent years. Based on documents
of the National Socialist bureaucracy, statements of asylum psychiatrists in the
year 1945 to the French occupation force as well as letters from relatives to
Weissenau asylum in Wuerttemberg, these sources are analysed here in the light of
the reactions of family members in respect to "Aktion T4". The results testify to
a broad spectrum of responses of relatives, which are mainly characterised by
fear, helplessness and protest.
PMID- 29361644
TI - Correction: Relationship between Force-Velocity Profiles and 1,500-m Ergometer
Performance in Young Rowers.
PMID- 29361645
TI - Brugada Syndrome and Exercise Practice: Current Knowledge, Shortcomings and Open
Questions.
PMID- 29361646
TI - [Therapy-refractory cervical lymph node swelling and fever-A rare differential
diagnosis in childhood].
PMID- 29361647
TI - [HPV and oropharyngeal squamous cell cancer in the 8th edition of the TNM
classification].
AB - The 8th edition of the TNM classification, available since January 1st, 2017, has
changed the classification of variou s tumors. For head and neck squamous cell
cancer (HNSCC) of the oropharynx (OPC) the new edition distinguishes between HPV
positive and HPV-negative disease to better reflect the prognostic implication of
HPV associated disease. In many cases applying the new TNM-classification in HPV
positive OPC results in downstaging of formerly locally advanced disease, i. e.
UICC III/ IV, into localized disease UICC stage I/II. However, the data
suggesting a better prognosis for patients with HPV associated disease is based
on retrospective analyses of studies not primarily designed to answer the
question whether or not de-escalated treatment regimes will maintain this
survival advantage. This article dedicated to continued medical education (CME)
attempts to shed light on many clinical questions still remaining concerning
pathology, tumor and life style factors affecting prognosis in this patient
population. Only a good understanding of these questions will enable us to
interpret data correctly and apply the best possible therapy to our patients
according to their risk profile to ensure the best possible outcome.
PMID- 29361648
TI - Case of complete mesh migration into the stomach after mesh hiatoplasty for a
hiatal hernia.
AB - Mesh migration is a rare complication of surgery for a hiatal hernia. Here, we
present the case of a 72-year-old who complained of dysphasia and bodyweight
loss. Upper gastrointestinal endoscopy revealed incarcerated mesh in the lumen of
the esophagogastric junction. Surgery was performed under both endoscopy and
laparoscopy, and the mesh was successfully removed via gastrostomy. To the best
of our knowledge, our case is the first in which mesh that had migrated into the
esophagogastric junction was removed by a combination of laparoscopic and
endoscopic procedure, although the cases of 17 patients in which mesh migrated
into the stomach after mesh hiatoplasty have previously been reported in the
literature.
PMID- 29361649
TI - Peptide selection for the quantification of P-III-NP in human serum by mass
spectrometry.
AB - RATIONALE: Procollagen III amino-terminal propeptide (P-III-NP) is currently
monitored in human doping control as a biomarker for growth hormone
administration and also in clinical diagnostics using immunoassays. Drawbacks to
this approach have been highlighted and research is ongoing to develop a mass
spectrometric method to complement these methods. However, a lack of traceable
reference material, the presence of post-translational modifications (PTMs), and
small blood concentration complicate the development of targeted analytical
methods for P-III-NP quantification. METHODS: Tryptic digest products of P-III-NP
were assessed by liquid chromatography/mass spectrometry (LC/MS). In silico
digestion was used to predict P-III-NP peptides for MS analysis; however, these
excluded PTMs. With a priori knowledge of PTMs, we associated experimental P-III
NP peptides with those derived by in silico digestion. Synthesized P-III-NP
peptides, hT1 (human) and T5 (human/bovine), were used to develop sensitive micro
and nano-flow LC/MS methods to analyse P-III-NP originating from human serum
semi-quantitatively. RESULTS: P-III-NP peptides, T1 and T5, were identified using
high-resolution accurate MS (HRAMS). PTMs modified the mass of observed peptides.
N-terminal pyroglutamation (pE) in T1 and several hydroxylated prolines (hP) in
T5 (G-X-hP motif) were observed. With PTM, hT1 and T5 were observed in a digest
of immuno-captured P-III-NP by LC/MS. Using a semi-quantitative approach, hP-III
NP at basal concentrations of 2 ng/mL (50 pmol) could be estimated from a 200-MUL
sample volume. CONCLUSIONS: Consideration of PTMs is needed to identify P-III-NP
peptides produced by digestion with trypsin. The information presented here now
gives the most appropriate peptide sequences for synthesizing suitable reference
materials required for quantification of human P-III-NP in blood and evidences
methodology that is sufficiently sensitive to develop a quantitative method.
PMID- 29361650
TI - Numerical Modeling of Methane Leakage from a Faulty Natural Gas Well into
Fractured Tight Formations.
AB - Horizontal drilling and hydraulic fracturing have enabled hydrocarbon recovery
from unconventional reservoirs, but led to natural gas contamination of shallow
groundwaters. We describe and apply numerical models of gas-phase migration
associated with leaking natural gas wells. Three leakage scenarios are simulated:
(1) high-pressure natural gas pulse released into a fractured aquifer; (2)
continuous slow leakage into a tilted fractured formation; and (3) continuous
slow leakage into an unfractured aquifer with fluvial channels, to facilitate a
generalized evaluation of natural gas transport from faulty natural gas wells.
High-pressure pulses of gas leakage into sparsely fractured media are needed to
produce the extensive and rapid lateral spreading of free gas previously observed
in field studies. Transport in fractures explains how methane can travel vastly
different distances and directions laterally away from a leaking well, which
leads to variable levels of methane contamination in nearby groundwater wells.
Lower rates of methane leakage (<=1 Mcf/day) produce shorter length scales of gas
transport than determined by the high-pressure scenario or field studies, unless
aquifers have low vertical permeabilities (<=1 millidarcy) and fractures and
bedding planes have sufficient tilt (~10 degrees ) to allow a lateral buoyancy
component. Similarly, in fractured rock aquifers or where permeability is
controlled by channelized fluvial deposits, lateral flow is not sufficiently
developed to explain fast-developing gas contamination (0-3 months) or large
length scales (~1 km) documented in field studies. Thus, current efforts to
evaluate the frequency, mechanism, and impacts of natural gas leakage from faulty
natural gas wells likely underestimate contributions from small-volume, low
pressure leakage events.
PMID- 29361651
TI - Effect of Heterogeneous Sediment Distributions on Hyporheic Flow in Physical and
Numerical Models.
AB - Variations in permeability have been found to significantly affect the flow of
water though hyporheic systems, especially in regions with discontinuous
transitions between distinct streambed lithologies. In this study, we
probabilistically arranged two sediments (sand and sandy gravel) in a grid
framework and imposed a single hyporheic flow cell across the grid to investigate
how discontinuous permeability fields influence volumetric flow and residence
time distributions. We used both a physical system and computer simulations to
model flow through this sediment grid. A solution of blue dye and salt was pumped
into the system and used to detect flow. We recorded the dye location using time
lapse photography and measured the electrolytic conductivity levels as the water
exited the system as a proxy for salt concentration. We also used a computer
simulation to calculate dye-fronts, residence times, and exiting salt
concentrations for the modeled system. Comparison between simulations and
physical measurements yielded strong agreement. In further simulations with 300
different grids, we found a strong correlation between volumetric flow rate and
the placement of high permeability grid cells in regions of high hydraulic head
gradients. One implication is that small anomalies in streambed permeability have
a disproportionately large influence on hyporheic flows when located near steep
head gradients such as steps. We also used moving averages with varying window
sizes to investigate the effect of the abruptness of transitions between sediment
types. We found that smoother permeability fields increased the volumetric flow
rate and decreased the median residence times.
PMID- 29361652
TI - Proposed diagnostic criteria for acute-on-chronic liver failure in Japan.
AB - To establish diagnostic criteria for acute-on-chronic liver failure (ACLF) in
Japan, the Intractable Hepato-Biliary Disease Study Group of Japan undertook a
multicenter pilot survey for patients fulfilling the Asian Pacific Association
for the Study of the Liver (APASL), Association for the Study of the Liver
Chronic Liver Failure (EASL-Clif) Consortium, or Chinese Medical Association
(CMA) diagnostic criteria for ACLF. The APASL criteria were suitable for
screening Japanese patients with ACLF when patients whose conditions were
triggered by gastrointestinal bleeding were included within the disease entity,
and the EASL-Clif Consortium criteria were useful for classifying the severity of
the patients' conditions. Based on these observations, the Study Group proposed
the following diagnostic criteria for ACLF in Japan: patients with cirrhosis and
a Child-Pugh score of 5-9 should be diagnosed as having ACLF when a deterioration
of liver function (serum bilirubin level >=5.0 mg/dL and prothrombin time value
<=40% of the standardized values and/or international normalization rate >=1.5)
caused by severe liver damage develops within 28 days after acute insults, such
as alcohol abuse, bacterial infection, gastrointestinal bleeding, or the
exacerbation of underlying liver diseases. The severities of the patients can be
classified into four grades depending on the extent of the deterioration in organ
functions, including kidney, cerebral, blood coagulation, circulatory and
respiratory functions, as well as liver function. The usefulness of these novel
criteria should be validated prospectively in a large-scale cohort in the future.
PMID- 29361653
TI - A Mamdani Adaptive Neural Fuzzy Inference System for Improvement of Groundwater
Vulnerability.
AB - Assessing groundwater vulnerability is an important procedure for sustainable
water management. Various methods have been developed for effective assessment of
groundwater vulnerability and protection. However, each method has its own
conditions of use and, in practice; it is difficult to return the same results
for the same site. The research conceptualized and developed an improved DRASTIC
method using Mamdani Adaptive Neural Fuzzy Inference System (M-ANFIS-DRASTIC).
DRASTIC and M-ANFIS-DRASTIC were applied in the Jorf aquifer, southeastern
Tunisia, and results were compared. Results confirm that M-ANFIS-DRASTIC combined
with geostatistical tools is more powerful, generated more precise vulnerability
classes with very low estimation variance. Fuzzy logic has a power to produce
more realistic aquifer vulnerability assessments and introduces new ways of
modeling in hydrogeology using natural human language expressed by logic rules.
PMID- 29361654
TI - Medicaid Reimbursement.
PMID- 29361655
TI - Medicaid Restructuring.
PMID- 29361656
TI - Medicaid Waivers.
PMID- 29361657
TI - Pharmaceuticals and Medical Devices: Cost Savings.
PMID- 29361658
TI - Pharmaceuticals and Medical Devices: Medicare Part D.
PMID- 29361659
TI - Associations between Bethesda categories and tumor characteristics of
conventional papillary thyroid carcinoma.
AB - PURPOSE: The aim of this study was to investigate the associations of Bethesda
categories III, V, and VI with the clinical and pathological features of thyroid
nodules surgically confirmed as conventional papillary thyroid carcinomas (PTCs).
METHODS: We analyzed 1,990 consecutive patients diagnosed with conventional PTC
at surgery with preoperative Bethesda categories III, V, or VI. We determined the
odds ratio (ORs) of the clinical and pathological variables associated with
categories III and V, using category VI as the reference. RESULTS: Category III
and V PTCs had a smaller pathological tumor size (OR, 0.934 and OR, 0.969,
respectively) and less frequently had central lymph node metastasis (OR, 0.487
and OR, 0.780, respectively) than category VI PTCs. Category III PTCs less
frequently showed suspicious ultrasonographic features (OR, 0.296) than category
VI PTCs, and category V PTCs less frequently had gross extrathyroidal extension,
with borderline significance (OR, 0.643; P=0.059). CONCLUSION: Conventional PTCs
with a preoperative Bethesda category of III or V may less frequently exhibit
poor prognostic factors than those with malignant cytology.
PMID- 29361660
TI - Pharmaceuticals and Medical Devices: Business Practices.
PMID- 29361661
TI - Pharmaceuticals and Medical Devices: FDA Oversight.
PMID- 29361662
TI - Pharmaceuticals and Medical Devices: FDA Oversight.
PMID- 29361663
TI - 2018 Changes in the Journal.
PMID- 29361664
TI - Noninvasive neurophysiological mapping of the lower urinary tract in adult and
aging rhesus macaques.
AB - The lower urinary tract (LUT) may be activated by spinal cord stimulation, but
the physiological mapping characteristics of LUT activation with noninvasive
transcutaneous spinal cord stimulation (TSCS) are not known. The effects of aging
on the contractile properties of the detrusor are also not well understood.
Therefore, TSCS was applied over the T10/T11 to L6/L7 spinous processes in adult
( n = 6) and aged ( n = 9) female rhesus macaques. A combination of urodynamic
studies and electromyography recordings of the external urethral sphincter (EUS),
external anal sphincter (EAS), and pelvic floor muscles was performed. Distinct
functional maps were demonstrated for TSCS-evoked detrusor and urethral pressures
and for the activation of the EUS, EAS, and pelvic floor muscles. The magnitude
of responses for each peripheral target organ was dependent on TSCS location and
strength. The strongest detrusor contraction was observed with TSCS at the L1/L2
site in adults and the L3/L4 site in aged subjects. TSCS-evoked bladder pressure
at the L1/L2 site was significantly higher for the adults compared with the aged
subjects ( P < 0.05). Cumulative normalized TSCS-evoked pressures, calculated for
five consecutive sites between the T11/T12 and L3/L4 levels, were significantly
lower for aged compared with adult subjects ( P < 0.05). The aged animals also
showed a caudal shift for the TSCS site that generated the strongest detrusor
contraction. We conclude that natural aging in rhesus macaques is associated with
decreased detrusor contractility, a finding of significant translational research
relevance as detrusor underactivity is a common occurrence with aging in humans.
NEW & NOTEWORTHY Transcutaneous spinal cord stimulation (TSCS) was used to map
lower urinary tract function in adult and aged rhesus macaques. Aging was
associated with decreased peak pressure responses to TSCS, reduced cumulative
normalized evoked bladder pressure responses, and a caudal shift for the site
generating the strongest TSCS-induced detrusor contraction. We demonstrate the
utility of TSCS as a new diagnostic tool for detrusor contractility assessments
and conclude that aging is associated with decreased detrusor contractility in
primates.
PMID- 29361667
TI - The continuing evolution of the Journal of Neurophysiology: 2018 update.
AB - The Journal of Neurophysiology continues to evolve to meet the needs of its
authors and readers. This article summarizes recent changes intended to improve
our evaluation and communication of neuroscience research.
PMID- 29361666
TI - beta-Adrenergic enhancement of neuronal excitability in the lateral amygdala is
developmentally gated.
AB - Noradrenergic signaling in the amygdala is important for processing threats and
other emotionally salient stimuli, and beta-adrenergic receptor activation is
known to enhance neuronal spiking in the lateral amygdala (LA) of juvenile
animals. Nevertheless, intracellular recordings have not yet been conducted to
determine the effect of beta-adrenergic receptor activation on spike properties
in the adult LA, despite the potential significance of developmental changes
between adolescence and adulthood. Here we demonstrate that the beta-adrenergic
agonist isoproterenol (15 MUM) enhances spike frequency in dorsal LA principal
neurons of juvenile male C57BL/6 mice and fails to do so in strain- and sex
matched adults. Furthermore, we find that the age-dependent effect of
isoproterenol on spike frequency is occluded by the GABAA receptor blocker
picrotoxin (75 MUM), suggesting that beta-adrenergic receptors downregulate tonic
inhibition specifically in juvenile animals. These findings indicate a
significant shift during adolescence in the cellular mechanisms of beta
adrenergic modulation in the amygdala. NEW & NOTEWORTHY beta-Adrenergic receptors
(beta-ARs) in amygdala are important in processing emotionally salient stimuli.
Most cellular recordings have examined juvenile animals, while behavioral data
are often obtained from adults. We replicate findings showing that beta-ARs
enhance spiking of principal cells in the lateral amygdala of juveniles, but we
fail to find this in adults. These findings have notable scientific and clinical
implications regarding the noradrenergic modulation of threat processing,
alterations of which underlie fear and anxiety disorders.
PMID- 29361665
TI - Nucleus accumbens core medium spiny neuron electrophysiological properties and
partner preference behavior in the adult male prairie vole, Microtus ochrogaster.
AB - Medium spiny neurons (MSNs) in the nucleus accumbens have long been implicated in
the neurobiological mechanisms that underlie numerous social and motivated
behaviors as studied in rodents such as rats. Recently, the prairie vole has
emerged as an important model animal for studying social behaviors, particularly
regarding monogamy because of its ability to form pair bonds. However, to our
knowledge, no study has assessed intrinsic vole MSN electrophysiological
properties or tested how these properties vary with the strength of the pair bond
between partnered voles. Here we performed whole cell patch-clamp recordings of
MSNs in acute brain slices of the nucleus accumbens core (NAc) of adult male
voles exhibiting strong and weak preferences for their respective partnered
females. We first document vole MSN electrophysiological properties and provide
comparison to rat MSNs. Vole MSNs demonstrated many canonical
electrophysiological attributes shared across species but exhibited notable
differences in excitability compared with rat MSNs. Second, we assessed male vole
partner preference behavior and tested whether MSN electrophysiological
properties varied with partner preference strength. Male vole partner preference
showed extensive variability. We found that decreases in miniature excitatory
postsynaptic current amplitude and the slope of the evoked action potential
firing rate to depolarizing current injection weakly associated with increased
preference for the partnered female. This suggests that excitatory synaptic
strength and neuronal excitability may be decreased in MSNs in males exhibiting
stronger preference for a partnered female. Overall, these data provide extensive
documentation of MSN electrophysiological characteristics and their relationship
to social behavior in the prairie vole. NEW & NOTEWORTHY This research represents
the first assessment of prairie vole nucleus accumbens core medium spiny neuron
intrinsic electrophysiological properties and probes the relationship between
cellular excitability and social behavior.
PMID- 29361668
TI - Cutaneous exposure to lewisite causes acute kidney injury by invoking DNA damage
and autophagic response.
AB - Lewisite (2-chlorovinyldichloroarsine) is an organic arsenical chemical warfare
agent that was developed and weaponized during World Wars I/II. Stockpiles of
lewisite still exist in many parts of the world and pose potential environmental
and human health threat. Exposure to lewisite and similar chemicals causes
intense cutaneous inflammatory response. However, morbidity and mortality in the
exposed population is not only the result of cutaneous damage but is also a
result of systemic injury. Here, we provide data delineating the pathogenesis of
acute kidney injury (AKI) following cutaneous exposure to lewisite and its analog
phenylarsine oxide (PAO) in a murine model. Both agents caused renal tubular
injury, characterized by loss of brush border in proximal tubules and tubular
cell apoptosis accompanied by increases in serum creatinine, neutrophil
gelatinase-associated lipocalin, and kidney injury molecule-1. Interestingly,
lewisite exposure enhanced production of reactive oxygen species (ROS) in the
kidney and resulted in the activation of autophagic and DNA damage response (DDR)
signaling pathways with increased expression of beclin-1, autophagy-related gene
7, and LC-3A/B-II and increased phosphorylation of gamma-H2A.X and checkpoint
kinase 1/2, respectively. Terminal deoxyribonucleotide-transferase-mediated dUTP
nick-end labeling-positive cells were detected in renal tubules along with
enhanced proapoptotic BAX/cleaved caspase-3 and reduced antiapoptotic BCL2.
Scavenging ROS by cutaneous postexposure application of the antioxidant N-acetyl
l-cysteine reduced lewisite-induced autophagy and DNA damage. In summary, we
provide evidence that topical exposure to lewisite causes AKI. The molecular
mechanism underlying these changes involves ROS-dependent activation of autophagy
and DDR pathway associated with the induction of apoptosis.
PMID- 29361669
TI - SGLT2 inhibition in a kidney with reduced nephron number: modeling and analysis
of solute transport and metabolism.
AB - Sodium-glucose cotransporter 2 (SGLT2) inhibitors enhance urinary glucose, Na+
and fluid excretion, and lower hyperglycemia in diabetes by targeting Na+ and
glucose reabsorption along the proximal convoluted tubule. A goal of this study
was to predict the effects of SGLT2 inhibitors in diabetic and nondiabetic
patients with chronic kidney disease. To that end, we employed computational rat
kidney models to explore how SGLT2 inhibition affects renal solute transport and
metabolism when nephron populations are normal or reduced. Model simulations
suggested that in a nondiabetic rat, acute and chronic SGLT2 inhibition induces
glucosuria, diuresis, natriuresis, and kaliuresis. Those effects were stronger
with chronic SGLT2 inhibition (due to SGLT1 downregulation) and tempered by
nephron loss. In a diabetic rat with normal nephron number, acute SGLT2
inhibition similarly elevated urine fluid, Na+, and K+ excretion, whereas the
urinary excretory effects of chronic SGLT2 inhibition were attenuated in
proportion to its plasma glucose level lowering effect. Nephron loss in a
diabetic kidney was predicted to lower the glucosuric and blood glucose-reducing
effect of chronic SGLT2 inhibition, but due to the high luminal glucose delivery
in the remaining hyperfiltering nephrons, nephron loss enhanced proximal tubular
paracellular Na+ secretion, thereby augmenting the natriuretic, diuretic, and
kaliuretic effects. A proposed shift in oxygen-consuming active transport to the
outer medulla, which may simulate systemic hypoxia and enhance erythropoiesis,
was also preserved with nephron loss. These effects may contribute to the
protective effects of SGLT2 inhibitors on blood pressure and heart failure
observed in diabetic patients with chronic kidney diseases.
PMID- 29361670
TI - Podocyte-specific knockin of PTEN protects kidney from hyperglycemia.
AB - Phosphatase and tensin homolog deleted on chromosome 10 (PTEN) has proven to be
downregulated in podocytes challenged with high glucose (HG), and knockout of
PTEN in podocytes aggravated the progression of diabetic kidney disease (DKD).
However, whether podocyte-specific knockin of PTEN protects the kidney against
hyperglycemia in vivo remains unknown. The inducible podocyte-specific PTEN
knockin (PPKI) mice were generated by crossing newly created transgenic loxP-stop
loxP-PTEN mice with podocin-iCreERT2 mice. Diabetes mellitus was induced in mice
by intraperitoneal injection of streptozotocin at a dose of 150 mg/kg. In vitro,
small interfering RNA and adenovirus interference were used to observe the role
of PTEN in HG-treated podocytes. Our data demonstrated that PTEN was markedly
reduced in the podocytes of patients with DKD and focal segmental
glomerulosclerosis, as well as in those of db/db mice. Interestingly, podocyte
specific knockin of PTEN significantly alleviated albuminuria, mesangial matrix
expansion, effacement of podocyte foot processes, and incrassation of glomerular
basement membrane in diabetic PPKI mice compared with wild-type diabetic mice,
whereas no alteration was observed in the level of blood glucose. The potential
renal protection of overexpressed PTEN in podocytes was partly attributed with an
improvement in autophagy and motility and the inhibition of apoptosis. Our
results showed that podocyte-specific knockin of PTEN protected the kidney
against hyperglycemia in vivo , suggesting that targeting PTEN might be a novel
and promising therapeutic strategy against DKD.
PMID- 29361671
TI - Mechanisms and controversies in mutant Cul3-mediated familial hyperkalemic
hypertension.
AB - Autosomal dominant mutations in cullin-3 ( Cul3) cause the most severe form of
familial hyperkalemic hypertension (FHHt). Cul3 mutations cause skipping of exon
9, which results in an internal deletion of 57 amino acids from the CUL3 protein
(CUL3-?9). The precise mechanism by which this altered form of CUL3 causes FHHt
is controversial. CUL3 is a member of the cullin-RING ubiquitin ligase family
that mediates ubiquitination and thus degradation of cellular proteins, including
with-no-lysine [K] kinases (WNKs). In CUL3-?9-mediated FHHt, proteasomal
degradation of WNKs is abrogated, leading to overactivation of the WNK targets
sterile 20/SPS-1 related proline/alanine-rich kinase and oxidative stress
response kinase-1, which directly phosphorylate and activate the thiazide
sensitive Na+-Cl- cotransporter. Several groups have suggested different
mechanisms by which CUL3-?9 causes FHHt. The majority of these are derived from
in vitro data, but recently the Kurz group (Schumacher FR, Siew K, Zhang J,
Johnson C, Wood N, Cleary SE, Al Maskari RS, Ferryman JT, Hardege I, Figg NL,
Enchev R, Knebel A, O'Shaughnessy KM, Kurz T. EMBO Mol Med 7: 1285-1306, 2015)
described the first mouse model of CUL3-?9-mediated FHHt. Analysis of this model
suggested that CUL3-?9 is degraded in vivo, and thus Cul3 mutations cause FHHt by
inducing haploinsufficiency. We recently directly tested this model but found
that other dominant effects of CUL3-?9 must contribute to the development of
FHHt. In this review, we focus on our current knowledge of CUL3-?9 action gained
from in vitro and in vivo models that may help unravel this complex problem.
PMID- 29361672
TI - Gastroprotective Effects of Sulphated Polysaccharides from the Alga Caulerpa
mexicana Reducing Ethanol-Induced Gastric Damage.
AB - The development of the gastric lesion is complex and the result of the imbalance
between aggressive and protective factors, involving the generation of free
radicals and disturbance in nitric oxide (NO) production. Sulphated
polysaccharides (SP), from marine algae, are widely used in biotechnological and
pharmaceutical areas. In this study, we evaluated the effects of SP from the
green marine alga Caulerpa mexicana (Cm-SP) in ethanol-induced gastric damage
models in mice. Cm-SP (2, 20, or 200 mg/kg), administered p.o., significantly
reduced gastric damage, and these effects were inhibited through pretreatment
with indomethacin. Cm-SP (200 mg/kg) prevented the ethanol-induced decline in
glutathione and restored its normal level. Moreover, it was able to normalize the
elevated thiobarbituric acid reactive substance levels. However, Cm-SP did not
show any significant effects on NO2/NO3 level, when compared to the ethanol
group. The pretreatment with L- NAME induced gastric mucosal damage and did not
inhibit the gastroprotective effect of Cm-SP (200 mg/kg). In conclusion, the
gastroprotective effects of Cm-SP in mice involve prostaglandins and reduction in
the oxidative stress and are independent of NO.
PMID- 29361673
TI - Investigating Potential Chromosomal Rearrangements during Laboratory Culture of
Neisseria gonorrhoeae.
AB - Comparisons of genome sequence data between different strains and isolates of
Neisseria spp., such as Neisseria gonorrhoeae, reveal that over the evolutionary
history of these organisms, large scale chromosomal rearrangements have occurred.
Factors within the genomes, such as repetitive sequences and prophage, are
believed to have contributed to these observations. However, the timescale in
which rearrangements occur is not clear, nor whether it might be expected for
them to happen in the laboratory. In this study, N. gonorrhoeae was repeatedly
passaged in the laboratory and assessed for large scale chromosomal
rearrangements. Using gonococcal strain NCCP11945, for which there is a complete
genome sequence, cultures were passaged for eight weeks in the laboratory. The
resulting genomic DNA was assessed using Pulsed Field Gel Electrophoresis,
comparing the results to the predicted results from the genome sequence data.
Three cultures generated Pulsed Field Gel Electrophoresis patterns that varied
from the genomic data and were further investigated for potential chromosomal
rearrangements.
PMID- 29361674
TI - Effects of Polymethoxyflavonoids on Bone Loss Induced by Estrogen Deficiency and
by LPS-Dependent Inflammation in Mice.
AB - Polymethoxyflavonoids (PMFs) are a family of the natural compounds that mainly
compise nobiletin, tangeretin, heptamethoxyflavone (HMF), and tetramethoxyflavone
(TMF) in citrus fruits. PMFs have shown various biological functions, including
anti-oxidative effects. We previously showed that nobiletin, tangeretin, and HMF
all inhibited interleukin (IL)-1-mediated osteoclast differentiation via the
inhibition of prostaglandin E2 synthesis. In this study, we created an original
mixture of PMFs (nobiletin, tangeretin, HMF, and TMF) and examined whether or not
PMFs exhibit co-operative inhibitory effects on osteoclastogenesis and bone
resorption. In a coculture of bone marrow cells and osteoblasts, PMFs dose
dependently inhibited IL-1-induced osteoclast differentiation and bone
resorption. The optimum concentration of PMFs was lower than that of nobiletin
alone in the suppression of osteoclast differentiation, suggesting that the
potency of PMFs was stronger than that of nobiletin in vitro. The oral
administration of PMFs recovered the femoral bone loss induced by estrogen
deficiency in ovariectomized mice. We further tested the effects of PMFs on
lipopolysaccharide-induced bone resorption in mouse alveolar bone. In an ex vivo
experimental model for periodontitis, PMFs significantly suppressed the bone
resorbing activity in organ cultures of mouse alveolar bone. These results
indicate that a mixture of purified nobiletin, tangeretin, HMF, and TMF exhibits
a co-operative inhibitory effect for the protection against bone loss in a mouse
model of bone disease, suggesting that PMFs may be potential candidates for the
prevention of bone resorption diseases, such as osteoporosis and periodontitis.
PMID- 29361675
TI - Prevalence and Factors Associated with Fixed-Dose Combination Antiretroviral
Drugs Adherence among HIV-Positive Pregnant Women on Option B Treatment in
Mpumalanga Province, South Africa.
AB - The possibility for all babies to be born and remain HIV-negative for the first
year of life is achievable in South Africa. HIV-positive mothers' adherence to
their antiretroviral medication is one of the crucial factors to achieve this
target. Cross-sectional data were collected at 12 community health centres, over
12 months (2014-2015), from 673 HIV-positive women, less than 6 months pregnant,
attending antenatal care, and on Option B treatment. Adherence measures included
the Adults AIDS Clinical Trials Group (AACTG) four-day measure, as well as the
Visual Analog Scale (VAS) seven-day measure. Bivariate analyses and multivariate
logistic regressions are presented. 78.8% of respondents were adherent on AACTG,
while 68.8% reported VAS adherence. Bivariate analyses for increased adherence
show significant associations with older age, less/no alcohol usage, disclosure
of HIV status, higher HIV knowledge, no desire to avoid ARV side effects, low
stigma, and low depression. AACTG showed a negative association with intimate
partner violence. Multivariable logistic regression on AACTG and VAS adherence
rates resulted in unique contributions to increased adherence of older age,
less/no alcohol usage, higher HIV knowledge, lack of depression, and non
disclosure. Programs targeting closer side effect monitoring, HIV disclosure, pre
natal depression, alcohol intake, and HIV knowledge need consideration.
PMID- 29361676
TI - Examining Public Perceptions about Lead in School Drinking Water: A Mixed-Methods
Analysis of Twitter Response to an Environmental Health Hazard.
AB - Exposure to lead has long been a community health concern in St. Louis, Missouri.
The objective of this study was to examine public response to reports of elevated
lead levels in school drinking water in St. Louis, Missouri via Twitter, a
microblogging platform with over 320 million active users. We used a mixed
methods design to examine Twitter user status updates, known as "tweets," from 18
August to 31 December 2016. The number of tweets each day was recorded, and
Twitter users were classified into five user types (General Public,
Journalist/News, Health Professional/Academic, Politician/Government Official,
and Non-Governmental Organization). A total of 492 tweets were identified during
the study period. The majority of discourse on Twitter occurred during the two
week period after initial media reports and was driven by members of the General
Public. Thematic analysis of tweets revealed four themes: Information Sharing,
Health Concerns, Sociodemographic Disparities, and Outrage. Twitter users
characterized lead in school drinking water as an issue of environmental
inequity. The findings of this study provide evidence that social media platforms
can be utilized as valuable tools for public health researchers and practitioners
to gauge public sentiment about environmental health issues, identify emerging
community concerns, and inform future communication and research strategies
regarding environmental health hazards.
PMID- 29361678
TI - Synthesis and Antimicrobial Activity of Sulfur Derivatives of Quinolinium Salts.
AB - A novel method for cleavage of the dithiine ring in 5,12-(dimethyl)
thioqinantrenium bis-chloride 1 "via" reaction with sodium hydrosulfide leads to
1-methyl-3-mercaptoquinoline-4(1H)-thione 2. Further transformation of thiol and
thione functions of compound 2 leads to a series of sulfide and disulfide
derivatives of quinolinium salts 4 and 6. 1-Methyl-4-chloro-3-benzylthioquinoline
chloride 8 was obtained by N-alkylating 4-chloro-3-benzylthioquinoline using
dimethyl sulfate. Antimicrobial activity of the obtained compounds was
investigated using six Gram-positive and six Gram-negative bacterial strains, as
well as Candida albicans yeast. Greater activity was demonstrated towards Gram
positive strains. MIC values for compounds and with benzylthio 4d and benzoylthio
4f substituents in 3-quinoline position were found to be in the 0.5-1 MUg/mL
range, at a level similar to that of ciprofloxacin (reference). Compounds 4d and
4f also demonstrated interesting antifungal properties (MIC = 1).
PMID- 29361679
TI - Development of a Label-Free Immunosensor for Clusterin Detection as an
Alzheimer's Biomarker.
AB - Clusterin (CLU) has been associated with the clinical progression of Alzheimer's
disease (AD) and described as a potential AD biomarker in blood plasma. Due to
the enormous attention given to cerebrospinal fluid (CSF) biomarkers for the past
couple of decades, recently found blood-based AD biomarkers like CLU have not yet
been reported for biosensors. Herein, we report the electrochemical detection of
CLU for the first time using a screen-printed carbon electrode (SPCE) modified
with 1-pyrenebutyric acid N-hydroxysuccinimide ester (Pyr-NHS) and decorated with
specific anti-CLU antibody fragments. This bifunctional linker molecule contains
succinylimide ester to bind protein at one end while its pyrene moiety attaches
to the carbon surface by means of pi-pi stacking. Cyclic voltammetric and square
wave voltammetric studies showed the limit of detection down to 1 pg/mL and a
linear concentration range of 1-100 pg/mL with good sensitivity. Detection of CLU
in spiked human plasma was demonstrated with satisfactory recovery percentages to
that of the calibration data. The proposed method facilitates the cost-effective
and viable production of label-free point-of-care devices for the clinical
diagnosis of AD.
PMID- 29361677
TI - A Comparison of Oral and Intravenous Mouse Models of Listeriosis.
AB - Listeria monocytogenes is one of several enteric microbes that is acquired
orally, invades the gastric mucosa, and then disseminates to peripheral tissues
to cause systemic disease in humans. Intravenous (i.v.) inoculation of mice with
L. monocytogenes has been the most widely-used small animal model of listeriosis
over the past few decades. The infection is highly reproducible and has been
invaluable in deciphering mechanisms of adaptive immunity in vivo, particularly
CD8+ T cell responses to intracellular pathogens. However, the i.v. model
completely bypasses the gut phase of the infection. Recent advances in generating
both humanized mice and murinized bacteria, as well as the development of a
foodborne route of transmission has reignited interest in studying oral models of
listeriosis. In this review, we analyze previously published reports to highlight
both the similarities and differences in tissue colonization and host response to
infection using either oral or i.v. inoculation.
PMID- 29361680
TI - Fate of Fecal Indicators in Resource-Oriented Sanitation Systems Using Nitrifying
Bio-Treatment.
AB - Hygienic fecal treatment in resource-oriented sanitation (ROS) systems is an
important concern. Although the addition of nitrifying microorganisms is a
sustainable fecal treatment method in ROS systems, it is essential to examine the
cleanliness of this method. In this study, we investigated the fate of fecal
indicators in source-separated fecal samples through tracking Escherichia coli
and total coliforms. The effects of adding different amounts of Nitrosomonas
europaea bio-seed, along with a constant amount of Nitrobacter winogradskyi bio
seed, were studied. In intact feces samples, the pathogen population underwent an
initial increase, followed by a slight decrease, and eventually became constant.
Although the addition of nitrifying microorganisms initially enhanced the
pathogen growth rate, it caused the reduction process to become more efficient in
the long-term. In addition to a constant concentration of 10,000 cells of N.
winogradskyi per 1 g feces, a minimum amount of 3000 and 7000 cells of N.
europaea per 1 g feces could completely remove E. coli and total coliforms,
respectively, in less than 25 days. Increasing the amount of bio-seeds added can
further reduce the time required for total pathogen removal.
PMID- 29361681
TI - icMRCI+Q Study of the Spectroscopic Properties of the 14 Lambda-S and 49 Omega
States of the SiN- Anion in the Gas Phase.
AB - This paper calculates the potential energy curves of the 14 Lambda-S and 49 Omega
states, which come from the first three dissociation channels of the SiN- anion.
These calculations are conducted using the valence internally contracted
multireference configuration interaction and the Davidson correction approach.
Core-valence correlation and scalar relativistic corrections are taken into
account. The potential energies are extrapolated to the complete basis set limit.
The spin-orbit coupling is computed using the state interaction approach with the
Breit-Pauli Hamiltonian. We found that the X1Sigma+ (upsilon'' = 0-23) and
a3Sigma+ (upsilon' = 0-2) states of SiN- are stable at the computed adiabatic
electron affinity value of 23,262.27 cm-1 for SiN. Based on the calculated
potential energy curves, the spectroscopic parameters and vibrational levels were
determined for all stable and metastable Lambda-S and Omega states. The computed
adiabatic electron affinity of SiN and the spectroscopic constants of SiN-
(X1Sigma+) are all in agreement with the available experimental data. The
d3Sigma+, 25Sigma+, 15Delta, and 15Sigma- quasi-bound states caused by avoided
crossings were found. Calculations of the transition dipole moment of a3Sigma+1
to X1Sigma+0+ are shown. Franck-Condon factors, Einstein coefficients, and
radiative lifetimes of the transition from the a3Sigma+1 (upsilon' = 0-2) to the
X1Sigma+0+ state are evaluated.
PMID- 29361682
TI - Allelopathic and Bloom-Forming Picocyanobacteria in a Changing World.
AB - Picocyanobacteria are extremely important organisms in the world's oceans and
freshwater ecosystems. They play an essential role in primary production and
their domination in phytoplankton biomass is common in both oligotrophic and
eutrophic waters. Their role is expected to become even more relevant with the
effect of climate change. However, this group of photoautotrophic organisms still
remains insufficiently recognized. Only a few works have focused in detail on the
occurrence of massive blooms of picocyanobacteria, their toxicity and
allelopathic activity. Filling the gap in our knowledge about the mechanisms
involved in the proliferation of these organisms could provide a better
understanding of aquatic environments. In this review, we gathered and described
recent information about allelopathic activity of picocyanobacteria and
occurrence of their massive blooms in many aquatic ecosystems. We also examined
the relationships between climate change and representative picocyanobacterial
genera from freshwater, brackish and marine ecosystems. This work emphasizes the
importance of studying the smallest picoplanktonic fractions of cyanobacteria.
PMID- 29361683
TI - An Antibody-Immobilized Silica Inverse Opal Nanostructure for Label-Free Optical
Biosensors.
AB - Three-dimensional SiO2-based inverse opal (SiO2-IO) nanostructures were prepared
for use as biosensors. SiO2-IO was fabricated by vertical deposition and
calcination processes. Antibodies were immobilized on the surface of SiO2-IO
using 3-aminopropyl trimethoxysilane (APTMS), a succinimidyl-[(N
maleimidopropionamido)-tetraethyleneglycol] ester (NHS-PEG4-maleimide) cross
linker, and protein G. The highly accessible surface and porous structure of SiO2
IO were beneficial for capturing influenza viruses on the antibody-immobilized
surfaces. Moreover, as the binding leads to the redshift of the reflectance peak,
the influenza virus could be detected by simply monitoring the change in the
reflectance spectrum without labeling. SiO2-IO showed high sensitivity in the
range of 103-105 plaque forming unit (PFU) and high specificity to the influenza
A (H1N1) virus. Due to its structural and optical properties, SiO2-IO is a
promising material for the detection of the influenza virus. Our study provides a
generalized sensing platform for biohazards as various sensing strategies can be
employed through the surface functionalization of three-dimensional
nanostructures.
PMID- 29361685
TI - Glass Polarization Induced Drift of a Closed-Loop Micro-Accelerometer.
AB - The glass polarization effects were introduced in this paper to study the main
cause of turn-on drift phenomenon of closed-loop micro-accelerometers. The glass
substrate underneath the sensitive silicon structure underwent a polarizing
process when the DC bias voltage was applied. The slow polarizing process induced
an additional electrostatic field to continually drag the movable mass block from
one position to another so that the sensing capacitance was changed, which led to
an output drift of micro-accelerometers. This drift was indirectly tested by
experiments and could be sharply reduced by a shielding layer deposited on the
glass substrate because the extra electrical filed was prohibited from generating
extra electrostatic forces on the movable fingers of the mass block. The
experimental results indicate the average magnitude of drift decreased about 73%,
from 3.69 to 0.99 mV. The conclusions proposed in this paper showed a meaningful
guideline to improve the stability of micro-devices based on silicon-on-glass
structures.
PMID- 29361684
TI - Micronutrient Gaps in Three Commercial Weight-Loss Diet Plans.
AB - Weight-loss diets restrict intakes of energy and macronutrients but overlook
micronutrient profiles. Commercial diet plans may provide insufficient
micronutrients. We analyzed nutrient profiles of three plans and compared their
micronutrient sufficiency to Dietary Reference Intakes (DRIs) for male U.S.
adults. Hypocaloric vegan (Eat to Live-Vegan, Aggressive Weight Loss; ETL-VAWL),
high-animal-protein low-carbohydrate (Fast Metabolism Diet; FMD) and weight
maintenance (Eat, Drink and Be Healthy; EDH) diets were evaluated. Seven single
day menus were sampled per diet (n = 21 menus, 7 menus/diet) and analyzed for 20
micronutrients with the online nutrient tracker CRON-O-Meter. Without adjustment
for energy intake, the ETL-VAWL diet failed to provide 90% of recommended amounts
for B12, B3, D, E, calcium, selenium and zinc. The FMD diet was low (<90% DRI) in
B1, D, E, calcium, magnesium and potassium. The EDH diet met >90% DRIs for all
but vitamin D, calcium and potassium. Several micronutrients remained inadequate
after adjustment to 2000 kcal/day: vitamin B12 in ETL-VAWL, calcium in FMD and
EDH and vitamin D in all diets. Consistent with previous work, micronutrient
deficits are prevalent in weight-loss diet plans. Special attention to
micronutrient rich foods is required to reduce risk of micronutrient deficiency
in design of commercial diets.
PMID- 29361686
TI - Blood Vessel Formation and Bone Regeneration Potential of the Stromal Vascular
Fraction Seeded on a Calcium Phosphate Scaffold in the Human Maxillary Sinus
Floor Elevation Model.
AB - Bone substitutes are used as alternatives for autologous bone grafts in patients
undergoing maxillary sinus floor elevation (MSFE) for dental implant placement.
However, bone substitutes lack osteoinductive and angiogenic potential. Addition
of adipose stem cells (ASCs) may stimulate osteogenesis and osteoinduction, as
well as angiogenesis. We aimed to evaluate the vascularization in relation to
bone formation potential of the ASC-containing stromal vascular fraction (SVF) of
adipose tissue, seeded on two types of calcium phosphate carriers, within the
human MSFE model, in a phase I study. Autologous SVF was obtained from ten
patients and seeded on beta-tricalcium phosphate (n = 5) or biphasic calcium
phosphate carriers (n = 5), and used for MSFE in a one-step surgical procedure.
After six months, biopsies were obtained during dental implant placement, and the
quantification of the number of blood vessels was performed using
histomorphometric analysis and immunohistochemical stainings for blood vessel
markers, i.e., CD34 and alpha-smooth muscle actin. Bone percentages seemed to
correlate with blood vessel formation and were higher in study versus control
biopsies in the cranial area, in particular in beta-tricalcium phosphate-treated
patients. This study shows the safety, feasibility, and efficiency of the use of
ASCs in the human MSFE, and indicates a pro-angiogenic effect of SVF.
PMID- 29361687
TI - Circulating Plasma Levels of miR-20b, miR-29b and miR-155 as Predictors of
Bevacizumab Efficacy in Patients with Metastatic Colorectal Cancer.
AB - Targeting angiogenesis in the treatment of colorectal cancer (CRC) is a common
strategy, for which potential predictive biomarkers have been studied. miRNAs are
small non-coding RNAs involved in several processes including the angiogenic
pathway. They are very stable in biological fluids, which turns them into
potential circulating biomarkers. In this study, we considered a case series of
patients with metastatic (m) CRC treated with a bevacizumab (B)-based treatment,
enrolled in the prospective multicentric Italian Trial in Advanced Colorectal
Cancer (ITACa). We then analyzed a panel of circulating miRNAs in relation to the
patient outcome. In multivariate analysis, circulating basal levels of hsa-miR
20b-5p, hsa-miR-29b-3p and hsa-miR-155-5p resulted in being significantly
associated with progression-free survival (PFS) (p = 0.027, p = 0.034 and p =
0.039, respectively) and overall survival (OS) (p = 0.044, p = 0.024 and p =
0.032, respectively). We also observed that an increase in hsa-miR-155-5p at the
first clinical evaluation was significantly associated with shorter PFS (HR 3.03
(95% CI 1.06-9.09), p = 0.040) and OS (HR 3.45 (95% CI 1.18-10.00), p = 0.024),
with PFS and OS of 9.5 (95% CI 6.8-18.7) and 15.9 (95% CI 8.4-not reached),
respectively, in patients with an increase >=30% of hsa-miR-155-5p and 22.3 (95%
CI 10.2-25.5) and 42.9 (24.8-not reached) months, respectively, in patients
without such increase. In conclusion, our results highlight the potential
usefulness of circulating basal levels of hsa-miR-20b-5p, hsa-miR-29b-3p and hsa
miR-155-5p in predicting the outcome of patients with mCRC treated with B. In
addition, the variation of circulating hsa-miR-155-5p could also be indicative of
the patient survival.
PMID- 29361688
TI - Enhancing Flower Color through Simultaneous Expression of the B-peru and mPAP1
Transcription Factors under Control of a Flower-Specific Promoter.
AB - Flower color is a main target for flower breeding. A transgenic approach for
flower color modification requires a transgene and a flower-specific promoter.
Here, we expressed the B-peru gene encoding a basic helix loop helix (bHLH)
transcription factor (TF) together with the mPAP1 gene encoding an R2R3 MYB TF to
enhance flower color in tobacco (Nicotiana tabacum L.), using the tobacco
anthocyanidin synthase (ANS) promoter (PANS) to drive flower-specific expression.
The transgenic tobacco plants grew normally and produced either dark pink
(PANSBP_DP) or dark red (PANSBP_DR) flowers. Quantitative real time polymerase
chain reaction (qPCR) revealed that the expression of five structural genes in
the flavonoid biosynthetic pathway increased significantly in both PANSBP_DP and
PANSBP_DR lines, compared with the non-transformed (NT) control. Interestingly,
the expression of two regulatory genes constituting the active MYB-bHLH-WD40
repeat (WDR) (MBW) complex decreased significantly in the PANSBP_DR plants but
not in the PANSBP_DP plants. Total flavonol and anthocyanin abundance correlated
with flower color, with an increase of 1.6-43.2 fold in the PANSBP_DP plants and
2.0-124.2 fold in the PANSBP_DR plants. Our results indicate that combinatorial
expression of B-peru and mPAP1 genes under control of the ANS promoter can be a
useful strategy for intensifying flower color without growth retardation.
PMID- 29361689
TI - Colorectal Cancers: An Update on Their Molecular Pathology.
AB - Colorectal cancers (CRCs) are the third leading cause of cancer-related mortality
worldwide. Rather than being a single, uniform disease type, accumulating
evidence suggests that CRCs comprise a group of molecularly heterogeneous
diseases that are characterized by a range of genomic and epigenomic alterations.
This heterogeneity slows the development of molecular-targeted therapy as a form
of precision medicine. Recent data regarding comprehensive molecular
characterizations and molecular pathological examinations of CRCs have increased
our understanding of the genomic and epigenomic landscapes of CRCs, which has
enabled CRCs to be reclassified into biologically and clinically meaningful
subtypes. The increased knowledge of the molecular pathological epidemiology of
CRCs has permitted their evolution from a vaguely understood, heterogeneous group
of diseases with variable clinical courses to characteristic molecular subtypes,
a development that will allow the implementation of personalized therapies and
better management of patients with CRC. This review provides a perspective
regarding recent developments in our knowledge of the molecular and
epidemiological landscapes of CRCs, including results of comprehensive molecular
characterizations obtained from high-throughput analyses and the latest
developments regarding their molecular pathologies, immunological biomarkers, and
associated gut microbiome. Advances in our understanding of potential
personalized therapies for molecularly specific subtypes are also reviewed.
PMID- 29361690
TI - Contemporary Management of Localized Resectable Pancreatic Cancer.
AB - Pancreatic cancer is the third most common cause of cancer deaths in the United
States. Surgical resection with negative margins still constitutes the
cornerstone of potentially curative therapy, but is possible only in 15-20% of
patients at the time of initial diagnosis. Accumulating evidence suggests that
the neoadjuvant approach may improve R0 resection rate in localized resectable
and borderline resectable diseases, and potentially downstage locally advanced
disease to achieve surgical resection, though the impact on survival is to be
determined. Despite advancements in the last decade in developing effective
combinational chemo-radio therapeutic options, preoperative treatment strategies,
and better peri-operative care, pancreatic cancer continues to carry a dismal
prognosis in the majority. Prodigious efforts are currently being made in
optimizing the neoadjuvant therapy with a better toxicity profile, developing
novel agents, imaging techniques, and identification of biomarkers for the
disease. Advancement in our understanding of the tumor microenvironment and
molecular pathology is urgently needed to facilitate the development of novel
targeted and immunotherapies for this setting. In this review, we detail the
current literature on contemporary management of resectable, borderline
resectable and locally advanced pancreatic cancer with a focus on future
directions in the field.
PMID- 29361692
TI - Interplay between Selenium Levels and Replicative Senescence in WI-38 Human
Fibroblasts: A Proteomic Approach.
AB - Selenoproteins are essential components of antioxidant defense, redox
homeostasis, and cell signaling in mammals, where selenium is found in the form
of a rare amino acid, selenocysteine. Selenium, which is often limited both in
food intake and cell culture media, is a strong regulator of selenoprotein
expression and selenoenzyme activity. Aging is a slow, complex, and
multifactorial process, resulting in a gradual and irreversible decline of
various functions of the body. Several cellular aspects of organismal aging are
recapitulated in the replicative senescence of cultured human diploid
fibroblasts, such as embryonic lung fibroblast WI-38 cells. We previously
reported that the long-term growth of young WI-38 cells with high (supplemented),
moderate (control), or low (depleted) concentrations of selenium in the culture
medium impacts their replicative lifespan, due to rapid changes in replicative
senescence-associated markers and signaling pathways. In order to gain insight
into the molecular link between selenium levels and replicative senescence, in
the present work, we have applied a quantitative proteomic approach based on 2
Dimensional Differential in-Gel Electrophoresis (2D-DIGE) to the study of young
and presenescent cells grown in selenium-supplemented, control, or depleted
media. Applying a restrictive cut-off (spot intensity +/-50% and a p value <
0.05) to the 2D-DIGE analyses revealed 81 differentially expressed protein spots,
from which 123 proteins of interest were identified by mass spectrometry. We
compared the changes in protein abundance for three different conditions: (i)
spots varying between young and presenescent cells, (ii) spots varying in
response to selenium concentration in young cells, and (iii) spots varying in
response to selenium concentration in presenescent cells. Interestingly, a 72%
overlap between the impact of senescence and selenium was observed in our
proteomic results, demonstrating a strong interplay between selenium,
selenoproteins, and replicative senescence.
PMID- 29361693
TI - Responses of Oat Grains to Fusarium poae and F. langsethiae Infections and
Mycotoxin Contaminations.
AB - Recent increases of Fusarium head blight (FHB) disease caused by infections with
F. poae (FP) and F. langsethiae (FL) have been observed in oats. These pathogens
are producers of nivalenol (NIV) and T-2/HT-2 toxin (T-2/HT-2), respectively,
which are now considered major issues for cereal food and feed safety. To date,
the impact of FP and FL on oat grains has not yet been identified, and little is
known about oat resistance elements against these pathogens. In the present
study, the impact of FL and FP on oat grains was assessed under different
environmental conditions in field experiments with artificial inoculations. The
severity of FP and FL infection on grains were compared across three field sites,
and the resistance against NIV and T-2/HT2 accumulation was assessed for seven
oat genotypes. Grain weight, beta-glucan content, and protein content were
compared between infected and non-infected grains. Analyses of grain infection
showed that FL was able to cause infection on the grain only in the field site
with the highest relative humidity, whereas FP infected grains in all field
sites. The FP infection of grains resulted in NIV contamination (between 30-500
MUg/kg). The concentration of NIV in grains was not conditioned by environmental
conditions. FL provoked an average contamination of grains with T-2/HT-2 (between
15-132 MUg/kg). None of the genotypes was able to fully avoid toxin accumulation.
The general resistance of oat grains against toxin accumulation was weak, and
resistance against NIV accumulation was strongly impacted by the interaction
between the genotype and the environment. Only the genotype with hull-less grains
showed partial resistance to both NIV and T-2/HT-2 contamination. FP and FL
infections could change the beta-glucan content in grains, depending on the
genotypes and environmental conditions. FP and FL did not have a significant
impact on the thousand kernel weight (TKW) and protein content. Hence, resistance
against toxin accumulation remains the only indicator of FHB resistance in oat.
Our results highlight the need for new oat genotypes with enhanced resistance
against both NIV and T-2/HT-2 to ensure food and feed safety.
PMID- 29361691
TI - Distinct Effects of Type I and III Interferons on Enteric Viruses.
AB - Interferons (IFNs) are key host cytokines in the innate immune response to viral
infection, and recent work has identified unique roles for IFN subtypes in
regulating different aspects of infection. Currently emerging is a common theme
that type III IFNs are critical in localized control of infection at mucosal
barrier sites, while type I IFNs are important for broad systemic control of
infections. The intestine is a particular site of interest for exploring these
effects, as in addition to being the port of entry for a multitude of pathogens,
it is a complex tissue with a variety of cell types as well as the presence of
the intestinal microbiota. Here we focus on the roles of type I and III IFNs in
control of enteric viruses, discussing what is known about signaling downstream
from these cytokines, including induction of specific IFN-stimulated genes. We
review viral strategies to evade IFN responses, effects of IFNs on the intestine,
interactions between IFNs and the microbiota, and briefly discuss the role of
IFNs in controlling viral infections at other barrier sites. Enhanced
understanding of the coordinate roles of IFNs in control of viral infections may
facilitate development of antiviral therapeutic strategies; here we highlight
potential avenues for future exploration.
PMID- 29361694
TI - Feasibility of e-Health Interventions on Smoking Cessation among Vietnamese
Active Internet Users.
AB - Introduction: Although e-health interventions are widely implemented as a
supportive measure to smoking cessation, there is a lack of evidence in the
feasibility of its application among Vietnamese youths, which is considered to be
one of the most frequent internet using populations. This study assessed the
quitting attempts among smokers and their preference and willingness to pay for
smartphone-based cessation supporting applications in a sample of active internet
users approached. Methods: A total of 1082 participants were recruited for the
online-based survey from August to October 2015 in Vietnam. Information on
sociodemographic characteristics, health information seeking behaviors on the
internet, smoking status, quitting attempts and willingness to pay for smartphone
based cessation supporting applications were collected. Multivariate logistic
regression was used to determine the associated factors with current smoking and
willingness to pay for the smoking cessation application. Results: About 11% of
participants were current smokers while 73.4% had attempted to quit smoking. Only
26.8% of the individuals indicated that they were willing to utilize a smartphone
application to assist them in quitting. Participants who were male, had
partners/spouse and lived at other places were more likely to smoke cigarette.
Meanwhile, people who spent 50-70% of their online time to read health
information were less likely to smoke. Results also show that living with family
and never sharing health information on the internet were negatively associated
with a participant's willingness to pay for the smartphone application.
Meanwhile, people who highly trusted health information were more likely to be
willing to pay for the application. Conclusions: This prevalence of smoking and
associated factors can provide potential indicators for creating several public
health interventions in the new environment with the increasing development of
information technology. This study implies that in order to expand the coverage
of smoking cessation interventions, we recommend the integration of e-health
interventions with clinical- or telephone-based conventional models by providing
smartphone applications and information on the internet from reliable sources.
PMID- 29361697
TI - A Circular Microstrip Antenna Sensor for Direction Sensitive Strain Evaluation.
AB - In this paper, a circular microstrip antenna for stress evaluation is studied.
This kind of microstrip sensor can be utilized in structural health monitoring
systems. Reflection coefficient S11 is measured to determine deformation/strain
value. The proposed sensor is adhesively connected to the studied sample. Applied
strain causes a change in patch geometry and influences current distribution both
in patch and ground plane. Changing the current flow in patch influences the
value of resonant frequency. In this paper, two different resonant frequencies
were analysed because in each case, different current distributions in patch were
obtained. The sensor was designed for operating frequency of 2.5 GHz (at
fundamental mode), which results in a diameter less than 55 mm. Obtained
sensitivity was up to 1 MHz/100 MPa, resolution depends on utilized vector
network analyser. Moreover, the directional characteristics for both resonant
frequencies were defined, studied using numerical model and verified by
measurements. Thus far, microstrip antennas have been used in deformation
measurement only if the direction of external force was well known. Obtained
directional characteristics of the sensor allow the determination of direction
and value of stress by one sensor. This method of measurement can be an
alternative to the rosette strain gauge.
PMID- 29361695
TI - Risk of Human Papillomavirus Infection in Cancer-Prone Individuals: What We Know.
AB - Human papillomavirus (HPV) infections cause a significant proportion of cancers
worldwide, predominantly squamous cell carcinomas (SCC) of the mucosas and skin.
High-risk HPV types are associated with SCCs of the anogenital and oropharyngeal
tract. HPV oncogene activities and the biology of SCCs have been intensely
studied in laboratory models and humans. What remains largely unknown are host
tissue and immune-related factors that determine an individual's susceptibility
to infection and/or carcinogenesis. Such susceptibility factors could serve to
identify those at greatest risk and spark individually tailored HPV and SCC
prevention efforts. Fanconi anemia (FA) is an inherited DNA repair disorder that
is in part characterized by extreme susceptibility to SCCs. An increased
prevalence of HPV has been reported in affected individuals, and molecular and
functional connections between FA, SCC, and HPV were established in laboratory
models. However, the presence of HPV in some human FA tumors is controversial,
and the extent of the etiological connections remains to be established. Herein,
we discuss cellular, immunological, and phenotypic features of FA, placed into
the context of HPV pathogenesis. The goal is to highlight this orphan disease as
a unique model system to uncover host genetic and molecular HPV features, as well
as SCC susceptibility factors.
PMID- 29361696
TI - Nutrition Care after Discharge from Hospital: An Exploratory Analysis from the
More-2-Eat Study.
AB - Many patients leave hospital in poor nutritional states, yet little is known
about the post-discharge nutrition care in which patients are engaged. This study
describes the nutrition-care activities 30-days post-discharge reported by
patients and what covariates are associated with these activities. Quasi-randomly
selected patients recruited from 5 medical units across Canada (n = 513)
consented to 30-days post-discharge data collection with 48.5% (n = 249)
completing the telephone interview. Use of nutrition care post-discharge was
reported and bivariate analysis completed with relevant covariates for the two
most frequently reported activities, following recommendations post-discharge or
use of oral nutritional supplements (ONS). A total of 42% (n = 110) received
nutrition recommendations at hospital discharge, with 65% (n = 71/110) of these
participants following those recommendations; 26.5% (n = 66) were taking ONS
after hospitalization. Participants who followed recommendations were more likely
to report following a special diet (p = 0.002), different from before their
hospitalization (p = 0.008), compared to those who received recommendations, but
reported not following them. Patients taking ONS were more likely to be at
nutrition risk (p < 0.0001), malnourished (p = 0.0006), taking ONS in hospital (p
= 0.01), had a lower HGS (p = 0.0013; males only), and less likely to believe
they were eating enough to meet their body's needs (p = 0.005). This analysis
provides new insights on nutrition-care post-discharge.
PMID- 29361698
TI - A Diverse and Versatile Regiospecific Synthesis of Tetrasubstituted
Alkylsulfanylimidazoles as p38alpha Mitogen-Activated Protein Kinase Inhibitors.
AB - An alternative strategy for the synthesis of 1-aryl- and 1-alkyl-2-methylsulfanyl
4-(4-fluorophenyl)-5-(pyridin-4-yl)imidazoles as potential p38alpha mitogen
activated protein kinase inhibitors is reported. The regioselective N
substitution of the imidazole ring was achieved by treatment of alpha
aminoketones with different aryl or alkyl isothiocyanates. In contrast to
previously published synthesis routes starting from 2-amino-4-methylpyridine, the
presented route is characterized by a higher flexibility and a lower number of
steps. This strategy was also applied to access 1-alkyl-2-methylsulfanyl-5-(4
fluorophenyl)-4-(pyridin-4-yl)imidazoles in six steps starting from 2-chloro-4
methylpyridine.
PMID- 29361699
TI - L-Tree: A Local-Area-Learning-Based Tree Induction Algorithm for Image
Classification.
AB - The decision tree is one of the most effective tools for deriving meaningful
outcomes from image data acquired from the visual sensors. Owing to its
reliability, superior generalization abilities, and easy implementation, the tree
model has been widely used in various applications. However, in image
classification problems, conventional tree methods use only a few sparse
attributes as the splitting criterion. Consequently, they suffer from several
drawbacks in terms of performance and environmental sensitivity. To overcome
these limitations, this paper introduces a new tree induction algorithm that
classifies images on the basis of local area learning. To train our predictive
model, we extract a random local area within the image and use it as a feature
for classification. In addition, the self-organizing map, which is a clustering
technique, is used for node learning. We also adopt a random sampled optimization
technique to search for the optimal node. Finally, each trained node stores the
weights that represent the training data and class probabilities. Thus, a
recursively trained tree classifies the data hierarchically based on the local
similarity at each node. The proposed tree is a type of predictive model that
offers benefits in terms of image's semantic energy conservation compared with
conventional tree methods. Consequently, it exhibits improved performance under
various conditions, such as noise and illumination changes. Moreover, the
proposed algorithm can improve the generalization ability owing to its
randomness. In addition, it can be easily applied to ensemble techniques. To
evaluate the performance of the proposed algorithm, we perform quantitative and
qualitative comparisons with various tree-based methods using four image
datasets. The results show that our algorithm not only involves a lower
classification error than the conventional methods but also exhibits stable
performance even under unfavorable conditions such as noise and illumination
changes.
PMID- 29361700
TI - A Semi-Pilot Photocatalytic Rotating Reactor (RFR) with Supported TiO2/Ag
Catalysts for Water Treatment.
AB - A four stage semi-pilot scale RFR reactor with ceramic disks as support for TiO2
modified with silver particles was developed for the removal of organic
pollutants. The design presented in this article is an adaptation of the rotating
biological reactors (RBR) and its coupling with the modified catalyst provides
additional advantages to designs where a catalyst in suspension is used. The
optimal parameter of rotation was 54 rpm and the submerged surface of the disks
offer a total contact area of 387 M2. The modified solid showed a decrease in the
value of its bandgap compared to commercial titanium. The system has a semi
automatic operation with a maximum reaction time of 50 h. Photo-activity tests
show high conversion rates at low concentrations. The results conform to the
Langmuir heterogeneous catalysis model.
PMID- 29361701
TI - In Silico Study, Synthesis, and Cytotoxic Activities of Porphyrin Derivatives.
AB - Five known porphyrins, 5,10,15,20-tetrakis(p-tolyl)porphyrin (TTP), 5,10,15,20
tetrakis(p-bromophenyl)porphyrin (TBrPP), 5,10,15,20-tetrakis(p
aminophenyl)porphyrin (TAPP), 5,10,15-tris(tolyl)-20-mono(p-nitrophenyl)porphyrin
(TrTMNP), 5,10,15-tris(tolyl)-20-mono(p-aminophenyl)porphyrin (TrTMAP), and three
novel porphyrin derivatives, 5,15-di-[bis(3,4-ethylcarboxymethylenoxy)phenyl]
10,20-di(p-tolyl)porphyrin (DBECPDTP), 5,10-di-[bis(3,4
ethylcarboxymethylenoxy)phenyl]-15,20-di-(methylpyrazole-4-yl)porphyrin
(cDBECPDPzP), 5,15-di-[bis(3,4-ethylcarboxymethylenoxy)phenyl]-10,20-di
(methylpyrazole-4-yl)porphyrin (DBECPDPzP), were used to study their interaction
with protein targets (in silico study), and were synthesized. Their cytotoxic
activities against cancer cell lines were tested using 3-(4,5-dimetiltiazol-2-il)
2,5-difeniltetrazolium bromide (MTT) assay. The interaction of porphyrin
derivatives with carbonic anhydrase IX (CAIX) and REV-ERBbeta proteins were
studied by molecular docking and molecular dynamic simulation. In silico study
results reveal that DBECPDPzP and TrTMNP showed the highest binding interaction
with REV- ERBbeta and CAIX, respectively, and both complexes of DBECPDPzP-REV
ERBbeta and TrTMNP-CAIX showed good and comparable stability during molecular
dynamic simulation. The studied porphyrins have selective growth inhibition
activities against tested cancer cells and are categorized as marginally active
compounds based on their IC50.
PMID- 29361702
TI - A 750 K Photocharge Linear Full Well in a 3.2 MUm HDR Pixel with Complementary
Carrier Collection.
AB - Mainly driven by automotive applications, there is an increasing interest in
image sensors combining a high dynamic range (HDR) and immunity to the flicker
issue. The native HDR pixel concept based on a parallel electron and hole
collection for, respectively, a low signal level and a high signal level is
particularly well-suited for this performance challenge. The theoretical
performance of this pixel is modeled and compared to alternative HDR pixel
architectures. This concept is proven with the fabrication of a 3.2 MUm pixel in
a back-side illuminated (BSI) process including capacitive deep trench isolation
(CDTI). The electron-based image uses a standard 4T architecture with a pinned
diode and provides state-of-the-art low-light performance, which is not altered
by the pixel modifications introduced for the hole collection. The hole-based
image reaches 750 kh+ linear storage capability thanks to a 73 fF CDTI capacitor.
Both images are taken from the same integration window, so the HDR reconstruction
is not only immune to the flicker issue but also to motion artifacts.
PMID- 29361703
TI - Detection of Antibodies to Seven Priority Pathogens in Backyard Poultry in
Trinidad, West Indies.
AB - Backyard poultry farms in Trinidad and Tobago (T&T) play a vital role in
providing food and income for rural communities. There is currently no
information on the presence and circulation of pathogens in backyard poultry
farms in T&T, and little is known in relation to the potential risks of spread of
these pathogens to the commercial poultry sector. In order to address this, serum
samples were collected from 41 chickens on five backyard farms taken from
selected locations in Trinidad. Samples were tested for antibodies to seven
priority pathogens of poultry by enzyme-linked immunosorbent assay (ELISA).
Antibodies were detected in 65% (CI 95%: 50-78%) of the sampled birds for
Infectious bronchitis virus (IBV), 67.5% (CI 95%: 52-80%) for Infectious bursal
disease virus (IBDV), 10% (CI 95%: 4-23%) for Newcastle disease virus (NDV), 0%
(CI 95%: 0-0%) for Avian influenza virus (AIV), 0% (CI 95%: 0-0%) for West Nile
virus (WNV), 31.7% (CI 95%: 20-47%) for Mycoplasm gallisepticum/synoviae and 0%
(CI 95%: 0-0%) for Salmonella enterica serotype Enteritidis. These results reveal
the presence and circulation of important pathogens of poultry in selected
backyard farms in Trinidad. The results provide important information which
should be taken into consideration when assessing the risks of pathogen
transmission between commercial and backyard poultry farms, as well as between
poultry and wild birds.
PMID- 29361704
TI - Usefulness of a Telemedicine Program in Refractory Older Congestive Heart Failure
Patients.
AB - BACKGROUND: Home telemonitoring is a modern and effective disease management
model that is able to improve medical care, quality of life, and prognosis of
chronically ill patients, and to reduce expenditure. The objective of this study
was to evaluate the efficacy, costs, and patients' and caregivers' acceptance of
our model of telemedicine in a high-risk chronic heart failure (CHF) older
population. METHODS: Patients with high risk/refractory CHF were included. In the
case of alarm parameters' modifications, a cardiologist decided to inform the
emergency department (ED), the patient's General Practioner, or to programme a
clinical ambulatory control. RESULTS: Forty-eight CHF patients (28 males; 58.3%),
with a mean age of 80.4 +/- 7.7 years, entered this clinical experience. During
the 20-months follow-up, four patients dropped out from counselling (8.3%),
ambulatory clinical control within-24 h was planned in 18% of patients, 11% of
patients were admitted to an ED, and 18% were hospitalized. Thirteen patients
(29.5%) died a cardiac death; hospital admissions for heart failure decreased
during the year after the enrolment when compared to the year before (from 35 to
12 acute HF hospitalizations/year; p = 0.0001). Moreover, in these HF patients
followed, accesses to an ED for an acute episode of HF decompensation reduced
from 21/year to five/year (p = 0.0001). The economic expenditure, calculated for
the year before and after the enrolment, reduced from 116.856 Euros to 40.065
Euros/year. CONCLUSIONS: A telemedicine surveillance in high-risk older CHF
patients determines a continuous and active contact between patients/caregivers,
the Heart Failure Clinic, and family physicians, permitting an early evaluation
of signs and symptoms of acute decompensation.
PMID- 29361705
TI - DBS in Treatment of Post-Traumatic Stress Disorder.
AB - Post-traumatic stress disorder (PTSD) is a debilitating psychiatric condition for
which pharmacological therapy is not always solvable. Various treatments have
been suggested and deep brain stimulation (DBS) is currently under investigation
for patients affected by PTSD. We review the neurocircuitry and up-to-date
clinical concepts which are behind the use of DBS in posttraumatic stress
disorder (PTSD). The role of DBS in treatment-refractory PTSD patients has been
investigated relying on both preclinical and clinical studies. DBS for PTSD is in
its preliminary phases and likely to provide hope for patients with medical
refractory PTSD following the results of randomized controlled studies.
PMID- 29361706
TI - A Multicentric T-Cell Lymphoma with a Plasmacytoid Morphology in a Dog.
AB - An 8-year-old male (neutered) Labrador with a history of erythematous skin
lesions and exercise intolerance for a prolonged period was suddenly found dead.
Necropsy findings revealed an infiltrative, focally extensive mass which occupied
25% of the cardiac interventricular septum. Severe endocardiosis was also found
on the bicuspid and tricuspid valves. The submandibular lymph nodes and kidneys
were bilaterally enlarged, and the pre-hepatic lymph node and spleen were also
enlarged. Multiple dermal pustules were present around the mouth and on the ear,
and small ulcers were present on the tongue. Histopathological examination
detected the presence of neoplastic lymphocytes with a plasmacytoid morphology in
these tissues as well as in the tongue and skin lesions. Immunohistochemical
(CD3+/CD18+) evaluation was consistent with a T-cell lymphoma, which could be
classified as a peripheral T-cell lymphoma, not otherwise specified (PTCL-NOS).
PMID- 29361707
TI - Implications of Combined Exposure to Household Air Pollution and HIV on
Neurocognition in Children.
AB - Air pollution exposure and HIV infection can each cause neurocognitive insult in
children. The purpose of this study was to test whether children with combined
high air pollution exposure and perinatal HIV infection have even greater risk of
neurocognitive impairment. This was a cross-sectional study of HIV-uninfected
unexposed (HUU) and HIV-infected children and their caregivers in Nairobi, Kenya.
We used a detailed neuropsychological battery to evaluate neurocognitive
functioning in several domains. We measured caregiver 24-h personal CO exposure
as a proxy for child CO exposure and child urinary 1-hydroxypyrene (1-OHP), a
biomarker for exposure to polycyclic aromatic hydrocarbons (PAHs). Median 24-h
caregiver CO exposure was 6.1 and 3.7 ppm for 45 HIV-infected (mean age 6.6
years) and 49 HUU (mean age 6.7 years), respectively; 48.5% of HIV-infected and
38.6% of HUU had caregiver 24-h CO levels exceeding the WHO recommended level.
Median 1-OHP exposure was 0.6 and 0.7 umol/mol creatinine among HIV-infected and
HUU children, respectively. HIV-infected children with high urinary 1-OHP
(exceeding 0.68 umol/mol creatinine) had significantly lower global cognition (p
= 0.04), delayed memory (p = 0.01), and attention scores (p = 0.003). Among HUU
children, urinary 1-OHP and caregiver 24-h caregiver CO were not significantly
associated with neurocognitive function. Our findings suggest that combined
chronic exposure to air pollutants and perinatal HIV infection may be associated
with poorer neurocognitive outcomes. High prevalence of air pollution exposure
highlights the need to reduce these exposures.
PMID- 29361710
TI - Influence of Magnesium Ions in the Seawater Environment on the Improvement of the
Corrosion Resistance of Low-Chromium-Alloy Steel.
AB - This study examined the synergic effect of alloying the element Cr and the
environmental element Mg2+ ions on the corrosion property of a low-alloy steel in
seawater at 60 degrees C, by means of electrochemical impedance spectroscopy
(EIS), linear polarization resistance (LPR) tests and weight-loss tests. The Mg2+
ions in seawater played an important role in lowering the electron transfer of
the rust layer in the Cr-containing steel. The corrosion resistance of the Cr
containing steel is superior to that of blank steel in Mg2+ ions containing
seawater. XPS and XRD results indicated that the formation of MgFe2O4 and a mixed
layer (Cr oxide + FeCr2O4 + MgCr2O4) improved the corrosion resistance of the low
alloy steel in the seawater.
PMID- 29361708
TI - Abscisic Acid (ABA ) Promotes the Induction and Maintenance of Pear (Pyrus
pyrifolia White Pear Group) Flower Bud Endodormancy.
AB - Dormancy is an adaptive mechanism that allows temperate deciduous plants to
survive unfavorable winter conditions. In the present work, we investigated the
possible function of abscisic acid (ABA) on the endodormancy process in pear. The
ABA content increased during pear flower bud endodormancy establishment and
decreased towards endodormancy release. In total, 39 putative genes related to
ABA metabolism and signal transductions were identified from pear genome. During
the para- to endodormancy transition, PpNCED-2 and PpNCED-3 had high expression
levels, while PpCYP707As expression levels were low. However, during
endodormancy, the expression of PpCYP707A-3 sharply increased with increasing
cold accumulation. At the same time, the ABA content of pear buds declined, and
the percentage of bud breaks rapidly increased. On the other hand, the expression
levels of PpPYLs, PpPP2Cs, PpSnRK2s, and PpABI4/ABI5s were also changed during
the pear flower bud dormancy cycle. Furthermore, exogenous ABA application to
para-dormant buds significantly reduced the bud breaks and accelerated the
transition to endodormancy. During the whole treatment time, the expression level
of PpPP2C-12 decreased to a greater extent in ABA-treated buds than in control.
However, the expression levels of PpSnRK2-1, PpSnRK2-4, and PpABI5-1 were higher
in ABA-treated buds. Our results indicated that PpCYP707A-3 and PpNCEDs play
pivotal roles on the regulation of endodormancy release, while ABA signal
transduction pathway also appears to be involved in the process. The present work
provided the basic information about the function of ABA-related genes during
pear flower bud dormancy process.
PMID- 29361711
TI - 5-Bromo-4',5'-bis(dimethylamino)fluorescein: Synthesis and Photophysical Studies.
AB - In this study, three new fluorescein derivatives-5-bromo-4',5'-dinitrofluorescein
(BDNF), 5-bromo-4',5'-diaminofluorescein (BDAF), and 5-bromo-4',5'
bis(dimethylamino)fluorescein (BBDMAF)-were synthesized and their pH-dependent
protolytic equilibria were investigated. In particular, BBDMAF exhibited pH
dependent fluorescence, showing strong emission only at pH 3-6. BBDMAF bears a
bromine moiety and thus, can be used in various cross-coupling reactions to
prepare derivatives and take advantage of its unique emission properties. To
confirm this, the Suzuki and Sonogashira reactions of BBDMAF with phenylboronic
acid and phenylacetylene, respectively, were performed, and the desired products
were successfully obtained.
PMID- 29361712
TI - Protective Mechanism of the Antioxidant Baicalein toward Hydroxyl Radical-Treated
Bone Marrow-Derived Mesenchymal Stem Cells.
AB - Our study explores the antioxidant and cytoprotective effects of baicalein and
further discusses the possible mechanisms. A methyl thiazolyl tetrazolium (MTT)
assay revealed that baicalein could considerably enhance the viability of
hydroxyl radical-treated bone marrow-mesenchymal stem cells (bmMSCs) at 37-370
uM. The highest viability rate was 120.4%. In subsequent studies, baicalein was
observed to effectively scavenge hydroxyl radical and PTIO* radicals, reducing
Fe3+ and Cu2+ ions. In the Fe2+-chelating UV-vis spectra, mixing of baicalein
with Fe2+ yielded two evident redshifts (275 -> 279 nm and 324 -> 352 nm) and a
broad absorption peak (lambdamax ~ 650 nm, epsilon = 1.6 * 103 L mol-1.cm-1).
Finally, we compared the Fe2+-chelating UV-vis spectra of baicalein and its
analogues, including 5-hydroxyflavone, 6-hydroxyflavone, 7-hydroxyflavone,
catechol, pyrogallol, and chrysin. This analysis revealed that the 4-keto group
of the C-ring played a role. The 5,6,7-trihydroxy-group (pyrogallol group) in the
A-ring served as an auxochrome, enhancing the absorbance of the UV-vis spectra
and deepening the color of the Fe2+-complex. We concluded that baicalein, as an
effective hydroxyl radical-scavenger, can protect bmMSCs from hydroxyl radical
mediated oxidative stress. Its hydroxyl radical-scavenging effects are likely
exerted via two pathways: direct scavenging of hydroxyl radicals, possibly
through electron transfer, and indirect inhibition of hydroxyl radical generation
via Fe2+ chelation through the 4-keto-5,6,7-trihydroxy groups.
PMID- 29361709
TI - Post-Transcriptional Regulation of Anti-Apoptotic BCL2 Family Members.
AB - Anti-apoptotic B cell lymphoma 2 (BCL2) family members (BCL2, MCL1, BCLxL, BCLW,
and BFL1) are key players in the regulation of intrinsic apoptosis. Dysregulation
of these proteins not only impairs normal development, but also contributes to
tumor progression and resistance to various anti-cancer therapies. Therefore,
cells maintain strict control over the expression of anti-apoptotic BCL2 family
members using multiple mechanisms. Over the past two decades, the importance of
post-transcriptional regulation of mRNA in controlling gene expression and its
impact on normal homeostasis and disease have begun to be appreciated. In this
review, we discuss the RNA binding proteins (RBPs) and microRNAs (miRNAs) that
mediate post-transcriptional regulation of the anti-apoptotic BCL2 family
members. We describe their roles and impact on alternative splicing, mRNA
turnover, and mRNA subcellular localization. We also point out the importance of
future studies in characterizing the crosstalk between RBPs and miRNAs in
regulating anti-apoptotic BCL2 family member expression and ultimately apoptosis.
PMID- 29361713
TI - Preparation of 1D Hierarchical Material Mesosilica/Pal Composite and Its
Performance in the Adsorption of Methyl Orange.
AB - This paper highlights the synthesis of a one-dimensional (1D) hierarchical
material mesosilica/palygorskite (Pal) composite and evaluates its adsorption
performance for anionic dye methyl orange (MO) in comparison with Pal and Mobile
crystalline material-41 (MCM-41). The Mesosilica/Pal composite is consisted of
mesosilica coated Pal nanorods and prepared through a dual template approach
using cetyltrimethyl ammonium bromide (CTAB) and Pal as soft and hard templates,
respectively. The composition and structure of the resultant material was
characterized by a scanning electron microscope (SEM), transmissionelectron
microscopy (TEM), N2 adsorption-desorption analysis, small-angle X-Ray powder
diffraction (XRD), and zeta potential measurement. Adsorption experiments were
carried out with different absorbents at different contact times and pH levels.
Compared with Pal and MCM-41, the mesosilica/Pal composite exhibited the best
efficiency for MO adsorption. Its adsorption ratio is as high as 70.4%. Its
adsorption equilibrium time is as short as 30 min. Results testify that the MO
retention is promoted for the micro-mesoporous hierarchical structure and
positive surface charge electrostatic interactions of the mesosilica/Pal
composite. The regenerability of the mesosilica/Pal composite absorbent was also
assessed. 1D morphology makes it facile to separate from aqueous solutions. It
can be effortlessly recovered and reused for up to nine cycles.
PMID- 29361714
TI - Overhead Transmission Line Sag Estimation Using a Simple Optomechanical System
with Chirped Fiber Bragg Gratings. Part 1: Preliminary Measurements.
AB - A method of measuring the power line wire sag using optical sensors that are
insensitive to high electromagnetic fields was proposed. The advantage of this
technique is that it is a non-invasive measurement of power line wire elongation
using a unique optomechanical system. The proposed method replaces the sag of the
power line wire with an extension of the control sample and then an expansion of
the attached chirped fiber Bragg grating. This paper presents the results of the
first measurements made on real aluminum-conducting steel-reinforced wire,
frequently used for power line construction. It has been shown that the proper
selection of the CFBG (chirped fiber Bragg grating) transducer and the
appropriate choice of optical parameters of such a sensor will allow for high
sensitivity of the line wire elongation and sag while reducing the sensitivity to
the temperature. It has been shown that with a simple optomechanical system, a
non-invasive measurement of the power line wire sag that is insensitive to
temperature changes and the influence of high electromagnetic fields can be
achieved.
PMID- 29361715
TI - Bioactivity-Guided Screening of Wound-Healing Active Constituents from American
Cockroach (Periplaneta americana).
AB - Ethanol extract (EE) from Periplaneta americana (PA) is the main ingredient of
Kangfuxin, which is a popular traditional chinese medicine (TCM) and has long
been used for the clinical treatment of burns, wounds and ulcers. We compared the
wound-healing activities of three extracts of PA using cutaneous wound-healing in
mice as the bioactivity model. These three extracts were EE, total polysaccharide
and total protein. We also tracked bioactive fractions in the EE by organic
reagent extraction, column chromatography and HPLC. Seven compounds were
successfully identified from the water elution fraction of the EE of PA using
UPLC-MS. Among these compounds, four compounds (P2, P3, P4, P5(1)) were first
reported in PA. Some of these compounds have been previously reported to have
various pharmacological activities that could contribute to the high wound
healing activity of PA.
PMID- 29361717
TI - Pharmacy Practice and Education in Latvia.
AB - The PHARMINE ("Pharmacy Education in Europe") project studied the organisation of
pharmacy practice and education in the member states of the European Union (EU).
The work was carried out using an electronic survey sent to chosen pharmacy
representatives. The surveys of the individual member states are now being
published as reference documents. This paper presents the results of the PHARMINE
survey on pharmacy practice and education in Latvia. In the light of this, we
examine the harmonisation of practice and education in Latvia with EU norms.
PMID- 29361716
TI - Single, 14-Day, and 13-Week Repeated Dose Toxicity Studies of Daily Oral Gelidium
elegans Extract Administration to Rats.
AB - Gelidium elegans extract (GEE) is derived from a red alga from the Asia-Pacific
region, which has antioxidant, anti-adipogenic, and anti-hyperglycemic effects.
However, detailed studies of the toxicology of GEE have not been performed. We
evaluated the single oral dose toxicity of GEE in male and female Sprague-Dawley
(CD) rats. GEE did not cause deaths or have toxic effects at dosages of 5000
mg/kg/day, although compound-colored stools and diarrhea were observed in both
sexes, which lasted <2 days. Therefore, the LD50 of GEE is likely to be >5000
mg/kg. We next evaluated the repeated oral dose toxicity of GEE in CD rats over
14 days and 13 weeks. GEE did not induce any significant toxicological changes in
either sex at 2000 mg/kg/day. Repeated oral dose toxicity studies showed no
adverse effects, in terms of clinical signs, mortality, body mass, food
consumption, ophthalmic examination, urinalysis, hematology, serum biochemistry,
necropsy, organ masses, or histopathology, at dosages of 500, 1000, or 2000
mg/kg/day. The no observed adverse effect level (NOAEL) for GEE is thus likely to
be >2000 mg/kg/day, and no pathology was identified in potential target organs.
Therefore, this study indicates that repeated oral dosing with GEE is safe in CD
rats.
PMID- 29361718
TI - An IMU-Aided Body-Shadowing Error Compensation Method for Indoor Bluetooth
Positioning.
AB - Research on indoor positioning technologies has recently become a hotspot because
of the huge social and economic potential of indoor location-based services
(ILBS). Wireless positioning signals have a considerable attenuation in received
signal strength (RSS) when transmitting through human bodies, which would cause
significant ranging and positioning errors in RSS-based systems. This paper
mainly focuses on the body-shadowing impairment of RSS-based ranging and
positioning, and derives a mathematical expression of the relation between the
body-shadowing effect and the positioning error. In addition, an inertial
measurement unit-aided (IMU-aided) body-shadowing detection strategy is designed,
and an error compensation model is established to mitigate the effect of body
shadowing. A Bluetooth positioning algorithm with body-shadowing error
compensation (BP-BEC) is then proposed to improve both the positioning accuracy
and the robustness in indoor body-shadowing environments. Experiments are
conducted in two indoor test beds, and the performance of both the BP-BEC
algorithm and the algorithms without body-shadowing error compensation (named no
BEC) is evaluated. The results show that the BP-BEC outperforms the no-BEC by
about 60.1% and 73.6% in terms of positioning accuracy and robustness,
respectively. Moreover, the execution time of the BP-BEC algorithm is also
evaluated, and results show that the convergence speed of the proposed algorithm
has an insignificant effect on real-time localization.
PMID- 29361719
TI - Antioxidant and Cytoprotective Effects of the Di-O-Caffeoylquinic Acid Family:
The Mechanism, Structure-Activity Relationship, and Conformational Effect.
AB - In this study, a series of di-O-caffeoylquinic acids (di-COQs) were
systematically investigated for their antioxidant and cytoprotective effects
towards *OH-damaged bone marrow-derived mesenchymal stem cells (bmMSCs). Five di
COQs were measured using a set of antioxidant assays. The results show that
adjacent 4,5-Di-O-caffeoylquinic acid (4,5-COQ) and 3,4-di-O-caffeoylquinic acid
(3,4-COQ) always gave lower IC50 values than did non-adjacent di-COQs. In the
Fe2+-chelating assay, 4,5-COQ and 3,4-COQ presented greater UV-Vis spectra and
darker colors than did non-adjacent di-COQs. In the UPLC-ESI-MS/MS analysis, no
corresponding radical adduct formation (RAF) peak was found in the reaction
products of di-COQs with PTIO*. In the MTT assay, all di-COQs (especially 1,5
COQ, 1,3-COQ, and 4,5-COQ) dose-dependently increased the cellular viabilities of
*OH-damaged bmMSCs. Based on this evidence, we conclude that the five antioxidant
di-COQs can protect bmMSCs from *OH-induced damage. Their antioxidant mechanisms
may include electron-transfer (ET), H+-transfer, and Fe2+-chelating, except for
RAF. Two adjacent di-COQs (4,5-COQ and 3,4-COQ) always possessed a higher
antioxidant ability than the non-adjacent di-COQs (1,3-COQ, 1,5-COQ, and 3,5-COQ)
in chemical models. However, non-adjacent 1,3-COQ and 1,5-COQ exhibited a higher
cytoprotective effect than did adjacent di-COQs. These differences can be
attributed to the relative positions of two caffeoyl moieties and, ultimately, to
the conformational effect from the cyclohexane skeleton.
PMID- 29361720
TI - Distribution Assessments of Coumarins from Angelicae Pubescentis Radix in Rat
Cerebrospinal Fluid and Brain by Liquid Chromatography Tandem Mass Spectrometry
Analysis.
AB - Angelicae Pubescentis Radix (APR) is a widely-used traditional Chinese medicine.
Pharmacological studies have begun to probe its biological activities on
neurological disorders recently. To assess the brain penetration and distribution
of APR, a validated ultra-performance liquid chromatography tandem mass
spectrometry method was applied to the simultaneous determinations of the main
coumarins from APR in the rat cerebrospinal fluid (CSF) and brain after oral
administration of APR extract, including psoralen, xanthotoxin, bergapten,
isoimperatorin, columbianetin, columbianetin acetate, columbianadin,
oxypeucedanin hydrate, angelol B, osthole, meranzin hydrate and nodakenetin. Most
of the tested coumarins entered the rat CSF and brain quickly, and double-peak
phenomena in concentration-time curves were similar to those of their plasma
pharmacokinetics. Columbianetin had the highest concentration in the CSF and
brain, while psoralen and columbianetin acetate had the largest percent of
CSF/plasma and brain/plasma, indicating that these three coumarins may be worthy
of further research on the possible nervous effects. Correlations between the in
vivo brain distributions and plasma pharmacokinetics of these coumarins were well
verified. These results provided valuable information for the overall in vivo
brain distribution characteristics of APR and also for its further studies on the
active substances for the central nervous system.
PMID- 29361721
TI - Poly(hydroxyalkanoate)s-Based Hydrophobic Coatings for the Protection of Stone in
Cultural Heritage.
AB - Reversibility is a mandatory requirement for materials used in heritage
conservation, including hydrophobic protectives. Nevertheless, current
protectives for stone are not actually reversible as they remain on the surfaces
for a long time after their hydrophobicity is lost and can hardly be removed.
Ineffective and aged coatings may jeopardise the stone re-treatability and
further conservation interventions. This paper aims at investigating the
performance of PHAs-based coatings for stone protection, their main potential
being the 'reversibility by biodegradation' once water repellency ended. The
biopolymer coatings were applied to three different kinds of stone,
representative of lithotypes used in historic architecture: sandstone, limestone
and marble. Spray, poultice and dip-coating were tested as coating techniques.
The effectiveness and compatibility of the protectives were evaluated in terms of
capillary water absorption, static and dynamic contact angles, water vapour
diffusion, colour alteration and surface morphology. The stones' wettability
after application of two commercial protectives was investigated too, for
comparison. Finally, samples were subjected to artificial ageing to investigate
their solar light stability. Promising results in terms of efficacy and
compatibility were obtained, although the PHAs-based formulations developed here
still need improvement for increased durability and on-site applicability.
PMID- 29361722
TI - Textile Concentric Ring Electrodes for ECG Recording Based on Screen-Printing
Technology.
AB - Among many of the electrode designs used in electrocardiography (ECG), concentric
ring electrodes (CREs) are one of the most promising due to their enhanced
spatial resolution. Their development has undergone a great push due to their use
in recent years; however, they are not yet widely used in clinical practice. CRE
implementation in textiles will lead to a low cost, flexible, comfortable, and
robust electrode capable of detecting high spatial resolution ECG signals. A
textile CRE set has been designed and developed using screen-printing technology.
This is a mature technology in the textile industry and, therefore, does not
require heavy investments. Inks employed as conductive elements have been silver
and a conducting polymer (poly (3,4-ethylenedioxythiophene) polystyrene
sulfonate; PEDOT:PSS). Conducting polymers have biocompatibility advantages, they
can be used with flexible substrates, and they are available for several printing
technologies. CREs implemented with both inks have been compared by analyzing
their electric features and their performance in detecting ECG signals. The
results reveal that silver CREs present a higher average thickness and slightly
lower skin-electrode impedance than PEDOT:PSS CREs. As for ECG recordings with
subjects at rest, both CREs allowed the uptake of bipolar concentric ECG signals
(BC-ECG) with signal-to-noise ratios similar to that of conventional ECG
recordings. Regarding the saturation and alterations of ECGs captured with
textile CREs caused by intentional subject movements, silver CREs presented a
more stable response (fewer saturations and alterations) than those of PEDOT:PSS.
Moreover, BC-ECG signals provided higher spatial resolution compared to
conventional ECG. This improved spatial resolution was manifested in the
identification of P1 and P2 waves of atrial activity in most of the BC-ECG
signals. It can be concluded that textile silver CREs are more suitable than
those of PEDOT:PSS for obtaining BC-ECG records. These developed textile
electrodes bring the use of CREs closer to the clinical environment.
PMID- 29361723
TI - Treatment Strategy for Dyslipidemia in Cardiovascular Disease Prevention: Focus
on Old and New Drugs.
AB - Prevention and treatment of dyslipidemia should be considered as an integral part
of individual cardiovascular prevention interventions, which should be addressed
primarily to those at higher risk who benefit most. To date, statins remain the
first-choice therapy, as they have been shown to reduce the risk of major
vascular events by lowering low-density lipoprotein cholesterol (LDL-C). However,
due to adherence to statin therapy or statin resistance, many patients do not
reach LDL-C target levels. Ezetimibe, fibrates, and nicotinic acid represent the
second-choice drugs to be used in combination with statins if lipid targets
cannot be reached. In addition, anti-PCSK9 drugs (evolocumab and alirocumab)
provide an effective solution for patients with familial hypercholesterolemia
(FH) and statin intolerance at very high cardiovascular risk. Recently, studies
demonstrated the effects of two novel lipid-lowering agents (lomitapide and
mipomersen) for the management of homozygous FH by decreasing LDL-C values and
reducing cardiovascular events. However, the costs for these new therapies made
the cost-effectiveness debate more complicated.
PMID- 29361724
TI - Supported Zeolite Beta Layers via an Organic Template-Free Preparation Route.
AB - Layers of high silica zeolites, synthesized with an organic structure directing
agent (OSDA) and grown onto porous support structures, frequently suffer from the
thermal stress during the removal of OSDA via the calcination process. The
different thermal expansion coefficients of the zeolite and the support material,
especially when stainless steel is used as a support, causes enormous tension
resulting in defect formation in the zeolite layer. However, the calcination is
an easy procedure to decompose the OSDA in the pore system of the zeolite.
Recently, methods to synthesize zeolite beta without the use of an organic
structure directing agent have been described. In the present study, a seed
directed synthesis is used to prepare OSDA-free zeolite beta layers on stainless
steel supports via an in situ preparation route. For the application as membrane,
a porous stainless steel support has been chosen. The beta/stainless steel
composites are characterized by X-ray diffraction (XRD) and scanning electron
microscopy (SEM). To prove its possible application as a membrane, the
beta/stainless steel composites were also tested by single gas permeances of H2,
He, CO2, N2, and CH4.
PMID- 29361726
TI - Preparation of High Mechanical Performance Nano-Fe3O4/Wood Fiber Binderless
Composite Boards for Electromagnetic Absorption via a Facile and Green Method.
AB - Fe3O4/wood fiber composites are prepared with a green mechanical method using
only distilled water as a solvent without any chemical agents, and then a
binderless composite board with high mechanical properties is obtained via a hot
press for electromagnetic (EM) absorption. The fibers are connected by hydrogen
bonds after being mechanically pretreated, and Fe3O4 nanoparticles (NPs) are
attached to the fiber surface through physical adsorption. The composite board is
bonded by an adhesive, which is provided by the reaction of fiber composition
under high temperature and pressure. The Nano-Fe3O4/Fiber (NFF) binderless
composite board shows remarkable microwave absorption properties and high
mechanical strength. The optional reflection loss (RL) of the as-prepared
binderless composite board is -31.90 dB. The bending strength of the NFF
binderless composite board is 36.36 MPa with the addition of 6% nano-Fe3O4, the
modulus of elasticity (MOE) is 6842.16 MPa, and the internal bond (IB) strength
is 0.81 MPa. These results demonstrate that magnetic nanoparticles are deposited
in binderless composite board by hot pressing, which is the easiest way to
produce high mechanical strength and EM absorbers.
PMID- 29361728
TI - Organizational and Occupational Stressors, Their Consequences and Coping
Strategies: A Questionnaire Survey among Italian Patrol Police Officers.
AB - Background: Traditionally, workers employed in police forces have been found to
be exposed to a high risk of distress. Several studies reported that the main
stressors were associated more with organizational aspects, whilst other
researchers underlined that the main stressor were associated more with
operational issues. The aim of this research was to investigate operational and
organizational stressors, their consequences also in terms of anxiety and the
coping strategies adopted. Methods: We compared Patrol Police Officers working in
the Operational Service (Outdoor Patrol Officers) and those in the Interior
Department (Indoor Patrol Officers) in the same Municipal Police force. Results:
The results revealed that both Outdoor Patrol Officers and Interior Patrol
Officers suffered from organizational and occupational stressor. Outdoor Patrol
Officers appeared more willing to use different coping strategies, whereas Indoor
Patrol Officers used avoidance strategies. This allows Outdoor Patrol Officers to
explore new responses and approaches to deal with situations which-owing to the
type of work-it is impossible to change. Outdoor Patrol Officers appeared better
equipped to change their attitude to work than Indoor Patrol Officers.
Conclusion: Interventions on both organizational and operational stressors would
improve the quality of Patrol Police Officers' working life and have positive
repercussions on the service offered to the general public.
PMID- 29361727
TI - Green Synthesis, Characterization and Application of Proanthocyanidins
Functionalized Gold Nanoparticles.
AB - Green synthesis of gold nanoparticles using plant extracts is one of the more
promising approaches for obtaining environmentally friendly nanomaterials for
biological applications and environmental remediation. In this study,
proanthocyanidins-functionalized gold nanoparticles were synthesized via a
hydrothermal method. The obtained gold nanoparticles were characterized by
ultraviolet and visible spectrophotometry (UV-Vis), Fourier transform infrared
spectroscopy (FTIR), transmission electron microscopy (TEM) and X-ray diffraction
(XRD) measurements. UV-Vis and FTIR results indicated that the obtained products
were mainly spherical in shape, and that the phenolic hydroxyl of
proanthocyanidins had strong interactions with the gold surface. TEM and XRD
determination revealed that the synthesized gold nanoparticles had a highly
crystalline structure and good monodispersity. The application of
proanthocyanidins-functionalized gold nanoparticles for the removal of dyes and
heavy metal ions Ni2+, Cu2+, Cd2+ and Pb2+ in an aqueous solution was
investigated. The primary results indicate that proanthocyanidins-functionalized
gold nanoparticles had high removal rates for the heavy metal ions and dye, which
implies that they have potential applications as a new kind of adsorbent for the
removal of contaminants in aqueous solution.
PMID- 29361729
TI - Online Removal of Baseline Shift with a Polynomial Function for Hemodynamic
Monitoring Using Near-Infrared Spectroscopy.
AB - Near-infrared spectroscopy (NIRS) has become widely accepted as a valuable tool
for noninvasively monitoring hemodynamics for clinical and diagnostic purposes.
Baseline shift has attracted great attention in the field, but there has been
little quantitative study on baseline removal. Here, we aimed to study the
baseline characteristics of an in-house-built portable medical NIRS device over a
long time (>3.5 h). We found that the measured baselines all formed perfect
polynomial functions on phantom tests mimicking human bodies, which were
identified by recent NIRS studies. More importantly, our study shows that the
fourth-order polynomial function acted to distinguish performance with stable and
low-computation-burden fitting calibration (R-square >0.99 for all probes) among
second- to sixth-order polynomials, evaluated by the parameters R-square, sum of
squares due to error, and residual. This study provides a straightforward,
efficient, and quantitatively evaluated solution for online baseline removal for
hemodynamic monitoring using NIRS devices.
PMID- 29361725
TI - Chondrosarcoma: A Rare Misfortune in Aging Human Cartilage? The Role of Stem and
Progenitor Cells in Proliferation, Malignant Degeneration and Therapeutic
Resistance.
AB - Unlike other malignant bone tumors including osteosarcomas and Ewing sarcomas
with a peak incidence in adolescents and young adults, conventional and
dedifferentiated chondrosarcomas mainly affect people in the 4th to 7th decade of
life. To date, the cell type of chondrosarcoma origin is not clearly defined.
However, it seems that mesenchymal stem and progenitor cells (MSPC) in the bone
marrow facing a pro-proliferative as well as predominantly chondrogenic
differentiation milieu, as is implicated in early stage osteoarthritis (OA) at
that age, are the source of chondrosarcoma genesis. But how can MSPC become
malignant? Indeed, only one person in 1,000,000 will develop a chondrosarcoma,
whereas the incidence of OA is a thousandfold higher. This means a rare
coincidence of factors allowing escape from senescence and apoptosis together
with induction of angiogenesis and migration is needed to generate a
chondrosarcoma. At early stages, chondrosarcomas are still assumed to be an
intermediate type of tumor which rarely metastasizes. Unfortunately, advanced
stages show a pronounced resistance both against chemo- and radiation-therapy and
frequently metastasize. In this review, we elucidate signaling pathways involved
in the genesis and therapeutic resistance of chondrosarcomas with a focus on MSPC
compared to signaling in articular cartilage (AC).
PMID- 29361730
TI - Deregulation of Frizzled Receptors in Hepatocellular Carcinoma.
AB - G protein-coupled receptors (GPCRs) have a substantial role in tumorigenesis and
are described as a "cancer driver". Aberrant expression or activation of GPCRs
leads to the deregulation of downstream signaling pathways, thereby promoting
cancer progression. In hepatocellular carcinoma (HCC), the Wnt signaling pathway
is frequently activated and it is associated with an aggressive HCC phenotype.
Frizzled (FZD) receptors, a family member of GPCRs, are known to mediate Wnt
signaling. Accumulating findings have revealed the deregulation of FZD receptors
in HCC and their functional roles have been implicated in HCC progression. Given
the important role of FZD receptors in HCC, we summarize here the expression
pattern of FZD receptors in HCC and their corresponding functional roles during
HCC progression. We also further review and highlight the potential targeting of
FZD receptors as an alternative therapeutic strategy in HCC.
PMID- 29361731
TI - In Vitro Antitumor Activity of Aloperine on Human Thyroid Cancer Cells through
Caspase-Dependent Apoptosis.
AB - The global incidence of thyroid cancer, one of the most common endocrine
malignancies, is especially high among women. Although most patients with thyroid
cancers exhibit a good prognosis with standard treatment, there are no effective
therapies for patients with anaplastic thyroid cancers or cancers that have
reached an advanced or recurrent level. Therefore, it is important to develop
highly effective compounds for treating such patients. Aloperine, a natural
compound isolated from Sophora alopecuroides, has been reported to possess
antioxidant, anti-inflammatory, anti-neuronal injury, anti-renal injury,
antitumor, anti-allergic, and antiviral properties. In this study, we show that
aloperine can inhibit cell growth in human anaplastic thyroid cancers and
multidrug-resistant papillary thyroid cancers. Moreover, it could suppress in
vitro tumorigenesis and promote cellular apoptosis. Further analysis demonstrated
the involvement of caspase-dependent apoptosis, including intrinsic and/or
extrinsic pathways, in aloperine-induced cellular apoptosis. However, cell cycle
regulation was not detected with aloperine treatment. This study suggests the
potential therapeutic use of aloperine in human anaplastic thyroid cancers and
multidrug-resistant papillary thyroid cancers.
PMID- 29361732
TI - Auricular Neuromodulation: The Emerging Concept beyond the Stimulation of Vagus
and Trigeminal Nerves.
AB - Neuromodulation, thanks to intrinsic and extrinsic brain feedback loops, seems to
be the best way to exploit brain plasticity for therapeutic purposes. In the past
years, there has been tremendous advances in the field of non-pharmacological
modulation of brain activity. This review of different neurostimulation
techniques will focus on sites and mechanisms of both transcutaneous vagus and
trigeminal nerve stimulation. These methods are scientifically validated non
invasive bottom-up brain modulation techniques, easily implemented from the outer
ear. In the light of this, auricles could transpire to be the most affordable
target for non-invasive manipulation of central nervous system functions.
PMID- 29361734
TI - Influence of Muscle Mass and Outdoor Environmental Factors on Appetite and
Satiety Feeling in Young Japanese Women.
AB - Research on the influence of relationships among satiety, muscle mass, and
outdoor environmental factors is sparse. In this work the relationships among
satiety feeling, body composition, and outdoor environmental factors on eating in
healthy young Japanese women are investigated. Fifty three (53) women were
examined over an approximately 2-year period. All participants ate the same
lunch; feelings of satiety and body composition were measured before and
immediately after lunch. Satiety was assessed using a visual analog scale.
Outdoor environmental factors were recorded at the time of measurement. Results
showed that satiety before lunch decreased with increased muscle mass and
decreased humidity (p < 0.05). The Delta satiety increased on eating with
increased outdoor temperature (p < 0.05). The Delta satiety with high outdoor
temperature was significantly greater than with low outdoor temperature (p =
0.005). Decreased muscle mass more influenced Delta satiety with respect to
outdoor temperature than increased muscle mass (p = 0.007). The results suggest
that increased muscle mass and decreased humidity increase hunger (unlike
satiety) before eating. The findings also show that outdoor temperature clearly
influences the magnitude of satiety on eating. Increasing muscle mass may be
useful for satiety control at various outdoor temperatures in young women.
PMID- 29361736
TI - Route and Type of Formulation Administered Influences the Absorption and
Disposition of Vitamin B12 Levels in Serum.
AB - The administration of biological compounds that optimize health benefits is an
ever-evolving therapeutic goal. Pharmaceutical and other adjunctive biological
compounds have been administered via many different routes in order to produce a
systemic pharmacological effect. The article summarizes the findings from an
Australian comparative study in adults administered vitamin B12 through different
oral delivery platforms. A total of 16 subjects (9 males, 7 females) voluntarily
partook in a comparative clinical study of five different vitamin B12
formulations across a six-month period, completing 474 person-hours of cumulative
contribution, that was equivalent to an n = 60 participation. A nanoparticle
delivered vitamin B12 through a NanoCelle platform was observed to be
significantly (p < 0.05) better absorbed than all other dose equivalent platforms
(i.e., tablets, emulsions, or liposomes) from baseline to 1, 3, and 6 h of the
study period. The nanoparticle platform delivered vitamin B12 demonstrated an
enhanced and significant absorption profile as exemplified by rapid systemic
detection (i.e., 1 h from baseline) when administered to the oro-buccal mucosa
with no reports of any adverse events of toxicity. The nanoparticle formulation
of methylcobalamin (1000 ug/dose in 0.3 mL volume) showed bioequivalence only
with a chewable-dissolvable tablet that administered a five times higher dose of
methylcobalamin (5000 ug) per tablet. This study has demonstrated that an active
metabolite embedded in a functional biomaterial (NanoCelle) may constitute a drug
delivery method that can better access the circulatory system.
PMID- 29361735
TI - Towards a Novel Class of Multitarget-Directed Ligands: Dual P2X7-NMDA Receptor
Antagonists.
AB - Multi-target-directed ligands (MTDLs) offer new hope for the treatment of
multifactorial complex diseases such as Alzheimer's Disease (AD). Herein, we
present compounds aimed at targeting the NMDA and the P2X7 receptors, which
embody a different approach to AD therapy. On one hand, we are seeking to delay
neurodegeneration targeting the glutamatergic NMDA receptors; on the other hand,
we also aim to reduce neuroinflammation, targeting P2X7 receptors. Although the
NMDA receptor is a widely recognized therapeutic target in treating AD, the P2X7
receptor remains largely unexplored for this purpose; therefore, the dual
inhibitor presented herein-which is open to further optimization-represents the
first member of a new class of MTDLs.
PMID- 29361733
TI - Verdinexor Targeting of CRM1 is a Promising Therapeutic Approach against RSV and
Influenza Viruses.
AB - Two primary causes of respiratory tract infections are respiratory syncytial
virus (RSV) and influenza viruses, both of which remain major public health
concerns. There are a limited number of antiviral drugs available for the
treatment of RSV and influenza, each having limited effectiveness and each
driving selective pressure for the emergence of drug-resistant viruses. Novel
broad-spectrum antivirals are needed to circumvent problems with current disease
intervention strategies, while improving the cytokine-induced immunopathology
associated with RSV and influenza infections. In this review, we examine the use
of Verdinexor (KPT-335, a novel orally bioavailable drug that functions as a
selective inhibitor of nuclear export, SINE), as an antiviral with multifaceted
therapeutic potential. KPT-335 works to (1) block CRM1 (i.e., Chromosome Region
Maintenance 1; exportin 1 or XPO1) mediated export of viral proteins critical for
RSV and influenza pathogenesis; and (2) repress nuclear factor kappaB (NF-kappaB)
activation, thus reducing cytokine production and eliminating virus-associated
immunopathology. The repurposing of SINE compounds as antivirals shows promise
not only against RSV and influenza virus but also against other viruses that
exploit the nucleus as part of their viral life cycle.
PMID- 29361737
TI - Endothelium-Dependent Hyperpolarization (EDH) in Hypertension: The Role of
Endothelial Ion Channels.
AB - Upon stimulation with agonists and shear stress, the vascular endothelium of
different vessels selectively releases several vasodilator factors such as nitric
oxide and prostacyclin. In addition, vascular endothelial cells of many vessels
regulate the contractility of the vascular smooth muscle cells through the
generation of endothelium-dependent hyperpolarization (EDH). There is a general
consensus that the opening of small- and intermediate-conductance Ca2+-activated
K+ channels (SKCa and IKCa) is the initial mechanistic step for the generation of
EDH. In animal models and humans, EDH and EDH-mediated relaxations are impaired
during hypertension, and anti-hypertensive treatments restore such impairments.
However, the underlying mechanisms of reduced EDH and its improvement by lowering
blood pressure are poorly understood. Emerging evidence suggests that alterations
of endothelial ion channels such as SKCa channels, inward rectifier K+ channels,
Ca2+-activated Cl- channels, and transient receptor potential vanilloid type 4
channels contribute to the impaired EDH during hypertension. In this review, we
attempt to summarize the accumulating evidence regarding the pathophysiological
role of endothelial ion channels, focusing on their relationship with EDH during
hypertension.
PMID- 29361738
TI - Effects of Exogenous Melatonin on Methyl Viologen-Mediated Oxidative Stress in
Apple Leaf.
AB - Oxidative stress is a major source of damage of plants exposed to adverse
environments. We examined the effect of exogenous melatonin (MT) in limiting of
oxidative stress caused by methyl viologen (MV; paraquatin) in apple leaves
(Malus domestica Borkh.). When detached leaves were pre-treated with melatonin,
their level of stress tolerance increased. Under MV treatment, melatonin
effectively alleviated the decrease in chlorophyll concentrations and maximum
potential Photosystem II efficiency while also mitigating membrane damage and
lipid peroxidation when compared with control leaves that were sprayed only with
water prior to the stress experiment. The melatonin-treated leaves also showed
higher activities and transcripts of antioxidant enzymes superoxide dismutase,
peroxidase, and catalase. In addition, the expression of genes for those enzymes
was upregulated. Melatonin-synthesis genes MdTDC1, MdT5H4, MdAANAT2, and MdASMT1
were also upregulated under oxidative stress in leaves but that expression was
suppressed in response to 1 mM melatonin pretreatment during the MV treatments.
Therefore, we conclude that exogenous melatonin mitigates the detrimental effects
of oxidative stress, perhaps by slowing the decline in chlorophyll
concentrations, moderating membrane damage and lipid peroxidation, increasing the
activities of antioxidant enzymes, and changing the expression of genes for
melatonin synthesis.
PMID- 29361740
TI - Brassicaceae Mustards: Traditional and Agronomic Uses in Australia and New
Zealand.
AB - Commonly cultivated Brassicaceae mustards, namely garlic mustard (Alliaria
petiolata), white mustard (Brassica alba), Ethiopian mustard (B. carinata), Asian
mustard (B. juncea), oilseed rape (B. napus), black mustard (B. nigra), rapeseed
(B. rapa), white ball mustard (Calepina irregularis), ball mustard (Neslia
paniculata), treacle mustard (Erysimum repandum), hedge mustard (Sisymbrium
officinale), Asian hedge mustard (S. orientale), smooth mustard (S. erysimoides)
and canola are the major economically important oilseed crops in many countries.
Mustards were naturalized to Australia and New Zealand and Australia is currently
the second largest exporter of Brassicaceae oilseeds to meet the global demand
for a healthy plant-derived oil, high in polyunsaturated fats. Apart from
providing edible oil, various parts of these plants and many of their
phytochemicals have been used traditionally for both agronomic as well as
medicinal purposes, with evidence of their use by early Australian and New
Zealand settlers and also the indigenous population. This review provides an
overview of the current knowledge of traditional and agronomic uses of
Brassicaceae oilseeds and mustards with a focus on their importance in Australia
and New Zealand.
PMID- 29361742
TI - A Real-Time Ultraviolet Radiation Imaging System Using an Organic Photoconductive
Image Sensor.
AB - We have developed a real time ultraviolet (UV) imaging system that can visualize
both invisible UV light and a visible (VIS) background scene in an outdoor
environment. As a UV/VIS image sensor, an organic photoconductive film (OPF)
imager is employed. The OPF has an intrinsically higher sensitivity in the UV
wavelength region than those of conventional consumer Complementary Metal Oxide
Semiconductor (CMOS) image sensors (CIS) or Charge Coupled Devices (CCD). As
particular examples, imaging of hydrogen flame and of corona discharge is
demonstrated. UV images overlapped on background scenes are simply made by on
board background subtraction. The system is capable of imaging weaker UV signals
by four orders of magnitude than that of VIS background. It is applicable not
only to future hydrogen supply stations but also to other UV/VIS monitor systems
requiring UV sensitivity under strong visible radiation environment such as power
supply substations.
PMID- 29361741
TI - Chemical Composition, Antimicrobial and Antioxidant Activities of the Flower
Volatile Oils of Fagopyrum esculentum, Fagopyrum tataricum and Fagopyrum Cymosum.
AB - The purpose of this study was to investigate the chemical composition and
biological activity of the volatile oils (VOs) from the flowers of three
buckwheat species, Fagopyrum esculentum, Fagopyrum tataricum and Fagopyrum
cymosum. The VOs were obtained from the fresh buckwheat flowers by
hydrodistillation, and were analyzed for their chemical composition by gas
chromatography-mass spectrometry (GC-MS). Nonanoic acid (7.58%), (E)-3-hexen-1-ol
(6.52%), and benzothiazole (5.08%) were the major constituents among the 28
identified components which accounted for 92.89% of the total oil of F.
esculentum. 2-Pentadecanone (18.61%), eugenol (17.18%), 1,2-benzenedicarboxylic
acid, bis(2-methylpropyl) ester (13.19%), and (E,E)-farnesylacetone (7.15%) were
the major compounds among the 14 identified components which accounted for 88.48%
of the total oil of F. tataricum. Eugenol (12.22%), (E)-3-hexen-1-yl acetate
(8.03%), linalool oxide (7.47%), 1-hexanol (7.07%), and benzothiazole (6.72%)
were the main compounds of the 20 identified components which accounted for
90.23% of the total oil of F. cymosum. The three VOs were screened to have broad
spectrum antibacterial activity with minimum inhibitory concentration (MIC)
values ranged from 100.0 MUg/mL to 800.0 MUg/mL against the tested bacteria, and
their median inhibitory concentration (IC50) values were from 68.32 MUg/mL to
452.32 MUg/mL. Xanthomonas vesicatoria was the most sensitive bacterium.
Moreover, the flower VOs of F. esculentum, F. tataricum and F. cymosum also
exhibited noteworthy antioxidant capacity with the IC50 value of 354.15 MUg/mL,
210.63 MUg/mL, and 264.92 MUg/mL for the 1,1-diphenyl-2-picrylhydrazyl (DPPH)
free radical scavenging assay, and the value of 242.06 MUg/mL, 184.13 MUg/mL, and
206.11 MUg/mL respectively for the beta-carotene-linoleic bleaching test. These
results suggested the volatile oils of buckwheat flowers could be potential
resource of natural antimicrobial and antioxidant agents.
PMID- 29361743
TI - Microstructure Evolution and Mechanical Properties of Underwater Dry and Local
Dry Cavity Welded Joints of 690 MPa Grade High Strength Steel.
AB - Q690E high strength low alloy (HSLA) steel plays an important role in offshore
structures. In addition, underwater local cavity welding (ULCW) technique was
widely used to repair important offshore constructions. However, the high cooling
rate of ULCW joints results in bad welding quality compared with underwater dry
welding (UDW) joints. Q690E high strength low alloy steels were welded by multi
pass UDW and ULCW techniques, to study the microstructural evolution and
mechanical properties of underwater welded joints. The microstructure and
fracture morphology of welded joints were observed by scanning electron
microscope and optical microscope. The elemental distribution in the
microstructure was determined with an Electron Probe Microanalyzer. The results
indicated that the microstructure of both two welded joints was similar. However,
martensite and martensite-austenite components were significantly different with
different underwater welding methods such that the micro-hardness of the HAZ and
FZ in the ULCW specimen was higher than that of the corresponding regions in UDW
joint. The yield strength and ultimate tensile strength of the ULCW specimen are
109 MPa lower and 77 MPa lower, respectively, than those of the UDW joint. The
impact toughness of the UDW joint was superior to those of the ULCW joint.
PMID- 29361739
TI - Human MHC-II with Shared Epitope Motifs Are Optimal Epstein-Barr Virus
Glycoprotein 42 Ligands-Relation to Rheumatoid Arthritis.
AB - Rheumatoid arthritis (RA) is a chronic systemic autoimmune disorder of unknown
etiology, which is characterized by inflammation in the synovium and joint
damage. Although the pathogenesis of RA remains to be determined, a combination
of environmental (e.g., viral infections) and genetic factors influence disease
onset. Especially genetic factors play a vital role in the onset of disease, as
the heritability of RA is 50-60%, with the human leukocyte antigen (HLA) alleles
accounting for at least 30% of the overall genetic risk. Some HLA-DR alleles
encode a conserved sequence of amino acids, referred to as the shared epitope
(SE) structure. By analyzing the structure of a HLA-DR molecule in complex with
Epstein-Barr virus (EBV), the SE motif is suggested to play a vital role in the
interaction of MHC II with the viral glycoprotein (gp) 42, an essential entry
factor for EBV. EBV has been repeatedly linked to RA by several lines of evidence
and, based on several findings, we suggest that EBV is able to induce the onset
of RA in predisposed SE-positive individuals, by promoting entry of B-cells
through direct contact between SE and gp42 in the entry complex.
PMID- 29361744
TI - Dose-Dependent Effects of Green Tea or Mate Extracts on Lipid and Protein
Oxidation in Brine-Injected Retail-Packed Pork Chops.
AB - Background: Phenolic plant extracts are added as antioxidants in meat to prevent
lipid oxidation, but depending on the concentration applied, may affect proteins
either through covalent interactions or by serving as a prooxidant. Methods:
Brine-injected pork chops prepared with green tea extract (25-160 ppm gallic acid
equivalents (GAE)), or mate extract (25-160 ppm GAE) and stored (5 degrees C, 7
days) in high-oxygen atmosphere packaging (MAP: 80% O2 and 20% CO2) were analyzed
for color changes, lipid oxidation by thiobarbituric acid reactive substances
(TBARS), and protein oxidation evaluated by thiol loss and protein radical
formation by electron spin resonance (ESR) spectroscopy, and compared to a
control without antioxidant. Results: Extract of mate and green tea showed
significant and comparable antioxidative effects against formation of TBARS in
brine-injected pork chops for all concentrations applied compared to the control.
Protein radical formation decreased significantly by addition of 25 ppm mate
extract, but increased significantly by addition of 80-160 ppm green tea extract,
when monitored as formation of protein radicals. Meanwhile, protein thiol groups
disappeared when applying the extracts by reactions assigned to addition
reactions of oxidized phenols from the extracts to protein thiols. Conclusion:
Mate is accordingly a good source of antioxidants for protection of both lipids
and proteins in brine-injected pork chops chill-stored in high-oxygen atmosphere,
though the dose must be carefully selected.
PMID- 29361746
TI - The Enhanced Catalytic Performance and Stability of Rh/gamma-Al2O3 Catalyst
Synthesized by Atomic Layer Deposition (ALD) for Methane Dry Reforming.
AB - Rh/gamma-Al2O3 catalysts were synthesized by both incipient wetness impregnation
(IWI) and atomic layer deposition (ALD). The TEM images of the two catalysts
showed that the catalyst from ALD had smaller particle size, and narrower size
distribution. The surface chemical states of both catalysts were investigated by
both XPS and X-ray Absorption Near Edge Structure (XANES), and the catalyst from
IWI had higher concentration of Rh3+ than that from ALD. The catalytic
performance of both catalysts was tested in the dry reforming of methane
reaction. The catalyst from ALD showed a higher conversion and selectivity than
that from IWI. The stability testing results indicated that the catalyst from ALD
showed similar stability to that from IWI at 500 degrees C, but higher stability
at 800 degrees C.
PMID- 29361745
TI - Microglia and Aging: The Role of the TREM2-DAP12 and CX3CL1-CX3CR1 Axes.
AB - Depending on the species, microglial cells represent 5-20% of glial cells in the
adult brain. As the innate immune effector of the brain, microglia are involved
in several functions: regulation of inflammation, synaptic connectivity,
programmed cell death, wiring and circuitry formation, phagocytosis of cell
debris, and synaptic pruning and sculpting of postnatal neural circuits.
Moreover, microglia contribute to some neurodevelopmental disorders such as Nasu
Hakola disease (NHD), and to aged-associated neurodegenerative diseases, such as
Alzheimer's disease (AD), Parkinson's disease (PD), and others. There is evidence
that human and rodent microglia may become senescent. This event determines
alterations in the microglia activation status, associated with a chronic
inflammation phenotype and with the loss of neuroprotective functions that lead
to a greater susceptibility to the neurodegenerative diseases of aging. In the
central nervous system (CNS), Triggering Receptor Expressed on Myeloid Cells 2
DNAX activation protein 12 (TREM2-DAP12) is a signaling complex expressed
exclusively in microglia. As a microglial surface receptor, TREM2 interacts with
DAP12 to initiate signal transduction pathways that promote microglial cell
activation, phagocytosis, and microglial cell survival. Defective TREM2-DAP12
functions play a central role in the pathogenesis of several diseases. The CX3CL1
(fractalkine)-CX3CR1 signaling represents the most important communication
channel between neurons and microglia. The expression of CX3CL1 in neurons and of
its receptor CX3CR1 in microglia determines a specific interaction, playing
fundamental roles in the regulation of the maturation and function of these
cells. Here, we review the role of the TREM2-DAP12 and CX3CL1-CX3CR1 axes in aged
microglia and the involvement of these pathways in physiological CNS aging and in
age-associated neurodegenerative diseases.
PMID- 29361747
TI - Hereditary Fibrinogen Aalpha-Chain Amyloidosis in Asia: Clinical and Molecular
Characteristics.
AB - Hereditary fibrinogen Aalpha-chain amyloidosis (Aalpha-chain amyloidosis) is a
type of autosomal dominant systemic amyloidosis caused by mutations in fibrinogen
Aalpha-chain gene (FGA). Patients with Aalpha-chain amyloidosis have been mainly
reported in Western countries but have been rarely reported in Asia, with only
five patients with Aalpha-chain amyloidosis being reported in Korea, China, and
Japan. Clinically, the most prominent manifestation in Asian patients with Aalpha
chain amyloidosis is progressive nephropathy caused by excessive amyloid
deposition in the glomeruli, which is similar to that observed in patients with
Aalpha-chain amyloidosis in Western countries. In molecular features in Asian
Aalpha-chain amyloidosis, the most common variant, E526V, was found in only one
Chinese kindred, and other four kindred each had a different variant, which have
not been identified in other countries. These variants are located in the C
terminal region (amino acid residues 517-555) of mature Aalpha-chain, which was
similar to that observed in patients with Aalpha-chain amyloidosis in other
countries. The precise number of Asian patients with Aalpha-chain amyloidosis is
unclear. However, patients with Aalpha-chain amyloidosis do exist in Asian
countries, and the majority of these patients may be diagnosed with other types
of systemic amyloidosis.
PMID- 29361748
TI - Perspectives on Current Challenges and Opportunities for Bovine Viral Diarrhoea
Virus Eradication in Australia and New Zealand.
AB - This review outlines the history of bovine viral diarrhoea virus (BVDV) and the
current situation in Australia and New Zealand. BVDV has been reported as present
in cattle from both countries for close to 60 years. It rates as the second most
economically significant disease afflicting cattle, and is highly prevalent and
spread throughout the beef and dairy industries. While other cattle diseases have
been the subject of government control and eradication, infection with BVDV is
presently not. Eradication has been undertaken in many other countries and been
judged to be a good investment, resulting in positive economic returns.
Presently, Australia and New Zealand have adopted a non-compulsory approach to
control schemes, initiated and managed by farmers and veterinarians without the
ultimate goal of eradication. Moving towards eradication is possible with the
infrastructure both countries possess, but will require additional resources,
coordination, and funding from stakeholders to move to full eradication.
PMID- 29361750
TI - An Exploratory Study of Student Pharmacists' Self-Reported Pain, Management
Strategies, Outcomes, and Implications for Pharmacy Education.
AB - The objective of this study was to describe the prevalence, management
strategies, and outcomes of pain experienced by student pharmacists, and to
discuss implications for pharmacy education. A questionnaire administered to
student pharmacists collected data about their experience, management strategies,
and outcomes of pain. Data were analyzed using t-tests, chi-square or Fisher's
tests, and logistic regression. Of the 218 student pharmacists who completed the
survey, 79% experienced pain in the past five years. Chronic pain impacted
students' ability to work (15%) and attend school (9%). Respondents most commonly
used prescription (38%) and over-the-counter (OTC, 78%) non-steroidal anti
inflammatory drugs (NSAIDs), and rest (69%) to manage pain. Men used more
opioids, whereas women used more OTC NSAIDs (p < 0.05). Emergency department
visits were associated with increased prescription drug use to manage pain. This
study found that 15% of student pharmacists had chronic pain in the past five
years, which was managed with medical and non-medical strategies.
PMID- 29361749
TI - Affinity Purification and Comparative Biosensor Analysis of Citrulline-Peptide
Specific Antibodies in Rheumatoid Arthritis.
AB - BACKGROUND: In rheumatoid arthritis (RA), anti-citrullinated protein/peptide
antibodies (ACPAs) are responsible for disease onset and progression, however,
our knowledge is limited on ligand binding affinities of autoantibodies with
different citrulline-peptide specificity. METHODS: Citrulline-peptide-specific
ACPA IgGs were affinity purified and tested by ELISA. Binding affinities of ACPA
IgGs and serum antibodies were compared by surface plasmon resonance (SPR)
analysis. Bifunctional nanoparticles harboring a multi-epitope citrulline-peptide
and a complement-activating peptide were used to induce selective depletion of
ACPA-producing B cells. RESULTS: KD values of affinity-purified ACPA IgGs varied
between 10-6 and 10-8 M and inversely correlated with disease activity. Based on
their cross-reaction with citrulline-peptides, we designed a novel multi-epitope
peptide, containing Cit-Gly and Ala-Cit motifs in two-two copies, separated with
a short, neutral spacer. This peptide detected antibodies in RA sera with 66%
sensitivity and 98% specificity in ELISA and was recognized by 90% of RA sera,
while none of the healthy samples in SPR. When coupled to nanoparticles, the
multi-epitope peptide specifically targeted and depleted ACPA-producing B cells
ex vivo. CONCLUSIONS: The unique multi-epitope peptide designed based on ACPA
cross-reactivity might be suitable to develop better diagnostics and novel
therapies for RA.
PMID- 29361751
TI - Identification of a Rare Germline Heterozygous Deletion Involving the
Polycistronic miR-17-92 Cluster in Two First-Degree Relatives from a BRCA 1/2
Negative Chilean Family with Familial Breast Cancer: Possible Functional
Implications.
AB - Micro-RNAs (miRNAs) have emerged as novel gene expression regulators. Recent
evidence strongly suggests a role for miRNAs in a large variety of cancer-related
pathways. Different studies have shown that 18.7 to 37% of all human miRNA genes
are clustered. miR-17-92 polycistronic cluster overexpression is associated with
human hematolymphoid and solid malignancies including breast cancer (BC). Here,
we report the identification of rs770419845, a rare 6 bp deletion located within
the polycistronic miR-17-92 cluster, in two first-degree relatives from a Chilean
family with familial BC and negative for point mutations in BRCA 1/2 genes. The
deletion was identified by Sanger sequencing when 99 BRCA1/2 mutation-negative BC
cases with a strong family history were initially screened. In silico analysis
predicts that rs770419845 affects the secondary structure and stability of the
pre-miR-17-pre-miR-18 region and the entire 17-92 cluster. The deletion was
screened in 458 high-risk BRCA1/2-negative Chilean families and 480 controls.
rs770419845 was not detected in any control but identified in a single family
with two cases of BC and other cancers. Both BC cases, the mother and her
daughter, carried the deletion. Based on bioinformatic analyses, the location of
the deletion and its low frequency, we presume rs770419845 may be a pathogenic
variant. Functional studies are needed to support this hypothesis.
PMID- 29361753
TI - Deep Brain Stimulation-Possible Treatment Strategy for Pathologically Altered
Body Weight?
AB - The treatment of obesity and eating disorders such as binge-eating disorder or
anorexia nervosa is challenging. Besides lifestyle changes and pharmacological
options, bariatric surgery represents a well-established and effective-albeit
invasive-treatment of obesity, whereas for binge-eating disorder and anorexia
nervosa mostly psychotherapy options exist. Deep brain stimulation (DBS), a
method that influences the neuronal network, is by now known for its safe and
effective applicability in patients with Parkinson's disease. However, the use
does not seem to be restricted to these patients. Recent preclinical and first
clinical evidence points towards the use of DBS in patients with obesity and
eating disorders as well. Depending on the targeted area in the brain, DBS can
either inhibit food intake and body weight or stimulate energy intake and
subsequently body weight. The current review focuses on preclinical and clinical
evidence of DBS to modulate food intake and body weight and highlight the
different brain areas targeted, stimulation protocols applied and downstream
signaling modulated. Lastly, this review will also critically discuss potential
safety issues and gaps in knowledge to promote further studies.
PMID- 29361752
TI - CRISPR/Cas9-Advancing Orthopoxvirus Genome Editing for Vaccine and Vector
Development.
AB - The clustered regularly interspaced short palindromic repeat (CRISPR)/associated
protein 9 (Cas9) technology is revolutionizing genome editing approaches. Its
high efficiency, specificity, versatility, flexibility, simplicity and low cost
have made the CRISPR/Cas9 system preferable to other guided site-specific
nuclease-based systems such as TALENs (Transcription Activator-like Effector
Nucleases) and ZFNs (Zinc Finger Nucleases) in genome editing of viruses.
CRISPR/Cas9 is presently being applied in constructing viral mutants, preventing
virus infections, eradicating proviral DNA, and inhibiting viral replication in
infected cells. The successful adaptation of CRISPR/Cas9 to editing the genome of
Vaccinia virus paves the way for its application in editing other vaccine/vector
relevant orthopoxvirus (OPXV) strains. Thus, CRISPR/Cas9 can be used to resolve
some of the major hindrances to the development of OPXV-based recombinant
vaccines and vectors, including sub-optimal immunogenicity; transgene and genome
instability; reversion of attenuation; potential of spread of transgenes to
wildtype strains and close contacts, which are important biosafety and risk
assessment considerations. In this article, we review the published literature on
the application of CRISPR/Cas9 in virus genome editing and discuss the potentials
of CRISPR/Cas9 in advancing OPXV-based recombinant vaccines and vectors. We also
discuss the application of CRISPR/Cas9 in combating viruses of clinical
relevance, the limitations of CRISPR/Cas9 and the current strategies to overcome
them.
PMID- 29361756
TI - One-Step Preparation of Large Area Films of Oriented MoS2 Nanoparticles on
Multilayer Graphene and Its Electrocatalytic Activity for Hydrogen Evolution.
AB - MoS2 is a promising material to replace Pt-based catalysts for the hydrogen
evolution reaction (HER), due to its excellent stability and high activity. In
this work, MoS2 nanoparticles supported on graphitic carbon (about 20 nm) with a
preferential 002 facet orientation have been prepared by pyrolysis of alginic
acid films on quartz containing adsorbed (NH4)2MoS4 at 900 degrees C under Ar
atmosphere. Although some variation of the electrocatalytic activity has been
observed from batch to batch, the MoS2 sample exhibited activity for HER (a
potential onset between 0.2 and 0.3 V vs. SCE), depending on the concentrations
of (NH4)2MoS4 precursor used in the preparation process. The loading and particle
size of MoS2, which correlate with the amount of exposed active sites in the
sample, are the main factors influencing the electrocatalytic activity.
PMID- 29361754
TI - Advanced Material Strategies for Next-Generation Additive Manufacturing.
AB - Additive manufacturing (AM) has drawn tremendous attention in various fields. In
recent years, great efforts have been made to develop novel additive
manufacturing processes such as micro-/nano-scale 3D printing, bioprinting, and
4D printing for the fabrication of complex 3D structures with high resolution,
living components, and multimaterials. The development of advanced functional
materials is important for the implementation of these novel additive
manufacturing processes. Here, a state-of-the-art review on advanced material
strategies for novel additive manufacturing processes is provided, mainly
including conductive materials, biomaterials, and smart materials. The
advantages, limitations, and future perspectives of these materials for additive
manufacturing are discussed. It is believed that the innovations of material
strategies in parallel with the evolution of additive manufacturing processes
will provide numerous possibilities for the fabrication of complex smart
constructs with multiple functions, which will significantly widen the
application fields of next-generation additive manufacturing.
PMID- 29361757
TI - Analysis of Site-Specific Methylation of Tumor-Related Genes in Head and Neck
Cancer: Potential Utility as Biomarkers for Prognosis.
AB - Clarifying the epigenetic regulation of tumor-related genes (TRGs) can provide
insights into the mechanisms of tumorigenesis and the risk for disease recurrence
in HPV-negative head and neck cancers, originating in the hypopharynx, larynx,
and oral cavity. We analyzed the methylation status of the promoters of 30 TRGs
in 178 HPV-negative head and neck cancer patients using a quantitative
methylation-specific PCR. Promoter methylation was correlated with various
clinical characteristics and patient survival. The mean number of methylated TRGs
was 14.2 (range, 2-25). In the multivariate Cox proportional hazards analysis,
the methylation of COL1A2 and VEGFR1 was associated with poor survival for
hypopharyngeal cancer, with hazard ratios: 3.19; p = 0.009 and 3.07; p = 0.014,
respectively. The methylation of p16 and COL1A2 were independent prognostic
factors for poor survival in laryngeal cancer (hazard ratio: 4.55; p = 0.013 and
3.12; p = 0.035, respectively). In patients with oral cancer, the methylation of
TAC1 and SSTR1 best correlated with poor survival (hazard ratio: 4.29; p = 0.005
and 5.38; p = 0.029, respectively). Our findings suggest that methylation status
of TRGs could serve as important site-specific biomarkers for prediction of
clinical outcomes in patients with HPV-negative head and neck cancer.
PMID- 29361758
TI - Effect of Aspect Ratio on the Permittivity of Graphite Fiber in Microwave
Heating.
AB - Microwave (MW) heating has received attention as a new heating source for various
industrial processes. Some materials are expected to be a more effective absorber
of MW, and graphite is observed as a possible candidate for high-temperature
application. We investigated the dependence of the aspect ratio of graphite
fibers on both their heating behavior and permittivity under a 2.45 GHz MW
electric field. In these experiments, both loss tangent and MW heating behavior
indicated that the MW absorption of conductive fibers increases with their aspect
ratio. The MW absorption was found to be well accounted for by the application of
a spheroidal model for a single fiber. The absorption of graphite fibers
decreases with increasing aspect ratio when the long axis of the ellipsoid is
perpendicular to the electric field, whereas it increases with the aspect ratio
when the long axis is parallel to the electric field. The analytical model
indicated that MW heating of the conductive fibers is expected to depend on both
the shape and arrangement of the fibers in the electric field.
PMID- 29361760
TI - Using Y-Chromosomal Haplogroups in Genetic Association Studies and Suggested
Implications.
AB - Y-chromosomal (Y-DNA) haplogroups are more widely used in population genetics
than in genetic epidemiology, although associations between Y-DNA haplogroups and
several traits, including cardiometabolic traits, have been reported. In
apparently homogeneous populations defined by principal component analyses, there
is still Y-DNA haplogroup variation which will result from population history.
Therefore, hidden stratification and/or differential phenotypic effects by Y-DNA
haplogroups could exist. To test this, we hypothesised that stratifying
individuals according to their Y-DNA haplogroups before testing for associations
between autosomal single nucleotide polymorphisms (SNPs) and phenotypes will
yield difference in association. For proof of concept, we derived Y-DNA
haplogroups from 6537 males from two epidemiological cohorts, Avon Longitudinal
Study of Parents and Children (ALSPAC) (n = 5080; 816 Y-DNA SNPs) and the 1958
Birth Cohort (n = 1457; 1849 Y-DNA SNPs), and studied the robust associations
between 32 SNPs and body mass index (BMI), including SNPs in or near Fat Mass and
Obesity-associated protein (FTO) which yield the strongest effects. Overall, no
association was replicated in both cohorts when Y-DNA haplogroups were considered
and this suggests that, for BMI at least, there is little evidence of differences
in phenotype or SNP association by Y-DNA structure. Further studies using other
traits, phenome-wide association studies (PheWAS), other haplogroups and/or
autosomal SNPs are required to test the generalisability and utility of this
approach.
PMID- 29361761
TI - Social and Environmental Influences on Physical Activity Behaviours.
AB - Physical activity promotion has met with limited success across a range of
demographic indicators, largely due to our poor understanding of how drivers of
physical activity behaviours vary by context and setting[...].
PMID- 29361762
TI - West Nile Virus and Usutu Virus Monitoring of Wild Birds in Germany.
AB - By systematically setting up a unique nation-wide wild bird surveillance network,
we monitored migratory and resident birds for zoonotic arthropod-borne virus
infections, such as the flaviviruses West Nile virus (WNV) and Usutu virus
(USUV). More than 1900 wild bird blood samples, from 20 orders and 136 different
bird species, were collected between 2014 and 2016. Samples were investigated by
WNV and USUV-specific real-time polymerase chain reactions as well as by
differentiating virus neutralization tests. Dead bird surveillance data, obtained
from organ investigations in 2016, were also included. WNV-specific RNA was not
detected, whereas four wild bird blood samples tested positive for USUV-specific
RNA. Additionally, 73 USUV-positive birds were detected in the 2016 dead bird
surveillance. WNV neutralizing antibodies were predominantly found in long
distance, partial and short-distance migrants, while USUV neutralizing antibodies
were mainly detected in resident wild bird species, preferentially with low
seroprevalences. To date, WNV-specific RNA has neither been detected in wild
birds, nor in mosquitoes, thus, we conclude that WNV is not yet present in
Germany. Continued wild bird and mosquito monitoring studies are essential to
detect the incursion of zoonotic viruses and to allow risk assessments for
zoonotic pathogens.
PMID- 29361763
TI - Fe-Doped Sol-Gel Glasses and Glass-Ceramics for Magnetic Hyperthermia.
AB - This work deals with the synthesis and characterization of novel Fe-containing
sol-gel materials obtained by modifying the composition of a binary SiO2-CaO
parent glass with the addition of Fe2O3. The effect of different processing
conditions (calcination in air vs. argon flowing) on the formation of magnetic
crystalline phases was investigated. The produced materials were analyzed from
thermal (hot-stage microscopy, differential thermal analysis, and differential
thermal calorimetry) and microstructural (X-ray diffraction) viewpoints to assess
both the behavior upon heating and the development of crystalline phases. N2
adsorption-desorption measurements allowed determining that these materials have
high surface area (40-120 m2/g) and mesoporous texture with mesopore size in the
range of 18 to 30 nm. It was assessed that the magnetic properties can actually
be tailored by controlling the Fe content and the environmental conditions
(oxidant vs. inert atmosphere) during calcination. The glasses and glass-ceramics
developed in this work show promise for applications in bone tissue healing which
require the use of biocompatible magnetic implants able to elicit therapeutic
actions, such as hyperthermia for bone cancer treatment.
PMID- 29361764
TI - HnRNPA1 Specifically Recognizes the Base of Nucleotide at the Loop of RNA G
Quadruplex.
AB - Human telomere RNA performs various cellular functions, such as telomere length
regulation, heterochromatin formation, and end protection. We recently
demonstrated that the loops in the RNA G-quadruplex are important in the
interaction of telomere RNA with heterogeneous nuclear ribonucleoprotein A1
(hnRNPA1). Here, we report on a detailed analysis of hnRNPA1 binding to telomere
RNA G-quadruplexes with a group of loop variants using an electrophoretic
mobility shift assay (EMSA) and circular dichroism (CD) spectroscopy. We found
that the hnRNPA1 binds to RNA G-quadruplexes with the 2'-O-methyl and DNA loops,
but fails to bind with the abasic RNA and DNA loops. These results suggested that
hnRNPA1 binds to the loop of the RNA G-quadruplex by recognizing the base of the
loop's nucleotides. The observation provides the first evidence that the base of
the loop's nucleotides is a key factor for hnRNPA1 specifically recognizing the
RNA G-quadruplex.
PMID- 29361765
TI - Tamm-Horsfall Protein is a Potent Immunomodulatory Molecule and a Disease
Biomarker in the Urinary System.
AB - Tamm-Horsfall protein (THP), or uromodulin (UMOD), is an 80-90-kDa
phosphatidylinositol-anchored glycoprotein produced exclusively by the renal
tubular cells in the thick ascending limb of the loop of Henle. Physiologically,
THP is implicated in renal countercurrent gradient formation, sodium homeostasis,
blood pressure regulation, and a defense molecule against infections in the
urinary system. Investigations have also revealed that THP is an effective
binding ligand for serum albumin, immunoglobulin G light chains, complement
components C1 and C1q, interleukin (IL)-1beta, IL-6, IL-8, tumor necrosis factor
(TNF)-alpha, and interferon-gamma through its carbohydrate side chains for
maintaining circulatory and renal immune homeostasis. Thus, THP can be regarded
as part of the innate immune system. UMOD mutations play crucial roles in
congenital urolithiasis, hereditary hyperuricemia/gout, and medullary cystic
kidney diseases. Recent investigations have focused on the immunomodulatory
effects of THP on immune cells and on THP as a disease biomarker of acute and
chronic kidney diseases. Our studies have suggested that normal urinary THP,
through its epidermal growth factor (EGF)-like domains, binds to the surface
expressed EGF-like receptors, cathepsin G, or lactoferrin to enhance
polymorphonuclear leukocyte phagocytosis, proinflammatory cytokine production by
monocytes/macrophages, and lymphocyte proliferation by activating the Rho family
and mitogen-activated protein kinase signaling pathways. Furthermore, our data
support both an intact protein core structure and carbohydrate side chains are
important for the different protein-binding capacities of THP. Prospectively,
parts of the whole THP molecule may be used for anti-TNF-alpha therapy in
inflammatory diseases, autoantibody-depleting therapy in autoimmune disorders,
and immune intensification in immunocompromised hosts.
PMID- 29361767
TI - Electrochemical Behaviour and Galvanic Effects of Titanium Implants Coupled to
Metallic Suprastructures in Artificial Saliva.
AB - The aim of the present study is to analyze the electrochemical behavior of five
different dental alloys: two cobalt-chromium alloys (CoCr and CoCr-c), one nickel
chromium-titanium alloy (NiCrTi), one gold-palladium alloy (Au), and one titanium
alloy (Ti6Al4V), and the galvanic effect when they are coupled to titanium
implants (TiG2). It was carried out by electrochemical techniques (open circuit
measurements, potentiodynamic curves and Zero-Resistance Ammetry) in artificial
saliva (AS), with and without fluorides in different acidic conditions. The
studied alloys are spontaneously passivated, but NiCrTi alloy has a very narrow
passive domain and losses its passivity in presence of fluorides, so is not
considered as a good option for implant superstructures. Variations of pH from
6.5 to 3 in artificial saliva do not change the electrochemical behavior of Ti,
Ti6Al4V, and CoCr alloys, and couples, but when the pH of the artificial saliva
is below 3.5 and the fluoride content is 1000 ppm Ti and Ti6Al4V starts actively
dissolving, and CoCr-c superstructures coupled to Ti show acceleration of
corrosion due to galvanic effects. Thus, NiCrTi is not recommended for implant
superstructures because of risk of Ni ion release to the body, and fluorides
should be avoided in acidic media because Ti, Ti6Al4V, and CoCr-c superstructures
show galvanic corrosion. The best combinations are Ti/Ti6Al4V and Ti/CoCr as
alternative of noble gold alloys.
PMID- 29361766
TI - Carbohydrate Counting in Children and Adolescents with Type 1 Diabetes.
AB - Carbohydrate counting (CC) is a meal-planning tool for patients with type 1
diabetes (T1D) treated with a basal bolus insulin regimen by means of multiple
daily injections or continuous subcutaneous insulin infusion. It is based on an
awareness of foods that contain carbohydrates and their effect on blood glucose.
The bolus insulin dose needed is obtained from the total amount of carbohydrates
consumed at each meal and the insulin-to-carbohydrate ratio. Evidence suggests
that CC may have positive effects on metabolic control and on reducing
glycosylated haemoglobin concentration (HbA1c). Moreover, CC might reduce the
frequency of hypoglycaemia. In addition, with CC the flexibility of meals and
snacks allows children and teenagers to manage their T1D more effectively within
their own lifestyles. CC and the bolus calculator can have possible beneficial
effects in improving post-meal glucose, with a higher percentage of values within
the target. Moreover, CC might be integrated with the counting of fat and protein
to more accurately calculate the insulin bolus. In conclusion, in children and
adolescents with T1D, CC may have a positive effect on metabolic control, might
reduce hypoglycaemia events, improves quality of life, and seems to do so without
influencing body mass index; however, more high-quality clinical trials are
needed to confirm this positive impact.
PMID- 29361768
TI - A Rapid Method for the Determination of Fucoxanthin in Diatom.
AB - Fucoxanthin is a natural pigment found in microalgae, especially diatoms and
Chrysophyta. Recently, it has been shown to have anti-inflammatory, anti-tumor,
and anti-obesityactivity in humans. Phaeodactylum tricornutum is a diatom with
high economic potential due to its high content of fucoxanthin and
eicosapentaenoic acid. In order to improve fucoxanthin production, physical and
chemical mutagenesis could be applied to generate mutants. An accurate and rapid
method to assess the fucoxanthin content is a prerequisite for a high-throughput
screen of mutants. In this work, the content of fucoxanthin in P. tricornutum was
determined using spectrophotometry instead of high performance liquid
chromatography (HPLC). This spectrophotometric method is easier and faster than
liquid chromatography and the standard error was less than 5% when compared to
the HPLC results. Also, this method can be applied to other diatoms, with
standard errors of 3-14.6%. It provides a high throughput screening method for
microalgae strains producing fucoxanthin.
PMID- 29361769
TI - The Location of Conjunctivochalasis and Its Clinical Correlation with the
Severity of Dry Eye Symptoms.
AB - Background: We aimed to investigate the clinical importance of
conjunctivochalasis (CCH) and, further, to implement a new CCH classification
system. Methods: 60 eyes of patients with whom, upon clinical examination, CCH
was diagnosed were investigated for the presence of symptoms and signs
characteristic of dry eye. The eyes were grouped based on two stages of severity,
Stage 1 (minimal/mild) and Stage 2 (medium/severe), for each nasal, middle, and
temporal position, and on the extent of CCH folds in each site. Results: In 40
(66.6%) out of 60 eyes, symptoms and signs of CCH were manifest: pain in 25
(41.6%), epiphora in 25 (41.6%), and lacrimal punctum obstruction from
conjunctival folds in 22 (36.6%) eyes. Depending on the position of CCH, a
greater percentage of symptoms appeared in Stage 2 in the nasal position (78.9%),
followed by middle (68.7%) and temporal positions (60%). When TBUT values were
compared, statistically significant differences were found proportional to
grading (p < 0.001) and position (nasal more severe than temporal, p < 0.001),
and such differences were also found when TBUT values of all eyes were compared
with those of symptomatic eyes (p = 0.01) and with those of symptom-free eyes (p
= 0.002). Conclusions: CCH is a rather frequent and commonly unrecognized
condition that should always be considered in differential diagnoses of dry eye.
PMID- 29361770
TI - Chemical Constituents from Apios americana and Their Inhibitory Activity on
Tyrosinase.
AB - The goal of this study was to identify phytochemicals with inhibitory activity
against tyrosinase. Nine compounds 1-9 were isolated from the tubers of Apios
americana. This is the first report of aromadendrin 5-methyl ether (1) being
isolated from the Apios species. Among them, compounds 2 and 8 showed inhibitory
activity toward tyrosinase. Based on a Dixon plot, the potential Ki values of
competitive inhibitors 2 and 8 were calculated as 10.3 +/- 0.8 uM and 44.2 +/-
1.7 uM, respectively. An IC50 value of 13.2 +/- 1.0 uM was calculated for the
slow-binding inhibitor 2 after preincubation with tyrosinase. Additionally, the
predicted binding sites between the receptor and ligand, as well as secondary
structure changes, in the presence of 2 were examined by molecular simulation.
PMID- 29361771
TI - One-Pot Syntesis of 3-Functionalized 4-Hydroxycoumarin under Catalyst-Free
Conditions.
AB - A concise and efficient one-pot synthesis of 3-functionalized 4-hydroxycoumarin
derivatives via a three-component domino reaction of 4-hydroxycoumarin,
phenylglyoxal and 3-arylaminocyclopent-2-enone or 4-arylaminofuran-2(5H)-one
under catalyst-free and microwave irradiation conditions is described. This
synthesis involves a group-assisted purification process, which avoids
traditional recrystallization and chromatographic purification methods.
PMID- 29361772
TI - A Survey of Data Semantization in Internet of Things.
AB - With the development of Internet of Things (IoT), more and more sensors,
actuators and mobile devices have been deployed into our daily lives. The result
is that tremendous data are produced and it is urgent to dig out hidden
information behind these volumous data. However, IoT data generated by multi
modal sensors or devices show great differences in formats, domains and types,
which poses challenges for machines to process and understand. Therefore, adding
semantics to Internet of Things becomes an overwhelming tendency. This paper
provides a systematic review of data semantization in IoT, including its
backgrounds, processing flows, prevalent techniques, applications, existing
challenges and open issues. It surveys development status of adding semantics to
IoT data, mainly referring to sensor data and points out current issues and
challenges that are worth further study.
PMID- 29361773
TI - Zika Virus Fatally Infects Wild Type Neonatal Mice and Replicates in Central
Nervous System.
AB - Zika virus (ZIKV) has been defined as a teratogenic pathogen behind the increased
number of cases of microcephaly in French Polynesia, Brazil, Puerto Rico, and
other South American countries. Experimental studies using animal models have
achieved tremendous insight into understanding the viral pathogenesis,
transmission, teratogenic mechanisms, and virus-host interactions. However, the
animals used in published investigations are mostly interferon (IFN)-compromised,
either genetically or via antibody treatment. Herein, we studied ZIKV infection
in IFN-competent mice using African (MR766) and Asian strains (PRVABC59 and SZ
WIV01). After testing four different species of mice, we found that BALB/c
neonatal mice were resistant to ZIKV infection, that Kunming, ICR and C57BL/6
neonatal mice were fatally susceptible to ZIKV infection, and that the fatality
of C57BL/6 neonates from 1 to 3 days old were in a viral dose-dependent manner.
The size and weight of the brain were significantly reduced, and the ZIKV
infected mice showed neuronal symptoms such as hind-limb paralysis, tremor, and
poor balance during walking. Pathologic and immunofluorescent experiments
revealed that ZIKV infected different areas of the central nervous system (CNS)
including gray matter, hippocampus, cerebral cortex, and spinal cord, but not
olfactory bulb. Interestingly, ZIKV replicated in multiple organs and resulted in
pathogenesis in liver and testis, implying that ZIKV infection may engender a
high health risk in neonates by postnatal infection. In summary, we investigated
ZIKV pathogenesis using an animal model that is not IFN-compromised.
PMID- 29361775
TI - Voltammetric Response of Alizarin Red S-Confined Film-Coated Electrodes to Diol
and Polyol Compounds: Use of Phenylboronic Acid-Modified Poly(ethyleneimine) as
Film Component.
AB - Alizarin red S (ARS) was confined in layer-by-layer (LbL) films composed of
phenylboronic acid-modified poly(ethyleneimine) (PBA-PEI) and
carboxymethylcellulose (CMC) to study the voltammetric response to diol and
polyol compounds. The LbL film-coated gold (Au) electrode and quartz slide were
immersed in an ARS solution to uptake ARS into the film. UV-visible absorption
spectra of ARS-confined LbL film suggested that ARS formed boronate ester (ARS
PBS) in the film. The cyclic voltammetry of the ARS-confined LbL film-coated
electrodes exhibited oxidation peaks at -0.50 and -0.62 V, which were ascribed to
the oxidation reactions of ARS-PBS and free ARS, respectively, in the LbL film.
The peak current at -0.62 V increased upon the addition of diol or polyol
compounds such as L-dopa, glucose, and sorbitol into the solution, depending on
the concentration, whereas the peak current at -0.50 V decreased. The results
suggest a possible use of ARS-confined PBA-PEI/CMC LbL film-coated Au electrodes
for the construction of voltammetric sensors for diol and polyol compounds.
PMID- 29361774
TI - The IFNG rs1861494 Single Nucleotide Polymorphism Is Associated with Protection
against Tuberculosis Disease in Argentina.
AB - Interferon gamma (IFNG) plays a key role during Mycobacterium tuberculosis (Mtb)
infection, and several polymorphisms located in its gene are associated with risk
of tuberculosis in diverse populations. Nevertheless, the genetic
resistance/susceptibility to tuberculosis in Argentina is unknown. The IFNG
rs1861494 polymorphism (G->A) was reported to alter the binding of transcription
factors to this region, influencing IFNG production. Using a case-control study,
we found an association between the AA and AG genotypes and tuberculosis
resistance (AA vs. GG: odds ratio (OR) = 0.235, p-value = 0.012; AG vs. GG: OR =
0.303, p-value = 0.044; AA vs. AG: OR = 0.776, p-value = 0.427; AA + AG vs. GG:
OR = 0.270, p-value = 0.022). Moreover, Mtb-antigen stimulated peripheral blood
mononuclear cells (PBMCs) from healthy donors and AA carriers secreted the
highest amounts of IFNG in culture supernatants (p-value = 0.034) and presented
the greatest percentage of CD4+IFNG+ lymphocytes (p-value = 0.035), in comparison
with GG carriers. No association between the polymorphism and clinical parameters
of tuberculosis severity was detected. However, our findings indicate that the
rs1861494 single nucleotide polymorphism (SNP) could be considered as a biomarker
of tuberculosis resistance in the Argentinean population.
PMID- 29361776
TI - Mapping of Rice Varieties and Sowing Date Using X-Band SAR Data.
AB - Rice is a major staple food for nearly half of the world's population and has a
considerable contribution to the global agricultural economy. While spaceborne
Synthetic Aperture Radar (SAR) data have proved to have great potential to
provide rice cultivation area, few studies have been performed to provide
practical information that meets the user requirements. In rice growing regions
where the inter-field crop calendar is not uniform such as in the Mekong Delta in
Vietnam, knowledge of the start of season on a field basis, along with the
planted rice varieties, is very important for correct field management (timing of
irrigation, fertilization, chemical treatment, harvest), and for market
assessment of the rice production. The objective of this study is to develop
methods using SAR data to retrieve in addition to the rice grown area, the sowing
date, and the distinction between long and short cycle varieties. This study
makes use of X-band SAR data from COSMO-SkyMed acquired from 19 August to 23
November 2013 covering the Chau Thanh and Thoai Son districts in An Giang
province, Viet Nam, characterized by a complex cropping pattern. The SAR data
have been analyzed as a function of rice parameters, and the temporal and
polarization behaviors of the radar backscatter of different rice varieties have
been interpreted physically. New backscatter indicators for the detection of rice
paddy area, the estimation of the sowing date, and the mapping of the short cycle
and long cycle rice varieties have been developed and assessed. Good accuracy has
been found with 92% in rice grown area, 96% on rice long or short cycle, and a
root mean square error of 4.3 days in sowing date. The results have been
discussed regarding the generality of the methods with respect to the rice
cultural practices and the SAR data characteristics.
PMID- 29361777
TI - Dual Channel S-Band Frequency Modulated Continuous Wave Through-Wall Radar
Imaging.
AB - This article deals with the development of a dual channel S-Band frequency
modulated continuous wave (FMCW) system for a through-the-wall imaging (TWRI)
system. Most existing TWRI systems using FMCW were developed for synthetic
aperture radar (SAR) which has many drawbacks such as the need for several
antenna elements and movement of the system. Our implemented TWRI system
comprises a transmitting antenna and two receiving antennas, resulting in a
significant reduction of the number of antenna elements. Moreover, a proposed
algorithm for range-angle-Doppler 3D estimation based on a 3D shift invariant
structure is utilized in our implemented dual channel S-band FMCW TWRI system.
Indoor and outdoor experiments were conducted to image the scene beyond a wall
for water targets and person targets, respectively. The experimental results
demonstrate that high-quality imaging can be achieved under both experimental
scenarios.
PMID- 29361778
TI - Analysis of the Genetic Diversity and Population Structure of Austrian and
Belgian Wheat Germplasm within a Regional Context Based on DArT Markers.
AB - Analysis of crop genetic diversity and structure provides valuable information
needed to broaden the narrow genetic base as well as to enhance the breeding and
conservation strategies of crops. In this study, 95 Austrian and Belgian wheat
cultivars maintained at the Centre for Genetic Resources (CGN) in the Netherlands
were characterised using 1052 diversity array technology (DArT) markers to
evaluate their genetic diversity, relationships and population structure. The
rarefacted allelic richness recorded in the Austrian and Belgian breeding pools
(A25 = 1.396 and 1.341, respectively) indicated that the Austrian germplasm
contained a higher genetic diversity than the Belgian pool. The expected
heterozygosity (HE) values of the Austrian and Belgian pools were 0.411 and
0.375, respectively. Moreover, the values of the polymorphic information content
(PIC) of the Austrian and Belgian pools were 0.337 and 0.298, respectively.
Neighbour-joining tree divided each of the Austrian and Belgian germplasm pools
into two genetically distinct groups. The structure analyses of the Austrian and
Belgian pools were in a complete concordance with their neighbour-joining trees.
Furthermore, the 95 cultivars were compared to 618 wheat genotypes from nine
European countries based on a total of 141 common DArT markers in order to place
the Austrian and Belgian wheat germplasm in a wider European context. The
rarefacted allelic richness (A10) varied from 1.224 (Denmark) to 1.397 (Austria).
Cluster and principal coordinates (PCoA) analyses divided the wheat genotypes of
the nine European countries into two main clusters. The first cluster comprised
the Northern and Western European wheat genotypes, whereas the second included
the Central European cultivars. The structure analysis of the 618 European wheat
genotypes was in a complete concordance with the results of cluster and PCoA
analyses. Interestingly, a highly significant difference was recorded between
regions (26.53%). In conclusion, this is the first study to reveal the high
diversity levels and structure of the uncharacterised Austrian and Belgian wheat
germplasm maintained at the CGN as well as place them in a wider European
context. The results should help plant breeders to utilise the most promising
wheat genotypes of this study in future breeding programmes for enhancing wheat
cultivars.
PMID- 29361779
TI - PGC1alpha: Friend or Foe in Cancer?
AB - The PGC1 family (Peroxisome proliferator-activated receptor gamma (PPARgamma)
coactivators) of transcriptional coactivators are considered master regulators of
mitochondrial biogenesis and function. The PGC1alpha isoform is expressed
especially in metabolically active tissues, such as the liver, kidneys and brain,
and responds to energy-demanding situations. Given the altered and highly
adaptable metabolism of tumor cells, it is of interest to investigate PGC1alpha
in cancer. Both high and low levels of PGC1alpha expression have been reported to
be associated with cancer and worse prognosis, and PGC1alpha has been attributed
with oncogenic as well as tumor suppressive features. Early in carcinogenesis
PGC1alpha may be downregulated due to a protective anticancer role, and low
levels likely reflect a glycolytic phenotype. We suggest mechanisms of PGC1alpha
downregulation and how these might be connected to the increased cancer risk that
obesity is now known to entail. Later in tumor progression PGC1alpha is often
upregulated and is reported to contribute to increased lipid and fatty acid
metabolism and/or a tumor cell phenotype with an overall metabolic plasticity
that likely supports drug resistance as well as metastasis. We conclude that in
cancer PGC1alpha is neither friend nor foe, but rather the obedient servant
reacting to metabolic and environmental cues to benefit the tumor cell.
PMID- 29361780
TI - The Potential of Phytomelatonin as a Nutraceutical.
AB - Phytomelatonin (plant melatonin) is chemically related to the amino acid
tryptophan and has many diverse properties. Phytomelatonin is an interesting
compound due to its outstanding actions at the cellular and physiological level,
especially its protective effect in plants exposed to diverse stress situations,
while its vegetable origin offers many opportunities because it is a natural
compound. We present an overview of its origin, its action in plants in general
(particularly in plant species with high levels of phytomelatonin), and its
possibilities for use as a nutraceutical with particular attention paid to the
beneficial effects that it may have in human health. The differences between
synthetic melatonin and phytomelatonin, according to its origin and purity, are
presented. Finally, the current market for phytomelatonin and its limits and
potentials are discussed.
PMID- 29361781
TI - An Inverse Neural Controller Based on the Applicability Domain of RBF Network
Models.
AB - This paper presents a novel methodology of generic nature for controlling
nonlinear systems, using inverse radial basis function neural network models,
which may combine diverse data originating from various sources. The algorithm
starts by applying the particle swarm optimization-based non-symmetric variant of
the fuzzy means (PSO-NSFM) algorithm so that an approximation of the inverse
system dynamics is obtained. PSO-NSFM offers models of high accuracy combined
with small network structures. Next, the applicability domain concept is suitably
tailored and embedded into the proposed control structure in order to ensure that
extrapolation is avoided in the controller predictions. Finally, an error
correction term, estimating the error produced by the unmodeled dynamics and/or
unmeasured external disturbances, is included to the control scheme to increase
robustness. The resulting controller guarantees bounded input-bounded state
(BIBS) stability for the closed loop system when the open loop system is BIBS
stable. The proposed methodology is evaluated on two different control problems,
namely, the control of an experimental armature-controlled direct current (DC)
motor and the stabilization of a highly nonlinear simulated inverted pendulum.
For each one of these problems, appropriate case studies are tested, in which a
conventional neural controller employing inverse models and a PID controller are
also applied. The results reveal the ability of the proposed control scheme to
handle and manipulate diverse data through a data fusion approach and illustrate
the superiority of the method in terms of faster and less oscillatory responses.
PMID- 29361782
TI - Applications of Probe Capture Enrichment Next Generation Sequencing for Whole
Mitochondrial Genome and 426 Nuclear SNPs for Forensically Challenging Samples.
AB - The application of next generation sequencing (NGS) for the analysis of
mitochondrial (mt) DNA, short tandem repeats (STRs), and single nucleotide
polymorphism (SNPs) has demonstrated great promise for challenging forensic
specimens, such as degraded, limited, and mixed samples. Target enrichment using
probe capture rather than PCR amplification offers advantages for analysis of
degraded DNA since two intact PCR primer sites in the template DNA molecule are
not required. Furthermore, NGS software programs can help remove PCR duplicates
to determine initial template copy numbers of a shotgun library. Moreover, the
same shotgun library prepared from a limited DNA source can be enriched for mtDNA
as well as nuclear markers by hybrid capture with the relevant probe panels.
Here, we demonstrate the use of this strategy in the analysis of limited and mock
degraded samples using our custom probe capture panels for massively parallel
sequencing of the whole mtgenome and 426 SNP markers. We also applied the
mtgenome capture panel in a mixed sample and analyzed using both phylogenetic and
variant frequency based bioinformatics tools to resolve the minor and major
contributors. Finally, the results obtained on individual telogen hairs
demonstrate the potential of probe capture NGS analysis for both mtDNA and
nuclear SNPs for challenging forensic specimens.
PMID- 29361783
TI - Localization Microscopy Analyses of MRE11 Clusters in 3D-Conserved Cell Nuclei of
Different Cell Lines.
AB - In radiation biophysics, it is a subject of nowadays research to investigate DNA
strand break repair in detail after damage induction by ionizing radiation. It is
a subject of debate as to what makes up the cell's decision to use a certain
repair pathway and how the repair machinery recruited in repair foci is spatially
and temporarily organized. Single-molecule localization microscopy (SMLM) allows
super-resolution analysis by precise localization of single fluorescent molecule
tags, resulting in nuclear structure analysis with a spatial resolution in the 10
nm regime. Here, we used SMLM to study MRE11 foci. MRE11 is one of three proteins
involved in the MRN-complex (MRE11-RAD50-NBS1 complex), a prominent DNA strand
resection and broken end bridging component involved in homologous recombination
repair (HRR) and alternative non-homologous end joining (a-NHEJ). We analyzed the
spatial arrangements of antibody-labelled MRE11 proteins in the nuclei of a
breast cancer and a skin fibroblast cell line along a time-course of repair (up
to 48 h) after irradiation with a dose of 2 Gy. Different kinetics for cluster
formation and relaxation were determined. Changes in the internal nano-scaled
structure of the clusters were quantified and compared between the two cell
types. The results indicate a cell type-dependent DNA damage response concerning
MRE11 recruitment and cluster formation. The MRE11 data were compared to H2AX
phosphorylation detected by gammaH2AX molecule distribution. These data suggested
modulations of MRE11 signal frequencies that were not directly correlated to DNA
damage induction. The application of SMLM in radiation biophysics offers new
possibilities to investigate spatial foci organization after DNA damaging and
during subsequent repair.
PMID- 29361784
TI - Identification of a Common Different Gene Expression Signature in Ischemic
Cardiomyopathy.
AB - The molecular mechanisms underlying the development of ischemic cardiomyopathy
(ICM) remain poorly understood. Gene expression profiling is helpful to discover
the molecular changes taking place in ICM. The aim of this study was to identify
the genes that are significantly changed during the development of heart failure
caused by ICM. The differentially expressed genes (DEGs) were identified from 162
control samples and 227 ICM patients. PANTHER was used to perform gene ontology
(GO), and Reactome for pathway enrichment analysis. A protein-protein interaction
network was established using STRING and Cytoscape. A further validation was
performed by real-time polymerase chain reaction (RT-PCR). A total of 255 common
DEGs was found. Gene ontology, pathway enrichment, and protein-protein
interaction analysis showed that nucleic acid-binding proteins, enzymes, and
transcription factors accounted for a great part of the DEGs, while immune system
signaling and cytokine signaling displayed the most significant changes.
Furthermore, seven hub genes and nine transcription factors were identified.
Interestingly, the top five upregulated DEGs were located on chromosome Y, and
four of the top five downregulated DEGs were involved in immune and inflammation
signaling. Further, the top DEGs were validated by RT-PCR in human samples. Our
study explored the possible molecular mechanisms of heart failure caused by
ischemic heart disease.
PMID- 29361785
TI - MHC-Dependent Mate Selection within 872 Spousal Pairs of European Ancestry from
the Health and Retirement Study.
AB - Disassortative mating refers to the phenomenon in which individuals with
dissimilar genotypes and/or phenotypes mate with one another more frequently than
would be expected by chance. Although the existence of disassortative mating is
well established in plant and animal species, the only documented example of
negative assortment in humans involves dissimilarity at the major
histocompatibility complex (MHC) locus. Previous studies investigating mating
patterns at the MHC have been hampered by limited sample size and contradictory
findings. Inspired by the sparse and conflicting evidence, we investigated the
role that the MHC region played in human mate selection using genome-wide
association data from 872 European American spouses from the Health and
Retirement Study (HRS). First, we treated the MHC region as a whole, and
investigated genomic similarity between spouses using three levels of genomic
variation: single-nucleotide polymorphisms (SNPs), classical human leukocyte
antigen (HLA) alleles (both four-digit and two-digit classifications), and amino
acid polymorphisms. The extent of MHC dissimilarity between spouses was assessed
using a permutation approach. Second, we investigated fine scale mating patterns
by testing for deviations from random mating at individual SNPs, HLA genes, and
amino acids in HLA molecules. Third, we assessed how extreme the spousal
relatedness at the MHC region was compared to the rest of the genome, to
distinguish the MHC-specific effects from genome-wide effects. We show that
neither the MHC region, nor any single SNPs, classic HLA alleles, or amino acid
polymorphisms within the MHC region, were significantly dissimilar between
spouses relative to non-spouse pairs. However, dissimilarity in the MHC region
was extreme relative to the rest of genome for both spousal and non-spouse pairs.
Despite the long-standing controversy, our analyses did not support a significant
role of MHC dissimilarity in human mate choice.
PMID- 29361786
TI - Speaking Up: Veterinary Ethical Responsibilities and Animal Welfare Issues in
Everyday Practice.
AB - Although expectations for appropriate animal care are present in most developed
countries, significant animal welfare challenges continue to be seen on a regular
basis in all areas of veterinary practice. Veterinary ethics is a relatively new
area of educational focus but is thought to be critically important in helping
veterinarians formulate their approach to clinical case management and in
determining the overall acceptability of practices towards animals. An overview
is provided of how veterinary ethics are taught and how common ethical frameworks
and approaches are employed-along with legislation, guidelines and codes of
professional conduct-to address animal welfare issues. Insufficiently mature
ethical reasoning or a lack of veterinary ethical sensitivity can lead to an
inability or difficulty in speaking up about concerns with clients and
ultimately, failure in their duty of care to animals, leading to poor animal
welfare outcomes. A number of examples are provided to illustrate this point.
Ensuring that robust ethical frameworks are employed will ultimately help
veterinarians to "speak up" to address animal welfare concerns and prevent future
harms.
PMID- 29361787
TI - Differential Gene Expression in Response to Salinity and Temperature in a
Haloarcula Strain from Great Salt Lake, Utah.
AB - Haloarchaea that inhabit Great Salt Lake (GSL), a thalassohaline terminal lake,
must respond to the fluctuating climate conditions of the elevated desert of
Utah. We investigated how shifting environmental factors, specifically salinity
and temperature, affected gene expression in the GSL haloarchaea, NA6-27, which
we isolated from the hypersaline north arm of the lake. Combined data from
cultivation, microscopy, lipid analysis, antibiotic sensitivity, and 16S rRNA
gene alignment, suggest that NA6-27 is a member of the Haloarcula genus. Our
prior study demonstrated that archaea in the Haloarcula genus were stable in the
GSL microbial community over seasons and years. In this study, RNA arbitrarily
primed PCR (RAP-PCR) was used to determine the transcriptional responses of NA6
27 grown under suboptimal salinity and temperature conditions. We observed
alteration of the expression of genes related to general stress responses, such
as transcription, translation, replication, signal transduction, and energy
metabolism. Of the ten genes that were expressed differentially under stress,
eight of these genes responded in both conditions, highlighting this general
response. We also noted gene regulation specific to salinity and temperature
conditions, such as osmoregulation and transport. Taken together, these data
indicate that the GSL Haloarcula strain, NA6-27, demonstrates both general and
specific responses to salinity and/or temperature stress, and suggest a
mechanistic model for homeostasis that may explain the stable presence of this
genus in the community as environmental conditions shift.
PMID- 29361788
TI - MiR-93-5p Promotes Cell Proliferation through Down-Regulating PPARGC1A in
Hepatocellular Carcinoma Cells by Bioinformatics Analysis and Experimental
Verification.
AB - Peroxisome proliferator-activated receptor gamma coactivator-1 alpha (PPARGC1A,
formerly known as PGC-1a) is a transcriptional coactivator and metabolic
regulator. Previous studies are mainly focused on the association between
PPARGC1A and hepatoma. However, the regulatory mechanism remains unknown. A
microRNA associated with cancer (oncomiR), miR-93-5p, has recently been found to
play an essential role in tumorigenesis and progression of various carcinomas,
including liver cancer. Therefore, this paper aims to explore the regulatory
mechanism underlying these two proteins in hepatoma cells. Firstly, an
integrative analysis was performed with miRNA-mRNA modules on microarray and The
Cancer Genome Atlas (TCGA) data and obtained the core regulatory network and miR
93-5p/PPARGC1A pair. Then, a series of experiments were conducted in hepatoma
cells with the results including miR-93-5p upregulated and promoted cell
proliferation. Thirdly, the inverse correlation between miR-93-5p and PPARGC1A
expression was validated. Finally, we inferred that miR-93-5p plays an essential
role in inhibiting PPARGC1A expression by directly targeting the 3'-untranslated
region (UTR) of its mRNA. In conclusion, these results suggested that miR-93-5p
overexpression contributes to hepatoma development by inhibiting PPARGC1A. It is
anticipated to be a promising therapeutic strategy for patients with liver cancer
in the future.
PMID- 29361789
TI - Anti-Inflammatory Effects of a Stauntonia hexaphylla Fruit Extract in
Lipopolysaccharide-Activated RAW-264.7 Macrophages and Rats by Carrageenan
Induced Hind Paw Swelling.
AB - The fruit of Stauntoniahexaphylla is commonly used as a traditional anthelmintic
in Korea, Japan, and China. However, its anti-inflammatory activity and the
underlying mechanisms have not been studied systematically. In the present study,
we examined the anti-inflammatory activities of an aqueous extract of S.
hexaphylla fruit (SHF) in lipopolysaccharide (LPS)-activated RAW 264.7 cells. The
SHF extract contained anti-inflammatory compounds, such as neochlorogenic acid,
chlorogenic acid, and cryptochlorogenic acid. The extract inhibited protein
levels of inducible nitric oxide synthase and the activity of cyclooxygenase
enzyme, with concomitant reductions in the production of nitric oxide and
prostaglandin E2 in LPS-activated RAW 264.7 cells. Additionally, the SHF extract
reduced the production of pro-inflammatory cytokines, including tumor necrosis
factor-alpha, interleukin (IL)-1beta, and IL-6. The SHF extract attenuated LPS
induced nuclear factor-kappaB (NF-kappaB) activation by decreasing the
phosphorylation of its inhibitor, IkappaBalpha. Furthermore, the SHF extract
showed a significant anti-inflammatory effect in vivo by reducing the volume of
carrageenan-induced paw edema in rats. Our results suggest that the SHF extract
exerts potential anti-inflammatory properties against LPS-activated RAW 254.7
cells, and in an animal model of inflammation.
PMID- 29361791
TI - Groundwater Pollution Sources Apportionment in the Ghaen Plain, Iran.
AB - Although Iran's Ghaen Plain provides saffron to much of the world, no regional
groundwater quality (GQ) assessment has yet been undertaken. Given the region's
potential for saltwater intrusion and heavy metal contamination, it is important
to assess the GQ and determine its main probable source of pollution (MPSP). Such
knowledge would allow for informed mitigation or elimination of the potential
adverse health effects of this groundwater through its use as drinking water, or
indirectly as a result of the consumption of groundwater-irrigated crops. Total
dissolved solids, sodium, and chloride in the water of the majority of 16 wells
sampled within the region exceeded World Health Organization and Iranian
permissible standards for drinking water. The groundwater proved to only be
suitable for irrigating salt tolerant crops under good drainage conditions. Due
to the precipitation of calcium carbonate in the water supply facilities, the
water from all wells was deemed unsuitable for industrial purposes. Heavy metal
pollution and contamination indices showed no groundwater contamination. Analysis
of ionic ratios and the application of principal components analysis indicated
the MPSP to be saltwater intrusion, with the geology subtending the plain, and to
a lesser extent, anthropogenic activities. Reducing groundwater withdrawals,
particularly those for agricultural production by using high performance
irrigation methods could reduce saltwater intrusion and improve GQ in the Ghaen
Plain.
PMID- 29361790
TI - Single-Cell Genomic Analysis in Plants.
AB - Individual cells in an organism are variable, which strongly impacts cellular
processes. Advances in sequencing technologies have enabled single-cell genomic
analysis to become widespread, addressing shortcomings of analyses conducted on
populations of bulk cells. While the field of single-cell plant genomics is in
its infancy, there is great potential to gain insights into cell lineage and
functional cell types to help understand complex cellular interactions in plants.
In this review, we discuss current approaches for single-cell plant genomic
analysis, with a focus on single-cell isolation, DNA amplification, next
generation sequencing, and bioinformatics analysis. We outline the technical
challenges of analysing material from a single plant cell, and then examine
applications of single-cell genomics and the integration of this approach with
genome editing. Finally, we indicate future directions we expect in the rapidly
developing field of plant single-cell genomic analysis.
PMID- 29361792
TI - Number of Heat Wave Deaths by Diagnosis, Sex, Age Groups, and Area, in Slovenia,
2015 vs. 2003.
AB - Background: Number of deaths increases during periods of elevated heat.
Objectives: To examine whether differences in heat-related deaths between 2003
and 2015 occurred in Slovenia. Materials and Methods: We estimated relative risks
for deaths for the observed diagnoses, sex, age, and area, as well as 95%
confidence intervals and excess deaths associated with heat waves occurring in
2015 and 2003. For comparison between 2015 and 2003, we calculated relative risks
ratio and 95% confidence intervals. Results: Statistically significant in 2015
were the following: age group 75+, all causes of deaths (RR = 1.10, 95% CI 1.00
1.22); all population, circulatory system diseases (RR = 1.14, 95% CI 1.01-1.30)
and age group 75+, diseases of circulatory system (RR = 1.17, 95% CI 1.01-1.34).
Statistically significant in 2003 were the following: female, age group 5-74,
circulatory system diseases (RR = 1.69, 95% CI 1.08-2.62). Discussion: Comparison
between 2015 and 2003, all, circulatory system diseases (RRR = 1.25, 95% CI 1.01
1.55); male, circulatory system diseases (RRR = 1.85, 95% CI 1.41-2.43); all, age
group 75+ circulatory system diseases (RRR = 1.34, 95% CI 1.07-1.69); male, age
group 75+, circulatory system diseases (RRR = 1.52, 95% CI 1.03-2.25) and female,
age group 75+, circulatory system diseases (RRR = 1.43, 95% CI 1.08-1.89).
Conclusions: Public health efforts are urgent and should address circulatory
system causes and old age groups.
PMID- 29361794
TI - Arsenic Methylation Capacity and Metabolic Syndrome in the 2013-2014 U.S.
National Health and Nutrition Examination Survey (NHANES).
AB - Arsenic methylation capacity is associated with metabolic syndrome and its
components among highly exposed populations. However, this association has not
been investigated in low to moderately exposed populations. Therefore, we
investigated arsenic methylation capacity in relation to the clinical diagnosis
of metabolic syndrome in a low arsenic exposure population. Additionally, we
compared arsenic methylation patterns present in our sample to those of more
highly exposed populations. Using logistic regression models adjusted for
relevant biological and lifestyle covariates, we report no association between
increased arsenic methylation and metabolic syndrome in a population in which
arsenic is regulated at 10 ppb in drinking water. However, we cannot rule out the
possibility of a positive association between arsenic methylation and metabolic
syndrome in a subsample of women with normal body mass index (BMI). To our
knowledge this is the first investigation of arsenic methylation capacity with
respect to metabolic syndrome in a low exposure population. We also report that
methylation patterns in our sample are similar to those found in highly exposed
populations. Additionally, we report that gender and BMI significantly modify the
effect of arsenic methylation on metabolic syndrome. Future studies should
evaluate the effectiveness of arsenic policy enforcement on subclinical
biomarkers of cardiovascular disease.
PMID- 29361793
TI - The Association of Food Consumption Scores, Body Shape Index, and Hypertension in
a Seven-Year Follow-Up among Indonesian Adults: A Longitudinal Study.
AB - Aims: The concept of food security and its association with chronic diseases are
both well-established. During the years within the scope of the study, there was
a significant increase in the body shape index (ABSI) of Indonesian adults. This
study tested the hypothesis that the association between food security and
chronic diseases is mediated, in part, by ABSI. Methods: Data was obtained from
2156 Indonesian adults using the Indonesia Family Life Survey (IFLS) in 2007 and
2014. Longitudinal study participants were interviewed face-to-face for dietary
intake data using the food frequency questionnaire (FFQ). Food security, a
concept developed by the World Food Programme (WFP), was calculated based on a
food consumption score analysis using the FFQ. A generalized estimating equation
(GEE) and a Sobel-Goodman test were used to test the hypothesis in this study.
Results: The food consumption score was negatively associated with ABSI. It was
also negatively associated with systolic blood pressure (p < 0.001). In a formal
mediation analysis, ABSI significantly mediated the pathway between the food
consumption score and systolic blood pressure (p < 0.001). Conclusions: The
effect of food security on hypertension is mediated through body shape.
Strategies to improve the prevention of hypertension among adults may need to
take the ABSI and food security, along with nutrition education, into account.
PMID- 29361795
TI - A Comparative Pharmacokinetic Study by UHPLC-MS/MS of Main Active Compounds after
Oral Administration of Zushima-Gancao Extract in Normal and Adjuvant-Induced
Arthritis Rats.
AB - A sensitive and rapid ultra high-performance liquid-chromatography tandem mass
spectrometry (UHPLC-MS/MS) method has been applied to investigate the influence
of rheumatoid arthritis (RA) on the pharmacokinetics of nine analytes (daphnetin,
daphnoretin, 7-hydroxycoumarin, liquiritin, isoliquiritin, liquiritigenin,
isoliquiritigenin, glycyrrhizin, and glycyrrhetinic acid), which are major active
components in Zushima-Gancao extract. The analytes and internal standard (IS)
were separated in a Hypersil Gold C18 column and detected on a triple-stage
quadrupole mass spectrometer using the validated method. All analytes exhibited
good linearities (R2 > 0.98), and the lower limit of quantification (LLOQs) were
sufficient for quantitative analysis. Intra- and inter-batch precision were all
within 14.96% while the accuracy of nine analytes ranged from -17.99 to 14.48%,
and these results were all within acceptance criteria. The extraction recoveries,
matrix effects, and stabilities were all satisfactory. Main pharmacokinetic
parameters of each compound were compared, and significant differences were found
in parameters of daphnetin, daphnoretin, liquiritin, isoliquiritin,
isoliquiritigenin, glycyrrhizin, and glycyrrhetinic acid, especially the last
one, between the two groups. Therefore, adjuvant-induced arthritis has different
effects on the pharmacokinetics of ingredients in Zushima-Gancao extract. The
comparative pharmacokinetic study between normal and adjuvant-induced arthritis
rats might provide more comprehensive information to guide the clinical usage of
Zushima-Gancao extract for treating RA.
PMID- 29361796
TI - Role of 3-Hydroxy Fatty Acid-Induced Hepatic Lipotoxicity in Acute Fatty Liver of
Pregnancy.
AB - Acute fatty liver of pregnancy (AFLP), a catastrophic illness for both the mother
and the unborn offspring, develops in the last trimester of pregnancy with
significant maternal and perinatal mortality. AFLP is also recognized as an
obstetric and medical emergency. Maternal AFLP is highly associated with a fetal
homozygous mutation (1528G>C) in the gene that encodes for mitochondrial long
chain hydroxy acyl-CoA dehydrogenase (LCHAD). The mutation in LCHAD results in
the accumulation of 3-hydroxy fatty acids, such as 3-hydroxy myristic acid, 3
hydroxy palmitic acid and 3-hydroxy dicarboxylic acid in the placenta, which are
then shunted to the maternal circulation leading to the development of acute
liver injury observed in patients with AFLP. In this review, we will discuss the
mechanistic role of increased 3-hydroxy fatty acid in causing lipotoxicity to the
liver and in inducing oxidative stress, mitochondrial dysfunction and hepatocyte
lipoapoptosis. Further, we also review the role of 3-hydroxy fatty acids in
causing placental damage, pancreatic islet beta-cell glucolipotoxicity, brain
damage, and retinal epithelial cells lipoapoptosis in patients with LCHAD
deficiency.
PMID- 29361798
TI - Orientation of Steel Fibers in Magnetically Driven Concrete and Mortar.
AB - The orientation of steel fibers in magnetically driven concrete and magnetically
driven mortar was experimentally studied in this paper using a magnetic method.
In the magnetically driven concrete, a steel slag was used to replace the coarse
aggregate. In the magnetically driven mortar, steel slag and iron sand were used
to replace the fine aggregate. A device was established to provide the magnetic
force. The magnetic force was used to rotate the steel fibers. In addition, the
magnetic force was also used to vibrate the concrete and mortar. The effect of
magnetic force on the orientation of steel fibers was examined by comparing the
direction of fibers before and after vibration. The effect of magnetically driven
concrete and mortar on the orientation of steel fibers was also examined by
comparing specimens to normal concrete and mortar. It is shown that the fibers
could rotate about 90 degrees in magnetically driven concrete. It is also shown
that the number of fibers rotated in magnetically driven mortar was much more
than in mortar vibrated using a shaking table. A splitting test was performed on
concrete specimens to investigate the effect of fiber orientation. In addition, a
flexural test was also performed on mortar test specimens. It is shown that the
orientation of the steel fibers in magnetically driven concrete and mortar
affects the strength of the concrete and mortar specimens.
PMID- 29361797
TI - Biochemical Analysis of the Role of Leucine-Rich Repeat Receptor-Like Kinases and
the Carboxy-Terminus of Receptor Kinases in Regulating Kinase Activity in
Arabidopsis thaliana and Brassica oleracea.
AB - Protein post-translational modification by phosphorylation is essential for the
activity and stability of proteins in higher plants and underlies their responses
to diverse stimuli. There are more than 300 leucine-rich repeat receptor-like
kinases (LRR-RLKs), a major group of receptor-like kinases (RLKs) that plays an
important role in growth, development, and biotic stress responses in higher
plants. To analyze auto- and transphosphorylation patterns and kinase activities
in vitro, 43 full-length complementary DNA (cDNA) sequences were cloned from
genes encoding LRR-RLKs. Autophosphorylation activity was found in the
cytoplasmic domains (CDs) of 18 LRR-RLKs; 13 of these LRR-RLKs with
autophosphorylation activity showed transphosphorylation in Escherichiacoli. BRI1
Associated Receptor Kinase (BAK1), which is critically involved in the
brassinosteroid and plant innate immunity signal transduction pathways, showed
strong auto- and transphosphorylation with multi-specific kinase activity within
2 h of induction of Brassica oleraceae BAK1-CD (BoBAK1-CD) in E. coli; moreover,
the carboxy-terminus of LRR-RLKs regulated phosphorylation and kinase activity in
Arabidopsis thaliana and vegetative crops.
PMID- 29361799
TI - Preparedness of Health Care Professionals for Delivering Sexual and Reproductive
Health Care to Refugee and Migrant Women: A Mixed Methods Study.
AB - Past research suggests that factors related to health care professionals' (HCPs)
knowledge, training and competency can contribute to the underutilisation of
sexual and reproductive health (SRH) care by refugee and migrant women. The aim
of this study was to examine the perceived preparedness of HCPs in relation to
their knowledge, confidence and training needs when it comes to consulting
refugee and migrant women seeking SRH care in Australia. A sequential mixed
methods design, comprising an online survey with 79 HCPs (45.6% nurses, 30.3%
general practitioners (GPs), 16.5% health promotion officers, and 7.6% allied
health professionals) and semi-structured interviews with 21 HCPs, was utilised.
HCPs recognised refugee and migrant women's SRH as a complex issue that requires
unique skills for the delivery of optimal care. However, they reported a lack of
training (59.4% of nurses, 50% of GPs, and 38.6% of health promotion officers)
and knowledge (27.8% of nurses, 20.8% of GPs, and 30.8% of health promotion
officers) in addressing refugee and migrant women's SRH. The majority of
participants (88.9% of nurses, 75% of GPs, and 76% of health promotion officers)
demonstrated willingness to engage with further training in refugee and migrant
women's SRH. The implications of the findings are argued regarding the need to
train HCPs in culturally sensitive care and include the SRH of refugee and
migrant women in university and professional development curricula in meeting the
needs of this growing and vulnerable group of women.
PMID- 29361801
TI - Genome-Wide Identification and Characterization of the Potato bHLH Transcription
Factor Family.
AB - Plant basic/helix-loop-helix (bHLH) transcription factors participate in a number
of biological processes, such as growth, development and abiotic stress
responses. The bHLH family has been identified in many plants, and several bHLH
transcription factors have been functionally characterized in Arabidopsis.
However, no systematic identification of bHLH family members has been reported in
potato (Solanum tuberosum). Here, 124 StbHLH genes were identified and named
according to their chromosomal locations. The intron numbers varied from zero to
seven. Most StbHLH proteins had the highly conserved intron phase 0, which
accounted for 86.2% of the introns. According to the Neighbor-joining
phylogenetic tree, 259 bHLH proteins acquired from Arabidopsis and potato were
divided into 15 groups. All of the StbHLH genes were randomly distributed on 12
chromosomes, and 20 tandem duplicated genes and four pairs of duplicated gene
segments were detected in the StbHLH family. The gene ontology (GO) analysis
revealed that StbHLH mainly function in protein and DNA binding. Through the RNA
seq and quantitative real time PCR (qRT-PCR) analyses, StbHLH were found to be
expressed in various tissues and to respond to abiotic stresses, including salt,
drought and heat. StbHLH1, 41 and 60 were highly expressed in flower tissues, and
were predicted to be involved in flower development by GO annotation. StbHLH45
was highly expressed in salt, drought and heat stress, which suggested its
important role in abiotic stress response. The results provide comprehensive
information for further analyses of the molecular functions of the StbHLH gene
family.
PMID- 29361802
TI - Metagenomics of Bacterial Diversity in Villa Luz Caves with Sulfur Water Springs.
AB - New biotechnology applications require in-depth preliminary studies of
biodiversity. The methods of massive sequencing using metagenomics and
bioinformatics tools offer us sufficient and reliable knowledge to understand
environmental diversity, to know new microorganisms, and to take advantage of
their functional genes. Villa Luz caves, in the southern Mexican state of
Tabasco, are fed by at least 26 groundwater inlets, containing 300-500 mg L-1 H2S
and <0.1 mg L-1 O2. We extracted environmental DNA for metagenomic analysis of
collected samples in five selected Villa Luz caves sites, with pH values from 2.5
to 7. Foreign organisms found in this underground ecosystem can oxidize H2S to
H2SO4. These include: biovermiculites, a bacterial association that can grow on
the rock walls; snottites, that are whitish, viscous biofilms hanging from the
rock walls, and sacks or bags of phlegm, which live within the aquatic
environment of the springs. Through the emergency food assistance program (TEFAP)
pyrosequencing, a total of 20,901 readings of amplification products from
hypervariable regions V1 and V3 of 16S rRNA bacterial gene in whole and pure
metagenomic DNA samples were generated. Seven bacterial phyla were identified. As
a result, Proteobacteria was more frequent than Acidobacteria. Finally,
acidophilic Proteobacteria was detected in UJAT5 sample.
PMID- 29361803
TI - Overall Survival of Ovarian Cancer Patients Is Determined by Expression of
Galectins-8 and -9.
AB - The evaluation of new prognostic factors that can be targeted in ovarian cancer
diagnosis and therapy is of the utmost importance. Galectins are a family of
carbohydrate binding proteins with various implications in cancer biology. In
this study, the presence of galectin (Gal)-8 and -9 was investigated in 156
ovarian cancer samples using immunohistochemistry (IHC). Staining was evaluated
using semi-quantitative immunoreactivity (IR) scores and correlated to clinical
and pathological data. Different types of galectin expression were compared with
respect to disease-free survival (DFS) and overall survival (OS). Gal-8 served as
a new positive prognostic factor for the OS and DFS of ovarian cancer patients.
Gal-9 expression determined the DFS and OS of ovarian cancer patients in two
opposing ways-moderate Gal-9 expression was correlated with a reduced outcome as
compared to Gal-9 negative cases, while patients with high Gal-9 expression
showed the best outcome.
PMID- 29361805
TI - EKF-GPR-Based Fingerprint Renovation for Subset-Based Indoor Localization with
Adjusted Cosine Similarity.
AB - Received Signal Strength Indicator (RSSI) localization using fingerprint has
become a prevailing approach for indoor localization. However, the fingerprint
collecting work is repetitive and time-consuming. After the original fingerprint
radio map is built, it is laborious to upgrade the radio map. In this paper, we
describe a Fingerprint Renovation System (FRS) based on crowdsourcing, which
avoids the use of manual labour to obtain the up-to-date fingerprint status.
Extended Kalman Filter (EKF) and Gaussian Process Regression (GPR) in FRS are
combined to calculate the current state based on the original fingerprinting
radio map. In this system, a method of subset acquisition also makes an immediate
impression to reduce the huge computation caused by too many reference points
(RPs). Meanwhile, adjusted cosine similarity (ACS) is employed in the online
phase to solve the issue of outliers produced by cosine similarity. Both
experiments and analytical simulation in a real Wireless Fidelity (Wi-Fi)
environment indicate the usefulness of our system to significant performance
improvements. The results show that FRS improves the accuracy by 19.6% in the
surveyed area compared to the radio map un-renovated. Moreover, the proposed
subset algorithm can bring less computation.
PMID- 29361807
TI - [Quality is the Key for Emerging Issues of Population-based Colonoscopy
Screening].
AB - Colonoscopy is currently regarded as the gold standard and preferred method of
screening for colorectal cancer (CRC). However, the benefit of colonoscopy
screening may be blunted by low participation rate in population-based screening
program. Harmful effects of population-based colonoscopy screening may include
complications induced by colonoscopy itself and by sedation, psychosocial
distress, potential over-diagnosis and socioeconomic burden. In addition, harmful
effect of colonoscopy may increase with age and comorbidity. As the adverse event
risk in population-based colonoscopy screening may offset benefit of the
screening colonoscopy, the adverse events associated with screening colonoscopy
should be well managed and monitored. To adopt population-based colonoscopy
screening, consensus for the risk and benefits of screening colonoscopy should be
formed for its potential harms, patient preference, socioeconomic considerations,
quality improvement of colonoscopy as well as its efficacy for CRC prevention. As
the suboptimal colonoscopy quality is a major pitfall of population-based
colonoscopy screening, adequate training and provider regulation for screening
colonoscopists should be the first step to minimize the variation of quality
between colonoscopists. Gastroenterologists should lead quality improvement,
auditing, and training associated with colonoscopy in a population-based
colonoscopy screening program.
PMID- 29361800
TI - Heat Shock Proteins and Autophagy Pathways in Neuroprotection: from Molecular
Bases to Pharmacological Interventions.
AB - Neurodegenerative diseases (NDDs) such as Alzheimer's disease, Parkinson's
disease and Huntington's disease (HD), amyotrophic lateral sclerosis, and prion
diseases are all characterized by the accumulation of protein aggregates
(amyloids) into inclusions and/or plaques. The ubiquitous presence of amyloids in
NDDs suggests the involvement of disturbed protein homeostasis (proteostasis) in
the underlying pathomechanisms. This review summarizes specific mechanisms that
maintain proteostasis, including molecular chaperons, the ubiquitin-proteasome
system (UPS), endoplasmic reticulum associated degradation (ERAD), and different
autophagic pathways (chaperon mediated-, micro-, and macro-autophagy). The role
of heat shock proteins (Hsps) in cellular quality control and degradation of
pathogenic proteins is reviewed. Finally, putative therapeutic strategies for
efficient removal of cytotoxic proteins from neurons and design of new
therapeutic targets against the progression of NDDs are discussed.
PMID- 29361808
TI - [Endoscopic Treatment for Pancreatic Cystic Lesions].
AB - The decision of the appropriate treatment for pancreatic cystic lesions (PCLs) is
becoming increasingly important as the number of incidentally found PCLs
increases. A range of modalities have been attempted because there has been an
increasing demand for minimally invasive treatment for PCLs due to the large
burden of a surgical resection. Endoscopic treatment using endoscopic
ultrasonography (EUS), a representative of minimally invasive therapy, can be
categorized into two types: ablation therapy by the injection of drugs and
topical thermal coagulative therapy through the high topical energy. A number of
studies reported the feasibility and efficacy of these treatments; the most
common is EUS-guided ablation for PCLS with ethanol alone or in combination with
anticancer drugs. Although ablation therapies with drug injection have proven
safety and feasibility, there is no consensus regarding the actual treatment
effects and indications of these modalities. EUS-guided radiofrequency ablation
was recently attempted as a representative method of local thermal coagulation,
but further studies will be needed because of the lack of evidence of its
feasibility and safety. In addition, a range of treatments for malignant tumors
rather than PCLs have been attempted, such as EUS-guided photodynamic therapy,
EUS-guided neodymium-doped yttrium aluminum garnet laser, and high-intensity
focused ultrasound, based on the data from animal experiments. Through further
study, endoscopic treatment is expected to become established as a useful
treatment modality for PCLs.
PMID- 29361804
TI - Global Mortality Burden of Cirrhosis and Liver Cancer Attributable to Injection
Drug Use, 1990-2016: An Age-Period-Cohort and Spatial Autocorrelation Analysis.
AB - We analyzed the temporal and spatial variations in mortality burden of cirrhosis
and liver cancer attributable to injection drug use (IDU) from 1990 to 2016.
Mortality data of IDU-attributable cirrhosis and IDU-attributable liver cancer on
the global and national scales from 1990 to 2016 were collected from the Global
Burden of Disease (GBD) studies. Age-period-cohort (APC) model analysis was used
to analyze the global mortality trends of target disease, and spatial
autocorrelation analysis based on Geographic Information System was applied to
illustrate the clusters of the most epidemic countries. Globally, from 1990 to
2015, mortality rates (age-standardized, per 100,000) of IDU-attributable
cirrhosis increased continually from 1.5 to 1.9, while from 0.4 to 0.9 for IDU
attributable liver cancer. The APC model analysis indicated that the increases of
mortality were mainly driven by period effects, with the mortality risk
increasing by 6.82-fold for IDU-attributable cirrhosis and 3.08-fold for IDU
attributable liver cancer. The spatial analysis suggested that IDU-attributable
cirrhosis mortality were geographically clustered from 1990 to 2016, and hot
spots were mainly located in less well developed countries of Latin America, East
and Central Europe and Central Asia. Our study provides epidemiological evidence
for global interventions against advanced liver disease among injection drug
users (IDUs).
PMID- 29361806
TI - Molecular Identification of Eimeria Species in Broiler Chickens in Trinidad, West
Indies.
AB - Coccidiosis is an intestinal disease of chickens of major economic importance to
broiler industries worldwide. Species of coccidia found in chickens include
Eimeria acervulina, Eimeria brunetti, Eimeria maxima, Eimeria mitis, Eimeria
necatrix, Eimeria praecox, and Eimeria tenella. In recent years, polymerase chain
reaction (PCR) has been developed to provide accurate and rapid identification of
the seven known Eimeria species of chickens. The aim of this study was to use
species-specific real-time PCR (qPCR) to identify which of the seven Eimeria
species are present in Trinidad poultry. Seventeen pooled fecal samples were
collected from 6 broiler farms (2-5 pens per farm) across Trinidad. Feces were
also collected from birds showing clinical signs of coccidiosis in two live bird
markets (pluck shops). qPCR revealed the presence of five species of Eimeria (E.
acervulina, E. maxima, E. mitis, E. necatrix, and E. tenella), but not E.
brunetti or E. praecox. Mixed infections were detected on all broiler farms, and
DNA of two highly pathogenic Eimeria species (E. tenella and E.necatrix) was
detected in feces taken from clinically sick birds sampled from the two pluck
shops.
PMID- 29361809
TI - [The Prevalence of Nontuberculous Mycobacterial Lung Disease with orwithout
Reflux Esophagitis].
AB - Background/Aims: Gastrointestinal reflux disease (GERD) is defined as 'a
condition that develops when the reflux of stomach contents causes troublesome
symptoms and/or complications'. It is associated with various lung diseases,
including bronchial asthma, chronic bronchitis, and bronchiectasis. GERD may also
affect nontuberculous mycobacterial (NTM) lung disease. We presumed that the
normal mucosal barrier of the bronchial epithelium is broken due to the
aspiration of gastric juice and consequent chronic bronchial inflammation. This
study investigated the prevalence of GERD in accordance with the presence or
absence of NTM lung disease and analyzed the difference. Methods: We screened
patients with NTM lung disease in this hospital between January 2011 and December
2015. Among these patients, gastroscopic examinations as a health check-up were
performed on 93 patients. We obtained the prevalence of Reflux esophagitis (RE)
in patients with NTM and compared it with the prevalence of RE in the normal
control subjects. Results: Among 93 patients with NTM, patients without RE was
66.7% (62/93). RE-minimal change was diagnosed in 29.0% (27/93), and RE LA-A was
diagnosed in 4.3% (4/93). Comparing the prevalence of RE minimal change, 29.0%
(27/93) had NTM and 11.9% (3043/25536) did not have NTM. This was statistically
significant. Conclusions: We showed a greater prevalence of RE minimal change in
patients with NTM than those without NTM with statistical significance.
PMID- 29361810
TI - [Clinical Course of Percutaneous Endoscopic Gastrostomy: A Single-center
Observational Study].
AB - Background/Aims: Percutaneous endoscopic gastrostomy (PEG) is a widely used
method for long-term tube feeding. This study aimed to investigate the clinical
characteristics and outcomes of patients who utilized long-term feeding tube via
PEG. Methods: The medical records of 137 patients who underwent PEG tube
insertion at Ewha Womans University Mokdong Hospital between January 2002 and
December 2013 were reviewed. Results: PEG was indicated most frequently for
cerebrovascular accidents (66 patients, 48.2%), followed by head and neck cancer
(20 patients, 14.6%), and Parkinson's disease (10 patients, 7.3%). The tubes were
endoscopically inserted in 133 patients (97.1%); 4 patients (2.9%) underwent
radiologic intervention. The tubes of 90 patients (65.7%) were exchanged at least
once during the follow- up period. At the first exchange, 71 patients (78.9%) had
their tubes exchanged by endoscopy, 24 patients (16.7%) by manually, and 4
patients (4.4%) by radiologic intervention. Of the 61 patients (44.5%) who had
their tubes exchanged twice, 44 patients (72.1%) changed their tubes by
endoscopic exchange, 13 patients (21.3%) by manually, and 4 patients (4.4%) via
radiologic intervention. The mean time interval between the initial insertion and
the first exchange was 9.83+/-6.19 months, and that between the initial insertion
and the second exchange was 10.7+/-6.25 months. Of all the 137 patients, acute
complications at initial insertion occurred in only 18 patients (13.1%), with
insertion site infection (9 patients, 6.6%) being the most common acute
complication. Conclusions: PEG appears to be a safe procedure for providing long
term tube feeding. Our results may help to develop strategies for further
management of subjects receiving feeding tubes via PEG.
PMID- 29361811
TI - Concomitant or Sequential Therapy as the First-line Therapy for Eradication of
Helicobacter pylori Infection in Korea: A Systematic Review and Meta-analysis.
AB - Background/Aims: In Korea, increasing clarithromycin resistance has led to the
need for an alternative first-line therapy for the eradication of Helicobacter
pylori (H. pylori) infection. Concomitant therapy (CT) and sequential therapy
(ST) have been proposed as alternative regimens. The aim of this study was to
compare the eradication rate from using CT and ST in Korea. Methods: A literature
review was performed on studies comparing the efficacy of CT and ST in Korea.
Data were pooled to obtain the odds ratio (OR) of the eradication rate with 95%
confidence intervals (CIs). The eradication rates were considered both on an
intention-to-treat (ITT) and a per-protocol (PP) bases. Results: Six studies
provided data on 1,897 Korean adult patients. The pooled OR was 1.382 (95% CI:
1.031-1.853, p=0.031) for ITT analysis and 2.114 (95% CI: 1.502-2.974, p<0.001)
for PP analysis. There was no difference in the rate of adverse events and
compliances between the two regimens. Conclusions: The efficacy of CT was
superior to ST in both ITT and PP analyses. Therefore, CT could be an excellent
alternative regimen for the eradication of H. pylori as a first-line therapy in
Korea.
PMID- 29361812
TI - Associations between Atopic Dermatitis and Risk of Gastric Cancer: A Nationwide
Population-based Study.
AB - Background/Aims: Epidemiologic and clinical data indicate that allergies may be
associated with reduced risks for several cancers; however, to date, only a few
studies have examined the associations between allergies and gastric cancer. This
study aimed to examine the associations between allergies and gastric cancer
using a large population-based dataset. Methods: This cross-sectional study
obtained data from the Korea National Health and Nutrition Examination Survey
between 2010 and 2014, involving a total of 24,089 participants. The associations
between allergies and gastric cancer were analyzed using univariable and
multivariable logistic regression analyses with complex sampling, while adjusting
for confounding factors that included age, sex, body mass index, smoking status,
alcohol intake, and level of education. Results: Multivariable logistic
regression analyses that were adjusted for the potential confounders determined
that a history of allergic diseases tended to be associated with reduced risk of
gastric cancer; however, this relationship was not statistically significant (any
allergy: odds ratio [OR], 0.62; 95% confidence interval [CI], 0.34-1.12; atopic
dermatitis: OR, 0.34; 95% CI, 0.50-1.72; allergic rhinitis: OR, 0.71; 95% CI,
0.34-1.46; asthma: OR, 0.44; 95% CI, 0.15-1.29). Multivariable analysis showed
that a history of atopic dermatitis was associated with reduced risk of gastric
cancer in men (OR, 0.16; 95% CI, 0.03-0.75). Conclusions: This findings of this
study suggest that individuals with allergies tend to have a reduced risk of
gastric cancer, without a statistically significant association. Furthermore,
atopic dermatitis was associated with reduced risk of gastric cancer,
particularly in men.
PMID- 29361813
TI - Ruptured Gas-forming Pyogenic Liver Abscess into the Peritoneal Cavity Treated
Successfully with Medical Treatment.
AB - Gas-forming pyogenic liver abscess (GFPLA) is very rare and has a very high
mortality in case of rupture into the abdominal cavity, which usually require
surgical treatment. We experienced a case of a ruptured GFPLA due to Klebsiella
pneumoniae complicated with peritonitis and sepsis in a 68-year-old diabetic
woman. Immediate and aggressive medical treatments including intravenous
antibiotics, percutaneous drainage, and continuous renal replacement therapy
dramatically improved the liver abscess, peritonitis, and metabolic problems. We
report an unusual case of a ruptured GFPLA without surgical management, treated
successfully with only medical treatment.
PMID- 29361814
TI - Angiomyolipoma of the Liver without a Fat Component, Mimicking a Hepatocellular
Carcinoma.
AB - Angiomyolipoma (AML) is a rare benign mesenchymal tumor in the liver, which is
composed of blood vessels, smooth muscle, and adipose cells. The proportion of
each component varies, making a diagnosis difficult. This paper reports a case of
AML in the liver without adipose tissue, mimicking a hepatocellular carcinoma
(HCC), which was diagnosed by a surgical tissue biopsy. A 65-year-old woman was
admitted for an evaluation of a hepatic mass that had been detected by
ultrasonography. The serologic markers of viral hepatitis B and C were negative.
The liver function tests and alpha fetoprotein level were within the normal
limits. Magnetic resonance imaging revealed a 1.9 cm sized mass in segment 6 of
the liver with early arterial enhancement and washout on the delayed phase
accompanied by a rim-like enhancement, which is similar to the imaging findings
of HCC. A frozen section examination during surgery indicated a hepatocellular
neoplasm and suggested the possibility of HCC. On the other hand, the final
pathologic diagnosis was epithelioid myoid type of AML with no adipose tissue
component. The tumor cells were positive for human melanocyte B-45 and negative
for cytokeratin and hepatocyte paraffin 1. This paper reports a very rare case of
AML without adipose tissue in the liver mimicking HCC that was diagnosed by a
surgical tissue biopsy.
PMID- 29361815
TI - The NEAT Predictive Model for Survival in Patients with Advanced Cancer.
AB - PURPOSE: We previously developed a model to more accurately predict life
expectancy for stage IV cancer patients referred to radiation oncology. The goals
of this study are to validate this model and to compare competing published
models. Materials and Methods: From May 2012 to March 2015, 280 consecutive
patientswith stage IV cancerwere prospectively evaluated by a single radiation
oncologist. Patients were separated into training, validation and combined sets.
TheNEAT model evaluated number of active tumors ("N"), Eastern Cooperative
Oncology Group performance status ("E"), albumin ("A") and primary tumor site
("T"). The Odette Cancer Center model validated performance status, bone only
metastases and primary tumor site. The Harvard TEACHH model investigated primary
tumor type, performance status, age, prior chemotherapy courses, liver
metastases, and hospitalization within 3 months. Cox multivariable analyses and
logisticalregressionwere utilized to compare model performance. RESULTS: Number
of active tumors, performance status, albumin, primary tumor site, prior
hospitalizationwithin the last 3 months, and liver metastases predicted overall
survival on uinvariate and multivariable analysis (p < 0.05 for all). The NEAT
model separated patients into four prognostic groups with median survivals of
24.9, 14.8, 4.0, and 1.2 months, respectively (p < 0.001). The NEAT model had a C
index of 0.76 with a Nagelkerke's R2 of 0.54 suggesting good discrimination,
calibration and total performance compared to competing prognostic models.
CONCLUSION: The NEAT model warrants further investigation as a clinically useful
approach to predict survival in patients with stage IV cancer.
PMID- 29361816
TI - Negative Conversion of Progesterone Receptor Status after Primary Systemic
Therapy Is Associated with Poor Clinical Outcome in Patients with Breast Cancer.
AB - PURPOSE: Alteration of biomarker status after primary systemic therapy (PST) is
occasionally found in breast cancer. This study was conducted to clarify the
clinical implications of change of biomarker status in breast cancer patients
treated with PST. Materials and Methods: The pre-chemotherapeutic biopsy and post
chemotherapeutic resection specimens of 442 breast cancer patients who had
residual disease after PST were included in this study. The association between
changes of biomarker status after PST and clinicopathologic features of tumors,
and survival of the patients, were analyzed. RESULTS: Estrogen receptor (ER),
progesterone receptor (PR), and human epidermal growth factor receptor 2 (HER2)
status changed after PST in 18 (4.1%), 80 (18.1%), and 15 (3.4%)
patients,respectively. ER and PR mainly underwent positive to negative
conversion,whereas HER2 status underwent negative to positive conversion.
Negative conversion of ER and PR status after PST was associated with reduced
disease-free survival. Moreover, a decline in the Allred score for PR in post-PST
specimens was significantly associated with poor clinical outcome of the
patients. HER2 change did not have prognostic significance. In multivariate
analyses, negative PR status after PST was found to be an independent adverse
prognostic factor in the whole patient group, in the adjuvant endocrine therapy
treated subgroup, and also in pre-PST PR positive subgroup. CONCLUSION: ER and
HER2 status changed little after PST, whereas PR status changed significantly. In
particular, negative conversion of PR status was revealed as a poor prognostic
indicator, suggesting that re-evaluation of basic biomarkers is mandatory in
breast cancer after PST for proper management and prognostication of patients.
PMID- 29361817
TI - Circular RNA-ZFR Inhibited Cell Proliferation and Promoted Apoptosis in Gastric
Cancer by Sponging miR-130a/miR-107 and Modulating PTEN.
AB - PURPOSE: This study aimed to probe into the associations among circular RNA ZFR
(circ-ZFR), miR-130a/miR-107, and PTEN, and to investigate the regulatory
mechanism of circ-ZFR-miR-130a/miR-107-PTEN axis in gastric cancer (GC).
Materials and Methods: GSE89143 microarray data used in the study were acquired
from publicly available Gene Expression Omnibus database to identify
differentially expressed circular RNAs inGC tissues. The expressions of circ-ZFR,
miR-130a, miR-107, and PTEN were examined by real-time reverse transcription
polymerase chain reaction, while PTEN protein expression was measured by western
blot. The variation of GC cell proliferation and apoptosis was confirmed by cell
counting kit-8 assay and flow cytometry analysis. The targeted relationships
among circZFR, miR-130a/miR-107, and PTEN were predicted via bioinformatics
analysis and demonstrated by dual-luciferase reporter assay and RNA
immunoprecipitation assay. The impact of ZFR on gastric tumor was further
verified in xenograft mice model experiment. RESULTS: Circ-ZFR and PTEN were low
expressed whereas miR-107 and miR-130a were highexpressed in GC tissues and
cells. There existed targeted relationships and interactions between miR-130a/miR
107 and ZFR/PTEN. Circ-ZFR inhibited GC cell propagation, cell cycle and promoted
apoptosis by sponging miR-107/miR-130a, while miR-107/miR-130a promoted GC cell
propagation and impeded apoptosis through targeting PTEN. Circ-ZFR inhibited cell
proliferation and facilitated apoptosis in GC by sponging miR-130a/miR-107 and
modulating PTEN. Circ-ZFR curbed GC tumor growth and affected p53 protein
expression in vivo. CONCLUSION: Circ-ZFR restrained GC cell proliferation,
induced cell cycle arrest and promoted apoptosis by sponging miR-130a/miR-107 and
regulating PTEN.
PMID- 29361818
TI - Preclinical Study of Novel Curcumin Analogue SSC-5 Using Orthotopic Tumor
Xenograft Model for Esophageal Squamous Cell Carcinoma.
AB - PURPOSE: Tumor xenograft model is an indispensable animal cancer model. In
esophageal squamous cell carcinoma (ESCC) research, orthotopic tumor xenograft
model establishes tumor xenograft in the animal esophagus, which allows the study
of tumorigenesis in its native microenvironment. Materials and Methods: In this
study,we described two simple and reproducible methods to develop tumor xenograft
at the cervical or the abdominal esophagus in nude mice by direct injection of
ESCC cells in the esophageal wall. RESULTS: In comparing these two methods, the
cervical one presented with more clinically relevant features, i.e., esophageal
stricture, body weight loss and poor survival. In addition, the derived tumor
xenografts accompanied a rapid growth rate and a high tendency to invade into the
surrounding structures. This model was subsequently used to study the anti-tumor
effect of curcumin, which is known for its potential therapeutic effects in
various diseases including cancers, and its analogue SSC-5. SSC-5 was selected
among the eight newly synthesized curcumin analogues based on its superior anti
tumor effect demonstrated in an MTT cell proliferation assay and its effects on
apoptosis induction and cell cycle arrest in cultured ESCC cells. Treatment of
orthotopic tumor-bearing mice with SSC-5 resulted in an inhibition in tumor
growth and invasion. CONCLUSION: Taken together, we have established a clinically
relevant orthotopic tumor xenograft model that can serve as a preclinical tool
for screening new anti-tumor compounds, e.g., SSC-5, in ESCC.
PMID- 29361819
TI - Pembrolizumab for Refractory Metastatic Myxofibrosarcoma: A Case Report.
AB - Myxofibrosarcoma is a rare tumor, refractory to cytotoxic chemotherapy and
radiotherapy. Pembrolizumab is an innovative immunotherapy drug consisting of
programmed death receptor ligand 1 antibody proven to be useful for numerous
types of cancer cells. A patient had been diagnosed with metastatic
myxofibrosarcoma, refractory to radiotherapy and conventional cytotoxic
chemotherapy. The patient achieved a partial response during palliative
chemotherapy with pembrolizumab for 14 cycles. To the best of our knowledge, this
is the first case report demonstrating the efficacy of pembrolizumab for
refractory myxofibrosarcoma.
PMID- 29361820
TI - Projection of Breast Cancer Burden due to Reproductive/Lifestyle Changes in
Korean Women (2013-2030) Using an Age-Period-Cohort Model.
AB - PURPOSE: The aim of this study was to estimate the burden of breast cancer that
can be attributed to rapid lifestyle changes in South Korea in 2013-2030.
Materials and Methods: An age-period-cohort model was used to estimate the
incidence and mortality. The Global Burden of Disease Study Group methodwas used
to calculate the years of life lost and years lived with disability in breast
cancer patients using a nationwide cancer registry. The population attributable
riskswere calculated using meta-analyzed relative risk ratios and by assessing
the prevalence of risk factors. RESULTS: Women's reproductive/lifestyle changes,
including advanced maternal age at first childbirth (from 37 to 85 disability
adjusted life years [DALYs] per 100,000 person-years), total period of
breastfeeding (from 22 to 46 DALYs per 100,000 person-years), obesity (from 37 to
61 DALYs per 100,000 person-years), alcohol consumption (from 19 to 39 DALYs per
100,000 person-years), oral contraceptive use (from 18 to 27 DALYs per 100,000
person-years), and hormone replacement therapy use (from 2 to 3 DALYs per 100,000
person-years) were identified as factors likely to increase the burden of breast
cancer from 2013 to 2030. Approximately, 34.2% to 44.3% of the burden of breast
cancer could be avoidable in 2030 with reduction in reproductive/lifestyle risk
factors. CONCLUSION: The rapid changes of age structure and lifestyle in South
Korea during the last decade are expected to strongly increase the breast cancer
burden over time unless the risk factors can be effectively modified.
PMID- 29361821
TI - Genetic Alterations among Korean Melanoma Patients Showing Tumor Heterogeneity: A
Comparison between Primary Tumors and Corresponding Metastatic Lesions.
AB - PURPOSE: Melanoma is a highly heterogeneous neoplasm, composed of subpopulations
of tumor cells with distinct molecular and biological phenotypes and genotypes.
In this study, to determine the genetic heterogeneity between primary and
metastatic melanoma in Korean melanoma patients, we evaluated several well-known
genetic alterations of melanoma. In addition, to elucidate the clinical relevance
of each genetic alteration and heterogeneity between primary and metastatic
lesions, clinical features and patient outcome were collected. Materials and
Methods: In addition to clinical data, BRAF, NRAS, GNAQ/11 mutation and KIT
amplification data was acquired from an archived primary Korean melanoma cohort
(KMC) of 188 patients. Among these patients, 43 patients were included for
investigation of tumor heterogeneity between primary melanoma and its
corresponding metastatic lesions. RESULTS: Overall incidence of genetic
aberrations of the primary melanomas in KMC was 17.6% of BRAF V600, 12.6% of NRAS
mutation, and 28.6% of KIT amplification. GNAQ/11 mutation was seen in 66.6% of
the uveal melanoma patients. Patients with BRAF mutation were associated with
advanced stage and correlated to poor prognosis (p < 0.01). Among 43 patients,
55.8% showed heterogeneity between primary and metastatic lesion. The frequency
of BRAF mutation and KIT amplification significantly increased in the metastatic
lesions compared to primary melanomas. =15 years old from 2012
to 2015 were queried from the National Trauma Databank. Chi-square and
multivariate logistic regression analyses for disposition to hospice were
performed after controlling for age, gender, comorbidities, injury severity,
insurance, race, and ethnicity. Negative binomial regression analysis with
margins for length of stay (LOS) was calculated for all patients discharged to
hospice. RESULTS: Chi-square analysis of 2 966 444 patient's transition to
hospice found patients with cardiac disease, bleeding and psychiatric disorders,
chemotherapy, cancer, diabetes, cirrhosis, respiratory disease, renal failure,
cirrhosis, and cerebrovascular accident (CVA) affected transfer ( P < .0001).
Logistic regression analysis after controlling for covariates showed uninsured
patients were discharged to hospice significantly less than insured patients
(odds ratio [OR]: 0.71; P < .0001). Asian, African American, and Hispanic
patients all received less hospice care than Caucasian patients (OR: 0.65, 0.60,
0.73; P < .0001). Negative binomial regression analysis with margins for LOS
showed Medicare patients were transferred to hospice 1.2 days sooner than insured
patients while uninsured patients remained in the hospital 1.6 days longer ( P <
.001). When compare to Caucasians, African Americans patients stayed 3.7 days
longer in the hospital and Hispanics 2.4 days longer prior to transfer to hospice
( P < .0001). In all patients with polytrauma, African Americans stayed 4.9 days
longer and Hispanics 2.3 days longer as compared to Caucasians ( P < .0001).
CONCLUSIONS: Race and ethnicity are independent predictors of a trauma patient's
transition to hospice care and significantly affect LOS. Our data demonstrate
prominent racial and socioeconomic disparities exist, with uninsured and minority
patients being less likely to receive hospice services and having a delay in
transition to hospice care when compared to their insured Caucasian counterparts.
PMID- 29361831
TI - Troublesome Knowledge: A New Approach to Quality Assurance in Mental Health
Nursing Education.
AB - Background Quality assurance and quality enhancement processes in nursing
education are vital to the establishment of a strong program. Existing quality
assurance methods in nursing education such as professional self-regulation and
external examination rely on provincial and national nursing associations for
evaluation, putting minimal responsibility and accountability on internal program
examiners. Threshold concepts and troublesome knowledge provide a framework as
outlined by Land that utilizes internal examiners from both student and faculty
groups and represents an alternative to traditional quality assurance in nursing
education. Purpose To identify troublesome mental health nursing content in a
nursing curriculum by exploring students and faculty perspectives. Method A
sequential mixed methods design that utilized surveys and focus groups to explore
student and faculty perspectives on troublesome mental health nursing content.
Results The project data were able to be organized into five main content themes
that were identified as being troublesome: the spectrum of mental illness,
therapeutic relationships and boundaries, praxis, professionalism in nursing, and
brain chemistry and its management. Conclusion The findings from this project are
unique to the program of review but show the potential of this new approach to
quality assurance and program enhancement initiatives in nursing education.
PMID- 29361830
TI - Is there a learning effect when the 6-minute walk test is repeated in people with
suspected pulmonary hypertension?
AB - The aim of the study was to determine if there was a difference in 6-minute walk
distance (6MWD) when two 6-minute walk tests (6MWTs) were performed at the
initial assessment prior to attendance at the pulmonary hypertension (PH) clinic
and at the 6-month follow-up. Two 6MWTs were performed at both visits on a 32-m
continuous track in the physiotherapy hospital outpatient setting using standard
instructions and encouragement. Two hundred and fourteen participants completed
two 6MWTs at the initial assessment and 71 participants at the 6-month follow-up
(mean (standard deviation) age: 57 (16) years; body mass index: 27 (6) kg/m2).
Using the better 6MWT, the mean distances walked were 429 (136) and 447 (130) m,
respectively. There was a significant increase in 6MWD when a second 6MWT was
performed at initial assessment (mean difference [95% confidence interval (CI)]:
19 m (14-24), p < 0.001) and at the follow-up (mean difference [95% CI]: 19 m (10
27), p < 0.001) but not in those who walked <300 m at the initial assessment
(mean difference [95% CI]: 9 m (-5 to 22), p = 0.208). There were no adverse
events during testing. Prior to attendance at the PH Clinic when people are asked
to perform the 6MWT for the first time and at the 6-month follow-up, two walk
tests should be performed in order to eliminate a learning effect and to ensure
accuracy of measurement.
PMID- 29361832
TI - Role of Endoplasmic Reticulum-Mediated Ca2+ Signaling in Neuronal Cell Death.
AB - SIGNIFICANCE: Properly controlled intracellular Ca2+ dynamics is crucial for
regulation of neuronal function and survival in the central nervous system. The
endoplasmic reticulum (ER), a major intracellular Ca2+ store, plays a critical
role as a source and sink for neuronal Ca2+. Recent Advances: Accumulating
evidence indicates that disrupted ER Ca2+ signaling is involved in neuronal cell
death under various pathological conditions, providing novel insight into
neurodegenerative disease mechanisms. CRITICAL ISSUES: We summarize current
knowledge concerning the relationship between abnormal ER Ca2+ dynamics and
neuronal cell death. We also introduce recent technical advances for probing ER
intraluminal Ca2+ dynamics with unprecedented spatiotemporal resolution. FUTURE
DIRECTIONS: Further studies on ER Ca2+ signaling are expected to provide progress
for unmet medical needs in neurodegenerative disease. Antioxid. Redox Signal. 29,
1147-1157.
PMID- 29361833
TI - Are pilot trials useful for predicting randomisation and attrition rates in
definitive studies: A review of publicly funded trials.
AB - BACKGROUND/AIMS: External pilot trials are recommended for testing the
feasibility of main or confirmatory trials. However, there is little evidence
that progress in external pilot trials actually predicts randomisation and
attrition rates in the main trial. To assess the use of external pilot trials in
trial design, we compared randomisation and attrition rates in publicly funded
randomised controlled trials with rates in their pilots. METHODS: Randomised
controlled trials for which there was an external pilot trial were identified
from reports published between 2004 and 2013 in the Health Technology Assessment
Journal. Data were extracted from published papers, protocols and reports. Bland
Altman plots and descriptive statistics were used to investigate the agreement of
randomisation and attrition rates between the full and external pilot trials.
RESULTS: Of 561 reports, 41 were randomised controlled trials with pilot trials
and 16 met criteria for a pilot trial with sufficient data. Mean attrition and
randomisation rates were 21.1% and 50.4%, respectively, in the pilot trials and
16.8% and 65.2% in the main. There was minimal bias in the pilot trial when
predicting the main trial attrition and randomisation rate. However, the
variation was large: the mean difference in the attrition rate between the pilot
and main trial was -4.4% with limits of agreement of -37.1% to 28.2%. Limits of
agreement for randomisation rates were -47.8% to 77.5%. CONCLUSION: Results from
external pilot trials to estimate randomisation and attrition rates should be
used with caution as comparison of the difference in the rates between pilots and
their associated full trial demonstrates high variability. We suggest using
internal pilot trials wherever appropriate.
PMID- 29361834
TI - The Effect of Moderate- Versus High-Intensity Resistance Training on Systemic
Redox State and DNA Damage in Healthy Older Women.
AB - : This study investigated effects of a 16-week progressive resistance training
program (RTP) with elastic bands at two different intensities on systemic redox
state, DNA damage, and physical function in healthy older women. METHODS:
Participants were randomly assigned to the high-intensity group (HIGH; n = 39),
moderate-intensity group (MOD; n = 31), or control group (CG; n = 23). The
exercise groups performed an RTP twice a week with three to four sets of 6 (HIGH)
or 15 (MOD) repetitions of six overall body exercises at a perceived exertion
rate of 8-9 on the OMNI-Resistance Exercise Scale for use with elastic bands.
Thiol redox state was determined by reduced glutathione (GSH), oxidized
glutathione (GSSG), and GSSG/GSH in blood mononuclear cells. Degree of DNA damage
was assessed by presence of the oxidized DNA base molecule 8-oxo-7,8-dihydro-2'
deoxyguanosine (8-OHdG) in urine. Physical function monitoring was based on the
arm curl, chair stand, up and go, and 6-min walk tests. RESULTS: The HIGH group
showed a significant increase in 8-OHdG (+71.07%, effect size [ES] = 1.12) and a
significant decrease in GSH (-10.91, ES = -0.69), while the MOD group showed a
significant decrease in 8-OHdG levels (-25.66%, ES = -0.69) with no changes in
thiol redox state. GSH levels differed significantly between the HIGH and CG
groups posttest. The exercise groups showed significant improvements in physical
function with no differences between groups. CONCLUSION: RTP at a moderate rather
than high intensity may be a better strategy to reduce DNA damage in healthy
older women while also increasing independence.
PMID- 29361835
TI - Activity monitoring in men's college soccer: a single season longitudinal study.
AB - Performance in soccer has been characterized previously using time-motion
analyses; however, it is unclear if men's college soccer shares performance
characteristics with women's college or men's professional soccer. The purpose of
this study was to compare proportions of matches spent walking, jogging, running,
and sprinting in men's college soccer. Twenty-two male college soccer players
wore global positioning system units during matches. Proportions of walking,
jogging, running, high-speed running, and sprinting were calculated for each
player based on time period (first half, second half, extra time) and outcome
(win, loss, tie). Multivariate analyses of variance were run for each time period
to compare positions. Means, 95% confidence intervals, and effect sizes were
calculated for each position based on time period and match outcome. There were
differences in low-speed and high-speed activities based on position, with
forwards and midfielders demonstrating increased high-speed activities.
Positional differences may require different physiological profiles and should be
a consideration during training.
PMID- 29361836
TI - Emotional Well-Being Following a Later Life Career Change: The Roles of Agency
and Resources.
AB - More individuals are attempting career changes in later life, as an increasing
number of people face precarious retirement prospects. Although many of these
older job seekers eventually find new livelihoods, little is known about their
emotional well-being subsequent to these changes. Using the 2014 American
Institute for Economic Research Older Worker Survey, we evaluate the
contributions of demographic characteristics, agency, and resources when
estimating three measures of emotional well-being following a successful later
life career change ( n = 337). We found that having financial resources during
the career transition was associated with all three positive emotional outcomes,
while family support and intentionality were also associated with positive
emotions. Conversely, prior job prestige and purposeful job training had no
relationships with subsequent well-being. These results suggest that later life
career changes, despite their challenges, often result in positive emotional
outlooks-for those who have the resources to support them.
PMID- 29361837
TI - Cortical surface area reduction in identification of subjects at high risk for
post-traumatic stress disorder: A pilot study.
AB - OBJECTIVE:: Victims of motor vehicle accidents often develop post-traumatic
stress disorder, which causes significant social function loss. For the
difficulty in treating post-traumatic stress disorder, identification of subjects
at high risk for post-traumatic stress disorder is essential for providing
possible intervention. This paper aims to examine the cortical structural traits
related to susceptibility to post-traumatic stress disorder. METHODS:: To address
this issue, we performed structural magnetic resonance imaging study in motor
vehicle accident victims within 48 hours from the accidents. A total of 70
victims, available for both clinical and magnetic resonance imaging data,
enrolled in our study. Upon completion of 6-month follow-up, 29 of them developed
post-traumatic stress disorder, while 41 of them didn't. At baseline, voxelwise
comparisons of cortical thickness, cortical area and cortical volume were
conducted between post-traumatic stress disorder group and trauma control group.
RESULTS:: As expected, several reduced cortical volume within frontal-temporal
loop were observed in post-traumatic stress disorder. For cortical thickness, no
between-group differences were observed. There were three clusters in left
hemisphere and one cluster in right hemisphere showing decreased cortical area in
post-traumatic stress disorder patients, compared with trauma controls. Peak
voxels of the three clusters in left hemisphere were separately located in
superior parietal cortex, insula and rostral anterior cingulate cortex.
CONCLUSION:: The finding of reduced surface area of left insula and left rostral
anterior cingulate cortex suggests that shrinked surface area in motor vehicle
accident victims could act as potential biomarker of subjects at high risk for
post-traumatic stress disorder.
PMID- 29361838
TI - Interventions minimizing fatigue in children/adolescents with cancer: An
integrative review.
AB - Fatigue is among the most common, debilitating, and distressing symptoms
associated with chronic condition in pediatric population. The purpose of this
study was to identify non-pharmacological fatigue interventions in children and
adolescents with cancer. For this, we carried out an integrative review of the
literature from January 2000 to December 2016. A comprehensive search of four
databases was conducted: Cumulative Index to Nursing and Allied Health
Literature, Psychology Information, Medline via PubMed, and Web of Science.
Randomized controlled trial, quasi-experimental, case-control and cohort studies
were included in this review. Thirteen relevant studies were included for
analysis. Seven papers reported positive outcomes for exercise, exercise plus
leisure activities, healing touch and acupressure. In another six papers using
exercise, exercise plus psychological intervention and massage, no effectiveness
was found. Effective management of fatigue in children and adolescents is
important but research in this area is limited, so the results of this review
should be interpreted cautiously. Future researchers are encouraged to test the
effective interventions in homogenous cancer populations and in other groups
where fatigue is a common concern.
PMID- 29361839
TI - Family management affecting transition readiness and quality of life of Chinese
children and young people with chronic diseases.
AB - Transition from pediatric to adult health care is a key milestone for children
and young people (CYP) with chronic conditions. Family management (FM) and self
management are two important concepts during the process. This study aimed to
explore the relationships between FM, self-management and transition readiness,
and quality of life (QoL), and identify the potential CYP or family factors
influencing the relationships. Data about FM, self-management and transition
readiness, QoL, and various contextual factors were collected from 268 caregiver
child pairs. Structural equation modeling was used to examine the relationships
between all variables. Results revealed that the easy aspects of FM mediated the
relationships between the challenging aspects of FM, self-management and
transition readiness, and QoL of CYP. Self-management and transition readiness
mediated the relationship between the easy aspects of FM and QoL. Contextual
factors indirectly influenced CYP's transition readiness and QoL through
different aspects of FM. The results imply that to ensure the smooth transition
from pediatric to adult health care and improve the CYP's QoL, strengthening
CYP's independence and self-management competencies, combined with the support of
the easy aspects of FM, seem to be useful strategies to increase CYP's readiness
for transfer.
PMID- 29361840
TI - Safety and Efficacy of OXB-202, a Genetically Engineered Tissue Therapy for the
Prevention of Rejection in High-Risk Corneal Transplant Patients.
AB - Due to both the avascularity of the cornea and the relatively immune-privileged
status of the eye, corneal transplantation is one of the most successful clinical
transplant procedures. However, in high-risk patients, which account for >20% of
the 180,000 transplants carried out worldwide each year, the rejection rate is
high due to vascularization of the recipient cornea. The main reason for graft
failure is irreversible immunological rejection, and it is therefore unsurprising
that neovascularization (NV; both pre and post grafting) is a significant risk
factor for subsequent graft failure. NV is thus an attractive target to prevent
corneal graft rejection. OXB-202 (previously known as EncorStat(r)) is a donor
cornea modified prior to transplant by ex vivo genetic modification with genes
encoding secretable forms of the angiostatic human proteins, endostatin and
angiostatin. This is achieved using a lentiviral vector derived from the equine
infectious anemia virus called pONYK1EiA, which subsequently prevents rejection
by suppressing NV. Previously, it has been shown that rabbit donor corneas
treated with pONYK1EiA substantially suppress corneal NV, opacity, and subsequent
rejection in an aggressive rabbit model of cornea graft rejection. Here, efficacy
data are presented in a second rabbit model, which more closely mirrors the
clinical setting for high-risk corneal transplant patients, and safety data from
a 3-month good laboratory practice toxicology and biodistribution study of
pONYK1EiA-modified rabbit corneas in a rabbit corneal transplant model. It is
shown that pONYK1EiA-modified rabbit corneas (OXB-202) significantly reduce
corneal NV and the rate of corneal rejection in a dose-dependent fashion, and are
tolerated with no adverse toxicological findings or significant biodistribution
up to 13 weeks post surgery in these rabbit studies. In conclusion, angiogenesis
is a valid target to prevent corneal graft rejection in a high-risk setting, and
transplanted genetically modified corneas are safe and well-tolerated in an
animal model. These data support the evaluation of OXB-202 in a first-in-human
trial.
PMID- 29361841
TI - Cross-Sectional Analysis of the Distal Fibular Intramedullary Canal: A Cadaveric
Evaluation.
AB - : Fractures of the distal fibula secondary to rotational ankle injuries are one
of the most common injuries requiring surgical intervention. The aim of this
study was to describe the anatomy of the distal fibular medullary canal as a
means of aiding in surgical management with an intramedullary device. Twenty
fresh cadaveric below-knee specimens (group 1, 10 in 2015, group 2, 10 in 2016)
were dissected to expose the distal fibular. Fifteen (10 mm each) segments were
sectioned with a sagittal saw from the distal tip proximally and measured with a
digital caliper. In group I, the widest and narrowest fibular diameter was at the
20-mm interval (mean 15.02 mm) and 90-mm interval (mean 3.51 mm), respectively.
From 70 to 120 mm, the mean diameter was less than 4.0 mm. In group 2, the widest
and narrowest diameter was at the 20-mm interval (mean 15.05 mm) and 100-mm
interval (mean 4.33 mm), respectively. From 70 to 140 mm, the mean diameter was
less than 5.0 mm. The combined mean diameter at the 60- to 80-mm intervals were
4.99 +/- 1.70, 4.35 +/- 1.63, and 4.02 +/- 1.35 mm, respectively. Based on our
investigation, we propose an intramedullary device diameter of 4.5 to 5.0 mm in
diameter with a length of 60 to 80 mm may provide most appropriate bony purchase
to achieve acceptable cortical contact for expected osseous compression. LEVELS
OF EVIDENCE: Level IV: Cadaveric case series.
PMID- 29361842
TI - Beta carotene-loaded zein nanoparticles to improve the biopharmaceutical
attributes and to abolish the toxicity of methotrexate: a preclinical study for
breast cancer.
AB - Beta carotene (betaC) loaded nanoparticles of zein (betaC-NPs) were developed
using modified phase separation technique. betaC-NPs were prepared using
different zein concentration and optimized formulation was selected on the basis
of micromeritics properties and entrapment efficiency. Further, betaC-NPs were
evaluated for in vitro release, in vitro cell-survival, cellular localization and
apoptosis induced in MCF-7 cells. The combined effect of the betaC and its
nanoparticulate counterpart with MTX was evaluated thereafter for cytotoxicity
and apoptotic activity in MCF-7 cells. In comparison to free betaC, the betaC-NPs
demonstrated noteworthy improvement in various biopharmaceutical attributes viz
Cmax (~2.3-folds), AUCtotal (2.7-folds), t1/2 (~1.5 folds) and MRT (~1.5 folds),
further indicating the remarkable increment in oral bioavailability of betaC
after incorporation in zein nanoparticles. The anti-tumour potential of prepared
betaC-NPs and effects of free betaC and betaC-NPs were investigated upon
anticancer efficacy of methotrexate (MTX) in experimentally induced breast cancer
rat model. Protective role of betaC on MTX-associated hepatic toxicity in wistar
rats was also determined using haematological and histopathological approaches.
In a nutshell, zein nanoparticles improved the cellular uptake, cytotoxicity and
exhibited enhanced oral biopharmaceutical performance of betaC. This combination
regimen could also be promising platform to facilitate the therapeutic benefits
of anticancer agents.
PMID- 29361844
TI - Nanoflowers: the future trend of nanotechnology for multi-applications.
AB - Nanoflowers are a newly developed class of nanoparticles showing structure
similar to flower and gaining much attention due to their simple method of
preparation, high stability and enhance efficiency. This article focuses on
advantages, disadvantages, method of synthesis, types and applications of
nanoflowers with futuristic approaches. The applications of nanoflower include
its use as a biosensor for quick and precise detection of conditions like
diabetes, Parkinsonism, Alzheimer, food infection, etc. Nanoflowers have been
revealed for site-specific action and controlled delivery of drugs. The extended
applications of nanoflowers cover purification of enzyme, removal of dye and
heavy metal from water, gas-sensing using nickel oxide. Recent investigation
shows 3 D structure of nanoflowers for enhancing surface sensitivity using Raman
spectroscopy. This nanoflower system will act as a smart material in the near
future due to high surface-to-volume ratio and enhance adsorption efficiency on
its petals.
PMID- 29361843
TI - Use of online recruitment strategies in a randomized trial of cancer survivors.
AB - BACKGROUND/AIMS: Despite widespread Internet adoption, online advertising remains
an underutilized tool to recruit participants into clinical trials. Whether
online advertising is a cost-effective method to enroll participants compared to
other traditional forms of recruitment is not known. METHODS: Recruitment for the
Survivorship Promotion In Reducing IGF-1 Trial, a community-based study of cancer
survivors, was conducted from June 2015 through December 2016 via in-person
community fairs, advertisements in periodicals, and direct postal mailings. In
addition, "Right Column" banner ads were purchased from Facebook to direct
participants to the Survivorship Promotion In Reducing IGF-1 Trial website.
Response rates, costs of traditional and online advertisements, and demographic
data were determined and compared across different online and traditional
recruitment strategies. Micro-trials optimizing features of online advertisements
were also explored. RESULTS: Of the 406 respondents to our overall outreach
efforts, 6% (24 of 406) were referred from online advertising. Facebook
advertisements were shown over 3 million times (impressions) to 124,476 people,
which resulted in 4401 clicks on our advertisement. Of these, 24 people
ultimately contacted study staff, 6 underwent prescreening, and 4 enrolled in the
study. The cost of online advertising per enrollee was $794 when targeting a
general population versus $1426 when accounting for strategies that specifically
targeted African Americans or men. By contrast, community fairs, direct mail, or
periodicals cost $917, $799, or $436 per enrollee, respectively. Utilization of
micro-trials to assess online ads identified subtleties (e.g. use of an
advertisement title) that substantially impacted viewer interest in our trial.
CONCLUSION: Online advertisements effectively directed a relevant population to
our website, which resulted in new enrollees in the Survivorship Promotion In
Reducing IGF-1 Trial at a cost comparable to traditional methods. Costs were
substantially greater with online recruitment when targeting under-represented
populations, however. Additional research using online micro-trial tools is
needed to evaluate means of more precise recruitment to improve yields in under
represented groups. Potential gains from faster recruitment speed remain to be
determined.
PMID- 29361845
TI - The Impact of Partnership Status on Diabetes Control and Self-Management
Behaviors.
AB - According to the Centers for Disease Control and Prevention, diabetes affects
29.1 million people in the United States. Marriage has been suggested to have a
protective effect on overall health outcomes, but few studies have evaluated the
role of partnership status on patients with type 2 diabetes. This study aimed to
assess this role of partnership status on diabetes control and self-management
behaviors. Patients with type 2 diabetes taking at least one oral hypoglycemic
agent were assessed over a 3-month period to measure hemoglobin A1c (HbA1c)
changes and adherence to medication, exercise, diet, and glucose monitoring.
Partnered participants were more likely to adhere to their medications, but there
was no significant difference in HbA1c changes over 3 months or exercise, diet,
or glucose monitoring adherence. This study suggests that being partnered
improves medication adherence; further analysis with a larger population is
required to fully assess the role of partner support for patients with type 2
diabetes.
PMID- 29361846
TI - A Community-Partnered Approach to Inform a Culturally Relevant Health Promotion
Intervention for Stroke.
AB - BACKGROUND: The purpose of this study was to generate information from
multiethnic, high-risk communities to inform the creation of culturally relevant
health promotion intervention for increasing early hospital arrival after stroke.
METHODS: The study employed a qualitative design, using focus groups with African
American, Caucasian, and Hispanic adults in two Chicago community areas. The
study relied heavily on stakeholder input in creating the focus group guide,
recruiting participants, and interpreting the analysis. RESULTS: Six focus groups
gained information from 51 participants, including insights and perspective on
participants' stroke experience and knowledge as well as on facilitators and
barriers to calling 9-1-1. Qualitative analysis uncovered themes relating to risk
factors, symptoms, knowledge of stroke mechanisms, experience of acute stroke,
help seeking, stroke education, recovery, treatment, and emotions. Communities
were closely aligned in their knowledge of stroke, but had differing ideas around
stroke education and dissemination of education. DISCUSSION: This study
identified nuances in real-world barriers to receiving acute stroke services in
minority and disadvantaged communities in Chicago neighborhoods. Our findings
indicated significant amount of variation by race/ethnicity and, in particular, a
lack of similarities based on race/ethnic groups in different communities. These
findings underscore the importance of working with communities to fully
understand the community-level dynamics that occur.
PMID- 29361847
TI - Intrasexual Competition and Height in Adolescents and Adults.
AB - Intrasexual competition can be defined as the struggle between members of one sex
to increase their access to members of the other sex as sexual partners. In our
species, height is a sexually dimorphic trait probably involved in both
intrasexual and intersexual selective processes. In the present research, we
examined the relationship between height and individual differences in
intrasexual competitiveness (i.e., the tendency to view same-sex interactions in
general in competitive terms) in two populations of adolescents and adults of
both sexes in Chile. According to our first prediction, among both adolescent and
adult men, height was negatively associated with intrasexual competitiveness. In
contrast, among women, height was not linearly nor quadratically related with
intrasexual competitiveness as previously reported. Finally, adolescent men and
women showed increased levels of intrasexual competitiveness compared to adult
same-sex counterparts. Our results suggest that height is a relevant trait in
mating competition among men. The lack of relationship between height and
intrasexual competitiveness in women may suggest that the role of height in women
mating competition may be more complex and mediated by other variables.
PMID- 29361848
TI - Care Pathways Before First Diagnosis of a Psychotic Disorder in Adolescents and
Young Adults.
AB - OBJECTIVE: The authors sought to describe patterns of health care use prior to
first diagnosis of a psychotic disorder in a population-based sample. METHOD:
Electronic health records and insurance claims from five large integrated health
systems were used to identify 624 patients 15-29 years old who received a first
diagnosis of a psychotic disorder in any care setting and to record health
services received, diagnoses assigned, and medications dispensed during the
previous 36 months. Patterns of utilization were compared between patients
receiving a first diagnosis of a psychotic disorder and matched samples of
general health system members and members receiving a first diagnosis of unipolar
depression. RESULTS: During the year before a first psychotic disorder diagnosis,
29% of patients had mental health specialty outpatient care, 8% had mental health
inpatient care, 24% had emergency department mental health care, 29% made a
primary care visit with a mental health diagnosis, and 60% received at least one
mental health diagnosis (including substance use disorders). Compared with
patients receiving a first diagnosis of unipolar depression, those with a first
diagnosis of a psychotic disorder were modestly more likely to use all types of
health services and were specifically more likely to use mental health inpatient
care (odds ratio=2.96, 95% CI=1.97-4.43) and mental health emergency department
care (rate ratio=3.74, 95% CI=3.39-4.53). CONCLUSIONS: Most patients receiving a
first diagnosis of a psychotic disorder had some indication of mental health care
need during the previous year. General use of primary care or mental health
services, however, does not clearly distinguish people who later receive a
diagnosis of a psychotic disorder from those who later receive a diagnosis of
unipolar depression. Use of inpatient or emergency department mental health care
is a more specific indicator of risk.
PMID- 29361849
TI - Role of Complex Epigenetic Switching in Tumor Necrosis Factor-alpha Upregulation
in the Prefrontal Cortex of Suicide Subjects.
AB - OBJECTIVE: Proinflammatory cytokines have recently received considerable
attention for their role in suicidal behavior; however, how the expression of
cytokine genes is regulated is not clearly known. The authors examined underlying
mechanisms of critical cytokine gene tumor necrosis factor-alpha (TNF-alpha)
dysregulation in the brains of individuals who died by suicide. METHOD: TNF-alpha
expression was examined in the dorsolateral prefrontal cortex of the postmortem
brains of persons with and without major depressive disorder who died by suicide
and of persons with major depressive disorder who died of causes other than
suicide. The role of putative microRNAs targeting TNF-alpha and RNA-binding
protein Hu antigen R (HuR) was tested with in vitro and in vivo approaches and by
examining expression of transactivation response RNA binding protein (TRBP).
Genetic influence on TNF-alpha expression was determined by expression
quantitative trait loci analysis and by genotyping three single-nucleotide
polymorphisms in the promoter region of the TNF-alpha gene. Promoter methylation
of TNF-alpha was determined by using methylated DNA immunoprecipitation assay.
Expression of miR-19a-3p and TNF-alpha was also determined in the peripheral
blood mononuclear cells of 12 healthy control subjects and 12 currently depressed
patients with severe suicidal ideation. RESULTS: TNF-alpha expression was
significantly higher in the dorsolateral prefrontal cortex of individuals who
died by suicide, regardless of psychiatric diagnosis. Its expression level was
also increased in individuals with major depressive disorder who died by causes
other than suicide. On the other hand, expression of miR-19a-3p was upregulated
specifically in individuals who died by suicide. In a preliminary observation,
similar upregulation of TNF-alpha and miR-19a-3p was observed in the peripheral
blood mononuclear cells of depressed patients with suicidal ideation. Despite its
ability to directly target TNF-alpha in vitro, miR-19a-3p showed no interaction
with TNF-alpha in the dorsolateral prefrontal cortex. HuR potentially stabilized
TNF-alpha transcript, presumably by sequestering its 3' untranslated region from
miR-19a-3p-mediated inhibition. Furthermore, decreased TRBP expression supported
abnormality in the interaction between miR-19a-3p and TNF-alpha. Additionally,
TNF-alpha transcriptional upregulation was associated with promoter
hypomethylation, whereas no genetic influence on altered TNF-alpha or miR-19a-3p
expression was observed in individuals who died by suicide. CONCLUSIONS: The data
in this study provide mechanistic insights into the dysregulation of the TNF
alpha gene in the brains of individuals who died by suicide, which could
potentially be involved in suicidal behavior.
PMID- 29361850
TI - Early Intervention in Bipolar Disorder.
AB - Bipolar disorder is a recurrent disorder that affects more than 1% of the world
population and usually has its onset during youth. Its chronic course is
associated with high rates of morbidity and mortality, making bipolar disorder
one of the main causes of disability among young and working-age people. The
implementation of early intervention strategies may help to change the outcome of
the illness and avert potentially irreversible harm to patients with bipolar
disorder, as early phases may be more responsive to treatment and may need less
aggressive therapies. Early intervention in bipolar disorder is gaining momentum.
Current evidence emerging from longitudinal studies indicates that parental early
onset bipolar disorder is the most consistent risk factor for bipolar disorder.
Longitudinal studies also indicate that a full-blown manic episode is often
preceded by a variety of prodromal symptoms, particularly subsyndromal manic
symptoms, therefore supporting the existence of an at-risk state in bipolar
disorder that could be targeted through early intervention. There are also
identifiable risk factors that influence the course of bipolar disorder, some of
them potentially modifiable. Valid biomarkers or diagnosis tools to help
clinicians identify individuals at high risk of conversion to bipolar disorder
are still lacking, although there are some promising early results. Pending more
solid evidence on the best treatment strategy in early phases of bipolar
disorder, physicians should carefully weigh the risks and benefits of each
intervention. Further studies will provide the evidence needed to finish shaping
the concept of early intervention. AJP AT 175 Remembering Our Past As We Envision
Our Future April 1925: Interpretations of Manic-Depressive Phases Earl Bond and
G.E. Partridge reviewed a number of patients with manic-depressive illness in
search of a unifying endo-psychic conflict. They concluded that understanding
either phase of illness was "elusive" and "tantalizing beyond reach." (Am J
Psychiatry 1925: 81: 643-662 ).
PMID- 29361851
TI - Is Osteogenic Differentiation of Human Nucleus Pulposus Cells a Possibility for
Biological Spinal Fusion?
AB - Objective The purpose of this study was to investigate whether a simple,
biologically robust method for inducing calcification of degenerate
intervertebral discs (IVD) could be developed to provide an alternative treatment
for patients requiring spinal fusion. Design Nucleus pulposus (NP) cells isolated
from 14 human IVDs were cultured in monolayer and exposed to osteogenic medium,
1,25-dihydroxyvitamin D3 (VitD3), parathyroid hormone (PTH), and bone morphogenic
proteins (BMPs) 2/7 to determine if they could become osteogenic. Similarly
explant cultures of IVDs from 11 patients were cultured in osteogenic media with
and without prior exposure to VitD3 and BMP-2. Osteogenic differentiation was
assessed by alkaline phosphatase activity and areas of calcification identified
by alizarin red or von Kossa staining. Expression of osteogenic genes during
monolayer culture was determined using polymerase chain reaction and explant
tissues assessed for BMP inhibitors. Human bone marrow-derived mesenchymal
stromal cells (MSCs) were used for comparison. Results Standard osteogenic media
was optimum for promoting mineralization by human NP cells in monolayer. Some
osteogenic differentiation was observed with 10 nM VitD3, but none following
application of PTH or BMPs. Regions of calcification were detected in 2 of the
eleven IVD tissue explants, one cultured in osteogenic media and one with the
addition of VitD3 and BMP-2. Conclusions Human NP cells can become osteogenic in
monolayer and calcification of the extracellular matrix can also occur, although
not consistently. Inhibitory factors within either the cells or the extracellular
matrix may hinder osteogenesis, indicating that a robust biological fusion at
this time requires further optimization.
PMID- 29361852
TI - Open to Exploration? Association of Personality Factors With Complementary
Therapy Use After Breast Cancer Treatment.
AB - PURPOSE: Many cancer survivors seek complementary therapies (CTs) to improve
their quality of life. While it is well-known that women who are younger, more
highly educated, and have higher incomes are more likely to use CTs, individual
differences such as personality factors have been largely unexplored as
predictors of CT use. METHODS: In a secondary analysis of a larger study, 270
women with stage I to III breast cancer completed self-report measures of
demographic and illness-related information, personality variables, and use of
several different types of CTs. A series of logistic regression models were used
to explore whether demographic, illness-related, and personality variables
predicted different types of CT use. RESULTS: Prior relationships between
education and CT use were replicated. There were no significant relationships
between illness-related variables and different types of CT use. Of the 5
personality factors, only openness to experience was a significant predictor of
multiple types of CT use. CONCLUSIONS: Openness to experience may represent an
individual difference variable that predicts CT use among cancer survivors. CTs
themselves may represent a form of intellectual curiosity and novelty seeking.
Further studies are needed to replicate and examine the generalizability of the
relationship between openness to experience and CT use in oncology populations.
PMID- 29361853
TI - Advances in prodrug design for Parkinson's disease.
AB - INTRODUCTION: Parkinson's Disease (PD) is a neurodegenerative disorder of the
central nervous system (CNS) characterized by motor dysfunctions, such as
bradykinesia, rigidity, neuropsychiatric symptoms, and others. The
pharmacological treatment of the disease is only symptomatic since, to date,
there is no treatment to stop or slow PD. Currently, L-Dopa (LD) remains the gold
standard therapy even though it undergoes peripheral metabolism causing several
side effects, such as nausea, vomiting and orthostatic hypotension. Areas
covered: This review is focused on recent developments in strategies involving
prodrugs to enhance DA and/or LD absorption, their chemical and enzymatic
stabilities, and selective targeting to the central nervous system. Expert
opinion: The prodrug strategy remains one of the most promising approaches to
improve pharmaceutical, pharmacokinetic, and pharmacodynamic properties of
hydrophilic compounds, such as anti-Parkinson drugs (DA and LD). Prodrugs
developed in recent years have demonstrated good pharmacokinetic profiles,
affording a sustained release of LD and reducing its plasma level fluctuations.
The development of new prodrugs that may reach the BBB unaltered and with a good
ADME (Absorption, Distribution, Metabolism, Elimination) profile and
pharmacological efficacy represents an exciting challenge for medicinal chemists.
PMID- 29361854
TI - Double-level isthmic spondylolisthesis treated with posterior lumbar interbody
fusion with cage.
AB - OBJECTIVE: Double-level isthmic spondylolisthesis in the lumbar spine is rare.
The authors report on 21 cases of double-level isthmic spondylolisthesis treated
by posterior lumbar interbody fusion (PLIF) with cage. PATIENTS AND METHODS:
Between 2005 and 2015, twenty-one patients with double-level isthmic
spondylolisthesis who underwent posterior lumbar interbody fusion (PLIF) with
cage were reviewed retrospectively. The VAS (Visual Analogue Scale) and JOA
(Japanese Orthopedic Association) score were used to evaluate preoperative and
postoperative clinical outcomes. RESULTS: The back pain and sciatica decreased
from 6.53 and 4.24 points preoperatively to 1.80 and 1.18 points on the VAS at
final follow-up, respectively. The average JOA score improved from 13.4 +/- 3.2
preoperative to 25.4 +/- 1.5 (range, 17-28) points postoperative. The average
recovery rate was 76.9%. The good and excellent rate was 85.7% (18/21). The
fusion rate was 95.2% (20/21). Changes in disc height, degree of listhesis, whole
lumbar lordosis, and sacral inclination following surgery were also observed.
CONCLUSIONS: Our results suggest that PLIF with cage appears to be an appropriate
technique for the treatment of double-level isthmic spondylolisthesis.
PMID- 29361855
TI - Green synthesis of silver nanoparticles using Artemisia turcomanica leaf extract
and the study of anti-cancer effect and apoptosis induction on gastric cancer
cell line (AGS).
AB - The current study was aimed (1) to study the green synthesis of silver
nanoparticles using Artemisia turcomanica leaf extract, (2) to investigate the
induction of apoptosis by biologically synthesized silver nanoparticles in
gastric cancer cell line (AGS) and (3) to compare their anti-cancer potential
with commercial silver nanoparticles. The specification and morphology of the
phytosynthesized AgNPs were evaluated using transmission electron microscopy
(TEM), scanning electron microscopy (SEM), UV-visible spectroscopy, X-ray
diffraction and Fourier transform infrared spectroscopy (FTIR). The nanoparticles
synthesized were of an average size of 22 nm. The cytotoxicity of biological and
commercial nanoparticles was investigated in gastric cancer cells (AGS) as well
as normal fibroblast cells (L-929) by MTT assay. By increasing the concentration
of phytosynthesized and commercial silver nanoparticles, a decrease was observed
in the cell viability. Increased apoptosis was observed in the cells treated with
biological silver nanoparticles compared to untreated cells (p < .001). Based on
these findings, it was inferred that biologically synthesized silver
nanoparticles induced apoptosis, and showed a cytotoxic and anti-cancer effect
against gastric cancer cell lines in a dose- and time-dependent manner.
Biologically synthesized nanoparticles may possess higher anti-cancer properties
than commercial silver nanoparticles.
PMID- 29361856
TI - Catheterization laboratory activations and time intervals for patients with pre
hospital ECGs.
AB - OBJECTIVE: The use of pre-hospital ECGs (PH-ECG) reduces time to reperfusion for
patients with ST-segment elevation myocardial infarction (STEMI). The feasibility
of reperfusion therapy within 60 minutes for hospitals with 24/7 PCI capability
has been questioned, and current guidelines have set time targets to 90 minutes.
Our primary objective was to investigate the proportion of false-positive
catheterization laboratory activations by PH-ECG. Our secondary objective was to
describe the time intervals from first medical contact to reperfusion and to
establish the proportion of patients receiving reperfusion within 60 minutes.
DESIGN: A retrospective cohort study among 4298 patients for whom a PH-ECG was
transmitted to the investigating hospital, mainly due to chest pain, during 2013
were included. RESULTS: Among patients with PH-ECGs, 139 (3.2%) patients had a
STEMI. There were 115 pre-hospital catheterization laboratory activations among
which 16% (95% confidence interval 10-23) were false-positive for STEMI. The
median total time from emergency call to arterial puncture was 76 minutes. The
target of PCI within 60 minutes was met in 83% of the cases. The time from EMS
arrival to PH-ECG was 20 minutes for female patients and 13 minutes for male
patients (p < .001), and only 16% had a PH-ECG within 10 minutes from Emergency
Medical Service arrival. CONCLUSION: The rate of false-positive catheterization
laboratory activations based on pre-hospital ECGs was low and the target of PCI
within 60 minutes is achievable for a majority of patients. Efforts should be
made to reduce the time from ambulance arrival to PH-ECG transmission, especially
for female patients.
PMID- 29361857
TI - In vitro fibroblast migration by sustained release of PDGF-BB loaded in chitosan
nanoparticles incorporated in electrospun nanofibers for wound dressing
applications.
AB - Migration of fibroblasts into wound area is a critical phenomenon in wound
healing process. We used an appropriate system to fabricate an electrospun
bioactive scaffold with controlled release of PDGF-BB in order to induce
migration of primary skin fibroblast cells. First of all, protein-loaded chitosan
nanoparticles based on ionic gelation interaction between chitosan and sodium
tripolyphosphate were prepared. Then polycaprolactone electrospun fibers
containing chitosan nanoparticles or PDGF-BB-loaded chitosan nanoparticles were
prepared. Cellular attachment and morphology of cells seeded on scaffolds with or
without PDGF-BB were evaluated by using a fluorescence microscope and scanning
electron microscopy. Cells were well-oriented 72 h after seeding on the scaffolds
containing PDGF-BB. The mean aspect ratio of populations on scaffold containing
PDGF-BB-loaded chitosan nanoparticles was significantly greater than those on the
scaffold containing chitosan nanoparticles but no PDGF-BB. Furthermore, the Arp2
gene, which is involved in cell protrusion formation, showed about three times
more expression at mRNA level, in cells seeding on PDGF-BB-containing scaffold
compared to cells seeding on scaffold containing only chitosan nanoparticles,
using Real Time PCR test. Finally, under agarose migration assay results
demonstrated that cells' chemotaxic behavior was more toward scaffold containing
PDGF-BB compared to the PDGF-BB alone or FBS group. In addition, in terms of
distance, the cell mass could grow faster, in response to scaffold containing
PDGF-BB compared to FBS or PDGF-BB alone; however, the number of migrating cells
might be the same or significantly higher in the latter groups.
PMID- 29361858
TI - Determination of the renal concentration capacity following intravenous
administration of dDAVP in healthy humans.
AB - The synthetic AVP analogue 1-desamino-8-d-arginine-vasopressin (dDAVP) is used
for treatment of polyuric disorders. Lack of commercially available assays limits
the usefulness of dDAVP as a diagnostic tool in the assessment of renal
concentrating capacity. We aimed to develop a specific radioimmunoassay (RIA) for
determination of plasma dDAVP (pdDAVP) in order to investigate the relationship
between pdDAVP levels and urine osmolality (Uosm). Further, we aimed to determine
the onset, duration, and maximum concentrating capacity following intravenous
(i.v.) bolus dDAVP injection. The dDAVP assay was based on a well-established RIA
for measurements of AVP. Fourteen healthy subjects (aged 15-18 years)
participated. Blood and urine samples were collected prior to and after i.v.
bolus of 0.03 ug/kg dDAVP. Diuresis and Uosm was measured for nine hours
following dDAVP administration. PdDAVP and Uosm were analyzed.We established a
specific RIA for the measurement of pdDAVP. All subjects reached maximal pdDAVP
concentration (Cmax) 30 minutes following infusion, and a rise in Uosm after 60
minutes. Maximal Uosm varied between subjects, with no direct correlation to the
achieved pdDAVP levels. We found no significant intra-individual variation
between two dDAVP infusions and the effect was reproducible in terms of Cmax and
maximal Uosm. We characterized the relationship between pdDAVP and Uosm after
dDAVP bolus injection in healthy adolescents using our dDAVP assay. Maximal Uosm
achieved correlated with the baseline Uosm levels and seemed unrelated to
achieved pdDAVP levels. The urine concentrating response was maintained at least
eight hours.
PMID- 29361859
TI - Methods for certification in colonoscopy - a systematic review.
AB - INTRODUCTION: Reliable, valid, and feasible assessment tools are essential to
ensure competence in colonoscopy. This study aims to provide an overview of the
existing assessment methods and the validity evidence that supports them.
METHODS: A systematic search was conducted in October 2016. Pubmed, EMBASE, and
PsycINFO were searched for studies evaluating assessment methods to ensure
competency in colonoscopy. Outcome variables were described and evidence of
validity was explored using a contemporary framework. RESULTS: Twenty-five
observational studies were included in the systematic review. Most studies were
based on small sample sizes. The studies were categorized after outcome measures
into five groups: Clinical process related outcome metrics (n = 2), direct
observational colonoscopy assessment (n = 8), simulator based metrics (n = 11),
automatic computerized metrics (n = 2), and self-assessment (n = 1). Validity
score varied among the studies and only five studies presented sufficient
evidence to recommend the tool for clinical assessment. CONCLUSIONS: The
objectives vary throughout the presented tools. Some tools are global tools where
others focus on procedural technical skill assessment or even part-task skills.
There is a tendency in the most recent studies towards more specific assessment
of technical skills. The majority of assessment methods lack sufficient validity
evidence.
PMID- 29361860
TI - Adoption and correlates of the Dundee Ready Educational Environment Measure
(DREEM) in the evaluation of undergraduate learning environments - a systematic
review.
AB - BACKGROUND: The Dundee Ready Educational Environment Measure (DREEM) was
specifically designed to measure the undergraduate medical educational
environment. This study seeks to review the adoption of DREEM internationally,
and its association with different learning contexts and learner factors in order
to better support our learners and facilitate future applications and research.
METHOD: A systematic literature review was conducted on all articles that adopted
and reported data using the DREEM from 1997 to April 2017. RESULTS: Overall, the
majority of 106 included studies from over 30 countries were conducted in Asia
and Europe (76.4% of studies) within medical, dental, and nursing programs (86.8%
of studies). Seventy-nine out of 98 studies (80.6%) which reported DREEM scores
observed a mean total DREEM score within the range of "more positive than
negative" (101-150 out of maximum 200 points). Higher DREEM scores were
associated with better past academic achievement, quality of life, resilience,
positive attitudes towards course, mindfulness, preparedness for practice, less
psychological distress, and greater peer support. CONCLUSIONS: Future studies may
want to examine other correlates of DREEM such as coping styles, personality
profiles, burnout level, and DREEM scores can be incorporated into reviews of
learning environments to ascertain longitudinal changes following educational
interventions.
PMID- 29361861
TI - Twelve tips for teaching the informed consent conversation.
AB - Obtaining informed consent has been traditionally viewed as a mundane task,
learned on the job and often relegated to an inexperienced member of the
healthcare team. In reality, the process of obtaining informed consent is
complex, challenging, and warrants focused teaching, observation and feedback.
There are few published standards for what should be included in a high-quality
informed consent conversation, and little or no guidance regarding how to best
teach the process of conducting this type of shared decision-making conversation.
The following twelve tips provide a roadmap for teaching the essential components
of how to obtain informed consent, including both content and communication
skills, with a focus on common pitfalls for trainees, and strategies to address
them.
PMID- 29361862
TI - Poorly Differentiated Neuroendocrine Carcinoma of the Sigmoid Tract in Long
Standing Ulcerative Colitis: Report of a Case and Review of the Literature.
AB - A 37-year-old male with long-standing and extensive ulcerative pancolitis
developed a rapidly lethal poorly differentiated neuroendocrine carcinoma (NEC)
in the sigmoid colon. Prior biopsies obtained from multiple sites of the colon
during endoscopic surveillance showed minimal inflammatory changes and no sign of
dysplasia. Patients with inflammatory bowel disease (IBD) are at increased risk
of colorectal malignancies, and adenocarcinoma is the most common type of
colorectal neoplasm associated with ulcerative colitis and Crohn's disease, but
other types of epithelial and nonepithelial tumors have also been described in
IBD. NECs arising in the setting of ulcerative colitis are very rare and are
reported as anecdotic findings. We describe the clinicopathological features of
an IBD-related NEC and review the previously reported cases.
PMID- 29361863
TI - Design and Development of a Technology Platform for DNA-Encoded Library
Production and Affinity Selection.
AB - DNA-encoded libraries (DELs) have emerged as an efficient and cost-effective drug
discovery tool for the exploration and screening of very large chemical space
using small-molecule collections of unprecedented size. Herein, we report an
integrated automation and informatics system designed to enhance the quality,
efficiency, and throughput of the production and affinity selection of these
libraries. The platform is governed by software developed according to a database
centric architecture to ensure data consistency, integrity, and availability.
Through its versatile protocol management functionalities, this application
captures the wide diversity of experimental processes involved with DEL
technology, keeps track of working protocols in the database, and uses them to
command robotic liquid handlers for the synthesis of libraries. This approach
provides full traceability of building-blocks and DNA tags in each split-and-pool
cycle. Affinity selection experiments and high-throughput sequencing reads are
also captured in the database, and the results are automatically deconvoluted and
visualized in customizable representations. Researchers can compare results of
different experiments and use machine learning methods to discover patterns in
data. As of this writing, the platform has been validated through the generation
and affinity selection of various libraries, and it has become the cornerstone of
the DEL production effort at Lilly.
PMID- 29361864
TI - tagFinder: A Novel Tag Analysis Methodology That Enables Detection of Molecules
from DNA-Encoded Chemical Libraries.
AB - Available tools to analyze sequencing data coming from DNA-encoded chemical
libraries (DELs) are often limited to in-house methods, which usually rely on
strictly looking for the particular DEL structure used. Current methods do not
take into account technological errors, such as library codification and
sequencing errors, when detecting the sequences. The vast amount of data produced
by next-generation sequencing of DEL screens is usually enough to extract the
minimum information needed for compound identification. Here, we report a
methodology to deconvolute encoding oligonucleotides, thus optimizing the
sequencing power regardless of the library size, design complexity, or sequencing
technology chosen. tagFinder is a highly flexible tool for fast tag detection and
thorough DEL results characterization, which requires minimal hardware resources,
scales linearly, and does not introduce any analytical error. The methodology can
even deal with sequencing errors and PCR duplicates on single- or double-stranded
DNA, enhancing the analytical detection and quantification of molecules and the
informativeness of the entire process. Source code is available at
https://github.com/jamigo/tagFinder .
PMID- 29361865
TI - Sjogren's with distal renal tubular acidosis complicating pregnancy.
PMID- 29361866
TI - Challenges of endometrial assessment after ablation in women with postmenopausal
bleeding - A case series.
PMID- 29361867
TI - Learning to parent from Google? Evaluation of available online health evidence
for parents of preterm infants requiring neonatal intensive care.
AB - The study aim was to identify and evaluate the reliability and quality of online
resources for parents of preterm infants seeking health information about their
infant using the DISCERN tool and Health on Net code. An Internet search (
www.google.com ) was used to identify websites for parents of preterm infants on
their infants' health and health issues. For each search, the top 100 "hits" were
downloaded, yielding 1200 websites. After reviewing websites for exclusion
criteria and duplicates, 197 websites remained and were analyzed. According to
the DISCERN tool, the websites had a moderate reliability score (mean = 29.88,
standard deviation = 4.88, range: 18-40), moderate treatment score (mean = 24.15,
standard deviation = 5.79, range: 10-35), and moderate overall quality score
(mean = 3.41, standard deviation = 0.89, range: 1-5). Only 24 (12.2%) websites
had current Health on Net code approval and no other websites met full
eligibility for certification. Overall, the reliability and quality of
information available online to parents of preterm infants is lacking.
PMID- 29361868
TI - Deterministic Lateral Displacement: The Next-Generation CAR T-Cell Processing?
AB - Reliable cell recovery and expansion are fundamental to the successful scale-up
of chimeric antigen receptor (CAR) T cells or any therapeutic cell-manufacturing
process. Here, we extend our previous work in whole blood by manufacturing a
highly parallel deterministic lateral displacement (DLD) device incorporating
diamond microposts and moving into processing, for the first time, apheresis
blood products. This study demonstrates key metrics of cell recovery (80%) and
platelet depletion (87%), and it shows that DLD T-cell preparations have high
conversion to the T-central memory phenotype and expand well in culture,
resulting in twofold greater central memory cells compared to Ficoll-Hypaque
(Ficoll) and direct magnetic approaches. In addition, all samples processed by
DLD converted to a majority T-central memory phenotype and did so with less
variation, in stark contrast to Ficoll and direct magnetic prepared samples,
which had partial conversion among all donors (<50%). This initial comparison of
T-cell function infers that cells prepared via DLD may have a desirable bias,
generating significant potential benefits for downstream cell processing. DLD
processing provides a path to develop a simple closed system that can be
automated while simultaneously addressing multiple steps when there is potential
for human error, microbial contamination, and other current technical challenges
associated with the manufacture of therapeutic cells.
PMID- 29361869
TI - Twelve tips for medical students to conduct a systematic review.
AB - BACKGROUND: Medical students engaged in research develop general skills that are
instrumental to clinical practice. The systematic review, a cornerstone of
evidence-based medicine, represents an ideal starting point for student
researchers. AIM AND METHODS: To outline twelve tips on conducting a systematic
review for medical students with limited research experience. RESULTS: The tips
in this article are practical, comprehensive, and informed by the authors
experience as medical students. CONCLUSION: The twelve tips can help medical
students contribute to the literature and build a valuable skillset for future
research and clinical practice.
PMID- 29361870
TI - Correction to: Potential autofertility in true hermaphrodites.
PMID- 29361871
TI - Risk variation in celiac disease in a population from Southern Spain: evaluating
the influence of the DQB1*02:02 allele frequency.
AB - OBJECTIVES: To date, the greatest genetic risk factor known for celiac disease
(CD) is the presence of HLA-DQ2 heterodimers, specifically DQ2.5 in state of
homozygosis or heterozygosis. DQ2.2 variants are the second most important risk
factor when carried trans to DQ2. This study aimed to determine the prevalence
and risk genotypes of HLA-DR-DQ. MATERIAL AND METHODS: A total of 196 patients
with CD and 206 healthy controls from the Province of Malaga (southern Spain)
were included. The corresponding risk gradient in our population was established
in accordance with the odds ratios (ORs) found. RESULTS: The heterozygous
genotype for DR7-DQ2.2/DR3-DQ2.5 presented the highest risk (OR =6.404, p =
.0001) followed by the DR3-DQ2.5 homozygous genotype (OR =4.721, p = .001). An
intermediate risk was found for the DQ2.5 heterozygous genotype with no other DQ
risk variant (DQ8 or DQ2.2). Similarly, these three genotypes had also an
increase in the risk of associated-autoimmune diseases. The DQB1*02:01 allele was
the most widely represented among patients with CD respect to the control group
(f = 0.479, p = .0001), with the second most common being DQB1*02:02 (f = 0.209,
p = .0001). CONCLUSIONS: In addition to the gene dosage effect confirmed in our
report, and in contrast with previous studies, we found a raised risk for those
patients with DQ2.2 heterodimers in trans configuration to DQ2.5 compared to
DQ2.5 homozygous individuals. Therefore, in our population of patients with CD
the frequency of DQ2.2 acts as a factor that increases the genetic risk of
developing CD.
PMID- 29361872
TI - Effectiveness of Basic Life Support Training for Middle School Students.
AB - Bystander cardiopulmonary resuscitation improves survival after out-of-hospital
cardiac arrest. This study aimed to assess the effectiveness of a basic life
support (BLS) educational course given to 110 middle school children, using a
pretest posttest design. In the pretest, students were asked to demonstrate BLS
on a manikin to simulate a real-life scenario. After the pretest, a BLS training
course of two sessions was provided, followed by posttest on the same manikin.
Students were assessed using an observational sheet based on the American Heart
Association's BLS guidelines. In the pretest, students showed significant
weakness in the majority of guidelines. In the posttest, they demonstrated
significant improvement in their BLS skills. BLS training in the middle school
was effective, considering the lack of previous skills. It is recommended that
BLS education be compulsory in the school setting.
PMID- 29361873
TI - Potential Cognitive Benefits From Playing Music Among Cognitively Intact Older
Adults: A Scoping Review.
AB - The aging population is growing rapidly, raising rates of cognitive impairment,
which makes strategies for protection against cognitive impairment increasingly
important. There is little evidence indicating highly effective interventions
preventing or slowing onset of cognitive impairment. Music playing influences
brain and cognitive function, activating multiple brain areas and using cognitive
and motor functions as well as multiple sensory systems, simultaneously. The
purpose of this study was to review the current evidence related to playing a
musical instrument being a potentially protective mechanism against cognitive
decline among older adults. Using scoping review procedures, four databases were
searched. Paired reviewers analyzed articles for content, design, and bias.
Eleven studies met study criteria and were included in the review. All studies
showed that music playing was correlated with positive outcomes on cognitive
ability; more high-quality research is needed in this area to understand
mechanisms behind potential cognitive protection of music.
PMID- 29361875
TI - Complications in translabyrinthine surgery of vestibular schwannoma.
AB - OBJECTIVE: To evaluate the risk of complications associated with tumor size and
patient's age in translabyrinthine vestibular schwannoma surgery. METHODS: 700
patients with vestibular schwannoma primarily underwent translabyrinthine surgery
between 1988 and 2014. Pre- and postoperative data were collected in a database
and incidence of the postoperative complications cerebrospinal fluid leakage,
meningitis, intracranial hemorrhage (ICH), facial nerve function and mortality
were assessed and related to the tumor size and patient's age and retrospectively
evaluated. RESULTS: The tumor size significantly influenced the incidence of ICH
and facial nerve dysfunction whereas age was correlated to facial nerve outcome.
CONCLUSIONS: The translabyrinthine approach is a safe surgical procedure with
relatively low risks of complications. The tumor size was significantly
associated with a higher risk of ICH and facial nerve dysfunction whereas age
only influenced the facial nerve outcome.
PMID- 29361876
TI - Impact of Admission Imaging Findings on Neurological Outcomes in Acute Cervical
Traumatic Spinal Cord Injury.
AB - Variable and unpredictable spontaneous recovery can occur after acute cervical
traumatic spinal cord injury (tSCI). Despite the critical clinical and
interventional trial planning implications of this tSCI feature, baseline
measures to predict neurologic recovery accurately are not well defined. In this
study, we used data derived from 99 consecutive patients (78 male, 21 female)
with acute cervical tSCIs to assess the sensitivity and specificity of various
clinical and radiological factors in predicting recovery at one year after
injury. Categorical magnetic resonance imaging parameters included maximum canal
compromise (MCC), maximum spinal cord compression (MSCC), longitudinal length of
intramedullary lesion (IML), Brain and Spinal Injury Center (BASIC) score, and a
novel derived Combined Axial and Sagittal Score (CASS). Logistic regression
analysis of the area under the receiver operating characteristic curve (AUC) was
applied to assess the differential predictive value of individual imaging
markers. Admission American Spinal Injury Association Impairment Scale (AIS)
grade, presence of a spinal fracture, and central cord syndrome were predictive
of AIS conversion at one year. Both BASIC and IML were stronger predictors of AIS
conversion compared with MCC and MSCC (p = 0.0002 and p = 0.04). The BASIC score
demonstrated the highest overall predictive value for AIS conversion at one year
(AUC 0.94). We conclude that admission intrinsic cord signal findings are robust
predictive surrogate markers of neurologic recovery after cervical tSCI. Direct
comparison of imaging parameters in this cohort of patients indicates that the
BASIC score is the single best acute predictor of the likelihood of AIS
conversion.
PMID- 29361877
TI - Pharmacological Review on Asiatic Acid and Its Derivatives: A Potential Compound.
AB - Natural triterpenes represent a group of pharmacologically active and
structurally diverse organic compounds. The focus on these phytochemicals has
been enormous in the past few years, worldwide. Asiatic acid (AA), a naturally
occurring pentacyclic triterpenoid, is found mainly in the traditional medicinal
herb Centella asiatica. Triterpenoid saponins, which are the primary constituents
of C. asiatica, are commonly believed to be responsible for their extensive
therapeutic actions. Published research work has described the molecular
mechanisms underlying the various biological activities of AA and its
derivatives, which vary for each chronic disease. However, a compilation of the
various pharmacological properties of AA has not yet been done. Herein, we
describe in detail the pharmacological properties of AA and its derivatives that
inhibit multiple pathways of intracellular signaling molecules and transcription
factors that are involved in the various stages of chronic diseases. Furthermore,
the pharmacological activities of AA were compared with two natural compounds:
curcumin and resveratrol. This review summarizes the research on AA and its
derivatives and helps to provide future directions in the area of drug
development.
PMID- 29361879
TI - Diet Quality and Physical Fighting Among Youth: A Cross-National Study.
AB - The predictors of engaging in physical violence appear to be quite diverse,
covering an array of social and psychological risk factors. The present study
expands upon this literature by exploring the link between diet quality and
physical fighting during early adolescence using cross-national data from the
2005/2006 Health Behaviors of School Children (HBSC) survey. The results reveal
that the rate of physical fighting was 40% higher among youths with lower quality
diets, whereas the odds of frequent physical fighting were 68% higher, relative
to youths with better diets. Ancillary analyses reveal that (a) the link between
diet quality and physical fighting was significantly larger among females and (b)
significant associations between diet quality and physical fighting were detected
in 38 of the 40 countries examined. Strategies designed to improve diet quality
among youth may have the added benefit of reducing the likelihood of physical
fighting and its negative sequelae.
PMID- 29361878
TI - Quality of endoscopic surveillance of Barrett's esophagus.
AB - OBJECTIVES: The aim of this study was to evaluate adherence to Barrett's
esophagus (BE) surveillance guidelines in Denmark. METHODS: The Danish Pathology
Registry was used to identify 3692 patients. A total of 300 patients were
included by drawing a simple random sample. Description of the BE segment, biopsy
protocol, communication with the pathologist and planned follow-up endoscopy, was
evaluated. RESULTS: Thirty-one patients were excluded due to missing reports and
83 patients (28%) due to no endoscopic evidence of BE. Endoscopists suspected BE
in 186 patients (62%) and these patients were included. Prague C&M classification
was used in 34% of the endoscopy reports. The median number of biopsies was 4
(interquartile range (IQR), 3-6). The BE segment was stratified by lengths of 1
5, 6-10 and 11-15 cm and endoscopists obtained a sufficient number of biopsies in
12, 8 and 0% of cases, respectively. 28% of endoscopists described the exact
location of the biopsy site in the pathology requisition. Patients with
nondysplastic BE had endoscopic surveillance performed after a median of 24
months (IQR, 6-24). CONCLUSIONS: Adherence to the Danish guidelines was poor.
This may be associated with insufficient quality of BE surveillance. Lack of
endoscopic evidence of BE in the Danish Pathology Registry may have
underestimated the incidence of adenocarcinoma in BE patients in previous
studies.
PMID- 29361880
TI - An overview of pharmacotherapy for bipolar I disorder.
AB - INTRODUCTION: Bipolar I disorder (BD I) is complex with a chronic course that
significantly impacts a sufferer's quality of life. As of right now, there are
many available treatments that aim to rapidly treat manic or depressive episodes
and stabilize mood. The purpose of this report is to provide an up-to-date
comprehensive review of the available evidence-based trials of pharmacotherapy
for the treatment of BD I. Areas covered: This paper reviews randomized active
comparator-controlled or placebo-controlled trials evaluating the use of current
pharmacotherapy in adults with BD I from phase III to clinical practice.
Monotherapy and combination therapy for acute and long-term treatment were
reviewed for this purpose. Expert opinion: There are many treatments available
for BD mania; however, the depressive and stabilization phases of the illness
remain a clinical challenge. Unfortunately, randomized controlled trials do not
represent 'real world' patients, as their strict inclusion and exclusion criteria
do not allow for different features sometimes present in patients to be
considered. Research efforts must also focus on treating cognitive deficits,
which adds to lower functional outcome. The authors believe that there is dire
need for new, more targeted treatments in BD I, with a critical view of the side
effects.
PMID- 29361881
TI - Dimethyl Fumarate Attenuates Neuroinflammation and Neurobehavioral Deficits
Induced by Experimental Traumatic Brain Injury.
AB - Traumatic brain injury (TBI) is a serious neuropathology that causes secondary
injury mechanisms, including dynamic interplay between ischemic, inflammatory,
and cytotoxic processes. Fumaric acid esters (FAEs) showed beneficial effects in
pre-clinical models of neuroinflammation and toxic oxidative stress, so the aim
of the present work was to evaluate the potential beneficial effects of dimethyl
fumarate (DMF), the most pharmacologically effective molecules among the FAEs, in
a mouse model of TBI induced by controlled cortical impact (CCI). Mice were
administered DMF orally at the doses of 1, 10, and 30 mg/kg 1 h and 4 h after
CCI. We performed histological, molecular, and immunohistochemistry analysis on
the traumatic penumbral areas of the brain 24 h after CCI. DMF treatment notably
reduced histological damage and behavioral impairments, reducing
neurodegeneration as evidenced by assessments of neuronal loss, Fluoro-Jade C,
and TUNEL staining; also, treatment with DMF blocked the apoptosis process
increasing B-cell lymphoma 2 (Bcl-2) expression in injured cortex. Further, DMF
treatment up-regulated antioxidant Kelch-like ECH-associated protein 1/nuclear
factor erythroid 2-related factor pathway, inducing activation of manganese
superoxide dismutase and heme-oxygenase-1 and reducing 4-hydroxy-2-nonenal
staining. Also, regulating the NF-kappaB pathway, DMF treatment decreased the
severity of inflammation through a modulation of neuronal nitric oxide synthase,
interleukin 1, tumor necrosis factor, cyclooxygenase 2, and myeloperoxidase
activity, reducing ionized calcium-binding adapter molecule 1 and glial
fibrillary acidic protein expression. Our results support the thesis that DMF may
be an effective neuroprotectant after brain trauma and warrants further study.
PMID- 29361882
TI - Awake craniotomy for assisting placement of auditory brainstem implant in NF2
patients.
AB - OBJECTIVES: Auditory brainstem implants (ABIs) may be the only opportunity for
patients with NF2 to regain some sense of hearing sensation. However, only a very
small number of individuals achieved open-set speech understanding and high
sentence scores. Suboptimal placement of the ABI electrode array over the
cochlear nucleus may be one of main factors for poor auditory performance. In the
current study, we present a method of awake craniotomy to assist with ABI
placement. METHODS: Awake surgery and hearing test via the retrosigmoid approach
were performed for vestibular schwannoma resections and auditory brainstem
implantations in four patients with NF2. Auditory outcomes and complications were
assessed postoperatively. RESULTS: Three of 4 patients who underwent awake
craniotomy during ABI surgery received reproducible auditory sensations
intraoperatively. Satisfactory numbers of effective electrodes, threshold levels
and distinct pitches were achieved in the wake-up hearing test. In addition,
relatively few electrodes produced non-auditory percepts. There was no serious
complication attributable to the ABI or awake craniotomy. CONCLUSIONS: It is safe
and well tolerated for neurofibromatosis type 2 (NF2) patients using awake
craniotomy during auditory brainstem implantation. This method can potentially
improve the localization accuracy of the cochlear nucleus during surgery.
PMID- 29361883
TI - Intensity of Care at the End of Life Among Older Adults in Korea.
AB - AIM: To examine the intensity of care at the end of life among older adults in
Korea and to identify the individual and institutional factors associated with
care intensity. METHODS: This secondary data analysis included a sample of 6278
decedents aged 65 years or older who were identified from the 2009 to 2010 Korean
National Health Insurance Service-National Sample Cohort Claims data. We examined
the medical care received by the cohort in the last 30 days of their lives.
RESULTS: Overall, 36.5% of the sample received at least 1 intensive care
procedure in the last 30 days of their lives; 26.3% of patients experienced
intensive care unit admission, with an average stay of 7.45 days, 19.5% received
mechanical ventilation, 12.3% received cardiopulmonary resuscitation, and 15.5%
had a feeding tube placement. A statistical analysis using a multiple logistic
regression model with random effects showed that younger age, higher household
income, primary diagnoses of diseases (ischemic heart disease, infectious
disease, chronic lung disease, or chronic heart disease), and characteristics of
care setting (large hospitals and facilities located in metropolitan areas) were
significantly associated with the likelihood of receiving high-intensity care at
the end of life. CONCLUSION: A substantial number of older adults in Korea
experienced high-intensity end-of-life care. Both individual and institutional
factors were associated with the likelihood of receiving high-intensity care.
Gaining an understanding of the intensity of care at the end of life and the
impact of the determinants would advance efforts to improve quality of care at
the end of life for older adults in Korea.
PMID- 29361884
TI - Evaluation of dietary intake in children and college students with and without
attention-deficit/hyperactivity disorder.
AB - OBJECTIVES: To evaluate dietary intake among individuals with and without
attention-deficit hyperactivity disorder (ADHD), to evaluate the likelihood that
those with ADHD have inadequate intakes. METHODS: Children, 7-12 years old, with
(n = 23) and without (n = 22) ADHD, and college students, 18-25 years old, with
(n = 21) and without (n = 30) ADHD comprised the samples. Children's dietary
intake was assessed by a registered dietitian using 24-hour recalls over 3 days.
College students kept a detailed food record over three days. Dietary information
for both groups was entered into the Nutrition Data Systems for Research
database, and output was analyzed using SAS 9.4. Nutrient analyses included the
Healthy Eating Index-2010, Micronutrient Index (as a measure of overall
micronutrient intake), and individual amino acids necessary for
neurotransmission. Logistic regression was used to model the association of
nutrient intake with ADHD. Models were adjusted for age, sex, IQ (or GPA), and
energy intake (or total protein intake) as appropriate. Significance was
evaluated at P = 0.05, and using the Benjamini-Hochberg corrected P-value for
multiple comparisons. RESULTS: No evidence existed for reduced nutrient intake
among those with ADHD compared to controls in either age group. Across both
groups, inadequate intakes of vitamin D and potassium were reported in 95% of
participants. Children largely met nutrient intake guidelines, while college
students failed to meet these guidelines for nine nutrients. In regards to amino
acid intake in children, an increased likelihood of having ADHD was associated
with higher consumption of aspartate, OR = 12.61 (P = 0.01) and glycine OR =
11.60 (P = 0.05); and a reduced likelihood of ADHD with higher intakes of
glutamate, OR = 0.34 (P = 0.03). Among young adults, none of the amino acids were
significantly associated with ADHD, though glycine and tryptophan approached
significance. DISCUSSION: Results fail to support the hypothesis that ADHD is
driven solely by dietary micronutrient inadequacy. However, amino acids
associated with neurotransmission, specifically those affecting glutamatergic
neurotransmission, differed by ADHD status in children. Amino acids did not
reliably vary among college students. Future larger scale studies are needed to
further examine whether or not dietary intake of amino acids may be a modulating
factor in ADHD.
PMID- 29361885
TI - Lessons in Program Evaluation: The ACTV Batterer Program Study and Its Claims.
AB - The "Evaluation of the Acceptance and Commitment Therapy (ACT) curriculum for
domestic violence offenders" illustrates the methodological issues associated
with interpreting program evaluations and applying them to policy. Despite the
"preliminary" evidence, the authors promote ACTV as more effective in terms of
recidivism compared with DU/CBT (cognitive-behavioral therapy) programming. A
more critical consideration of the research, however, exposes further limitations
that counter the initial speculations and interpretations of the study outcome.
Consequently, the effectiveness of ACTV over the DU/CBT option remains in
question and raises the need for a broader discourse on program effectiveness.
PMID- 29361886
TI - Sequential occurrence of Graves' disease and immune thrombocytopenic purpura as
manifestations of immune reconstitution inflammatory syndrome in an HIV-infected
patient.
AB - Immune reconstitution inflammatory syndrome (IRIS) in HIV-infected patients after
initiating antiretroviral therapy usually involves worsening manifestations of
overt infectious disease. Here, we describe a sporadic case of a late-diagnosed
HIV-positive man who developed Graves' disease as the first noninfectious IRIS
followed by immune thrombocytopenic purpura as the second noninfectious IRIS.
PMID- 29361887
TI - Assessment of HIV disclosure and sexual behavior among Black men who have sex
with men following a randomized controlled intervention.
AB - Disclosure is important in human immunodeficiency virus (HIV) transmission risk
reduction. This randomized controlled intervention assessed changes in and
predictors of disclosure and risky sexual behavior among Black men who have sex
with men (BMSM) living with HIV in the U.S. BMSM were randomly assigned to either
the disclosure intervention or attention control case management group.
Predictors of three disclosure types (behavior, beliefs, intentions) and
condomless anal intercourse (CAI) included disclosure consequences (rewards and
costs), disclosure readiness, and safer sex readiness. Mixed-effect results
showed no differences between the groups in any of the outcomes; although
disclosure behavior increased over time. Relationships were found between
readiness to change and CAI; disclosure consequences and different disclosure
types; and disclosure behavior and receptive CAI. When working with BMSM living
with HIV, practitioners and prevention specialists should consider the importance
of disclosure pertaining to receptive CAI and factors that support overall
disclosure and safer sex.
PMID- 29361888
TI - Focused development of advanced practice nurse roles for specific patient groups
in a Swiss university hospital
AB - Background: To cover future health care needs of the population, new care models
are necessary. The development of advanced nursing practice (ANP) offers the
opportunity to meet these challenges with novel services. At the Inselspital,
Bern University Hospital, ANP services and corresponding advanced practice nurse
(APN) roles have been developed since 2011. Purpose: The aim is to develop
innovative and evidence based ANP services to supplement health care for specific
patient groups and their family members with the goal to improve safety and
achieve better outcomes. Methods: Project-based ANP services are developed in
close collaboration of clinical departments and the Nursing Development Unit
(NDU) of the Directorate of Nursing. Structure, process and outcome data are
collected for evaluation. Findings: Currently, five ANP services are established
and running, eight more are in the developmental phase. Most services address the
long term care of patients with chronic illnesses and their family members. Ten
APNs work between 10 % and 80 %, three are leading an ANP-team. APNs work over 50
% in direct clinical practice, primarily in counselling. An ANP network connects
APNs and NDU, promoting synergy and exchange. Conclusions: The available
resources often constitute a challenge for the development of ANP services. Vital
for the long-term success are an adequate extent of the position, the support by
department directorate, the conceptual framework that is implemented across the
whole hospital, and the development within project structures.
PMID- 29361889
TI - The Role and Molecular Mechanism of Long Nocoding RNA-MEG3 in the Pathogenesis of
Preeclampsia.
AB - A growing body of evidence suggests that the dysregulation of long noncoding RNA
is increasingly linked to many human diseases. Maternally expressed gene 3 (
MEG3) is one such gene thought to be affected. In the placenta of patients with
preeclampsia, there is reduced expression of MEG3; however, its role and the
mechanism involved are not clear. Therefore, we examined the expression of MEG3,
epithelial-mesenchymal transition (EMT) markers (E-cadherin and N-cadherin), and
TGF-beta/smad signaling pathway genes ( TGF-beta1, smad3, and smad7) in the
placental tissues of 20 patients with preeclampsia and 20 healthy patients. We
further observed the impact of MEG3 on the invasion and migration functions of
human trophoblast cells and the effects on EMT and TGF-beta/smad signaling
pathways in an Human trophoblast cell-8 (HTR-8)Vneo cell line. The expression of
MEG3 was lower in tissues from patients with preeclampsia having an EMT decline,
as well as a messenger RNA expression of smad7. The expression of TGF-beta1 and
smad3 were higher in patients with preeclampsia. In HTR-8/SVneo cells with
overexpressed MEG3, the invasion and migration functions were enhanced and
accompanied by higher EMT and a significantly increased expression of smad7. Our
data indicate that MEG3 is closely associated with the pathogenesis of
preeclampsia and thus associated with changes in the EMT of placental trophoblast
cells. These results indicate that MEG3 regulation of trophoblast cell EMT via
the TGF-beta pathway inhibitor smad7 may be the molecular mechanism involved in
the pathogenesis of preeclampsia.
PMID- 29361891
TI - [Impact of an e-learning program regarding patients' harmful alcohol consumption
on the knowledge, attitudes and perceived competencies of nurses from an acute
care hospital: a pretest-posttest-study].
AB - : Impact of an e-learning program regarding patients' harmful alcohol consumption
on the knowledge, attitudes and perceived competencies of nurses from an acute
care hospital: a pretest-posttest-study Abstract. BACKGROUND: Harmful alcohol
consumption is associated with approximately 1600 deaths in Switzerland annually,
and is the third-largest risk factor for various diseases worldwide. It has been
shown that through early identification of problematic drinking behaviours along
with preventive intervention, alcohol-related illnesses and mortality can be
reduced. Therefore, nurses play a crucial role for patients with alcohol
problems. However, the most common reasons for nurses not providing preventive
intervention are a lack of knowledge, personal attitudes and uncertainty.
Learning programs hold the potential for influencing these factors. AIM: The aim
of this study was to assess the impact of an e-learning program regarding
patients' harmful alcohol consumption on the knowledge, attitudes and perceived
competencies of nurses in an acute care hospital. METHOD: A pretest-posttest
study was conducted with 33 registered nurses prior to and upon completion of the
e-learning program. Data was collected from December 2013 to March 2014 using a
literature-based questionnaire. RESULTS: It was shown that knowledge and
perceived competencies significantly improved from pre-test to post-test. No
significant differences were measured with regard to the attitudes. CONCLUSIONS:
The results suggest that the alcohol e-learning program could be used to enhance
nurses' knowledge and perceived competencies, both of which are related to the
reduction of uncertainty and improvement of confidence. To foster non-judgemental
attitudes towards affected people, classroom courses are recommended in addition
to the e-learning program and the implementation of directives.
PMID- 29361890
TI - Modeling Neurodegenerative Microenvironment Using Cortical Organoids Derived from
Human Stem Cells.
AB - Alzheimer's disease (AD) is one of the most common neurodegenerative disorders
and causes cognitive impairment and memory deficits of the patients. The
mechanism of AD is not well known, due to lack of human brain models. Recently,
mini-brain tissues called organoids have been derived from human induced
pluripotent stem cells (hiPSCs) for modeling human brain development and
neurological diseases. Thus, the objective of this research is to model and
characterize neural degeneration microenvironment using three-dimensional (3D)
forebrain cortical organoids derived from hiPSCs and study the response to the
drug treatment. It is hypothesized that the 3D forebrain organoids derived from
hiPSCs with AD-associated genetic background may partially recapitulate the
extracellular microenvironment in neural degeneration. To test this hypothesis,
AD-patient derived hiPSCs with presenilin-1 mutation were used for cortical
organoid generation. AD-related inflammatory responses, matrix remodeling and the
responses to DAPT, heparin (completes with heparan sulfate proteoglycans [HSPGs]
to bind Abeta42), and heparinase (digests HSPGs) treatments were investigated.
The results indicate that the cortical organoids derived from AD-associated
hiPSCs exhibit a high level of Abeta42 comparing with healthy control. In
addition, the AD-derived organoids result in an elevated gene expression of
proinflammatory cytokines interleukin-6 and tumor necrosis factor-alpha,
upregulate syndecan-3, and alter matrix remodeling protein expression. Our study
demonstrates the capacity of hiPSC-derived organoids for modeling the changes of
extracellular microenvironment and provides a potential approach for AD-related
drug screening.
PMID- 29361892
TI - Rehabilitation of patients with venous diseases of the lower limbs: State of the
art.
AB - BACKGROUND: To date, no document comprehensively focused on the complex issue of
the rehabilitation of chronic venous diseases of the lower limbs. METHOD: This
article overviews and summarizes current strategies concerning venous
rehabilitation of lower limbs. RESULTS: Venous rehabilitation is based on four
main strategies: (1) lifestyle adaptations and occupational therapies; (2)
physical therapies; (3) adapted physical activities; (4) psychological and social
support. Rehabilitative protocols must be tailored to the specific needs of each
patient, depending on the severity of chronic venous disease and on the location
and pattern of venous lesion(s), but also on age, motor deficits, co-morbidities
and psychosocial conditions. CONCLUSIONS: Venous rehabilitation consists of non
pharmacologic and non-surgical interventions aiming at prevention of venous
disease progression and complications, reduction of symptoms and improvement of
quality of life. Well-designed clinical trials are required to evaluate the
efficacy of the described rehabilitative protocols in influencing the evolution
of venous disorders.
PMID- 29361893
TI - Discovery of novel antimicrobial peptides: A transcriptomic study of the sea
anemone Cnidopus japonicus.
AB - As essential conservative component of the innate immune systems of living
organisms, antimicrobial peptides (AMPs) could complement pharmaceuticals that
increasingly fail to combat various pathogens exhibiting increased resistance to
microbial antibiotics. Among the properties of AMPs that suggest their potential
as therapeutic agents, diverse peptides in the venoms of various predators
demonstrate antimicrobial activity and kill a wide range of microorganisms. To
identify potent AMPs, the study reported here involved a transcriptomic profiling
of the tentacle secretion of the sea anemone Cnidopus japonicus. An in silico
search algorithm designed to discover toxin-like proteins containing AMPs was
developed based on the evaluation of the properties and structural peculiarities
of amino acid sequences. The algorithm revealed new proteins of the anemone
containing antimicrobial candidate sequences, and 10 AMPs verified using high
throughput proteomics were synthesized. The antimicrobial activity of the
candidate molecules was experimentally estimated against Gram-positive and
negative bacteria. Ultimately, three peptides exhibited antimicrobial activity
against bacterial strains, which suggests that the method can be applied to
reveal new AMPs in the venoms of other predators as well.
PMID- 29361894
TI - The visualCMAT: A web-server to select and interpret correlated mutations/co
evolving residues in protein families.
AB - The visualCMAT web-server was designed to assist experimental research in the
fields of protein/enzyme biochemistry, protein engineering, and drug discovery by
providing an intuitive and easy-to-use interface to the analysis of correlated
mutations/co-evolving residues. Sequence and structural information describing
homologous proteins are used to predict correlated substitutions by the Mutual
information-based CMAT approach, classify them into spatially close co-evolving
pairs, which either form a direct physical contact or interact with the same
ligand (e.g. a substrate or a crystallographic water molecule), and long-range
correlations, annotate and rank binding sites on the protein surface by the
presence of statistically significant co-evolving positions. The results of the
visualCMAT are organized for a convenient visual analysis and can be downloaded
to a local computer as a content-rich all-in-one PyMol session file with multiple
layers of annotation corresponding to bioinformatic, statistical and structural
analyses of the predicted co-evolution, or further studied online using the built
in interactive analysis tools. The online interactivity is implemented in HTML5
and therefore neither plugins nor Java are required. The visualCMAT web-server is
integrated with the Mustguseal web-server capable of constructing large structure
guided sequence alignments of protein families and superfamilies using all
available information about their structures and sequences in public databases.
The visualCMAT web-server can be used to understand the relationship between
structure and function in proteins, implemented at selecting hotspots and
compensatory mutations for rational design and directed evolution experiments to
produce novel enzymes with improved properties, and employed at studying the
mechanism of selective ligand's binding and allosteric communication between
topologically independent sites in protein structures. The web-server is freely
available at https://biokinet.belozersky.msu.ru/visualcmat and there are no login
requirements.
PMID- 29361895
TI - Identification of potential drug targets for treatment of refractory epilepsy
using network pharmacology.
AB - Epilepsy is the fourth most common neurological disease after migraine, stroke,
and Alzheimer's disease. Approximately one-third of all epilepsy cases are
refractory to the existing anticonvulsants. Thus, there is an unmet need for
newer antiepileptic drugs (AEDs) to manage refractory epilepsy (RE). Discovery of
novel AEDs for the treatment of RE further retards for want of potential
pharmacological targets, unavailable due to unclear etiology of this disease. In
this regard, network pharmacology as an area of bioinformatics is gaining
popularity. It combines the methods of network biology and polypharmacology,
which makes it a promising approach for finding new molecular targets. This work
is aimed at discovering new pharmacological targets for the treatment of RE using
network pharmacology methods. In the framework of our study, the genes associated
with the development of RE were selected based on analysis of available data. The
methods of network pharmacology were used to select 83 potential pharmacological
targets linked to the selected genes. Then, 10 most promising targets were chosen
based on analysis of published data. All selected target proteins participate in
biological processes, which are considered to play a key role in the development
of RE. For 9 of 10 selected targets, the potential associations with different
kinds of epilepsy have been recently mentioned in the literature published, which
gives additional evidence that the approach applied is rather promising.
PMID- 29361896
TI - Patient complaints as a means to improve quality of hospital care. Results of a
qualitative content analysis
AB - Background: Many hospitals have defined procedures for a complaint management. A
systematic analysis of patient complaints helps to identify similar complaints
and patterns so that targeted improvement measures can be derived (Gallagher &
Mazor, 2015). Aim: Our three-month, nurse-led practice development project aimed
1) to identify complaints regarding communication issues, 2) to systemise and
prioritise complaints regarding communication issues, and 3) to derive clinic
specific recommendations for improvement. Method: We analysed 273 complaints of
patients documented by the quality management (secondary data analysis). Using
content analysis and applying the coding taxonomy for inpatient complaints by
Reader, Gillespie and Roberts (2014), we distinguished communication-related
complaints. By further inductive differentiation of these complaints, we
identified patterns and prioritised fields of action. Results: We identified 186
communication-related complaints divided into 16 subcategories. For each
subcategory, improvement interventions were derived, discussed and prioritised.
Conclusions: Thus, patient complaints provided an excellent opportunity for
reflection and workplace learning for nurses. The analysis gave impulse to
exemplify the subject "person-centered care" for nurses.
PMID- 29361897
TI - Administration of the metabotropic glutamate receptor subtype 5 allosteric
modulator GET 73 with alcohol: A translational study in rats and humans.
AB - Preclinical work suggests that GET 73 (N-[4-(trifluoromethyl)benzyl]-4
methoxybutyramide), a novel metabotropic glutamate receptor subtype 5 negative
allosteric modulator, may represent a novel pharmacological treatment for alcohol
use disorder. Two independent experiments evaluated the effect of acutely
administered GET 73 (0, 30, and 100 mg/kg, intragastrically) on alcohol-induced
hypolocomotion ( n=72) and sedation/hypnosis ( n=36) in rats. In healthy male
volunteers ( n=14), an open-label, randomised, crossover study was conducted to
compare adverse events and pharmacokinetic parameters, in two experiments in
which 300 mg GET 73 was administered, with and without alcohol, once and thrice.
In rats, when administered with alcohol-vehicle, 100 mg/kg, but not 30 mg/kg, GET
73 reduced spontaneous locomotor activity. When administered with alcohol, no
dose of GET 73 altered either alcohol-induced hypolocomotion or
sedation/hypnosis. In humans, both single and thrice 300 mg GET 73 administration
were well tolerated, in the presence and absence of alcohol, with no differences
in adverse events. There were no significant differences in relative
bioavailability between administering 300 mg GET 73 in the presence or absence of
alcohol.
PMID- 29361898
TI - How much basic science content do second-year medical students remember from
their first year?
AB - While most medical students generally perform well on examinations and pass their
courses during the first year, we do not know how much basic science content they
retain at the start of their second year and how that relates to minimal
competency set by the faculty. In the fall of 2014, before starting their second
year courses, 27 medical students volunteered to participate in a study of long
term retention of the basic sciences by taking a "retention exam" after a delay
of 5-11 months. The overall mean performance when the students initially answered
the 60 multiple choice questions (MCQs) was 82.8% [standard deviation (SD) =
7.4%], which fell to 50.1% (SD = 12.1%) on the retention exam. This gave a mean
retention of 60.4% (SD = 12.8%) with the retention for individual students
ranging from 37 to 81%. The majority of students (23/27; 85%) fell below the
minimal level of competency to start their second year. Medical educators should
be more aware of the significant amount of forgetting that occurs during training
and make better use of instructional strategies that promote long-term learning
such as retrieval practice, interleaving, and spacing.
PMID- 29361899
TI - Interpersonal factors in the Pharmacokinetics and Pharmacodynamics of
Voriconazole: Are CYP2C19 genotypes enough for us to make a clinical decision?
AB - Invasive mycoses are serious infections with high mortality and increasing
incidence. Voriconazole, an important drug to treat invasive mycosis, is
metabolized mainly by the cytochrome P450 family 2-subfamily C member 19 enzyme
(CYP2C19) and is affected by the genotypes of CYP2C19. In this article, we review
studies on how genotypes affect the pharmacokinetics and pharmacodynamics of
voriconazole, and attempted to determine a method to deciding on dosage
adjustments based on genotypes. The pharmacokinetics of voriconazole are
influenced by various inter and intrapersonal factors, and for certain
populations, such as geriatric patients and pediatric patients, these influences
must be considered. CYP2C19 genotype represents the main part of the
interpersonal variability related to voriconazole blood concentrations. Thus,
monitoring the concentration of voriconazole is needed in clinical scenarios to
minimize the negative influences of inter and intrapersonal factors. Several
studies provided evidence on the stable trough concentration range from 1-2 to 4
6 mg/L, which were combined to consider the efficacy and toxicity. However, the
therapeutic drug concentration needs to be narrowed down and evaluated by large
scale clinical trials. Though there is insufficient evidence on the relationship
between CYP2C19 genotypes and clinical outcomes, there is a great potential for
the initial voriconazole dose selection guiding by the CYP2C19 genotype. Finally,
voriconazole therapeutic drug monitoring is essential to provide patient-specific
dosing recommendations, leading to more effective anti-fungal regimens to
increase clinical efficacy and reduce adverse drug reactions.
PMID- 29361900
TI - Foreword.
PMID- 29361901
TI - Editorial: Contemporary Applications and Concerns with Nanotech Based Drug
Delivery.
PMID- 29361902
TI - C60-fullerenes as Drug Delivery Carriers for Anticancer Agents: Promises and
Hurdles.
AB - BACKGROUND: C60-fullerenes (CFs) constitute a carbon-allotropic family with cage
like fused-ring structure, comprising of 20 hexagons and 12 pentagons. Since
discovery in 1985, CFs attracted the scientists from various strata for unique
properties like tensile strength, nanometeric size, symmetric nature, thermal and
photo conductivity, chemical tailoring opportunities and drug loading
capabilities. Surprisingly, CFs are also established to possess antiviral,
neuroprotective, antiinflammatory, MRI contrast and antioxidant properties.
Though extensively explored for chemical modifications and therapeutic benefits,
CFs and derivatives also offer immense promises in drug delivery, especially to
the cancerous cells. OBJECTIVE: The present review is an attempt to highlight the
promises of CFs in drug delivery, esp. of anticancer agents. The review also
analyzes the safety concerns of CF-based drug delivery and attempts to discuss
the promises and challenges in the light of preclinical and clinical data.
METHODS: The raw material (research/review articles) for the manuscript was
collected from Pubmed, Google scholar and Scopus and the keywords used were
fullerenes, nanotechnology, nanomedicine, functionalization, safety, drug
delivery and biomedical applications. CONCLUSION: The drug release rate
controlling behavior, higher drug loading, immuno-neutrality, substantial
biocompatibility, capability to bypass mononuclear phagocytic system, long
circulating nature and tissue extraction by virtue of enhanced permeability and
retention effect are the major promises of these nanocarriers. On the other hand,
the concerns like elimination from the biological system, anticipated tissue
toxicity, stability of the final product, sterility issues and commercial
viability pose challenges in proper utilization of CFs as ideal drug delivery
carriers. However, a few commercial products based on CFs with human safety
evidences provide a ray of hope.
PMID- 29361903
TI - Editorial: CRISPR Medicine: From Bench to Bedside.
PMID- 29361904
TI - Spherical: an iterative workflow for assembling metagenomic datasets.
AB - BACKGROUND: The consensus emerging from the study of microbiomes is that they are
far more complex than previously thought, requiring better assemblies and
increasingly deeper sequencing. However, current metagenomic assembly techniques
regularly fail to incorporate all, or even the majority in some cases, of the
sequence information generated for many microbiomes, negating this effort. This
can especially bias the information gathered and the perceived importance of the
minor taxa in a microbiome. RESULTS: We propose a simple but effective approach,
implemented in Python, to address this problem. Based on an iterative
methodology, our workflow (called Spherical) carries out successive rounds of
assemblies with the sequencing reads not yet utilised. This approach also allows
the user to reduce the resources required for very large datasets, by assembling
random subsets of the whole in a "divide and conquer" manner. CONCLUSIONS: We
demonstrate the accuracy of Spherical using simulated data based on completely
sequenced genomes and the effectiveness of the workflow at retrieving lost
information for taxa in three published metagenomics studies of varying sizes.
Our results show that Spherical increased the amount of reads utilized in the
assembly by up to 109% compared to the base assembly. The additional contigs
assembled by the Spherical workflow resulted in a significant (P < 0.05) changes
in the predicted taxonomic profile of all datasets analysed. Spherical is
implemented in Python 2.7 and freely available for use under the MIT license.
Source code and documentation is hosted publically at:
https://github.com/thh32/Spherical .
PMID- 29361905
TI - Diversity of plant defense elicitor peptides within the Rosaceae.
AB - BACKGROUND: Plant elicitor peptides (Peps) are endogenous molecules that induce
and amplify the first line of inducible plant defense, known as pattern-triggered
immunity, contributing to protect plants against attack by bacteria, fungi and
herbivores. Pep topic application and transgenic expression have been found to
enhance disease resistance in a small number of model plant-pathogen systems. The
action of Peps relies on perception by specific receptors, so displaying a family
specific activity. Recently, the presence and activity of Peps within the
Rosaceae has been demonstrated. Here we characterized the population of Pep
sequences within the economically important plant family of Rosaceae, with
special emphasis on the Amygdaleae and Pyreae tribes, which include the most
relevant edible species such as apple, pear and peach, and numerous ornamental
and wild species (e.g. photinia, firethorn and hawthorn). RESULTS: The systematic
experimental search for Pep and the corresponding precursor PROPEP sequences
within 36 Amygdaleae and Pyreae species, and 100 cultivars had a highly
homogeneous pattern, with two tribe-specific Pep types per plant, i.e. Pep1 and
Pep2 (Amygdaleae) or Pep3 and Pep4 (Pyreae). Pep2 and Pep3 are highly conserved,
reaching identity percentages similar to those of genes used in plant
phylogenetic analyses, while Pep1 and Pep4 are somewhat more variable, with
similar values to the corresponding PROPEPs. In contrast to Pep3 and Pep4, Pep1
and Pep2 sequences of different species paralleled their phylogenetic
relationships, and putative ancestor sequences were identified. The large amount
of sequences allowed refining of a C-terminal consensus sequence that would
support the protective activity of Pep1-4 in a Prunus spp. and Xanthomonas
arboricola pv. pruni system. Moreover, tribe-specific consensus sequences were
deduced at the center and C-terminal regions of Peps, which might explain the
higher protection efficiencies described upon topic treatments with Peps from the
same tribe. CONCLUSIONS: The present study substantially enhances the knowledge
on Peps within the Amygdaleae and Pyreae species. It can be the basis to design
and fine-tune new control tools against important plant pathogens affecting
Prunus, Pyrus and Malus species.
PMID- 29361906
TI - Genome-wide DNA Methylation analysis in response to salinity in the model plant
caliph medic (Medicago truncatula).
AB - BACKGROUND: DNA methylation has a potential role in controlling gene expression
and may, therefore, contribute to salinity adaptation in plants. Caliph medic
(Medicago truncatula) is a model legume of moderate salinity tolerance capacity;
however, a base-resolution DNA methylome map is not yet available for this plant.
RESULTS: In this report, a differential whole-genome bisulfite sequencing (WGBS)
was carried out using DNA samples extracted from root tissues exposed to either
control or saline conditions. Around 50 million differentially methylated sites
(DMSs) were recognized, 7% of which were significantly (p < 0.05, FDR < 0.05)
altered in response to salinity. This analysis showed that 77.0% of the contexts
of DMSs were mCHH, while only 9.1% and 13.9% were mCHG and mCG, respectively. The
average change in methylation level was increased in all sequence contexts,
ranging from 3.8 to 10.2% due to salinity stress. However, collectively, the
level of the DNA methylation in the gene body slightly decreased in response to
salinity treatment. The global increase in DNA methylation due to salinity was
confirmed by mass spectrometry analysis. Gene expression analysis using qPCR did
not reveal a constant relationship between the level of mCG methylation and the
transcription abundance of some genes of potential importance in salinity
tolerance, such as the potassium channel KAT3, the vacuolar H+-pyrophosphatase (V
PPase), and the AP2/ERF and bZIP transcription factors, implying the involvement
of other epigenetic gene expression controllers. Computational functional
prediction of the annotated genes that embrace DMSs revealed the presence of
enzymes with potential cellular functions in biological processes associated with
salinity tolerance mechanisms. CONCLUSIONS: The information obtained from this
study illustrates the effect of salinity on DNA methylation and shows how plants
can remodel the landscape of 5-methylcytosine nucleotide (5-mC) in the DNA across
gene structures, in response to salinity. This remodeling varies between gene
regions and between 5-mC sequence contexts. The mCG has a vague impact on the
expression levels of a few selected potentially important genes in salt tolerant
mechanisms.
PMID- 29361907
TI - Genetical genomics of growth in a chicken model.
AB - BACKGROUND: The genetics underlying body mass and growth are key to understanding
a wide range of topics in biology, both evolutionary and developmental. Body mass
and growth traits are affected by many genetic variants of small effect. This
complicates genetic mapping of growth and body mass. Experimental intercrosses
between individuals from divergent populations allows us to map naturally
occurring genetic variants for selected traits, such as body mass by linkage
mapping. By simultaneously measuring traits and intermediary molecular
phenotypes, such as gene expression, one can use integrative genomics to search
for potential causative genes. RESULTS: In this study, we use linkage mapping
approach to map growth traits (N = 471) and liver gene expression (N = 130) in an
advanced intercross of wild Red Junglefowl and domestic White Leghorn layer
chickens. We find 16 loci for growth traits, and 1463 loci for liver gene
expression, as measured by microarrays. Of these, the genes TRAK1, OSBPL8,
YEATS4, CEP55, and PIP4K2B are identified as strong candidates for growth loci in
the chicken. We also show a high degree of sex-specific gene-regulation, with
almost every gene expression locus exhibiting sex-interactions. Finally, several
trans-regulatory hotspots were found, one of which coincides with a major growth
locus. CONCLUSIONS: These findings not only serve to identify several strong
candidates affecting growth, but also show how sex-specificity and local gene
regulation affect growth regulation in the chicken.
PMID- 29361908
TI - Genetical genomics of quality related traits in potato tubers using proteomics.
AB - BACKGROUND: Recent advances in ~omics technologies such as transcriptomics,
metabolomics and proteomics along with genotypic profiling have permitted the
genetic dissection of complex traits such as quality traits in non-model species.
To get more insight into the genetic factors underlying variation in quality
traits related to carbohydrate and starch metabolism and cold sweetening, we
determined the protein content and composition in potato tubers using 2D-gel
electrophoresis in a diploid potato mapping population. Upon analyzing we made
sure that the proteins from the patatin family were excluded to ensure a better
representation of the other proteins. RESULTS: We subsequently performed pQTL
analyses for all other proteins with a sufficient representation in the
population and established a relationship between proteins and 26 potato tuber
quality traits (e.g. flesh colour, enzymatic discoloration) by co-localization on
the genetic map and a direct correlation study of protein abundances and
phenotypic traits. Over 1643 unique protein spots were detected in total over the
two harvests. We were able to map pQTLs for over 300 different protein spots some
of which co-localized with traits such as starch content and cold sweetening.
pQTLs were observed on every chromosome although not evenly distributed over the
chromosomes. The largest number of pQTLs was found for chromosome 8 and the
lowest for chromosome number 10. For some 20 protein spots multiple QTLs were
observed. CONCLUSIONS: From this analysis, hotspot areas for protein QTLs were
identified on chromosomes three, five, eight and nine. The hotspot on chromosome
3 coincided with a QTL previously identified for total protein content and had
more than 23 pQTLs in the region from 70 to 80 cM. Some of the co-localizing
protein spots associated with some of the most interesting tuber quality traits
were identified, albeit far less than we had anticipated at the onset of the
experiments.
PMID- 29361910
TI - Assessment of cancers' diagnostic stage in a Deaf community - survey about 4363
Deaf patients recorded in French units.
AB - BACKGROUND: Deaf people represent 0.1% of the French population and their access
to public health campaigns is limited due to their frequent illiteracy and the
infrequent use of sign language in campaigns. There is also a lack of general
health knowledge in spite of the existence of French Deaf Care Units (UASS). The
aim of this study is to assess the average diagnostic stage of cancer in the Deaf
Community and discuss deafness as a contributing factor. METHODS: Four thousand
three hundred sixty-three Deaf patients recorded in five UASS, 80 diagnosed
between 2005/01/01 and 2014/12/31 were selected from medical records and/or ICD
10 coding. Data regarding cancers were extracted, grouped by stage and compared
to literature. Statistical significance was tested with Fisher's Exact Test.
RESULTS: Eighty patients were selected. Most cancers were diagnosed at advanced
stages: of 11 prostate cancers, 46% were locally advanced and 18% were
metastatic. (In the general population, this was respectively 3% and 10.4% (p <
0.01)). Of six colorectal cancers, 67% were diagnosed at stage III and 33% at
stage IV. (Respectively 20.6% and 26.6% (p = 0.03) in the general population). In
contrast, of the 15 breast cancers, 93% were diagnosed at stages T1-T3 that was
earlier than in the general population (p = 0.43). CONCLUSION: In this study, we
observed a delay cancer diagnosis among Deaf people. Complicated and/or non
systematic screening procedures for cancers would be involved. Which is most
likely the result of many factors (communication, medical knowledge). Increasing
UASS coverage and health information campaigns in sign language could assist in
earlier cancer diagnosis.
PMID- 29361909
TI - Three-dimensional spatial analysis of missense variants in RTEL1 identifies
pathogenic variants in patients with Familial Interstitial Pneumonia.
AB - BACKGROUND: Next-generation sequencing of individuals with genetic diseases often
detects candidate rare variants in numerous genes, but determining which are
causal remains challenging. We hypothesized that the spatial distribution of
missense variants in protein structures contains information about function and
pathogenicity that can help prioritize variants of unknown significance (VUS) and
elucidate the structural mechanisms leading to disease. RESULTS: To illustrate
this approach in a clinical application, we analyzed 13 candidate missense
variants in regulator of telomere elongation helicase 1 (RTEL1) identified in
patients with Familial Interstitial Pneumonia (FIP). We curated pathogenic and
neutral RTEL1 variants from the literature and public databases. We then used
homology modeling to construct a 3D structural model of RTEL1 and mapped known
variants into this structure. We next developed a pathogenicity prediction
algorithm based on proximity to known disease causing and neutral variants and
evaluated its performance with leave-one-out cross-validation. We further
validated our predictions with segregation analyses, telomere lengths, and
mutagenesis data from the homologous XPD protein. Our algorithm for classifying
RTEL1 VUS based on spatial proximity to pathogenic and neutral variation
accurately distinguished 7 known pathogenic from 29 neutral variants (ROC AUC =
0.85) in the N-terminal domains of RTEL1. Pathogenic proximity scores were also
significantly correlated with effects on ATPase activity (Pearson r = -0.65, p =
0.0004) in XPD, a related helicase. Applying the algorithm to 13 VUS identified
from sequencing of RTEL1 from patients predicted five out of six disease
segregating VUS to be pathogenic. We provide structural hypotheses regarding how
these mutations may disrupt RTEL1 ATPase and helicase function. CONCLUSIONS:
Spatial analysis of missense variation accurately classified candidate VUS in
RTEL1 and suggests how such variants cause disease. Incorporating spatial
proximity analyses into other pathogenicity prediction tools may improve accuracy
for other genes and genetic diseases.
PMID- 29361911
TI - Shifting breast cancer surveillance from current hospital setting to a community
based setting: a cost-effectiveness study.
AB - BACKGROUND: This study explores the effectiveness and cost-effectiveness of
surveillance after breast cancer treatment provided in a hospital-setting versus
surveillance embedded in the community-based National Breast Cancer Screening
Program (NBCSP). METHODS: Using a decision tree, strategies were compared on
effectiveness and costs from a healthcare perspective over a 5-year time horizon.
Women aged 50-75 without distant metastases that underwent breast conserving
surgery in 2003-2006 were selected from the Netherlands Cancer Registry (n =
14,093). Key input parameters were mammography sensitivity and specificity, risk
of loco regional recurrence (LRR), and direct healthcare costs. Primary outcome
measure was the proportion true test results (TTR), expressed as the positive and
negative predictive value (PPV, NPV). The incremental cost-effectiveness ratio
(ICER) is defined as incremental costs per TTR forgone. RESULTS: For the NBCSP
strategy, 13,534 TTR (8 positive; 13,526 negative), and 12,923 TTR (387 positive;
12,536 negative) were found for low and high risks respectively. For the hospital
based strategy, 26,663 TTR (13 positive; 26,650 negative) and 24,883 TTR (440
positive; 24,443 negative) were found for low and high risks respectively. For
low risks, the PPV and NPV for the NBCSP-based strategy were 3.31% and 99.88%,
and 2.74% and 99.95% for the hospital strategy respectively. For high risks, the
PPV and NPV for the NBCSP-based strategy were 64.10% and 98.87%, and 50.98% and
99.71% for the hospital-based strategy respectively. Total expected costs of the
NBCSP-based strategy were lower than for the hospital-based strategy (low risk:
?1,271,666 NBCSP vs ?2,698,302 hospital; high risk: ?6,939,813 NBCSP vs
?7,450,150 hospital), rendering ICERs that indicate cost savings of ?109 (95%CI
?95-?127) (low risk) and ?43 (95%CI ?39-?56) (high risk) per TTR forgone.
CONCLUSION: Despite expected cost-savings of over 50% in the NBCSP-based
strategy, it is nearly 50% lower accurate than the hospital-based strategy,
compromising the goal of early detection of LRR to an extent that is unlikely to
be acceptable.
PMID- 29361912
TI - What is it about a cancer diagnosis that would worry people? A population-based
survey of adults in England.
AB - BACKGROUND: Surveys indicate quite high prevalence of cancer worry in the general
population, but little is known about what it is about cancer that worries
people. A better understanding of the origins of cancer worry may help elucidate
previously found inconsistencies in its behavioural effect on cancer prevention,
screening uptake, and help-seeking for symptoms. In this study, we explore the
prevalence and population distribution of general cancer worry and worries about
specific aspects of cancer previously identified. METHODS: A population-based
survey of 2048 English adults (18-70 years, April-May 2016), using face-to-face
interviews to assess demographic characteristics, general cancer worry and twelve
sources of cancer worry (adapted from an existing scale), including the
emotional, physical, and social consequences of a diagnosis. RESULTS: In general,
a third of respondents (37%) never worried about cancer, 57% worried
occasionally/sometimes, and 6% often/very often. In terms of specific worries,
two thirds would be 'quite a bit' or 'extremely' worried about the threat to life
and emotional upset a diagnosis would cause. Half would worry about surgery,
radiotherapy, chemotherapy, and loss of control over life. Worries about the
social consequences were less commonly anticipated: just under half would worry
about financial problems or their social roles, and a quarter would be worried
about effects on identity, important relationships, gender role, and sexuality.
Women and younger people reported more frequent worry about getting cancer, and
would be more worried about the emotional, physical, and social consequences of a
cancer diagnosis (p < .001). Those from ethnic minority backgrounds reported less
frequent worry about getting cancer than their white counterparts, but would be
equally worried about the emotional and physical impact of a cancer diagnosis,
and worried more about the social consequences of a cancer diagnosis (p < .05).
CONCLUSIONS: The majority of English adults worry at least occasionally about
getting cancer, and would be most worried about the emotional and physical impact
of a cancer diagnosis. Distinguishing between the various worries that cancer can
evoke may help inform efforts to allay undue worries in those who are deterred by
them from engaging with cancer prevention and early detection.
PMID- 29361913
TI - RNA-seq analysis reveals alternative splicing under salt stress in cotton,
Gossypium davidsonii.
AB - BACKGROUND: Numerous studies have focused on the regulation of gene expression in
response to salt stress at the transcriptional level; however, little is known
about this process at the post-transcriptional level. RESULTS: Using a diploid D
genome wild salinity-tolerant cotton species, Gossypium davidsonii, we analyzed
alternative splicing (AS) of genes related to salt stress by comparing high
throughput transcriptomes from salt-treated and well-watered roots and leaves. A
total of 14,172 AS events were identified involving 6798 genes, of which intron
retention (35.73%) was the most frequent, being detected in 3492 genes. Under
salt stress, 1287 and 1228 differential alternative splicing (DAS) events were
identified in roots and leaves, respectively. These DAS genes were associated
with specific functional pathways, such as "responses to stress", "metabolic
process" and "RNA splicing", implying that AS represents an important pathway of
gene regulation in response to salt stress. Several salt response genes, such as
pyrroline-5-carboxylate synthase (P5CS), K+ channel outward (KCO1), plasma
membrane intrinsic protein (PIP) and WRKY33 which were involved in osmotic
balance, ion homeostasis, water transportation and transcriptional regulation,
respectively, were identified with differential alternative splicing under salt
stress. Moreover, we revealed that 13 genes encoding Ser/Arg-rich (SR) proteins
related to AS regulation were differentially alternatively spliced under salt
stress. CONCLUSION: This study first provide a comprehensive view of AS in G.
davidsonii, and highlight novel insights into the potential roles of AS in plant
responses to salt stress.
PMID- 29361914
TI - Testes-specific protease 50 (TSP50) promotes invasion and metastasis by inducing
EMT in gastric cancer.
AB - BACKGROUND: TSP50 (testes-specific protease 50) has been reported to be a
candidate oncogene and is overexpressed in various cancers. Our previous study
demonstrated that TSP50 protein is elevated in gastric cancer, and its high
expression is associated with unfavorable prognosis and lymph node metastasis.
However, the role of TSP50 in gastric cancer remains elusive. METHODS: qRT-PCR,
western blot were used to determine TSP50 expression in gastric cancer cell
lines. Role of TSP50 in proliferation and invasion was examined by BrdU
incorporation assay, cell count, wound healing and transwell assay.
Immunohistochemistry and western blot were performed to identify the potential
mechanisms involved. RESULTS: TSP50 was highly expressed in most of the gastric
cancer cell lines at both mRNA and protein levels. Up-regulation of TSP50 in
gastric cancer cells enhanced proliferation and invasiveness, whereas down
regulation of TSP50 by its specific shRNA decreased it. A negative correlation
between TSP50 and E-Cadherin was found in gastric cancer tissues, and combination
of them improves the prediction for prognosis and lymph node metastasis.
Mechanistic studies revealed that overexpression of TSP50 increased the
expression of epithelial-to-mesenchymal transition (EMT) markers including
Vimentin, and Twist, and decreased the epithelial marker E-Cadherin. NF-kappaB
signaling pathway is involved in the regulatory effects of TSP50 on EMT,
migration and invasion in gastric cancer cells. CONCLUSION: TSP50 promotes the
proliferation, migration and invasion of gastric cancer cells involving NF-kappaB
dependent EMT activation. Targeting TSP50 may provide a novel therapeutic
strategy for the management of gastric cancer.
PMID- 29361915
TI - Neuromyelitis optica spectrum disorder secondary to treatment with anti-PD-1
antibody nivolumab: the first report.
AB - BACKGROUND: Immune checkpoint blockade is developed as standard treatment for non
small cell lung cancer. However immune-related adverse events (irAE) have still
unknown complications. Here, we report a patient with lung squamous cell
carcinoma who developed neuromyelitis optica spectrum disorder with nivolumab.
CASE PRESENTATION: A 75-year-old Japanese man with lung squamous cell carcinoma
was administered nivolumab as second-line treatment. Two months after treatment
with nivolumab, he presented acute paralysis in the bilateral lower limbs,
sensory loss. Spinal magnetic resonance imaging showed T2 hyperintense lesions
between C5-6 and Th12-L1. He was diagnosed with neuromyelitis optica spectrum
disorder (NMOSD) by anti-aquaporin-4 antibody-positive in the serum and other
examinations. After treatment, steroid reactivity was poor. CONCLUSION: This is
the first patient who developed anti-AQP4 antibody-positive NMOSD as a nivolumab
induced irAE. Clinicians should be aware of this kind of potential neurological
complication by using immune check point inhibitor and start the treatment of
this irAE as soon as possible.
PMID- 29361916
TI - Anxiety, depression and relationship satisfaction in the pregnancy following
stillbirth and after the birth of a live-born baby: a prospective study.
AB - BACKGROUND: Experiencing a stillbirth can be a potent stressor for psychological
distress in the subsequent pregnancy and possibly after the subsequent birth. The
impact on women's relationship with her partner in the subsequent pregnancy and
postpartum remains uncertain. The objectives of the study were 1) To investigate
the prevalence of anxiety and depression in the pregnancy following stillbirth
and assess gestational age at stillbirth and inter-pregnancy interval as
individual risk factors. 2) To assess the course of anxiety, depression and
satisfaction with partner relationship up to 3 years after the birth of a live
born baby following stillbirth. METHODS: This study is based on data from the
Norwegian Mother and Child Cohort Study, a population-based pregnancy cohort. The
sample included 901 pregnant women: 174 pregnant after a stillbirth, 362 pregnant
after a live birth and 365 previously nulliparous. Anxiety and depression were
assessed by short-form subscales of the Hopkins Symptoms Checklist, and
relationship satisfaction was assessed by the Relationship Satisfaction Scale.
These outcomes were measured in the third trimester of pregnancy and 6, 18 and 36
months postpartum. Logistic regression models were applied to study the impact of
previous stillbirth on depression and anxiety in the third trimester of the
subsequent pregnancy and to investigate gestational age and inter-pregnancy
interval as potential risk factors. RESULTS: Women pregnant after stillbirth had
a higher prevalence of anxiety (22.5%) and depression (19.7%) compared with women
with a previous live birth (adjusted odds ratio (aOR) 5.47, 95% confidence
interval (CI) 2.90-10.32 and aOR 1.91, 95% CI 1.11-3.27) and previously
nulliparous women (aOR 4.97, 95% CI 2.68-9.24 and aOR 1.91, 95% CI 1.08-3.36).
Gestational age at stillbirth (> 30 weeks) and inter-pregnancy interval < 12
months were not associated with depression and/or anxiety. Anxiety and depression
decreased six to 18 months after the birth of a live-born baby, but increased
again 36 months postpartum. Relationship satisfaction did not differ between
groups. CONCLUSION: Women who have experienced stillbirth face a significantly
greater risk of anxiety and depression in the subsequent pregnancy compared with
women with a previous live birth and previously nulliparous women.
PMID- 29361917
TI - Distribution of M1 and M2 macrophages in tumor islets and stroma in relation to
prognosis of non-small cell lung cancer.
AB - BACKGROUND: Non-small cell lung cancer (NSCLC) remains the most common cause of
cancer related death worldwide. Tumor-infiltrating macrophages are believed to
play an important role in growth, progression, and metastasis of tumors. In
NSCLC, the role of macrophages remains controversial; therefore, we aimed to
evaluate the distribution of macrophages (M1 and M2) in tumor islets and stroma
and to analyze their relations to patients' survival. METHODS: Lung tissue
specimens from 80 NSCLC patients who underwent surgical resection for NSCLC
(pathological stage I-III) and 16 control group subjects who underwent surgery
because of recurrent spontaneous pneumothorax were analyzed. Immunohistochemical
double staining of CD68/iNOS (markers for M1 macrophages) and CD68/CD163 (markers
for M2 macrophages) was performed and evaluated in a blinded manner. The numbers
of M1 and M2 macrophages in tumor islets and stroma were counted manually.
RESULTS: Predominant infiltration of M1 and M2 macrophages was observed in the
tumor stroma compared with the tumor islets. M2 macrophages predominated over M1
macrophages in the tumor tissue. Tumor islets-infiltrating M1 macrophages and the
number of total tumor-infiltrating M2 macrophages were independent predictors of
patients survival: high infiltration of M1 macrophages in tumor islets was
associated with increased overall survival in NSCLC (P < 0.05); high infiltration
of total M2 macrophages in tumor (islets and stroma) was associated with reduced
overall survival in NSCLC (P < 0.05). CONCLUSIONS: This study demonstrated that
high infiltration of M1 macrophages in the tumor islets and low infiltration of
total tumor-infiltrating M2 macrophages were associated with improved NSCLC
patients' survival. TRIAL REGISTRATION: ClinicalTrials.gov NCT01955343 ,
registered on September 27, 2013.
PMID- 29361919
TI - Factors related to subjective satisfaction following microendoscopic foraminotomy
for cervical radiculopathy.
AB - BACKGROUND: Microendoscopic foraminotomy has been reported to be effective for
the treatment of cervical radiculopathy, using outcome measurement scores such as
the neck disability index (NDI) and numerical rating scale (NRS). However, the
scores for spine surgery do not always reflect the true subjective satisfaction
of the patient. The purpose of this study was to evaluate factors related to
subjective satisfaction following microendoscopic foraminotomy for cervical
radiculopathy. METHODS: The subjects consisted of consecutive patients who
underwent microendoscopic foraminotomy for cervical radiculopathy. Patient
background information and operative data were collected. The NDI, the NRS score
for the neck, upper back, and arm, and the EuroQOL-5D (EQ-5D) were assessed
preoperatively and 1 year postoperatively. Postoperative subjective satisfaction
was also assessed as a direct evaluation of satisfaction, and willingness to
undergo the same operation if needed was assessed as an indirect evaluation.
RESULTS: A total of 42 patients were included in this study. The mean age was
52.9 +/- 11.8 years; 19.0% were female and 81.0% were male. The operation time
for one level was 57.7 min and the estimated blood loss was minimal in most
cases. All NDI, NRS, and EQ-5D scores improved significantly postoperatively.
Univariate analyses revealed that the factors related to subjective satisfaction
were younger age, non-smoking status, high preoperative NDI score, and low
postoperative NRS score for the arm. Factors related to the willingness to
undergo the same operation if needed were high preoperative NDI scores, high
preoperative NRS scores for the arm, and low preoperative EQ-5D scores.
CONCLUSIONS: Factors related to subjective satisfaction following microendoscopic
foraminotomy include younger age, non-smoking status, high preoperative NDI
score, high preoperative NRS score for the arm, low preoperative EQ-5D score, and
a low postoperative NRS score for the arm.
PMID- 29361918
TI - The identification of risk factors associated with patient and healthcare system
delays in the treatment of tuberculosis in Tabriz, Iran.
AB - BACKGROUND: Tuberculosis (TB) is a serious health concern, particularly in
developing countries. Various delays, such as patient delay (PD) and healthcare
system delay (HSD) in the TB process, are exacerbating the disease burden and
increasing the rates of transmission and mortality in various global communities.
Therefore, the aim of this study is to identify risk factors associated with PD
and HSD in TB patients in Tabriz, Iran. METHODS: A cross-sectional study was
conducted on 173 TB patients in Tabriz, Iran from 2012 to 2014. Patients were
interviewed with a semi-structured questionnaire. Frequencies and percentages
were reported for patient categories of sex, age, and education. The median and
interquartile range (IQR) were reported for the time intervals of delays.
Univariate and multivariate logistic regressions of delay in respect to socio
demographic and clinical variables were performed. Statistical significance was
set at p < 0.05. RESULTS: The median values for delays were 53 days for HSD (IQR
= 73) and 13 days for PD (IQR = 57). Odds ratios (OR) associated with PD were:
employed vs. unemployed (OR = 5.86, 95% CI: 1.59 to 21.64); public hospitals vs.
private hospitals (OR = 2.64, 95% CI: 1.01 to 6.85); >= 3 vs. < 3 visits to
health facilities before correct diagnosis (OR = 2.35, 95% CI: 1.08 to 5.11); and
male vs. female (OR = 2.28, 95% CI: 1.29 to 4.39). The OR associated with HSD
were: >= 3 vs. < 3 visits to health facilities before correct diagnosis (OR =
9.44, 95% CI: 4.50 to 19.82), without vs. with access to TB diagnostic services
(OR = 3.56, 95% CI: 1.85 to 6.83), and misdiagnosis as cold or viral infection
vs. not (OR = 2.62, 95% CI: 1.40 to 4.91). CONCLUSIONS: The results provide for
an important understanding of the risk factors associated with PD and HSD. One of
the major recommendations is to provide more TB diagnostic knowledge and tools to
primary health providers and correct diagnoses for patients during their initial
visit to the health care facilities. The knowledge generated from this study will
be helpful for prioritizing and developing strategies for minimizing delays,
initiating early treatment to TB patients, and improving TB-related training
programs and healthcare systems in Tabriz, Iran.
PMID- 29361920
TI - Detecting functional change in response to exercise in knee osteoarthritis: a
comparison of two computerized adaptive tests.
AB - BACKGROUND: The intent of this study was to examine and compare the ability to
detect change of two patient reported outcome (PRO) instruments that use a
computerized adaptive test (CAT) approach to measurement. The Patient Reported
Outcomes Measurement Information System (PROMIS(r)) Physical Function scale is a
generic PRO, while the Osteoarthritis Computerized Adaptive Test (OA-CAT) is an
osteoarthritis-specific PRO. METHODS: This descriptive, longitudinal study was
conducted in a community setting, involving individuals from the greater Boston
area. INCLUSION CRITERIA: age > 50, self-reported doctor-diagnosed knee
osteoarthritis (OA) and knee pain. The PROMIS(r) Physical Function CAT and OA-CAT
Functional Difficulty scale were administered at baseline and at the conclusion
of a 6-week exercise program. Effect sizes (ES) were calculated for both
measures, and bootstrap methods were used to construct confidence intervals and
to test for significant ES differences between the measures. RESULTS: The OA-CAT
Functional Difficulty scale achieved an ES of 0.62 (0.43, 0.87) compared to the
PROMIS(r) Physical Function CAT ES of 0.42 (0.24, 0.63). ES estimates for the two
CAT measures were not statistically different. CONCLUSIONS: The condition
specific OA-CAT and generic PROMIS(r) Physical Function CAT both demonstrated the
ability to detect change in function. While the OA-CAT scale showed larger effect
size, no statistically significant difference was found in the effect size
estimates for the generic and condition-specific CATs. Both CATs have potential
for use in arthritis research. TRIAL REGISTRATION: This trial is registered with
ClinicalTrials.gov on 6/21/11 (Identifier NCT01394874 ).
PMID- 29361921
TI - "Happy feet": evaluating the benefits of a 100-day 10,000 step challenge on
mental health and wellbeing.
AB - BACKGROUND: An increased awareness of the health benefits of walking has emerged
with the development and refinement of accelerometer equipment. Evidence is
beginning to highlight the value of promoting walking, particularly focusing on
the Japanese mark of obtaining 10,000 steps per day. Workplace based step
challenges have become popular to engage large cohorts in increasing their daily
physical activity in a sustainable and enjoyable way. Findings are now
highlighting the positive health effects of these medium-term programs (typically
conducted over a few months) in terms of cardiovascular health, reducing diabetes
risk and improving lifestyle factors such as weight and blood pressure. As yet,
research has not focused on whether similar improvements in psychological health
and wellbeing are present. METHODS: This study investigated the impact of a 100
day, 10,000 step program on signs of depression, anxiety and stress as well as
general wellbeing using standardised psychological scales. RESULTS: The results
indicated a small but consistent effect on all of these measures of mental health
over the term of the program. This effect appeared irrespective of whether a
person reached the 10,000 step mark. CONCLUSIONS: These results highlight
improved mental health and wellbeing in people undertaking this 100-day 10,000
step program and indicates the efficacy and potential of these programs for a
modest, yet important improvement in mental health. Notably, targets reached may
be less important than participation itself.
PMID- 29361922
TI - Access to public drinking water fountains in Berkeley, California: a geospatial
analysis.
AB - BACKGROUND: In January 2015, Berkeley, California became the first city in the
Unites States to impose a tax on sugar-sweetened beverages. The tax is intended
to discourage purchase of sugary beverages and promote consumption of healthier
alternatives such as tap water. The goal of the study was to assess the condition
of public drinking water fountains and determine if there is a difference in
access to clean, functioning fountains based on race or socio-economic status.
METHODS: A mobile-GIS App was created to locate and collect data on existing
drinking water fountains in Berkeley, CA. Demographic variables related to race
and socio-economic status (SES) were acquired from the US Census - American
Community Survey database. Disparities in access to, or condition of drinking
water fountains relative to demographics was explored using spatial analyses.
Spatial statistical-analysis was performed to estimate demographic
characteristics of communities near the water fountains and logistic regression
was used to examine the relationship between household median income or race and
condition of fountain. RESULTS: Although most fountains were classified as
functioning, some were dirty, clogged, or both dirty and clogged. No spatial
relationships between demographic characteristics and fountain conditions were
observed. DISCUSSION: All geo-located data and a series of maps were provided to
the City of Berkeley and the public. CONCLUSIONS: The geo-database created as an
outcome of this study is useful for prioritizing maintenance of existing
fountains and planning the locations of future fountains. The methodologies used
for this study could be applied to a wide variety of asset inventory and
assessment projects such as clinics or pharmaceutical dispensaries, both in
developed and developing countries.
PMID- 29361923
TI - Percutaneous therapy of a mediastinal lymphangioma with fibrin glue: case report
with clinical success after 4 years.
AB - BACKGROUND: Lymphangioma of the mediastinum is a rare benign tumor, and most of
the cases are treated by a surgical approach. CASE PRESENTATION: This work
reports the case of a 62-year-old female with a large lymphangioma extending from
her neck to her abdomen with dysphagia, dyspnea, and cough for 2 months. Because
of the location of the mass, only bilateral excision could remove the
multiloculated cyst completely. However, the patient's overall physical condition
was very poor, and we thought she could not tolerate the bilateral surgery.
Therefore, the patient was treated by percutaneous aspiration drainage followed
by fibrin glue injection. Our method has never been reported in the treatment of
such a large intrathoracic lesion thus far. CONCLUSIONS: Percutaneous puncture
and aspiration drainage followed by fibrin glue injection may be a feasible
treatment option for the therapy of intrathoracic lymphangioma, with less damage
than with other therapies.
PMID- 29361924
TI - Healthy offshore workforce? A qualitative study on offshore wind employees'
occupational strain, health, and coping.
AB - BACKGROUND: Offshore work has been described as demanding and stressful. Despite
this, evidence regarding the occupational strain, health, and coping behaviors of
workers in the growing offshore wind industry in Germany is still limited. The
purpose of our study was to explore offshore wind employees' perceptions of
occupational strain and health, and to investigate their strategies for dealing
with the demands of offshore work. METHODS: We conducted 21 semi-structured
telephone interviews with employees in the German offshore wind industry. The
interviews were transcribed and analyzed in a deductive-inductive approach
following Mayring's qualitative content analysis. RESULTS: Workers generally
reported good mental and physical health. However, they also stated perceptions
of stress at work, fatigue, difficulties detaching from work, and sleeping
problems, all to varying extents. In addition, physical health impairment in
relation to offshore work, e.g. musculoskeletal and gastrointestinal complaints,
was documented. Employees described different strategies for coping with their
job demands. The strategies comprised of both problem and emotion-focused
approaches, and were classified as either work-related, health-related, or
related to seeking social support. CONCLUSIONS: Our study is the first to
investigate the occupational strain, health, and coping of workers in the
expanding German offshore wind industry. The results offer new insights that can
be utilized for future research in this field. In terms of practical
implications, the findings suggest that measures should be carried out aimed at
reducing occupational strain and health impairment among offshore wind workers.
In addition, interventions should be initiated that foster offshore wind workers'
health and empower them to further expand on effective coping strategies at their
workplace.
PMID- 29361925
TI - Long-term progression-free survival in an advanced lung adenocarcinoma patient
harboring EZR-ROS1 rearrangement: a case report.
AB - BACKGROUND: Crizotinib is recommended as first-line therapy in ROS1-driven lung
adenocarcinoma. However, the optimal first-line therapy for this subgroup of lung
cancer is controversial according to the available clinical data. CASE
PRESENTATION: Here, we describe a 57-year-old man who was diagnosed with stage
IIIB lung adenocarcinoma and EGFR/KRAS/ALK-negative tumors. The patient received
six cycles of pemetrexed plus cisplatin as first-line therapy and then pemetrexed
as maintenance treatment, with a progression-free survival (PFS) of 42 months.
The patient relapsed and underwent re-biopsy. EZR-ROS1 fusion mutation was
detected by next-generation sequencing (NGS). The patient was prescribed
crizotinib as second-line therapy and achieved a PFS of 6 months. After disease
progression, lorlatinib was administered as third-line therapy, with a favorable
response. CONCLUSIONS: Prolonged PFS in patients receiving pemetrexed
chemotherapy might be related to the EZR-ROS1 fusion mutation. Lorlatinib is an
optimal choice in patients showing crizotinib resistance.
PMID- 29361926
TI - Exploring the care provided to mothers and children by community health workers
in South Africa: missed opportunities to provide comprehensive care.
AB - BACKGROUND: Community health workers (CHWs) provide maternal and child health
services to communities in many low and middle-income countries, including South
Africa (SA). CHWs can improve access to important health interventions for
isolated and vulnerable communities. In this study we explored the performance of
CHWs providing maternal and child health services at household level and the
quality of the CHW-mother interaction. METHODS: A qualitative study design was
employed using observations and in-depth interviews to explore the content of
household interactions, and experiences and perceptions of mothers and CHWs.
Fifteen CHWs and 30 mothers/pregnant women were purposively selected in three
rural districts of KwaZulu-Natal, SA. CHW household visits to mothers were
observed and field notes taken, followed by in-depth interviews with mothers and
CHWs. Observations and interviews were audio-recorded. We performed thematic
analysis on transcribed discussions, and content analysis on observational data.
RESULTS: CHWs provided appropriate and correct health information but there were
important gaps in the content provided. Mothers expressed satisfaction with CHW
visits and appreciation that CHWs understood their life experiences and therefore
provided advice and support that was relevant and accessible. CHWs expressed
concern that they did not have the knowledge required to undertake all activities
in the household, and requested training and support from supervisors during
household visits. CONCLUSIONS: Key building blocks for a successful CHW programme
are in place to provide services for mothers and children in households but
further training and supervision is required if the gaps in CHW knowledge and
skills are to be filled.
PMID- 29361927
TI - Incidence of endophthalmitis after 23-gauge pars plana vitrectomy.
AB - BACKGROUND: Endophthalmitis is a rare but severe complication following PPV. The
incidence of endophthalmitis varies between 20-gauge, 23-gauge, and 25-gauge
incisions. The incidence and clinical features of endophthalmitis after 23-gauge
PPV in an eye hospital in China was reported in this study. METHODS: Data of the
eyes that underwent 23-gauge PPV from January 2011 to December 2014 at the Eye
Hospital of Wenzhou Medical University was retrospectively collected. All the
information was obtained from the electronic medical system. The exclusion
criteria included: (1) preoperative diagnosis of endophthalmitis; (2) history of
vitrectomy; (3) intraocular surgery within 6 months; (4) history of ocular
penetrating trauma; (5) sutures for any of the 3 sclerotomy incisions; (6)
patients with cancer, acquired immune deficiency syndrome, or taking drugs that
may influence the immune system. The diagnosis of endophthalmitis was based on
clinical characteristics and/or culture results from an operative sample.
RESULTS: Three thousand nine hundred seventy nine eyes that underwent 23-gauge
PPV surgery were included in this study. Among these eyes, 3 eyes developed
endophthalmitis after surgery, giving an incidence of 0.075% (3/3979). The period
in which endophthalmitis developed ranged from 1 to 5 days post-operation. The
visual acuity decreased to hand motions or light perception postoperatively. The
culture of aqueous and vitreous of the 2 eyes revealed Staphylococcus epidermidis
and enterococcus faecalis respectively, however was negative for the third eye.
All 3 eyes had a favorable response to the treatment of vitreous tap and
intravitreal antibiotics injection. Two eyes gained visual acuity of 0.05 and
0.5, respectively at the final visit. CONCLUSIONS: Endophthalmitis is a rare but
sight-threatening complication after 23-gauge pars plana vitrectomy. The peak
duration of onset was within 5 days post-operation, with gram positive cocci
being the common pathogenic organism.
PMID- 29361928
TI - Shrinkage Clustering: a fast and size-constrained clustering algorithm for
biomedical applications.
AB - BACKGROUND: Many common clustering algorithms require a two-step process that
limits their efficiency. The algorithms need to be performed repetitively and
need to be implemented together with a model selection criterion. These two steps
are needed in order to determine both the number of clusters present in the data
and the corresponding cluster memberships. As biomedical datasets increase in
size and prevalence, there is a growing need for new methods that are more
convenient to implement and are more computationally efficient. In addition, it
is often essential to obtain clusters of sufficient sample size to make the
clustering result meaningful and interpretable for subsequent analysis. RESULTS:
We introduce Shrinkage Clustering, a novel clustering algorithm based on matrix
factorization that simultaneously finds the optimal number of clusters while
partitioning the data. We report its performances across multiple simulated and
actual datasets, and demonstrate its strength in accuracy and speed applied to
subtyping cancer and brain tissues. In addition, the algorithm offers a
straightforward solution to clustering with cluster size constraints.
CONCLUSIONS: Given its ease of implementation, computing efficiency and
extensible structure, Shrinkage Clustering can be applied broadly to solve
biomedical clustering tasks especially when dealing with large datasets.
PMID- 29361929
TI - Interpreting population reach of a large, successful physical activity trial
delivered through primary care.
AB - BACKGROUND: Failure to include socio-economically deprived or ethnic minority
groups in physical activity (PA) trials may limit representativeness and could
lead to implementation of interventions that then increase health inequalities.
Randomised intervention trials often have low recruitment rates and rarely assess
recruitment bias. A previous trial by the same team using similar methods
recruited 30% of the eligible population but was in an affluent setting with few
non-white residents and was limited to those over 60 years of age. METHODS: PACE
UP is a large, effective, population-based walking trial in inactive 45-75 year
olds that recruited through seven London general practices. Anonymised practice
demographic data were available for all those invited, enabling investigation of
inequalities in trial recruitment. Non-participants were invited to complete a
questionnaire. RESULTS: From 10,927 postal invitations, 1150 (10.5%) completed
baseline assessment. Participation rate ratios (95% CI), adjusted for age and
gender as appropriate, were lower in men 0.59 (0.52, 0.67) than women, in those
under 55 compared with those >=65, 0.60 (0.51, 0.71), in the most deprived
quintile compared with the least deprived 0.52 (0.39, 0.70) and in Asian
individuals compared with whites 0.62 (0.50, 0.76). Black individuals were
equally likely to participate as white individuals. Participation was also
associated with having a co-morbidity or some degree of health limitation. The
most common reasons for non-participation were considering themselves as being
too active or lack of time. CONCLUSIONS: Conducting the trial in this diverse
setting reduced overall response, with lower response in socio-economically
deprived and Asian sub-groups. Trials with greater reach are likely to be more
expensive in terms of recruitment and gains in generalizability need to be
balanced with greater costs. Differential uptake of successful trial
interventions may increase inequalities in PA levels and should be monitored.
TRIAL REGISTRATION: ISRCTN.com ISRCTN98538934 . Registered 2nd March 2012.
PMID- 29361930
TI - Genetic polymorphisms identify in species/biovars of Brucella isolated in China
between 1953 and 2013 by MLST.
AB - BACKGROUND: Brucellosis incidence in China is divided into three stages: high
incidence (1950s-1960s), decline (1970s-1980s), and re-emergence (1990s-2010s).
At the re-emergence stage, Brucellosis incidence grew exponentially and spread to
all 32 provinces. We describe the magnitude and the etiological distribution
changes in mainland China by genotyping data and emphasize its recent
reemergence. We also provide the genetic diversity and molecular epidemiological
characteristics of Brucella. RESULTS: From a total of 206 Brucella isolates, 19
MLST genotypes (STs) were identified and 13 new STs(ST71-83)were found. MLST
grouped the population into three clusters. B. melitensis, B. abortus and B. suis
were grouped into cluster 1, 2 and 3 respectively. The predominant genotype in
the first cluster by MLST, remained unchanged during the three stages. However,
the proportion of genotypes in the three stages had changed. More isolates were
clustered in ST8 at the re-emergence stage. STs71-74, which were not found in the
two former stages, appeared at the re-emergence stage. CONCLUSIONS: The changing
molecular epidemiology of brucellosis improve our understanding of apparent
geographic expansion from the historically affected north of China to southern
provinces in recent reemergence.
PMID- 29361931
TI - Obstetrical provider knowledge and attitudes towards cell-free DNA screening:
results of a cross-sectional national survey.
AB - BACKGROUND: Cell-free DNA (cfDNA) screening has recently acquired tremendous
attention, promising patients and healthcare providers a more accurate prenatal
screen for aneuploidy than other current screening modalities. It is unclear how
much knowledge regarding cfDNA screening obstetrical providers possess which has
important implications for the quality and content of the informed consent
patients receive. METHODS: A survey was designed to assess obstetrical provider
knowledge and attitudes towards cfDNA screening and distributed online through
the Society of Obstetricians & Gynecologists of Canada (SOGC). Chi-squared tests
were used to detect differences in knowledge and attitudes between groups.
RESULTS: 207 respondents completed the survey, composed of 60.6%
Obstetricians/Gynecologists (OB/GYN), 15.4% Maternal Fetal Medicine (MFM)
specialists, 16.5% General Practitioners (GP), and 7.5% Midwives (MW). MFM
demonstrated a significant trend of being most knowledgeable about cfDNA
screening followed by OB/GYN, GP, and lastly MW in almost all aspects of cfDNA
screening. All groups demonstrated an overall positive attitude towards cfDNA
screening; however, OB/GYN and MFM demonstrated a significantly more positive
attitude than GP and MW. Despite not yet being a diagnostic test, 19.4% of GP
would offer termination of pregnancy immediately following a positive cfDNA
screen result compared to none of the MFM and only few OB/GYN or MW. CONCLUSIONS:
We have demonstrated that different types of obstetrical providers possess
varying amounts of knowledge regarding cfDNA screening with MFM currently having
greater knowledge to all other groups. All obstetrical providers must have
adequate prenatal screening understanding so that we can embrace the benefits of
this novel and promising technology while protecting the integrity of the
informed consent process.
PMID- 29361932
TI - Efficacy of combined treatment with pasireotide, pegvisomant and cabergoline in
an acromegalic patient resistant to other treatments: a case report.
AB - BACKGROUND: The approach to acromegalic patients with persistent acromegaly after
surgery and inadequate response to first-generation somatostatin receptor ligands
(SRLs) should be strictly tailored. Current options include new pituitary surgery
and/or radiosurgery, or alternative medical treatment with SRLs high dose
regimens, pegvisomant (PEG) as monotherapy, or combined therapy with the addition
of PEG or cabergoline to SRLs. A new pharmacological approach includes
pasireotide, a second-generation SRL approved for patients who do not adequately
respond to surgery and/or for whom surgery is not an option. No reports on
efficacy and safety of combined therapy with pasireotide and pegvisomant (PEG) in
acromegaly are available. CASE PRESENTATION: Here we report the case of a 41-year
old acromegalic man with a mixed GH/PRL pituitary adenoma post-surgical resistant
to first-generation SRLs both alone and in combination with cabergoline and PEG
who achieved biochemical and tumor control with the combined triple treatment
with pasireotide, PEG and cabergoline without adverse events and with a good
compliance to treatment. CONCLUSIONS: Twelve months of therapy with pasireotide,
PEG and cabergoline proved to be safe and effective in this particular patient
and the clinical improvement of disease resulted in an improved compliance to
treatment.
PMID- 29361933
TI - Spatial analysis of the death associated factors due oral cancer in Brazil: an
ecological study.
AB - BACKGROUND: Oral cancer (OC) is among the ten most common cancers and the seventh
most frequent cause of death worldwide. It has been reported that these incidence
rates are higher in developed country and these mortality rates are higher in
less developed areas. So, the objective of the present study was to analyze the
spatial joint distribution and to explore possible associations of the
epidemiological aspects with mortality rates due to OC in the Brazil. METHODS: An
exploratory ecological study investigated the global spatial autocorrelation of
epidemiological aspects with mortality rates due to OC from the Brazilian
Federative Units (FUs) (n = 27) in the period 2005-2014, using the "global" and
"local" Moran statistic method and a multiple spatial regression, having as
variables of exposure the habits and lifestyle, sociodemographic indicators, the
consumption of pesticides, the presence of comorbidities, the use of health
services and food consumption; and, as a variable response, mortality rates due
to OC. The software used was Stata 11.0, SPSS 18.0 and GeoDa 0.95-i. RESULTS: The
spatial distribution of OC mortality rates to age-standard was not random and
showed high spatial autocorrelation and predominance of significant spatial
groupings in the Central-South region of Brazil. In the multiple regression,
statistically negative associations were observed between the Human Development
Index (HDI) and OC age-standardized in the studied period (p < 0.05) and positive
associations among the proportion of the population with dental appointment
within last year, percentage of consumption of oils and fats, percentage of
consumption of ready-to-eat foods and industrial mixtures and percentage of
overweight adults with this type of cancer (p < 0.05). CONCLUSION: This is the
first study that analyzed the factors associated to the spatial clusters of
mortality due to oral cancer in the Brazilian FUs. A fairly unequal distribution
of OC mortality rates was found, being that these rates presented inverse
association with HDI and direct association with dental appointment, consumption
of oils and fats, ready-to-eat foods and industrial mixtures consumption and
overweight these rates. It suggests the need to redirect Brazilian public
policies aimed at combating them so that they cease to be temporary and become
permanent.
PMID- 29361934
TI - Using the Medical Research Council framework for development and evaluation of
complex interventions in a low resource setting to develop a theory-based
treatment support intervention delivered via SMS text message to improve blood
pressure control.
AB - BACKGROUND: Several frameworks now exist to guide intervention development but
there remains only limited evidence of their application to health interventions
based around use of mobile phones or devices, particularly in a low-resource
setting. We aimed to describe our experience of using the Medical Research
Council (MRC) Framework on complex interventions to develop and evaluate an
adherence support intervention for high blood pressure delivered by SMS text
message. We further aimed to describe the developed intervention in line with
reporting guidelines for a structured and systematic description. METHODS: We
used a non-sequential and flexible approach guided by the 2008 MRC Framework for
the development and evaluation of complex interventions. RESULTS: We reviewed
published literature and established a multi-disciplinary expert group to guide
the development process. We selected health psychology theory and behaviour
change techniques that have been shown to be important in adherence and
persistence with chronic medications. Semi-structured interviews and focus groups
with various stakeholders identified ways in which treatment adherence could be
supported and also identified key features of well-regarded messages: polite
tone, credible information, contextualised, and endorsed by identifiable member
of primary care facility staff. Direct and indirect user testing enabled us to
refine the intervention including refining use of language and testing of
interactive components. CONCLUSIONS: Our experience shows that using a formal
intervention development process is feasible in a low-resource multi-lingual
setting. The process enabled us to pre-test assumptions about the intervention
and the evaluation process, allowing the improvement of both. Describing how a
multi-component intervention was developed including standardised descriptions of
content aimed to support behaviour change will enable comparison with other
similar interventions and support development of new interventions. Even in low
resource settings, funders and policy-makers should provide researchers with time
and resources for intervention development work and encourage evaluation of the
entire design and testing process. TRIAL REGISTRATION: The trial of the
intervention is registered with South African National Clinical Trials Register
number (SANCTR DOH-27-1212-386; 28/12/2012); Pan Africa Trial Register
(PACTR201411000724141; 14/12/2013); ClinicalTrials.gov ( NCT02019823 ;
24/12/2013).
PMID- 29361935
TI - Exploring drivers and challenges in implementation of health promotion in
community mental health services: a qualitative multi-site case study using
Normalization Process Theory.
AB - BACKGROUND: There is an increased interest in improving the physical health of
people with mental illness. Little is known about implementing health promotion
interventions in adult mental health organisations where many users also have
physical health problems. The literature suggests that contextual factors are
important for implementation in community settings. This study focused on the
change process and analysed the implementation of a structural health promotion
intervention in community mental health organisations in different contexts in
Denmark. METHODS: The study was based on a qualitative multiple-case design and
included two municipal and two regional provider organisations. Data were various
written sources and 13 semi-structured interviews with 22 key managers and
frontline staff. The analysis was organised around the four main constructs of
Normalization Process Theory: Coherence, Cognitive Participation, Collective
Action, and Reflexive Monitoring. RESULTS: Coherence: Most respondents found the
intervention to be meaningful in that the intervention fitted well into existing
goals, practices and treatment approaches. Cognitive Participation: Management
engagement varied across providers and low engagement impeded implementation.
Engaging all staff was a general problem although some of the initial resistance
was apparently overcome. Collective Action: Daily enactment depended on staff
being attentive and flexible enough to manage the complex needs and varying
capacities of users. Reflexive Monitoring: During implementation, staff
evaluations of the progress and impact of the intervention were mostly informal
and ad hoc and staff used these to make on-going adjustments to activities.
Overall, characteristics of context common to all providers (work force and user
groups) seemed to be more important for implementation than differences in the
external political-administrative context. CONCLUSIONS: In terms of research,
future studies should adopt a more bottom-up, grounded description of context and
pay closer attention to the interplay between different dimensions of
implementation. In terms of practice, future interventions need to better
facilitate the translation of the initial sense of general meaning into daily
practice by active local management support that occurs throughout the
implementation process and that systematically connects the intervention to
existing practices.
PMID- 29361937
TI - Changing practice in the assessment and treatment of somatosensory loss in stroke
survivors: protocol for a knowledge translation study.
AB - BACKGROUND: The treatment of somatosensory loss in the upper limb after stroke
has been historically overshadowed by therapy focused on motor recovery. A double
blind randomized controlled trial has demonstrated the effectiveness of SENSe
(Study of the Effectiveness of Neurorehabilitation on Sensation) therapy to
retrain somatosensory discrimination after stroke. Given the acknowledged
prevalence of upper limb sensory loss after stroke and the evidence-practice gap
that exists in this area, effort is required to translate the published research
to clinical practice. The aim of this study is to determine whether evidence
based knowledge translation strategies change the practice of occupational
therapists and physiotherapists in the assessment and treatment of sensory loss
of the upper limb after stroke to improve patient outcomes. METHOD/DESIGN: A
pragmatic, before-after study design involving eight (n = 8) Australian health
organizations, specifically sub-acute and community rehabilitation facilities.
Stroke survivors (n = 144) and occupational therapists and physiotherapists (~10
per site, ~n = 80) will be involved in the study. Stroke survivors will be
provided with SENSe therapy or usual care. Occupational therapists and
physiotherapists will be provided with a multi-component approach to knowledge
translation including i) tailoring of the implementation intervention to site
specific barriers and enablers, ii) interactive group training workshops, iii)
establishing and fostering champion therapists and iv) provision of written
educational materials and online resources. Outcome measures for occupational
therapists and physiotherapists will be pre- and post-implementation
questionnaires and audits of medical records. The primary outcome for stroke
survivors will be change in upper limb somatosensory function, measured using a
standardized composite measure. DISCUSSION: This study will provide evidence and
a template for knowledge translation in clinical, organizational and policy
contexts in stroke rehabilitation. TRIAL REGISTRATION: Australian New Zealand
Clinical Trials Registry (ANZCTR) retrospective registration ACTRN12615000933550
.
PMID- 29361936
TI - Vaginal dysbiosis increases risk of preterm fetal membrane rupture, neonatal
sepsis and is exacerbated by erythromycin.
AB - BACKGROUND: Preterm prelabour rupture of the fetal membranes (PPROM) precedes 30%
of preterm births and is a risk factor for early onset neonatal sepsis. As PPROM
is strongly associated with ascending vaginal infection, prophylactic antibiotics
are widely used. The evolution of vaginal microbiota compositions associated with
PPROM and the impact of antibiotics on bacterial compositions are unknown.
METHODS: We prospectively assessed vaginal microbiota prior to and following
PPROM using MiSeq-based sequencing of 16S rRNA gene amplicons and examined the
impact of erythromycin prophylaxis on bacterial load and community structures.
RESULTS: In contrast to pregnancies delivering at term, vaginal dysbiosis
characterised by Lactobacillus spp. depletion was present prior to the rupture of
fetal membranes in approximately a third of cases (0% vs. 27%, P = 0.026) and
persisted following membrane rupture (31%, P = 0.005). Vaginal dysbiosis was
exacerbated by erythromycin treatment (47%, P = 0.00009) particularly in women
initially colonised by Lactobacillus spp. Lactobacillus depletion and increased
relative abundance of Sneathia spp. were associated with subsequent funisitis and
early onset neonatal sepsis. CONCLUSIONS: Our data show that vaginal microbiota
composition is a risk factor for subsequent PPROM and is associated with adverse
short-term maternal and neonatal outcomes. This highlights vaginal microbiota as
a potentially modifiable antenatal risk factor for PPROM and suggests that
routine use of erythromycin for PPROM be re-examined.
PMID- 29361938
TI - Long-term effects of Garcinia cambogia/Glucomannan on weight loss in people with
obesity, PLIN4, FTO and Trp64Arg polymorphisms.
AB - BACKGROUND: Overweight and obesity are considered major health problems that
contribute to increase mortality and quality of life. Both conditions have a high
prevalence across the world reaching epidemic numbers. Our aim was to evaluate
the effects of the administration of Garcinia cambogia (GC) and Glucomannan (GNN)
on long-term weight loss in people with overweight or obesity. METHODS:
Prospective, not-randomized controlled intervention trial was conducted. We
treated 214 subjects with overweight or obesity with GC and GNN (500 mg twice a
day, each) for 6 months evaluating weight, fat mass, visceral fat, basal
metabolic rate, and lipid and glucose blood profiles comparing them with basal
values. Some patients were carriers of polymorphisms PLIN4 -11482G > A-, fat mass
and obesity-associated (FTO) -rs9939609 A/T- and beta-adrenergic receptor 3
(ADRB3) -Trp64Arg. RESULTS: Treatment produced weight loss, reducing fat mass,
visceral fat, lipid and blood glucose profiles while increasing basal metabolic
rate. Results were independent of sex, age or suffering from hypertension,
diabetes mellitus type 2 or dyslipidemia and were attenuated in carriers of
PLIN4, FTO, Trp64Arg polymorphisms. CONCLUSIONS: Administration of GC and GNN
reduce weight and improve lipid and glucose blood profiles in people with
overweight or obesity, although the presence of polymorphisms PLIN4, FTO and
ADRB3 might hinder in some degree these effects. ISRCTN78807585, 19 September
2017, retrospective study.
PMID- 29361939
TI - Efficacy and safety of artemisinin-based combination therapy and chloroquine with
concomitant primaquine to treat Plasmodium vivax malaria in Brazil: an open label
randomized clinical trial.
AB - BACKGROUND: There is general international agreement that the importance of vivax
malaria has been neglected, and there is a need for new treatment approaches in
an effort to progress towards control and elimination in Latin America. This open
label randomized clinical trial evaluated the efficacy and safety of three
treatment regimens using either one of two fixed dose artemisinin-based
combinations or chloroquine in combination with a short course of primaquine (7-9
days: total dose 3-4.2 mg/kg) in Brazil. The primary objective was establishing
whether cure rates above 90% could be achieved in each arm. RESULTS: A total of
264 patients were followed up to day 63. The cure rate of all three treatment
arms was greater than 90% at 28 and 42 days. Cure rates were below 90% in all
three treatment groups at day 63, although the 95% confidence interval included
90% for all three treatments. Most of the adverse events were mild in all
treatment arms. Only one of the three serious adverse events was related to the
treatment and significant drops in haemoglobin were rare. CONCLUSION: This study
demonstrated the efficacy and safety of all three regimens that were tested with
42-day cure rates that meet World Health Organization criteria. The efficacy and
safety of artemisinin-based combination therapy regimens in this population
offers the opportunity to treat all species of malaria with the same regimen,
simplifying protocols for malaria control programmes and potentially contributing
to elimination of both vivax and falciparum malaria. Trial registration RBR
79s56s.
PMID- 29361940
TI - Plasmodium falciparum genetic variation of var2csa in the Democratic Republic of
the Congo.
AB - BACKGROUND: The Democratic Republic of the Congo (DRC) bears a high burden of
malaria, which is exacerbated in pregnant women. The VAR2CSA protein plays a
crucial role in pregnancy-associated malaria (PAM), and hence quantifying
diversity at the var2csa locus in the DRC is important in understanding the basic
epidemiology of PAM, and in developing a robust vaccine against PAM. METHODS:
Samples were taken from the 2013-14 Demographic and Health Survey conducted in
the DRC, focusing on children under 5 years of age. A short subregion of the
var2csa gene was sequenced in 115 spatial clusters, giving country-wide estimates
of sequence polymorphism and spatial population structure. RESULTS: Results
indicate that var2csa is highly polymorphic, and that diversity is being
maintained through balancing selection, however, there is no clear signal of
phylogenetic or geographic structure to this diversity. Linear modelling
demonstrates that the number of var2csa variants in a cluster correlates directly
with cluster prevalence, but not with other epidemiological factors such as
urbanicity. CONCLUSIONS: Results suggest that the DRC fits within the global
pattern of high var2csa diversity and little genetic differentiation between
regions. A broad multivalent VAR2CSA vaccine candidate could benefit from
targeting stable regions and common variants to address the substantial genetic
diversity.
PMID- 29361941
TI - Physicians' perspectives on clinical pharmacy services in Northern Sweden: a
qualitative study.
AB - BACKGROUND: In many countries, clinical pharmacists are part of health care teams
that work to optimize drug therapy and ensure patient safety. However, in Sweden,
clinical pharmacy services (CPSs) in hospital settings have not been widely
implemented and regional differences exist in the uptake of these services.
Physicians' attitudes toward CPSs and collaborating with clinical pharmacists may
facilitate or hinder the implementation and expansion of the CPSs and the role of
the clinical pharmacist in hospital wards. The aim of this study was to explore
physicians' perceptions regarding CPSs performed at hospital wards in Northern
Sweden. METHODS: Face-to-face semi-structured interviews were conducted with a
purposive sample of nine physicians who had previously worked with clinical
pharmacists between November 2014 and January 2015. Interviews were digitally
recorded, transcribed and analysed using a constant comparison method. RESULTS:
Different themes emerged regarding physicians' views of clinical pharmacy; two
main interlinked themes were service factors and pharmacist factors. The service
was valued and described in a positive way by all physicians. It was seen as an
opportunity for them to learn more about pharmacological treatment and also an
opportunity to discuss patient medication treatment in detail. Physicians
considered that CPSs could improve patient outcomes and they valued continuity
and the ability to build a trusting relationship with the pharmacists over time.
However, there was a lack of awareness of the CPSs. All physicians knew that one
of the pharmacist's roles is to conduct medication reviews, but most of them were
only able to describe a few elements of what this service encompasses.
Pharmacists were described as "drug experts" and their recommendations were
perceived as clinically relevant. Physicians wanted CPSs to continue and to be
implemented in other wards. CONCLUSIONS: All physicians were positive regarding
CPSs and were satisfied with the collaboration with the clinical pharmacists.
These findings are important for further implementation and expansion of CPSs,
particularly in Northern Sweden.
PMID- 29361942
TI - IL-1beta mediates lung neutrophilia and IL-33 expression in a mouse model of
viral-induced asthma exacerbation.
AB - BACKGROUND: Viral-induced asthma exacerbations, which exhibit both Th1-type
neutrophilia and Th2-type inflammation, associate with secretion of Interleukin
(IL)-1beta. IL-1beta induces neutrophilic inflammation. It may also increase Th2
type cytokine expression. We hypothesised that IL-1beta is causally involved in
both Th1 and Th2 features of asthma exacerbations. This hypothesis is tested in
our mouse model of viral stimulus-induced asthma exacerbation. METHOD: Wild-type
(WT) and IL-1beta deficient (IL-1beta-/-) mice received house dust mite (HDM) or
saline intranasally during three weeks followed by intranasal dsRNA (PolyI:C
molecule known for its rhinovirus infection mimic) for three consecutive days to
provoke exacerbation. Bronchoalveolar lavage fluid was analysed for inflammatory
cells and total protein. Lung tissues were stained for neutrophilic inflammation
and IL-33. Tissue homogenates were analysed for mRNA expression of Muc5ac,
CXCL1/KC, TNF-alpha, CCL5, IL-25, TSLP, IL-33, IL-1beta, CCL11 and CCL2 using RT
qPCR. RESULTS: Expression of IL-1beta, neutrophil chemoattractants, CXCL1 and
CCL5, the Th2-upstream cytokine IL-33, and Muc5ac were induced at exacerbation in
WT mice and were significantly inhibited in IL-1beta-/- mice at exacerbation.
Effects of HDM alone were not reduced in IL-1beta-deficient mice. CONCLUSION:
Without being involved in the baseline HDM-induced allergic asthma, IL-1beta
signalling was required to induce neutrophil chemotactic factors, IL-33, and
Muc5ac expression at viral stimulus-induced exacerbation. We suggest that IL
1beta has a role both in neutrophilic and Th2 inflammation at viral-induced
asthma exacerbations.
PMID- 29361943
TI - Identification of danthron as an isoform-specific inhibitor of HEME OXYGENASE
1/cytochrome P450 reductase interaction with anti-tumor activity.
AB - BACKGROUND: Heme oxygenase (HO) catalyzes NADPH-dependent degradation of heme to
liberate iron, carbon monoxide and biliverdin. The interaction between HO and
cytochrome P450 reductase (CPR), an electron donor, is essential for HO activity.
HO-1 is a stress-inducible isoform whereas HO-2 is constitutively expressed. HO-1
induction is commonly seen in cancers and impacts disease progression, supporting
the possibility of targeting HO-1 for cancer therapy. METHODS: We employed a cell
based bioluminescence resonance energy transfer assay to screen compounds with
ability to inhibit HO-1/CPR interaction. The effect of the identified compound on
HO-1/CPR interaction was confirmed by pull down assay. Moreover, the anti
tumorigenic activity of the identified compound on HO-1-enhanced tumor growth and
migration was assessed by trypan blue exclusion method and wound healing assay.
RESULTS: Danthron was identified as an effective small molecule able to interfere
with the interaction between HO-1 and CPR but not HO-2 and CPR. Additional
experiments with structural analogues of danthron revealed that the positions of
hydroxyl moieties significantly affected the potency of inhibition on HO-1/CPR
interaction. Pull-down assay confirmed that danthron inhibited the interaction of
CPR with HO-1 but not HO-2. Danthron suppressed growth and migration of HeLa
cells with stable HO-1 overexpression but not mock cells. In contrast,
anthrarufin, a structural analog with no ability to interfere HO-1/CPR
interaction, exhibited no significant effect on HO-1-overexpressing HeLa cells.
CONCLUSIONS: These findings demonstrate that danthron is an isoform-specific
inhibitor for HO-1/CPR interaction and may serve as a lead compound for novel
anticancer drug.
PMID- 29361944
TI - Co-contraction characteristics of lumbar muscles in patients with lumbar disc
herniation during different types of movement.
AB - BACKGROUND: Muscular performance is an important factor for the mechanical
stability of lumbar spine in humans, in which, the co-contraction of lumbar
muscles plays a key role. We hypothesized that when executing different daily
living motions, the performance of the lumbar muscle co-contraction stabilization
mechanism varies between patients with lumbar disc herniation (LDH) and healthy
controls. Hence, in this study, co-contraction performance of lumbar muscles
between patients with LDH and healthy subjects was explored to check if there are
significant differences between the two groups when performing four
representative movements. METHODS: Twenty-six LDH patients (15 females, 11 males)
and a control group of twenty-eight subjects (16 females, 12 males) were
recruited. Surface electromyography (EMG) signals were recorded from the external
oblique, lumbar multifidus, and internal oblique/transversus abdominis muscles
during the execution of four types of movement, namely: forward bending, backward
bending, left lateral flexion and right lateral flexion. The acquired EMG signals
were segmented, and wavelet decomposition was performed followed by
reconstruction of the low-frequency components of the signal. Then, the
reconstructed signals were used for further analysis. Co-contraction ratio was
employed to assess muscle coordination and compare it between the LDH patients
and healthy controls. The corresponding signals of the subjects in the two groups
were compared to evaluate the differences in agonistic and antagonistic muscle
performance during the different motions. Also, sample entropy was applied to
evaluate complexity changes in lumbar muscle recruitment during the movements.
RESULTS: Significant differences between the LDH and control groups were found in
the studied situations (p < 0.05). During the four movements considered in this
study, the participants of the LDH group exhibited a higher level of co
contraction ratio, lower agonistic, and higher antagonistic lumbar muscle
activity (p < 0.01) than those of the control group. Furthermore, the co
contraction ratio of LDH patients was dominated by the antagonistic muscle
activity during the movements, except for the forward bending motion. However, in
the healthy control group, the agonistic muscle activity contributed more to the
co-contraction ratio with an exception for the backward bending motion.
Conversely, the sample entropy value was significantly lower for agonistic
muscles of LDH group compared to the control group (p < 0.01) while the entropy
value was significantly greater in antagonistic muscles (p < 0.01) during the
four types of movement, respectively. CONCLUSIONS: Lumbar disc herniation
patients exhibited numerous variations in the evaluated parameters that reflect
the co-contraction of lumbar muscles, the agonistic and antagonistic muscle
activities, and their respective sample entropy values when compared with the
healthy control group. These variations could be due to the compensation
mechanism that was required to stabilize the spine. The results of this study
could facilitate the design of efficient rehabilitation methods for treatment of
lumbar muscle dysfunctions.
PMID- 29361947
TI - Adolescents' reproductive health knowledge, choices and factors affecting
reproductive health choices: a qualitative study in the West Gonja District in
Northern region, Ghana.
AB - BACKGROUND: In Ghana, adolescents constitute about a quarter of the total
population. These adolescents make reproductive health decisions and choices
based on their knowledge and the availability of such choices. These reproductive
health decisions and choices can either negatively or positively affect their
lives. This study therefore explored adolescents' reproductive health knowledge
and choices, the type of choices they make and the factors that affect these
choices. METHODS: This qualitative study adopted a narrative approach to
qualitative enquiry. Eight focus group discussions (N = 80) were conducted among
both in-school and out-of-school adolescents aged 10-19 years. The discussions
were stratified by sex and studentship. In addition, nine in-depth interviews
were conducted with various stakeholders in reproductive health services and
community opinion leaders. Both the focus group discussions and in-depth
interviews were recorded, transcribed and analysed using NVivo 11. Thematic
analysis was employed in analysing data. RESULTS: The study found that knowledge
on reproductive health choices was low among respondents with majority of them
relying on their peers for information on sexual and reproductive health. Having
a sexual partner(s) and engaging in premarital sex was common and viewed as
normal. Adolescents engaged in unprotected sexual practices as a way of testing
their fertility, assurance of love, bait for marriage and for livelihood.
Inserting herbs into the vagina, drinking concoctions and boiled pawpaw leaves
were identified as local methods employed by adolescents to induce abortion.
Reproductive health services were available in the community but received low
utilization because of perceived negative attitude of health workers,
confidentiality and social norms. CONCLUSIONS: Adolescents in this study
generally engaged in risky reproductive health choices that can negatively affect
their reproductive health. Adolescents in this part of Ghana have challenges
utilizing available reproductive health services because of socio-cultural and
health system barriers.
PMID- 29361946
TI - The prognostic value of preoperative prognostic nutritional index in patients
with hypopharyngeal squamous cell carcinoma: a retrospective study.
AB - BACKGROUND: To analyze the prognostic value of preoperative prognostic
nutritional index (PNI) in predicting the survival outcome of hypopharyngeal
squamous cell carcinoma (HPSCC) patients receiving radical surgery. METHODS: From
March 2006 to August 2016, 123 eligible HPSCC patients were reviewed. The
preoperative PNI was calculated as serum albumin (g/dL) * 10 + total lymphocyte
count (mm-3) * 0.005. These biomarkers were measured within 2 weeks prior to
surgery. The impact of preoperative PNI on overall survival (OS), progression
free survival (PFS), locoregional recurrence-free survival (LRFS) and distant
metastasis-free survival (DMFS) were analyzed using Kaplan-Meier method and Cox
proportional hazards model. RESULTS: Median value of 52.0 for the PNI was
selected as the cutoff point. PNI value was then classified into two groups: high
PNI (> 52.0) versus low PNI (<= 52.0). Multivariate analysis showed that high
preoperative PNI was an independent prognostic factor for better OS (P = 0.000),
PFS (P = 0.001), LRFS (P = 0.005) and DMFS (P = 0.016). CONCLUSIONS: High PNI
predicts superior survival in HPSCC patients treated with radical surgery. As
easily accessible biomarkers, preoperative PNI together with the conventional TNM
staging system can be utilized to enhance the accuracy in predicting survival and
determining therapy strategies in these patients.
PMID- 29361948
TI - School feeding program has resulted in improved dietary diversity, nutritional
status and class attendance of school children.
AB - BACKGROUND: School Feeding Program (SFP) is a targeted safety net program
designed to provide educational and health benefits to vulnerable children.
However, limited evidence exists regarding the effect of the intervention on the
nutritional status and school attendance of children. The study is aimed at
examining the effects of SFP on dietary diversity, nutritional status and class
attendance of school children in Boricha district, Southern Ethiopia. METHODS:
The study was conducted based on a representative data collected from 290
students drawn from the district. A school-based comparative cross-sectional
study was conducted on school children aged 10-14 years. Data were collected
using structured pretested questionnaire. The effects of SFP on dietary diversity
score (DDS), class attendance rate, body-mass-index for age (BAZ) and height-for
age (HAZ) Z-scores were assessed using multivariable linear regression model.
RESULTS: The finding showed significantly higher mean (+/-SD) of DDS in SFP
beneficiaries (5.8 +/- 1.1) than the non-beneficiaries (3.5 +/- 0.7) (P < 0.001).
BAZ and HAZ of the beneficiaries were also higher than their counterparts, which
were (0.07 +/- 0.93), (- 0.50 +/- 0.86) and (- 1.45 +/- 1.38), (- 2.17 +/- 1.15)
respectively (P < 0.001). The mean (+/-SD) days of absence from school for non
beneficiaries (2.6 +/- 1.6) was significantly higher than that of the
beneficiaries (1.3 +/- 1.7) (P < 0.05). CONCLUSION: Given the positive effects of
the program in improving the DDS, nutritional status, and class attendance of
school children, we strongly recommend scaling up the program to other food
insecure areas.
PMID- 29361945
TI - Adjunctive therapy for severe malaria: a review and critical appraisal.
AB - BACKGROUND: Despite recent efforts and successes in reducing the malaria burden
globally, this infection still accounts for an estimated 212 million clinical
cases, 2 million severe malaria cases, and approximately 429,000 deaths annually.
Even with the routine use of effective anti-malarial drugs, the case fatality
rate for severe malaria remains unacceptably high, with cerebral malaria being
one of the most life-threatening complications. Up to one-third of cerebral
malaria survivors are left with long-term cognitive and neurological deficits.
From a population point of view, the decrease of malaria transmission may
jeopardize the development of naturally acquired immunity against the infection,
leading to fewer total cases, but potentially an increase in severe cases. The
pathophysiology of severe and cerebral malaria is not completely understood, but
both parasite and host determinants contribute to its onset and outcomes.
Adjunctive therapy, based on modulating the host response to infection, could
help to improve the outcomes achieved with specific anti-malarial therapy.
RESULTS AND CONCLUSIONS: In the last decades, several interventions targeting
different pathways have been tested. However, none of these strategies have
demonstrated clear beneficial effects, and some have shown deleterious outcomes.
This review aims to summarize evidence from clinical trials testing different
adjunctive therapy for severe and cerebral malaria in humans. It also highlights
some preclinical studies which have evaluated novel strategies and other
candidate therapeutics that may be evaluated in future clinical trials.
PMID- 29361949
TI - miR-182-5p promotes hepatocellular carcinoma progression by repressing FOXO3a.
AB - BACKGROUND: High frequency of recurrence is the major cause of the poor outcomes
for patients with hepatocellular carcinoma (HCC). microRNA (miR)-182-5p emerged
as a high-priority miRNA in HCC and was found to be related to HCC metastasis.
Whether the expression of miR-182-5p in tumor tissue correlated with early
recurrence in HCC patients underwent curative surgery was unknown. METHODS: Real
time PCR (RT-PCR) and in situ hybridization (ISH) were conducted to assess the
expression of miR-182-5p in HCC cells and tissues. Cell Counting Kit-8 (CCK-8),
transwell assays were performed to detected cells proliferation and migration
ability. Flow cytometry assays were used to detect cell apoptosis rate, and
xenograft model was employed to study miR-182-5p in HCC growth and lung
metastasis. The target of miR-182-5p was validated with a dual-luciferase
reporter assay and western blotting. Immunohistochemistry, immumoblotting, and
immunoprecipitation were performed to test relative protein expression. RESULTS:
We showed that high expression of miR-182-5p in tumor tissues correlated with
poor prognosis as well as early recurrence in HCC patients underwent curative
surgery. miR-182-5p enhanced motility and invasive ability of HCC cells both in
vitro and in vivo. miR-182-5p directly targets 3'-UTR of FOXO3a and repressed
FOXO3a expression, activating AKT/FOXO3a pathway to promote HCC proliferation.
Notably, miR-182-5p activated Wnt/beta-catenin signaling by inhibiting the
degradation of beta-catenin and enhancing the interaction between beta-catenin
and TCF4 which was mediated by repressed FOXO3a. CONCLUSIONS: Consistently, miR
182-5p can be a potential predictor of early recurrence for HCC patients
underwent curative surgery, and FOXO3a plays a key mediator in miR-182-5p induced
HCC progression.
PMID- 29361950
TI - Health-related quality of life among long-term (>=5 years) prostate cancer
survivors by primary intervention: a systematic review.
AB - BACKGROUND: Due to an improving prognosis, and increased knowledge of
intervention effects over time, long-term well-being among prostate cancer (PC)
survivors has gained increasing attention. Yet, despite a variety of available PC
interventions, experts currently disagree on optimal intervention course based on
survival rates. METHODS: In January 2017, we searched multiple databases to
identify relevant articles. Studies were required to assess at least two
different dimensions of health-related quality of life (HRQoL) in PC survivors
>=5 years past diagnosis with validated measures. RESULTS: Identified studies (n
= 13) were mainly observational cohort studies (n = 10), conducted in developed
countries with a sample size below 100 per study arm (n = 6). External-beam
radiation therapy was the most common intervention (n = 12), whereas only three
studies included patients on active surveillance or on watchful waiting. Studies
were largely heterogeneous in cancer stage at diagnosis, intervention groups and
instruments. All identified studies either used the EORTC QLQ-C30 (n = 5) or the
SF-36 (n = 7) to assess generic HRQoL, yet 11 different instruments were employed
to assess PC specific urinary, bowel and sexual symptoms. Overall, no consistent
pattern between intervention and HRQoL was observed. Results from two randomized
controlled-trials (RCTs) and one observational study, comparing HRQoL by primary
intervention in localized PC survivors suggest that long-term HRQoL does not
differ by intervention. However, observational studies that included a
combination of localized and locally advanced stage PC survivors identified HRQoL
differences for various scales including physical well-being, social and role
function, vitality, and role emotional. CONCLUSION: This review reveals the
number of publications comparing HRQoL by primary intervention in long-term PC
survivors is currently limited. Robust data from two RCTs and one observational
study suggest that HRQoL does not seem to differ by intervention. However, the
heterogeneity of studies' methodologies and results hindered our ability to draw
a clear conclusion. Therefore, in order to answer the question of which primary
intervention is superior with respect to long-term HRQoL in PC patients, more
high-quality, large-scale prospective cohort studies, or RCTs with repeated HRQoL
assessments, are urgently needed.
PMID- 29361951
TI - Implementation lessons for school food policies and marketing restrictions in the
Philippines: a qualitative policy analysis.
AB - BACKGROUND: The school environment can enhance children's skills, knowledge and
behaviours in relation to healthy eating. However, in many countries, unhealthy
foods are commonly available in schools, and children can be exposed to
aggressive marketing by the food industry. Taking the perspective of
policymakers, this study aimed to identify barriers and enablers to effective
school food policy development and implementation in the Philippines. METHODS: In
May 2016, semi-structured interviews were conducted with 21 policymakers and
stakeholders involved in school food policymaking and implementation in the
Philippines. The Health Policy Analysis Triangle was used to identify interview
questions and to guide the thematic analysis. These included the political and
socio-environmental context, strengths and limitations of existing policy
content, roles and behaviours of actors, implementation processes, policy
outcomes, and opportunities to improve policy coherence. RESULTS: The Department
of Education's policy 'Orders' represented a relatively strong policy framework
for the education sector of the Philippines. However, a lack of human and
financial resources for implementation, planning, and policy enforcement limited
the impact of the policy on the healthiness of school food provision. Ambiguity
in policy wording allowed a wide interpretation of the foods eligible to be
provided in schools, and led to difficulties in effective monitoring and
enforcement. Food companies used existing relationships with schools to promote
their brands and compromise the establishment of a stronger food policy agenda.
We found a motivated group of actors engaging in policy-oriented learning and
advocating for a stronger policy alternative so as to improve the school food
environment. CONCLUSIONS: The adoption of policy mechanisms being used to promote
healthy dietary practices in the school setting will be strengthened by more
robust implementation planning processes, and resources to support implementation
and enforcement. Policymakers should ensure policy language clearly and
unequivocally promotes healthier food and beverage options. Steps should be taken
to achieve policy coherence by ensuring the objectives of one agency or
institution are not undermining that of any others. Where there is reliance on
the private sector for school resources, safeguards should be established to
protect against conflicts of interest.
PMID- 29361952
TI - Overweight/obesity among school aged children in Bahir Dar City: cross sectional
study.
AB - BACKGROUND: Developing countries, including Ethiopia are experiencing a double
burden of malnutrition. There is limited information about prevalence of
overweight/obesity among school aged children in Ethiopia particularly in Bahir
Dar city. Hence this study aimed to assess the prevalence of overweight/obesity
and associated factors among school children aged 6-12 years at Bahir Dar City,
Northwest Ethiopia. METHODS: A school based cross-sectional study was carried
out. A total of 634 children were included in the study. Multi stage systematic
random sampling technique was used. A multivariable logistic regression analysis
was used to identify factors associated with overweight/obesity. The association
between dependent and independent variables were assessed using odds ratio with
95% confidence interval and p-value <=0.05 was considered statistically
significant. RESULTS: The overall prevalence of overweight and/or obesity was
11.9% (95% CI, 9.3, 14.4) (out of which 8.8% were overweight and 3.1% were
obese). Higher wealth status[adjusted OR = 3.14, 95% CI:1.17, 8.46], being a
private school student [AOR = 2.21, 95% CI:1.09, 4.49], use of transportation to
and from school [AOR = 2.53, 95% CI: 1.26,5.06], fast food intake [AOR = 3.88,
95% CI: 1.42,10.55], lack of moderate physical activity [AOR = 2.87, 95% CI:
1.21,6.82], low intake of fruit and vegetable [AOR = 6.45, 95% CI:3.19,13.06]
were significant factors associated with overweight and obesity. CONCLUSION: This
study revealed that prevalence of overweight/obesity among school aged children
in Bahir Dar city is high. Thus, promoting healthy dietary habit, particularly
improving fruit and vegetable intake is essential to reduce the burden of
overweight and obesity. Furthermore, it is important to strengthen nutrition
education about avoiding junk food consumption and encouraging regular physical
activity.
PMID- 29361953
TI - Breaking bad news to antenatal patients with strategies to lessen the pain: a
qualitative study.
PMID- 29361954
TI - Perceived barriers to early detection of breast cancer in Wakiso District, Uganda
using a socioecological approach.
AB - BACKGROUND: Early detection of breast cancer is known to improve its prognosis.
However, women in most low and middle income countries, including Uganda, do not
detect it early hence present at an advanced stage. This study investigated the
perceived barriers to early detection of breast cancer in Wakiso district, Uganda
using a multilevel approach focused through a socioecological framework. METHODS:
Using qualitative methods, participants were purposively selected to take part in
the study. 5 semi-structured interviews were conducted among the community
members while two focus groups were conducted amongst women's group and community
health workers (CHWs) in Ssisa sub county, Wakiso district. In addition, 7 key
informant interviews with health professionals, policy makers and public health
researchers were carried out. RESULTS: Findings from the study revealed that
barriers to early detection of breast cancer are multifaceted and complex,
cutting across individual, interpersonal, organizational, community and policy
barriers. The major themes that emerged from the study included: knowledge,
attitudes, beliefs and practices (KABP); health system and policy constraints;
and structural barriers. Prominent barriers associated with KABP were low
knowledge, apathy, fear and poor health seeking behaviours. Barriers within the
health systems and policy arenas were mostly centred around competing health care
burdens within the country, lack of a cancer policy and weak primary health care
capacity in Wakiso district. Distance, poverty and limited access to media were
identified as the most prominent structural barriers. CONCLUSION: Barriers to
early detection of breast cancer are complex and go beyond individual behaviours.
These barriers interact across multiple levels of influence such as
organizational, community and policy. The findings of this study could provide
opportunities for investment in multi-level interventions.
PMID- 29361955
TI - Intracystic papillary carcinoma of the male breast: a case report.
AB - BACKGROUND: Intracystic papillary carcinoma (IPC) is defined as cancer that
develops from the wall of a cyst in the breast. As breast cancer in men accounts
for only 1% of all breast cancers, male IPC is an extremely rare form of the
disease. The present case report examines IPC in a man, along with an in-depth
literature discussion. CASE PRESENTATION: A 64-year-old Japanese man noticed a
mass in the right breast and sought medical attention. An elastic and soft
neoplastic 3-cm lesion was palpated in the right papilla. As a 1-cm solid tumor
with a gradual rise from the cyst wall was confirmed within the cyst, vacuum
assisted biopsy (VAB) was performed on that site. Pathological examination of the
biopsy revealed heterotypic cells with an enlarged oval nucleus forming dense
papillary structures mainly of vascular connective tissue component. Contrast
enhanced computed tomography (CT) confirmed thickening of the wall that protruded
outside the cyst. The preoperative diagnosis was right breast cancer (male IPC)
TisN0M0 stage 0 luminal B-like. Total mastectomy and sentinel lymph node biopsy
were performed. In the excised specimen, a 4.0-cm unilocular cyst was found,
along with a 1-cm solid tumor with a gradual rise from the cyst wall.
Pathological diagnosis of the resected specimen shared similar characteristics
with the solid tumor in the cyst: notably, an oval nucleus with histologically
clear nucleolus and fine granular chromatin, cylindrically shaped heterotypic
cells, and the presence of basophilic cells in the papillary growth with a thin
stem of fibrovasculature as the axis. Some invasion of tumor cells into the
interstitium was confirmed. As such, the final diagnosis was right breast cancer
(male IPC) T2N0M0 stage IIA luminal B-like. The expression of hormone receptor
(ER and PgR) was high, and endocrine therapy was initiated postoperatively (20
mg/day tamoxifen). At the present time (3 months postoperation), there has not
been any evidence of metastasis. CONCLUSIONS: We reported a rare case of an IPC
in the male breast, along with a literature review.
PMID- 29361956
TI - The effects of surgical treatment with chondroblastoma in children and
adolescents in open epiphyseal plate of long bones.
AB - BACKGROUND: Chondroblastoma is a rare benign cartilaginous tumor, which primarily
occurs in children and adolescents. Chondroblastoma commonly originates in the
epiphyseal plate of long bones. An aggressive curettage treatment is recommended
to manage lesion, which may jeopardize an open epiphyseal plate and result in
limb shortening and deformity as the limb grows and develops. The purpose is to
observe surgical effects of chondroblastoma on open epiphyseal plate of long
bones in children and adolescents and explore influences on limb growth and
development. METHODS: We retrospectively reviewed 18 cases of long bone
chondroblastoma with open epiphyseal growth plate during March 2004 to October
2010 in our center. Seven females and 11 males with mean age of 11.6 +/- 2.0
years old (8-15 years) were included. Patients, who suffered from trauma and
pathological fracture of the epiphyseal plate or congenital diseases such as
poliomyelitis, congenital dementia, and cartilage malnutrition, were excluded.
All patients were treated with meticulous intralesional curettage and inactivity
with alcohol followed by bone grafts. All cases were followed up 8.2 +/- 1.7
years (5-11.5 years). RESULTS: All had no local recurrence and distance
metastasis. The length of the affected limb was short, 18.47 +/- 7.22 mm (1.5-30
mm). There was no obvious relativity with tumor activity (P = 0.061). Meanwhile,
there were obvious relativity with the greatest dimension of the lesion (TGD) (P
= 0.003), the vertical dimension between edge of lesion and epiphyseal line (TVD)
(P = 0.010), and area ratio of lesion to local epiphysis (lesion/growth plate) (P
= 0.015). The MSTS93 (Revised Musculoskeletal Tumor Society Rating Scale 93) and
SF-36 (Medical Outcomes Study 36-Item Short-Form Health Survey) had been
significantly improved (P < 0.01). CONCLUSION: Managing of chondroblastoma
located in open epiphyseal plate of a long bone with meticulous curettage,
inactivity, and bone grafts can control tumor progression and recurrence
effectively. Meanwhile, early detection and prompt surgical treatment
intervention, which reduced significantly the tumor to influence limb growth and
development, get encouraging limb function. TRIAL REGISTRATION: This is a
retrospective study, which was not registered in any trial registry.
PMID- 29361958
TI - Ethnic bias and clinical decision-making among New Zealand medical students: an
observational study.
AB - BACKGROUND: Health professional racial/ethnic bias may impact on clinical
decision-making and contribute to subsequent ethnic health inequities. However,
limited research has been undertaken among medical students. This paper presents
findings from the Bias and Decision-Making in Medicine (BDMM) study, which sought
to examine ethnic bias (Maori (indigenous peoples) compared with New Zealand
European) among medical students and associations with clinical decision-making.
METHODS: All final year New Zealand (NZ) medical students in 2014 and 2015 (n =
888) were invited to participate in a cross-sectional online study. Key
components included: two chronic disease vignettes (cardiovascular disease (CVD)
and depression) with randomized patient ethnicity (Maori or NZ European) and
questions on patient management; implicit bias measures (an ethnicity preference
Implicit Association Test (IAT) and an ethnicity and compliant patient IAT); and,
explicit ethnic bias questions. Associations between ethnic bias and clinical
decision-making responses to vignettes were tested using linear regression.
RESULTS: Three hundred and two students participated (34% response rate).
Implicit and explicit ethnic bias favoring NZ Europeans was apparent among
medical students. In the CVD vignette, no significant differences in clinical
decision-making by patient ethnicity were observed. There were also no
differential associations by patient ethnicity between any measures of ethnic
bias (implicit or explicit) and patient management responses in the CVD vignette.
In the depression vignette, some differences in the ranking of recommended
treatment options were observed by patient ethnicity and explicit preference for
NZ Europeans was associated with increased reporting that NZ European patients
would benefit from treatment but not Maori (slope difference 0.34, 95% CI 0.08,
0.60; p = 0.011), although this was the only significant finding in these
analyses. CONCLUSIONS: NZ medical students demonstrated ethnic bias, although
overall this was not associated with clinical decision-making. This study both
adds to the small body of literature internationally on racial/ethnic bias among
medical students and provides relevant and important information for medical
education on indigenous health and ethnic health inequities in New Zealand.
PMID- 29361957
TI - Two-step interphase microtubule disassembly aids spindle morphogenesis.
AB - BACKGROUND: Entry into mitosis triggers profound changes in cell shape and
cytoskeletal organisation. Here, by studying microtubule remodelling in human
flat mitotic cells, we identify a two-step process of interphase microtubule
disassembly. RESULTS: First, a microtubule-stabilising protein, Ensconsin/MAP7,
is inactivated in prophase as a consequence of its phosphorylation downstream of
Cdk1/cyclin B. This leads to a reduction in interphase microtubule stability that
may help to fuel the growth of centrosomally nucleated microtubules. The
peripheral interphase microtubules that remain are then rapidly lost as the
concentration of tubulin heterodimers falls following dissolution of the nuclear
compartment boundary. Finally, we show that a failure to destabilise microtubules
in prophase leads to the formation of microtubule clumps, which interfere with
spindle assembly. CONCLUSIONS: This analysis highlights the importance of the
step-wise remodelling of the microtubule cytoskeleton and the significance of
permeabilisation of the nuclear envelope in coordinating the changes in cellular
organisation and biochemistry that accompany mitotic entry.
PMID- 29361960
TI - A rapid minor groove binder PCR method for distinguishing the vaccine strain
Brucella abortus 104M.
AB - BACKGROUND: Brucellosis is a widespread zoonotic disease caused by Gram-negative
Brucella bacteria. Immunisation with attenuated vaccine is an effective method of
prevention, but it can interfere with diagnosis. Live, attenuated Brucella
abortus strain 104M has been used for the prevention of human brucellosis in
China since 1965. However, at present, no fast and reliable method exists that
can distinguish this strain from field strains. Single nucleotide polymorphism
(SNP)-based assays offer a new approach for such discrimination. SNP-based minor
groove binder (MGB) and Cycleave assays have been used for rapid identification
of four Brucella vaccine strains (B. abortus strains S19, A19 and RB51, and B.
melitensis Rev1). The main objective of this study was to develop a PCR assay for
rapid and specific detection of strain 104M. RESULTS: We developed a SNP-based
MGB PCR assay that could successfully distinguish strain 104M from 18
representative strains of Brucella (B. abortus biovars 1, 2, 3, 4, 5, 6, 7 and 9,
B. melitensis biovars 1, 2 and 3, B. suis biovars 1, 2, 3 and 4, B. canis, B.
neotomae, and B. ovis), four Brucella vaccine strains (A19, S19, S2, M5), and 55
Brucella clinical field strains. The assay gave a negative reaction with four non
Brucella species (Escherichia coli, Pasteurella multocida, Streptococcus suis and
Pseudomonas aeruginosa). The minimum sensitivity of the assay, evaluated using 10
fold dilutions of chromosomal DNA, was 220 fg for the 104M strain and 76 fg for
the single non-104M Brucella strain tested (B. abortus A19). The assay was also
reproducible (intra- and inter-assay coefficients of variation = 0.006-0.022 and
0.012-0.044, respectively). CONCLUSIONS: A SNP-based MGB PCR assay was developed
that could straightforwardly and unambiguously distinguish B. abortus vaccine
strain 104M from non-104M Brucella strains. Compared to the classical isolation
and identification approaches of bacteriology, this real-time PCR assay has
substantial advantages in terms of simplicity and speed, and also reduces
potential exposure to live Brucella. The assay developed is therefore a simple,
rapid, sensitive, and specific tool for brucellosis diagnosis and control.
PMID- 29361959
TI - Supplemental parenteral nutrition versus usual care in critically ill adults: a
pilot randomized controlled study.
AB - BACKGROUND: In the critically ill, energy delivery from enteral nutrition (EN) is
often less than the estimated energy requirement. Parenteral nutrition (PN) as a
supplement to EN may increase energy delivery. We aimed to determine if an
individually titrated supplemental PN strategy commenced 48-72 hours following
ICU admission and continued for up to 7 days would increase energy delivery to
critically ill adults compared to usual care EN delivery. METHODS: This study was
a prospective, parallel group, phase II pilot trial conducted in six intensive
care units in Australia and New Zealand. Mechanically ventilated adults with at
least one organ failure and EN delivery below 80% of estimated energy requirement
in the previous 24 hours received either a supplemental PN strategy (intervention
group) or usual care EN delivery. EN in the usual care group could be
supplemented with PN if EN remained insufficient after usual methods to optimise
delivery were attempted. RESULTS: There were 100 patients included in the study
and 99 analysed. Overall, 71% of the study population were male, with a mean (SD)
age of 59 (17) years, Acute Physiology and Chronic Health Evaluation II score of
18.2 (6.7) and body mass index of 29.6 (5.8) kg/m2. Significantly greater energy
(mean (SD) 1712 (511) calories vs. 1130 (601) calories, p < 0.0001) and
proportion of estimated energy requirement (mean (SD) 83 (25) % vs. 53 (29) %, p
< 0.0001) from EN and/or PN was delivered to the intervention group compared to
usual care. Delivery of protein and proportion of estimated protein requirements
were also greater in the intervention group (mean (SD) 86 (25) g, 86 (23) %)
compared to usual care (mean (SD) 53 (29) g, 51 (25) %, p < 0.0001). Antibiotic
use, ICU and hospital length of stay, mortality and functional outcomes were
similar between the two groups. CONCLUSIONS: This individually titrated
supplemental PN strategy applied over 7 days significantly increased energy
delivery when compared to usual care delivery. Clinical and functional outcomes
were similar between the two patient groups. TRIAL REGISTRATION: Clinical Trial
registry details: NCT01847534 (First registered 22 April 2013, last updated 31
July 2016).
PMID- 29361961
TI - An attenuated rate of leg muscle protein depletion and leg free amino acid efflux
over time is seen in ICU long-stayers.
AB - BACKGROUND: There is extensive documentation on skeletal muscle protein depletion
during the initial phase of critical illness. However, for intensive care unit
(ICU) long-stayers, objective data are very limited. In this study, we examined
skeletal muscle protein and amino acid turnover in patients with a prolonged ICU
stay. METHODS: Patients (n = 20) were studied serially every 8-12 days between
days 10 and 40 of their ICU stay as long as patients stayed in the ICU. Leg
muscle protein turnover was assessed by measurements of phenylalanine kinetics,
for which we employed a stable isotope-labeled phenylalanine together with two
pool and three-pool models for calculations, and results were expressed per 100
ml of leg volume. In addition, leg muscle amino acid flux was studied. RESULTS:
The negative leg muscle protein net balance seen on days 10-20 of the ICU stay
disappeared by days 30-40 (p = 0.012). This was attributable mainly to an
increase in the de novo protein synthesis rate (p = 0.007). It was accompanied by
an attenuated efflux of free amino acids from the leg. Leg muscle protein
breakdown rates stayed unaltered (p = 0.48), as did the efflux of 3
methylhistidine. The arterial plasma concentrations of free amino acids did not
change over the course of the study. CONCLUSIONS: In critically ill patients with
sustained organ failure and in need of a prolonged ICU stay, the initial high
rate of skeletal muscle protein depletion was attenuated over time. The
distinction between the acute phase and a more prolonged and more stable phase
concerning skeletal muscle protein turnover must be considered in study protocols
as well as in clinical practice. TRIAL REGISTRATION: Australian New Zealand Trial
Registry, ACTRN12616001012460 . Retrospectively registered on 1 August 2016.
PMID- 29361962
TI - Evaluation of biological potential of selected species of family Poaceae from
Bahawalpur, Pakistan.
AB - BACKGROUND: Oxidative stress as well as bacterial and fungal infections are
common source of diseases while plants are source of medication for curative or
protective purposes. Hence, aim of study was to compare the pharmacological
potential of seven grass species in two different solvents i.e. ethanol and
acetone. METHODS: Preliminary phytochemical tests were done and antioxidant
activities were evaluated using ELISA and their IC50 values and AAI (%) were
recorded. ANOVA was used for statistical analyses. DNA damage protection assay
was done using p1391Z plasmid DNA and DNA bands were analyzed. Antimicrobial
activity was done via disc diffusion method and MIC and Activity Index were
determined. Cytotoxic activity was carried out using the brine shrimps' assay and
LC50 values were calculated using probit analysis program. RESULTS: Phytochemical
studies confirmed the presence of secondary metabolites in most of the plant
extracts. Maximum antioxidant potential was revealed in DiAEE, DiAAE (AAI- 54.54%
and 43.24%) and DaAEE and DaAAE (AAI- 49.13% and 44.52%). However, PoAEE and
PoAAE showed minimum antioxidant potential (AAI- 41.04% and 34.11%). SaSEE, DiAEE
and ElIEE showed very little DNA damage protection activity. In antimicrobial
assay, DaAEE significantly inhibited the growth of most of the microbial
pathogens (nine microbes out of eleven tested microbes) among ethanol extracts
while DaAAE and ImCAE showed maximum inhibition (eight microbes out of eleven
tested microbes) among acetone plant extracts. However, PoAEE and PoAAE showed
least antimicrobial activity. F. oxysporum and A. niger were revealed as the most
resistant micro-organisms. ImCEA and ImCAE showed maximum cytotoxic potential
(LC50 11.004 ppm and 7.932 ppm) as compared to the other plant extracts.
CONCLUSION: Fodder grasses also contains a substantial phenols and flavonoids
contents along with other secondary metabolites and, hence, possess a significant
medicinal value. Ethanol extracts showed more therapeutic potential as compared
to the acetone extracts. This study provides experimental evidence that the
selected species contains such valuable natural compounds which can be used as
medicinal drugs in future.
PMID- 29361964
TI - Molecular xenomonitoring for post-validation surveillance of lymphatic filariasis
in Togo: no evidence for active transmission.
AB - BACKGROUND: Lymphatic filariasis (LF) is a mosquito-borne filarial disease
targeted for elimination by the year 2020. The Republic of Togo undertook mass
treatment of entire endemic communities from 2000 to 2009 to eliminate the
transmission of the disease and is currently the first sub-Saharan African
country to be validated by WHO for the elimination of LF as a public health
problem. However, post-validation surveillance activities are required to ensure
the gains achieved are sustained. This survey assessed the mosquito vectors of
the disease and determined the presence of infection in these vectors, testing
the hypothesis that transmission has already been interrupted in Togo. METHOD:
Mosquitoes were collected from 37 villages located in three districts in one of
four evaluation units in the country. In each district, 30 villages were selected
based on probability proportionate to size; eight villages (including one of the
30 villages already selected) where microfilaremia-positive cases had been
identified during post-treatment surveillance activities were intentionally
sampled. Mosquitoes were collected using pyrethrum spray collections (PSC) in
households randomly selected in all villages for five months. In the purposefully
selected communities, mosquitoes were also collected using human landing
collections (HLC) and exit traps (ET). Collected mosquitoes were identified
morphologically, and the identification of Wuchereria bancrofti DNA in the
mosquitoes was based on the pool screening method, using the LAMP assay. RESULTS:
A total of 15,539 mosquitoes were collected during the study. Anopheles gambiae
(72.6%) was the predominant LF vector collected using PSC. Pool screen analysis
of 9191 An. gambiae in 629 pools revealed no mosquitoes infected with W.
bancrofti (0%; CI: 0-0.021). CONCLUSIONS: These results confirm the findings of
epidemiological transmission assessment surveys conducted in 2012 and 2015, which
demonstrated the absence of LF transmission in Togo. The challenges of
implementing molecular xenomonitoring are further discussed.
PMID- 29361963
TI - Diagnostic tools in childhood malaria.
AB - Every year, millions of people are burdened with malaria. An estimated 429,000
casualties were reported in 2015, with the majority made up of children under
five years old. Early and accurate diagnosis of malaria is of paramount
importance to ensure appropriate administration of treatment. This minimizes the
risk of parasite resistance development, reduces drug wastage and unnecessary
adverse reaction to antimalarial drugs. Malaria diagnostic tools have expanded
beyond the conventional microscopic examination of Giemsa-stained blood films.
Contemporary and innovative techniques have emerged, mainly the rapid diagnostic
tests (RDT) and other molecular diagnostic methods such as PCR, qPCR and loop
mediated isothermal amplification (LAMP). Even microscopic diagnosis has gone
through a paradigm shift with the development of new techniques such as the
quantitative buffy coat (QBC) method and the Partec rapid malaria test. This
review explores the different diagnostic tools available for childhood malaria,
each with their characteristic strengths and limitations. These tools play an
important role in making an accurate malaria diagnosis to ensure that the use of
anti-malaria are rationalized and that presumptive diagnosis would only be a
thing of the past.
PMID- 29361965
TI - Eight-year trend analysis of malaria prevalence in Kombolcha, South Wollo, north
central Ethiopia: a retrospective study.
AB - BACKGROUND: Malaria is one of the most serious public health problems in the
world, and is a major public health problem in Ethiopia. Over the past years, the
disease has been consistently reported as the first leading cause of outpatient
visits, hospitalization and death in health facilities across the country. This
study aimed to assess malaria prevalence trend in the Kombolcha Health Centre.
METHODS: A retrospective study was carried out in the Kombolcha Health Centre,
north-central Ethiopia. Malaria cases reported from 2009 to 2016 were carefully
reviewed from the laboratory record books. Interventions that had been taken in
each year were collected from the district health bureau and head of Kombolcha
Health Centre using checklists. RESULTS: A total of 27,492 blood films were
examined from malaria-suspected patients in the Kombolcha Health Centre from 2009
to 2016. Malaria was confirmed and reported in 2066 (7.52%) of the examined blood
films with 258 mean annual cases of. Minimum and maximum cases were reported in
2009 and 2010, respectively. Plasmodium falciparum and P. vivax accounted 60.2%
and 35.5% of the cases, respectively. Male patients were more affected (n = 1407;
68.1%) than female ones (n = 659; 31.89%). The highest malaria prevalence (n =
1440; 69.69%) was seen in the 15-45 years age group, followed by those 5-14 years
old (n = 303; 14.67%), and finally patients under five years old (n = 217;
10.5%). Malaria cases were at a peak in spring and reduced in the winter season.
CONCLUSION: Although the current malaria control strategies are effective in
decreasing the morbidity and mortality, malaria is still among major public
health problems in Ethiopia. Plasmodium falciparum is the dominant species in the
study area. However, in recent years P. vivax cases are increasing, indicating
that attention should also be given to this species. The efficacy of chloroquine
for P. vivax should be evaluated in the study area. Control activities should be
continued and scale up.
PMID- 29361966
TI - The experiences of people with diabetes-related lower limb amputation at the
Komfo Anokye Teaching Hospital (KATH) in Ghana.
AB - OBJECTIVE: Lower limb amputation not only causes major disfigurement, but renders
people less mobile and at risk of loss of independence. Yet with appropriate
rehabilitation, many people can learn to walk or function again and live high
quality lives. This study sought to explore the experiences of patients with
diabetes-related lower limb amputation at the Komfo Anokye Teaching Hospital. An
exploratory study design was adopted using a qualitative approach and a purposive
sampling to select 10 participants for the study. A semi-structured interview
guide was used with an in-depth face-to-face interview. The interview was tape
recorded with an audio recorder while notes were taken in addition to the audio
recording. RESULTS: There were varying degrees of experiences ranging from
physical as well as psychological and economic challenges. Amputees had to cope
with playing entirely new roles after the amputation. They also experienced some
economic challenges which were as a result of their inability to work. Some of
the amputees consoled themselves with the fact that, despite their condition,
they were better than other people. Others believed that whatever happened was
Gods doing and nothing could be done about it. This self-consolation and the
belief in God helped them to cope.
PMID- 29361967
TI - The effect of a ketogenic diet versus a high-carbohydrate, low-fat diet on sleep,
cognition, thyroid function, and cardiovascular health independent of weight
loss: study protocol for a randomized controlled trial.
AB - BACKGROUND: Many physiological health benefits observed after following a
ketogenic diet (KD) can be attributed to the associated weight loss. The KD has
become more prominent as a popular health choice, not only in obese/overweight
individuals, but also in healthy adults. The study aims to determine the effects
of a KD, independent of weight loss, on various aspects of physiological health
including: sleep, thyroid function, cognition, and cardio-metabolic health. The
study will also aim to determine whether a change in basal metabolic rate may be
associated with any changes observed. METHODS: Twenty healthy men and women
between 18 and 50 years of age will take part in this study. In a randomized
controlled, cross-over design, participants will follow two isocaloric diets: a
high-carbohydrate, low-fat diet (55% CHO, 20% fat, 25% protein) and a KD (15%
CHO, 60% fat, 25% protein). Each dietary intervention will last for a minimum of
3 weeks, with a 1-week washout period in between. Before and after each diet,
participants will be assessed for sleep quality, cognitive function, thyroid
function, and basal metabolic rate. A blood sample will also be taken for the
measurement of cardio-metabolic and immune markers. DISCUSSION: The present study
will help in understanding the potential effects of a KD on aspects of
physiological health in healthy adults, without the confounding factor of weight
loss. The study aims to fill a significant void in the academic literature with
regards to the benefits and/or risks of a KD in a healthy population, but will
also explore whether diet-related metabolic changes may be responsible for the
changes observed in physiological health. TRIAL REGISTRATION: Pan African
Clinical Trial Registry ( www.pactr.org ), trial number: PACTR201707002406306 .
Registered on 20 July 2017.
PMID- 29361968
TI - An obesity-associated gut microbiome reprograms the intestinal epigenome and
leads to altered colonic gene expression.
AB - BACKGROUND: The gut microbiome, a key constituent of the colonic environment, has
been implicated as an important modulator of human health. The eukaryotic
epigenome is postulated to respond to environmental stimuli through alterations
in chromatin features and, ultimately, gene expression. How the host mediates
epigenomic responses to gut microbiota is an emerging area of interest. Here, we
profile the gut microbiome and chromatin characteristics in colon epithelium from
mice fed either an obesogenic or control diet, followed by an analysis of the
resultant changes in gene expression. RESULTS: The obesogenic diet shapes the
microbiome prior to the development of obesity, leading to altered bacterial
metabolite production which predisposes the host to obesity. This microbiota-diet
interaction leads to changes in histone modification at active enhancers that are
enriched for binding sites for signal responsive transcription factors. These
alterations of histone methylation and acetylation are associated with signaling
pathways integral to the development of colon cancer. The transplantation of
obesogenic diet-conditioned microbiota into germ free mice, combined with an
obesogenic diet, recapitulates the features of the long-term diet regimen. The
diet/microbiome-dependent changes are reflected in both the composition of the
recipient animals' microbiome as well as in the set of transcription factor
motifs identified at diet-influenced enhancers. CONCLUSIONS: These findings
suggest that the gut microbiome, under specific dietary exposures, stimulates a
reprogramming of the enhancer landscape in the colon, with downstream effects on
transcription factors. These chromatin changes may be associated with those seen
during colon cancer development.
PMID- 29361970
TI - Psychometric properties of a Thai version internet addiction test.
AB - OBJECTIVE: The aim was to assess the reliability and validity of a Thai version
internet addiction test. RESULTS: Cronbach's alpha for the Thai version of the
internet addiction test was 0.89. A three-factor model showed the best fit with
the data for the whole sample, whereas the hypothesized six-factor model, as well
as a unidimensional model of the internet addiction test, failed to demonstrate
acceptable fit with the data. Three factors, namely functional impairment,
withdrawal symptoms and loss of control, exhibited Cronbach's alphas of 0.81,
0.81, and 0.70, respectively. Item 4, 'to form new relationships with online
users', yielded the lowest loading coefficient of all items. Positive
correlations between the internet addiction test and UCLA loneliness scores were
found. The Thai version of the internet addiction test was considered reliable
and valid, and has sufficient unidimensionality to calculate for total score in
screening for excessive internet use.
PMID- 29361969
TI - Medical student attitudes towards older people: a critical review of quantitative
measures.
AB - OBJECTIVES: Further research into medical student attitudes towards older people
is important, and requires accurate and detailed evaluative methodology. The two
objectives for this paper are: (1) From the literature, to critically review
instruments of measure for medical student attitudes towards older people, and
(2) To recommend the most appropriate quantitative instrument for future research
into medical student attitudes towards older people. RESULTS: A SCOPUS and Ovid
cross search was performed using the keywords Attitude and medical student and
aged or older or elderly. This search was supplemented by manual searching,
guided by citations in articles identified by the initial literature search,
using the SCOPUS and PubMed databases. International studies quantifying medical
student attitudes have demonstrated neutral to positive attitudes towards older
people, using various instruments. The most commonly used instruments are the
Ageing Semantic Differential (ASD) and the University of California Los Angeles
Geriatric Attitudes Scale, with several other measures occasionally used. All
instruments used to date have inherent weaknesses. A reliable and valid
instrument with which to quantify modern medical student attitudes towards older
people has not yet been developed. Adaptation of the ASD for contemporary usage
is recommended.
PMID- 29361971
TI - Multiflora rose invasion amplifies prevalence of Lyme disease pathogen, but not
necessarily Lyme disease risk.
AB - BACKGROUND: Forests in urban landscapes differ from their rural counterparts in
ways that may alter vector-borne disease dynamics. In urban forest fragments,
tick-borne pathogen prevalence is not well characterized; mitigating disease risk
in densely-populated urban landscapes requires understanding ecological factors
that affect pathogen prevalence. We trapped blacklegged tick (Ixodes scapularis)
nymphs in urban forest fragments on the East Coast of the United States and used
multiplex real-time PCR assays to quantify the prevalence of four zoonotic, tick
borne pathogens. We used Bayesian logistic regression and WAIC model selection to
understand how vegetation, habitat, and landscape features of urban forests
relate to the prevalence of B. burgdorferi (the causative agent of Lyme disease)
among blacklegged ticks. RESULTS: In the 258 nymphs tested, we detected Borrelia
burgdorferi (11.2% of ticks), Borrelia miyamotoi (0.8%) and Anaplasma
phagocytophilum (1.9%), but we did not find Babesia microti (0%). Ticks collected
from forests invaded by non-native multiflora rose (Rosa multiflora) had greater
B. burgdorferi infection rates (mean = 15.9%) than ticks collected from uninvaded
forests (mean = 7.9%). Overall, B. burgdorferi prevalence among ticks was
positively related to habitat features (e.g. coarse woody debris and total
understory cover) favorable for competent reservoir host species. CONCLUSIONS:
Understory structure provided by non-native, invasive shrubs appears to aggregate
ticks and reservoir hosts, increasing opportunities for pathogen transmission.
However, when we consider pathogen prevalence among nymphs in context with
relative abundance of questing nymphs, invasive plants do not necessarily
increase disease risk. Although pathogen prevalence is greater among ticks in
invaded forests, the probability of encountering an infected tick remains greater
in uninvaded forests characterized by thick litter layers, sparse understories,
and relatively greater questing tick abundance in urban landscapes.
PMID- 29361972
TI - Considerations for skin carcinogenesis experiments using inducible transgenic
mouse models.
AB - OBJECTIVE: This study was designed to estimate the percentage of non-malignant
skin tumours (papillomas) progressing to malignant squamous cell carcinomas
(SCCs) in a carcinogenesis study using established transgenic mouse models. In
our skin cancer model, we conditionally induced oncogenic point mutant alleles of
p53 and k-ras in undifferentiated, basal cells of the epidermis. RESULTS: Upon
activation of the transgenes through administration of tamoxifen, the vast
majority of mice (> 80%) developed skin papillomas, yet primarily around the
mouth. Since these tumours hindered the mice eating, they rapidly lost weight and
needed to be culled before the papillomas progressed to SCCs. The mouth
papillomas formed regardless of the route of application, including
intraperitoneal injections, local application to the back skin, or subcutaneous
insertion of a tamoxifen pellet. Implantation of a slow releasing tamoxifen
pellet into 18 mice consistently led to papilloma formation, of which only one
progressed to a malignant SCC. Thus, the challenges for skin carcinogenesis
studies using this particular cancer mouse model are low conversion rates of
papillomas to SCCs and high frequencies of mouth papilloma formation.
PMID- 29361973
TI - Celiac disease associated with aplastic anemia in a 6-year-old girl: a case
report and review of the literature.
AB - BACKGROUND: Celiac disease may present with hematological abnormalities including
long-standing anemia. Both aplastic anemia and celiac disease have a similar
underlying autoimmune process but an association between the two is seldom
reported. There have only been three pediatric cases reporting this association
and this case is the first reported in a female pediatric patient. CASE
PRESENTATION: We report a case of 6-year-old South Asian girl presenting with
bruises, petechiae, and recent history of loose stools. On evaluation, she was
diagnosed as having celiac disease and was put on a gluten-free diet and further
investigations including bone marrow biopsy revealed pancytopenia. She was
managed with packed red cells, platelets, and diet restrictions and had improving
platelet counts over yearly follow ups. Her parents were counseled regarding the
need for bone marrow transplant. CONCLUSIONS: This is the fourth case report
suggesting an association between celiac disease and aplastic anemia in the
pediatric population and this association could be more common than expected.
Timely intervention of either celiac disease through strict gluten-free diet or
aplastic anemia through immunosuppressive therapy could potentially reduce the
risk for other autoimmune conditions. We can see that all four pediatric cases
reported with this potential association are from South East Asia and hence
larger studies would be prudent to explore this association.
PMID- 29361974
TI - Localization and dimer stability of a newly identified microbial rhodopsin from a
polar, non-motile green algae.
AB - OBJECTIVE: The eukaryotic plasma membrane localized light-gated proton-pumping
rhodopsins possesses great optogenetic applications for repolarization
(silencing) of the neuronal activity simply by light illumination. Very few
plasma membrane localized proton-pumping rhodopsins of a eukaryotic origin are
known that have optogenetic potential. Our objective was to identify and
characterize microbial rhodopsin of an eukaryotic origin that expresses on plasma
membrane. The plasma membrane localized light-gated proton pump of an eukaryotic
origin hold great promise to be used as an optogenetic tools for the
neurobiology. RESULTS: Here, we had characterized the cellular expression and
membrane localization of a new rhodopsin in Antarctican algae Coccomyxa
subellipsoidea. It is the first algal ion pumping rhodopsin that localizes to the
plasma membrane of the eukaryotic cells. Coccomyxa subellipsoidea rhodopsin
exists in the monomeric and dimeric state both the in vivo and in vitro. The
dimeric form of the Coccomyxa subellipsoidea rhodopsin is resistant to heat and
detergent denaturants.
PMID- 29361975
TI - Anxiety and the development and maintenance of anorexia nervosa: protocol for a
systematic review.
AB - BACKGROUND: Several aetiological models of anorexia nervosa (AN) hold non
eating/weight-gain-related anxiety as a factor relevant to the onset and
maintenance of the disorder. Longitudinal studies that allow assessment of this
hypothesis have been conducted; however, the evidence has not yet been aggregated
in a systematic manner. The proposed study will systematically review articles
describing prospective investigations of the relationship between anxiety and AN
development or maintenance, with the aim of providing a balanced summary of
current understanding and identifying areas for further research. METHODS/DESIGN:
Electronic databases will be searched for articles investigating the longitudinal
influence of non-eating/weight-gain-related anxiety (anxiety disorders and trait
anxiety) on the development/maintenance of AN. References of eligible articles
will be searched to ensure the identification of all relevant studies. Two
independent reviewers will complete the title and abstract, and full-text,
screening, with a third independent reviewer resolving any conflicts at each
stage. A systematic review will be completed, and the quality of the included
studies, as well as the strength of the body of evidence generated, will be
assessed and reported. DISCUSSION: Although there are limitations to the present
review, understanding the current evidence for the role of non-eating/weight-gain
related anxiety in AN can direct future research that may ensure accurate
aetiological models of AN and effective treatments. SYSTEMATIC REVIEW
REGISTRATION: The study is registered on PROSPERO under the reference number
CRD42017069644.
PMID- 29361976
TI - Evaluation of tumor volume reduction of nasal carcinomas versus sarcomas in dogs
treated with definitive fractionated megavoltage radiation: 15 cases (2010-2016).
AB - OBJECTIVE: Local control is a major challenge in treating canine nasal tumors,
and cytoreduction following radiation therapy has been recommended to extend
survival and to delay local recurrence. Our objective was to compare the effect
of definitive radiotherapy on the tumor volume of intranasal carcinomas compared
to sarcomas. We evaluated 15 dogs that received radiotherapy within 1 month of
initial CT scan, and post radiation CT scans performed within 3 months of
completing full course definitive megavoltage radiation. Tumor reduction volume
based on CT scans were obtained and compared between carcinoma and sarcoma
groups. RESULTS: The following tumor types were treated; carcinoma (8/15),
sarcoma (7/15). The mean nasal tumor size before radiation therapy was 24.5 cm3
and tumor size after radiation therapy was 13.5 cm3 resulting in a mean reduction
of 55.1% reduction in tumor size for both carcinomas and sarcomas. The carcinoma
group displayed a volume reduction of 67.1% (SD +/- 16.9) and the sarcoma group
displayed a volume reduction of 21.3% (SD +/- 39.7). Within the study period
carcinomas were more responsive in the reduction of volume than sarcomas with
fractionated megavoltage radiation.
PMID- 29361977
TI - Climate change jeopardizes the persistence of freshwater zooplankton by reducing
both habitat suitability and demographic resilience.
AB - BACKGROUND: Higher temperatures and increased environmental variability under
climate change could jeopardize the persistence of species. Organisms that rely
on short windows of rainfall to complete their life-cycles, like desert annual
plants or temporary pool animals, may be particularly at risk. Although some
could tolerate environmental changes by building-up banks of propagules (seeds or
eggs) that buffer against catastrophes, climate change will threaten this
resilience mechanism if higher temperatures reduce propagule survival. Using a
crustacean model species from temporary waters, we quantified experimentally the
survival and dormancy of propagules under anticipated climate change and used
these demographic parameters to simulate long term population dynamics. RESULTS:
By exposing propagules to present-day and projected daily temperature cycles in
an 8 month laboratory experiment, we showed how increased temperatures reduce
survival rates in the propagule bank. Integrating these reduced survival rates
into population models demonstrated the inability of the bank to maintain
populations; thereby exacerbating extinction risk caused by shortened growing
seasons. CONCLUSIONS: Overall, our study demonstrates that climate change could
threaten the persistence of populations by both reducing habitat suitability and
eroding life-history strategies that support demographic resilience.
PMID- 29361978
TI - Use of DAVID algorithms for clustering custom annotated gene lists in a non-model
organism, rainbow trout.
AB - OBJECTIVE: The DAVID gene functional classification tool requires adaptations for
use in non-model species and there is little available information to guide
selection of a kappa score. Our objective was to develop an R-script that allows
custom gene identifiers and novel annotation information to be incorporated into
analyses, then use such data to evaluate the number of differentially expressed
genes (DEGs) in a comparison based on kappa score selection. RESULTS: Using an R
script we developed and multiple data sets ranging from 555 to 3340 annotated
DEGs from a study in rainbow trout, we found the percentage of DEGs harbored
within a module and the number of genes shared among multiple modules decreased
with increasing kappa score regardless of the number of DEGs in the comparison.
The number of genes in enriched modules peaked at a kappa score of 0.5 for the
comparisons with 3340 and 1313 DEGs and 0.3 for 555 DEGs. The number of genes
harbored within enriched modules generally decreased with increasing kappa score;
however, this was affected by whether the largest modules were significantly
enriched. Large non-enriched modules can be reanalyzed using a higher kappa score
resulting in some of the genes clustering in smaller enriched modules.
PMID- 29361979
TI - Enhanced differentiation of human pluripotent stem cells into pancreatic
progenitors co-expressing PDX1 and NKX6.1.
AB - BACKGROUND: Pancreatic progenitors (PPs) co-expressing the two transcription
factors (TFs) PDX1 and NKX6.1 are recognized as the indispensable precursors of
functional pancreatic beta cells. Here, we aimed to establish an efficient
protocol for maximizing generation of PDX1+/NKX6.1+ PPs from human pluripotent
stem cells (hPSCs). METHODS: In order to enhance the PDX1+/NKX6.1+ population, we
manipulated in vitro culture conditions during differentiation by dissociating
densely formed endodermal cells and re-plating them at different densities. These
dissociated cells were subjected to an augmented duration of retinoid and
fibroblast growth factor (FGF)10 signaling to induce higher PDX1 and NKX6.1
expression. RESULTS: Our optimized protocol dramatically increased the expression
of NKX6.1, leading to an increase in the proportion of PDX1+/NKX6.1+ progenitors
(~90%) in monolayer, higher than the previously published protocols, as well as
upregulated key TFs controlling pancreatic development. The improved efficiency
of pancreatic differentiation was complemented by an inhibited hepatic
specification and an increased proliferation of NKX6.1+ cells. Interestingly, we
were able to enrich a novel PDX1-/NKX6.1+ population by manipulating the re
plating density; these oriented themselves in three-dimensional clusters. Further
differentiation validated the ability of our PDX1+/NKX6.1+ progenitors to
generate NGN3+ endocrine progenitors. CONCLUSIONS: We provide a novel technique
that facilitates appropriate cellular rearrangement in monolayer culture to yield
a high proportion of PDX1+/NKX6.1+ PPs with an elevated self-replicating
capacity, thereby aiding scalable production of functional beta cells from hPSCs
in vitro. Our innovative method also enriches a novel NKX6.1+/PDX1- population,
with characteristics of proposed endocrine precursors, allowing further studies
on deciphering routes to beta-cell development.
PMID- 29361980
TI - Outcomes of children aged 6-59 months with severe acute malnutrition at the GADO
Outpatient Therapeutic Center in Cameroon.
AB - OBJECTIVE: We aimed to assess outcomes [rates of recovery, default, case
fatality; rate of weight gain and rate of Mean Upper Arm Circumference (MUAC)
gain] of children aged 6-59 months with severe acute malnutrition (SAM) at the
Outpatient Therapeutic Center at Gado Refugee Camp, Cameroon, in relation to
international standards. We retrospectively analysed files of 254 children with
SAM aged 6-59 months admitted from April 2015 to August 2016. RESULTS: 72.8% got
discharged as recovered, 0.8% died and none defaulted. 26.8% got referred to
stabilization center, mostly for poor weight gain (44.1%). Mean rate of weight
gain was 4.4 g/kg/day and MUAC gain 0.3 mm/cm/day; median duration of treatment
44.5 days. Amongst those with marasmus, kwashiorkor and marasmic kwashiorkor,
median duration of stay was 48, 24.5 and 36.3 days (p = 0.002); recovery rates
were similar 73, 71.4, 71.4% respectively (p = 0.7); Median rates of weight gain,
4.4, 6.7 and 8.1 g/kg/day (p = 0.05). 49 children had been incorrectly diagnosed
and treated as SAM. International Standards were met in terms of case fatality
rate and default rate but not rates of recovery and weight gain. Separate gender
charts must be used to calculate weight for height z scores as combined charts
cause significant errors.
PMID- 29361981
TI - Intraoperative Brief Electrical Stimulation of the Spinal Accessory Nerve (BEST
SPIN) for prevention of shoulder dysfunction after oncologic neck dissection: a
double-blinded, randomized controlled trial.
AB - BACKGROUND: Shoulder dysfunction is common after neck dissection for head and
neck cancer (HNC). Brief electrical stimulation (BES) is a novel technique that
has been shown to enhance neuronal regeneration after nerve injury by modulating
the brain-derived neurotrophic growth factor (BDNF) pathways. The objective of
this study was to evaluate the effect of BES on postoperative shoulder function
following oncologic neck dissection. METHODS: Adult participants with a new
diagnosis of HNC undergoing Level IIb +/- V neck dissection were recruited. Those
in the treatment group received intraoperative BES applied to the spinal
accessory nerve (SAN) after completion of neck dissection for 60 min of
continuous 20 Hz stimulation at 3-5 V of 0.1 msec balanced biphasic pulses, while
those in the control group received no stimulation (NS). The primary outcome
measured was the Constant-Murley Shoulder (CMS) Score, comparing changes from
baseline to 12 months post-neck dissection. Secondary outcomes included the
change in the Neck Dissection Impairment Index (DeltaNDII) score and the change
in compound muscle action potential amplitude (DeltaCMAP) over the same period.
RESULTS: Fifty-four patients were randomized to the treatment or control group
with a 1:1 allocation scheme. No differences in demographics, tumor
characteristics, or neck dissection types were found between groups.
Significantly lower DeltaCMS scores were observed in the BES group at 12 months,
indicating better preservation of shoulder function (p = 0.007). Only four in the
BES group compared to 17 patients in the NS groups saw decreases greater than the
minimally important clinical difference (MICD) of the CMS (p = 0.023). However,
NDII scores (p = 0.089) and CMAP amplitudes (p = 0.067) between the groups did
not reach statistical significance at 12 months. BES participants with Level IIb
+ V neck dissections had significantly better DeltaCMS and DeltaCMAP scores at 12
months (p = 0.048 and p = 0.025, respectively). CONCLUSIONS: Application of BES
to the SAN may help reduce impaired shoulder function in patients undergoing
oncologic neck dissection, and may be considered a viable adjunct to functional
rehabilitation therapies. TRIAL REGISTRATION: Clinicaltrials.gov ( NCT02268344 ,
October 17, 2014).
PMID- 29361982
TI - Development of a complex intervention for people with chronic pain after knee
replacement: the STAR care pathway.
AB - BACKGROUND: Approximately 20% of people who have total knee replacement
experience chronic pain afterwards, but there is little evidence about effective
interventions for managing this type of pain. This article describes the
systematic development and refinement of a complex intervention for people with
chronic pain after knee replacement. The intervention is a care pathway involving
an assessment clinic and onward referral, with telephone follow-up as required.
In the design of this multistage study, we chose to focus on ensuring that the
intervention was deliverable, implementable and acceptable. METHODS: In line with
the UK Medical Research Council's recommendations for comprehensive development
of complex interventions, multiple phases of work were undertaken. Following on
from initial development work to design the intervention, the draft intervention
content was refined through consensus questionnaires with 22 health professionals
and discussion at meetings with 18 healthcare professionals. Testing of
intervention delivery and acceptability to patients was undertaken by two health
professionals delivering the assessment clinic to ten patients. Views about
future implementation within the context of a randomised trial were evaluated
through a questionnaire based on the Normalisation Measure Development (NoMAD)
instrument with ten health professional stakeholders. RESULTS: Consensus work
with health professionals ensured the components of the intervention were
appropriate and informed a number of substantive changes to improve the
intervention. Testing of intervention delivery identified a number of logistical
issues that were then addressed in the development of a comprehensive
intervention training manual. Engagement with stakeholders indicated that the
intervention could be successfully implemented in a clinical setting for
evaluation in a randomised trial. CONCLUSIONS: This work has informed the
development and refinement of a complex intervention for people with chronic pain
after knee replacement. The next stage is to evaluate the clinical and cost
effectiveness of the STAR care pathway in a multicentre randomised trial.
PMID- 29361983
TI - Cardiac computed tomography in patients with symptomatic new-onset atrial
fibrillation, rule-out acute coronary syndrome, but with intermediate pretest
probability for coronary artery disease admitted to a chest pain unit.
AB - BACKGROUND: Atrial fibrillation (AF) and coronary artery disease (CAD) may be
encountered coincidently in a large portion of patients. However, data on
coronary artery calcium burden in such patients are lacking. Thus, we sought to
determine the value of cardiac computed tomography (CCT) in patients presenting
with new-onset AF associated with an intermediate pretest probability for CAD
admitted to a chest pain unit (CPU). METHODS: Calcium scores (CS) of 73 new
onset, symptomatic AF subjects without typical clinical, electrocardiographic, or
laboratory signs of acute coronary syndrome (ACS) admitted to our CPU were
analyzed. In addition, results from computed tomography angiography (CTA) were
related to coronary angiography findings whenever available. RESULTS: Calcium
scores of zero were found in 25%. Median Agatston score was 77 (interquartile
range: 1-270) with gender- and territory-specific dispersal. CS scores above
average were present in about 50%, high (> 400)-to-very high (> 1000) CS scores
were found in 22%. Overall percentile ranking showed a relative accordance to the
reference percentile distribution. Additional CTA was performed in 47%, revealing
stenoses in 12%. Coronary angiography was performed in 22% and resulted in
coronary intervention or surgical revascularization in 7%. On univariate
analysis, CS > 50th percentile failed to serve as an independent determinant of
significant stenosis during catheterization. CONCLUSIONS: Within a CPU setting,
relevant CAD was excluded or confirmed in almost 50%, the latter with a high
proportion of coronary angiographies and subsequent coronary interventions,
underlining the diagnostic value of CCT in symptomatic, non-ACS, new-onset AF
patients when admitted to a CPU.
PMID- 29361984
TI - Changes in biodistribution on 68Ga-DOTA-Octreotate PET/CT after long acting
somatostatin analogue therapy in neuroendocrine tumour patients may result in
pseudoprogression.
AB - BACKGROUND: To evaluate the effects of long-acting somatostatin analogue (SSA)
therapy on 68Ga-DOTA-octreotate (GaTate) uptake at physiological and metastatic
sites in neuroendocrine tumour (NET) patients. METHODS: Twenty-one patients who
underwent GaTate PET/CT before and after commencement of SSA therapy were
reviewed. Maximum standardized uptake values (SUVmax) were measured in normal
organs. Changes in uptake of 49 metastatic lesions in 12 patients with stable
disease were also compared. Serum chromogranin-A (CgA) levels were available for
correlation between scans in 17/21 patients. RESULTS: Mean thyroid, spleen and
liver SUVmax decreased significantly following SSA therapy from a baseline of 5.9
to 3.5, 30.3 to 23.1 and 10.3 to 8.0, respectively (p = < 0.0001 for all).
Pituitary SUVmax increased from 10.2 to 11.0 (p = 0.004) whereas adrenal and
salivary gland SUVmax did not change. Tumour SUVmax increased in 7 of 12 patients
with stable disease; CgA was stable or decreasing in 5 of these patients. 30/49
(61%) metastatic lesions had an increase in SUVmax and lesion-to-liver uptake
ratio increased in 40/49 (82%) following SSA therapy. CONCLUSION: Long-acting SSA
therapy decreases GaTate uptake in the thyroid, spleen and liver but in most
cases increases intensity of uptake within metastases. This has significant
implications for interpretation of GaTate PET/CT following commencement of
therapy as increased intensity alone may not represent true progression. Our
findings also suggest pre-dosing with SSA prior to PRRT may enable higher doses
to be delivered to tumour whilst decreasing dose to normal tissues.
PMID- 29361986
TI - Perceived causes and risk factors of Buruli ulcer among patients at Agogo
Presbyterian hospital in Ashanti Region of Ghana.
AB - OBJECTIVE: The incidence of Buruli ulcer has been recorded in about 30 countries
globally and Africa seems to be the most affected area. The study sought to
determine perceived causes and risk factors of Buruli ulcer among patients who
visit the Agogo hospital in Asante-Akim North District in the Ashanti region of
Ghana. A descriptive study design was adopted using a simple random sampling
technique to select 400 patients attending The Presbyterian Hospital at Agogo.
Data was collected using a structured questionnaire and analysed using SPSS
version 16.0. RESULTS: Buruli ulcer was perceived as a disease caused by
witchcraft (38%), enemies (15%), as well as not pouring libation or praying
(16%). Also, increased appetite (30%), oedema or swelling on the skin (29%) and
over weight (23%) was perceived as signs and symptoms of Buruli ulcer and a
section of the respondents (53%) did not know any risk factor. The age of
respondents, gender and level of education were found to determine knowledge of
Buruli ulcer (P <= .05). Public Educations and campaigns should focus on causes
and risk factors to ensure that there is adequate knowledge among the general
public on Buruli ulcer.
PMID- 29361985
TI - Inherited factors contribute to an inverse association between preeclampsia and
breast cancer.
AB - BACKGROUND: Preeclampsia is frequently linked to reduced breast cancer risk.
However, little is known regarding the underlying genetic association and the
association between preeclampsia and mammographic density. METHODS: This study
estimates the incidence rate ratios (IRRs) of breast cancer in patients with
preeclampsia, when compared to women without preeclampsia, using Poisson
regression models in two cohorts of pregnant women: a Swedish nationwide cohort
(n = 1,337,934, 1973-2011) and the Karolinska Mammography Project for Risk
Prediction of Breast Cancer (KARMA, n = 55,044, 1958-2015). To identify the
genetic association between preeclampsia and breast cancer, we used logistic
regression models to calculate the odds ratios (ORs) of preeclampsia in sisters
of breast cancer patients, and in women with different percentiles of breast
cancer polygenic risk scores (PRS). Linear regression models were used to
estimate the mammographic density by preeclampsia status in the KARMA cohort.
RESULTS: A decreased risk of breast cancer was observed among patients with
preeclampsia in both the nationwide (IRR = 0.90, 95% CI = 0.85; 0.96) and KARMA
cohorts (IRR = 0.75, 95% CI = 0.61; 0.93). Women with high breast cancer PRS and
sisters of breast cancer patients had a lower risk of preeclampsia (OR = 0.89,
95% CI = 0.83; 0.96). Mammographic density was lower in women with preeclampsia
compared to women without preeclampsia (-2.04%, 95% CI = -2.65; -1.43).
Additionally, among sisters in the KARMA cohort (N = 3500), density was lower in
sisters of patients with preeclampsia compared to sisters of women without
preeclampsia (-2.76%, 95% CI = -4.96; -0.56). CONCLUSION: Preeclampsia is
associated with reduced risk of breast cancer and mammographic density. Inherited
factors contribute to this inverse association.
PMID- 29361987
TI - Tracking hematopoietic precursor division ex vivo in real time.
AB - BACKGROUND: Deciphering molecular mechanisms underlying the division of
hematopoietic stem cells (HSCs) and malignant precursors would improve our
understanding of the basis of stem cell-fate decisions and oncogenic
transformation. METHODS: Using a novel reporter of hematopoietic precursor, Evi1
GFP, we tracked the division of hematopoietic precursors in culture in real time.
RESULTS: First, we confirmed that Evi1-GFP is a faithful reporter of HSC activity
and identified three dividing patterns of HSCs: symmetric renewal, symmetric
differentiation, and asymmetric division. Moreover, we found that the cytokine
and growth factor combination (STIF) promotes symmetric renewal, whereas OP9
stromal cells balance symmetric renewal and differentiation of HSCs ex vivo.
Interestingly, we found that Tet2 knockout HSCs underwent more symmetric
differentiation in culture compared with the wild-type control. Intriguingly, OP9
stromal cells reverse the phenotype of Tet2 knockout HSCs ex vivo. Furthermore,
we demonstrated that Tet2 -/- ;Flt3ITD acute myeloid leukemia (AML) precursors
primarily underwent symmetric renewal divisions in culture. Mechanistically, we
demonstrated that inhibiting DNA methylation can reverse the aberrant division
phenotypes of Tet2 -/- and Tet2 -/- ;FLT3ITD precursors, suggesting that abnormal
DNA methylation plays an important role in controlling (pre-)leukemic precursor
fate decision ex vivo. CONCLUSIONS: Our study exploited a new system to explore
the molecular mechanisms of the regulation of benign and malignant hematopoietic
precursor division ex vivo. The knowledge learned from these studies will provide
new insights into the molecular mechanisms of HSC fate decision and
leukemogenesis.
PMID- 29361988
TI - Black tea aroma inhibited increase of salivary chromogranin-A after arithmetic
tasks.
AB - BACKGROUND: Growing attention has been paid to the effects of food flavor
components on alleviating negative brain functions caused by stressful
lifestyles. In this study, we investigated the alleviating effect of two kinds of
black tea aromas on physical and psychological stress induced by the Uchida
Kraepelin test, based on salivary chromogranin-A (CgA) levels as a stress marker
and subjective evaluations (Profile of Mood States). RESULTS: Compared with the
water exposure control, inhaling black tea aroma (Darjeeling and Assam in this
study) induced lower salivary CgA concentration levels after 30 min of mental
stress load tasks. This anti-stress effect of black tea aroma did not differ
between the two tea types even though the concentration of the anti-stress
components in the Darjeeling tea aroma was higher than that in the Assam aroma.
However, Darjeeling tea aroma tended to decrease the tension and/or anxiety score
immediately after the first exposure. CONCLUSIONS: Inhaling black tea aroma may
diminish stress levels caused by arithmetic mental stress tasks, and Darjeeling
tea aroma tended to improve mood before mental stress load.
PMID- 29361989
TI - Atrial septal defect in a patient with congenital disorder of glycosylation type
1a: a case report.
AB - BACKGROUND: Atrial septal defect often become more severe when encountered in
genetic syndromes. Congenital disorder of glycosylation type 1a is an inherited
metabolic disorder associated with mutations in PMM2 gene and can affect almost
all organs. Cardiac abnormalities vary greatly in congenital disorder of
glycosylation type 1a and congenital heart defects have already been reported,
but there is little knowledge about the effect of this inherited disorder on an
existing congenital heart defect. Herein we report for the first time on a baby
with congenital disorder of glycosylation type 1a with atrial septal defect and
make a comparison of changes in atrial septal defect by follow-ups to the age of
3. CASE PRESENTATION: Our patient was an 8-month-old Han Chinese boy. At the
initial visit, he presented with recurrent lower respiratory infection, heart
murmur, psychomotor retardation, inverted nipples, and cerebellar atrophy.
Echocardiography revealed a 8 mm secundum atrial septal defect with left-to-right
shunt (Qp/Qs ratio 1.6). Enzyme testing of phosphomannomutase 2 demonstrated
decreased levels of phosphomannomutase 2 activities in fibroblasts. Whole exon
sequencing showed he was heterozygous for a frameshift mutation (p.I153X) and a
missense mutation (p.I132T) in PMM2 gene. The diagnosis of congenital disorder of
glycosylation type 1a with atrial septal defect was issued. Now, he is 3-years
old at the time of this writing, with the development of congenital disorder of
glycosylation type 1a (cerebellar atrophy become more severe and the symptom of
nystagmus emerged), the size of atrial septal defect increased to 10 mm and the
Qp/Qs ratio increased to 1.9, which suggested exacerbation of the atrial septal
defect. Congenital heart defect-associated gene sequencing is then performed and
shows there are no pathogenic mutations, which suggested intrinsic cardiac
factors are not the cause of exacerbation of the atrial septal defect in our
patient and it is reasonable to assume congenital disorder of glycosylation type
1a can worsen the situation of the existing atrial septal defect. CONCLUSIONS:
This report highlights the view that congenital disorders of glycosylation type
1a should be excluded when faced with congenital heart defect with cerebellar
atrophy or neurodevelopmental delay, especially when the situation of congenital
heart defect becomes more and more severe.
PMID- 29361991
TI - Reflections on wisdom at the end of life: qualitative study of hospice patients
aged 58-97 years.
AB - OBJECTIVE: Wisdom is a complex trait, and previous research has identified
several components of wisdom. This study explored the possible impact of a
diagnosis of a terminal illness on the conceptualization and evolution of wisdom
while facing the end of life. DESIGN AND PARTICIPANTS: Semi-structured
qualitative interviews were conducted with 21 hospice patients aged 58-97 years
who were in the last six months of their life. METHODS: Hospice patients were
asked to describe the core characteristics of wisdom, as well as how their
terminal illness might have impacted their understanding of this concept. The
interviews were audiotaped, transcribed, and coded by the research team using a
grounded theory analytic approach based on coding consensus, co-occurrence, and
comparison. RESULTS: Broad concepts of wisdom described by the hospice patients
align with the extant literature, thereby supporting those general
conceptualizations. In addition, hospice patients described how their life
perspectives shifted after being diagnosed with a terminal illness. Post-illness
wisdom can be characterized as a dynamic balance of actively accepting the
situation while simultaneously striving for galvanized growth. This delicate
tension motivated the patients to live each day fully, yet consciously plan for
their final legacy. CONCLUSION: The end of life offers a unique perspective on
wisdom by highlighting the modulation between actively accepting the current
situation while continuing the desire to grow and change at this critical time.
This paradox, when embraced, may lead to even greater wisdom while facing one's
own mortality.
PMID- 29361990
TI - Dietary inflammatory index and memory function: population-based national sample
of elderly Americans.
AB - The objective of this study was to examine the association between dietary
inflammatory potential and memory and cognitive functioning among a
representative sample of the US older adult population. Cross-sectional data from
the 2011-2012 and 2013-2014 National Health and Nutrition Examination Survey were
utilised to identify an aggregate sample of adults 60-85 years of age (n 1723).
Dietary inflammatory index (DII(r)) scores were calculated using 24-h dietary
recall interviews. Three memory-related assessments were employed, including the
Consortium to Establish a Registry for Alzheimer's disease (CERAD) Word Learning
subset, the Animal Fluency test and the Digit Symbol Substitution Test (DSST).
Inverse associations were observed between DII scores and the different memory
parameters. Episodic memory (CERAD) (b adjusted=-0.39; 95 % CI -0.79, 0.00),
semantic-based memory (Animal Fluency Test) (b adjusted=-1.18; 95 % CI -2.17,
0.20) and executive function and working-memory (DSST) (b adjusted=-2.80; 95 % CI
-5.58, -0.02) performances were lowest among those with the highest mean DII
score. Though inverse relationships were observed between DII scores and memory
and cognitive functioning, future work is needed to further explore the
neurobiological mechanisms underlying the complex relationship between
inflammation-related dietary behaviour and memory and cognition.
PMID- 29361992
TI - Brain disorders? Not really... Why network structures block reductionism in
psychopathology research.
AB - In the past decades, reductionism has dominated both research directions and
funding policies in clinical psychology and psychiatry. However, the intense
search for the biological basis of mental disorders has not resulted in
conclusive reductionist explanations of psychopathology. Recently, network models
have been proposed as an alternative framework for the analysis of mental
disorders, in which mental disorders arise from the causal interplay between
symptoms. In this paper, we show that this conceptualization can help understand
why reductionist approaches in psychiatry and clinical psychology are on the
wrong track. First, symptom networks preclude the identification of a common
cause of symptomatology with a neurobiological condition, because in symptom
networks there is no such common cause. Second, symptom network relations depend
on the content of mental states and as such feature intentionality. Third, the
strength of network relations is highly likely to partially depend on cultural
and historical contexts as well as external mechanisms in the environment. Taken
together, these properties suggest that, if mental disorders are indeed networks
of causally related symptoms, reductionist accounts cannot achieve the level of
success associated with reductionist disease models in modern medicine. As an
alternative strategy, we propose to interpret network structures in terms of D.
C. Dennett's (1987) notion of real patterns, and suggest that, instead of being
reducible to a biological basis, mental disorders feature biological and
psychological factors that are deeply intertwined in feedback loops. This
suggests that neither psychological nor biological levels can claim causal or
explanatory priority, and that a holistic research strategy is necessary for
progress in the study of mental disorders.
PMID- 29361993
TI - The Flint Food Store Survey: combining spatial analysis with a modified Nutrition
Environment Measures Survey in Stores (NEMS-S) to measure the community and
consumer nutrition environments.
AB - OBJECTIVE: The goal of the present study was to use a methodology that accurately
and reliably describes the availability, price and quality of healthy foods at
both the store and community levels using the Nutrition Environment Measures
Survey in Stores (NEMS-S), to propose a spatial methodology for integrating these
store and community data into measures for defining objective food access.
SETTING: Two hundred and sixty-five retail food stores in and within 2 miles (3.2
km) of Flint, Michigan, USA, were mapped using ArcGIS mapping software. DESIGN: A
survey based on the validated NEMS-S was conducted at each retail food store.
Scores were assigned to each store based on a modified version of the NEMS-S
scoring system and linked to the mapped locations of stores. Neighbourhood
characteristics (race and socio-economic distress) were appended to each store.
Finally, spatial and kernel density analyses were run on the mapped store scores
to obtain healthy food density metrics. RESULTS: Regression analyses revealed
that neighbourhoods with higher socio-economic distress had significantly lower
dairy sub-scores compared with their lower-distress counterparts (beta
coefficient=-1.3; P=0.04). Additionally, supermarkets were present only in
neighbourhoods with <60 % African-American population and low socio-economic
distress. Two areas in Flint had an overall NEMS-S score of 0. CONCLUSIONS: By
identifying areas with poor access to healthy foods via a validated metric, this
research can be used help local government and organizations target interventions
to high-need areas. Furthermore, the methodology used for the survey and the
mapping exercise can be replicated in other cities to provide comparable results.
PMID- 29361994
TI - Why do patients with minor complaints choose emergency departments and does
satisfaction with primary care services influence their decisions? - CORRIGENDUM.
PMID- 29361995
TI - The effects of psychotherapy for depression on anxiety symptoms: a meta-analysis.
AB - BACKGROUND: More than half of patients who present with depressive disorders also
have elevated comorbid anxiety symptoms. Given the high comorbidity between these
disorders, it is important to understand the extent that psychotherapies for
depression additionally ameliorate symptoms of anxiety. METHODS: Systematic
searches were conducted in PubMed, PSYCinfo, EMBASE, and the Cochrane Registry of
Controlled Trials. Included studies were randomized controlled trials that
compared psychotherapy compared with a control condition for the treatment of
adults with a primary diagnosis or elevated symptoms of depression and that
examined the effects of treatment on anxiety outcomes. Acute phase depression and
anxiety (continuous measure) outcomes were extracted. Effect sizes were
calculated by subtracting the average post-treatment scores of the psychotherapy
group from the average post-treatment scores of the comparison group divided by
the pooled standard deviation. RESULTS: Fifty-two studies of varying quality met
the inclusion criteria. Pooled effect sizes showed that anxiety outcomes were
significantly lower in the psychotherapy conditions than in control conditions at
post-treatment [g = 0.52; 95% confidence interval (CI) 0.44-0.60; NNT (numbers
needed-to-treat) = 3.50]. Moderate heterogeneity was observed (I2 = 55%, 95% CI
40-66). Bivariate metaregression analysis revealed a significant association
between depression and anxiety effect sizes at post-treatment Longer-term follow
ups of up to 14 months post-baseline showed indications for a small lasting
effect of psychotherapy on anxiety outcomes (g = 0.27). CONCLUSIONS: This meta
analysis provides evidence that psychotherapy aimed at depression can also reduce
anxiety symptoms in relation to control conditions.
PMID- 29361996
TI - Ensuring caregivers are part of palliative care service delivery.
PMID- 29361997
TI - An ecological momentary assessment evaluation of emotion regulation abnormalities
in schizophrenia.
AB - BACKGROUND: Prior studies using self-report questionnaires and laboratory-based
methods suggest that schizophrenia is characterized by abnormalities in emotion
regulation (i.e. using strategies to increase or decrease the frequency,
duration, or intensity of negative emotion). However, it is unclear whether these
abnormalities reflect poor emotion regulation effort or adequate effort, but
limited effectiveness. It is also unclear whether dysfunction results primarily
from one of the three stages of the emotion regulation process: identification,
selection, or implementation. METHOD: The current study used ecological momentary
assessment (EMA) to address these questions in the context of everyday
activities. Participants included 28 outpatients diagnosed with schizophrenia
(SZ) and 28 demographically matched healthy controls (CN) who completed 6 days of
EMA reports of in-the-moment emotional experience, emotion regulation strategy
use, and context. RESULTS: Results indicated that SZ demonstrated adequate
emotion regulation effort, but poor effectiveness. Abnormalities were observed at
each of the three stages of the emotion regulation process. At the identification
stage, SZ initiated emotion regulation efforts at a lower threshold of negative
emotion intensity. At the selection stage, SZ selected more strategies than CN
and strategies attempted were less contextually appropriate. At the
implementation stage, moderate to high levels of effort were ineffective at
decreasing negative emotion. CONCLUSIONS: Findings suggest that although SZ
attempt to control their emotions using various strategies, often applying more
effort than CN, these efforts are unsuccessful; emotion regulation abnormalities
may result from difficulties at the identification, selection, and implementation
stages.
PMID- 29361998
TI - Disease burden of psittacosis in the Netherlands.
AB - Psittacosis (infection with Chlamydia psittaci) can have diverse presentations in
humans, ranging from asymptomatic infection to severe systemic disease. Awareness
of psittacosis and its presentations are low among clinicians and the general
public. Therefore, underdiagnosis and thereby underestimation of the incidence
and public health importance of psittacosis is very likely. We used the
methodology developed for the Burden of communicable diseases in Europe toolkit
of the European Centre for Disease Prevention and Control, to construct a model
to estimate disease burden in disability-adjusted life years (DALYs) attributable
to psittacosis. Using this model, we estimated the disease burden caused by
psittacosis in the Netherlands to have been 222 DALY per year (95% CI 172-280)
over the period 2012-2014. This is comparable with the amount of DALYs estimated
to be due to rubella or shigellosis in the same period in the Netherlands. Our
results highlight the public health importance of psittacosis and identify
evidence gaps pertaining to the clinical presentations and prognosis of this
disease.
PMID- 29361999
TI - Bitter pills: the impact of medicare on mental health.
AB - Medicare shifted the emphasis for mental health care into outpatient psychiatric
wings connected to general hospitals and away from large custodial facilities
that had been at the centre of the mental health system for decades. The shift to
care in the community expanded the patient population, and arguably improved
mental health care for many individuals who could now seek a variety of
outpatient services rather than succumb to long-stay institutionalization.
However, this shift also introduced new challenges as patients were increasingly
expected to take responsibility for their own health care plans, whether that
involved doctors' appointments, drug regimens, or the need to find sheltered
employment, safe housing and a social support network. Analysing first-hand
experiences suggests that despite the need for clinical care at times, the major
challenges to independence are political and economic. In this paper, I examine
some of these tradeoffs and consider some of the historical lessons for continued
discussions on public policy in the mental health care arena.
PMID- 29362001
TI - Quinlan, Texas.
PMID- 29362000
TI - Determining Direction of Axonal Flow in the Equine Ramus Communicans by
Ultrastructural Examination of the Plantar Nerves 2 Months after Transecting the
Ramus.
AB - The ramus communicans, neural connection between medial and lateral plantar
nerves of the horse, was transected to determine the degree to which medial and
lateral plantar nerves contribute to the plantar ramus. After 2 months, sections
of plantar nerves immediately proximal and distal to the communicating branch
were collected and processed for electron microscopy. All examined nerves had
undergone Wallerian degeneration and contained regenerating and mature fibers.
Layers of the myelin sheath were separated by spaces and vacuoles, indicating
demyelination of medial and lateral plantar nerves. Shrunken axons varied in
diameter and were surrounded by an irregular axolemma. Shrunken axoplasm of both
myelinated and non-myelinated fibers contained ruptured mitochondria and cristae,
disintegrating cytoskeleton, and vacuoles of various sizes. The cytoplasm of
neurolemmocytes contained various-sized vesicles, ruptured mitochondria within a
fragile basal lamina and myelin whorls of multilayered structures indicative of
Wallerian degeneration. These ultrastructural changes, found proximal and distal
to the ramus in medial and lateral plantar nerves, suggest that axonal flow is bi
directional through the ramus communicans of the pelvic limbs of horses, a
previously unreported finding. As well, maturity of nerves proximal and distal to
the ramus indicates that all nerve fibers do not pass through the ramus.
PMID- 29362002
TI - Relationship between welfare and individual ranging behaviour in commercial free
range laying hens.
AB - Laying hens housed in free-range systems have access to an outdoor range, and
individual hens within a flock differ in their ranging behaviour. Whether there
is a link between ranging and laying hen welfare remains unclear. We analysed the
relationships between ranging by individual hens on a commercial free-range layer
farm and behavioural, physiological and health measures of animal welfare. We
hypothesised that hens that access the range more will be (1) less fearful in
general and in response to novelty and humans, (2) have better health in terms of
physical body condition and (3) have a reduced physiological stress response to
behavioural tests of fear and health assessments than hens that use the range
less. Using radio frequency identification tracking across two flocks, we
recorded individual hens' frequency, duration and consistency of ranging. We also
assessed how far hens ventured into the range based on three zones: 0 to 2.4, 2.4
to 11.4 or >11.4 m from the shed. We assessed hen welfare using a variety of
measures including: tonic immobility, open field, novel object, human approach,
and human avoidance (HAV) behavioural tests; stress-induced plasma corticosterone
response and faecal glucocorticoid metabolites; live weight, comb colour, and
beak, plumage, footpad, and keel bone condition. Range use was positively
correlated with plasma corticosterone response, faecal glucocorticoid
metabolites, and greater flight distance during HAV. Hens that used the range
more, moved towards rather than away from the novel object more often than hens
that ranged less. Distance ranged from the shed was significantly associated with
comb colour and beak condition, in that hens with darker combs and more intact
beaks ranged further. Overall the findings suggest that there is no strong link
between outdoor range usage and laying hen welfare. Alternatively, it may be that
hens that differed in their ranging behaviour showed few differences in measures
of welfare because free-range systems provide hens with adequate choice to cope
with their environment. Further research into the relationship between individual
range access and welfare is needed to test this possibility.
PMID- 29362003
TI - Prevalence and factors associated with overweight and obesity among children from
primary schools in urban areas of Lome, Togo.
AB - OBJECTIVE: Overweight and obesity in childhood are serious public health issues,
both in developing and developed countries. The present study aimed to ascertain
overweight and obesity prevalence rates among Togolese schoolchildren in Lome,
Togo, and their correlation with physical activity, socio-economic conditions and
eating habits. DESIGN: Cross-sectional survey conducted in December 2015.
Overweight and obesity were defined using age- and sex-specific BMI cut-off
points of the International Obesity Task Force. Physical activity, socio-economic
conditions and eating habits were assessed with a standardized questionnaire.
Specially trained medical students interviewed children and collected the data.
After bivariate regression analyses, factors associated with overweight/obesity
were identified by multivariate logistic regression. Statistical significance was
two-sided P<0.05. SETTING: Lome, Togo. SUBJECTS: Representative sample of 634
children (288 boys, 346 girls), aged 8-17 years, who were studying in primary
schools. RESULTS: Overweight and obesity respectively affected 5.2 and 1.9 % of
children surveyed. Watching television (>4 h) on weekends (OR; 95 % CI: 3.8; 1.2,
12.0, P=0.02) and medium dietary diversity score (3.0; 1.1, 8.1, P=0.03) were
independently associated with overweight/obesity in a multivariate regression
model. Eating breakfast in the school cafeteria (0.2; 0.1, 0.8, P=0.03) and
eating fruits (0.4; 0.1, 0.9, P=0.03) significantly reduced the risk of
overweight/obesity. CONCLUSIONS: Overweight and obesity prevalence were linked
with sedentary behaviour and non-optimal food diversity. Promoting physical
activity and fruit consumption should be explored as interventions to reduce and
prevent overweight and obesity in Lome schoolchildren. In addition, preventive
approaches in the social environment of children should be considered.
PMID- 29362004
TI - Incidence and Risk Factors of Postoperative Pneumonia in Abdominal Operations
Patients at a Teaching Hospital in China.
PMID- 29362005
TI - A rare cause of cyanosis in newborns: arteriovenous fistula between the right
pulmonary artery and the left atrium and its treatment.
AB - The formation of a fistula between the right pulmonary artery and the left atrium
via a sac is a very rare cyanotic congenital cardiopulmonary defect. A fistula
between the pulmonary artery and left atrium may cause cardiac failure in utero.
It can safely be treated surgically and in selected cases closure can be
performed with transcatheter insertion of a device. In this article, we present a
case with a fistula between the right pulmonary artery and the left atrium that
was considered unsuitable for transcatheter closure and was safely treated
surgically.
PMID- 29362006
TI - Disaster Preparedness in Primary Care: Ready or Not?
AB - : PurposeTo assess perceptions and attitudes toward disasters and disaster
planning among outpatient primary care leaders. METHODS: Written surveys and semi
structured interviews of non-physician clinical managers and physician medical
directors were conducted using the 2009 H1N1 pandemic as a case-based scenario at
5 university-affiliated family medicine clinics. Domains assessed included
perceived pandemic threat; value, existence, and barriers to creating personal
disaster plans; staff absenteeism estimates; barriers to work attendance.
Quantitative and qualitative data were analyzed using descriptive statistics and
content analysis with identification and coding of common themes, respectively.
RESULTS: All 12 invited leaders participated and believed a personal disaster
plan was important but only 2 had plans. None had ever discussed with their staff
the importance of having a personal disaster plan. Two common barriers in
creating a plan were low threat perception level and never considering the
possibility of pandemic influenza. Only half of respondents could list common
barriers preventing staff from working. Staff were confident employees would come
to work during a disaster. CONCLUSION: Outpatient primary care leaders may hold
misconceptions regarding future disasters, underestimate their potential impact
on clinics, and lack personal preparedness. Further investigation and
interventions are needed to ensure clinics can be prepared so they can function
and help hospital and emergency services when disasters strike. (Disaster Med
Public Health Preparedness. 2018;12:644-648).
PMID- 29362007
TI - Building capacity in primary care: the implementation of a novel 'Pharmacy First'
scheme for the management of UTI, impetigo and COPD exacerbation.
AB - : Aim This service aimed to improve patient access to treatment for urinary tract
infections (UTI), impetigo and exacerbation of chronic obstructive pulmonary
disease (COPD) and relieve pressure on general practice and out of hours
services. BACKGROUND: In 2016, a service (Pharmacy First) was introduced in Forth
Valley for the management of UTI, impetigo and exacerbation of COPD using patient
group directions in community pharmacies. Trained pharmacists supplied a limited
range of prescription medicines. Pathways for GP referral were defined. After 5
months of implementation, the service was evaluated. METHODS: A quantitative
evaluation was undertaken. Feedback was sought from patients, GPs, pharmacists
and GP reception staff, using structured questionnaires. Pharmacy records were
used to assess referrals and pharmacy data summarised the number and type of
consultations. Basic cost data was obtained from the Health Board. Findings In
all, 75 pharmacies (of 76), and all 55 GP practices in the area, participated in
the service. Over a 5-month period, 1189 cases were managed, the majority being
for UTI (75.4%) followed by impetigo (15.2%), then COPD (9.3%). Of all cases,
77.9% were prescribed medication by the pharmacist, 9.1% were given advice only
and 16.7% were referred to the GP. Independent clinical assessment of a random
sample of 30 GP referrals considered all to be 'appropriate'. Feedback was
received from 69 pharmacists, 34 GPs, 54 reception staff and 73 patients.
Patients were very satisfied with the service, most frequently citing the 'quick
and efficient' access to treatment, and a 'professional service'. Two thirds of
GPs (67%) and 59% of reception staff found the service useful, mainly because it
reduced pressure on GP appointments. A further cost benefit evaluation would
allow objective assessment of the value of this service.
PMID- 29362008
TI - The impact of slow economic growth on health sector reform: a cross-national
perspective.
AB - This paper assesses recent health sector reform strategies across Europe adopted
since the onset of the 2008 financial crisis. It begins with a brief overview of
the continued economic pressure on public funding for health care services,
particularly in tax-funded Northern European health care systems. While economic
growth rates across Europe have risen a bit in the last year, they remain below
the level necessary to provide the needed expansion of public health sector
revenues. This continued public revenue shortage has become the central challenge
that policymakers in these health systems confront, and increasingly constrains
their potential range of policy options. The paper then examines the types of
targeted reforms that various European governments have introduced in response to
this increased fiscal stringency. Particularly in tax-funded health systems,
these efforts have been focused on two types of changes on the production side of
their health systems: consolidating and/or centralizing administrative authority
over public hospitals, and revamping secondary and primary health services as
well as social services to reduce the volume, cost and less-than-optimal outcomes
of existing public elderly care programs. While revamping elderly care services
also was pursued in the social health insurance (SHI) system in the Netherlands,
both the Dutch and the German health systems also made important changes on the
financing side of their health systems. Both types of targeted reforms are
illustrated through short country case studies. Each of these country assessments
flags up new mechanisms that have been introduced and which potentially could be
reshaped and applied in other national health sector contexts. Reflecting the tax
funded structure of the Canadian health system, the preponderance of cases
discussed focus on tax-funded countries (Norway, Denmark, Sweden, Finland,
England, Ireland), with additional brief assessments of recent changes in the SHI
funded health systems in the Netherlands and Germany. The paper concludes that
post-2008 European reforms have helped stretch existing public funds more
effectively, but seem unlikely to resolve the core problem of inadequate overall
public funding, particularly in tax-based health systems. This observation
suggests that ongoing Canadian efforts to consolidate and better integrate its
health care providers, while important, may not eliminate long-term health sector
funding dilemmas.
PMID- 29362009
TI - Feed efficiency and the liver proteome of fattening lambs are modified by feed
restriction during the suckling period.
AB - The present study was designed to describe the effects of early feed restriction
of Merino lambs on feed efficiency during the fattening period by examining
ruminal microbiota and fermentation parameters, gastrointestinal morphology,
digestibility or liver proteome. In total, 24 male Merino lambs were randomly
assigned to two experimental treatments (n=12 per treatment). Lambs of the first
group (ad libitum (ADL)) were kept permanently with the dams, whereas the other
12 lambs (restricted (RES)) were milk restricted. When lambs reached a live BW
(LBW) of 15 kg, all the animals were offered the same complete pelleted diet (35
g dry matter/kg LBW per day) until slaughter at a LBW of 27 kg. The RES lambs
showed poorer feed efficiency during the fattening period when compared with the
ADL group (feed to gain ratio, 3.69 v. 3.05, P<0.001). No differences were
observed in ruminal microbiota, fermentation parameters or apparent
digestibility. However, the proportion of the small intestine and the length of
ileal villi were reduced in the RES lambs. In total, 26 spots/proteins were
identified in the liver proteomic profile, with significant differences (P<0.05)
between experimental treatments, suggesting a higher catabolism of proteins and a
reduction in beta-oxidation of fatty acids in RES lambs when compared with the
ADL animals. In conclusion, early feed restriction of Merino lambs during the
suckling period promotes long-term effects on the small intestine and the
proteomic profile of the liver, which may influence the metabolic use of
nutrients, thus negatively affecting feed efficiency during the fattening phase.
PMID- 29362010
TI - Stakeholder perceptions of a school food policy ten years on.
AB - OBJECTIVE: To assess (i) the extent to which stakeholders have accepted and
implemented a Healthy Food and Drink Policy for schools a decade after its
introduction and (ii) any resulting implications for canteen profitability.
DESIGN: Online survey distributed via electronic newsletter to school principals.
SETTING: Western Australian public schools. SUBJECTS: Principals, teachers,
canteen managers, and parents and citizens committee presidents (n 307). RESULTS:
Large majorities of respondents reported that the policy has made the foods and
drinks provided in schools healthier (85 %) and that the policy constitutes a
good opportunity to teach children about healthy eating (90 %). Only small
proportions of respondents felt it had been difficult to implement the policy in
their schools (13 %) or that the policy fails to accommodate parents' rights to
choose the foods consumed by their children (16 %). Most of the policy outcomes
assessed in both the initial post-implementation evaluation (2008) and the 10
year follow-up evaluation (2016) demonstrated significant improvement over time.
CONCLUSIONS: The study results indicate that comprehensive school food policies
can favourably influence the foods and drinks provided on school premises and can
be highly acceptable to key stakeholders, without adversely affecting
profitability. The results are encouraging for policy makers in other
jurisdictions considering the implementation of similar policies.
PMID- 29362011
TI - Neuropsychiatric symptoms and cognitive profile in mild cognitive impairment with
Lewy bodies.
AB - BACKGROUND: The accurate clinical characterisation of mild cognitive impairment
(MCI) is becoming increasingly important. The aim of this study was to compare
the neuropsychiatric symptoms and cognitive profile of MCI with Lewy bodies (MCI
LB) with Alzheimer's disease MCI (MCI-AD). METHODS: Participants were ?60 years
old with MCI. Each had a thorough clinical and neuropsychological assessment and
2beta-carbomethoxy-3beta-(4-iodophenyl)-N-(3-fluoropropyl)-nortropane single
photon emission computed tomography FP-CIT SPECT). MCI-LB was diagnosed if two or
more diagnostic features of dementia with Lewy bodies were present (visual
hallucinations, cognitive fluctuations, motor parkinsonism, rapid eye movement
sleep behaviour disorder or positive FP-CIT SPECT). A Lewy body Neuropsychiatric
Supportive Symptom Count (LBNSSC) was calculated based on the presence or absence
of the supportive neuropsychiatric symptoms defined by the 2017 DLB diagnostic
criteria: non-visual hallucinations, delusions, anxiety, depression and apathy.
RESULTS: MCI-LB (n = 41) had a higher LBNSSC than MCI-AD (n = 24; 1.8 +/- 1.1 v.
0.7 +/- 0.9, p = 0.001). 67% of MCI-LB had two or more of those symptoms,
compared with 16% of MCI-AD (Likelihood ratio = 4.2, p < 0.001). MCI-LB subjects
scored lower on tests of attention, visuospatial function and verbal fluency.
However, cognitive test scores alone did not accurately differentiate MCI-LB from
MCI-AD. CONCLUSIONS: MCI-LB is associated with neuropsychiatric symptoms and a
cognitive profile similar to established DLB. This supports the concept of
identifying MCI-LB based on the presence of core diagnostic features of DLB and
abnormal FP-CIT SPECT imaging. The presence of supportive neuropsychiatric
clinical features identified in the 2017 DLB diagnostic criteria was helpful in
differentiating between MCI-LB and MCI-AD.
PMID- 29362012
TI - Use and non-use values as motivational construct dimensions for farm animal
welfare: impacts on the economic outcome for the farm.
AB - This study explored how farmers' motivation in terms of use values and/or non-use
values to work with farm animal welfare are associated with the economic outcome
for the farm. Use values in farm animal welfare refer to economic value derived
from productivity and profitability considerations. Non-use values in farm animal
welfare refer to economic value derived from good animal welfare, irrespective of
the use the farmer derives from the animal, currently or in the future. The
analysis was based on detailed information about the income statements of a
sample of Swedish dairy farmers, obtained from the Swedish Farm Economic Survey,
complemented with survey information about their perceived use and non-use values
in farm animal welfare. The findings suggest that farm economic outcome is
significantly associated with motivation in terms of use values, but not so much
with motivation in terms of non-use values. This is interesting from a policy
point of view, because it indicates that farmers with different approaches to
farm animal welfare may experience different economic outcomes for their farms.
Findings can, for instance, be used to strengthen farmers' engagement in various
private quality assurance standards, which generally focus on values of non-use
type, by pointing to that realisation of such values will not impair the economic
outcome of the farms. Moreover, findings also suggest that farmers' economic
incentives for engagement in such standards may need to be further strengthened
in order to become more attractive, as findings point to that a focus on non-use
values generally is not associated with more favourable economic outcomes.
PMID- 29362013
TI - Dietary patterns are associated with child, maternal and household-level
characteristics and overweight/obesity among young Samoan children.
AB - OBJECTIVE: Among young Samoan children, diet may not be optimal: in 2015, 16.1 %
of 24-59-month-olds were overweight/obese, 20.3 % stunted and 34.1 % anaemic. The
present study aimed to identify dietary patterns among 24-59-month-old Samoan
children and evaluate their association with: (i) child, maternal and household
characteristics; and (ii) nutritional status indicators (stunting,
overweight/obesity, anaemia). DESIGN: A community-based, cross-sectional study.
Principal component analysis on 117 FFQ items was used to identify empirical
dietary patterns. Distributions of child, maternal and household characteristics
were examined by factor score quintiles. The regression of nutritional status
indicators v. these quintiles was performed using logistic regression models.
SETTING: Ten villages on the Samoan island of Upolu. SUBJECTS: A convenience
sample of mother-child pairs (n 305). RESULTS: Two dietary patterns, modern and
neo-traditional, emerged. The modern pattern was loaded with 'westernized' foods
(red meat, condiments and snacks). The neo-traditional pattern included
vegetables, local starches, coconuts, fish and poultry. Following the modern diet
was associated with urban residence, greater maternal educational attainment,
higher socio-economic status, lower vitamin C intake and higher sugar intake.
Following the neo-traditional diet was associated with rural residence, lower
socio-economic status, higher vitamin C intake and lower sugar intake. While
dietary patterns were not related to stunting or anaemia, following the neo
traditional pattern was positively associated with child overweight/obesity
(adjusted OR=4.23, 95 % CI 1.26, 14.17, for the highest quintile, P-trend=0.06).
CONCLUSIONS: Further longitudinal monitoring and evaluation of early childhood
growth and development are needed to understand the influences of early diet on
child health in Samoa.
PMID- 29362014
TI - Use of the Community Assessment for Public Health Response (CASPER) Method by
Public Health Agencies in Texas, 2001-2015.
AB - OBJECTIVES: The use of the Community Assessment for Public Health Emergency
Response (CASPER) method in disaster and non-disaster settings continues to grow.
While CASPERs flexibility has been well demonstrated, the documentation of
specific actions that have resulted from the utilization of CASPER data has been
limited. We attempted to document changes in emergency preparedness planning and
policy based on CASPER data. METHODS: Written reports from 19 CASPERs conducted
in Texas between 2001 and 2015 were collected. Key informant interviews were
conducted with 9 public health staff knowledgeable about the CASPERs. Written
reports and interview transcripts were coded and analyzed for themes. RESULTS:
Few specific outcomes could be documented beyond a single successful grant
application. Respondents felt CASPER data was not duplicative and was useful for
improving existing plans. CASPER is seen as an effective way to enhance
relationships with communities and partnerships with agencies including Emergency
Management. CONCLUSION: As the use of CASPER grows, it is increasingly important
to document any specific and measurable benefits, actions, and changes to
planning or policy taken as the result of data collected using the CASPER method.
Without measurable outputs and outcomes, support for the use of CASPER from
decision-makers may begin to wane. (Disaster Med Public Health Preparedness.
2018;page 1 of 5).
PMID- 29362015
TI - Differential virulence of camel Trypanosoma evansi isolates in mice.
AB - This study assessed the virulence of Trypanosoma evansi, the causative agent of
camel trypanosomiasis (surra), affecting mainly camels among other hosts in
Africa, Asia and South America, with high mortality and morbidity. Using Swiss
white mice, we assessed virulence of 17 T. evansi isolates collected from surra
endemic countries. We determined parasitaemia, live body weight, packed cell
volume (PCV) and survivorship in mice, for a period of 60 days' post infection.
Based on survivorship, the 17 isolates were classified into three virulence
categories; low (31-60 days), moderate (11-30 days) and high (0-10 days).
Differences in survivorship, PCV and bodyweights between categories were
significant and correlated (P < 0.05). Of the 10 Kenyan isolates, four were of
low, five moderate and one (Type B) of high virulence. These findings suggest
differential virulence between T. evansi isolates. In conclusion, these results
show that the virulence of T. evansi may be region specific, the phenotype of the
circulating parasite should be considered in the management of surra. There is
also need to collect more isolates from other surra endemic regions to confirm
this observation.
PMID- 29362016
TI - Effects of fermented soybean meal on carbon and nitrogen metabolisms in large
intestine of piglets.
AB - Fermented soybean meal (FSM), which has lower anti-nutritional factors and higher
active enzyme, probiotic and oligosaccharide contents than its unfermented form,
has been reported to improve the feeding value of soybean meal, and hence, the
growth performance of piglets. However, whether FSM can affect the bacterial and
metabolites in the large intestine of piglets remains unknown. This study
supplemented wet-FSM (WFSM) or dry-FSM (DFSM) (5% dry matter basis) in the diet
of piglets and investigated its effects on carbon and nitrogen metabolism in the
piglets' large intestines. A total of 75 41-day-old Duroc*Landrace*Yorkshire
piglets with an initial BW of 13.14+/-0.22 kg were used in a 4-week feeding
trial. Our results showed that the average daily gain of piglets in the WFSM and
DFSM groups increased by 27.08% and 14.58% and that the feed conversion ratio
improved by 18.18% and 7.27%, respectively, compared with the control group. Data
from the prediction gene function of Phylogenetic Investigation of Communities by
Reconstruction of Unobserved States (PICRUSt) based on 16S ribosomal RNA (rRNA)
sequencing showed that carbohydrate metabolism function families in the WFSM and
DFSM groups increased by 3.46% and 2.68% and that the amino acid metabolism
function families decreased by 1.74% and 0.82%, respectively, compared with the
control group. These results were consistent with those of other metabolism
studies, which showed that dietary supplementation with WFSM and DFSM increased
the level of carbohydrate-related metabolites (e.g. 4-aminobutanoate, 5
aminopentanoate, lactic acid, mannitol, threitol and beta-alanine) and decreased
the levels of those related to protein catabolism (e.g. 1,3-diaminopropane,
creatine, glycine and inosine). In conclusion, supplementation with the two forms
of FSM improved growth performance, increased metabolites of carbohydrate and
reduced metabolites of protein in the large intestine of piglets, and WFSM
exhibited a stronger effect than DFSM.
PMID- 29362017
TI - Expanding the breadth of Medicare: learning from Australia.
AB - The design of Australia's Medicare programme was based on the Canadian scheme,
adapted somewhat to take account of differences in the constitutional division of
powers in the two countries and differences in history. The key elements are very
similar: access to hospital services without charge being the core similarity,
universal coverage for necessary medical services, albeit with a variable co
payment in Australia, the other. But there are significant differences between
the two countries in health programmes - whether or not they are labelled as
'Medicare'. This paper discusses four areas where Canada could potentially learn
from Australia in a positive way. First, Australia has had a national
Pharmaceutical Benefits Scheme for almost 70 years. Second, there have been
hesitant extensions to Australia's Medicare to address the increasing prevalence
of people with chronic conditions - extensions which include some payments for
allied health professionals, 'care coordination' payments, and exploration of
'health care homes'. Third, Australia has a much more extensive system of support
for older people to live in their homes or to move into supported residential
care. Fourth, Australia has gone further in driving efficiency in the hospital
sector than has Canada. Finally, the paper examines aspects of the Australian
health care system that Canada should avoid, including the very high level of out
of-pocket costs, and the role of private acute inpatient provision.
PMID- 29362018
TI - Role of microbiota-derived lipopolysaccharide in adipose tissue inflammation,
adipocyte size and pyroptosis during obesity.
AB - It has been established that ingestion of a high-fat diet increases the blood
levels of lipopolysaccharides (LPS) from Gram-negative bacteria in the gut.
Obesity is characterised by low-grade systemic and adipose tissue inflammation.
This is suggested to be implicated in the metabolic syndrome and obesity. In the
present review, we hypothesise that LPS directly and indirectly participates in
the inflammatory reaction in adipose tissue during obesity. The experimental
evidence shows that LPS is involved in the transition of macrophages from the M2
to the M1 phenotype. In addition, LPS inside adipocytes may activate caspase
4/5/11. This may induce a highly inflammatory type of programmed cell death (i.e.
pyroptosis), which also occurs after infection with intracellular pathogens.
Lipoproteins with or without LPS are taken up by adipocytes. Large adipocytes are
more metabolically active and potentially more exposed to LPS than small
adipocytes are. Thus, LPS might be involved in defining the adipocyte death size
and the formation of crown-like structures. The adipocyte death size is reached
when the intracellular concentration of LPS initiates pyroptosis. The mechanistic
details remain to be elucidated, but the observations indicate that adipocytes
are stimulated to cell death by processes that involve LPS from the gut
microbiota. There is a complex interplay between the composition of the diet and
microbiota. This influences the amount of LPS that is translocated from the gut.
In particular, the lipid content of a meal may correlate with the amount of LPS
built in to chylomicrons.
PMID- 29362019
TI - The influence of azaperone treatment at weaning on reproductive function in sows:
ovarian activity and endocrine profiles during the weaning-to-ovulation interval.
AB - Azaperone can reduce stress caused by weaning and relocation of breeding sows,
but its effects on reproductive processes are still poorly understood. The
primary aim of this study was to describe and compare the endocrine and ovarian
activity in ultrasonographically monitored second parity sows, with or without
azaperone treatment at weaning (2 mg/kg BW i.m.). The intervals from weaning to
the onset of estrus and ovulation were both greater (P<0.05) in azaperone-treated
(n=12) than in control sows (n=12) by ~12 h. Mean daily growth rates of
identified antral follicles were less (P<0.05) in azaperone-treated than in
control sows (1.08+/-0.17 v.1.23+/-0.18 mm/day; mean+/-SD) and treated animals
exceeded (P<0.05) controls in the mean ovulation rate (13.7+/-1.3 v. 12.6+/-1.2).
A transient suppression of cortisol release was observed in the treatment group
(at 10 and 30 min after azaperone injections) but circulating cortisol
concentrations were variable in both groups of sows for the remainder of the
study. The preovulatory rise in LH and estradiol secretion was delayed (P<0.05),
and the duration of the LH surge was greater (P<0.001) in azaperone-treated sows
compared with their control counterparts. The amplitude of episodic fluctuations
in serum cortisol concentrations was correlated with the number of stillborn
piglets in control sows (r=0.63, P=0.04). The amplitude and concentration of the
preovulatory rise in estradiol secretion were negatively correlated with
ovulatory response and litter size (r=-0.63 to -0.82, P<0.05), whereas the time
at which the LH surge ended was directly related to the number of live-born
piglets (r=0.82, P=0.002) in azaperone-treated animals. The present results
indicate that administration of azaperone at weaning had a profound effect on
preovulatory LH secretion as well as growth kinetics and estrogenicity of ovarian
antral follicles. However, the causative associations among various
characteristics of the preovulatory LH discharge, ovarian and adrenal steroid
secretion post-weaning, and reproductive variables in sows remain equivocal.
PMID- 29362020
TI - Verbal Memory Deficits in OEF/OIF/OND Veterans Exposed to Blasts at Close Range.
AB - OBJECTIVES: This study investigated the relationship between close proximity to
detonated blast munitions and cognitive functioning in OEF/OIF/OND Veterans.
METHODS: A total of 333 participants completed a comprehensive evaluation that
included assessment of neuropsychological functions, psychiatric diagnoses and
history of military and non-military brain injury. Participants were assigned to
a Close-Range Blast Exposure (CBE) or Non-Close-Range Blast Exposure (nonCBE)
group based on whether they had reported being exposed to at least one blast
within 10 meters. RESULTS: Groups were compared on principal component scores
representing the domains of memory, verbal fluency, and complex attention
(empirically derived from a battery of standardized cognitive tests), after
adjusting for age, education, PTSD diagnosis, sleep quality, substance abuse
disorder, and pain. The CBE group showed poorer performance on the memory
component. Rates of clinical impairment were significantly higher in the CBE
group on select CVLT-II indices. Exploratory analyses examined the effects of
concussion and multiple blasts on test performance and revealed that number of
lifetime concussions did not contribute to memory performance. However,
accumulating blast exposures at distances greater than 10 meters did contribute
to poorer performance. CONCLUSIONS: Close proximity to detonated blast munitions
may impact memory, and Veterans exposed to close-range blast are more likely to
demonstrate clinically meaningful deficits. These findings were observed after
statistically adjusting for comorbid factors. Results suggest that proximity to
blast should be considered when assessing for memory deficits in returning
Veterans. Comorbid psychiatric factors may not entirely account for cognitive
difficulties. (JINS, 2018, 24, 466-475).
PMID- 29362021
TI - Let-7c Inhibits the Proliferation, Invasion, and Migration of Glioma Cells via
Targeting E2F5.
AB - As a member of the miRNA family, let-7c has been identified as a tumor suppressor
in many cancers. However, the molecular biological function of let-7c in glioma
has not been elucidated. The aim of this study was to explore let-7c expression
levels and evaluate its function in glioma cells. We first measured the
expression of let-7c in four glioma cell lines and a normal cell line by
quantitative real-time reverse transcription polymerase chain reaction (qRT-PCR),
and the results showed that let-7c was downregulated in glioma cells. By applying
gain-of-function and loss-of-function assays, the experiments suggested that
dysregulation of let-7c could obviously affect cell proliferation, metastasis,
and invasion. Based on online bioinformatics analysis and Dual-Luciferase
Reporter assays, we found that E2F5 was a target gene of let-7c and contributed
to the function of let-7c in glioma cells. Our investigations indicated that loss
of let-7c contributed to the progression of glioma cells.
PMID- 29362023
TI - Expression of TAp73alpha affects the therapy effect of chemotherapy drugs in
gastric cancer.
AB - The transcription factor TAp73, a transcriptionally active isoform of p73, has
high structure and function similaritieswith its homolog p53, therefore, are
thought to be a cancer therapy candidate target. However, there is still a
controversy about the tumor suppressor role of TAp73, since it has been found in
numerous studies that TAp73 expression is elevated in different cancers. Thus, we
take effort to clarify the influence of TAp73 on gastric cancer (GC)
chemotherapy. Multiple cell lines of GC such as SNU-1, SNU-3, and AGS were
applied to investigate expression of TAp73. Flow cytometry was utilized to detect
apoptosis, revealing how TAp73 overexpression affected anticancer drug (ACD).
Additionally, we explored how TAp73 overexpression influenced apoptotic cells of
neoplastic tissues and tumor size of nude mice in vivo. Our results indicated
that TAp73 was down-regulated in GC cells after chemotherapy drugs treatment.
Besides, enforced expression of TAp73 affects chemotherapeutic drugs induced GC
cell apoptosis, which is dependent on p53. The expression of TAp73 was regulated
by its transcription factor, E2F1, in response to chemotherapy drugs. Our in vivo
xenograft results also suggested that transfection of TAp73 affects the tumor
suppression effect of 5-FU. Consequently, the findings of our study demonstrate
that E2F1 and TAp73alpha are oncogenic and throw light upon the underlying
mechanism of their role against apoptosis.
PMID- 29362024
TI - Models of nutrition-focused continuing education programs for nurses: a
systematic review of the evidence.
AB - Nurses are well-positioned to provide basic nutrition education and reinforce
nutrition messages to patients in hospital and primary care settings. Despite
this, nurses may not receive adequate training to provide this service, and there
is limited opportunity for nurses to engage in nutrition-focused continuing
education (CE). The aim of this review was to determine whether nurse nutrition
education results in improved knowledge and practices; and explore which models
of CE for nutrition may be most acceptable and effective in practice. Web of
Science and Scopus were searched for case-series studies published between 2000
and 2016 that investigated changes in nutrition knowledge of nurses and midwives.
Only studies that could transcend to nurses providing patient nutrition education
were included. Twelve articles met the eligibility criteria. Articles are
explored in terms of mode of delivery, duration of intervention and educational
strategies employed. Nutrition CE programs that are delivered face-to-face or by
self-directed learning manuals, which utilise active learning strategies, are
positively associated with improvements in nutrition knowledge. Web-based CE and
self-directed learning may be favourable modes of delivery as they may assist in
addressing resource and time contraints.
PMID- 29362025
TI - Rigorous follow-up systems for abnormal results are essential to improve health
outcomes for Aboriginal and Torres Strait Islander people.
PMID- 29362026
TI - Anatomy and Physiology of the Lesser Metatarsophalangeal Joints.
AB - Knowledge and command of anatomy is paramount to effectively treating disorders
of the lesser metatarsophalangeal (MTP) joints. The osseous structures consist of
the proximal phalanx of the toe and the metatarsal head. The soft tissues on the
dorsum of the MTP joint include the joint capsule and the tendons of extensor
digitorum longus and extensor digitorum brevis. The proper and accessory
collateral ligaments form the medial and lateral walls and contribute to
stability in the coronal and sagittal planes. The plantar plate forms the plantar
border of the MTP joint and stabilizes the MTP joint against hyperextension and
dorsal translation.
PMID- 29362027
TI - Lesser Metatarsophalangeal Joint Instability: Treatment with Tendon Transfers.
AB - Complex digital deformities and metatarsophalangeal joint instability encompass a
wide range of pathology, and we must identify the different degrees of
ligamentous disruption. It is important to address a combination of procedures to
treat gross deformities of the lesser toes. Surgical treatment should be
individualized and requires a sequential process for adequate reduction and
deformity correction. There is no gold standard procedure for every deformity.
Although residual stiffness can result from tendon transfer, overall patient
satisfaction levels remain high when it is performed under the proper indications
and concomitantly with other procedures to gain full correction of these
challenging deformities.
PMID- 29362028
TI - Lesser Metatarsophalangeal Joint Instability: Advancements in Plantar Plate
Reconstruction.
AB - The plantar plate and associated collateral ligaments are the main stabilizers of
each of the lesser metatarsophalangeal joints. Although clinical examination and
plain radiographs are usually sufficient to establish the diagnosis of a plantar
plate tear, MRI or fluoroscopic arthrograms may help in specific cases. Recent
results with a dorsal approach to plantar plate repair are promising with respect
to pain relief and patient satisfaction.
PMID- 29362029
TI - Managing Complications of Lesser Toe and Metatarsophalangeal Joint Surgery.
AB - The anatomy of the lesser toes is highly complicated and not yet well understood.
The high propensity of the metatarsophalangeal joint to develop hyperextension
deformity should be recognized. Surgeons should provide each patient with a
realistic expectation for lesser toe reconstructive procedures. A successful
surgical result requires a well-planned procedure, accurate execution using
proper techniques, and meticulous postoperative care. When complications occur,
surgeons should identify culprits so that proper treatment strategies can be
successfully executed. This article discusses a wide array of tactics to manage
common complications in lesser toe surgery.
PMID- 29362030
TI - Treatment of Freiberg Disease.
AB - Freiberg disease, or osteochondrosis of the lesser metatarsal head, usually
involves the second metatarsal and presents during the second or third decades of
life. Conservative measures to relieve pressure on the affected metatarsal head
are the first-line treatments, with good success for Smillie stage I to III
disease. Operative treatments are divided into joint-preserving and joint
reconstructing procedures. Although multiple case series describe success with
numerous techniques, there are no established guidelines for treatment. All
surgical techniques carry a risk of a stiff or floating toe and transfer
metatarsalgia. This article reviews the current surgical treatment options for
Freiberg disease.
PMID- 29362031
TI - Treatment of Metatarsalgia with Distal Osteotomies.
AB - Many different distal metatarsal osteotomies have been described in the surgical
treatment of metatarsalgia. The surgeon should use such osteotomies judiciously,
and indeed, in the author's experience, they are infrequently required and are
certainly not a first port of call. In cases where nonoperative treatments have
failed, a thorough understanding of the causes of metatarsalgia and a detailed
clinical assessment of the patient are essential if good surgical outcomes are to
be achieved. If using distal metatarsal osteotomies as part of the surgical plan,
then the author favors extra-articular percutaneous osteotomies to minimize
postoperative stiffness.
PMID- 29362032
TI - Treatment of Metatarsalgia with Proximal Osteotomies.
AB - Metatarsalgia is among the most common sources of forefoot pain. Proximal
metatarsal osteotomies are an important technique in the armamentarium of the
surgeon treating metatarsalgia that has failed nonoperative management. Proximal
osteotomies can provide powerful deformity correction with precise control to
both shorten and elevate the metatarsal head. However, they can be technically
challenging, difficult to attain satisfactory fixation, require increased
postoperative immobilization, and can result in transfer lesions. There are
numerous described techniques with little supporting evidence and more research
is needed to establish the optimal procedure to reliably alleviate patient's
symptoms while minimizing complications.
PMID- 29362033
TI - Metatarsal Osteotomies: Complications.
AB - Metatarsal osteotomies can be divided into proximal and distal. The proximal
osteotomies, such as the oblique, segmental, set cut, and Barouk-Rippstein
Toullec (BRT) osteotomy, all provide the ability to significantly change the
position of the metatarsal head without violating the joint. These osteotomies,
however, have a high rate of nonunion when done without internal fixation and can
lead to transfer metatarsalgia when done without regard to the parabola of
metatarsal head position. Distal osteotomies such as the Weil and Helal offer
superior healing but have an increased incidence of recurrent metatarsalgia,
joint stiffness, and floating toe.
PMID- 29362034
TI - Gastrocnemius Recession for Metatarsalgia.
AB - Metatarsalgia is a common cause of plantar forefoot pain. Causes of metatarsalgia
include foot anatomy, gait mechanics, and foot and ankle deformity. One specific
cause, mechanical metatarsalgia, occurs because of gastrocnemius muscle
contracture, which overloads the forefoot. Muscular imbalance of the
gastrocnemius complex alters gait mechanics, which increases recruitment of the
toe extensor musculature, thereby altering forefoot pressure. Patients with
concomitant metatarsalgia and gastrocnemius contracture demonstrate ankle equinus
and a positive Silfverskiold test. Nonoperative therapeutic modalities are
mainstays of treatment. In patients in whom these treatments fail to provide
metatarsalgia symptomatic relief, gastrocnemius muscle lengthening is a
therapeutic option.
PMID- 29362035
TI - Treatment of Flexible Lesser Toe Deformities.
AB - Lesser toe deformities are among the most common complaints presented to foot and
ankle specialists. These deformities present in variable ways, which makes
surgical decision making complex. For every type of deformity, there could be a
combination of soft tissues and bony procedures, chosen according to the
surgeon's preferences. This article first describes modern classification of
lesser toe deformities, and then presents the different treatments and procedures
available for those flexible deformities. In addition, this article proposes an
algorithm based on clinical/radiological evaluation and step-by-step surgical
decision making.
PMID- 29362036
TI - Conservative Management of Metatarsalgia and Lesser Toe Deformities.
AB - There are several forefoot conditions that can result in metatarsal head pain.
Various points of the gait cycle can predispose the metatarsal heads to pain
based on intrinsic and extrinsic imbalances. Metatarsalgia can further be
classified according to primary, secondary, or iatrogenic etiologies. Within
these groups, conservative management is the first line of treatment and can
often obviate surgical intervention. Depending on the cause of pain, proper
shoewear, orthoses, and inserts coupled with targeted physical therapy can
alleviate most symptoms of metatarsalgia and lesser toe deformities.
PMID- 29362037
TI - Treatment of Rigid Hammer-Toe Deformity: Permanent Versus Removable Implant
Selection.
AB - Hammer-toe deformities that fail nonoperative treatment can be successfully
addressed with proximal interphalangeal joint resection arthroplasty or fusion.
The goal of surgery is to eliminate the deformity and rigidly fix the toe in a
well-aligned position. Hammer-toe correction procedures can be performed with
temporary Kirschner wire (K-wire) fixation for 3 to 6 weeks with high success
rates. Pain relief with successful hammer-toe correction approaches 90%; patient
satisfaction rates approximate 84%. Although complication rates are rare in most
series, there remains a concern regarding exposed temporary K-wire fixation,
which has led to the development of multiple permanent internal fixation options.
PMID- 29362038
TI - Management of Metatarsalgia and Lesser Toe Deformities.
PMID- 29362039
TI - 2018: The Year of Balance.
PMID- 29362040
TI - A Daily Dose of Positive Perspective.
PMID- 29362041
TI - Collaborative Development of a Perioperative Thermal Care Bundle Using the
Guideline Implementability Appraisal Tool.
AB - BACKGROUND: Perioperative hypothermia significantly increases a patient's risk of
adverse complications, such as surgical site infection; morbid cardiac events,
and surgical bleeding. Although guideline recommendations are relatively simple
and inexpensive, they are often not adhered to in clinical practice. Knowledge
tools are tangible resources that assist clinicians to provide evidence-based
care. PURPOSE: This article reports the collaborative development of a knowledge
tool-a perioperative thermal care bundle. DESIGN: Collaborative, iterative
design. METHODS: A multidisciplinary panel of experts used the online GuideLine
Implementability Appraisal tool to prioritize and select recommendations for
inclusion in the care bundle. FINDINGS: Through a consensus process, the expert
panel selected three main bundle elements: Assess patient's risk of hypothermia
and contraindications to active warming; record temperature frequently
preoperatively, intraoperatively, and postoperatively; and actively warm,
intraoperatively, if they are at high risk, or anytime they are hypothermic.
CONCLUSIONS: The GuideLine Implementability Appraisal tool was a simple yet
comprehensive tool that enabled the development of a care bundle by expert
clinicians.
PMID- 29362042
TI - Implementing Microstream End-Tidal CO2 in the PACU.
AB - Managing patients with undiagnosed Obstructive Sleep Apnea (OSA) can be a
challenge in the postoperative phase. OSA is a chronic disease defined by pauses
in breathing that are repetitive during sleep that can last for one minute or
longer. This can occur more than five times in an hour. As many as 80-90% of
patients undergoing elective surgery have undiagnosed sleep apnea and an
increased risk of respiratory complications postoperatively. Capnography is a
monitoring tool that can detect increases in end-tidal carbon dioxide levels,
which is a sign of respiratory decline.
PMID- 29362043
TI - Obstructive Sleep Apnea: Emphasis on Discharge Education After Surgery.
AB - In the United States, the prevalence of obstructive sleep apnea (OSA) in the
adult population is increasing. More than half of the surgical patients with OSA
are predisposed to increased incidence of perioperative complications. Based on
the recent evidence, obstructive events are at the highest on the third day after
surgery, which predisposes them for postoperative complications. The American
Society of Perianesthesia Nurses recommends that discharge education on OSA
should be provided after surgery to patients diagnosed with OSA to promote
continuous positive airway pressure (CPAP) compliance and self-care behaviors at
home. However, CPAP adherence is poor. The purpose of this evidence-based
practice project is to evaluate the effectiveness of discharge education on OSA
for increasing CPAP compliance after surgery among adult surgical patients
diagnosed with OSA, who use CPAP.
PMID- 29362044
TI - Intrathecal Morphine-Related Perioperative Hypothermia in Women Undergoing
Cesarean Delivery: A Retrospective Case-Control Study.
AB - PURPOSE: Rates of inadvertent perioperative hypothermia among women undergoing
spinal anesthesia for cesarean delivery are reported to be high. Intrathecal
morphine has been noted to have a potentially potent effect on thermoregulation.
This retrospective case-control study sought to investigate the incidence of
perioperative hypothermia in women undergoing cesarean delivery with and without
intrathecal morphine and to describe any clinical factors associated with the
condition, the identification of which would provide direction for nursing
priorities in the care of the condition. DESIGN: A retrospective case-controlled
study design was used. METHODS: The charts of 358 women who had undergone
emergency or elective cesarean delivery under spinal anesthesia were reviewed:
179 having received intrathecal morphine and 179 having received spinal
anesthesia without intrathecal morphine (control group). SPSS (IBM, Armonk, New
York), version 22, was used for data analysis, including logistic regression to
predict the outcome of hypothermia across the study population. FINDINGS: There
was no significant difference (P = .62; 95% confidence interval, -0.09 to 0.15)
in mean postoperative temperature for the morphine group (mean postanesthesia
care unit arrival temperature, 35.91 degrees C; standard deviation, 0.59) and the
no morphine group (mean postanesthesia care unit arrival temperature, 35.88
degrees C; standard deviation, 0.52). However, within groups, the temperature
decline preoperatively to postoperatively was statistically (and clinically)
significant. CONCLUSIONS: The results refute the suggestion that intrathecal
morphine contributes to greater core temperature decline in this population;
however, it does confirm that perioperative hypothermia is a prevalent concern
for women undergoing cesarean delivery and that pre-emptive measures should be
routinely considered by health care providers.
PMID- 29362045
TI - Postoperative Shivering Among Cannabis Users at a Public Hospital in Trinidad,
West Indies.
AB - PURPOSE: Postoperative shivering has been anecdotally observed to be frequent and
severe in Cannabis smokers following general anesthesia in the Caribbean. The aim
of this study was to compare the frequency and intensity of postoperative
shivering in Cannabis users versus non-users. DESIGN: A prospective, cross
sectional, observational design was used. METHODS: Demographic data were
obtained. Patients were grouped into Cannabis users and non-users. All patients
received standardized general anesthesia and were administered warmed fluids
intraoperatively. Ambient room temperatures and clinical data were recorded.
Patients' core body temperature was recorded at 10-minute intervals both in the
operating room and the post-anesthesia care unit (PACU). Postoperatively an
independent observer assessed the patients who had shivering using a scoring
system ranging from 0 to 3. Treatment for shivering and post-treatment shivering
scores were also recorded. FINDINGS: Fifty-five patients were studied, of which
71% were male. There were 25 (45%) Cannabis users, of which 50% smoked < 5 joints
per week, and 35% smoked >10 joints per week; 30 (55%) patients were non-users.
The overall incidence of postoperative shivering was 36%; 16% had a shivering
score of '3', 13% had '2' and 7% had a score of '1'. The incidence of
postoperative shivering among Cannabis users was 40% while it was 33.3% in non
users. Also, 90% of Cannabis users had shivering scores of 2 and 3, compared to
70% of non-users. CONCLUSIONS: There was a higher incidence and intensity of
shivering in Cannabis smokers, although the study could not establish a
statistically significant difference in the frequency and severity of shivering
between Cannabis users and non-users.
PMID- 29362046
TI - The Efficacy of Intraoperative EEG to Predict the Occurrence of Emergence
Agitation in the Postanesthetic Room After Sevoflurane Anesthesia in Children.
AB - PURPOSE: Emergence agitation (EA) is common after sevoflurane anesthesia, but
there are no definite predictors. This study investigated whether intraoperative
electroencephalography (EEG) can indicate the occurrence of EA in children.
DESIGN: A prospective predictive study design was used. METHODS: EEG-derived
parameters (spectral edge frequency 95, beta, alpha, theta, and delta power) were
measured at 1.0 minimum alveolar concentration (MAC) and 0.3 MAC of end-tidal
sevoflurane (EtSEVO) in 29 patients. EA was evaluated using an EA score (EAS) in
the postanesthetic care unit on arrival (EAS 0) and at 15 and 30 minutes after
arrival (EAS 15 and EAS 30). The correlation between EEG-derived parameters and
EAS was analyzed using Spearman correlation, and receiver-operating
characteristic curve analysis was used to measure the predictability. FINDINGS:
EA occurred in 11 patients. The alpha power at 1.0 MAC of EtSEVO was correlated
with EAS 15 and EAS 30. The theta/alpha ratio at 0.3 MAC of EtSEVO was correlated
with EAS 30. The area under the receiver-operating characteristic curve of
percentage of alpha bands at 0.3 MAC of EtSEVO and the occurrence of EA was
0.672. CONCLUSIONS: Children showing high-alpha powers and low theta powers (=
low theta/alpha ratio) during emergence from sevoflurane anesthesia are at high
risk of EA in the postanesthetic care unit.
PMID- 29362047
TI - Impact of Dexmedetomidine on Pediatric Agitation in the Postanesthesia Care Unit.
AB - PURPOSE: This study aims to investigate the impacts of dexmedetomidine (DEX) on
agitation in the postanesthesia care unit (PACU) for pediatric patients
undergoing tonsillectomy. DESIGN: Eighty-two pediatric patients with elective
tonsillectomy were randomly divided into the DEX group (group D) and the control
group (group C). All patients' surgery in the two study groups were completed
under tracheal cannula-based general anesthesia. Group D was infused 0.2
mcg/kg/hour DEX. The operation time, extubation time, PACU time, number of cases
with nausea and vomiting, hemodynamic changes, and doses of rescue fentanyl, as
well as every 10-minute observational pain scoring, and emergence agitation score
in PACU, of the two groups were recorded. METHODS: Chi-square and Fischer exact
tests were applied for categorical variables. FINDINGS: The maximum observational
pain scoring and emergence agitation score of group D at 0, 10, and 20 minutes in
PACU were significantly lower than group C (P < .01); the rescue doses of
fentanyl and incidence of severe agitation in PACU in group D were significantly
reduced than group C (P < .01). Group D showed significantly less cases with
nausea and vomiting than group C (P < .05). CONCLUSIONS: Low-dose DEX could
significantly reduce the delirium and agitation in the PACU stage of pediatric
tonsillectomy, and there were no untoward hemodynamic events in this study of 80
patients.
PMID- 29362048
TI - Protective Nursing Advocacy: Translation and Psychometric Evaluation of an
Instrument and a Descriptive Study of Swedish Registered Nurse Anesthetists'
Beliefs and Actions.
AB - PURPOSE: To translate and adapt the Protective Nursing Advocacy Scale (PNAS) into
a Swedish version (PNAS-Swe), evaluate its psychometric properties, and describe
registered nurse anesthetists' (RNAs) advocacy beliefs and actions from a
protective perspective. DESIGN: A cross-sectional design was used. METHODS:
First, the PNAS was translated into Swedish. Next, the content and construct
validity of the PNAS four subscales was evaluated. Finally, the PNAS-Swe was used
to describe Swedish RNA beliefs and actions regarding protective nursing
advocacy. FINDINGS: The final PNAS-Swe has 29 items in four subscales. The RNAs
reported that they feel that they should provide protective nursing advocacy for
their patients. There were no differences in gender, or associations with age, or
work experience regarding their advocacy beliefs or actions. CONCLUSIONS: The
PNAS-Swe is valid for use in a Swedish context. Protective nursing advocacy is
important to the RNAs, which is in congruence with earlier qualitative studies.
PMID- 29362049
TI - Nurse Anesthetists' Reflections on Caring for Patients With Previous Substance
Dependence: Balancing Between Professionalism and Preconceptions.
AB - PURPOSE: The study aim was to describe nurse anesthetists' reflections on the
provision of perioperative care to patients with previous substance dependence.
DESIGN: A qualitative approach with a descriptive design. METHODS: Semistructured
interviews based on clinical vignettes were conducted with 10 nurse anesthetists.
FINDINGS: The perioperative care provided to patients with previous substance
dependence was perceived as balancing between professionalism and preconceptions
for this specific patient group. The nurse anesthetists felt that anesthetizing
this group of patients constituted a challenge with regard to knowledge,
experience, and time. However, the nurses also had feelings of distrust and
uncertainty because of lack of knowledge. CONCLUSIONS: The nurse anesthetists
strove to uphold the principle that patients who are/have been substance
dependent have the same right to adequate treatment and care as all patients. If
guidelines were developed for this patient group, care could be made safer and
nurses' sense of uncertainty minimized.
PMID- 29362051
TI - Tips, Tricks, and Techniques for Managing the Chronic Pain Patient in the
Ambulatory Setting.
PMID- 29362050
TI - Nurses' Experience of Patient Care in Multibed Hospital Rooms: Results From In
Depth Interviews With Nurses After Further Education in Anesthesia.
AB - PURPOSE: The purpose of this study was to investigate and explore nurse's
previous experiences of patient care in MBRs before their further education in
anesthesia. DESIGN: Qualitative research. METHODS: Data were collected through
three focus group interviews using content data analysis. FINDINGS: Maintaining
patient privacy, providing conditions for communication between health care
professionals and patients, and undertaking daily practical care were stated as
the main problems in MBR care. Inferior conditions for providing sensitive
information and communication with proxies, especially in association with
language problems, were frequently encountered. Patient's proxies could
facilitate several problematic issues in MBR care. CONCLUSIONS: The present study
characterized well-defined and easily recognizable clinical problems experienced
in the care of patients in an MBR setting from a nurse's perspective. The opinion
shared by most nurses was that the inability to implement privacy, dignity, and
sound communication with patients should be taken into account in future hospital
designs. General dissatisfaction with work environment, increased stress, and the
risk of errors in care may otherwise follow.
PMID- 29362052
TI - Daily Intentional Nurse Leader Rounding on Patients.
PMID- 29362053
TI - Promoting Evidence-Based Practice and Dispelling Urban Legends to Achieve Safer
Pain Management.
PMID- 29362054
TI - Exercise and Positive Living in Human Immunodeficiency Virus/AIDS.
AB - Evidence would suggest that regardless of disease status, people living with
human immunodeficiency virus (HIV)/AIDS can obtain similar health benefits from
routine physical activity reported within general populations. Research has shown
significant improvements among psychological and physiologic variables within the
first 5 to 6 weeks of beginning a routine physical activity program. Daily
activity has shown promising results in other clinical populations, but there is
still a paucity of research that limits evidence among the HIV population.
Additional research is needed to examine the long-term benefits of physical
activity, and to discover more practical ways to achieve this lifestyle change.
PMID- 29362055
TI - Stigma and Discrimination: Threats to Living Positively with Human
Immunodeficiency Virus.
AB - Antiretroviral therapy and care advances have resulted in people with human
immunodeficiency virus (HIV) living longer and enjoying a higher level of
physical well-being. Despite such advances, individuals with HIV continue to
confront challenges to living positively, including facing the secondary epidemic
of stigma and discrimination. Following is a historical overview of the concept
of stigma and an exploration of the causes and consequences of multilevel stigma
for individuals with HIV. Strategies used by individuals and societies to manage
stigma and avoid negative experiences also are examined.
PMID- 29362057
TI - Nutritional Issues and Positive Living in Human Immunodeficiency Virus/AIDS.
AB - Nutritional counseling has been shown to improve dietary intake in individuals
with human immunodeficiency virus (HIV)/AIDS. Registered dietitians/nutritionists
can individualize diet interventions to optimize effectiveness in treating
metabolic consequences of the HIV infection or highly active antiretroviral
therapy. Nutrition management for individuals infected with HIV can be helpful in
maintaining lean body weight, combating oxidative stress, reducing complications
from hyperglycemia and hyperlipidemia, and managing gastrointestinal function.
Consideration should be given to including the expertise of a registered
dietitian/nutritionist.
PMID- 29362056
TI - End-of-Life Care and Bereavement Issues in Human Immunodeficiency Virus-AIDS.
AB - This review article addresses end-of-life care issues characterizing human
immunodeficiency virus progression by delineating associated stages of medical
and nursing care. The initial progression from primary medical and nursing care
aimed at functional cure to palliative care is discussed. This transition is
considered in accord with the major symptoms experienced, including fatigue,
pain, insomnia; decreased libido, hypogonadism, memory, and concentration;
depression; and distorted body image. From the stage of palliative care,
progression is delineated onward through the stages of hospice care, death and
dying, and the subsequent bereavement process.
PMID- 29362058
TI - The Role of Faith-Based Organizations in the Education, Support, and Services for
Persons Living with Human Immunodeficiency Virus/Acquired Immunodeficiency
Syndrome.
AB - Faith-based organizations are in a unique position to provide resilience
enhancing efforts for persons living with human immunodeficiency virus/AIDS. Many
persons living with human immunodeficiency virus/AIDS report having a strong
faith or religious affiliation, with a large percentage attending church services
on a regular basis. Faith-based organizations can use these factors to reach out
to these individuals and effectively promote health, well-being, education, and
support. Faith-based organizations can contribute to the reduction of stigma and
isolation for persons living with human immunodeficiency virus/AIDS.
PMID- 29362059
TI - Mindful Living with Human Immunodeficiency Virus and AIDS: Behavioral Medicine
for Patient Resilience and Improved Screening Practices.
AB - Complementary techniques are useful in treating adverse symptoms of human
immunodeficiency virus (HIV) and AIDS, and in preventing disease spread by
encouraging screening. This study indicates that HIV diagnosis rates are higher
in states where behavioral medicine is practiced; participation in such
activities may influence the extent to which someone might closely monitor
personal health. A strong evidence-base exists for the recommendation of
mindfulness practices that improve rates of primary preventive practices and self
reported quality of life for participants living with chronic conditions such as
HIV and AIDS. Access to such programs is an area for future research and
practice.
PMID- 29362060
TI - Promoting Cardiovascular Health in Patients Living with Human Immunodeficiency
Virus/Acquired Immunodeficiency Syndrome.
AB - Patients living with human immunodeficiency virus/acquired immunodeficiency
syndrome (PLWHA) are at increased risk of cardiovascular disease because of
advances in human immunodeficiency virus/acquired immunodeficiency syndrome
treatment and increased life expectancy. Cardiovascular health promotion in PLWHA
includes strategies for risk factor reduction, disease prevention, early
detection, and treatment of cardiovascular disease.
PMID- 29362061
TI - Substance Use Disorders in People Living with Human Immunodeficiency Virus/AIDS.
AB - Persons living with human immunodeficiency virus (HIV)/AIDS have a substantial
burden of co-occurring substance use disorders (SUDs); untreated alcohol and drug
use disorders among people living with HIV/AIDS contribute to worse HIV care
outcomes. SUDs are associated with key health behaviors and outcomes, including
delays in seeking medical care, poor engagement in treatment, reduced adherence
to medical treatment and antiretroviral therapy, immunosuppression, increased HIV
transmission through risky sexual behaviors, and increased burdens on health care
systems. HIV infection comorbid with SUD and a psychiatric disorder is a
clinically challenging condition creating a complex set of medical and
psychosocial challenges.
PMID- 29362062
TI - Best Practices and Self-Care to Support Women in Living Well with Human
Immunodeficiency Virus/AIDS.
AB - Women accounted for 20% of the cumulative AIDS cases in the United States as of
2015. Although their incidence of human immunodeficiency virus (HIV) has declined
in recent years, the rates of new infections and AIDS diagnoses for women of
color have remained high. Women with HIV tend to be more vulnerable than men with
this disease. They are more likely to be poor, uninsured, depressed, and
homeless; to have experienced interpersonal violence; and to be caregivers.
Attention to psychosocial needs and building trust are fundamental to engaging
HIV-positive women in care and helping them attain optimal health.
PMID- 29362063
TI - Management of Coinfections in Patients with Human Immunodeficiency Virus.
AB - In addition to chronic use of antiretrovirals to maintain suppression of human
immunodeficiency virus (HIV), many persons living with HIV are coinfected with
tuberculosis, hepatitis B, or hepatitis C. These coinfections can be successfully
treated or managed alongside HIV infection. Clinicians should follow practice
guidelines to appropriately screen patients with HIV for these coinfections and
initiate treatment when necessary. The most significant concern when treating any
of these coinfections is to avoid drug-drug interactions with the patient's
antiretrovirals. Several excellent practice guidelines exist for treatment of
these common HIV coinfections.
PMID- 29362064
TI - A Therapeutic Perspective of Living with Human Immunodeficiency Virus/AIDS in
2017.
AB - Patients with human immunodeficiency virus (HIV)/AIDS live a far different life
today compared with those who were infected in the 1980s and 1990s.
Antiretroviral therapy has evolved from a once poorly tolerated, heavy pill
burden to the availability of many once-daily single-tablet regimens. The
improvements in therapy have necessitated the need to be cognizant of
comorbidities as well as drug-drug interactions. Despite the tremendous advances
in therapy, newer therapies are in the pipeline and continue to emerge, making
care for patients burdened by HIV perhaps easier than it has ever been.
PMID- 29362065
TI - Positive Living with Human Immunodeficiency Virus/Acquired Immunodeficiency
Syndrome.
PMID- 29362066
TI - The Past, Present, and Future of Facial Fat Grafting.
PMID- 29362067
TI - Fat Grafting in Facial Aesthetic Units.
PMID- 29362068
TI - Autologous Fat Transfer for Facial Augmentation and Regeneration: Role of
Mesenchymal Stem Cells.
PMID- 29362069
TI - Fat Graft with Growth Factors.
PMID- 29362070
TI - Autologous Fat Augmentation of the Face.
PMID- 29362071
TI - Fat Grafting as an Adjunct to Facial Rejuvenation Procedures.
PMID- 29362072
TI - Autologous Fat Transfer for Maxillofacial Reconstruction.
PMID- 29362073
TI - Periorbital Rejuvenation with Application of Fat Transfer.
PMID- 29362074
TI - Current Techniques in Fat Grafting.
PMID- 29362075
TI - Complications in Fat Grafting.
PMID- 29362076
TI - Fat Grafting: Challenges and Debates.
PMID- 29362078
TI - Ectopic expression of SsPETE2, a plastocyanin from Suaeda salsa, improves plant
tolerance to oxidative stress.
AB - Accumulating evidence indicates that plant plastocyanin is involved in copper
homeostasis, yet the physiological relevance remains elusive. In this study, we
found that a plastocyanin gene (SsPETE2) from euhalophyte Suaeda salsa possessed
a novel antioxidant function, which was associated with the copper-chelating
activity of SsPETE2. In S. salsa, expression of SsPETE2 increased in response to
oxidative stress and ectopic expression of SsPETE2 in Arabidopsis enhanced the
antioxidant ability of the transgenic plants. SsPETE2 bound Cu ion and alleviated
formation of hydroxyl radicals in vitro. Accordingly, SsPETE2 expression lowered
the free Cu content that was associated with reduced H2O2 level under oxidative
stress. Arabidopsis pete1 and pete2 mutants showed ROS-sensitive phenotypes that
could be restored by expression of SsPETE2 or AtPETEs. In addition, SsPETE2
expressing plants exhibited more potent tolerance to oxidative stress than plants
overexpressing AtPETEs, likely owing to the stronger copper-binding activity of
SsPETE2 than AtPETEs. Taken together, these results demonstrated that plant PETEs
play a novel role in oxidative stress tolerance by regulating Cu homeostasis
under stress conditions, and SsPETE2, as an efficient copper-chelating PETE,
potentially could be used in crop genetic engineering.
PMID- 29362079
TI - The many types of carbonic anhydrases in photosynthetic organisms.
AB - Carbonic anhydrases (CAs) are enzymes that catalyze the interconversion of CO2
and HCO3-. In nature, there are multiple families of CA, designated with the
Greek letters alpha through theta. CAs are ubiquitous in plants, algae and
photosynthetic bacteria, often playing essential roles in the CO2 concentrating
mechanisms (CCMs) which enhance the delivery of CO2 to Rubisco. As algal CCMs
become better characterized, it is clear that different types of CAs are playing
the same role in different algae. For example, an alpha-CA catalyzes the
conversion of accumulated HCO3- to CO2 in the green alga Chlamydomonas
reinhardtii, while a theta-CA performs the same function in the diatom
Phaeodactylum tricornutum. In this review we argue that, in addition to its role
of delivering CO2 for photosynthesis, other metabolic roles of CA have likely
changed as the Earth's atmospheric CO2 level decreased. Since the algal and plant
lineages diverged well before the decrease in atmospheric CO2, it is likely that
plant, algae and photosynthetic bacteria all adapted independently to the drop in
atmospheric CO2. In light of this, we will discuss how the roles of CAs may have
changed over time, focusing on the role of CA in pH regulation, how CAs affect
CO2 supply for photosynthesis and how CAs may help in the delivery of HCO3- for
other metabolic reactions.
PMID- 29362080
TI - The miRNAome dynamics during developmental and metabolic reprogramming of tomato
root infected with potato cyst nematode.
AB - Cyst-forming plant-parasitic nematodes are pests threatening many crops. By means
of their secretions cyst nematodes induce the developmental and metabolic
reprogramming of host cells that lead to the formation of a syncytium, which is
the sole food source for growing nematodes. The in depth micro RNA (miRNA)
dynamics in the syncytia induced by Globodera rostochiensis in tomato roots was
studied. The miRNAomes were obtained from syncytia covering the early and
intermediate developmental stages, and were the subject of differential
expression analysis. The expression of 1235 miRNAs was monitored. The fold change
(log2FC) ranged from -7.36 to 8.38, indicating that this transcriptome fraction
was very variable. Moreover, we showed that the DE (differentially expressed)
miRNAs do not fully overlap between the selected time points, suggesting
infection stage specific regulation by miRNA. The correctness of RNA-seq
expression profiling was confirmed by qRT-PCR (quantitative Real Time Polymerase
Chain Reaction) for seven miRNA species. Down- and up-regulated miRNA species,
including their isomiRs, were further used to identify their potential targets.
Among them there are a large number of transcription factors linked to different
aspects of plant development belonging to gene families, such as APETALA2 (AP2),
SQUAMOSA (MADS-box), MYB, GRAS, and AUXIN RESPONSE FACTOR (ARF). The substantial
portion of potential target genes belong to the NB-LRR and RLK (RECEPTOR-LIKE
KINASE) families, indicating the involvement of miRNA mediated regulation in
defense responses. We also collected the evidence for target cleavage in the case
of 29 miRNAs using one of three alternative methods: 5' RACE (5' Rapid
Amplification of cDNA Ends), a search of tasiRNA within our datasets, and the
meta-analysis of tomato degradomes in the GEO (Gene Expression Omnibus) database.
Eight target transcripts showed a negative correlation with their respective
miRNAs at two or three time points. These results indicate a large regulatory
potential for miRNAs in tuning the development and defense responses.
PMID- 29362081
TI - Genome-wide association studies of doubled haploid exotic introgression lines for
root system architecture traits in maize (Zea mays L.).
AB - Root system architecture (RSA) is becoming recognized as important for water and
nutrient acquisition in plants. This study focuses on finding single nucleotide
polymorphisms (SNPs) associated with seedling RSA traits from 300 doubled haploid
(DH) lines derived from crosses between Germplasm Enhancement of Maize (GEM)
accessions and inbred lines PHB47 and PHZ51. These DH lines were genotyped using
62,077 SNP markers, while root and shoot phenotype data were collected from 14
day old seedlings. Genome-wide association studies (GWAS) were conducted using
three models to offset false positives/negatives. Multiple SNPs associated with
seedling root traits were detected, some of which were within or linked to gene
models that showed expression in seedling roots. Significant trait associations
involving the SNP S5_152926936 on Chromosome 5 were detected in all three models,
particularly the trait network area. The SNP is within the gene model
GRMZM2G021110, which is expressed in roots at seedling stage. SNPs that were
significantly associated with seedling root traits, and closely linked to gene
models that encode proteins associated with root development were also detected.
This study shows that the GEM-DH panel may be a source of allelic diversity for
genes controlling seedling root development.
PMID- 29362082
TI - Molecular characterization of a double-flower mutation in Matthiola incana.
AB - The double flower is one of the most important traits in the floricultural plant
Matthiola incana. Although a "doubleness" locus (S/s) was defined by genetic
analysis a century ago, the gene responsible for double flowers has not been
identified in M. incana. We isolated MiAG from M. incana cultivars, and its
sequence and genomic structure were found to be highly similar to the AGAMOUS
gene in Arabidopsis. Two independent mutated alleles miag1 and miag2 were
identified from the double-flowered individuals of M. incana cultivars. Deletions
of 135 bp (from the 2nd exon to the 2nd intron) and 89 bp (from the 7th intron to
the 8th exon) were detected in miag1 and miag2, respectively. No transcript was
detected in flower buds from miag1 alleles in corresponding cultivars, whereas
three mRNA variants with frameshifts were transcribed from the miag2 allele in
other cultivars. Thus, two mutated alleles corresponding to the s locus
contributed to the 'eversporting' type double-flower cultivars in M. incana.
Moreover, we also developed co-dominant molecular markers to describe the
genotypes of the three alleles of MiAG. Using these DNA markers allows for
selection of single- or double-flowered individuals among seedlings that do not
display phenotypic differences.
PMID- 29362083
TI - Functional characterization of a heterologously expressed Brassica napus WRKY41-1
transcription factor in regulating anthocyanin biosynthesis in Arabidopsis
thaliana.
AB - Previous studies have shown that a plant WRKY transcription factor, WRKY41, has
multiple functions, and regulates seed dormancy, hormone signaling pathways, and
both biotic and abiotic stress responses. However, it is not known about the
roles of AtWRKY41 from the model plant, Arabidopsis thaliana, and its ortholog,
BnWRKY41, from the closely related and important oil-producing crop, Brassica
napus, in the regulation of anthocyanin biosynthesis. Here, we found that the
wrky41 mutation in A. thaliana resulted in a significant increase in anthocyanin
levels in rosette leaves, indicating that AtWRKY41 acts as repressor of
anthocyanin biosynthesis. RNA sequencing and quantitative real-time PCR analysis
revealed increased expression of three regulatory genes AtMYB75, AtMYB111, and
AtMYBD, and two structural genes, AT1G68440 and AtGSTF12, all of which contribute
to anthocyanin biosynthesis, in the sixth rosette leaves of wrky41-2 plants at 20
days after germination. We cloned the full length complementary DNA of BnWRKY41-1
from the C2 subgenome of the B. napus genotype Westar and observed that, when
overexpressed in tobacco leaves as a fusion protein with green fluorescent
protein, BnWRKY41-1 is localized to the nucleus. We further showed that
overexpression of BnWRKY41-1 in the A. thaliana wrky41-2 mutant rescued the
higher anthocyanin content phenotype in rosette leaves of the mutant. Moreover,
the elevated expression levels in wrky41-2 rosette leaves of several important
regulatory and structural genes regulating anthocyanin biosynthesis were not
observed in the BnWRKY41-1 overexpressing lines. These results reveal that
BnWRKY41-1 has a similar role with AtWRKY41 in regulating anthocyanin
biosynthesis when overexpressed in A. thaliana. This gene represents a promising
target for genetically manipulating B. napus to increase the amounts of
anthocyanins in rosette leaves.
PMID- 29362084
TI - An ultraviolet B condition that affects growth and defense in Arabidopsis.
AB - Ultraviolet B light (UV-B, 280-315 nm) is the shortest wavelength of the solar
spectrum reaching the surface of the Earth. It has profound effects on plants,
ranging from growth regulation to severe metabolic changes. Low level UV-B mainly
causes photomorphogenic effects while higher levels can induce stress, yet these
effects tend to overlap. Here we identified a condition that allows growth
reduction without obvious detrimental stress in wild type Arabidopsis rosette
plants. This condition was used to study the effects of a daily UV-B dose on
plant characteristics of UV-B adapted plants in detail. Exploration of the
transcriptome of developing leaves indicated downregulation of genes involved in
stomata formation by UV-B, while at the same time genes involved in
photoprotective pigment biosynthesis were upregulated. These findings correspond
with a decreased stomatal density and increased UV-B absorbing pigments. Gene
ontology analysis revealed upregulation of defense related genes and meta
analysis showed substantial overlap of the UV-B regulated transcriptome with
transcriptomes of salicylate and jasmonate treated as well as herbivore exposed
plants. Feeding experiments showed that caterpillars of Spodoptera littoralis are
directly affected by UV-B, while performance of the aphid Myzus persicae is
diminished by a plant mediated process.
PMID- 29362085
TI - Nitric oxide synthase in plants: The surprise from algae.
PMID- 29362086
TI - The effects of putrescine are partly overlapping with osmotic stress processes in
wheat.
AB - Polyamine metabolism is in relation with several metabolic pathways and linked
with plant hormones or signalling molecules; in addition polyamines may modulate
the up- or down-regulation of gene expression. However the precise mechanism by
which polyamines act at the transcription level is still unclear. In the present
study the modifying effect of putrescine pre-treatment has been investigated
using the microarray transcriptome profile analysis under the conditions where
exogenous putrescine alleviated osmotic stress in wheat plants. Pre-treatment
with putrescine induced the unique expression of various general stress-related
genes. Although there were obvious differences between the effects of putrescine
and polyethylene glycol treatments, there was also a remarkable overlap between
the effects of putrescine and osmotic stress responses in wheat plants,
suggesting that putrescine has already induced acclimation processes under
control conditions. The fatty acid composition in certain lipid fractions and the
antioxidant enzyme activities have also been specifically changed under osmotic
stress conditions or after treatment with putrescine.
PMID- 29362087
TI - Mutations in the MIT3 gene encoding a caroteniod isomerase lead to increased
tiller number in rice.
AB - Carotenoids not only play important roles in light harvesting and photoprotection
against excess light, but also serve as precursors for apocaroteniod hormones
such as abscisic acid (ABA) and strigolactones (SLs). Although light- and ABA
associated phenotypes of the carotenoid biosynthesis mutants such as albino, leaf
variegation and preharvest sprouting have been studied extensively, the SLs
related branching phenotype is rarely explored. Here we characterized four
allelic rice mutants named mit3, which exhibited moderately increased tiller
number, semi-dwarfism and leaf variegation. Map-based cloning revealed that MIT3
encodes a carotenoid isomerase (CRTISO), the key enzyme catalyzing the conversion
from prolycopene to all-trans-lycopene in carotenoid biosynthesis. Prolycopene
was accumulated while all-trans-lycopene was barely detectable in the dark-grown
mit3 seedlings. Accordingly, content of lutein and beta-carotene, the two most
abundant carotenoids, was significantly reduced. Furthermore, content of epi-5DS,
a native SL, was significantly reduced in mit3. Exogenously applied GR24, a
synthetic SL, could rescue the tillering phenotype of mit3. Double mutant
analysis of mit3 with the SLs biosynthesis mutant d17 revealed that MIT3 controls
tiller development upstream of the SLs biosynthesis pathway. Our results reveal
that the tillering phenotype of mit3 is due to SL deficiency and directly link
carotenoid deficiency with SL-regulated rice tillering.
PMID- 29362088
TI - Emerging microbial biocontrol strategies for plant pathogens.
AB - To address food security, agricultural yields must increase to match the growing
human population in the near future. There is now a strong push to develop low
input and more sustainable agricultural practices that include alternatives to
chemicals for controlling pests and diseases, a major factor of heavy losses in
agricultural production. Based on the adverse effects of some chemicals on human
health, the environment and living organisms, researchers are focusing on
potential biological control microbes as viable alternatives for the management
of pests and plant pathogens. There is a growing body of evidence that
demonstrates the potential of leaf and root-associated microbiomes to increase
plant efficiency and yield in cropping systems. It is important to understand the
role of these microbes in promoting growth and controlling diseases, and their
application as biofertilizers and biopesticides whose success in the field is
still inconsistent. This review focusses on how biocontrol microbes modulate
plant defense mechanisms, deploy biocontrol actions in plants and offer new
strategies to control plant pathogens. Apart from simply applying individual
biocontrol microbes, there are now efforts to improve, facilitate and maintain
long-term plant colonization. In particular, great hopes are associated with the
new approaches of using "plant-optimized microbiomes" (microbiome engineering)
and establishing the genetic basis of beneficial plant-microbe interactions to
enable breeding of "microbe-optimized crops".
PMID- 29362089
TI - Review: "Pyrophosphate and pyrophosphatases in plants, their involvement in
stress responses and their possible relationship to secondary metabolism".
AB - Pyrophosphate (PPi) is produced as byproduct of biosynthesis in the cytoplasm,
nucleus, mitochondria and chloroplast, or in the tonoplast and Golgi by membrane
bound H+-pumping pyrophosphatases (PPv). Inorganic pyrophosphatases (E.C.
3.6.1.1; GO:0004427) impulse various biosynthetic reactions by recycling PPi and
are essential to living cells. Soluble and membrane-bound enzymes of high
specificity have evolved in different protein families and multiple
pyrophosphatases are encoded in all plant genomes known to date. The soluble
proteins are present in cytoplasm, extracellular space, inside chloroplasts, and
perhaps inside mitochondria, nucleus or vacuoles. The cytoplasmic isoforms may
compete for PPi with the PPv enzymes and how PPv and soluble activities are
controlled is currently unknown, yet the cytoplasmic PPi concentration is high
and fairly constant. Manipulation of the PPi metabolism impacts primary
metabolism and vice versa, indicating a tight link between PPi levels and
carbohydrate metabolism. These enzymes appear to play a role in germination,
development and stress adaptive responses. In addition, the transgenic
overexpression of PPv has been used to enhance plant tolerance to abiotic stress,
but the reasons behind this tolerance are not completely understood. Finally, the
relationship of PPi to stress suggest a currently unexplored link between PPi and
secondary metabolism.
PMID- 29362090
TI - Nerolidol production in agroinfiltrated tobacco: Impact of protein stability and
membrane targeting of strawberry (Fragraria ananassa) NEROLIDOL SYNTHASE1.
AB - The sesquiterpene alcohol nerolidol, synthesized from farnesyl diphosphate (FDP),
mediates plant-insect interactions across multiple trophic levels with major
implications for pest management in agriculture. We compared nerolidol
engineering strategies in tobacco using agroinfiltration to transiently express
strawberry (Fragraria ananassa) linalool/nerolidol synthase (FaNES1) either at
the endoplasmic reticulum (ER) or in the cytosol as a soluble protein. Using
solid phase microextraction and gas chromatography-mass spectrometry (SPME-GCMS),
we have determined that FaNES1 directed to the ER via fusion to the transmembrane
domain of squalene synthase or hydroxymethylglutaryl - CoA reductase displayed
significant improvements in terms of transcript levels, protein accumulation, and
volatile production when compared to its cytosolic form. However, the highest
levels of nerolidol production were observed when FaNES1 was fused to GFP and
expressed in the cytosol. This SPME-GCMS method afforded a limit of detection and
quantification of 1.54 and 5.13 pg, respectively. Nerolidol production levels,
which ranged from 0.5 to 3.0 MUg/g F.W., correlated more strongly to the
accumulation of recombinant protein than transcript level, the former being
highest in FaNES-GFP transfected plants. These results indicate that while the ER
may represent an enriched source of FDP that can be exploited in metabolic
engineering, protein accumulation is a better predictor of sesquiterpene
production.
PMID- 29362091
TI - Arabidopsis NAP-related proteins (NRPs) contribute to the coordination of plant
growth, developmental rate, and age-related pathogen resistance under short days.
AB - Plant nucleosome assembly protein-related proteins (NRPs) are histone chaperons
involved in nucleosome turnover. Despite this basic cellular function, the
Arabidopsis nrp1-1 nrp2-1 knock out mutant has been reported to exhibit only mild
seedling root phenotypes and to significantly affect the expression of only few
hundred genes Zhu et al. (2006). Here we report that NRP loss-of-function as well
as the ectopic overexpression of At NRP1 significantly affected the growth,
development, and the pathogen response of Arabidopsis plants under short day
conditions. The nrp1-1 nrp2-1 mutant grew faster and flowered weeks earlier than
the wild type and the overexpressor. The latter developed slower and flowered at
a lower number of leaves than the mutant and the wild type. Moreover, the mutant
was more sensitive, the overexpressor was more tolerant to pathogen-induced
necrosis correlating with their more adult and juvenile character, respectively.
Transcriptomic comparison of mature non-bolting plants agreed with the
phenotypes. The presented and other published data indicate that although NRPs
might not be absolutely required for normal plant growth and development, their
level needs to be controlled to allow the epigenetic coordination of metabolic,
growth, defence and developmental processes during the acclimation to
unfavourable growth conditions such as short days.
PMID- 29362092
TI - Can-miRn37a mediated suppression of ethylene response factors enhances the
resistance of chilli against anthracnose pathogen Colletotrichum truncatum L.
AB - Pepper anthracnose, caused by Colletotrichum species complex is the most
destructive disease of chilli (Capsicum annuum L.). miRNAs are key modulators of
transcriptional and post- transcriptional expression of genes during defense
responses. In the present study, we performed a comparative miRNA profiling of
susceptible (Arka Lohit-AL) and resistant (Punjab Lal-PL) chilli cultivars to
identify 35 differentially expressed miRNAs that could be classified as positive,
negative or basal regulators of defense against C. truncatum, the most potent
anthracnose pathogen. Interestingly, a novel microRNA can-miRn37a was
significantly induced in PL but largely repressed in AL genotype post pathogen
attack. Subsequent over-expression of can-miRn37a in AL showed enhanced
resistance to anthracnose, as evidenced by decreased fungal growth and induced
expression of defense-related genes. Consequently, the expression of its three
target genes encoding the ethylene response factors (ERFs) was down-regulated in
PL as well as in the over-expression lines of AL genotypes. The ability of these
targets to be regulated by can-miRn37a was further confirmed by transient co
expression in Nicotiana benthamiana. Additionally, the virus-induced silencing of
the three targets in the susceptible AL cultivar revealed their role in fungal
colonization and induction of C. truncatum pathogenicity in chilli. Taken
together, our study suggests that can-miRn37a provides a potential miRNA mediated
approach of engineering anthracnose resistance in chilli by repressing ERFs and
preventing fungal colonization.
PMID- 29362093
TI - Functional characterization of naturally occurring wild soybean mutant (sg-5)
lacking astringent saponins using whole genome sequencing approach.
AB - Triterpenoid saponins are one of the most highly accumulated groups of functional
components in soybean (Glycine max) and the oxidative reactions during their
biosynthesis are required for their aglycone diversity. Natural mutants of
soyasaponins in wild soybean (Glycine soja) are valuable resources for
establishing the soyasaponin biosynthesis pathway and breeding new soybean
varieties. In this study, we investigated the genetic mechanism behind the
absence of group A saponins in a Korean wild soybean mutant, CWS5095. Whole
genome sequencing (WGS) of CWS5095 identified four point mutations [Val6 -> Asp,
Ile231 -> Thr, His294 -> Gln, and Arg376 -> Lys] in CYP72A69 (Glyma15g39090),
which oxygenate the C-21 position of soyasapogenol B or other intermediates to
produce soyasapogenol A, leading to group A saponin production. An in vitro
enzyme activity assay of single-sited mutated clones indicated that the Arg376 >
Lys mutation (a highly conserved mutation based on a nucleotide change from G ->
A at the 1,127th position) may lead to loss of gene function in the sg-5 mutant.
A very high normalized expression value of 377 reads per kilo base per million
(RPKM) of Glyma15g39090 in the hypocotyl axis at the early maturation seed
development stage confirmed their abundant presence in seed hypocotyls. A
molecular dynamics analysis of the Arg376 > Lys mutation based on the CYP3A4 (a
human CYP450) protein structure found that it was responsible for the increase in
axis length toward the heme (active site), which is critically important for
biological activity and ligand binding. Our results provide important information
on how to eradicate bitter and astringent saponins in soybean by utilizing the
reported mutation in Glyma15g39090, and its importance for seed hypocotyl
development based on transcript abundance.
PMID- 29362094
TI - Regulation of plant height in rice by the Polycomb group genes OsEMF2b, OsFIE2
and OsCLF.
AB - An ideal plant height is essential for crop yield. Some Polycomb group (PcG)
genes mutants exhibit a dwarf phenotype in rice. To determine how PcG genes
regulate plant height, we investigated the phenotypes of the emf2b mutant and
OsEMF2b, OsFIE2 and OsCLF RNAi transgenic plants; they all exhibited dwarf
phenotype. Further analyses indicated that stem elongation at jointing stage was
seriously inhibited in emf2b and RNAi transgenic plants. Reduced cell expansion
and cell division of stem caused significant reduction of stem length during
mature period of OsEMF2b, OsFIE2 and OsCLF RNAi transgenic plants. Transcription
analysis revealed that cell division, cell expansion and plant hormones related
genes differentially expressed between emf2b and WT. In addition, PcG genes
mutants weakened GA signal and GA concentration and leaded to suppresseion of
plant height. Analysis of differentially expressed genes revealed that 109 up
regulated and 19 down-regulated genes were identified in both emf2b and fie2.
H3K27me3-modified sites were observed in 95 of the 109 up-regulated genes, and
some of them were up-regulated in OsFIE2, OsCLF and OsEMF2b RNAi transgenic
plants, and their H3K27me3 levels were reduced in emf2b. Moreover, OsEMF2b
interacted with OsCLF. Therefore, we speculated that these PcG genes, OsFIE2,
OsCLF and OsEMF2b, may work as a PRC2 to regulate rice height.
PMID- 29362095
TI - The newly identified heat-stress sensitive albino 1 gene affects chloroplast
development in rice.
AB - High temperature, a major abiotic stress, significantly affects the yield and
quality of crops in many parts of the world. Components of the photosynthetic
apparatus are highly susceptible to thermal damage. Although the responses to
acute heat stress have been studied intensively, the mechanisms that regulate
chloroplast development under heat stress remain obscure, especially in crop
plants. Here, we cloned and characterized the gene responsible for the heat
sensitive albino1 (hsa1) mutation in rice (Oryza sativa). The hsa1 mutant harbors
a recessive mutation in a gene encoding fructokinase-like protein2 (FLN2); the
mutation causes a premature stop codon and results in a severe albino phenotype,
with defects in early chloroplast development. The color of hsa1 mutant plants
gradually changed from albino to green at later stages of development at various
temperatures and chloroplast biogenesis was strongly delayed at high temperature
(32 degrees C). HSA1 expression was strongly reduced in hsa1 plants compared to
wild type (WT). HSA1 localizes to the chloroplast and regulates chloroplast
development. An HSA1 deletion mutant induced by CRISPR/Cas9 was heat sensitive
but had a faster greening phenotype than the original hsa1 allele at all
temperatures. RNA and protein levels of plastid-encoded RNA polymerase-dependent
plastid genes were markedly reduced in hsa1 plants compared to WT. These results
demonstrated that HSA1 plays important roles in chloroplast development at early
stages, and functions in protecting chloroplasts under heat stress at later
stages in rice.
PMID- 29362096
TI - Ectopic expression of Arabidopsis broad-spectrum resistance gene RPW8.2 improves
the resistance to powdery mildew in grapevine (Vitis vinifera).
AB - Powdery mildew is the most economically important disease of cultivated
grapevines worldwide. Here, we report that the Arabidopsis broad-spectrum disease
resistance gene RPW8.2 could improve resistance to powdery mildew in Vitis
vinifera cv. Thompson Seedless. The RPW8.2-YFP fusion gene was stably expressed
in grapevines from either the constitutive 35S promoter or the native promoter
(NP) of RPW8.2. The grapevine shoots and plantlets transgenic for 35S::RPW8.2-YFP
showed reduced rooting and reduced growth at later development stages in the
absence of any pathogens. Infection tests with an adapted grapevine powdery
mildew isolate En NAFU1 showed that hyphal growth and sporulation were
significantly restricted in transgenic grapevines expressing either of the two
constructs. The resistance appeared to be attributable to the ectopic expression
of RPW8.2, and associated with the enhanced encasement of the haustorial complex
(EHC) and onsite accumulation of H2O2. In addition, the RPW8.2-YFP fusion protein
showed focal accumulation around the fungal penetration sites. Transcriptome
analysis revealed that ectopic expression of RPW8.2 in grapevines not only
significantly enhanced salicylic acid-dependent defense signaling, but also
altered expression of other phytohormone-associated genes. Taken together, our
results indicate that RPW8.2 could be utilized as a transgene for improving
resistance against powdery mildew in grapevines.
PMID- 29362097
TI - Raising orphans from a metadata morass: A researcher's guide to re-use of public
'omics data.
AB - More than 15 petabases of raw RNAseq data is now accessible through public
repositories. Acquisition of other 'omics data types is expanding, though most
lack a centralized archival repository. Data-reuse provides tremendous
opportunity to extract new knowledge from existing experiments, and offers a
unique opportunity for robust, multi-'omics analyses by merging metadata
(information about experimental design, biological samples, protocols) and data
from multiple experiments. We illustrate how predictive research can be
accelerated by meta-analysis with a study of orphan (species-specific) genes.
Computational predictions are critical to infer orphan function because their
coding sequences provide very few clues. The metadata in public databases is
often confusing; a test case with Zea mays mRNA seq data reveals a high
proportion of missing, misleading or incomplete metadata. This metadata morass
significantly diminishes the insight that can be extracted from these data. We
provide tips for data submitters and users, including specific recommendations to
improve metadata quality by more use of controlled vocabulary and by metadata
reviews. Finally, we advocate for a unified, straightforward metadata submission
and retrieval system.
PMID- 29362098
TI - Protein degradation mechanisms modulate abscisic acid signaling and responses
during abiotic stress.
AB - Abiotic stresses such as salinity, drought, high temperature or freezing can be
perceived, in part, as a transient or permanent hyperosmotic stress by the plant
cell. As sessile organisms, the detrimental effects of these environmental
insults limit plants productivity but also their geographical distribution.
Sensing and signaling events that detect the hyperosmotic (or simply osmotic)
stress involve the cellular increase of active abscisic acid (ABA). The stress
phytohormone ABA regulates fundamental growth and developmental processes in the
plant by marshalling metabolic and gene-expression reprogramming. Among the ABA
responsive genes, some are strictly ABA-dependent in that their expression is
almost undetectable in absence of elevated levels of cellular ABA, thus their
physiological role may be required only transiently. In addition, ABA-dependent
modulation of some of the signaling effectors can be irreversible. In this
review, without any pretention to being exhaustive, we use specific examples to
illustrate how mechanistically conserved eukaryotic cell proteolytic pathways
affect ABA-dependent signaling. We describe how defined proteolysis mechanisms in
the plant cell, including Regulated Intramembrane Proteolysis (RIP), the
Ubiquitin 26S Proteasomal System (UPS), the endocytic and autophagy pathways,
contribute to regulate the spatiotemporal level and activity of PP2Cs (protein
phosphatases 2C), and how an intriguing ABA-induced protein, the plant
Translocator protein (TSPO), is targeted for degradation. Degradation of
regulatory or effector molecules modulates or desensitizes ABA-dependent
signaling and reestablishes cellular homeostasis.
PMID- 29362099
TI - Involvement of NR and PM-NR in NO biosynthesis in cucumber plants subjected to
salt stress.
AB - Nitrate reductase (NR) mainly reduces nitrate to nitrite. However, in certain
conditions it can reduce nitrite to NO. In plants, a plasma membrane-associated
form of NR (PM-NR) is present. It produces NO2- for nitrite NO/reductase (Ni
NOR), which can release NO into the apoplastic space. The effect of 50 mM NaCl on
NO formation and the involvement of NR in NO biosynthesis were studied in
cucumber seedling roots under salt stress. In salt-stressed roots, the amount of
NO was higher than in control. The application of tungstate abolished the
increase of NO level in stressed roots, indicating that NR was responsible for NO
biosynthesis under the test conditions. The involvement of other molybdoenzymes
was excluded using specific inhibitors. Furthermore, higher cNR and PM-NR
activities were observed in NaCl-treated roots. The increase in NR activity was
due to the stimulation of CsNR genes expression and posttranslational
modifications, such as enzyme dephosphorylation. This was confirmed by Western
blot analysis. Moreover, the increase of nitrite tissue level in short-term
stressed roots and the nitrite/nitrate ratio, with a simultaneous decrease of
nitrite reductase (NiR) activity, in both short- and long-term stressed roots,
could promote the production of NO by NR in roots under salt stress.
PMID- 29362100
TI - The Jasmonate ZIM-domain protein gene SlJAZ2 regulates plant morphology and
accelerates flower initiation in Solanum lycopersicum plants.
AB - JAZ (Jasmonate ZIM-domain) proteins are important repressors in JA signaling
pathway. JAZs were proved taking part in various development processes and
resistance to biotic and abiotic stresses in Arabiodopsis. However, in tomato,
the functional study of JAZs is rare, especially on plant growth and development.
Here, a typical tomato JAZ gene, SlJAZ2 was isolated. Tomato plants
overexpressing SlJAZ2 exhibited quicker leaf initiation, reduced plant height and
internode length, decreasing trichomes, earlier lateral bud emergence and
advanced flowering transition. Further experiments showed that the pith cells in
transgenic plant stem were much smaller than wild-type and the genes related to
cell elongation and gibberellin biosynthesis were down-regulated. Genes mediating
trichome formation were also inhibited in plant stem epidermis. In addition, the
flower initiation of transgenic plants were earlier and genes controlling
flowering time were up-regulated significantly after SlJAZ2 was overexpressed.
Our research demonstrates that SlJAZ2 accelerates the transition from vegetative
growth to reproductive growth.
PMID- 29362102
TI - Genomic relationships reveal significant dominance effects for growth in hybrid
Eucalyptus.
AB - Non-additive genetic effects can be effectively exploited in control-pollinated
families with the availability of genome-wide markers. We used 41,304 SNP markers
and compared pedigree vs. marker-based genetic models by analysing height,
diameter, basic density and pulp yield for Eucalyptus urophylla * E.grandis
control-pollinated families represented by 949 informative individuals. We
evaluated models accounting for additive, dominance, and first-order epistatic
interactions (additive by additive, dominance by dominance, and additive by
dominance). We showed that the models can capture a large proportion of the
genetic variance from dominance and epistasis for growth traits as those
components are typically not independent. We also showed that we could partition
genetic variances more precisely when using relationship matrices derived from
markers compared to using only pedigree information. In addition, phenotypic
prediction accuracies were only slightly increased by including dominance effects
for growth traits since estimates of non-additive variances yielded rather high
standard errors. This novel result improves our current understanding of the
architecture of quantitative traits and recommends accounting for dominance
variance when developing genomic selection strategies in hybrid Eucalyptus.
PMID- 29362101
TI - Tempranillo clones differ in the response of berry sugar and anthocyanin
accumulation to elevated temperature.
AB - The intra-varietal genetic diversity of grapevine (Vitis vinifera L.) may be
exploited to maintain grape quality under future warm conditions, which may alter
grape berry development and composition. The present study assesses the effects
of elevated temperature on the development of berry, grape composition and
anthocyanins:sugars ratio of thirteen clones of V. vinifera. cv. Tempranillo that
differed in length of the ripening period (time from veraison to berry total
soluble solids, mainly sugars, of ca. 22 degrees Brix). Two temperature regimes
(24 degrees C/14 degrees C or 28 degrees C/18 degrees C, day/night) were
imposed to grapevine fruit-bearing cuttings from fruit set to maturity under
greenhouse-controlled conditions. Elevated temperature hastened berry
development, with a greater influence before the onset of ripening, and reduced
anthocyanin concentration, colour intensity and titratable acidity. The clones
significantly differed in the number of days that elapsed between fruit set and
maturity. At the same concentration of total soluble solids, the anthocyanin
concentration was lower at 28 degrees C/18 degrees C than 24 degrees C/14
degrees C, indicating a decoupling effect of elevated temperature during berry
ripening. Thermal decoupling was explained by changes in the relative rate of
response of anthocyanin and sugar build-up, rather than delayed onset of
anthocyanin accumulation. Clones differed in the degree of thermal decoupling,
but it was directly associated with differences neither in the length of their
ripening period nor in plant vigour.
PMID- 29362103
TI - Arabidopsis galactinol synthases 1 (AtGOLS1) negatively regulates seed
germination.
AB - Seed germination begins the growth phases of plants and its rate is affected not
only by plant hormones, including abscisic acid (ABA), gibberellin (GA) and
brassinosteroids (BRs), but also by environmental factors. In this study, we
searched for additional chemical reagents that affect seed germination, using the
det2-1 and ga1-3 mutants that showed reduced seed germination due to defective BR
or GA- biosynthesis, respectively. We found that the reducing reagent
dithiothreitol (DTT) specifically enhanced seed germination of det2-1 compared
with that of ga1-3. To further investigate the underlying molecular mechanism for
this phenomenon, we identified AtGOLS1 as a differentially expressed gene in
germinating seeds treated with DTT by GeneFishing analysis. AtGOLS1 encodes a
galactinol synthase, critical for the first step in raffinose family
oligosaccharides synthesis during seed maturation. We observed that expression of
AtGOLS1 decreased when conditions were favorable for seed germination. We also
determined that the seed germination rate was faster in T-DNA knockout atgols1
mutant and transgenic plants transformed with an RNA interference construct
targeting AtGOLS1 compared with wild type plants. The double mutant of det2-1 and
atgols1 also suppressed the reduced seed germination of the det2-1. Taken
together, our results suggest that AtGOLS1 acts as a negative regulator in seed
germination.
PMID- 29362104
TI - Polypharmacy: What About Undertreated Medication-Induced Hypothyroidism?
PMID- 29362106
TI - Reply.
PMID- 29362105
TI - Wisely Choosing: Aging, Precision, and Medicine.
PMID- 29362107
TI - Impact of Observation Hospitalizations on Low-Income Medicare Beneficiaries.
PMID- 29362108
TI - The Reply.
PMID- 29362109
TI - Migraine Headache and Long-Term Cardiovascular Outcomes: Methodological Issues.
PMID- 29362110
TI - The Reply.
PMID- 29362111
TI - Evaluation of the Yale New Haven Readmission Risk Score for Pneumonia in a
General Hospital Population: Methodologic Issues.
PMID- 29362112
TI - The Reply.
PMID- 29362113
TI - Hepatocellular Carcinoma Screening in Patients Treated for Hepatitis C.
PMID- 29362114
TI - Compositional and functional variations of oral microbiota associated with the
mutational changes in oral cancer.
AB - OBJECTIVES: Both genetic and environmental factors are conceivably required to
assess the prognosis of oral squamous cell carcinoma (OSCC), yet little is known
regarding the relationship between oral microbiome and the mutational spectrum of
OSCC. MATERIALS AND METHODS: Here, we used 16S rRNA amplicon sequencing to study
the composition of oral microorganisms in OSCC patients, whose cancer mutational
profiles were previously defined by whole-exome sequencing, to evaluate the
relationship between oral microbiome and the mutational changes in OSCC. RESULTS:
Analyzing the contributions of the five mutational signatures extracted from the
primary tumors revealed three groups of OSCC (mutational signature cluster, MSC1
3) that were significantly associated with demographic and clinical features.
Taxonomic analysis of the predominant phyla in salivary samples showed variation
in the relative abundance of Firmicutes and Bacteroidetes in the three MSC
groups. In addition, significant differences in bacterial species richness (alpha
diversity) and slight sample-to-sample dissimilarities in bacterial community
structures (beta diversity) were noted among different MSC groups. Further,
predicting the functional capabilities of microbial communities by reconstruction
of unobserved states showed that many pathways related to cell motility were
differentially enriched among the three MSC groups. CONCLUSION: Collectively,
these results indicate a potential association of oral microbiome with the
mutational changes in OSCC.
PMID- 29362115
TI - Reader performance in the ultrasonographic evaluation of oropharyngeal carcinoma.
AB - OBJECTIVE: To examine reader performance in evaluating oropharyngeal anatomy on
ultrasonography. MATERIALS AND METHODS: Ultrasound images of the oropharynx
comprising normal and malignant anatomic variants were organized into slideshows.
Slideshows were administered to 6 readers blinded to participant tumor status and
with varying experience reading oropharyngeal sonograms. A training slideshow
oriented readers to images of the oropharynx with and without malignant lesions.
Readers then evaluated images in a test slideshow for tumor presence and marked
orthogonal long and short dimensions of the tumor. Results were analyzed for
accuracy, sensitivity, specificity, inter-reader agreement, and measurement error
relative to prospectively-identified reference measurements. RESULTS: Eighty
seven percent of base of tongue (BOT) sonograms were identified correctly by a
majority of readers. In identifying BOT tumors, median accuracy, sensitivity,
specificity, and Fleiss's kappa were 79%, 73%, 85%, and 0.51, respectively.
Median measurement error in the long and short axes for BOT tumors was -2.6%
(range: -40% to 29%) and -2.6% (range: -56% to 156%), respectively. Eighty-four
percent of palatine tonsil sonograms were identified correctly by a majority of
readers. In identifying tonsil tumors, median accuracy, sensitivity, specificity,
and Fleiss's kappa were 77%, 74%, 78%, and 0.41, respectively. Median measurement
error in the long and short axes for tonsil tumors was 3.8% (range: -45% to 32%)
and -6.5% (range: -83% to 42%), respectively. CONCLUSIONS: Overall, US has
clinically useful sensitivity for identification of oropharyngeal carcinoma among
readers of diverse clinical backgrounds and experience. US may be useful for the
evaluation of features such as tumor dimensions.
PMID- 29362116
TI - Mandibular reconstruction.
AB - Mandibular reconstruction presents unique functional and aesthetic challenges to
the reconstructive surgeon. This review will cover current techniques for
mandibular reconstruction, including the various plating strategies for rigid
fixation, the choice of osseous donor site, and the concurrent reconstruction of
associated soft tissue defects. Recent developments and future horizons in
mandibular reconstruction including the use of virtual surgical planning and
tissue engineering will also be addressed.
PMID- 29362117
TI - Reconnoitre ameloblastic carcinoma: A prognostic update.
AB - AIM: Malignant odontogenic tumor, ameloblastic carcinoma (AC) is challenging to
study owing to its rarity, complexity and limited availability of literature.
This further makes it difficult to establish its clinical characteristics and
prognosis. Our study aimed to evaluate AC's clinico-demographic factors and their
relation with prognosis and survival. MATERIALS AND METHODS: Literature was
systematically reviewed for cases pertaining to AC, starting from January 2000 to
December 2016. All the required data was obtained, arranged and analysed using
Cox regression ratio and Kaplan Meir survival analysis. From the database, 153
cases were retrieved as per the inclusion/exclusion criteria. RESULTS: The
results demonstrated that age of patient, mode of treatment and metastasis
affects overall survival. The categorisation of AC as primary or secondary type
does not have any role in determining prognosis. CONCLUSION: Overall survival of
AC patient depends upon age, site, treatment and metastasis. For a better
prognosis early surgical management of the tumor appears to be the most
favourable mode of treatment.
PMID- 29362118
TI - Late radiation-associated dysphagia in head and neck cancer patients: evidence,
research and management.
AB - In head and neck cancer (HNC) scenario, newer radiotherapy (RT) techniques, such
as intensity modulated RT (IMRT), aim to reduce acute and late toxicity without
impair tumor response and loco-regional control rates. However, late radiation
associated dysphagia (RAD) remains a major clinical problem and has gained a
growing importance in the last few years, especially due to human papilloma virus
(HPV)-related HNC favorable prognosis. The aim of this review was to provide
clinical information about late RAD. The main anatomical structures involved in
swallowing were described, in order to define potential organ at risk and
available radiation-dose constraints in IMRT plan. Finally, possible
rehabilitation strategies were proposed. This is expected to represent an
opportunity for improved multidisciplinary management in HNC patients.
PMID- 29362119
TI - Viable tumor in salvage neck dissections in head and neck cancer: Relation with
initial treatment, change of lymph node size and human papillomavirus.
AB - OBJECTIVES: To identify predictive factors for the presence of viable tumor and
outcome in head and neck cancer patients who undergo therapeutic salvage neck
dissections. MATERIALS AND METHODS: Retrospective analysis of 76 salvage neck
dissections after radiotherapy alone (n = 22), radiotherapy in combination with
carboplatin/5-fluorouracil (n = 42) or with cetuximab (n = 12). RESULTS: Viable
tumor was detected in 41% of all neck dissections. Univariate analysis revealed
initial treatment with radiotherapy without systemic therapy (OR 6.93, 95%CI:
2.28-21.07, p < .001), increased lymph node size after initial treatment compared
to pretreatment CT scan (OR 20.48, 95%CI: 2.46-170.73, p = .005), more extensive
neck dissections (OR 8.40, 95%CI: 2.94-23.98, p < .001), and human papillomavirus
negative cancer (OR 4.22, 95%CI: 1.10-16.22, p = .036) as predictors of viable
tumor. Patients with decreased or stable, but persistently enlarged lymph node
size after chemoradiation had a significantly lower chance of viable tumor (OR
0.15, 95%CI: 0.05-0.41, p < .001). Disease-specific 5-year survival was 34% in
case of viable tumor, and 78% when no viable tumor was found (p < .001).
CONCLUSIONS: Viable tumor in salvage neck dissections is associated with reduced
survival. Radiotherapy alone, human papillomavirus negative cancer and increase
in lymph node size, are associated with viable tumor in salvage neck dissections.
In case of decreased or stable lymph node size after chemoradiation, watchful
waiting could be considered.
PMID- 29362120
TI - RETRACTED: Straticyte demonstrates prognostic value over oral epithelial
dysplasia grade for oral potentially malignant lesion assessment.
PMID- 29362121
TI - Treatment outcomes of nasopharyngeal carcinoma in modern era after intensity
modulated radiotherapy (IMRT) in Hong Kong: A report of 3328 patients (HKNPCSG
1301 study).
AB - PURPOSE: To evaluate treatment outcomes, failure patterns and late toxicities in
patients with nasopharyngeal carcinoma (NPC) treated by intensity modulated
radiotherapy (IMRT) in 6 public hospitals in Hong Kong over a 10-year period from
2001 to 2010. MATERIAL AND METHODS: Eligible patients were identified through the
Hong Kong Cancer Registry data base. Clinical information was retrieved and
verified by oncologists working in the individual centers. Treatment details,
survival outcomes and late toxicities were analyzed. RESULTS: A total of 3328
patients were recruited. The median follow-up time was 80.2 months. The 8-year
actuarial overall survival (OS), local failure-free survival (LFFS), regional
failure-free survival (RFFS), distant failure free survival (DFFS), progression
free survival (PFS) for the whole group was 68.5%, 85.8%, 91.5%, 81.5% and 62.6%
respectively. Male gender, older age, advanced T and N stage were adverse
prognostic factors for OS, DFFS and PFS, whereas use of chemotherapy in form of
concurrent chemo-irradiation (CRT), neoadjuvant + CRT, or CRT + adjuvant
chemotherapy were favorable prognostic factors for OS and PFS. The local control
was adversely affected by advanced T stage. N stage remained as the single
adverse prognostic factor for regional control. Distant metastasis was the
commonest site of failure. CONCLUSION: IMRT is an effective treatment for NPC
with excellent overall loco-regional control. Distant metastasis is the major
site of failure. Concurrent chemotherapy with cisplatin has an established role
in NPC patients treated by IMRT.
PMID- 29362122
TI - Venous thromboembolism incidence in head and neck surgery patients: Analysis of
the Veterans Affairs Surgical Quality Improvement Program (VASQIP) database.
AB - OBJECTIVE: Venous thromboembolism (VTE) may cause significant postoperative
morbidity and mortality; research in other surgical fields suggests an elevated
VTE risk persists up to 30 days after surgery, beyond hospital discharge. We
performed a review of the Veteran's Affairs Surgical Quality Improvement Project
(VASQIP) database to determine the 30-day incidence of VTE following head and
neck surgery and assess the proportion of VTE that occur post-discharge.
MATERIALS AND METHODS: A retrospective review was performed of all head and neck
ablative procedures captured in the VASQIP database between 1991 and 2015. Post
operative VTE incidence was determined and the relationship of pre-operative data
and post-operative mortality to VTE incidence was assessed. RESULTS: 48,986
patients were included in the study; there were 152 VTE events (0.31%) and 39
(25.7%) occurred post-discharge. Lower VTE rates were found in parotidectomies
(0.22%) and thyroid/parathyroid cases (0.23%), and higher rates in free flap
(1.52%) and laryngectomy cases (0.69%). Age >70, recent weight loss, low serum
albumin, and increased surgical time were all associated with increased VTE
incidence on multivariate analysis. 90-day mortality in patients without VTE was
2.1% compared to 19.7% in patients who experienced a VTE. CONCLUSION: While the
documented rate of VTE in a national dataset is relatively low following head and
neck surgeries, it is elevated with certain procedure categories and following
long operations, and a significant proportion of VTE occur post-discharge. This
study provides baseline data to better inform efforts to risk-stratify and
customize thromboprophylaxis for patients undergoing head and neck procedures.
PMID- 29362123
TI - Intraoral ultrasonography to measure tumor thickness of oral cancer: A systematic
review and meta-analysis.
AB - Early oral cancer is preferably treated by surgery. Its complete removal is
essential for locoregional control and disease-free survival. Inadequate
resection margins require adjuvant therapy such as re-resection or
(chemo)radiation, that causes extra morbidity and oral discomfort. Intraoral
ultrasonography (US) is reported to be of value in determining tumor thickness.
Intraoperative visualization of the tumor may facilitate the resection and ensure
adequate surgical margins. Furthermore, accurate prediction of tumor thickness
could help determine the treatment strategy of the clinically node-negative neck,
as thickness and depth of invasion are predictors of cervical metastasis as well
as prognosticators of survival. The 8th edition of the American Joint Committee
on Cancer staging system for oral squamous cell carcinoma has included depth of
invasion as parameter for cT-stage. The aim of this review is to analyze the
accuracy of intraoral US in determining tumor thickness in oral cancer. A
systematic search was conducted, and the quality of the included papers was
assessed using the QUADAS-2 tool for diagnostic accuracy studies. Subsequently, a
meta-analysis was performed on the available individual participant data of 240
patients. Most of the twelve included studies focused on T1-2 tongue cancer (n =
129). Meta-analysis showed a high correlation in tumor thickness within this
subgroup as measured by intraoral US and histopathology (r = 0.82, p < .001),
with minor overestimation of 0.5 mm on US. It is concluded that intraoral US is
very accurate in determining tumor thickness in early oral tongue cancer.
PMID- 29362124
TI - Postoperative staging of the neck dissection using extracapsular spread and lymph
node ratio as prognostic factors in HPV-negative head and neck squamous cell
carcinoma patients.
AB - OBJECTIVES: The presence of nodes with extracapsular spread (ECS) and the lymph
node ratio (LNR) have prognostic competence in the pathologic evaluation of
patients with a head and neck squamous cell carcinoma (HNSCC) treated with a neck
dissection. The purpose of this study is to assess the effect of ECS & LNR on
prognosis of HPV negative HNSCC patients treated with neck dissection and to
compare to 8th edition TNM/AJCC classification. MATERIALS AND METHODS: We carried
out a retrospective study of 1383 patients with HNSCC treated with a neck
dissection between 1985 and 2013. We developed a classification of the patients
according to the presence of nodes with ECS and the LNR value with a recursive
partitioning analysis (RPA) model. RESULTS: We obtained a classification tree
with four terminal nodes: for patients without ECS (including patients pN0) the
cut-off point for LNR was 1.6%, while for patients with lymph nodes with ECS it
was 11.4%. The 5-year disease-specific survival for patients without ECS/LNR <
1.6% was 83.3%; for patients without ECS/LNR >= 1.6% it was 61.5%; for patients
with ECS/LNR < 11.4% it was 33.7%; and for patients with ECS/LNR >= 11.4% it was
18.5%. The classification obtained with RPA had better discrimination between
categories than the 8th edition of the TNM/AJCC classification. CONCLUSION: ECS
status and LNR value proved high prognostic capacity in the pathological
evaluation of the neck dissection. The combination of ECS and LNR improved the
predictive capacity of the 8th edition of the TNM/AJCC classification in HPV
negative HNSCC patients.
PMID- 29362125
TI - Head and neck squamous cell carcinoma of unknown primary: Outcomes of a pre
defined institutional treatment policy in a region with a high prevalence of skin
cancer.
AB - OBJECTIVES: To determine the rate of subsequent primary site failure in patients
with head and neck squamous cell carcinoma of unknown primary (UKP HNSCC) in a
region with a high prevalence of cutaneous squamous cell carcinoma, according to
a pre-determined institutional policy. Secondary aims included regional and
distant control, and overall survival. MATERIAL AND METHODS: Patients presenting
between April 2005 and June 2016 to the Princess Alexandra Hospital Head and Neck
Multidisciplinary Meeting with UKP HNSCC from either presumed mucosal or
cutaneous sites treated with curative intent were eligible. Patients with
presumed mucosal origin were treated with radiation therapy (RT) with or without
chemotherapy, while patients with presumed cutaneous SCC were treated with
surgery and post-operative RT with or without chemotherapy. RESULTS: A total of
63 patients met the inclusion criteria. Median follow up duration was 3.9 years
(IQR 2.07-5.14). There were no subsequent primary site failures. The rate of
nodal failure among presumed mucosal patients was 11.5%, and 8.1% among presumed
cutaneous patients. The rate of distant metastatic failure was 11.1% among all
patients. The estimated 5 year overall survival was 71.2% (95% CI 59.2-85.7%).
CONCLUSION: Treatment according to our pre-defined institutional policy for UKP
HNSCC in a region with a high prevalence of cutaneous SCC appears to be safe and
effective with low rates of mucosal primary emergence and nodal failure.
PMID- 29362126
TI - A squamous odontogenic tumor following an orthodontic micro-screw: A rare case
report and review of the literature.
AB - We reported a very rare case of squamous odontogenic tumor(SOT) in a 23-year-old
female. The tumor arose after an implanting operation of an orthodontic micro
screw, and was definitely diagnosed by the histopathological examination. Based
on the case report and a review of the literature, we discussed about the general
features, differential diagnosis and pathogenesis of SOT.
PMID- 29362127
TI - Sensitivity and specificity of oral HPV detection for HPV-positive head and neck
cancer.
AB - BACKGROUND: The incidence of HPV-related head and neck squamous cell carcinoma
(HPV-HNSCC) is increasing. Oral samples are easy and non-invasive to collect, but
the diagnostic accuracy of oral HPV detection methods for classifying HPV
positive HNSCC tumors has not been well explored. METHODS: In a systematic
review, we identified eight studies of HNSCC patients meeting our eligibility
criteria of having: (1) HPV detection in oral rinse or oral swab samples, (2)
tumor HPV or p16 testing, (3) a publication date within the last 10 years
(January 2007-May 2017, as laboratory methods change), and (4) at least 15 HNSCC
cases. Data were abstracted from each study and a meta-analysis performed to
calculate sensitivity and specificity. RESULTS: Eight articles meeting inclusion
criteria were identified. Among people diagnosed with HNSCC, oral HPV detection
has good specificity (92%, 95% CI = 82-97%) and moderate sensitivity (72%, 95% CI
= 45-89%) for HPV-positive HNSCC tumor. Results were similar when restricted to
studies with only oropharyngeal cancer cases, with oral rinse samples, or testing
for HPV16 DNA (instead of any oncogenic HPV) in the oral samples. DISCUSSION:
Among those who already have HNSCC, oral HPV detection has few false-positives
but may miss one-half to one-quarter of HPV-related cases (false-negatives).
Given these findings in cancer patients, the utility of oral rinses and swabs as
screening tests for HPV-HNSCC among healthy populations is probably limited.
PMID- 29362128
TI - Assessing miRNAs profile expression as a risk stratification biomarker in oral
potentially malignant disorders: A systematic review.
AB - OBJECTIVES: This paper aims to systematically review current findings on altered
expression of miRNAs in OPMDs and whether they can be used as risk stratification
biomarkers. METHODS: Studies were collated after searching three different
electronic databases: PubMed, Embase, Medline. Additional searches were carried
out through cross checking the bibliographies of selected articles. After a
thorough selection process made by two of the authors, 40 articles met the
inclusion criteria and were included in the review. Studies were assessed and
analysed in terms of how the candidate miRNA biomarkers were differentially
expressed and validated. RESULTS: The included studies examined the expression of
miRNAs from human specimens (blood serum/plasma, saliva, tissue) as diagnostic or
prognostic biomarkers in patients with OPMDs, some of which have been utilised as
risk stratification biomarkers for malignant transformation and have showed
promising findings. CONCLUSION: The current evidence to support or refute the
prognostic utility of miRNAs in predicting cancer progression in OPMDs is
equivocal. Further longitudinal prospective studies with solid study design are
warranted.
PMID- 29362130
TI - Influence of tumor and microenvironment characteristics on diffusion-weighted
imaging in oropharyngeal carcinoma: A pilot study.
AB - OBJECTIVES: Diffusion weighted imaging (DWI) is a frequently performed MRI
sequence in cancer patients. While previous studies have shown the clinical value
of the apparent diffusion coefficient (ADC) for response prediction and response
monitoring, less is known about the biological background of ADC. In the tumor
microenvironment, hypoxia and increased proliferation of tumor cells contribute
to resistance to (radio-)therapy, while high T-cell influx is related to better
prognosis. We investigated the correlation between these three tissue
characteristics and ADC in 20 oropharyngeal squamous cell carcinoma patients.
MATERIALS AND METHODS: 20 patients with oropharyngeal squamous cell carcinoma
(OPSCC) who underwent 1.5 T MRI, including DWI were included in this pilot study.
Corresponding formalin-fixed paraffin-embedded tumor tissues were
immunohistochemically analyzed for protein expression of hypoxia-inducible factor
1a (HIF-1a), Ki-67 and CD3. Expression of these markers was correlated with ADC.
RESULTS: ADC negatively correlated with Ki-67 expression (p = .024) in tumor
cells. There was a significant negative correlation between ADC and CD3-positive
cell count (p = .009). No correlation was observed between HIF-1a expression and
ADC. CONCLUSION: This study suggests that ADC reflects characteristics of tumor
cells as well as the surrounding microenvironment. Interestingly, high tumor
proliferation (a negative prognostic factor) and high T-cell influx (a beneficial
prognostic factor) are both associated with a lower ADC. Further studies should
be performed to correlate ADC to these histological characteristics in relation
to previously known factors that affect ADC, to gain further knowledge on the
role of DW-MRI in diagnostics and personalized medicine.
PMID- 29362129
TI - Proportion of CD4 and CD8 tumor infiltrating lymphocytes predicts survival in
persistent/recurrent laryngeal squamous cell carcinoma.
AB - Tumor infiltrating lymphocytes (TILs) have been shown to be an important
prognostic factor in patients with previously untreated head and neck cancer.
After organ preservation therapy for laryngeal cancer and subsequent
persistence/recurrence, the prognostic value of TILs is unknown. Our goal was to
determine if TILs have value as a prognostic biomarker in patients with
surgically salvageable persistent/recurrent laryngeal squamous cell carcinoma.
Levels of TILs were quantified on tissue microarrays from 183 patients undergoing
salvage total laryngectomy for persistent/recurrent laryngeal cancer after
radiation or chemoradiation between 1997 and 2014. Demographic and clinical data
were abstracted. Immunohistology evaluation included CD4, CD8, PDL-1, p16, CD31,
Vimentin, EGFR, and p53. Elevated levels of either CD8 or CD4 positive TILs were
associated with improved disease specific survival (CD8: HR 0.46, 95% CI 0.24
0.88, CD4: HR 0.43; 95% CI 0.21-0.89) and disease free survival (CD8: HR 0.53,
95% CI 0.29-0.94, CD4: HR 0.52; 95% CI 0.27-0.99). Levels of CD8 (HR 0.74; 95% CI
0.47-1.17) or CD4 (HR 0.66; 95% CI 0.40-1.08) TILs were not significantly
associated with overall survival. In bivariate analysis, patients with elevated
CD4 and/or CD8 TILs had significantly improved disease specific survival (HR
0.42; 95% CI 0.21-0.83) and disease free survival (HR 0.45; 95% CI 0.24-0.84)
compared to patients with low levels of CD4 and CD8. PDL-1, p16, CD31, Vimentin,
EGFR, and p53 were not significant prognostic factors. On multivariate analysis,
elevated CD8 TILs were associated with improved disease specific survival (HR
0.35; 95% CI 0.14-0.88, p = .02) and disease free survival (HR 0.41; 95% CI 0.17
0.96, p = .04). CD8, and possibly CD4, positive TILs are associated with
favorable disease free and disease specific survival for recurrent/persistent
laryngeal cancer.
PMID- 29362131
TI - 59 years old male with local advanced adenoid cystic carcinoma of the hypopharynx
- A case report and a review of the literature.
AB - Minor Salivary Gland tumours are rare, representing 2% of the head and neck
tumours and less than 1% of hypopharyngeal cancers. The most common subtype of
minor salivary gland tumours is adenoid cystic carcinoma. Although there are
several case reports discussed it, there are only two reports discussing
hypopharyngeal presentation in the literature, and they were treated by surgical
resection. Despite that, our case report still to be unique as it presents a case
of irresectable locally advanced tumour subjected to a trial of induction
therapy.
PMID- 29362132
TI - HLA class I antigen processing machinery (APM) component expression and PD-1:PD
L1 pathway activation in HIV-infected head and neck cancers.
AB - Human immunodeficiency virus (HIV)-infected individuals are at increased risk for
developing several non-AIDS related malignancies and are often excluded from
cancer immunotherapy regimens. To evaluate the immune competence of this cancer
patient population, we evaluated HLA class I antigen presenting machinery (APM)
component expression and PD-1:PD-L1 pathway upregulation in HIV(+) and HIV(-)
head and neck cancers (HNCs). Sixty-two HIV(+) and 44 matched HIV(-) controls
diagnosed with HNC between 1991 and 2011 from five tertiary care referral centers
in the United States were identified. HLA class I APM component, PD-1, and PD-L1
expression were analyzed by immunohistochemical staining with monoclonal
antibodies (mAbs). Clinical data was abstracted from the medical records. There
was no significant difference between the cases and controls in LMP2, TAP1, HLA-A
and HLA-B/C, as well as PD-1 and PD-L1 expression. Overall, 62% of all subjects
had high PD-1 expression and 82% of the subjects expressed PD-L1 within the tumor
microenvironment. LMP2, HLA-A and HLA-B/C expression were significantly
associated with moderate to high PD-1 expression in the HIV(+) HNC cases (p =
.004, p = .026, and p = .006, respectively) but not in the HIV(-) controls. In
addition, HLA-A expression was significantly associated with PD-L1 expression in
the HIV(+) HNC cases only (p = .029). HIV-infected individuals diagnosed with HNC
do not have any detectable defects in HLA class I APM component expression and in
PD-1:PD-L1 pathway activation. Given the current successes of HAART therapy in
maintaining immune cell counts, HIV(+) patients diagnosed with cancer may benefit
from the recently FDA-approved immune checkpoint blockade therapy.
PMID- 29362133
TI - Prediction of distant metastasis and survival in adenoid cystic carcinoma using
quantitative 18F-FDG PET/CT measurements.
AB - OBJECTIVES: Adenoid cystic carcinoma (AdCC) in the salivary gland shows a high
rate of distant metastasis, which is related to the resulting poor prognosis. We
therefore examined the role of pretreatment 18F-FDG PET/CT for prediction of
distant metastasis, recurrence/progression, and survival in AdCC. METHODS: This
study included 52 patients who underwent pretreatment 18F-FDG PET/CT scanning and
subsequent treatments for AdCC. Maximum, mean, and peak standardized uptake value
(SUVmax, SUVmean, and SUVpeak), metabolic tumor volume (MTV), and total lesion
glycolysis (TLG) were measured on 18F-FDG PET/CT. Univariate and multivariate Cox
proportional hazards regression analyses were used to identify associations
between the quantitative measurements of 18F-FDG PET, and progression-free
survival (PFS), distant metastasis-free survival (DMFS), and disease-specific
survival (DSS). RESULTS: Distant metastases were found in 20 (39%) patients: 6
(12%) at initial diagnosis and 14 (27%) during the median follow-up of 72 months
after treatment. Univariate analyses showed that all the 18F-FDG PET parameters
of SUVmax, SUVmean, SUVpeak, MTV, and TLG were significantly associated with
overall PFS, DMFS, and OS (all P < .05). After controlling for
clinicopathological variables, SUVmax remained an independent factor predictive
of PFS (P = .001), while MTV and TLG were independent predictors of DMFS (P =
.009) and DSS (P = .017). Patients with MTV > 14.8 mL showed a 5.9-fold higher
risk of distant metastasis and a 4.2-fold higher risk of disease-specific death
than those with a lower MTV. CONCLUSIONS: Quantitative measurements using 18F-FDG
PET/CT are useful for predicting tumor progression, distant metastasis, and
survival in patients with AdCC.
PMID- 29362134
TI - First case of Furuncular Myiasis due to Cordylobia anthropophaga in a Latin
American resident returning from Central African Republic.
AB - Myiasis is a temporary infection of the skin or other organs with fly larvae.1
The larvae develop into boil-like lesions. Creeping sensations and pain are
usually described by patients. Following the maturation of the larvae,
spontaneous exiting and healing is experienced. Herein we present a case of a
traveler returning from Central African Republic. She does not recall insect
bites. She never took off her clothing for recreational bathing, nor did she
visit any rural areas. The lesions appeared on unexposed skin. The specific
diagnosis was performed by morphologic characterization of the larvae, resulting
in Cordylobia anthropophaga, the dominant form of myiasis in Africa. To our
knowledge, this is the first reported case of C. anthropophaga in Latin America.
PMID- 29362135
TI - TSG-6: A multifunctional protein with anti-inflammatory and tissue-protective
properties.
AB - Tumor necrosis factor- (TNF) stimulated gene-6 (TSG-6) is an inflammation
associated secreted protein that has been implicated as having important and
diverse tissue protective and anti-inflammatory properties, e.g. mediating many
of the immunomodulatory and beneficial activities of mesenchymal stem/stromal
cells. TSG-6 is constitutively expressed in some tissues, which are either highly
metabolically active or subject to challenges from the environment, perhaps
providing protection in these contexts. The diversity of its functions are
dependent on the binding of TSG-6 to numerous ligands, including matrix molecules
such as glycosaminoglycans, as well as immune regulators and growth factors that
themselves interact with these linear polysaccharides. It is becoming apparent
that TSG-6 can directly affect matrix structure and modulate the way
extracellular signalling molecules interact with matrix. In this review, we focus
mainly on the literature for TSG-6 over the last 10 years, summarizing its
expression, structure, ligand-binding properties, biological functions and
highlighting TSG-6's potential as a therapeutic for a broad range of disease
indications.
PMID- 29362137
TI - Erratum to "Hydroxyapatite and calcium pyrophosphate crystals mimicking gout in
systemic sclerosis" [Reumatol Clin. 2017;13(4):235-236].
PMID- 29362138
TI - Texture analysis and machine learning to characterize suspected thyroid nodules
and differentiated thyroid cancer: Where do we stand?
AB - In thyroid imaging, "texture" refers to the echographic appearence of the
parenchyma or a nodule. However, definition of the image characteristics is
operator dependent and influenced by the operator's experience. In a more
objective texture analysis, a variety of mathematical methods are used to
describe image inhomogeneity, allowing assessment of an image by means of
quantitative parameters. Moreover, this approach may be used to develop an
efficient computer-aided diagnosis (CAD) system to yield a second opinion when
differentiating malignant and benign thyroid lesions. The aim of this review is
to summarize the available literature data on texture analysis, with and without
CAD, in patients with suspected thyroid nodules or differentiated thyroid cancer,
and to assess the current state of the approach.
PMID- 29362136
TI - The diamond anniversary of tissue transglutaminase: a protein of many talents.
AB - Tissue transglutaminase (tTG) is capable of binding and hydrolyzing GTP, as well
as catalyzing an enzymatic transamidation reaction that crosslinks primary amines
to glutamine residues. tTG adopts two vastly different conformations, depending
on whether it is functioning as a GTP-binding protein or a crosslinking enzyme.
It has been shown to have important roles in several different aspects of cancer
progression, making it an attractive target for therapeutic intervention. Here,
we highlight many of the major findings involving tTG since its discovery 60
years ago, and describe recent drug discovery efforts that target specific
activities or conformations of this unique protein.
PMID- 29362139
TI - Cystic renal masses: An imaging update.
AB - Management of incidental renal masses is in evolution. Recognition that cystic
renal tumors generally act in an indolent fashion has led to less aggressive
intervention. The ability of radiologists to stratify risk of malignancy, and in
some cases, specify a precise diagnosis, is paramount to patient management. We
review pathologies that present as cystic renal masses and how to best stratify
malignancy risk.
PMID- 29362140
TI - Evaluation of virtual monoenergetic imaging algorithms for dual-energy carotid
and intracerebral CT angiography: Effects on image quality, artefacts and
diagnostic performance for the detection of stenosis.
AB - PURPOSE: To investigate the impact of traditional (VMI) and noise-optimized
virtual monoenergetic imaging (VMI+) algorithms on quantitative and qualitative
image quality, and the assessment of stenosis in carotid and intracranial dual
energy CTA (DE-CTA). MATERIALS AND METHODS: DE-CTA studies of 40 patients
performed on a third-generation 192-slice dual-source CT scanner were included in
this retrospective study. 120-kVp image-equivalent linearly-blended, VMI and VMI+
series were reconstructed. Quantitative analysis included evaluation of contrast
to-noise ratios (CNR) of the aorta, common carotid artery, internal carotid
artery, middle cerebral artery, and basilar artery. VMI and VMI+ with highest
CNR, and linearly-blended series were rated qualitatively. Three radiologists
assessed artefacts and suitability for evaluation at shoulder height, carotid
bifurcation, siphon, and intracranial using 5-point Likert scales. Detection and
grading of stenosis were performed at carotid bifurcation and siphon. RESULTS:
Highest CNR values were observed for 40-keV VMI+ compared to 65-keV VMI and
linearly-blended images (P < 0.001). Artefacts were low in all qualitatively
assessed series with excellent suitability for supraaortic artery evaluation at
shoulder and bifurcation height. Suitability was significantly higher in VMI+ and
VMI compared to linearly-blended images for intracranial and ICA assessment (P <
0.002). VMI and VMI+ showed excellent accordance for detection and grading of
stenosis at carotid bifurcation and siphon with no differences in diagnostic
performance. CONCLUSION: 40-keV VMI+ showed improved quantitative image quality
compared to 65-keV VMI and linearly-blended series in supraaortic DE-CTA. VMI and
VMI+ provided increased suitability for carotid and intracranial artery
evaluation with excellent assessment of stenosis, but did not translate into
increased diagnostic performance.
PMID- 29362141
TI - Renal cortical volume: High correlation with pre- and post-operative renal
function in living kidney donors.
AB - BACKGROUND: CT volumetry has previously been proposed as an alternative to
scintigraphy for the evaluation of pre-donation split renal function and the
prediction of post-donation renal function in living kidney donors. The aim of
our study was to retrospectively assess the relevance of three CT volumetry
techniques for estimating pre-donation kidney function and predicting the risk
for chronic kidney disease (CKD) at 1-year post-nephrectomy in a French cohort of
living donors using isotopic measures of kidney function. METHODS: Kidney volume
was quantified pre-donation for 105 donors using three methods total parenchymal
three-dimensional renal volume (3DRV), total parenchymal renal volume contouring
(RVCt), and renal cortical volume (RCoV). Subjects also had a 51Cr-EDTA
scintigraphy to measure glomerular filtration rate (mGFR) pre-donation and 1-year
after donation. For each volume, we tested for association with mGFR using
univariate regression models, and computed receiver operating characteristics
analyses to assess their predictive potential of post-donation CKD. RESULTS: Our
population was composed of healthy subjects, who were predominantly female (69%)
with a median age at donation of 51yo. Median mGFR was 102 mL/min/1.73 m2 at pre
donation and 66 mL/min/1.73 m2 1-year after nephrectomy. The pre-donation median
volume of the preserved kidney was 156 cm3, 163 cm3 and 99 cm3 for the 3DRV, RVCt
and RCoV methods respectively, with a high correlation observed between each
technique (R > 0.84). For all methods, total kidney volume was significantly
associated with pre-donation mGFR (P < 0.001). Preserved kidney volume was also
strongly correlated with post-donation mGFR (P < 0.0001), with the strongest
correlation observed for RCoV (R = 0.60 vs. R = 0.39 and R = 0.51 for 3DRV and
RVCt, respectively). Finally, the RCoV method yielded the best predictive value
of 1-year post-donation CKD (AUC = 0.80 vs. AUC = 0.76 and 0.70 for RVCt and
3DRV, respectively). CONCLUSIONS: In our cohort of healthy donors with measured
kidney function, cortical volumetry (RCoV) appears as the best volumetric
technique to use as a surrogate to scintigraphy for estimating pre-donation split
renal function and predicting post-donation renal outcomes.
PMID- 29362142
TI - Diagnostic accuracy of dual-energy computed tomography in bone marrow edema with
vertebral compression fractures: A meta-analysis.
AB - PURPOSE: This meta-analysis aimed to evaluate the diagnostic performance of dual
energy computed tomography (DECT) for the bone marrow edema (BME) in patients
with vertebral compression fractures. METHODS: The PubMed, EMBASE, and the
Cochrane Library database were searched up to July 2017 for relevant original
studies. Data were extracted to calculate the pooled sensitivity, specificity,
diagnostic odds ratio (DOR), positive and negative likelihood ratios (PLR and
NLR), and areas under summary receiver operating characteristic (SROC) curves for
analysis. RESULTS: A total of seven studies including 510 vertebras were
available for the meta-analysis. Overall pooled sensitivity and specificity of
DECT for detecting BME were 0.82 (95%CI: 0.76-0.86) and 0.98 (95%CI: 0.97-0.99),
respectively. The PLR was 29.74 (95%CI: 15.62-56.61), the NLR was 0.19 (95%CI:
0.11-0.33), and DOR was 201.96 (95%CI: 99.98-407.93). The SROC value was 0.978.
In addition, a subgroup analysis was conducted according to the mean time
interval between the DECT and MRI. In 5 studies with more than 2 days interval,
the pooled sensitivity and specificity of DECT were 0.89 (95%CI: 0.84-0.93) and
0.98 (95%CI: 0.95-0.99), respectively. The AUC value was 0.979. CONCLUSIONS:
Current evidence of our meta-analysis indicates that DECT has a high diagnostic
accuracy in BME of vertebral compression fractures. In addition, DECT may have a
less sensitive in BME of hyper-acute period.
PMID- 29362143
TI - Cholangiocarcinoma versus small liver abscess in dual source dual-energy CT
quantitative parameters.
PMID- 29362144
TI - Gas-induced susceptibility artefacts on diffusion-weighted MRI of the rectum at
1.5 T - Effect of applying a micro-enema to improve image quality.
AB - PURPOSE: Assess whether application of a micro-enema can reduce gas-induced
susceptibility artefacts in Single-shot Echo Planar Imaging (EPI) Diffusion
weighted imaging of the rectum at 1.5 T. MATERIALS AND METHODS: Retrospective
analysis of n = 50 rectal cancer patients who each underwent multiple DWI-MRIs
(1.5 T) from 2012 to 2016 as part of routine follow-up during a watch-and-wait
approach after chemoradiotherapy. From March 2014 DWI-MRIs were routinely
acquired after application of a preparatory micro-enema (Microlax(r); 5 ml; self
administered shortly before acquisition); before March 2014 no bowel preparation
was given. In total, 335 scans were scored by an experienced reader for the
presence/severity of gas-artefacts (on b1000 DWI), ranging from 0 (no artefact)
to 5 (severe artefact). A score >=3 (moderate-severe) was considered a clinically
relevant artefact. A random sample of 100 scans was re-assessed by a second
independent reader to study inter-observer effects. Scores were compared between
the scans performed without and with a preparatory micro-enema using univariable
and multivariable logistic regression taking into account potential confounding
factors (age/gender, acquisition parameters, MRI-hardware, rectoscopy prior to
MRI). RESULTS: Clinically relevant gas-artefacts were seen in 24.3% (no micro
enema) vs. 3.7% (micro-enema), odds ratios were 0.118 in univariable and 0.230 in
multivariable regression (P = 0.0005 and 0.0291). Mean severity score (+/-SD) was
1.19 +/- 1.71 (no-enema) vs 0.32 +/- 0.77 (micro-enema), odds ratios were 0.321
(P < 0.0001) and 0.489 (P = 0.0461) in uni- and multivariable regression,
respectively. Inter-observer agreement was excellent (kappa0.85). CONCLUSION: Use
of a preparatory micro-enema shortly before rectal EPI-DWI examinations performed
at 1.5 T MRI significantly reduces both the incidence and severity of gas-induced
artefacts, compared to examinations performed without bowel preparation.
PMID- 29362145
TI - Evaluation of an automated breast volume scanner according to the fifth edition
of BI-RADS for breast ultrasound compared with hand-held ultrasound.
AB - OBJECTIVES: To investigate the automated breast volume scanner (ABVS) in
comparison with hand-held ultrasound (HHUS) according to the fifth edition of BI
RADS ultrasound. MATERIAL AND METHODS: A total of 831 lesions in 786 patients who
underwent both HHUS and ABVS were included. Three radiologists independently
evaluated the sonographic features of each lesion according to the fifth BI-RADS
edition. The kappa coefficient (kappa) was calculated for each BI-RADS descriptor
and final assessment category. The accuracy of malignancy prediction and
diagnostic performance of the BI-RADS descriptors were assessed using
multivariate logistic regression and area under the receiver operator
characteristic curve (AUC), respectively. RESULTS: ABVS and HHUS showed moderate
to good interobserver agreement (kappa = 0.53-0.67 and 0.55-0.70, respectively)
except in associated features (kappa = 0.31 and 0.36, respectively) for BI-RADS
lexicons. Irregular shape, a non-circumscribed margin, and posterior features
(combined or shadowing) were independently associated with malignancy in both
ABVS and HHUS. Calcification presence on ABVS (odds ratio [OR], 95% confidence
interval [CI]: 2.09, 1.11-3.94) and non-parallel orientation on HHUS (OR, 95% CI:
2.04, 1.10-3.78) were independently associated with malignancy. There were no
significant differences between ABVS and HHUS in sensitivity (84.2% vs. 84.2%),
specificity (80.5% vs. 83.9%), or AUC (0.88 vs. 0.90). CONCLUSIONS: According to
the fifth BI-RADS edition, ABVS is not statistically significantly different from
HHUS with regard to interobserver variability and diagnostic performance.
PMID- 29362147
TI - Anterior cruciate ligament fixation devices: Expected imaging appearance and
common complications.
AB - Anterior cruciate ligament reconstruction is a commonly performed orthopaedic
procedure which has increased in frequency over the past decade. There are a
variety of fixation devices used to secure grafts within the femoral and tibial
tunnels during the reconstruction procedure. An understanding of the expected
appearance of the varied hardware utilized for reconstruction graft fixation, and
their potential complications is important in the review of post-operative
imaging. We describe the most common anterior cruciate ligament reconstruction
fixation devices and illustrate their more frequently documented abnormalities.
PMID- 29362146
TI - Protruding anterior medial meniscus-An indirect sign of posterior cruciate
ligament deficiency.
AB - BACKGROUND: to examine if PROTruding of the Anterior Medial Meniscus (PROTAMM)
could be an indirect sign of PCL deficiency by comparing PROTAMM to passive
posterior tibial sagging (PSS) for chronic PCL rupture on routine MRI. METHODS:
Patients with PCL reconstruction between 2011 and 2016 were included in a case
control study. Primarily cases with combined ACL/PCL injury were excluded.
Secondary exclusion criteria were bony fractures, medial meniscus pathology and
poor quality MRIs. Three (blinded) observers reviewed the pre-operative MRIs
according to a pre-defined protocol. RESULTS: After applying the inclusion and
primary exclusion criteria 16 patients were identified in the PCL rupture group.
The control group consisted of 15 patients. After reviewing the MRIs, 6 were
excluded due to secondary exclusion criteria. Mean PPS measured 4.8 mm (+/- 4.4
mm) in the PCL rupture group and 1.8 mm (+/-2.9 mm) in the control group, p =
0.05. Mean PROTAMM was 3.6 mm (+/-0.6 mm) in the PCL rupture group and 0.7 mm (+/
0.9 mm) in the control group, p = 0.004. CONCLUSION: We found a mean PROTAMM of
3.6 mm in patients with PCL rupture. We suggest that this sign, after knee injury
in an otherwise normal medial meniscus, is a promising indirect sign of PCL
deficiency compared to PPS. Implementation of this sign in clinical practice may
improve the sensitivity of routine non-weight bearing MRI in identifying PCL
deficient knees.
PMID- 29362148
TI - Utilization of virtual mono-energetic images (MonoE) derived from a dual-layer
spectral detector CT (SDCT) for the assessment of abdominal arteries in venous
contrast phase scans.
AB - OBJECTIVES: To investigate the utilization of virtual mono-energetic images
(MonoE) at low kiloelectron volt (keV) levels derived from a dual-layer spectral
detector CT (SDCT) for the assessment of abdominal arteries in venous contrast
phase scans using arterial phase imaging as an internal reference standard.
MATERIALS AND METHODS: A total of 50 patients who received arterial and venous
phase imaging of the abdomen on a SDCT system were included in this study.
Absolute attenuation, noise, signal- and contrast to noise ratios (SNR; CNR) as
well as arterial diameters in defined landmarks were assessed. In arterial phase,
conventional reconstructions (CRART) as well as MonoEART at 40keV and in venous
phase, conventional reconstructions (CRVEN) as well as MonoEVEN at 70 and 40keV
were investigated and intra-individual comparisons were performed. If an artery
stenosis (10 patients) was present, the degree of stenosis was assessed according
to the system of the North American Symptomatic Carotid Endarterectomy Trial
(NASCET). RESULTS: MonoE 40keV yielded significantly higher attenuation values
(in arterial as well as in venous phase) compared to CRART (p<0.001) while noise
levels were substantially low. This resulted in markedly superior SNR and CNR in
large vessel compared to CRART. Luminal diameters were significantly smaller in
MonoE 40keV in both contrast phases compared to CRART (p<0.001), whereas no
significant differences were found between both MonoE reconstructions (p>=0.92).
The degree of vessel stenosis was significantly higher in MonoE 40keV of both
contrast phases compared to CRART (p>=0.02). CONCLUSION: MonoE at low keV of
venous contrast phase scans derived from a novel SDCT are suitable for the
assessment of arteries in the abdomen and subsequent stenosis assessment.
However, MonoE at 40keV constantly showed significant smaller luminal diameters
than the corresponding conventional reconstructions (including the reference
standard). This is possibly due to an improved differentiation of the vessel
lumen from the wall and raises the question, which imaging technique should be
used as an appropriate reference standard for vascular SDCT imaging studies.
PMID- 29362149
TI - Strain of ascending aorta on cardiac magnetic resonance in 1027 patients:
Relation with age, gender, and cardiovascular disease.
AB - OBJECTIVES: To evaluate ascending aortic strain (AAS) with cardiac magnetic
resonance (CMR) in a large consecutive series of patients with different types of
cardiovascular disease (CVD). METHODS: Two-dimensional phase-contrast gradient
echo sequences of the ascending aorta were retrospectively reviewed in 1027
patients (726 males, 301 females). Aortic lumen area was segmented using a semi
automatic approach to calculate AAS values. Subgroup analysis was performed for
patients with normal CMR, tetralogy of Fallot (ToF), and ischemic heart disease
(IHD). Multivariate and post-hoc analyses were performed to evaluate the effect
of age, gender, and CVD on AAS values. Shapiro-Wilk, three- and two-way ANOVA,
Mann-Whitney U, and Spearman correlation statistics were used. RESULTS:
Multivariate analysis showed significant differences in AAS among decades of age
(p<0.001), genders (p=0.006) and CVD subgroups (p<0.001) without interaction
among these factors. A gender-related difference (higher AAS in females) was
significant in ToF (p=0.008), while an AAS reduction during aging was observed in
all CVD subgroups. Post-hoc analysis showed a significantly lower AAS in ToF and
IHD patients compared to subjects with normal CMR (p<0.001). CONCLUSION:
Differences in age, gender, and CVD independently affect AAS. The lower AAS
observed in ToF fosters its assessment during follow-up in adulthood. Future
studies on causes and clinical implications of a higher AAS in females affected
by ToF are warranted.
PMID- 29362150
TI - CT and MR imaging for solid renal mass characterization.
AB - As our understanding has expanded that relatively large fraction of incidentally
discovered renal masses, especially in small size, are benign or indolent even if
malignant, there is growing acceptance of more conservative management including
active surveillance for small renal masses. As for advanced renal cell carcinomas
(RCCs), nonsurgical and subtype specific treatment options such as immunotherapy
and targeted therapy is developing. On these backgrounds, renal mass
characterization including differentiation of benign from malignant tumors, RCC
subtyping and prediction of RCC aggressiveness is receiving much attention and a
variety of imaging techniques and analytic methods are being investigated. In
addition to conventional imaging techniques, integration of texture analysis,
functional imaging (i.e. diffusion weighted and perfusion imaging) and
multivariate diagnostic methods including machine learning have provided
promising results for these purposes in research fields, although standardization
and external, multi-institutional validations are needed.
PMID- 29362151
TI - Posterior tibial tendon dysfunction: Clinical and magnetic resonance imaging
findings having histology as reference standard.
AB - OBJECTIVE: To investigate the correlation between MRI, clinical tests,
histopathologic features of posterior tibial tendon (PTT) dysfunction in patients
with acquired adult flatfoot deformity surgically treated with medializing
calcaneal osteotomy and flexor digitorum longus tendon transposition. MATERIALS
AND METHODS: Nineteen patients (11 females; age: 46 +/- 15 year, range 18-75)
were pre-operatively evaluated using the single heel rise (HR) and the first
metatarsal rise (FMR) sign tests. Two reviewers graded the PTT tears on a I-III
scale and measured the hindfoot valgus angle on the pre-operative MRI of the
ankle. The specimens of the removed portion of PTT were histologically analysed
by two pathologists using the Bonar and Movin score. Linear regression,
Spearman's rank-order, and intraclass correlation coefficient (ICC) statistics
were used. RESULTS: ICC for MRI was excellent (0.952). Correlation between FMR
and HR tests was at limit of significance (r = 0.454; P = 0.051). The HR and FMR
tests were significantly correlated to the Movin score (r = 0.581; P = 0.009 and
r = 0.538; P = 0.018, respectively) and were not significantly correlated to the
Bonar score (both with a r = 0.424; P = 0.070). PTT tendinopathy grading at MRI
was significantly correlated to the FMR test (p = 0.041) but not to the hindfoot
valgus angle (p = 0.496), the HR test (p = 0.943), the Bonar score (p = 0.937),
and the Movin score (p = 0.436). The hindfoot angle was not correlated to any of
the other variables (p > 0.264). CONCLUSION: For PTT dysfunction, there is high
correlation between HR and FMR test and histology evaluated using the Movin
score, while no correlation was seen for the Bonar score. Semiquantitative
grading of PTT dysfunction at MRI only correlates to the FMR and not to
histology. The hindfoot valgus angle is not correlated to any of the considered
variables.
PMID- 29362152
TI - Peripheral zone lesions of intermediary risk in multiparametric prostate MRI:
Frequency and validation of the PI-RADSv2 risk stratification algorithm based on
focal contrast enhancement.
AB - PURPOSE: To validate the risk stratification algorithm of the Prostate Imaging
Reporting and Data System (PI-RADSv2) for intermediary risk lesions (PI-RADSv2
category 3) in the peripheral zone based on focal contrast enhancement and to
compare cancer rates in category 3, upgraded category 4 and category 4 based on
markedly low ADC value. MATERIALS AND METHODS: We retrospectively analyze 172
consecutive patients undergoing prostate MRI with 315 histopathologically
verified lesions. We select all lesions either assigned category 3 or category 4
in the peripheral zone for further analysis. We compare cancer rates with the two
sided chi-squared test. To determine inter-observer agreement about contrast
enhancement two blinded radiologists evaluate the subset of category 3 lesions
based on the diffusion weighted sequence. RESULTS: The frequency of peripheral PI
RADS 3, upgraded PI-RADS 4 and PI-RADS 4 lesions based on markedly low ADC value
is 10.8%, 10.8% and 20.3%, respectively. Cancer rates (significant cancer only)
in these subgroups are 8.8% (3/34), 23.5% (8/34) and 40.6% (26/64), P < 0.01.
Inter-observer agreement is moderate for evaluation of contrast enhancement with
kappa values between 0.46 and 0.5. CONCLUSION: We demonstrate a trend of
increasing cancer rate from PI-RADSv2 category 3 to upgraded category 4 to
category 4 based on markedly low ADC value. Peripheral lesions of intermediary
risk in the diffusion weighted sequence account for 21.6% of all prostate lesions
encountered. Since it is likely that patient management recommendations will be
linked to assessment categories in future versions of PI-RADS, cancer rates in
upgraded category 4 and category 4 based on markedly low ADC values should be in
a similar range. We conclude that in future studies of PI-RADSv2 upgraded
category 4 and category 4 based on markedly low ADC value should be reported
separately to generate a database for meta-analysis of cancer rates.
PMID- 29362153
TI - Endovascular treatment of intracranial vertebrobasilar artery dissecting
aneurysms: Parent artery occlusion versus flow diverter.
AB - PURPOSE: To compare the safety and efficacy of endovascular parent artery
occlusion (PAO) and flow diverter (FD) treatment in treating vertebrobasilar
dissecting aneurysms (VBDAs). METHODS: A review of a prospective aneurysm
database at our institution was performed to identify all consecutive patients
with intracranial VBDAs managed with endovascular treatment, which were either
PAO or FD. Clinical and imaging findings were compared between the two groups.
RESULTS: A total of 25 consecutive patients with 27 VBDAs were included.
Seventeen VBDAs were treated by PAO, and 11 VBDAs were treated with FDs.
Immediate total occlusion rate after initial treatment was higher in the PAO
group than in the FD group (62.5% v.s. 9.1%, p = .018). Complete occlusion on
follow-up at 18 months was more frequently observed in the PAO group (81.8%)
compared to the FD group (55.6%), although the difference was not statistically
significant (p = .433). Procedure related complication rate and mortality for the
whole case series was 28% and 24% respectively, and were comparable in the two
groups. Excellent outcome at discharge was achieved in 77.8% and 40% of patients
treated with FD and PAO respectively, which was not statistically significant (p
= .169). Excellent outcome at followed-up was comparable as well. CONCLUSIONS:
PAO and FD treatment are both feasible options for treatment of VBDAs. PAO
provide higher immediate complete occlusion rate compared to FD. Despite low
initial complete occlusion rates, FD group presented a comparable long-term
outcome and similar perioperative events rate compared to the PAO group.
PMID- 29362154
TI - Model for improved correlation of BMD values between abdominal routine Dual
energy CT data and DXA scans.
AB - BACKGROUND: Osteoporosis is a common but underdiagnosed and undertreated disease
causing severe morbidity and economic burden. The gold standard for detection of
osteoporosis is DXA (dual energy x-ray absorptiometry), which is a dedicated
examination for osteoporosis. Dual energy CT (DECT) examinations are increasingly
used in daily routine for a wide variety of diagnoses. In the present study, we
wanted to examine whether vBMD (volume bone mass density) could be evaluated as a
side product in non-contrast as well as contrast phases as well as to evaluate a
correction model taking known shortcomings for DXA into account. METHODS: A total
of 20 patients, i.e. 79 vertebrae (one excluded due to vertebral fracture), mean
age 71 years (range 43-85) with a mean BMI (body mass index) of 26 (range 17-33)
were examined with both abdominal/pelvic DECT as well as DXA. Furthermore, aortic
calcium was measured as well as the presence of osteoarthritis of the spine (OAS)
and osteoarthritis in facet joints (OAF) with a 5-grade scaling system. RESULTS:
A significant correlation was found between DXA BMD and vBMD from DECT with no
contrast (WNC) (r = 0.424, p = 0.001), and with venous contrast (WVC) (r = 0.402,
p < 0.001), but no significant correlation was found with arterial contrast
(WAC). Using multivariate linear regression with DXA BMD as dependent, two models
were created combining DECT WNC, aortic calciumscore (ACS), OAS and BMI yielding
an R2 = 0.616 (model 1) and replacement of WNC to WVC a R2 = 0.612 (model 2). The
Pearson correlation between DXA and predictive DXA BMD value of model 1 was r =
0.785 (p < 0.001) and model 2 r = 0.782 (p < 0.001). CONCLUSION: There is a
correlation between DXA BMD and DECT in non-contrast and venous contrast scans
but not in arterial scans. The correlation is further improved by quantifying the
degree of different confounding factors (osteoarthritis of the spine, body mass
index and aortic calcium score) and taking these into account in an explanatory
model. Future software solutions with DECT data as input data might be able to
automatically measure the BMD in the trabecular bone as well as measuring the
confounding factors automatically in order to obtain spinal DXA comparable BMD
values.
PMID- 29362155
TI - Pseudoexfoliation syndrome without glaucoma: White matter abnormalities detected
by conventional MRI and diffusion tensor imaging.
AB - PURPOSE: To assess macro- and microstructural brain changes in patients with
pseudoexfoliation syndrome (PXS). MATERIALS AND METHODS: Comprehensive ophthalmic
examination and brain MRI were conducted on 20 patients with PXS without glaucoma
(aged 62.75 +/- 0.4 years) and 20 controls (aged 62 +/- 0.6 years). White matter
(WM) integrity was evaluated on FLAIR and single-shot multisection SE-EPI
diffusion tensor imaging (DTI) sequences. The presence and the number of white
matter hyperintensities (WMHIs) on FLAIR images was compared between all patients
and control subjects. Microstructural WM changes on DTI was evaluated using Tract
based spatial statistics (TBSS). DTI metrics of the optic tracts were assessed by
the region-of-interest (ROI) method. RESULTS: A significantly higher number of
WMHIs was found in the patients with PXS than in the control subjects (P <=
0.002). On DTI the patients showed bilateral increase in the mean diffusivity
(MD), axial diffusivity (AD) and radial diffusivity (RD) values in the anterior
thalamic radiation, the inferior fronto-occipital fasciculus, the superior
longitudinal fasciculus, the inferior longitudinal fasciculus and the forceps
minor. TBSS revealed no significant difference in fractional anisotropy (FA)
values, but ROIs analysis of the optic tracts revealed decreased FA values in the
patients. CONCLUSION: MRI in patients with PXS detects abnormalities in the brain
and the optic tracts at a subclinical stage. Early detection of microstructural
changes could be useful to guide appropriate treatment to impede the disease
process.
PMID- 29362157
TI - Contrast-enhanced cone-beam breast-CT: Analysis of optimal acquisition time for
discrimination of breast lesion malignancy.
AB - OBJECTIVE: To investigate the optimal acquisition time of contrast-enhanced cone
beam breast-CT (CBBCT) for best discrimination of breast lesion malignancy and
whether contrast enhancement can aid in classification of tumor histology.
MATERIAL AND METHODS: The study included patients with BI-RADS 4 or 5 lesions
identified on mammography and/or ultrasound. All patients were examined by non
contrast (NC-CBBCT) and contrast-enhanced CBBCT (CE-CBBCT) at 2 and 3min after
contrast media (CM) injection. Lesion enhancement of suspicious breast lesions
was evaluated in corresponding CBBCT slices. RESULTS: A total of 31 patients with
57 breast lesions, 30 malignant and 27 benign, were included. Malignant breast
lesions demonstrated higher contrast enhancement than benign breast lesions at
both 2min and 3min CE-CBBCT (2min: 48.17 vs. 0.3 HU, p<0.001; 3min: 57.38 vs.
15.43 HU, p<0.001). Enhancement differences between malignant and benign breast
lesions were largest at 2min CE-CBBCT. Ductal carcinoma in situ (DCIS) showed
highest mean contrast enhancement among malignant breast lesions (100.93 HU at
3min CE-CBBCT, p=0.0314) compared to invasive carcinoma of no special type with
DCIS component (55.82 HU at 3min CE-CBBCT) and invasive ductal carcinoma (52.31
HU at 3min CE-CBBCT). CONCLUSIONS: The contrast enhancement on CE-CBBCT best
discriminates between malignant and benign breast lesions at 2min after CM
injection. The enhancement has the potential to differentiate histopathological
subtypes, with highest enhancement among malignant lesions seen for DCIS.
PMID- 29362156
TI - Value of contrast-enhanced ultrasound and PET/CT in assessment of extramedullary
lymphoma.
AB - OBJECTIVE: The aim of the study was to evaluate clinical value of contrast
enhanced ultrasonography (CEUS) and PET/CT for assessment of extramedullary
lymphoma, using histopathology as reference standard. METHOD: A total of 63
patients with histopathologically-confirmed extramedullary lymphoma who had
underwent CEUS and PET/CT examinations of suspicious lymph nodes included in the
study. CEUS patterns and parameters (arrival time, peak time and intensity, base
intensity, area under the time-intensity curve, ascending and descending slopes)
and PET/CT parameters including maximum standardized uptake value, mean
standardized uptake value, and metabolic tumor volume (MTV) were evaluated.
Patients were classified into Hodgkin lymphomas (HL), non-Hodgkin lymphomas
(NHL), early (stage I and II) and advanced (stage III and IV) lymphoma, B cells
and T cells lymphoma, and aggressive and indolent lymphoma. The differences
between the two independent samples were compared using non-parametric rank and
inspection, P < 0.05 was considered statistically significant. The optimal cut
off value for parameters was used to predict the staging and pathology using
Receiver Operating Characteristic (ROC) curve analysis. RESULT: In the early and
advanced group, the differences between ?T and ascending slope (AS) were
statistically significant (p = 0.010, 0.024 < 0.05). Hodgkin lymphomas (HL) or
non-Hodgkin lymphomas (NHL) results were determined by optimal cut-off value of
AT and TP (p = 0.001, 0.001 < 0.05). Aggressive or indolent lymphoma were
determined by optimal cut-off values of Color Doppler flow resistance index (P =
0.001 < 0.05) and SUVmax (p = 0.001 < 0.05). There was no statistically
significant difference between B and T cell lymphoma. And there was no
statistically significant difference among the qualitative indexes. The optimal
cutoff value for statistically significant indicators was calculated by ROC.
CONCLUSION: The quantitative parameters of CEUS and SUVmax of PET/CT are proven
useful in assessment of different clinical and pathologic patterns of
extramedullary lymphoma.
PMID- 29362159
TI - New team, same objectives.
PMID- 29362158
TI - Imaging giant cell arteritis and Aortitis in contrast enhanced 18F-FDG PET/CT:
Which imaging score correlates best with laboratory inflammation markers?
AB - PURPOSE: To define the most appropriate imaging parameters in combined
Fluorodeoxyglucose (FDG) PET/CT reflecting the inflammatory burden in large
vessel vasculitis. METHODS: Two readers retrospectively graded disease extent and
activity in 17 LVV patients using visual and quantitative scores in FDG PET and
contrast enhanced CT. Visual PET scores were assessed corresponding to FDG-uptake
vs. liver uptake (score 0-3). CT visual scoring referred to the affected vessel
extent (score 1-5). Quantitative PET scores relied on normalized SUV ratios. For
quantitative CT evaluation vessel wall thickness was correlated with FDG- uptake.
Imaging scores were correlated with Erythrocyte Sedimentation Rate (ESR) and C
reactive protein (CRP). Intraclass correlation coefficients (ICC) were measured
for interreader reliability. RESULTS: Visual PET scores showed stronger
correlation with CRP (rho 0.640, 0.541 for reader I and II, respectively) than
with ESR levels (rho 0.477, 0.447). Quantitative PET showed strongest correlation
with CRP using liver as reference tissue. Visual CT scores did neither correlate
with ESR nor with CRP levels (ESR: rho 0.085, 0.294 with p 0.743, 0.252; CRP: rho
0.322, 0.395 with p 0.208, 0.116). Quantitative CT evaluation correlated with ESR
levels in one reader (rho 0.505, -0.026), however no correlation between
quantitative CT measures and quantitative PET scores was found. Best ICC between
readers was 0.994 for highest SUVavg vessel/highest SUVavg liver. CONCLUSIONS:
Visual and quantitative PET scores were superior to CT scores with best ICC and
strongest correlations between quantitative PET score and inflammation markers
especially when using vessel to liver ratios.
PMID- 29362160
TI - Vive la difference! France's new guidelines on hospital-acquired pneumonia.
PMID- 29362161
TI - Checklists and cognitive aids in simulation training and daily critical care
practice: Simple tools to improve medical performance and patient outcome.
PMID- 29362162
TI - Is augmented renal clearance the Holy Grail of antibiotic therapy failure in
ventilator-acquired pneumonia?
PMID- 29362163
TI - Vaccination program, health-workers mobilisation, public information: The lessons
to learn from the flu outbreak in the Reunion Island.
PMID- 29362164
TI - I (Influenza) will be back!
PMID- 29362165
TI - Preoperative Detailed Coagulation Tests Are Required in Patients With Noonan
Syndrome.
AB - PURPOSE: Patients with Noonan syndrome often require surgery at young ages. They
are at high risk of perioperative bleeding from coagulation defects that might
not have been detected by routine screening. These risks are rarely described in
the oral and maxillofacial surgery (OMS) literature. The aim of this study was to
evaluate the perioperative bleeding risks associated with Noonan syndrome and to
propose preoperative guidelines. MATERIALS AND METHODS: This report describes a
retrospective case series of patients with Noonan syndrome who underwent OMS
procedures during a continuous observational period (2013 through 2016) in the
authors' center. Clinical data, blood screening test results, and perioperative
bleeding were analyzed. RESULTS: Five patients (age, 4 to 20 yr) with Noonan
syndrome who underwent OMS procedures were included in this study. One patient
presented a spontaneous bleeding tendency (epistaxis requiring cauterization).
Blood screening showed clotting defects in 3 patients. One patient presented
abnormal perioperative bleeding owing to a mild defect in factor XI. CONCLUSION:
Patients with Noonan syndrome must be referred to a hematologist for specific
preoperative investigations and for adapted perioperative management.
PMID- 29362166
TI - Impact of Temporomandibular Joint Discectomy on Condyle Morphology: An Animal
Study.
AB - PURPOSE: Temporomandibular disorders lead to parafunctional activity that may
alter bone remodeling of mandibular components. This animal study aimed to assess
the impact of temporomandibular joint discectomy on condylar bone
microarchitecture. MATERIALS AND METHODS: A total of 30 one-month-old Wistar rats
were assessed and divided into 3 equal groups (2 test groups and 1 control group)
of 10. The first test group underwent disc removal, the second test group
underwent disc and condylar cartilage removal, and the 10 remaining rats were
analyzed as sham-operated controls, following a split-mouth design. The rats were
killed humanely 2 months after surgery, and the respective mandibles were scanned
with micro-computed tomography for quantitative morphometric analysis. RESULTS:
There were significant differences among the 3 groups analyzed (disc removal,
disc and condylar cartilage removal, and sham-operated control) for bone volume
fraction (ratio of bone volume to total volume, P = .044), structure model index
(P < .001), fractal dimension (P = .024), and porosity (P = .023). In addition,
operated and contralateral nonoperated sides significantly differed for all
variables in at least 1 of the test groups (P < .05) but not in the control group
(P > .05). CONCLUSIONS: Within the limitations of this study, our results suggest
that discectomy may lead to alterations of the mandibular condylar morphology.
PMID- 29362168
TI - Letter to the Editor: Getting Better Value out of Studies Examining Prompts for
Stair Use.
PMID- 29362167
TI - The Cost of Interventions to Increase Influenza Vaccination: A Systematic Review.
AB - CONTEXT: Influenza vaccination rates remain below Healthy People 2020 goals. This
project sought to systematically review economic evaluations of healthcare-based
quality improvement interventions for improving influenza vaccination uptake
among general populations and healthcare workers. EVIDENCE ACQUISITION: The
databases MEDLINE, Econlit, Centre for Reviews & Dissemination, Greylit, and
Worldcat were searched in July 2016 for papers published from January 2004 to
July 2016. Eligible studies evaluated efforts by bodies within the healthcare
system to encourage influenza vaccination by means of an organizational or
structural change. For each study, program costs per enrollee and per additional
enrollee vaccinated were derived (excluding vaccine costs, standardized to 2017
U.S. dollars). Complete economic evaluations were examined when available.
EVIDENCE SYNTHESIS: Of 2,350 records, 18 articles were eligible and described 29
unique interventions. Most interventions improved vaccine uptake. Among 23
interventions in general populations, the median program cost was $3.27
(interquartile range, $0.82-$11.53) per enrollee and $50.78 (interquartile range,
$27.85-$124.84) per additional enrollee vaccinated. Among ten complete economic
evaluations in general populations, three studies reported net cost savings, four
reported costs <$50,000 per quality-adjusted life year, and three reported costs
<$60,000 per life saved. Among six interventions in healthcare workers, the
median program cost was $8.09 (interquartile range, $5.03-$10.31) per worker
enrolled and $125.24 (interquartile range, $96.06-$171.38) per additional worker
vaccinated (there were no complete economic analyses). CONCLUSIONS: Quality
improvement interventions for influenza vaccination involve per-enrollee costs
that are similar to the cost of the vaccine itself ($11.78-$36.08/dose). Based on
limited available evidence in general populations, quality improvement
interventions may be cost saving to cost effective for the health system.
PMID- 29362169
TI - Authors' Response to: "Getting Better Value out of Studies Examining Prompts for
Stair Use".
PMID- 29362170
TI - Envisioning the Future of Robotic Surgery: The Surgeon's Perspective.
PMID- 29362171
TI - Long-Segment, Supercharged Pedicled Jejunal Interposition for Esophageal
Replacement: How I Teach It.
PMID- 29362172
TI - Invited Commentary.
PMID- 29362173
TI - Invited Commentary.
PMID- 29362174
TI - Invited Commentary.
PMID- 29362175
TI - Invited Commentary.
PMID- 29362176
TI - The Society of Thoracic Surgeons, The Society of Cardiovascular
Anesthesiologists, and The American Society of ExtraCorporeal Technology:
Clinical Practice Guidelines-Anticoagulation During Cardiopulmonary Bypass.
AB - Despite more than a half century of "safe" cardiopulmonary bypass (CPB), the
evidence base surrounding the conduct of anticoagulation therapy for CPB has not
been organized into a succinct guideline. For this and other reasons, there is
enormous practice variability relating to the use and dosing of heparin,
monitoring heparin anticoagulation, reversal of anticoagulation, and the use of
alternative anticoagulants. To address this and other gaps, The Society of
Thoracic Surgeons, the Society of Cardiovascular Anesthesiologists, and the
American Society of Extracorporeal Technology developed an Evidence Based
Workgroup. This was a group of interdisciplinary professionals gathered to
summarize the evidence and create practice recommendations for various aspects of
CPB. To date, anticoagulation practices in CPB have not been standardized in
accordance with the evidence base. This clinical practice guideline was written
with the intent to fill the evidence gap and to establish best practices in
anticoagulation therapy for CPB using the available evidence. To identify
relevant evidence, a systematic review was outlined and literature searches were
conducted in PubMed using standardized medical subject heading (MeSH) terms from
the National Library of Medicine list of search terms. Search dates were
inclusive of January 2000 to December 2015. The search yielded 833 abstracts,
which were reviewed by two independent reviewers. Once accepted into the full
manuscript review stage, two members of the writing group evaluated each of 286
full papers for inclusion eligibility into the guideline document. Ninety-six
manuscripts were included in the final review. In addition, 17 manuscripts
published before 2000 were included to provide method, context, or additional
supporting evidence for the recommendations as these papers were considered
sentinel publications. Members of the writing group wrote and developed
recommendations based on review of the articles obtained and achieved more than
two thirds agreement on each recommendation. The quality of information for a
given recommendation allowed assessment of the level of evidence as recommended
by the American College of Cardiology Foundation/American Heart Association Task
Force on Practice Guidelines. Recommendations were written in the three following
areas: (1) heparin dosing and monitoring for initiation and maintenance of CPB;
(2) heparin contraindications and heparin alternatives; and (3) reversal of
anticoagulation during cardiac operations. It is hoped that this guideline will
serve as a resource and will stimulate investigators to conduct more research and
to expand on the evidence base on the topic of anticoagulation therapy for CPB.
PMID- 29362177
TI - Reply.
PMID- 29362178
TI - Aortic Dissection During Pregnancy or in the Postpartum Period: It All Starts
With Clinical Recognition.
PMID- 29362179
TI - Leaflet Perforation by Cor-Knot Automated Fasteners: More Usual Than You Think.
PMID- 29362180
TI - Randomized Evidence on Perioperative Statin Therapy in Cardiac Surgery.
PMID- 29362181
TI - Reply.
PMID- 29362182
TI - Reply.
PMID- 29362183
TI - Subcarinal Lymph Node Importance Revisited.
PMID- 29362184
TI - Radiofrequency Bipolar Surgical Ablation for Atrial Fibrillation.
PMID- 29362185
TI - Reply.
PMID- 29362186
TI - Confounders Between Plasma Monocyte Chemotactic Protein-1 And Acute Kidney
Injury.
PMID- 29362187
TI - Factors Favoring Retrograde Type A Aortic Dissection After Endovascular Aortic
Repair.
PMID- 29362188
TI - Aortic Valve Therapy for Intermediate-Risk Patients: Let's Start With the Facts!
PMID- 29362189
TI - Percutaneous Repair of Postoperative Mitral Regurgitation After Left Ventricular
Assist Device Implant.
AB - Mitral regurgitation commonly improves after implantation of a left ventricular
assist device without concomitant valvular repair owing to the mechanical
unloading of the left ventricle. However, the development (or persistence) of
significant mitral regurgitation after implantation of a left ventricular assist
device is associated with adverse clinical events. We present a case of a left
ventricular assist device patient who successfully underwent a percutaneous
MitraClip procedure for repair of persistent late postoperative mitral
insufficiency with demonstrable clinical and hemodynamic improvement.
PMID- 29362190
TI - Which Antiplatelet Therapy in Patients With Left Ventricular Assist Device and
Aspirin Allergy?
AB - In patients with left ventricular assist device support and aspirin allergy, the
choice of effective antiplatelet strategy remains a challenge. We compared the
antithrombotic effect of clopidogrel vs ticagrelor in an LVAD patient with
aspirin allergy by using a modified protocol of the thrombin generation test,
accounting selectively for the platelet contribution on thrombin generation. Our
results demonstrate enhanced antithrombotic efficacy offered by ticagrelor.
Consistent with experimental results, the patient has passed more than 300 days
without thromboembolic complications. This study provides additional mechanistic
rationale supporting clinical evidence and opens the perspective to identify
individual poor responsiveness to drugs by specifically evaluating drug-mediated
platelet function.
PMID- 29362191
TI - Sympathectomy for Stabilization of Heart Failure Due to Drug-Refractory
Ventricular Tachycardia.
AB - We describe the novel use of bilateral cardiac sympathectomy in a woman with end
stage heart failure caused by ventricular tachycardia refractory to standard
medical therapy who was under consideration for heart transplantation.
Postoperatively, our patient has not experienced any symptoms of ventricular
tachycardia, has returned to normal physical activity, and is no longer under
consideration for transplantation as a result of the improvement in her cardiac
function. Bilateral sympathectomy can be more effective than unilateral
sympathectomy or percutaneous stellate ganglion blockade in patients with
refractory ventricular tachycardia. Careful patient selection is necessary to
identify patients who will benefit most from the procedure.
PMID- 29362192
TI - Mitral Annular Calcification and Mitral Valve Replacement: A New Approach.
AB - Mitral valve replacement is technically challenging in the context of mitral
annular calcification. A new surgical strategy is described that was used in a 71
year-old obese patient, where intraatrial prosthesis insertion and valve fixation
into native uncalcified structures were performed without calcium debridement.
PMID- 29362193
TI - Ascending Aortic Aneurysm in a Child With Fibulin-4 Deficiency.
AB - EFEMP2 (alias FBLN4) encodes extracellular matrix protein fibulin-4, and its
mutation is associated with autosomal recessive cutis laxa type 1B and leads to
severe aortopathy with aneurysm formation and vascular tortuosity. A 4-month-old
child presented with a large ascending aortic aneurysm, and genetic testing
revealed an EFEMP2 mutation. We achieved successful repair of the ascending
aortic aneurysm at 33 months of age and report the macroscopic and microscopic
findings.
PMID- 29362194
TI - Coronary Button Pseudoaneurysms After Aortic Root Replacement in a Child With
Loeys-Deitz Syndrome.
AB - Loeys-Deitz syndrome (LDS) is a connective tissue disorder characterized by
aggressive aortopathy with a proclivity for aortic aneurysmal rupture and
dissection. Prophylactic surgical intervention is often indicated to ameliorate
risk of aneurysm rupture. Aortic root replacement involves excision of the
coronary arteries from the aortic root with a button of surrounding aortic tissue
and subsequent anastomosis of these buttons to the synthetic aortic graft. We
report the case of a 16-year-old girl with LDS who developed pseudoaneurysms at
the sites of previous coronary button implantation.
PMID- 29362195
TI - Single Ostium of the Right and Left Coronary Artery From the Right Pulmonary
Artery.
AB - We describe a newborn with single-ventricle malformation and anomalous origin of
both coronary arteries from single ostium in the middle portion of the right
pulmonary artery whose coronary anatomy was discovered during the operation and
who underwent successful staged operative management. This report presents a
unique anatomic association, proposes a means of management, and highlights the
importance of intraoperative analysis.
PMID- 29362196
TI - Fatal Hemoptysis After Closure of Gastrobronchial Fistula Using an Amplatzer
Vascular Device.
AB - The Amplatzer family of vascular devices has been used off-label for the
treatment of complex gastrointestinal and airway fistulas. We report a case in
which closure of a benign gastrobronchial fistula with the use of an Amplatzer
device resulted in massive hemoptysis and death.
PMID- 29362198
TI - Surgical Management of Chyloptysis.
AB - Chyloptysis is a rare clinical presentation. Diagnosis is challenging and
requires recognition of milky-sputum or bronchial casts. We describe a case of
chyloptysis secondary to thoracic lymphangiectasia that necessitated surgical
ligation of the main thoracic duct and accessory branches. The patient had no
postoperative complications, and at 6-month follow-up remained symptom-free. A
paucity of literature describes the management of chyloptysis. We review
diagnosis, treatment considerations and operative principles.
PMID- 29362197
TI - Immunoglobulin G4-Related Disease Mimicking an Anterior Mediastinal Tumor.
AB - Immunoglobulin G4-related disease (IgG4-RD) is an immune-mediated collection of
disorders that were once thought to be unrelated but are increasingly being
recognized as a single entity. The tumor-like swelling of the involved organ, a
storiform pattern of fibrosis, and a serologic elevation of IgG4 can lead to the
diagnosis of IgG4-RD. The usual organs affected are the pancreas, biliary tract,
and salivary and lacrimal glands. There have been few reports of intrathoracic
cases, which usually have pulmonary lesions. We here report a case of IgG4-RD of
the anterior mediastinum in the form of a mass, a rare entity, the second such
patient described in the literature to date.
PMID- 29362199
TI - Tracheobronchopathia Osteochondroplastica as a Single Mass in Lingular Bronchus.
AB - We describe a rare case of tracheobronchopathia osteochondroplastica (TBO) in a
35-year-old man who presented with recurrent chest infection and hemoptysis.
Bronchoscopy and computed tomography scan revealed a single 1.5-cm hard nodular
mass lesion in the lingular bronchus associated with collapse and bronchiectasis
of the lingula. The patient underwent open left lingulectomy with removal of the
mass. Histopathology of the lesion showed characteristic features of TBO. TBO is
generally characterized by multiple nodules in the trachea or main bronchi. The
presentation of TBO as a single dominant mass is rare and can be considered as a
differential diagnosis for intrabronchial calcified mass lesions.
PMID- 29362200
TI - Pleural Metastasis of Osteosarcoma.
PMID- 29362201
TI - Bilateral Arterial Ducts Causing Airway Compression by a Vascular Ring.
PMID- 29362202
TI - Ross-Konno for Interrupted Aortic Arch: Simplified Arch Reconstruction Using
Swing-Back Technique.
AB - In neonates with interrupted aortic arch and severe left ventricular outflow
tract obstruction full relief of left ventricular outflow tract obstruction and
adequate aortic arch repair is required. It has been shown that neonatal Ross
Konno provides adequate and durable relieve of left ventricular outflow tract
gradient. Additional aortic arch repair using the swing-back technique provides a
simplified reconstruction of the arch with a tension-free, direct anastomosis. We
describe the technique and results of our experience in 3 neonates.
PMID- 29362203
TI - Novel Leg Cannula for Venous Decompression in Peripheral Extracorporeal Membrane
Oxygenation.
AB - A chimney femoral artery graft for peripheral extracorporeal membrane oxygenation
can potentially cause hyperperfusion and subsequent venous congestion in the
ipsilateral leg, especially in the context of septic shock and higher flow
requirement. This report describes a novel technique to use an additional leg
venous cannula to avoid leg congestion as well as to achieve higher total flow.
PMID- 29362204
TI - Analysis of public policies in favor of the elderly people in Senegal: state of
legal protection.
AB - Senegal will be spared by phenomenon of population ageing, which will surely have
socioeconomic and cultural consequences that will not be easy to tackle, as all
sectors will be affected. In regard to legal protection, there are not any
special legal acts for the elderly as is the case for children and women. They
are treated just as responsible adults whose legal status is the same as everyone
else. As far as the framework of legal protection of elderly people is concerned,
Senegal has ratified all international and regional means and mecanisms for the
protection of the rights of the elderly. In addition, the authorities have
adopted policies and programs that favor taking charge of old people's interests.
At the present, Senegal possesses a legal framework for the protection of its
elderly people. So the matter is about creating new rights, because even the
existing rights are not known to their beneficiaries. What is important is to
ensure that the elderly fully enjoy their rights in the same way as the other
members of society. It is wise, therefore, to put in place plan for information,
public awareness and communication concerning the legal regulating framework.
Thus, Senegal could be regarded as a pioneer in terms of the protection the
elderly, and these leading programs can represent models for the countries of the
region, whose social, sanitary and economic contexts are similar.
PMID- 29362205
TI - Testimonials and Informational Videos on Branded Prescription Drug Websites:
Experimental Study to Assess Influence on Consumer Knowledge and Perceptions.
AB - BACKGROUND: Direct-to-consumer (DTC) promotion of prescription drugs can affect
consumer behaviors and health outcomes, and Internet drug promotion is growing
rapidly. Branded drug websites often capitalize on the multimedia capabilities of
the Internet by using videos to emphasize drug benefits and characteristics.
However, it is unknown how such videos affect consumer processing of drug
information. OBJECTIVE: This study aimed to examine how videos on prescription
drug websites, and the inclusion of risk information in those videos, influence
consumer knowledge and perceptions. METHODS: We conducted an experimental study
in which online panel participants with acid reflux (n=1070) or high blood
pressure (n=1055) were randomly assigned to view 1 of the 10 fictitious
prescription drug websites and complete a short questionnaire. On each website,
we manipulated the type of video (patient testimonial, mechanism of action
animation, or none) and whether the video mentioned drug risks. RESULTS:
Participants who viewed any video were less likely to recognize drug risks
presented only in the website text (P<=.01). Including risk information in videos
increased participants' recognition of the risks presented in the videos
(P<=.01). However, in some cases, including risk information in videos decreased
participants' recognition of the risks not presented in the videos (ie, risks
presented in text only; P<=.04). Participants who viewed a video without drug
risk information thought that the website placed more emphasis on benefits,
compared with participants who viewed the video with drug risk information
(P<=.01). Compared with participants who viewed a video without drug risk
information, participants who viewed a video with drug risk information thought
that the drug was less effective in the high blood pressure sample (P=.03) and
thought that risks were more serious in the acid reflux sample (P=.01). There
were no significant differences between risk and nonrisk video conditions on
other perception measures (P>.05). In addition, we noted a few differences among
the types of videos. CONCLUSIONS: Including risks in branded drug website videos
may increase in-video risk retention at the expense of text-only risk retention.
PMID- 29362206
TI - Paper- or Web-Based Questionnaire Invitations as a Method for Data Collection:
Cross-Sectional Comparative Study of Differences in Response Rate, Completeness
of Data, and Financial Cost.
AB - BACKGROUND: Paper questionnaires have traditionally been the first choice for
data collection in research. However, declining response rates over the past
decade have increased the risk of selection bias in cross-sectional studies. The
growing use of the Internet offers new ways of collecting data, but trials using
Web-based questionnaires have so far seen mixed results. A secure, online digital
mailbox (e-Boks) linked to a civil registration number became mandatory for all
Danish citizens in 2014 (exemption granted only in extraordinary cases).
Approximately 89% of the Danish population have a digital mailbox, which is used
for correspondence with public authorities. OBJECTIVE: We aimed to compare
response rates, completeness of data, and financial costs for different
invitation methods: traditional surface mail and digital mail. METHODS: We
designed a cross-sectional comparative study. An invitation to participate in a
survey on help-seeking behavior in out-of-hours care was sent to two groups of
randomly selected citizens from age groups 30-39 and 50-59 years and parents to
those aged 0-4 years using either traditional surface mail (paper group) or
digital mail sent to a secure online mailbox (digital group). Costs per
respondent were measured by adding up all costs for handling, dispatch, printing,
and work salary and then dividing the total figure by the number of respondents.
Data completeness was assessed by comparing the number of missing values between
the two methods. Socioeconomic variables (age, gender, family income, education
duration, immigrant status, and job status) were compared both between
respondents and nonrespondents and within these groups to evaluate the degree of
selection bias. RESULTS: A total 3600 citizens were invited in each group; 1303
(36.29%) responded to the digital invitation and 1653 (45.99%) to the paper
invitation (difference 9.66%, 95% CI 7.40-11.92). The costs were ?1.51 per
respondent for the digital group and ?15.67 for paper group respondents. Paper
questionnaires generally had more missing values; this was significant in five of
17 variables (P<.05). Substantial differences were found in the socioeconomic
variables between respondents and nonrespondents, whereas only minor differences
were seen within the groups of respondents and nonrespondents. CONCLUSIONS:
Although we found lower response rates for Web-based invitations, this solution
was more cost-effective (by a factor of 10) and had slightly lower numbers of
missing values than questionnaires sent with paper invitations. Analyses of
socioeconomic variables showed almost no difference between nonrespondents in
both groups, which could imply that the lower response rate in the digital group
does not necessarily increase the level of selection bias. Invitations to
questionnaire studies via digital mail may be an excellent option for collecting
research data in the future. This study may serve as the foundational pillar of
digital data collection in health care research in Scandinavia and other
countries considering implementing similar systems.
PMID- 29362207
TI - Web-based Therapy Plus Support by a Coach in Depressed Patients Referred to
Secondary Mental Health Care: Randomized Controlled Trial.
AB - BACKGROUND: The evidence for the effectiveness of Web-based therapies comes
mainly from nonclinical populations, with a few studies in primary care. There is
little evidence from patients referred to secondary mental health care with
depression. Adherence to Web-based therapies is often poor. One way to increase
this is to create a new health service role of a coach to guide people through
the therapy. OBJECTIVE: This study aimed to test in people referred to secondary
care with depression if a Web-based therapy (The Journal) supported by a coach
plus usual care would be more effective in reducing depression compared with
usual care plus an information leaflet about Web-based resources after 12 weeks.
METHODS: We conducted a randomized controlled trial with two parallel arms and a
process evaluation that included structured qualitative interviews analyzed using
thematic analysis. The coach had a background in occupational therapy.
Participants were recruited face-to-face at community mental health centers.
RESULTS: We recruited 63 people into the trial (intervention 35, control 28).
There were no statistically significant differences in the change from baseline
in Patient Health Questionnaire-9 (PHQ-9) scores at 12 weeks comparing The
Journal with usual care (mean change in PHQ-9 score 9.4 in the intervention group
and 7.1 in the control group, t41=1.05, P=.30; mean difference=2.3, 95% CI -2.1
to 6.7). People who were offered The Journal attended on average about one less
outpatient appointment compared with usual care, although this difference was not
statistically significant (intervention mean number of visits 2.8 (SD 5.5)
compared with 4.1 (SD 6.7) in the control group, t45=-0.80, P=.43; mean
difference=1.3, 95% CI -4.5 to 2.0). The process evaluation found that the mean
number of lessons completed in the intervention group was 2.5 (SD=1.9; range=0-6)
and the number of contacts with the coach was a mean of 8.1 (SD=4.4; range=0-17).
The qualitative interviews highlighted the problem of engaging clinicians in
research and their resistance to recruitment: technical difficulties with The
Journal, which prevented people logging in easily; difficulty accessing The
Journal as it was not available on mobile devices; participants finding some
lessons difficult; and participants saying they were too busy to complete the
sessions. CONCLUSIONS: The study demonstrated that it is feasible to use a coach
in this setting, that people found it helpful, and that it did not conflict with
other care that participants were receiving. Future trials need to engage
clinicians at an early stage to articulate where Web-based therapies fit into
existing clinical pathways; Web-based therapies should be available on mobile
devices, and logging in should be easy. The role of the coach should be explored
in larger trials. TRIAL REGISTRATION: Australian New Zealand Clinical Trials
Registry (ACTRN): 12613000015741;
https://www.anzctr.org.au/Trial/Registration/TrialReview.aspx?id=363351&isReview=
rue (Archived by WebCite at http://www.webcitation.org/6wEyCc6Ss).
PMID- 29362208
TI - Youth Codesign of a Mobile Phone App to Facilitate Self-Monitoring and Management
of Mood Symptoms in Young People With Major Depression, Suicidal Ideation, and
Self-Harm.
AB - BACKGROUND: Effective treatment of depression in young people is critical, given
its prevalence, impacts, and link to suicide. Clinical practice guidelines point
to the need for regular monitoring of depression symptom severity and the
emergence of suicidal ideation to track treatment progress and guide intervention
delivery. Yet, this is seldom integrated in clinical practice. OBJECTIVE: The
objective of this study was to address the gap between guidelines about
monitoring and real-world practice by codesigning an app with young people that
allows for self-monitoring of mood and communication of this monitoring with a
clinician. METHODS: We engaged young people aged 18 to 25 years who had
experienced depression, suicidal ideation including those who self-harm, as well
as clinicians in a codesign process. We used a human-centered codesign design
studio methodology where young people designed the features of the app first
individually and then as a group. This resulted in a minimal viable product
design, represented through low-fidelity hand-drawn wireframes. Clinicians were
engaged throughout the process via focus groups. RESULTS: The app incorporated a
mood monitoring feature with innovative design aspects that allowed
customization, and was named a "well-being tracker" in response to the need for a
positive approach to this function. Brief personalized interventions designed to
support young people in the intervals between face-to-face appointments were
embedded in the app and were immediately available via pop-ups generated by a
back-end algorithm within the well-being tracker. Issues regarding the safe
incorporation of alerts generated by the app into face-to-face clinical services
were raised by clinicians (ie, responding in a timely manner) and will need to be
addressed during the full implementation of the app into clinical services.
CONCLUSIONS: The potential to improve outcomes for young people via technology
based enhancement to interventions is enormous. Enhancing communication between
young people and their clinicians about symptoms and treatment progress and
increasing access to timely and evidence-based interventions are desirable
outcomes. To achieve positive outcomes for young people using technology- (app)
based interventions, it is critical to understand and incorporate, in a
meaningful way, the expectations and motivations of both young people and
clinicians.
PMID- 29362209
TI - Evaluation of an mHealth App (DeStressify) on University Students' Mental Health:
Pilot Trial.
AB - BACKGROUND: One in five Canadians experience mental health issues with those in
the age range of 15 to 24 years being most at risk of a mood disorder. University
students have shown significantly higher rates of mental health problems than the
general public. Current university support services are limited by factors such
as available staff and finances, and social stigma has frequently been identified
as an additional barrier that prevents students from accessing these resources.
Mobile health (mHealth) apps are one form of alternative health support that is
discrete and accessible to students, and although they are recognized as a
promising alternative, there is limited research demonstrating their efficacy.
OBJECTIVE: The aim of this study was to evaluate a mindfulness-based app's
("DeStressify") efficacy on stress, anxiety, depressive symptomology, sleep
behavior, work or class absenteeism, work or school productivity, and quality of
life (QoL) among university students. METHODS: Full-time undergraduate students
at a Canadian university with smartphones and Internet access were recruited
through in-class announcements and on-campus posters. Participants randomized
into an experimental condition were given and instructed to use the DeStressify
app 5 days a week for 4 weeks. Control condition participants were wait-listed.
All participants completed pre- and postintervention Web-based surveys to self
assess stress, anxiety, depressive symptomatology, sleep quality, and health
related QoL. RESULTS: A total of 206 responses were collected at baseline, with
163 participants completing the study (86 control, 77 experimental). Using
DeStressify was shown to reduce trait anxiety (P=.01) and improve general health
(P=.001), energy (P=.01), and emotional well-being (P=.01) in university
students, and more participants in the experimental condition believed their
productivity improved between baseline and postintervention measurements than the
number of participants expected to believe so randomly by chance (P=.01). The app
did not significantly improve stress, state anxiety, physical and social
functioning, and role limitations because of physical or emotional health
problems or pain (P>.05). CONCLUSIONS: Mindfulness-based apps may provide an
effective alternative support for university students' mental health.
Universities and other institutions may benefit from promoting the use of
DeStressify or other mindfulness-based mHealth apps among students who are
interested in methods of anxiety management or mindfulness-based self-driven
health support. Future steps include examining DeStressify and similar mHealth
apps over a longer period and in university staff and faculty.
PMID- 29362210
TI - Concussion Assessment With Smartglasses: Validation Study of Balance Measurement
Toward a Lightweight, Multimodal, Field-Ready Platform.
AB - BACKGROUND: Lightweight and portable devices that objectively measure concussion
related impairments could improve injury detection and critical decision-making
in contact sports and the military, where brain injuries commonly occur but
remain underreported. Current standard assessments often rely heavily on
subjective methods such as symptom self-reporting. Head-mounted wearables, such
as smartglasses, provide an emerging platform for consideration that could
deliver the range of assessments necessary to develop a rapid and objective
screen for brain injury. Standing balance assessment, one parameter that may
inform a concussion diagnosis, could theoretically be performed quantitatively
using current off-the-shelf smartglasses with an internal accelerometer. However,
the validity of balance measurement using smartglasses has not been investigated.
OBJECTIVE: This study aimed to perform preliminary validation of a smartglasses
based balance accelerometer measure (BAM) compared with the well-described and
characterized waist-based BAM. METHODS: Forty-two healthy individuals (26 male,
16 female; mean age 23.8 [SD 5.2] years) participated in the study. Following the
BAM protocol, each subject performed 2 trials of 6 balance stances while
accelerometer and gyroscope data were recorded from smartglasses (Glass Explorer
Edition). Test-retest reliability and correlation were determined relative to
waist-based BAM as used in the National Institutes of Health's Standing Balance
Toolbox. RESULTS: Balance measurements obtained using a head-mounted wearable
were highly correlated with those obtained through a waist-mounted accelerometer
(Spearman rho, rho=.85). Test-retest reliability was high (intraclass correlation
coefficient, ICC2,1=0.85, 95% CI 0.81-0.88) and in good agreement with waist
balance measurements (ICC2,1=0.84, 95% CI 0.80-0.88). Considering the normalized
path length magnitude across all 3 axes improved interdevice correlation
(rho=.90) while maintaining test-retest reliability (ICC2,1=0.87, 95% CI 0.83
0.90). All subjects successfully completed the study, demonstrating the
feasibility of using a head-mounted wearable to assess balance in a healthy
population. CONCLUSIONS: Balance measurements derived from the smartglasses-based
accelerometer were consistent with those obtained using a waist-mounted
accelerometer. Additional research is necessary to determine to what extent
smartglasses-based accelerometry measures can detect balance dysfunction
associated with concussion. However, given the potential for smartglasses to
perform additional concussion-related assessments in an integrated, wearable
platform, continued development and validation of a smartglasses-based balance
assessment is warranted. This approach could lead to a wearable platform for real
time assessment of concussion-related impairments that could be further augmented
with telemedicine capabilities to integrate professional clinical guidance.
Smartglasses may be superior to fully immersive virtual reality headsets for this
application, given their lighter weight and reduced likelihood of potential
safety concerns.
PMID- 29362211
TI - Prevalence of Health App Use Among Older Adults in Germany: National Survey.
AB - BACKGROUND: Health apps are increasingly becoming an integral part of health
care. Especially in older adults, the self-management of chronic diseases by
health apps might become an integral part of health care services. OBJECTIVE: The
aim of this explorative study was to investigate the prevalence of health app use
and related demographic factors, as well as health status among older adults in
Germany. METHODS: A nationwide postal survey was conducted. Of the 5000
individuals contacted, a total of 576 participants completed this survey. On the
basis of their self-indicated assignment to one of the three predefined user
groups (health app users, general app users, and nonusers of apps), participants
answered various questions regarding app and health app use, including frequency
of use and number of installed apps, demographic factors, and health status.
RESULTS: In total, 16.5% (95/576) used health apps, whereas 37.5% (216/576)
indicated only using general apps, and 46.0% (265/576) reported using no apps at
all. The number of installed health apps was most frequently reported as between
1 and 5 apps per participant, which were usually used on a weekly basis. The most
frequently cited type of health apps were exercise-related ones. Individuals
using health apps were found to be younger (MeanmHealth 66.6, SD 4.7) and to have
a higher level of technical readiness compared with general app users and
nonusers of apps (adjusted odds ratio, AOR=4.02 [95% CI 2.23-7.25] for technical
readiness, and AOR=0.905 [95% CI 0.85-0.97] for age). The most frequently
mentioned sources of information about apps within the group of health and
general app users were family and friends. Identified barriers against the use of
health apps were a lack of trust, data privacy concerns, and fear of
misdiagnosis. CONCLUSIONS: Health apps are already used by older adults in
Germany. The main type of apps used are exercise-related ones. Barriers to and
incentives for the use of health apps and associations with health status and
users' demographics were revealed.
PMID- 29362212
TI - How Experts' Use of Medical Technical Jargon in Different Types of Online Health
Forums Affects Perceived Information Credibility: Randomized Experiment With
Laypersons.
AB - BACKGROUND: Online health forums are widely used, but the quality of advice
differs as much as the knowledge backgrounds of the audience members who receive
the advice. It is important to understand how people judge the information given
online. In line with the communication accommodation theory (CAT), online forums
represent specific social contexts of communication which can present either
accommodative or nonaccommodative language to an audience. Accordingly, use of
accommodative or nonaccommodative language might affect people's perceived trust
in the communicator. OBJECTIVE: The objective of this study was to investigate
how experts who use accommodative (vs nonaccommodative) language are evaluated by
passive users of an online forum. METHODS: Participants (n=98) took part in an
online experiment and read experts' posts about 10 nutrition myths. Following a 2
x 2 mixed design, experts' posts were written using either low or high amounts of
medical technical jargon (MTJ) (within factor) and were directed at different
audiences (mainly other medical experts [in a professional forum] vs a user group
mainly comprising laypersons [in an advisory forum]) (between factor).
Accommodation occurred where experts used high amounts of MTJ to address other
medical experts in the professional forum; it also occurred when experts used low
amounts of MTJ to address laypersons in the advisory forum. Conversely,
nonaccommodation occurred when experts used high amounts of MTJ in the advisory
forum and low amounts of MTJ in the professional forum. In each condition,
participants evaluated the credibility of the information, the trustworthiness of
the experts, and the accommodation by the experts. RESULTS: Overall, participants
judged the credibility of information to be higher when experts used MTJ that was
accommodative to the designated audience, F1,95=3.10, P=.04, etap2=.031. In
addition, participants judged the experts in professional forums to be more
trustworthy than experts in advisory forums (all F1,96>=3.54, P <=.03,
etap2>=.036). Moreover, participants rated experts who used high amounts of MTJ
to have higher competence (F1,96=37.54, P<.001, etap2=.28], lower integrity
(F1,96=10.77, P=.001, etap2=.101), and lower benevolence (F1,96=9.75, P=.002,
etap2=.092), as well as to have lower perceived accommodation to the audience
(all F1,96>=72.17, P<.001, etap2>=.43) compared with experts who used low MTJ.
CONCLUSIONS: To provide health information online that is perceived as credible,
experts should consider using similar language as the language used by the
addressed audience. As it is often impossible to determine the exact makeup of an
online audience, further research might investigate whether having experts
explicitly declare which audience they intend to address can help people to more
reliably assess an expert's trustworthiness. Furthermore, as people assess
information differently depending on the context of online communication, it
would be valuable for research to consider other aspects of the context beyond
those of the audience.
PMID- 29362214
TI - Routine enquiry for domestic violence and abuse in sexual health settings.
PMID- 29362213
TI - Functional Knowledge of Pre-Exposure Prophylaxis for HIV Prevention Among
Participants in a Web-Based Survey of Sexually Active Gay, Bisexual, and Other
Men Who Have Sex With Men: Cross-Sectional Study.
AB - BACKGROUND: Awareness of pre-exposure prophylaxis (PrEP) for HIV prevention is
increasing, but little is known about the functional knowledge of PrEP and its
impact on willingness to use PrEP. OBJECTIVE: The objective of this study was to
assess the functional knowledge of PrEP among a sample of gay, bisexual, and
other men who have sex with men (MSM) participating in a Web-based survey of
sexually active MSM. METHODS: Men at least 18 years old, residing in the United
States, and reporting sex with a man in the previous 6 months were recruited
through social networking websites. PrEP functional knowledge included the
following 4 questions (1) efficacy of consistent PrEP use, (2) inconsistent PrEP
use and effectiveness, (3) PrEP and condom use, and (4) effectiveness at reducing
sexually transmitted infections (STIs). Ordinal logistic regression was used to
identify respondent characteristics associated with PrEP functional knowledge. In
a subsample of participants responding to HIV prevention questions, we compared
willingness to use PrEP by response to PrEP functional knowledge using logistic
regression analysis adjusted for age, race and ethnicity, and education level.
RESULTS: Among 573 respondents, PrEP knowledge was high regarding adherence
(488/573, 85.2%), condom use (532/573, 92.8%), and STIs (480/573, 83.8%), but
only 252/573 (44.0%) identified the correct efficacy. Lower functional PrEP
knowledge was associated with minority race/ethnicity (P=.005), lower education
(P=.01), and not having an HIV test in the past year (P=.02). Higher PrEP
knowledge was associated with willingness to use PrEP (P=.009). Younger age was
not associated with higher PrEP functional knowledge or willingness to use PrEP.
CONCLUSIONS: PrEP knowledge was generally high in our study, including condom use
and consistent use but may be lacking in higher risk MSM. The majority of
respondents did not correctly identify PrEP efficacy with consistent use, which
could impact motivation to seek out PrEP for HIV prevention. Targeted messaging
to increase PrEP knowledge may increase PrEP use.
PMID- 29362215
TI - Burns from illegal cannabis oil manufacturing: a case series.
AB - BACKGROUND: The increasing consideration of cannabis legalization in Canada and
the United States has motivated physicians to assess its prospective impact on
the health care system. Health care providers in the burns community are
concerned about injuries sustained as a result of the illegal manufacturing of
cannabis oil because it involves highly flammable reagents. METHODS: We report a
retrospective case series of patients with cannabis oil burns (identified by
evidence of combustion during cannabis oil manufacturing) treated from April 2012
to March 2014 at the Foothills Medical Centre in Calgary, Alberta, Canada. We
compare the characteristics of these patients with those of patients admitted
over the same period with any burns. RESULTS: We found that 12 (out of 161
patients) admitted over the review period sustained burns from cannabis oil
manufacturing. Compared with patients in the total burn group, patients with
cannabis oil burns were younger (75% and 48% were younger than 41 years in the
group with cannabis oil burns and the total burn group, respectively), were more
likely to be male (83% in the group with cannabis oil burns v. 74% in the total
burn group) and sustained burns over a larger percentage of their total body
surface area (24% v. 9%). Patients with cannabis oil burns also required
extensive surgical management (skin grafting in 75% of cases) and spent a
substantial amount of time (mean 32 d) in the burn unit. INTERPRETATION: Burns
from illegal cannabis oil manufacturing are large, require extensive management
and involve younger patients than burns in general. Given that the frequency of
cannabis oil burns may increase in Canada after legalization, Canadian burn
centres are encouraged to monitor and report on cases with this injury mechanism.
PMID- 29362216
TI - Perspectives on Incentive Spirometry Utility and Patient Protocols.
AB - BACKGROUND: Incentive spirometry (IS) is widely used to prevent postoperative
pulmonary complications, despite limited clinical effectiveness data and a lack
of standardized use protocols. We sought to evaluate health care professionals'
perspectives on IS effectiveness and use procedures. METHODS: An online survey
was distributed via social media and newsletters to relevant national nursing and
respiratory care societies. Attitudes concerning IS were compared between the
American Association for Respiratory Care (AARC) and the nursing societies.
RESULTS: A total of 1,681 responses (83.8% completion rate) were received. The
clear majority of these respondents agreed that IS is essential to patient care
(92.7%), improves pulmonary function (92.0%), improves inspiratory capacity
(93.0%), helps to prevent (96.6%) and to reverse (90.0%) atelectasis, helps to
prevent (92.5%) and to reverse (68.4%) pneumonia, and is as effective as early
ambulation (74.0%), deep-breathing exercises (88.2%), and directed coughing
(79.8%). Furthermore, most health care professionals believed that IS should be
used routinely preoperatively (78.1%) and postoperatively (91.1%), used every
hour (59.8%), used for an average of 9.6 (95% CI 9.3-9.9) breaths per session,
used to achieve breath holds of 7.8 (95% CI 7.4-8.2) s, used to reach an initial
target inspiratory volume of 1,288.5 (95% CI 1,253.8-1,323.2) mL, and used to
achieve a daily inspiratory volume improvement of 525.6 (95% CI 489.8-561.4) mL.
Of all respondents, 89.6% believed they received adequate IS education and
training. Respondents from the AARC endorsed significantly less agreement
relative to the nursing societies on most parameters for IS utility. CONCLUSIONS:
There was a major discrepancy between health care professionals' beliefs and the
published clinical effectiveness data supporting IS. Despite reported adequate
education on IS, variability in what health care professionals believed to be
appropriate use underscores the literature's lack of standardization and evidence
for specific use procedures.
PMID- 29362218
TI - Increasing Awareness of the Roles, Knowledge, and Skills of Respiratory
Therapists Through an Interprofessional Education Experience.
AB - BACKGROUND: The objectives of this study were: (1) to assess the use of
interprofessional education (IPE) to improve the knowledge and skill levels of
nursing and occupational therapy students regarding respiratory therapy (RT)
medical devices and techniques, nursing and RT students regarding safe patient
transfers, and RT and occupational therapy students regarding safe handling of a
patient's medical lines during transfers and (2) to promote collaborative
behaviors. METHODS: A prospective mixed methods approach was used for data
collection of an IPE high-fidelity simulation experience involving 73 nursing,
occupational therapy, and RT students at an academic medical institution. The
Interprofessional Education Collaborative roles and responsibilities and
interprofessional communication sub-competency guided the development of the IPE
experience. RESULTS: The pre-post paired survey response rate was 82.2%.
Significant increases in student perception of learning differed by profession.
Student evaluations of the IPE experience suggested that IPE increased students'
knowledge of the procedures performed by the other represented professions and
that students were more likely to collaborate with these professions in the
future. CONCLUSIONS: IPE improved student knowledge in the roles and
responsibilities competency domain. In particular, nursing and occupational
therapy students became more aware of the knowledge and skill set of the RT
profession.
PMID- 29362217
TI - Outcomes of Tracheostomized Subjects Undergoing Prolonged Mechanical Ventilation
in an Intermediate-Care Facility.
AB - BACKGROUND: The incidence of chronically ill subjects with prolonged mechanical
ventilation (PMV) has significantly increased over the last decade because of
improvements in acute critical care. The aim of this study was to describe the
outcomes and care pathways of subjects receiving PMV through a tracheostomy tube
in an intermediate-care facility. METHODS: Sixty-six subjects with chronic
respiratory failure who experienced 109 hospitalizations between December 2010
and December 2012 in a 34-bed post-care unit were retrospectively included and
followed for at least 1 y. RESULTS: The median (interquartile range [IQR]) length
of stay (LOS) was 42 (26-77) d. Subjects were admitted from home (40.4%), our
hospital ICU (40.4%; median [IQR] LOS = 17 [7-38] d), or another hospital (19.2%;
median [IQR] LOS = 60 [8-71] d, P = .001 vs LOS in ICU). Thirty-five percent of
subjects were readmitted at least once during the follow-up period. Sixteen
subjects died in the intermediate-care facility. Discharge destinations of alive
subjects were home (n = 78), another hospital (n = 6), a skilled-nursing facility
(n = 5), or an ICU (n = 4). A complete or partial weaning was obtained in 30.3%
of subjects. One year after the first day of hospitalization, 57% of subjects
were alive. CONCLUSIONS: Despite the chance of survival at 1 y and/or weaning
from ventilation, the resources needed by subjects with PMV are high, as shown by
the number of readmissions and long LOS in our unit and in other hospital units
before transfer.
PMID- 29362219
TI - Incentive Spirometry Adherence: A National Survey of Provider Perspectives.
AB - BACKGROUND: Patient adherence is a critical factor for success of patient
administered therapies, including incentive spirometry (IS). Patient adherence
with IS is not known, so we sought to evaluate providers' perspectives on the
current state of IS adherence and elucidate possible factors hindering patient
adherence. METHODS: Respiratory therapists (RTs) and nurses across the United
States were surveyed via social media and online newsletters. Surveys were
distributed to the relevant national RT and nursing societies: the American
Association for Respiratory Care, the Academy of Medical-Surgical Nurses, the
American Society of Peri-Anesthesia Nurses, and the American Association of
Critical-Care Nurses. RESULTS: Responses from 1,681 (83.8% completion rate) RTs
and nurses were received. The clear majority of all providers agreed that patient
adherence is poor (86.0%; 1,416 of 1,647 respondents) and should be improved
(95.4%; 1,551 of 1,626 respondents). Providers believe that IS adherence is
hindered by various factors. The most common reasons cited were that patients
forget to use their ISs (83.5%; 1,404 of 1,681 respondents), do not use them
effectively (74.4%; 1,251 of 1,681 respondents), and do not use them frequently
enough (70.7%; 1,188 of 1,681 respondents). CONCLUSIONS: These findings from a
large national survey of health care providers highlight the need for improved IS
adherence and indicate that patient forgetfulness may be a large contributor to
nonadherence. Efforts aimed at improving IS adherence are warranted.
PMID- 29362221
TI - CCL20 Expression by Tumor-Associated Macrophages Predicts Progression of Human
Primary Cutaneous Melanoma.
AB - The chemokine axis CCR6/CCL20 is involved in cancer progression in a variety of
tumors. Here, we show that CCR6 is expressed by melanoma cells. The CCR6 ligand,
CCL20, induces migration and proliferation in vitro, and enhances tumor growth
and metastasis in vivo Confocal analysis of melanoma tissues showed that CCR6 is
expressed by tumor cells, whereas CCL20 is preferentially expressed by nontumoral
cells in the stroma of certain tumors. Stromal CCL20, but not tumoral CCR6,
predicted poor survival in a cohort of 40 primary melanoma patients. Tumor
associated macrophages (TAM), independently of their M1/M2 polarization profile,
were identified as the main source of CCL20 in primary melanomas that developed
metastasis. In addition to CCL20, TAMs expressed TNF and VEGF-A protumoral
cytokines, suggesting that melanoma progression is supported by macrophages with
a differential activation state. Our data highlight the synergistic interaction
between melanoma tumor cells and prometastatic macrophages through a CCR6/CCL20
paracrine loop. Stromal levels of CCL20 in primary melanomas may be a clinically
useful marker for assessing patient risk, making treatment decisions, and
planning or analyzing clinical trials. Cancer Immunol Res; 1-9. (c)2018 AACR.
PMID- 29362222
TI - NK Cells Control Tumor-Promoting Function of Neutrophils in Mice.
AB - Although natural killer (NK) cells are recognized as direct antitumor effectors,
the ability of NK cells to control cancer-associated inflammation, which
facilitates tumor progression, remains unknown. In this study, we demonstrate
that NK cells control tumor-promoting inflammation through functional
modification of neutrophils. NK cells control the tumor-promoting function of
neutrophils through an IFNgamma-dependent mechanism. Tumor progression in an NK
cell-depleted host is diminished when the IL17A-neutrophil axis is absent. In NK
cell-depleted mice, neutrophils acquire a tumor-promoting phenotype,
characterized by upregulation of VEGF-A expression, which promotes tumor growth
and angiogenesis. A VEGFR inhibitor which preferentially suppressed tumor growth
in NK cell-depleted mice was dependent on neutrophils. Furthermore, the systemic
neutropenia caused by an antimetabolite treatment showed an anticancer effect
only in mice lacking NK cells. Thus, NK cells likely control the tumor-promoting
and angiogenic function of neutrophils. Cancer Immunol Res; 6(3); 1-10. (c)2018
AACR.
PMID- 29362220
TI - Integration of Oncogenes via Sleeping Beauty as a Mouse Model of HPV16+ Oral
Tumors and Immunologic Control.
AB - Human papillomavirus type 16 (HPV16) is the etiologic factor for cervical cancer
and a subset of oropharyngeal cancers. Although several prophylactic HPV vaccines
are available, no effective therapeutic strategies to control active HPV diseases
exist. Tumor implantation models are traditionally used to study HPV-associated
buccal tumors. However, they fail to address precancerous phases of disease
progression and display tumor microenvironments distinct from those observed in
patients. Previously, K14-E6/E7 transgenic mouse models have been used to
generate spontaneous tumors. However, the rate of tumor formation is
inconsistent, and the host often develops immune tolerance to the viral
oncoproteins. We developed a preclinical, spontaneous, HPV16+ buccal tumor model
using submucosal injection of oncogenic plasmids expressing HPV16-E6/E7, NRas
G12V , luciferase, and sleeping beauty (SB) transposase, followed by
electroporation in the buccal mucosa. We evaluated responses to immunization with
a pNGVL4a-CRT/E7(detox) therapeutic HPV DNA vaccine and tumor cell migration to
distant locations. Mice transfected with plasmids encoding HPV16-E6/E7, NRas G12V
, luciferase, and SB transposase developed tumors within 3 weeks. We also found
transient anti-CD3 administration is required to generate tumors in
immunocompetent mice. Bioluminescence signals from luciferase correlated strongly
with tumor growth, and tumors expressed HPV16-associated markers. We showed that
pNGVL4a-CRT/E7(detox) administration resulted in antitumor immunity in tumor
bearing mice. Lastly, we demonstrated that the generated tumor could migrate to
tumor-draining lymph nodes. Our model provides an efficient method to induce
spontaneous HPV+ tumor formation, which can be used to identify effective
therapeutic interventions, analyze tumor migration, and conduct tumor biology
research. Cancer Immunol Res; 6(3); 1-15. (c)2018 AACR.
PMID- 29362224
TI - Correction: Quantifying exosome secretion from single cells reveals a modulatory
role for GPCR signaling.
PMID- 29362223
TI - A prion-like domain in Hsp42 drives chaperone-facilitated aggregation of
misfolded proteins.
AB - Chaperones with aggregase activity promote and organize the aggregation of
misfolded proteins and their deposition at specific intracellular sites. This
activity represents a novel cytoprotective strategy of protein quality control
systems; however, little is known about its mechanism. In yeast, the small heat
shock protein Hsp42 orchestrates the stress-induced sequestration of misfolded
proteins into cytosolic aggregates (CytoQ). In this study, we show that Hsp42
harbors a prion-like domain (PrLD) and a canonical intrinsically disordered
domain (IDD) that act coordinately to promote and control protein aggregation.
Hsp42 PrLD is essential for CytoQ formation and is bifunctional, mediating self
association as well as binding to misfolded proteins. Hsp42 IDD confines
chaperone and aggregase activity and affects CytoQ numbers and stability in vivo.
Hsp42 PrLD and IDD are both crucial for cellular fitness during heat stress,
demonstrating the need for sequestering misfolded proteins in a regulated manner.
PMID- 29362225
TI - The ESCRT protein Chmp4c regulates mitotic spindle checkpoint signaling.
AB - The mitotic spindle checkpoint delays anaphase onset in the presence of
unattached kinetochores, and efficient checkpoint signaling requires kinetochore
localization of the Rod-ZW10-Zwilch (RZZ) complex. In the present study, we show
that human Chmp4c, a protein involved in membrane remodeling, localizes to
kinetochores in prometaphase but is reduced in chromosomes aligned at the
metaphase plate. Chmp4c promotes stable kinetochore-microtubule attachments and
is required for proper mitotic progression, faithful chromosome alignment, and
segregation. Depletion of Chmp4c diminishes localization of RZZ and Mad1-Mad2
checkpoint proteins to prometaphase kinetochores and impairs mitotic arrest when
microtubules are depolymerized by nocodazole. Furthermore, Chmp4c binds to ZW10
through a small C-terminal region, and constitutive Chmp4c kinetochore targeting
causes a ZW10-dependent checkpoint metaphase arrest. In addition, Chmp4c spindle
functions do not require endosomal sorting complex required for transport
dependent membrane remodeling. These results show that Chmp4c regulates the
mitotic spindle checkpoint by promoting localization of the RZZ complex to
unattached kinetochores.
PMID- 29362226
TI - ELOVL4-Mediated Production of Very Long-Chain Ceramides Stabilizes Tight
Junctions and Prevents Diabetes-Induced Retinal Vascular Permeability.
AB - Tight junctions (TJs) involve close apposition of transmembrane proteins between
cells. Although TJ proteins have been studied in detail, the role of lipids is
largely unknown. We addressed the role of very long-chain (VLC >=26) ceramides in
TJs using diabetes-induced loss of the blood-retinal barrier as a model. VLC
fatty acids that incorporate into VLC ceramides are produced by elongase
elongation of very long-chain fatty acids protein 4 (ELOVL4). ELOVL4 is
significantly reduced in the diabetic retina. Overexpression of ELOVL4
significantly decreased basal permeability, inhibited vascular endothelial growth
factor (VEGF)- and interleukin-1beta-induced permeability, and prevented VEGF
induced decrease in occludin expression and border staining of TJ proteins ZO-1
and claudin-5. Intravitreal delivery of AAV2-hELOVL4 reduced diabetes-induced
increase in vascular permeability. Ultrastructure and lipidomic analysis revealed
that omega-linked acyl-VLC ceramides colocalize with TJ complexes. Overall,
normalization of retinal ELOVL4 expression could prevent blood-retinal barrier
dysregulation in diabetic retinopathy through an increase in VLC ceramides and
stabilization of TJs.
PMID- 29362227
TI - Down Syndrome Critical Region 1 Gene, Rcan1, Helps Maintain a More Fused
Mitochondrial Network.
AB - RATIONALE: The regulator of calcineurin 1 (RCAN1) inhibits CN (calcineurin), a
Ca2+-activated protein phosphatase important in cardiac remodeling. In humans,
RCAN1 is located on chromosome 21 in proximity to the Down syndrome critical
region. The hearts and brains of Rcan1 KO mice are more susceptible to damage
from ischemia/reperfusion (I/R); however, the underlying cause is not known.
OBJECTIVE: Mitochondria are key mediators of I/R damage. The goal of these
studies was to determine the impact of RCAN1 on mitochondrial dynamics and
function. METHODS AND RESULTS: Using both neonatal and isolated adult
cardiomyocytes, we show that, when RCAN1 is depleted, the mitochondrial network
is more fragmented because of increased CN-dependent activation of the fission
protein, DRP1 (dynamin-1-like). Mitochondria in RCAN1-depleted cardiomyocytes
have reduced membrane potential, O2 consumption, and generation of reactive
oxygen species, as well as a reduced capacity for mitochondrial Ca2+ uptake.
RCAN1-depleted cardiomyocytes were more sensitive to I/R; however,
pharmacological inhibition of CN, DRP1, or CAPN (calpains; Ca2+-activated
proteases) restored protection, suggesting that in the absence of RCAN1, CAPN
mediated damage after I/R is greater because of a decrease in the capacity of
mitochondria to buffer cytoplasmic Ca2+. Increasing RCAN1 levels by adenoviral
infection was sufficient to enhance fusion and confer protection from I/R. To
examine the impact of more modest, and biologically relevant, increases in RCAN1,
we compared the mitochondrial network in induced pluripotent stem cells derived
from individuals with Down syndrome to that of isogenic, disomic controls.
Mitochondria were more fused, and O2 consumption was greater in the trisomic
induced pluripotent stem cells; however, coupling efficiency and metabolic
flexibility were compromised compared with disomic induced pluripotent stem
cells. Depletion of RCAN1 from trisomic induced pluripotent stem cells was
sufficient to normalize mitochondrial dynamics and function. CONCLUSIONS: RCAN1
helps maintain a more interconnected mitochondrial network, and maintaining
appropriate RCAN1 levels is important to human health and disease.
PMID- 29362228
TI - Maternal exposure to UV filters: associations with maternal thyroid hormones, IGF
I/IGFBP3 and birth outcomes.
AB - BACKGROUND: Several chemical UV filters/absorbers ('UV filters' hereafter) have
endocrine-disrupting properties in vitro and in vivo. Exposure to these
chemicals, especially during prenatal development, is of concern. OBJECTIVES: To
examine maternal exposure to UV filters, associations with maternal thyroid
hormone, with growth factor concentrations as well as to birth outcomes. METHODS:
Prospective study of 183 pregnant women with 2nd trimester serum and urine
samples available. Maternal concentrations of the chemical UV filters
benzophenone-1 (BP-1) and benzophenone-3 (BP-3) in urine and 4-hydroxy
benzophenone (4-HBP) in serum were measured by liquid chromatography-tandem mass
spectrometry (LC-MS/MS). The relationships between 2nd trimester maternal
concentrations of the three chemical UV filters and maternal serum concentrations
of thyroid hormones and growth factors, as well as birth outcomes (weight,
height, and head and abdominal circumferences) were examined. RESULTS: Positive
associations between maternal serum concentrations of 4-HBP and triiodothyronine
(T3), thyroxine (T4), insulin-like growth factor I (IGF-I) and its binding
protein IGFBP3 were observed in mothers carrying male fetuses. Male infants of
mothers in the middle 4-HBP exposure group had statistically significantly lower
weight and shorter head and abdominal circumferences at birth compared to the low
exposure group. CONCLUSIONS: Widespread exposure of pregnant women to chemical UV
filters and the possible impact on maternal thyroid hormones and growth factors,
and on fetal growth, calls for further studies on possible long-term consequences
of the exposure to UV filters on fetal development and children's health.
PMID- 29362229
TI - Mycoplasma pneumoniae Community-Acquired Respiratory Distress Syndrome Toxin Uses
a Novel KELED Sequence for Retrograde Transport and Subsequent Cytotoxicity.
AB - Mycoplasma pneumoniae is an atypical bacterium that causes respiratory illnesses
in humans, including pharyngitis, tracheobronchitis, and community-acquired
pneumonia (CAP). It has also been directly linked to reactive airway disease,
asthma, and extrapulmonary pathologies. During its colonization, M. pneumoniae
expresses a unique ADP-ribosylating and vacuolating cytotoxin designated
community-acquired respiratory distress syndrome (CARDS) toxin. CARDS toxin
persists and localizes in the airway in CAP patients, asthmatics, and trauma
patients with ventilator-associated pneumonia. Although CARDS toxin binds to
specific cellular receptors, is internalized, and induces hyperinflammation,
histopathology, mucus hyperplasia, and other airway injury, the intracellular
trafficking of CARDS toxin remains unclear. Here, we show that CARDS toxin
translocates through early and late endosomes and the Golgi complex and
concentrates at the perinuclear region to reach the endoplasmic reticulum (ER).
Using ER-targeted SNAP-tag, we confirmed the association of CARDS toxin with the
ER and determined that CARDS toxin follows the retrograde pathway. In addition,
we identified a novel CARDS toxin amino acid fingerprint, KELED, that is required
for toxin transport to the ER and subsequent toxin-mediated
cytotoxicity.IMPORTANCEMycoplasma pneumoniae, a leading cause of bacterial
community-acquired pneumonia (CAP) among children and adults in the United
States, synthesizes a 591-amino-acid ADP-ribosylating and vacuolating protein,
designated community-acquired respiratory distress syndrome (CARDS) toxin. CARDS
toxin alone is sufficient to induce and mimic major inflammatory and
histopathological phenotypes associated with M. pneumoniae infection in rodents
and primates. In order to elicit its ADP-ribosylating and vacuolating activities,
CARDS toxin must bind to host cell receptors, be internalized via clathrin
mediated pathways, and subsequently be transported to specific intracellular
organelles. Here, we demonstrate how CARDS toxin utilizes its unique KELED
sequence to exploit the retrograde pathway machinery to reach the endoplasmic
reticulum (ER) and fulfill its cytopathic potential. The knowledge generated from
these studies may provide important clues to understand the mode of action of
CARDS toxin and develop interventions that reduce or eliminate M. pneumoniae
associated airway and extrapulmonary pathologies.
PMID- 29362230
TI - Contrasting Function of Structured N-Terminal and Unstructured C-Terminal
Segments of Mycobacterium tuberculosis PPE37 Protein.
AB - Pathogens frequently employ eukaryotic linear motif (ELM)-rich intrinsically
disordered proteins (IDPs) to perturb and hijack host cell networks for a
productive infection. Mycobacterium tuberculosis has a relatively high percentage
of IDPs in its proteome, the significance of which is not known. The
Mycobacterium-specific PE-PPE protein family has several members with unusually
high levels of structural disorder and disorder-promoting Ala/Gly residues. PPE37
protein, a member of this family, carries an N-terminal PPE domain capable of
iron binding, two transmembrane domains, and a disordered C-terminal segment
harboring ELMs and a eukaryotic nuclear localization signal (NLS). PPE37,
expressed as a function of low iron stress, was cleaved by M. tuberculosis
protease into N- and C-terminal segments. A recombinant N-terminal segment (P37N)
caused proliferation and differentiation of monocytic THP-1 cells, into CD11c, DC
SIGN (dendritic cell-specific intercellular adhesion molecule-3-grabbing
nonintegrin)-positive semimature dendritic cells exhibiting high interleukin-10
(IL-10) but negligible IL-12 and also low tumor necrosis factor alpha (TNF-alpha)
secretion-an environment suitable for maintaining tolerogenic immune cells. The C
terminal segment entered the macrophage nucleus and induced caspase-3-dependent
apoptosis of host cells. Mice immunized with recombinant PPE37FL and PPE37N
evoked strong anti-inflammatory response, validating the in vitro
immunostimulatory effect. Analysis of the IgG response of PPE37FL and PPE37N
revealed significant immunoreactivities in different categories of TB patients,
viz. pulmonary TB (PTB) and extrapulmonary TB (EPTB), vis-a-vis healthy controls.
These results support the role of IDPs in performing contrasting activities to
modulate the host processes, possibly through molecular mimicry and cross talk in
two spatially distinct host environments which may likely aid M. tuberculosis
survival and pathogenesis.IMPORTANCE To hijack the human host cell machinery to
enable survival inside macrophages, the pathogen Mycobacterium tuberculosis
requires a repertoire of proteins that can mimic host protein function and
modulate host cell machinery. Here, we have shown how a single protein can play
multiple functions and hijack the host cell for the benefit of the pathogen. Full
length membrane-anchored PPE37 protein is cleaved into N- and C-terminal domains
under iron-depleted conditions. The N-terminal domain facilitates the propathogen
semimature tolerogenic state of dendritic cells, whereas the C-terminal segment
is localized into host cell nucleus and induces apoptosis. The immune
implications of these in vitro observations were assessed and validated in mice
and also human TB patients. This study presents novel mechanistic insight adopted
by M. tuberculosis to survive inside host cells.
PMID- 29362232
TI - Stress-Induced Reorganization of the Mycobacterial Membrane Domain.
AB - Cell elongation occurs primarily at the mycobacterial cell poles, but the
molecular mechanisms governing this spatial regulation remain elusive. We
recently reported the presence of an intracellular membrane domain (IMD) that was
spatially segregated from the conventional plasma membrane in Mycobacterium
smegmatis The IMD is enriched in the polar region of actively elongating cells
and houses many essential enzymes involved in envelope biosynthesis, suggesting
its role in spatially restricted elongation at the cell poles. Here, we examined
reorganization of the IMD when the cells are no longer elongating. To monitor the
IMD, we used a previously established reporter strain expressing fluorescent IMD
markers and grew it to the stationary growth phase or exposed the cells to
nutrient starvation. In both cases, the IMD was delocalized from the cell pole
and distributed along the sidewall. Importantly, the IMD could still be isolated
biochemically by density gradient fractionation, indicating its maintenance as a
membrane domain. Chemical and genetic inhibition of peptidoglycan biosynthesis
led to the delocalization of the IMD, suggesting the suppression of peptidoglycan
biosynthesis as a trigger of spatial IMD rearrangement. Starved cells with a
delocalized IMD can resume growth upon nutrient repletion, and polar enrichment
of the IMD coincides with the initiation of cell elongation. These data reveal
that the IMD is a membrane domain with the unprecedented capability of
subcellular repositioning in response to the physiological conditions of the
mycobacterial cell.IMPORTANCE Mycobacteria include medically important species,
such as the human tuberculosis pathogen Mycobacterium tuberculosis The highly
impermeable cell envelope is a hallmark of these microbes, and its biosynthesis
is a proven chemotherapeutic target. Despite the accumulating knowledge regarding
the biosynthesis of individual envelope components, the regulatory mechanisms
behind the coordinated synthesis of the complex cell envelope remain elusive. We
previously reported the presence of a metabolically active membrane domain
enriched in the elongating poles of actively growing mycobacteria. However, the
spatiotemporal dynamics of the membrane domain in response to stress have not
been examined. Here, we show that the membrane domain is spatially reorganized
when growth is inhibited in the stationary growth phase, under nutrient
starvation, or in response to perturbation of peptidoglycan biosynthesis. Our
results suggest that mycobacteria have a mechanism to spatiotemporally coordinate
the membrane domain in response to metabolic needs under different growth
conditions.
PMID- 29362231
TI - Complement C5a Receptor 1 Exacerbates the Pathophysiology of N. meningitidis
Sepsis and Is a Potential Target for Disease Treatment.
AB - Sepsis caused by Neisseria meningitidis (meningococcus) is a rapidly progressing,
life-threatening disease. Because its initial symptoms are rather unspecific,
medical attention is often sought too late, i.e., when the systemic inflammatory
response is already unleashed. This in turn limits the success of antibiotic
treatment. The complement system is generally accepted as the most important
innate immune determinant against invasive meningococcal disease since it
protects the host through the bactericidal membrane attack complex. However,
complement activation concomitantly liberates the C5a peptide, and it remains
unclear whether this potent anaphylatoxin contributes to protection and/or drives
the rapidly progressing immunopathogenesis associated with meningococcal disease.
Here, we dissected the specific contribution of C5a receptor 1 (C5aR1), the
canonical receptor for C5a, using a mouse model of meningococcal sepsis. Mice
lacking C3 or C5 displayed susceptibility that was enhanced by >1,000-fold or 100
fold, respectively, consistent with the contribution of these components to
protection. In clear contrast, C5ar1-/- mice resisted invasive meningococcal
infection and cleared N. meningitidis more rapidly than wild-type (WT) animals.
This favorable outcome stemmed from an ameliorated inflammatory cytokine response
to N. meningitidis in C5ar1-/- mice in both in vivo and ex vivo whole-blood
infections. In addition, inhibition of C5aR1 signaling without interference with
the complement bactericidal activity reduced the inflammatory response also in
human whole blood. Enticingly, pharmacologic C5aR1 blockade enhanced mouse
survival and lowered meningococcal burden even when the treatment was
administered after sepsis induction. Together, our findings demonstrate that
C5aR1 drives the pathophysiology associated with meningococcal sepsis and
provides a promising target for adjunctive therapy.IMPORTANCE The devastating
consequences of N. meningitidis sepsis arise due to the rapidly arising and self
propagating inflammatory response that mobilizes antibacterial defenses but also
drives the immunopathology associated with meningococcemia. The complement
cascade provides innate broad-spectrum protection against infection by directly
damaging the envelope of pathogenic microbes through the membrane attack complex
and triggers an inflammatory response via the C5a peptide and its receptor C5aR1
aimed at mobilizing cellular effectors of immunity. Here, we consider the
potential of separating the bactericidal activities of the complement cascade
from its immune activating function to improve outcome of N. meningitidis sepsis.
Our findings demonstrate that the specific genetic or pharmacological disruption
of C5aR1 rapidly ameliorates disease by suppressing the pathogenic inflammatory
response and, surprisingly, allows faster clearance of the bacterial infection.
This outcome provides a clear demonstration of the therapeutic benefit of the use
of C5aR1-specific inhibitors to improve the outcome of invasive meningococcal
disease.
PMID- 29362233
TI - Genomic Analyses Reveal the Common Occurrence and Complexity of Plasmodium vivax
Relapses in Cambodia.
AB - Plasmodium vivax parasites have a unique dormant stage that can cause relapses
weeks or months after the initial infection. These dormant parasites are among
the main challenges of vivax malaria control as they constitute a reservoir that
is difficult to eliminate. Since field studies are confounded by reinfections and
possible recrudescence of drug-resistant parasites, most analyses of P. vivax
relapses have focused on travelers returning from regions of malaria endemicity.
However, it is not clear whether these individuals accurately recapitulate the
relapse patterns of repeatedly infected individuals residing in areas of
endemicity. Here, we present analyses of vivax malaria patients enrolled in a
tightly controlled field study in Cambodia. After antimalarial drug treatment was
administered, we relocated 20 individuals to a nontransmission area and followed
them for 60 days, with blood collection performed every second day. Our analyses
reveal that 60% of the patients relapsed during the monitoring period. Using
whole-genome sequencing and high-throughput genotyping, we showed that relapses
in Cambodia are often polyclonal and that the relapsing parasites harbor various
degrees of relatedness to the parasites present in the initial infection. Our
analyses also showed that clone populations differed dynamically, with new clones
emerging during the course of the relapsing infections. Overall, our study data
show that it is possible to investigate the patterns, dynamics, and diversity of
P. vivax relapses of individuals living in a region of malaria endemicity and
reveal that P. vivax relapses are much more pervasive and complex than previously
considered. (This study has been registered at ClinicalTrials.gov under
registration no. NCT02118090)IMPORTANCEP. vivax parasites can remain dormant in
the liver and relapse weeks or months after the initial infection, greatly
complicating malaria control and elimination efforts. The few investigations of
this dormant stage have relied on travelers and military personnel returning from
areas of malaria endemicity. However, it is not clear whether these individuals,
exposed to a limited number of infections, accurately represent the patterns of
relapses of individuals living in areas of endemicity, who are repeatedly
infected by P. vivax parasites. Our study combined tightly controlled fieldwork
with comprehensive genomic analyses, and our report provides a first opportunity
to investigate the patterns, dynamics, and diversity of P. vivax relapses
directly with individuals living in areas of endemicity.
PMID- 29362234
TI - Are Phage Lytic Proteins the Secret Weapon To Kill Staphylococcus aureus?
AB - Methicillin-resistant Staphylococcus aureus (MRSA) is one of the most threatening
microorganisms for global human health. The current strategies to reduce the
impact of S. aureus include a restrictive control of worldwide antibiotic use,
prophylactic measures to hinder contamination, and the search for novel
antimicrobials to treat human and animal infections caused by this bacterium. The
last strategy is currently the focus of considerable research. In this regard,
phage lytic proteins (endolysins and virion-associated peptidoglycan hydrolases
[VAPGHs]) have been proposed as suitable candidates. Indeed, these proteins
display narrow-spectrum antimicrobial activity and a virtual lack of bacterial
resistance development. Additionally, the therapeutic use of phage lytic proteins
in S. aureus animal infection models is yielding promising results, showing good
efficacy without apparent side effects. Nonetheless, human clinical trials are
still in progress, and data are not available yet. This minireview also analyzes
the main obstacles for introducing phage lytic proteins as human therapeutics
against S. aureus infections. Besides the common technological problems derived
from large-scale production of therapeutic proteins, a major setback is the lack
of a proper legal framework regulating their use. In that sense, the relevant
health authorities should urgently have a timely discussion about these new
antimicrobials. On the other hand, the research community should provide data to
dispel any doubts regarding their efficacy and safety. Overall, the appropriate
scientific data and regulatory framework will encourage pharmaceutical companies
to invest in these promising antimicrobials.
PMID- 29362236
TI - A Phosphorylation Switch on Lon Protease Regulates Bacterial Type III Secretion
System in Host.
AB - Most pathogenic bacteria deliver virulence factors into host cytosol through type
III secretion systems (T3SS) to perturb host immune responses. The expression of
T3SS is often repressed in rich medium but is specifically induced in the host
environment. The molecular mechanisms underlying host-specific induction of T3SS
expression is not completely understood. Here we demonstrate in Xanthomonas citri
that host-induced phosphorylation of the ATP-dependent protease Lon stabilizes
HrpG, the master regulator of T3SS, conferring bacterial virulence. Ser/Thr/Tyr
phosphoproteome analysis revealed that phosphorylation of Lon at serine 654
occurs in the citrus host. In rich medium, Lon represses T3SS by degradation of
HrpG via recognition of its N terminus. Genetic and biochemical data indicate
that phosphorylation at serine 654 deactivates Lon proteolytic activity and
attenuates HrpG proteolysis. Substitution of alanine for Lon serine 654 resulted
in repression of T3SS gene expression in the citrus host through robust
degradation of HrpG and reduced bacterial virulence. Our work reveals a novel
mechanism for distinct regulation of bacterial T3SS in different environments.
Additionally, our data provide new insight into the role of protein
posttranslational modification in the regulation of bacterial
virulence.IMPORTANCE Type III secretion systems (T3SS) are an essential virulence
trait of many bacterial pathogens because of their indispensable role in the
delivery of virulence factors. However, expression of T3SS in the noninfection
stage is energy consuming. Here, we established a model to explain the
differential regulation of T3SS in host and nonhost environments. When
Xanthomonas cells are grown in rich medium, the T3SS regulator HrpG is targeted
by Lon protease for proteolysis. The degradation of HrpG leads to downregulated
expression of HrpX and the hrp/hrc genes. When Xanthomonas cells infect the host,
specific plant stimuli can be perceived and induce Lon phosphorylation at serine
654. Phosphorylation on Lon attenuates its proteolytic activity and protects HrpG
from degradation. Consequently, enhanced stability of HrpG activates HrpX and
turns on bacterial T3SS in the host. Our work provides a novel molecular
mechanism underlying host-dependent activation of bacterial T3SS.
PMID- 29362235
TI - The Ancient Link between G-Protein-Coupled Receptors and C-Terminal Phospholipid
Kinase Domains.
AB - Sensing external signals and transducing these into intracellular responses
requires a molecular signaling system that is crucial for every living organism.
Two important eukaryotic signal transduction pathways that are often interlinked
are G-protein signaling and phospholipid signaling. Heterotrimeric G-protein
subunits activated by G-protein-coupled receptors (GPCRs) are typical stimulators
of phospholipid signaling enzymes such as phosphatidylinositol phosphate kinases
(PIPKs) or phospholipase C (PLC). However, a direct connection between the two
pathways likely exists in oomycetes and slime molds, as they possess a unique
class of GPCRs that have a PIPK as an accessory domain. In principle, these so
called GPCR-PIPKs have the capacity of perceiving an external signal (via the
GPCR domain) that, via PIPK, directly activates downstream phospholipid
signaling. Here we reveal the sporadic occurrence of GPCR-PIPKs in all eukaryotic
supergroups, except for plants. Notably, all species having GPCR-PIPKs are
unicellular microorganisms that favor aquatic environments. Phylogenetic analysis
revealed that GPCR-PIPKs are likely ancestral to eukaryotes and significantly
expanded in the last common ancestor of oomycetes. In addition to GPCR-PIPKs, we
identified five hitherto-unknown classes of GPCRs with accessory domains, four of
which are universal players in signal transduction. Similarly to GPCR-PIPKs, this
enables a direct coupling between extracellular sensing and downstream signaling.
Overall, our findings point to an ancestral signaling system in eukaryotes where
GPCR-mediated sensing is directly linked to downstream responses.IMPORTANCE G
protein-coupled receptors (GPCRs) are central sensors that activate eukaryotic
signaling and are the primary targets of human drugs. In this report, we provide
evidence for the widespread though limited presence of a novel class of GPCRs in
a variety of unicellular eukaryotes. These include free-living organisms and
organisms that are pathogenic for plants, animals, and humans. The novel GPCRs
have a C-terminal phospholipid kinase domain, pointing to a direct link between
sensing external signals via GPCRs and downstream intracellular phospholipid
signaling. Genes encoding these receptors were likely present in the last common
eukaryotic ancestor and were lost during the evolution of higher eukaryotes. We
further describe five other types of GPCRs with a catalytic accessory domain, the
so-called GPCR-bigrams, four of which may potentially have a role in signaling.
These findings shed new light onto signal transduction in microorganisms and
provide evidence for alternative eukaryotic signaling pathways.
PMID- 29362237
TI - Processing of Candida albicans Ece1p Is Critical for Candidalysin Maturation and
Fungal Virulence.
AB - Candida albicans is an opportunistic fungal pathogen responsible for superficial
and life-threatening infections in humans. During mucosal infection, C. albicans
undergoes a morphological transition from yeast to invasive filamentous hyphae
that secrete candidalysin, a 31-amino-acid peptide toxin required for virulence.
Candidalysin damages epithelial cell plasma membranes and stimulates the
activating protein 1 (AP-1) transcription factor c-Fos (via p38-mitogen-activated
protein kinase [MAPK]), and the MAPK phosphatase MKP1 (via extracellular signal
regulated kinases 1 and 2 [ERK1/2]-MAPK), which trigger and regulate
proinflammatory cytokine responses, respectively. The candidalysin toxin resides
as a discrete cryptic sequence within a larger 271-amino-acid parental
preproprotein, Ece1p. Here, we demonstrate that kexin-like proteinases, but not
secreted aspartyl proteinases, initiate a two-step posttranslational processing
of Ece1p to produce candidalysin. Kex2p-mediated proteolysis of Ece1p after Arg61
and Arg93, but not after other processing sites within Ece1p, is required to
generate immature candidalysin from Ece1p, followed by Kex1p-mediated removal of
a carboxyl arginine residue to generate mature candidalysin. C. albicans strains
harboring mutations of Arg61 and/or Arg93 did not secrete candidalysin, were
unable to induce epithelial damage and inflammatory responses in vitro, and
showed attenuated virulence in vivo in a murine model of oropharyngeal
candidiasis. These observations identify enzymatic processing of C. albicans
Ece1p by kexin-like proteinases as crucial steps required for candidalysin
production and fungal pathogenicity.IMPORTANCECandida albicans is an
opportunistic fungal pathogen that causes mucosal infection in millions of
individuals worldwide. Successful infection requires the secretion of
candidalysin, the first cytolytic peptide toxin identified in any human fungal
pathogen. Candidalysin is derived from its parent protein Ece1p. Here, we
identify two key amino acids within Ece1p vital for processing and production of
candidalysin. Mutations of these residues render C. albicans incapable of causing
epithelial damage and markedly reduce mucosal infection in vivo Importantly,
candidalysin production requires two individual enzymatic events. The first
involves processing of Ece1p by Kex2p, yielding immature candidalysin, which is
then further processed by Kex1p to produce the mature toxin. These observations
identify important steps for C. albicans pathogenicity at mucosal surfaces.
PMID- 29362238
TI - Adaptive Evolution of RH5 in Ape Plasmodium species of the Laverania Subgenus.
AB - Plasmodium falciparum, the major cause of malaria morbidity and mortality in
humans, has been shown to have emerged after cross-species transmission of one of
six host-specific parasites (subgenus Laverania) infecting wild chimpanzees (Pan
troglodytes) and western gorillas (Gorilla gorilla). Binding of the parasite
encoded ligand RH5 to the host protein basigin is essential for erythrocyte
invasion and has been implicated in host specificity. A recent study claimed to
have found two amino acid changes in RH5 that "drove the host shift leading to
the emergence of P. falciparum as a human pathogen." However, the ape Laverania
data available at that time, which included only a single distantly related
chimpanzee parasite sequence, were inadequate to justify any such conclusion.
Here, we have investigated Laverania Rh5 gene evolution using sequences from all
six ape parasite species. Searching for gene-wide episodic selection across the
entire Laverania phylogeny, we found eight codons to be under positive selection,
including three that correspond to contact residues known to form hydrogen bonds
between P. falciparum RH5 and human basigin. One of these sites (residue 197) has
changed subsequent to the transmission from apes to humans that gave rise to P.
falciparum, suggesting a possible role in the adaptation of the gorilla parasite
to the human host. We also found evidence that the patterns of nucleotide
polymorphisms in P. falciparum are not typical of Laverania species and likely
reflect the recent demographic history of the human parasite.IMPORTANCE A number
of closely related, host-specific malaria parasites infecting wild chimpanzees
and gorillas have recently been described. The most important cause of human
malaria, Plasmodium falciparum, is now known to have resulted from a cross
species transmission of one of the gorilla parasites. Overcoming species-specific
interactions between a parasite ligand, RH5, and its receptor on host cells,
basigin, was likely an important step in the origin of the human parasite. We
have investigated the evolution of the Rh5 gene and found evidence of adaptive
changes during the diversification of the ape parasite species at sites that are
known to form bonds with human basigin. One of these changes occurred at the
origin of P. falciparum, implicating it as an important adaptation to the human
host.
PMID- 29362239
TI - Staphylococcus aureus Responds to the Central Metabolite Pyruvate To Regulate
Virulence.
AB - Staphylococcus aureus is a versatile bacterial pathogen that can cause
significant disease burden and mortality. Like other pathogens, S. aureus must
adapt to its environment to produce virulence factors to survive the immune
responses evoked by infection. Despite the importance of environmental signals
for S. aureus pathogenicity, only a limited number of these signals have been
investigated in detail for their ability to modulate virulence. Here we show that
pyruvate, a central metabolite, causes alterations in the overall metabolic flux
of S. aureus and enhances its pathogenicity. We demonstrate that pyruvate induces
the production of virulence factors such as the pore-forming leucocidins and that
this induction results in increased virulence of community-acquired methicillin
resistant S. aureus (CA-MRSA) clone USA300. Specifically, we show that an
efficient "pyruvate response" requires the activation of S. aureus master
regulators AgrAC and SaeRS as well as the ArlRS two-component system. Altogether,
our report further establishes a strong relationship between metabolism and
virulence and identifies pyruvate as a novel regulatory signal for the
coordination of the S. aureus virulon through intricate regulatory
networks.IMPORTANCE Delineation of the influence of host-derived small molecules
on the makeup of human pathogens is a growing field in understanding host
pathogen interactions. S. aureus is a prominent pathogen that colonizes up to one
third of the human population and can cause serious infections that result in
mortality in ~15% of cases. Here, we show that pyruvate, a key nutrient and
central metabolite, causes global changes to the metabolic flux of S. aureus and
activates regulatory networks that allow significant increases in the production
of leucocidins. These and other virulence factors are critical for S. aureus to
infect diverse host niches, initiate infections, and effectively subvert host
immune responses. Understanding how environmental signals, particularly ones that
are essential to and prominent in the human host, affect virulence will allow us
to better understand pathogenicity and consider more-targeted approaches to
tackling the current S. aureus epidemic.
PMID- 29362240
TI - Evaluation of Preexisting Anti-Hemagglutinin Stalk Antibody as a Correlate of
Protection in a Healthy Volunteer Challenge with Influenza A/H1N1pdm Virus.
AB - Influenza virus hemagglutinin (HA) surface glycoprotein is currently the primary
target of licensed influenza vaccines. Recently, broadly reactive antibodies that
target the stalk region of the HA have become a major focus of current novel
vaccine development. These antibodies have been observed in humans after natural
infection with influenza A virus, but the data are limited. Using samples and
data from the uniquely controlled setting of an influenza A/H1N1 virus human
challenge study of healthy volunteers, we performed a secondary analysis that for
the first time explores the role of anti-HA stalk antibody as a human correlate
of protection. An anti-HA stalk antibody enzyme-linked immunosorbent assay
(ELISA) was performed on samples from 65 participants challenged with a 2009
H1N1pdm virus. Pre- and postchallenge anti-HA stalk titers were then correlated
with multiple outcome measures to evaluate anti-HA stalk antibody titer as a
correlate of protection. Anti-HA stalk antibody titers were present before
challenge and rose in response to challenge in 64% of individuals. Those
individuals with higher titers at baseline were less likely to develop shedding,
but not less likely to develop symptoms. Similar to the hemagglutination
inhibition (HAI) titer, the baseline anti-HA stalk antibody titer did not
independently predict a decrease in the severity of influenza disease, while the
antineuraminidase (neuraminidase inhibition [NAI]) titer did. As a correlate of
protection, the naturally occurring anti-HA stalk antibody titer is predictive of
a reduction of certain aspects of disease similar to HAI titer, but the NAI titer
is the only identified correlate that is an independent predictor of a reduction
of all assessed influenza clinical outcome measures.IMPORTANCE This is the first
study to evaluate preexisting anti-HA stalk antibodies as a predictor of
protection. We use a healthy volunteer influenza challenge trial for an
examination of the role such antibodies play in protection. This study
demonstrates that anti-HA stalk antibodies are naturally generated in response to
an infection, but there is significant variability in response. Similar to
antibodies that target the HA head, baseline anti-HA stalk antibody titer is a
correlate of protection in terms of reduced shedding, but it is not a predictor
of reduced clinical disease or an independent predictor of disease severity.
These results, in the context of the limited data available in humans, suggest
that vaccines that induce anti-HA stalk antibodies could play a role in future
vaccine strategies, but alone, this target may be insufficient to induce a fully
protective vaccine and overcome some of the issues identified with current
vaccines.
PMID- 29362241
TI - Barriers and facilitators to medication adherence: a qualitative study with
general practitioners.
AB - BACKGROUND: General practitioners (GPs) manage the drug therapies of people with
chronic diseases, and poor adherence to medication remains a major challenge.
OBJECTIVE: This qualitative study examined GPs' insights into non-adherence and
ways of overcoming this problem. METHODS: We ran four focus groups comprising 16
GPs at the Kirkkonummi Health Centre (Southern Finland). Interviews were
audiotaped, transcribed verbatim and analysed by inductive content analysis. MAIN
RESULTS: The two main themes in the discussions with the GPs were non-adherence
in the care of chronic disease and increased need for medicine information. The
medication management challenges identified were related to: patient-specific
factors, the healthcare system, characteristics of drug therapies and the
function and role of healthcare professionals as a team. To improve the
situation, the GPs offered a number of solutions: improved coordination of care,
better patient education and IT systems as well as enhanced interprofessional
involvement in the follow-up of patients. DISCUSSION AND CONCLUSIONS: With an
ageing population, the GPs were increasingly confronted with non-adherence in the
care of chronic diseases. They had mostly a positive attitude towards organising
care in a more interprofessional manner. To support medication adherence and self
management, the GPs appreciated pharmacists' assistance especially with patients
with polypharmacy and chronic diseases.
PMID- 29362242
TI - Two alternatives versus the standard Grading of Recommendations Assessment,
Development and Evaluation (GRADE) summary of findings (SoF) tables to improve
understanding in the presentation of systematic review results: a three-arm,
randomised, controlled, non-inferiority trial.
AB - OBJECTIVE: Summary of findings (SoF) tables present results of systematic reviews
in a concise and explicit format. Adopted by many review groups including the
Cochrane Collaboration and the Agency for Healthcare Research and Quality (AHRQ),
optimal understanding of SoF table may be influenced by the type of information
being conveyed and objectives or preferences of the end user. This study aims to
compare three SoF table formats in terms of understanding, accessibility,
satisfaction and preference with systematic review users. METHODS: The primary
objective of this three-arm randomised controlled non-inferiority trial is to
investigate whether an alternative Grading of Recommendations Assessment,
Development and Evaluation (GRADE) SoF table or Evidence-based Practice Center
SoF table is non-inferior to the current GRADE SoF table in the understanding of
the information presented to systematic review users, particularly for
descriptive findings. Researchers, clinical practice guideline developers, policy
makers or knowledge transfer professionals will be recruited. Data will be
collected electronically at baseline and after randomisation. Non-inferiority
would be declared if the difference in the proportion of participants who
understand the information displayed in the alternative SoF table is 10% or less.
ETHICS AND DISSEMINATION: The Hamilton Integrated Research Ethics Board reviewed
this protocol. The findings from this study will be disseminated through a
publication in a peer-reviewed journal. TRIAL REGISTRATION NUMBER: NCT02813941.
PMID- 29362243
TI - Diabetes-related behaviours among elderly people with pre-diabetes in rural
communities of Hunan, China: a cross-sectional study.
AB - OBJECTIVE: To explore diabetes-related behaviours and their influencing factors
among elderly individuals with pre-diabetes in rural areas of China. DESIGN,
SETTING AND PARTICIPANTS: A cross-sectional survey was conducted among elderly
individuals (>=60 years) in rural communities in Yiyang City of China.
Multistaged cluster random sampling was carried out to select 42 areas, and
interviews were conducted among 434 elderly individuals with pre-diabetes
(fasting plasma glucose 6.1-7.0 mmol/L and/or 2-hour post-glucose load of 7.8
11.1 mmol/L) using questionnaires on diabetes-related behaviours. The diabetes
related behaviours included eight categories: average daily sedentary time;
frequency of physical activities per week; regular or irregular diet; whether
paying attention to diet control or not; daily dietary preferences; frequency of
physical examinations per year; current smoking status; and current consumption
of alcohol. Each of the risky behaviours was scored -1 and each of the healthy
behaviours was scored +1. Each individual's score of diabetes-related behaviours
was the sum of the score for all behaviours. MAIN OUTCOME MEASURES: Participants
were asked about general information (age, gender, marital status, history of
hyperglycaemia, family history of diabetes mellitus, presence of other diseases,
body mass index, waist-to-hip ratio and education) and their diabetes-related
behaviours. Multivariate linear regression analysis was performed to identify the
risk factors for diabetes-related behaviour among elderly individuals with pre
diabetes. RESULTS: The average score of diabetes-related behaviours of elderly
individuals with pre-diabetes in rural China was 2.7. The prevalences of risky
diabetes-related behaviours were as follows: <1 physical examination per year
(57.6%), insufficient physical activities (55.3%), lack of attention paid to diet
control (51.4%), high-salt and high-fat diets (41.0%), sedentary lifestyle
(35.9%), smoking (22.8%), regular alcohol uptake (15.0%) and irregular diet
(3.9%). Gender and a history of hyperglycaemia were found to be influencing
factors of the diabetes-related behaviour score. CONCLUSIONS: The prevalence of
risky diabetes-related behaviours was high among pre-diabetic elderly individuals
in rural China. More effort should be made to promote the prevention and control
of diabetes in rural China. Future studies should be undertaken on diabetes
prevention strategies tailored specially for this population. TRIAL REGISTRATION
NUMBER: ChiCTR-IOR-15007033; Results.
PMID- 29362244
TI - Impact of early palliative care on overall survival of patients with metastatic
upper gastrointestinal cancers treated with first-line chemotherapy: a randomised
phase III trial.
AB - INTRODUCTION: Palliative care (PC) has usually been offered at the end-of-life
stage, although the WHO recommends providing PC as early as possible in the
course of the disease. A recent study has shown that early PC (EPC) provides a
more meaningful effect on quality of life and, surprisingly, on overall survival
(OS) than standard treatment for patients with metastatic lung cancer. Whether
EPC benefits also apply to patients with metastatic upper gastrointestinal (GI)
cancers is unknown. METHODS AND ANALYSIS: EPIC is a randomised phase III trial
comparing EPC plus standard oncologic care versus standard oncologic care in
patients with metastatic upper GI cancers. Its primary objective is to evaluate
the efficacy of EPC in terms of OS. Its secondary objectives are to assess the
effects of EPC on patient-reported outcomes (quality of life, depression and
anxiety) and the effect of EPC on the number of patients receiving chemotherapy
in their last 30 days of life. Assuming an exponential distribution of survival
time, 381 deaths are required to ensure an 80% power for an absolute difference
of 10% in 1 year OS rates (40% vs 50.3%, HR=0.75; log rank test two-sided
alpha=5%), leading to a planned sample size of 480 patients enrolled over 3 years
and a final analysis at 4 years. The main analysis will be performed on the
intent-to-treat dataset. ETHICS AND DISSEMINATION: This study was approved by the
'Comite de Protection des Personnes Nord-Ouest I' (4 April 2016), complies with
the Helsinki declaration and French laws and regulations and follows the
International Conference on Harmonisation E6 (R1) Guideline for Good Clinical
Practice. The trial results, even if they are inconclusive, will be presented at
international oncology congresses and published in peer-reviewed journals. TRIAL
REGISTRATION NUMBERS: EudraCT: 2015-A01943-46; Pre-results. NCT02853474.
PMID- 29362245
TI - Is the association between health-related quality of life and fatigue mediated by
depression in patients with multiple sclerosis? A Spanish cross-sectional study.
AB - OBJECTIVES: To determine the mediating effects of depression on health-related
quality of life and fatigue in individuals with multiple sclerosis (MS). DESIGN:
A cross-sectional study. SETTING: Tertiary urban hospital. PARTICIPANTS: One
hundred and eight patients (54% women) with MS participated in this study.
OUTCOME MEASURES: Demographic and clinical data (weight, height, medication and
neurological impairment), fatigue (Fatigue Impact Scale), depression (Beck
Depression Inventory-II) and health-related quality of life (Short-Form Health
Survey 36) were collected. RESULTS: Fatigue was significantly associated with
bodily pain, physical function, mental health and depression. Depression was
associated with bodily pain and mental health. The path analysis found direct
effects from physical function, bodily pain and depression to fatigue (all,
P<0.01). The path model analysis revealed that depression exerted a mediator
effect from bodily pain to fatigue (B=-0.04, P<0.01), and from mental health to
fatigue (B=-0.16, P<0.01). The amount of fatigue explained by all predictors in
the path model was 37%. CONCLUSIONS: This study found that depression mediates
the relationship between some health-related quality of life domains and fatigue
in people with MS. Future longitudinal studies focusing on proper management of
depressive symptoms in individuals with MS will help determine the clinical
implications of these findings.
PMID- 29362246
TI - Validity of self-reported age at menarche in computer-assisted interview among
Chinese schoolgirls: a cross-sectional study.
AB - OBJECTIVE: The attitudes of girls regarding menarche vary according to their
cultural backgrounds. Asian girls may hesitate to discuss menarche. Computer
assisted self-administered interviewing (CASI) is considered a valid and
effective tool for investigating the timing of menarche; however, the validity of
self-reported menarche data from CASI in Chinese culture is currently unknown. We
aimed to validate the status and age of menarche attainment by comparing CASI
with face-to-face interviewing (FFI). METHODS: Based on a cross-sectional study,
we collected information regarding the status and age of menarche attainment
using CASI and FFI among Chinese schoolgirls. We explored the tools of standard
epidemiological indices, including sensitivity, specificity, predictive values
and accuracy to examine the capacity of CASI for correctly classifying the status
of menarche. Both Pearson and Spearman correlations were calculated for the
correlation of age at menarche using CASI with FFI. A Bland-Altman plot was drawn
to measure the agreement between the two interview techniques. RESULTS: In this
study, CASI and FFI were conducted in 3478 schoolgirls with an average age (SD)
of 14.3 years (2.46). Menarche attainment was reported in 2496 (71.2%) and 2538
(73.0%) girls using CASI and FFI, respectively. Compared with FFI, the
sensitivity, specificity, positive predictive value (PPV), negative predictive
value and the accuracy of CASI were 0.97, 0.80, 0.96 and 0.92, respectively. The
correlation of age at menarche between CASI and FFI was 0.728. Approximately >95%
and 76.3% of the difference in the age at menarche was within 12 months and 3
months, respectively, between the two interview methods. Among primary
schoolgirls in grade 5, the sensitivity, specificity, PPV, accuracy and the
correlation (0.335) of CASI was lower than those in other subgroups. CONCLUSIONS:
Overall, the timing of menarche investigated using CASI was valid among all
Chinese schoolgirls, except for those in grade 5 or lower.
PMID- 29362247
TI - Protocol for a prospective longitudinal study investigating the participation and
educational trajectories of Australian students with autism.
AB - INTRODUCTION: Autism is associated with high cost to individuals, families,
communities and government. Understanding educational and participation
trajectories during the school years, and factors influencing these, is
fundamental to reducing financial and personal costs. The primary aim of this
study is to document the trajectories of Australian students with autism during
their education. The secondary aim is to examine personal (eg, student skills)
and environmental (eg, school setting) factors associated with differing
trajectories and outcomes. METHODS AND ANALYSIS: The cross-sequential
longitudinal study will recruit two cohorts of 120 parents/caregivers of children
with autism. Cohort 1 aged between 4 and 5 years and cohort 2 between 9 and 10
years to start the study. Information will be gathered from parents, teachers and
school principals at six annual time points (T1 to T6). Parents will be emailed a
link to an online initial questionnaire (T1) and then contacted annually and
asked to complete either an extended questionnaire (T3, T5 and T6) or an
abbreviated questionnaire (T2, T4). Where consent is given, the child's current
school will be contacted annually (T1 to T6) and teacher and school principal
asked to complete questionnaires about the child and school. Parent and school
questionnaires are comprised of questions about demographic and school factors
that could influence trajectories and a battery of developmental and behavioural
assessment tools designed to assess educational and participation trajectories
and outcomes. Surveys will provide longitudinal data on educational and
participation trajectories for children and adolescents with autism. In addition
cross-sectional comparisons (within or between age groups) at each time point and
cohort effects will be explored. ETHICS AND DISSEMINATION: Ethics approvals have
been granted for this study by all recruiting sites and universities in the
project. Study findings will inform policy and practice to promote successful
inclusion and participation of children with autism in education. Results will be
disseminated through journal publication, conference and seminar presentation.
PMID- 29362248
TI - Narrative medicine-based intervention in primary care to reduce polypharmacy:
results from the cluster-randomised controlled trial MultiCare AGENDA.
AB - OBJECTIVES: To determine if patient-centred communication leads to a reduction of
the number of medications taken without reducing health-related quality of life.
DESIGN: Two-arm cluster-randomised controlled trial. SETTING: 55 primary care
practices in Hamburg, Dusseldorf and Rostock, Germany. PARTICIPANTS: 604 patients
65 to 84 years of age with at least three chronic conditions. INTERVENTIONS:
Within the 12-month intervention, general practitioners (GPs) had three 30 min
talks with each of their patients in addition to routine consultations. The first
talk aimed at identifying treatment targets and priorities of the patient. During
the second talk, the medication taken by the patient was discussed based on a
'brown bag' review of all the medications the patient had at home. The third talk
served to discuss goal attainment and future treatment targets. GPs in the
control group performed care as usual. PRIMARY OUTCOME MEASURES: We assumed that
the number of medications taken by the patient would be reduced by 1.5 substances
in the intervention group and that the change in the intervention group's health
related quality of life would not be statistically significantly inferior to the
control group. RESULTS: The patients took a mean of 7.0+/-3.5 medications at
baseline and 6.8+/-3.5 medications at follow-up. There was no difference between
treatment and control group in the change of the number of medications taken
(0.43; 95% CI -0.07 to 0.93; P=0.094) and no difference in health-related quality
of life (0.03; -0.02 to 0.08; P=0.207). The likelihood of receiving a new
prescription for analgesics was twice as high in the intervention group compared
with the control group (risk ratio, 2.043; P=0.019), but the days spent in
hospital were reduced by the intervention (-3.07; -5.25 to -0.89; P=0.006).
CONCLUSIONS: Intensifying the doctor-patient dialogue and discussing the
patient's agenda and personal needs did not lead to a reduction of medication
intake and did not alter health-related quality of life. TRIAL REGISTRATION
NUMBER: ISRCTN46272088; Pre-results.
PMID- 29362249
TI - Effect of interventions incorporating personalised cancer risk information on
intentions and behaviour: a systematic review and meta-analysis of randomised
controlled trials.
AB - OBJECTIVE: To provide a comprehensive review of the impact on intention to change
health-related behaviours and health-related behaviours themselves, including
screening uptake, of interventions incorporating information about cancer risk
targeted at the general adult population. DESIGN: A systematic review and random
effects meta-analysis. DATA SOURCES: An electronic search of MEDLINE, EMBASE,
CINAHL and PsycINFO from 1 January 2000 to 1 July 2017. INCLUSION CRITERIA:
Randomised controlled trials of interventions including provision of a personal
estimate of future cancer risk based on two or more non-genetic variables to
adults recruited from the general population that include at least one
behavioural outcome. RESULTS: We included 19 studies reporting 12 outcomes. There
was significant heterogeneity in interventions and outcomes between studies.
There is evidence that interventions incorporating personalised cancer risk
information do not affect intention to attend or attendance at screening
(relative risk 1.00 (0.97-1.03)). There is limited evidence that they increase
smoking abstinence, sun protection, adult skin self-examination and breast
examination, and decrease intention to tan. However, they do not increase smoking
cessation, parental child skin examination or intention to protect skin. No
studies assessed changes in diet, alcohol consumption or physical activity.
CONCLUSIONS: Interventions incorporating personalised cancer risk information do
not affect uptake of screening, but there is limited evidence of effect on some
health-related behaviours. Further research, ideally including objective measures
of behaviour, is needed before cancer risk information is incorporated into
routine practice for health promotion in the general population.
PMID- 29362250
TI - Randomised controlled trial of a web-based programme in sustaining best practice
alcohol management practices at community sports clubs: a study protocol.
AB - INTRODUCTION: Community-based interventions have been found to effectively
increase the implementation of alcohol management practices and reduce excessive
alcohol use and alcohol-related harm at sports clubs. However, once
implementation support ceases there may be a reduction in such intervention
effects. Thus, ongoing contribution to improving the health of the community is
diminished; sustaining practice implementation is a key determinant to address
this. One possible solution to the strategic and logistical challenges of
sustainability involves the use of the web. The primary aim of this study is to
assess the effectiveness of a web-based programme in sustaining the
implementation of alcohol management practices by community football clubs. The
secondary aim is to assess the effectiveness of the programme in preventing
excessive alcohol consumption and alcohol-related harm among members of community
football clubs. METHODS AND ANALYSIS: The study will employ a repeat randomised
controlled trial design and be conducted in regional and metropolitan areas
within two states of Australia. Community level football clubs who are currently
accredited with an existing alcohol management programme ('Good Sports') and
implementing at least 10 of the 13 core alcohol management practices (eg, not
serving alcohol to <18-year-olds) required by the programme will be recruited and
randomised to either a web-based sustainability programme or a 'minimal contact'
programme. The primary outcome measures are the proportion of football clubs
implementing >=10 of the 13 required alcohol management practices and the mean
number of those practices being implemented at 3-year follow-up. Secondary
outcomes include: the proportion of club members who report risky drinking at
their club, the Alcohol Use Disorder Identification Test (AUDIT) score and mean
AUDIT score of club members. Outcome data will be collected via observation at
the club during a 1-day visit to a home game, conducted by trained research
assistants at baseline and follow-up. ETHICS AND DISSEMINATION: The study was
approved by The University of Newcastle Human Research Ethics Committee
(reference: H-2013-0429). Study findings will be disseminated widely through peer
reviewed publications and conference presentations. TRIAL REGISTRATION NUMBER:
ACTRN12614000746639; Pre-results.
PMID- 29362252
TI - Primary goals, information-giving and men's understanding: a qualitative study of
Australian and UK doctors' varied communication about PSA screening.
AB - OBJECTIVES: (1) To characterise variation in general practitioners' (GPs')
accounts of communicating with men about prostate cancer screening using the
prostate-specific antigen (PSA) test, (2) to characterise GPs' reasons for
communicating as they do and (3) to explain why and under what conditions GP
communication approaches vary. STUDY DESIGN AND SETTING: A grounded theory study.
We interviewed 69 GPs consulting in primary care practices in Australia (n=40)
and the UK (n=29). RESULTS: GPs explained their communication practices in
relation to their primary goals. In Australia, three different communication
goals were reported: to encourage asymptomatic men to either have a PSA test, or
not test, or alternatively, to support men to make their own decision. As well as
having different primary goals, GPs aimed to provide different information (from
comprehensive to strongly filtered) and to support men to develop different kinds
of understanding, from population-level to 'gist' understanding. Taking into
account these three dimensions (goals, information, understanding) and building
on Entwistle et al's Consider an Offer framework, we derived four overarching
approaches to communication: Be screened, Do not be screened, Analyse and choose,
and As you wish. We also describe ways in which situational and relational
factors influenced GPs' preferred communication approach. CONCLUSION: GPs'
reported approach to communicating about prostate cancer screening varies
according to three dimensions-their primary goal, information provision
preference and understanding sought-and in response to specific practice
situations. If GP communication about PSA screening is to become more
standardised in Australia, it is likely that each of these dimensions will
require attention in policy and practice support interventions.
PMID- 29362251
TI - Evaluation and management of patients with acute chest pain in China (EMPACT):
protocol for a prospective, multicentre registry study.
AB - INTRODUCTION: Acute chest pain represents a major healthcare burden in emergency
departments (ED) throughout the world. Among these patients, rapidly determining
whether an acute coronary syndrome (ACS) is evolving remains difficult. In China,
there are limited data correlating the baseline characteristics, evaluation and
management of ED patients with acute chest pain and ACS-related symptoms with
clinical outcomes. Nor has there been an evaluation of outcomes at different
levels of hospitals. The Evaluation and Management of Patients with Acute ChesT
pain in China (EMPACT) study will address this evidence gap through a regional
representative prospective registry. METHODS AND ANALYSIS: Twenty-two public
hospitals with ED in Shandong province have been selected based on a stratified
random sampling approach. A total of 10 000 patients with acute chest pain or
suspected ACS presenting to the ED will be consecutively enrolled from January
2016 to September 2017. Episodes of care will be evaluated for key performance
measures such as the time to first ECG, receipt of troponin testing, receipt of
reperfusion therapy for ST segment elevation ACS and provision of angiography for
troponin-positive patients. All patients will be assessed for the composite
endpoint of adjudicated major adverse cardiac events in 30 days after
presentation, including death from all causes, non-fatal myocardial infarction,
urgent revascularisation, stroke, cardiac arrest and cardiogenic shock. The
secondary outcomes include revisit to ED and rehospitalisation within 30 days.
ETHICS AND DISSEMINATION: Ethics approval was obtained at all participating
centres. The registry is the first attempt to comprehensively evaluate the
current emergency care of acute chest pain from a regional representative sample
in China. Findings will allow new opportunities to facilitate the clinical
quality improvements and ultimately reduce the mortality in patients with acute
chest pain and suspected ACS. TRIAL REGISTRATION NUMBER: NCT02536677; Pre
results.
PMID- 29362253
TI - Timing of probiotic milk consumption during pregnancy and effects on the
incidence of preeclampsia and preterm delivery: a prospective observational
cohort study in Norway.
AB - OBJECTIVES: To investigate whether the timing of probiotic milk intake before,
during early or late pregnancy influences associations with preeclampsia and
preterm delivery. DESIGN: Population based prospective cohort study. SETTING:
Norway, between 1999 and 2008. PARTICIPANTS: 70 149 singleton pregnancies
resulting in live-born babies from the Norwegian Mother and Child Cohort Study
(no chronic disease, answered questionnaires, no placenta previa/cerclage/serious
malformation of fetus, first enrolment pregnancy). Only nulliparous women (n=37
050) were included in the preeclampsia analysis. Both iatrogenic and spontaneous
preterm delivery (between gestational weeks 22+0 and 36+6) with spontaneous term
controls (between gestational weeks 39+0 and 40+6) were included in the preterm
delivery analysis resulting in 34 458 cases. MAIN OUTCOME MEASURES: Adjusted OR
for preeclampsia and preterm delivery according to consumption of probiotic milk
at three different time periods (before pregnancy, during early and late
pregnancy). RESULTS: Probiotic milk intake in late pregnancy (but not before or
in early pregnancy) was significantly associated with lower preeclampsia risk
(adjusted OR: 0.80 (95% CI 0.68 to 0.94) p-value: 0.007). Probiotic intake during
early (but not before or during late pregnancy) was significantly associated with
lower risk of preterm delivery (adjusted OR: 0.79 (0.64 to 0.97) p-value: 0.03).
CONCLUSIONS: In this observational study, we found an association between timing
of probiotic milk consumption during pregnancy and the incidence of the adverse
pregnancy outcomes preeclampsia and preterm delivery. If future randomised
controlled trials could establish a causal association between probiotics
consumption and reduced risk of preeclampsia and preterm delivery, recommending
probiotics would be a promising public health measure to reduce these adverse
pregnancy outcomes.
PMID- 29362254
TI - Incremental predictive value of screening for anxiety and depression beyond
current type 2 diabetes risk models: a prospective cohort study.
AB - OBJECTIVES: We sought to determine whether screening for anxiety and depression,
an emerging risk factor for type 2 diabetes (T2D), adds clinically meaningful
information beyond current T2D risk assessment tools. DESIGN: Prospective cohort.
PARTICIPANTS AND SETTING: The 45 and Up Study is a large-scale prospective cohort
of men and women aged 45 years and over, randomly sampled from the general
population of New South Wales, Australia. 51 588 participants without self
reported diabetes at baseline (2006-2009) were followed up for approximately 3
years (2010). METHODS: T2D status was determined by self-reported doctor who
diagnosed diabetes after the age of 30 years, and/or current use of metformin.
Current symptoms of anxiety and/or depression were measured by the 10-item
Kessler Psychological Distress Scale (K10). We determined the optimal cut-off
point for K10 for predicting T2D using Tjur's R2 and tested risk models with and
without the K10 using logistic regression. We assessed performance measures for
the incremental value of the K10 using the area under the receiver operating
characteristic (AROC), net reclassification improvement (NRI) and net benefit
(NB) decision analytics with sensitivity analyses. RESULTS: T2D developed in 1076
individuals (52.4% men). A K10 score of >=19 (prevalence 8.97%), adjusted for age
and gender, was optimal for predicting incident T2D (sensitivity 77%, specificity
53% and positive predictive value 3%; OR 1.70 (95% CI 1.41 to 2.03, P<0.001). K10
score predicted incident T2D independent of current risk models, but did not
improve corresponding AROC, NRI and NB statistics. Sensitivity analyses showed
that this was partially explained by the baseline model and the small effect size
of the K10 that was similar compared with other risk factors. CONCLUSIONS:
Anxiety and depressing screening with the K10 adds no meaningful incremental
value in addition to current T2D risk assessments. The clinical importance of
anxiety and depression screening in preventing T2D requires ongoing
consideration.
PMID- 29362255
TI - Evaluating the effectiveness of home exercise programmes using an online exercise
prescription tool in children with cerebral palsy: protocol for a randomised
controlled trial.
AB - INTRODUCTION: Children with cerebral palsy (CP) and other neurodevelopmental
disabilities often receive a home programme of exercises to assist in reaching
their therapy goals. Adherence to exercise programmes is necessary to attain the
level of practice required to achieve goals; however, adherence can be difficult
to accomplish. In this paper, we describe the protocol for a randomised
controlled trial to evaluate the effectiveness of delivering a home exercise
programme to school-age children with disabilities using Physitrack, an online
exercise prescription tool with a website or app interface. METHODS AND ANALYSIS:
Participants aged 6-17 years, with CP or other neurodevelopmental disabilities,
receiving community physiotherapy services in Western Australia, will be
recruited. Participants will be stratified by age and functional mobility and
randomised to either the intervention group, who will complete an 8-week home
exercise programme using Physitrack, or the control group, who will complete an 8
week exercise programme without Physitrack. Researcher blinding to group
allocation, and participant blinding to outcome, will be maintained. The primary
outcome measures are adherence to the home exercise programme with weekly
collection of home exercise logs; achievement of individualised goals by phone
interview before and after intervention; and correctness of exercise performance
by collection and analysis of videos of participants performing home exercises.
Secondary outcome measures include enjoyment of physical activity, confidence to
complete exercise programme, preferred method of delivery of programme and
usability of Physitrack. A sample size of 58 participants will be necessary to
see an effect on home programme adherence. Data will be analysed using the
intention-to-treat principle. ETHICS AND DISSEMINATION: Ethical approval was
obtained from Curtin University Human Research Ethics Committee in July 2016
(10391). Outcomes will be disseminated through publication in peer-reviewed
journals and presentations at scientific conferences. TRIAL REGISTRATION NUMBER:
ACTRN12616000743460; Pre-results.
PMID- 29362256
TI - The experience of living with patellofemoral pain-loss, confusion and fear
avoidance: a UK qualitative study.
AB - OBJECTIVES: To investigate the experience of living with patellofemoral pain
(PFP). DESIGN: Qualitative study design using semistructured interviews and
analysed thematically using the guidelines set out by Braun and Clarke. SETTING:
A National Health Service physiotherapy clinic within a large UK teaching
hospital. PARTICIPANTS: A convenience sample of 10 participants, aged between 18
and 40 years, with a diagnosis of PFP and on a physiotherapy waiting list, prior
to starting physiotherapy. RESULTS: Participants offered rich and detailed
accounts of the impact and lived experience of PFP, including loss of physical
and functional ability; loss of self-identity; pain-related confusion and
difficulty making sense of their pain; pain-related fear, including fear
avoidance and 'damage' beliefs; inappropriate coping strategies and fear of the
future. The five major themes that emerged from the data were: (1) impact on
self; (2) uncertainty, confusion and sense making; (3) exercise and activity
beliefs; (4) behavioural coping strategies and (5) expectations of the future.
CONCLUSIONS: These findings offer an insight into the lived experience of
individuals with PFP. Previous literature has focused on pain and biomechanics,
rather than the individual experience, attached meanings and any wider context
within a sociocultural perspective. Our findings suggest that future research is
warranted into biopsychosocial targeted interventions aimed at the beliefs and
pain-related fear for people with PFP. The current consensus that best-evidence
treatments consisting of hip and knee strengthening may not be adequate to
address the fears and beliefs identified in the current study. Further
qualitative research may be warranted on the impact and interpretation of medical
terminology commonly used with this patient group, for example, 'weakness' and
'patellar mal-tracking' and its impact and interpretation by patients. TRIAL
REGISTRATION NUMBER: ISRCTN35272486; Pre-results.
PMID- 29362257
TI - Health outcomes of unpaid care workers in low-income and middle-income countries:
a protocol for a systematic review.
AB - INTRODUCTION: The literature on health outcomes of unpaid care work has included
studies coming from high-income countries, and has reported gender inequalities
that make caregiving women more vulnerable to physical and mental health
problems. The impact of unpaid care work on the health of those living in low
income and middle-income countries, where women's autonomy is more limited, is
unknown. METHODS AND ANALYSIS: We will conduct a systematic review of
observational studies on health outcomes according to unpaid caregiving status
and sex of people living in low-income and middle-income countries. Cumulative
Index to Nursing and Allied Health Literature, PubMed and Scientific Electronic
Library Online Citation Index will be searched for reports in English or Spanish
with published results from inception to 1 June 2017. We expect the studies to
have recruited individuals in low-income and middle-income countries, including
exposed and non-exposed groups to participation in unpaid care to members if
their households or community reporting either physical and/or mental health
problems, self-reported health-related quality of life, self-care
skills/behaviours or use of any healthcare services in the participants. Data
extraction, the assessment of risk of bias and confounding, and qualitative
synthesis will be carried out by two independent reviewers with the assistance of
a third party. DISSEMINATION: Results are expected to be published in peer
reviewed journals from the field of health and gender, or health and inequality.
PROSPERO REGISTRATION NUMBER: CRD42017071785.
PMID- 29362258
TI - Cost-effectiveness of FreeO2 in patients with chronic obstructive pulmonary
disease hospitalised for acute exacerbations: analysis of a pilot study in
Quebec.
AB - OBJECTIVE: Conduct a cost-effectiveness analysis of FreeO2 technology versus
manual oxygen-titration technology for patients with chronic obstructive
pulmonary disease (COPD) hospitalised for acute exacerbations. SETTING: Tertiary
acute care hospital in Quebec, Canada. PARTICIPANTS: 47 patients with COPD
hospitalised for acute exacerbations. INTERVENTION: An automated oxygen-titration
and oxygen-weaning technology. METHODS AND OUTCOMES: The costs for
hospitalisation and follow-up for 180 days were calculated using a microcosting
approach and included the cost of FreeO2 technology. Incremental cost
effectiveness ratios (ICERs) were calculated using bootstrap resampling with 5000
replications. The main effect variable was the percentage of time spent at the
target oxygen saturation (SpO2). The other two effect variables were the time
spent in hyperoxia (target SpO2+5%) and in severe hypoxaemia (SpO2 <85%). The
resamplings were based on data from a randomised controlled trial with 47
patients with COPD hospitalised for acute exacerbations. RESULTS: FreeO2
generated savings of 20.7% of the per-patient costs at 180 days (ie, -$C2959.71).
This decrease is nevertheless not significant at the 95% threshold (P=0.13), but
the effect variables all improved (P<0.001). The improvement in the time spent at
the target SpO2 was 56.3%. The ICERs indicate that FreeO2 technology is more cost
effective than manual oxygen titration with a savings of -$C96.91 per percentage
point of time spent at the target SpO2 (95% CI -301.26 to 116.96). CONCLUSION:
FreeO2 technology could significantly enhance the efficiency of the health system
by reducing per-patient costs at 180 days. A study with a larger patient sample
needs to be carried out to confirm these preliminary results. TRIAL REGISTRATION
NUMBER: NCT01393015; Post-results.
PMID- 29362259
TI - Prognostic factors of inhospital death in elderly patients: a time-to-event
analysis of a cohort study in Martinique (French West Indies).
AB - OBJECTIVE: The primary objective was to identify predictive factors of inhospital
death in a population of patients aged 65 years or older hospitalised with
Chikungunya virus (CHIKV) infection. The secondary aim was to develop and
validate a predictive score for inhospital death based on the predictors
identified. DESIGN: Longitudinal retrospective study from January to December
2014. SETTING: University Hospital of Martinique. PARTICIPANTS: Patients aged
>=65 years, admitted to any clinical ward and who underwent reverse transcription
PCR testing for CHIKV infection. OUTCOME: Independent predictors of inhospital
death were identified using multivariable Cox regression modelling. A predictive
score was created using the adjusted HRs of factors associated with inhospital
death. Receiver operating characteristic curve analysis was used to determine the
best cut-off value. Bootstrap analysis was used to evaluate internal validity.
RESULTS: Overall, 385 patients aged >=65 years were included (average age: 80+/-8
years). Half were women, and 35 (9.1%) died during the hospital stay. Seven
variables were found to be independently associated with inhospital death
(concurrent cardiovascular disorders: HR 11.8, 95% CI 4.5 to 30.8; concurrent
respiratory infection: HR 9.6, 95% CI 3.4 to 27.2; concurrent sensorimotor
deficit: HR 7.6, 95% CI 2.0 to 28.5; absence of musculoskeletal pain: HR 2.6, 95%
CI 1.3 to 5.3; history of alcoholism: HR 2.5, 95% CI 1.1 to 5.9; concurrent
digestive symptoms: HR 2.4, 95% CI 1.2 to 4.9; presence of confusion or delirium:
HR 2.1, 95% CI 1.1 to 4.2). The score ranged from 0 to 25, with an average of 6+/
6. The area under the curve was excellent (0.90; 95% CI 0.86 to 0.94). The best
cut-off value was a score >=8 points, with a sensitivity of 91% (82%-100%) and
specificity of 75% (70%-80%). CONCLUSIONS: Signs observed by the clinician during
the initial examination could predict inhospital death. The score will be helpful
for early management of elderly subjects presenting within 7 days of symptom
onset in the context of CHIKV outbreaks.
PMID- 29362260
TI - Cluster randomised controlled trial of a multicomponent intervention to support
the implementation of policies and practices that promote healthier environments
at junior sports clubs: study protocol.
AB - INTRODUCTION: A large proportion of children and adolescents participate in
organised sport, making community sports clubs a promising setting to support
healthy behaviours. To date, however, there have been few interventions conducted
in junior sports clubs that have targeted health-promoting practices. The primary
aim of this pilot study is to assess the potential effectiveness of an
intervention to implement health-promoting policies and practices in junior
sporting clubs targeting alcohol and tobacco practices, healthy food and beverage
availability, and physical activity via participation in sport. A secondary
outcome is to assess the impact of such strategies on child exposure to alcohol
and tobacco use at the club, purchasing behaviours by/for children at the club
canteen and child sports participation opportunities. METHODS AND ANALYSIS: The
study will employ a cluster randomised controlled trial design and be conducted
in metropolitan and regional areas of two Australian states. Randomisation will
occur at the level of the football league. Community football clubs with over 40
junior players (players under 18 years) within each league will be eligible to
participate. The intervention will be developed based on frameworks that consider
the social, cultural and environmental factors that influence health behaviours.
Intervention clubs will be supported to implement 16 practices targeting alcohol
management, tobacco use, nutrition practices, new player recruitment activity,
equal participation for players and the development of policies to support these
practices. Trained research staff will collect outcome data via telephone
interviews at baseline and follow-up. Interviews will be conducted with both club
representatives and parents of junior players. ETHICS AND DISSEMINATION: The
study has been approved by the University of Newcastle Human Research Ethics
Committee (H-2013-0429). The results of the study will be disseminated via peer
reviewed publications and presentations at conferences. TRIAL REGISTRATION
NUMBER: ACTRN12617001044314; Pre-results.
PMID- 29362261
TI - Resource utilisation and costs in predementia and dementia: a systematic review
protocol.
AB - INTRODUCTION: Dementia is the fastest growing major cause of disability globally
with a mounting social and financial impact for patients and their families but
also to health and social care systems. This review aims to systematically
synthesise evidence on the utilisation of resources and costs incurred by
patients and their caregivers and by health and social care services across the
full spectrum of dementia, from its preceding preclinical stage to end of life.
The main drivers of resources used and costs will also be identified. METHODS AND
ANALYSIS: A systematic literature review was conducted in MEDLINE, EMBASE, CDSR,
CENTRAL, DARE, EconLit, CEA Registry, TRIP, NHS EED, SCI, RePEc and OpenGrey
between January 2000 and beginning of May 2017. Two reviewers will independently
assess each study for inclusion and disagreements will be resolved by a third
reviewer. Data will be extracted using a predefined data extraction form
following best practice. Study quality will be assessed with the Effective Public
Health Practice Project quality assessment tool. The reporting of costing
methodology will be assessed using the British Medical Journal checklist. A
narrative synthesis of all studies will be presented for resources used and costs
incurred, by level of disease severity when available. If feasible, the data will
be synthesised using appropriate statistical techniques. ETHICS AND
DISSEMINATION: Included articles will be reviewed for an ethics statement. The
findings of the review will be disseminated in a related peer-reviewed journal
and presented at conferences. They will also contribute to the work developed in
the Real World Outcomes across the Alzheimer's disease spectrum for better care:
multi-modal data access platform (ROADMAP). TRIAL REGISTRATION NUMBER:
CRD42017071413.
PMID- 29362262
TI - Validation of intellectual disability coding through hospital morbidity records
using an intellectual disability population-based database in Western Australia.
AB - OBJECTIVES: To investigate how well intellectual disability (ID) can be
ascertained using hospital morbidity data compared with a population-based data
source. DESIGN, SETTING AND PARTICIPANTS: All children born in 1983-2010 with a
hospital admission in the Western Australian Hospital Morbidity Data System
(HMDS) were linked with the Western Australian Intellectual Disability Exploring
Answers (IDEA) database. The International Classification of Diseases hospital
codes consistent with ID were also identified. MAIN OUTCOME MEASURES: The
characteristics of those children identified with ID through either or both
sources were investigated. RESULTS: Of the 488 905 individuals in the study, 10
218 (2.1%) were identified with ID in either IDEA or HMDS with 1435 (14.0%)
individuals identified in both databases, 8305 (81.3%) unique to the IDEA
database and 478 (4.7%) unique to the HMDS dataset only. Of those unique to the
HMDS dataset, about a quarter (n=124) had died before 1 year of age and most of
these (75%) before 1 month. Children with ID who were also coded as such in the
HMDS data were more likely to be aged under 1 year, female, non-Aboriginal and
have a severe level of ID, compared with those not coded in the HMDS data. The
sensitivity of using HMDS to identify ID was 14.7%, whereas the specificity was
much higher at 99.9%. CONCLUSION: Hospital morbidity data are not a reliable
source for identifying ID within a population, and epidemiological researchers
need to take these findings into account in their study design.
PMID- 29362263
TI - Protocol for a feasibility trial for improving breast feeding initiation and
continuation: assets-based infant feeding help before and after birth (ABA).
AB - INTRODUCTION: Breast feeding improves the health of mothers and infants; the UK
has low rates, with marked socioeconomic inequalities. While trials of peer
support services have been effective in some settings, UK trials have not
improved breast feeding rates. Qualitative research suggests that many women are
alienated by the focus on breast feeding. We propose a change from breast feeding
focused interactions to respecting a woman's feeding choices, inclusion of
behaviour change theory and an increased intensity of contacts in the 2 weeks
after birth when many women cease to breast feed. This will take place alongside
an assets-based approach that focuses on the positive capability of individuals,
their social networks and communities.We propose a feasibility study for a
multicentre randomised controlled trial of the Assets feeding help Before and
After birth (ABA) infant feeding service versus usual care. METHODS AND ANALYSIS:
A two-arm, non-blinded randomised feasibility study will be conducted in two UK
localities. Women expecting their first baby will be eligible, regardless of
feeding intention. The ABA infant feeding intervention will apply a proactive,
assets-based, woman-centred, non-judgemental approach, delivered antenatally and
postnatally tailored through face-to-face contacts, telephone and SMS texts.
Outcomes will test the feasibility of delivering the intervention with
recommended intensity and duration to disadvantaged women; acceptability to
women, feeding helpers and professionals; and feasibility of a future randomised
controlled trial (RCT), detailing recruitment rates, willingness to be
randomised, follow-up rates at 3 days, 8 weeks and 6 months, and level of outcome
completion. Outcomes of the proposed full trial will also be collected. Mixed
methods will include qualitative interviews with women/partners, feeding helpers
and health service staff; feeding helper logs; and review of audio-recorded
helper-women interactions to assess intervention fidelity. ETHICS AND
DISSEMINATION: Study results will inform the design of a larger multicentre RCT.
The National Research Ethics Service Committee approved the study protocol. TRIAL
REGISTRATION NUMBER: ISRCTN14760978; Pre-results.
PMID- 29362264
TI - Growth across life course and cardiovascular risk markers in 18-year-old
adolescents: the 1993 Pelotas birth cohort.
AB - OBJECTIVE: To evaluate the association between growth trajectories from birth to
adolescence and cardiovascular risk marker levels at age 18 years in a population
based cohort. In order to disentangle the effect of weight gain from that of
height gain, growth was analysed using conditional weight relative to linear
growth (CWh) and conditional length/height (CH). DESIGN: Prospective study.
SETTING: 1993 Pelotas birth cohort, Southern Brazil. PARTICIPANTS: Individuals
who have been followed up from birth to adolescence (at birth, 1, 4, 11, 15 and
18 years). PRIMARY OUTCOME MEASURES: C-reactive protein (CRP), total cholesterol
(TC), LDL cholesterol (LDL-C), HDL-cholesterol (HDL-C), triglycerides (TGL),
systolic and diastolic blood pressure (SBP and DBP), body mass index (BMI) and
waist circumference (WC). RESULTS: In both sexes, greater CWh at 1 year was
positively associated with BMI and WC, whereas greater CWh at most age periods in
childhood and adolescence predicted higher CRP, TC, LDL-C, TGL, SBP, DBP, BMI and
WC levels, as well as lower HDL-C level. Higher CH during infancy and childhood
was positively related with SBP in boys and girls, and with BMI and WC only in
boys. CONCLUSION: Our study shows that rapid weight gain from 1 year onwards is
positively associated with several markers of cardiovascular risk at 18 years.
Overall, our results for the first year of life add evidence to the 'first 1000
days initiative' suggesting that prevention of excessive weight gain in childhood
might be important in reducing subsequent cardiovascular risk.
PMID- 29362265
TI - Protocol for the systematic review of the reporting of transoral robotic surgery.
AB - INTRODUCTION: Transoral robotic surgery (TORS) has been adopted in some parts of
the world as an innovative approach to the resection of oropharyngeal tumours.
The development, details and outcomes of early-to-later phase evaluation of this
technique and the quality of evidence to support its adoption into practice have
hitherto not been summarised. The aim of this review is to identify and summarise
the early and later phase studies of, and evidence for, TORS and to understand
how early phase studies report intervention development, governance procedures
and selection and reporting of outcomes to optimise methods for using the Idea,
Development, Exploration, Assessment, Long-term follow-up (IDEAL) framework for
surgical innovation that informs evidence-based practice. The protocol has been
written in line with the Preferred Reporting Items for Systematic Review and Meta
Analysis Protocols checklist. METHODS AND ANALYSIS: Electronic searches in OVID
SP versions of Medline and EMBASE, the Cochrane Central Register of Controlled
Trials and the Cochrane Database of Systematic Reviews from the start of indexing
to 30 April 2017 will identify studies reporting TORS. At least two independent
researchers will identify studies for inclusion. Two researchers will extract
data from each paper. Studies will be categorised into IDEAL stages of study
design from 'pre-IDEAL' to randomised controlled trials (stage 3). Data will be
collected about the (1) novel intervention and criteria for modification, (2)
governance arrangements and patient information provision, (3) outcome domains
selected and reported and (4) quality of study design, conduct and reporting.
Descriptive statistics and a narrative synthesis will be presented. ETHICS AND
DISSEMINATION: The results of this systematic review will be presented at
relevant conferences. The methods will be used to inform future reviews exploring
other novel surgical innovations. The findings will be published in a peer
reviewed journal. This study does not require ethical approval.
PMID- 29362266
TI - Patient-centred attitudes among medical students in Mali, West Africa: a cross
sectional study.
AB - BACKGROUND/OBJECTIVE: Patient-centred attitudes have been shown to decline during
medical training in high-income countries, yet little is known about attitudes
among West African medical students. We sought to measure student attitudes
towards patient-centredness and examine validity of the 18-item Patient
Practitioner Orientation Scale (PPOS) in this context. PARTICIPANTS/SETTING: 430
medical students in years 1, 3, 5 and 6 of a 6-year medical training programme in
Bamako, Mali. DESIGN: We conducted a cross-sectional survey, compared the
proportion of students who agreed with each PPOS item by gender and academic
year, and calculated composite PPOS scores. To examine psychometrics of the PPOS
and its two subscales ('sharing' and 'caring'), we calculated internal
consistency (Cronbach's alpha) and performed confirmatory and exploratory factor
analyses (CFA and EFA). RESULTS: In seven of the nine 'sharing' items, the
majority of students held attitudes favouring a provider-dominant style. For five
of the nine 'caring' items, the majority of student responded consistently with
patient-centred attitudes, while in the other four, responses indicated a disease
centred orientation. In eight items, a greater proportion of fifth/sixth year
students held patient-centred attitudes as compared with first year students;
there were few gender differences. Average PPOS scores indicated students were
moderately patient-centred, with more favourable attitudes towards the 'caring'
aspect than 'sharing'. Internal consistency of the PPOS was inadequate for the
full scale (alpha=0.58) and subscales ('sharing' alpha=0.37; 'caring'
alpha=0.48). CFA did not support the original PPOS factors and EFA did not
identify an improved structure. CONCLUSIONS: West African medical students
training in Bamako are moderately patient-centred and do not show the same
declines in patient-centred attitudes in higher academic years as seen in other
settings. Medical students may benefit from training in shared power skills and
in attending to patient lifestyle factors. Locally validated tools are needed to
guide West African medical schools in fostering patient-centredness among
students.
PMID- 29362267
TI - Parathyroid hormone analogues for fracture healing: protocol for a systematic
review and meta-analysis of randomised controlled trials.
AB - INTRODUCTION: Fracture healing is a complex physiological process. Impaired
healing will increase the need for care and cause serious complications. Thus,
identifying strategies to accelerate the rate of healing, preventing delayed
unions and non-unions, is essential. Parathyroid hormone (PTH) is a key systemic
regulator of calcium and phosphate metabolism. It has been determined that
intermittent administration of PTH and its analogue can exert anabolic effect on
bone, increase bone mass and reduce bone loss, leading to an increase in bone
formation. Owing to their anabolic effect, there is an increasing interest in its
potential in promoting the process of fracture healing. However, in clinical
studies, the results are in conflict. This objective of this study is to
determine the role of PTH analogues for fracture healing in adults. METHODS AND
ANALYSIS: MEDLINE, EMBASE and Cochrane databases will be searched to identify all
randomised controlled trials (RCTs) and quasi-RCTs that compare the different
effects between PTH analogues and any other treatments in adults with any type of
fracture. The primary outcome is the functional recovery. And the secondary
outcomes are fracture union and adverse events. The meta-analysis will be
performed using a random effects model. Heterogeneity will be assessed by the P
values and I2 statistic. And subgroup analyses and sensitivity analyses will be
used to explore the heterogeneity. Risk of bias will be assessed using the
Cochrane tool and the quality of evidence will be assessed using the Grading of
Recommendations Assessment, Development and Evaluation approach. ETHICS AND
DISSEMINATION: Ethical approval is not required because this proposed systematic
review and meta-analysis is based on published data, without including
confidential personal data or data on interventions on patients. The findings of
this study will be published in a peer-reviewed journaland presented at a
relevant conference. PROSPERO REGISTRATION NUMBER: CRD42017062093.
PMID- 29362268
TI - Expanded cardiac rehabilitation in socially vulnerable patients with myocardial
infarction: a 10-year follow-up study focusing on mortality and non-fatal events.
AB - OBJECTIVE: Cardiac rehabilitation (CR) has been shown to reduce cardiovascular
risk. A research project performed at a university hospital in Denmark offered an
expanded CR intervention to socially vulnerable patients. One-year follow-up
showed significant improvements concerning medicine compliance, lipid profile,
blood pressure and body mass index when compared with socially vulnerable
patients receiving standard CR. The aim of the study was to perform a long-term
follow-up on the socially differentiated CR intervention and examine the impact
of the intervention on all-cause mortality, cardiovascular mortality, non-fatal
recurrent events and major cardiac events (MACE) 10 years after. DESIGN:
Prospective cohort study. SETTING: The cardiac ward at a university hospital in
Denmark from 2000 to 2004. PARTICIPANTS: 379 patients aged <70 years admitted
with first episode myocardial infarction (MI). The patients were defined as
socially vulnerable or non-socially vulnerable according to their educational
level and their social network. A complete follow-up was achieved. INTERVENTION:
A socially differentiated CR intervention. The intervention consisted of standard
CR and additionally a longer phase II course, more consultations, telephone
follow-up and a better handover to phase III CR in the municipal sector, in
general practice and in the patient association. MAIN OUTCOME MEASURES: All-cause
mortality, cardiovascular mortality, non-fatal recurrent events and MACE.
RESULTS: There was no significant difference in all-cause mortality (OR: 1.29,
95% CI 0.58 to 2,89), cardiovascular mortality (OR: 0.80, 95% CI 0.31 to 2.09),
non-fatal recurrent events (OR:1.62, 95% CI 0.67 to 3.92) or MACE (OR: 1.31, 95%
CI 0.53 to 2.42) measured at 10-year follow-up when comparing the expanded CR
intervention to standard CR. CONCLUSIONS: Despite the significant results of the
socially differentiated CR intervention at 1-year follow-up, no long-term effects
were seen regarding the main outcome measures at 10-year follow-up. Future
research should focus on why it is not possible to lower the mortality and
morbidity significantly among socially vulnerable patients admitted with first
episode MI.
PMID- 29362269
TI - PlenadrEMA: effect of dual-release versus conventional hydrocortisone on fatigue,
measured by ecological momentary assessments: a study protocol for an open-label
switch pilot study.
AB - INTRODUCTION: Patients with adrenal insufficiency have impaired health-related
quality of life (QoL). The dual-release hydrocortisone preparation, Plenadren,
has been developed to mimic the physiological cortisol release more closely than
conventional hydrocortisone treatment. Plenadren has been shown to improve QoL,
in particular fatigue, in patients with primary adrenal insufficiency. However,
the effect has not been investigated in patients with secondary adrenal
insufficiency; furthermore, no study has taken the diurnal variation of fatigue
into account. To assess diurnal variations, it is necessary to use repeated daily
measurements, such as ecological momentary assessments (EMAs). This study aims to
evaluate EMAs of fatigue as outcome in future large-scale randomised clinical
trials. METHODS AND ANALYSIS: The PlenadrEMA trial is an investigator-initiated
open-label switch pilot trial of the effect of Plenadren versus conventional
hydrocortisone on fatigue in patients with secondary adrenal insufficiency. The
trial will include 30 participants. After 5 weeks on their usual hydrocortisone
treatment, patients will be shifted to Plenadren for 16 weeks. Fatigue will be
assessed using momentary versions of the Multidimensional Fatigue Inventory (MFI
20). Items will be administered to participants via a smartphone application four
times daily during 20 days. Assessments will be performed before treatment shift
and repeated after 12.5 weeks on Plenadren. The study will identify the best
suited outcome for future randomised clinical trials, and in addition, estimate
the variability and difference in fatigue between the two treatments to perform
power calculations. ETHICS AND DISSEMINATION: The trial will be conducted in
accordance with the Declaration of Helsinki and has been approved by the Regional
Scientific Ethical Committee in Copenhagen (ID: H-1-2014-073). All patients will
receive written and verbal information about the trial and will give informed
consent before enrolment. Findings will be published in peer-reviewed journals
and presented at international conferences. TRIAL REGISTRATION NUMBER:
EudraCT201400203932.
PMID- 29362270
TI - Inversed relationship between completeness of follow-up and coverage of
postoperative complications in gallstone surgery and ERCP: a potential source of
bias in patient registers.
AB - OBJECTIVE: To analyse the completeness in GallRiks of the follow-up frequency in
relation to the intraoperative and postoperative outcome. DESIGN: Population
based register study. SETTING: Data from the national Swedish Registry for
Gallstone Surgery and Endoscopic Retrograde Cholangiopancreatography (ERCP),
GallRiks. POPULATION: All cholecystectomies and ERCPs recorded in GallRiks
between 1 January 2006 and 31 December 2014. MAIN OUTCOME MEASURES: Outcomes for
intraprocedural as well as postprocedural adverse events between units with
either a 30-day follow-up of >=90% compared with those with a less frequent
follow-up (<90%). RESULTS: Between 2006 and 2014, 162 212 cholecystectomies and
ERCP procedures were registered in GallRiks. After the exclusion of non-index
procedures and those with incomplete data 152 827 procedures remained for final
analyses. In patients having a cholecystectomy, there were no differences
regarding the adverse event rates, irrespective of the follow-up frequency.
However, in the more complicated endoscopic ERCP procedures, the postoperative
adverse event rates were significantly higher in those with a more frequent and
complete 30-day follow-up (OR 1.92; 95% CI 1.76 to 2.11). CONCLUSIONS:
Differences in the follow-up frequency in registries affect the reported outcomes
as exemplified by the complicated endoscopic ERCP procedures. A high and complete
follow-up rate shall serve as an additional quality indicator for surgical
registries.
PMID- 29362271
TI - Does vitamin D supplementation improve bone density in vitamin D-deficient
children? Protocol for an individual patient data meta-analysis.
AB - INTRODUCTION: Our previous study-level (aggregate data) meta-analysis suggested
that vitamin D supplements may be beneficial for bone density specifically in
children with vitamin D deficiency. However, the misclassification of vitamin D
status inherent in study-level data means that the results are not definitive and
cannot provide an accurate assessment of the size of any effect. Therefore, we
propose to undertake an individual patient data (IPD) meta-analysis to determine
whether the effect of vitamin D supplementation on bone density in children
differs according to baseline vitamin D status, and to specifically estimate the
effect of vitamin D in children who are vitamin D deficient. METHODS AND
ANALYSIS: This study has been designed to adhere to the Preferred Reporting Items
for Systematic Review and Meta-Analyses of IPD statement. We will include
randomised placebo-controlled trials of vitamin D supplementation reporting bone
density outcomes at least 6 months after the study commenced in children and
adolescents (aged <20 years) without coexistent medical conditions or treatments
causing osteoporosis. We will update the search of the original review to cover
the period 2009-2017, using the same methods as the original review. Fully
anonymised data on all randomised patients will be requested. Outcomes will be
femoral neck, total hip, lumbar spine and proximal and distal forearm bone
mineral density, and total body bone mineral content. A two-stage IPD meta
analysis will be used to examine the effect of baseline serum 25-hydroxyvitamin D
(25(OH)D) on treatment effect for each bone density outcome. Restricted maximum
likelihood will be used to estimate the random-effects meta-analysis models, with
95% CI for summary effects. Heterogeneity will be assessed by I2 and potential
publication bias (small-study effects) and availability bias by funnel plots,
Egger's test and Peter's test. ETHICS AND DISSEMINATION: Ethics approval will not
be required as the data are to be used for the primary purpose for which they
were collected and all original individual studies had ethics approval. Results
of the IPD meta-analysis will be submitted for publication in a peer-reviewed
journal. PROSPERO REGISTRATION NUMBER: CRD42017068772.
PMID- 29362273
TI - How does the outcome of research training fellowships funded via the NHS compare
with that from competitively funded fellowships from the MRC and other charities:
a cross-sectional retrospective survey of trainees undertaking research training
in the West Midlands.
AB - OBJECTIVES: This study aimed to investigate the impact of research training
funded via the National Health Service (NHS) on medical trainees compared with
traditional clinical research training fellowships (CRTFs). DESIGN, SETTING AND
PARTICIPANTS: Online survey of 221 clinical trainees who had completed a period
of research during their clinical training between 2009 and 2015 in the West
Midlands. MAIN OUTCOME MEASURES: Research outcomes. RESULTS: Overall response
rate was 59%, of whom 72 participants were funded by CRTFs and 51 funded by the
NHS. Although participants with CRTFs were more likely to be awarded a higher
degree compared with those on NHS-administered funding (66/72 CRTFs and 37/51
NHS, P=0.005), similar proportions of NHS-funded and CRTF-funded participants
entered clinical lecturer posts on completing initial research training (8/51 NHS
and 16/72 CRTF, P=0.37). 77% of participants had three or more publications (CRTF
57 and NHS 39, P=0.72). 57 participants had completed clinical training; similar
proportions of CRTF-funded and NHS-funded trainees had research included in their
consultant contract (12/22 NHS and 14/26 CRTF, P=0.96) or were appointed to
academic posts (3 of 25 NHS funded and 6 of 32 CRTF, P>0.05). 95% of participants
would recommend to colleagues and 82% of participants felt the research
experience improved their provision of clinical care with no difference between
CRTF-funded and NHS-funded participants (P=0.49). Continuing to participate in
clinical work during the research reduced reports of trainee difficulty on
returning to clinical work (23/108 continued clinical work vs 12/22 no clinical
work, P=0.001). CONCLUSION: Research training funded by the NHS provides a
quality experience and contributes to the clinical academic capacity within the
UK. More needs to be done to support NHS participants to successfully achieve a
higher degree.
PMID- 29362272
TI - What fluids are given during air ambulance treatment of patients with trauma in
the UK, and what might this mean for the future? Results from the RESCUER
observational cohort study.
AB - OBJECTIVES: We investigated how often intravenous fluids have been delivered
during physician-led prehospital treatment of patients with hypotensive trauma in
the UK and which fluids were given. These data were used to estimate the
potential national requirement for prehospital blood products (PHBP) if evidence
from ongoing trials were to report clinical superiority. SETTING: The Regional
Exploration of Standard Care during Evacuation Resuscitation (RESCUER)
retrospective observational study was a collaboration between 11 UK air ambulance
services. Each was invited to provide up to 5 years of data and total number of
taskings during the same period. PARTICIPANTS: Patients with hypotensive trauma
(systolic blood pressure <90 mm Hg or absent radial pulse) attended by a doctor.
PRIMARY AND SECONDARY OUTCOME MEASURES: The primary outcome was the number of
patients with hypotensive trauma given prehospital fluids. Secondary outcomes
were types and volumes of fluids. These data were combined with published data to
estimate potential national eligibility for PHBP. RESULTS: Of 29 037 taskings,
729 (2.5%) were for patients with hypotensive trauma attended by a physician.
Half were aged 21-50 years; 73.4% were male. A total of 537 out of 729 (73.7%)
were given fluids. Five hundred and ten patients were given a single type of
fluid; 27 received >1 type. The most common fluid was 0.9% saline, given to
486/537 (90.5%) of patients who received fluids, at a median volume of 750 (IQR
300-1500) mL. Three per cent of patients received PHBP. Estimated projections for
patients eligible for PHBP at these 11 services and in the whole UK were 313 and
794 patients per year, respectively. CONCLUSIONS: One in 40 air ambulance
taskings were manned by physicians to retrievepatients with hypotensive trauma.
The most common fluid delivered was 0.9% saline. If evidence justifies universal
provision of PHBP, approximately 800 patients/year would be eligible in the UK,
based on our data combined with others published. Prospective investigations are
required to confirm or adjust these estimations.
PMID- 29362274
TI - Effect of differentiating exercise guidance based on a patient's level of low
back pain in primary care: a mixed-methods systematic review protocol.
AB - INTRODUCTION: Low back pain (LBP) is one of the health conditions that lead to
the most disability worldwide. Guidelines aimed at management of LBP recommend
non-invasive and non-pharmacological management, including patient education,
advice to stay active and exercise therapy; however, the guidelines offer no
recommendation as to the allowable level of pain during exercise or how specific
levels of pain should be reflected in the stage and progression of exercises or
activities. The purpose of this review is to study the effect of differentiation
of exercise guidance based on the level of LBP in patients in primary care.
METHODS AND ANALYSIS: A systematic search will be performed on PubMed, EMBASE,
The Cumulative Index to Nursing and Allied Health Literature (CINAHL), PsycINFO,
Physiotherapy Evidence Database (PEDRO), Cochrane and PROSPERO from their
inception until September 2017. Published peer-reviewed human experimental and
observational studies with quantitative or qualitative designs will be included.
Two independent reviewers will identify papers by reviewing titles and abstracts.
Papers passing the initial selection will be appraised by two reviewers, based on
their full texts. Furthermore, the reference lists of included studies will be
snowballed for identification of other relevant studies. Data will be extracted
using a standard extraction sheet by two independent reviewers. Disagreements
will be resolved by discussion and consensus with a third reviewer. The
methodological quality of studies will be assessed using the Grading of
Recommendations, Assessment, Development and Evaluation risk of bias tool, or the
Critical Appraisal Skills Programme. Results will be reported narratively. Search
histories will be documented on EndNote X8 (Clarivate Analytics). ETHICS AND
DISSEMINATION: Ethical approval for this review was not required as primary data
will not be collected. The results will be disseminated through a peer-reviewed
international journal and conference presentations. PROSPERO REGISTRATION NUMBER:
CRD42017074880.
PMID- 29362275
TI - Investigation assessing the publicly available evidence supporting postmarketing
withdrawals, revocations and suspensions of marketing authorisations in the EU
since 2012.
AB - OBJECTIVES: To assess the sources of publicly available evidence supporting
withdrawal, revocation or suspension of marketing authorisations ('regulatory
actions') due to safety reasons in the EU since 2012 and to investigate the time
taken since initial marketing authorisation to reach these regulatory decisions.
SETTING: This investigation examined the sources of evidence supporting 18
identified prescription medicinal products which underwent regulatory action due
to safety reasons within the EU in the period 1 July 2012 to 31 December 2016.
RESULTS: Eighteen single or combined active substances ('medicinal products')
withdrawn, revoked or suspended within the EU for safety reasons between 2012 and
2016 met the inclusion criteria. Case reports were most commonly cited,
supporting 94.4% of regulatory actions (n=17), followed by randomised controlled
trial, meta-analyses, animal and in vitro, ex vivo or in silico study designs,
each cited in 72.2% of regulatory actions (n=13). Epidemiological study designs
were least commonly cited (n=8, 44.4%). Multiple sources of evidence contributed
to 94.4% of regulatory decisions (n=17). Death was the most common adverse drug
reaction leading to regulatory action (n=5; 27.8%), with four of these related to
medication error or overdose. Median (IQR) time taken to reach a decision from
the start of regulatory review was found to be 204.5 days (143, 535 days) and
decreased across the study period. Duration of marketing prior to regulatory
action, from the medicinal product's authorisation date, increased across the
period 2012-2016. CONCLUSIONS: The sources of evidence supporting
pharmacovigilance regulatory activities appear to have changed since
implementation of Directive 2010/84/EU and Regulation (EU) No. 1235/2010. This,
together with a small improvement in regulatory efficiency, suggests progress
towards more rapid regulatory decisions based on more robust evidence. Future
research should continue to monitor sources of evidence supporting regulatory
decisions and the time taken to reach these decisions over time.
PMID- 29362276
TI - Interdisciplinary collaboration across secondary and primary care to improve
medication safety in the elderly (IMMENSE study): study protocol for a randomised
controlled trial.
AB - INTRODUCTION: Drug-related problems (DRPs) are common in the elderly, leading to
suboptimal therapy, hospitalisations and increased mortality. The integrated
medicines management (IMM) model is a multifactorial interdisciplinary
methodology aiming to optimise individual medication therapy throughout the
hospital stay. IMM has been shown to reduce readmissions and drug-related
hospital readmissions. Using the IMM model as a template, we have designed an
intervention aiming both to improve medication safety in hospitals, and
communication across the secondary and primary care interface. This paper
presents the study protocol to explore the effects of the intervention with
regard to healthcare use, health-related quality of life (HRQoL) and medication
appropriateness in elderly patients. METHODS AND ANALYSIS: A total of 500
patients aged >=70 years will be included and randomised to control (standard
care) or intervention group (1:1). The intervention comprises five steps mainly
performed by pharmacists: (1) medication reconciliation at admission, (2)
medication review during hospital stay, (3) patient counselling about the use of
medicines, (4) a comprehensible and patient-friendly medication list with
explanations in discharge summary and (5) postdischarge phone calls to the
primary care level. The primary outcome is the difference between intervention
and control patients in the rate of emergency medical visits (acute readmissions
and visits to emergency department) 12 months after discharge. Secondary outcomes
include length of index hospital stay, time to first readmission, mortality, hip
fractures, strokes, medication changes, HRQoL and medication appropriateness.
Patient inclusion started in September 2016. ETHICS AND DISSEMINATION: The trial
was approved by the Norwegian Centre for Research Data and the Norwegian Data
Protection Authority. We aim to publish the results in international peer
reviewed open access journals, at national and international conferences, and as
part of two PhD theses. TRIAL REGISTRATION NUMBER: NCT02816086.
PMID- 29362277
TI - Feeding amount significantly alters overt tumor onset rate in a zebrafish
melanoma model.
AB - The manner in which zebrafish are fed may have important impacts on the behavior
of disease models. We examined the effect of different feeding regimens on the
rate of overt melanoma tumor onset in a p53/BRAF-dependent model, a commonly used
read-out in this and many other cancer models. We demonstrate that increased
feeding leads to more rapid melanoma onset. The ability to modulate overt tumor
onset rates with this regimen indicates additional flexibility to 'tune' the
system to more quickly generate tumors for study and to begin to address
questions related to cancer metabolism using the zebrafish model.
PMID- 29362278
TI - Compensation for chronic oxidative stress in ALADIN null mice.
AB - Mutations in the AAAS gene coding for the nuclear pore complex protein ALADIN
lead to the autosomal recessive disorder triple A syndrome. Triple A patients
present with a characteristic phenotype including alacrima, achalasia and adrenal
insufficiency. Patient fibroblasts show increased levels of oxidative stress, and
several in vitro studies have demonstrated that the nucleoporin ALADIN is
involved in both the cellular oxidative stress response and adrenal
steroidogenesis. It is known that ALADIN knock-out mice lack a phenotype
resembling human triple A syndrome. The objective of this study was to determine
whether the application of chronic oxidative stress by ingestion of paraquat
would generate a triple A-like phenotype in ALADIN null mice. Adult male mice
were fed either a paraquat (0.25 g/kg diet) or control diet for 11 days. After
application of chronic oxidative stress, ALADIN knock-out mice presented with an
unexpected compensated glutathione metabolism, but lacked a phenotype resembling
human triple A syndrome. We did not observe increased levels of oxidative stress
and alterations in adrenal steroidogenesis in mice depleted for ALADIN. This
study stresses the species-specific role of the nucleoporin ALADIN, which in mice
involves a novel compensatory mechanism for regulating the cellular glutathione
redox response.
PMID- 29362279
TI - [Surgical Technique for Lumbar Spinal Extraforaminal Schwannoma].
AB - INTRODUCTION: Spinal neurinomas are frequently observed in the cauda equina,
particularly within the dura mater. However, extraforaminal schwannomas(EFS),
which are neither intradural nor dumbbell type, are relatively rare; hence,
different surgical procedures have been employed. Here, we report 12 cases of
lumbar EFS with total resection that were safely performed. METHODS AND SUBJECTS:
This study was comprised of 12 patients with lumbar EFS(excluding those with
neurofibromas)who were previously treated at our hospital. Using the Wiltse
paraspinal approach, we inserted the microscope until it reached the tumor
surface. After confirming the tumor surface, we inserted a scalpel to make an
incision in a portion of the outer capsule. Then, we confirmed that the surfaces
of the outer capsule and the tumor within the capsule could be dissected. Next, a
thread was attached to the outer capsule and pulled upwards so that there was
enough space to perform the required procedures within the capsule. Using a
CUSA(r), we removed the intracapsular tumor. After its removal, the
outer capsule was treated carefully to ensure that the origin nerve was not
damaged. RESULTS: In all cases, we successfully performed a total removal of the
intracapsular tumor;in the mean postoperative observation period of 8 years, no
recurrence was observed. Although temporary paralysis was observed in three
patients, this improved over time. CONCLUSION: We report our experience with a
surgical technique that ensured the safe removal of lumbar EFS. Satisfactory
results were achieved, with no recurrences observed during the mean 8-year
postoperative observation period.
PMID- 29362280
TI - [Magnetic Resonance Imaging Conditions for Imaging of the Tarsal Tunnel].
AB - BACKGROUND: Tarsal tunnel syndrome(TTS)is an entrapment neuropathy of the
posterior tibial nerve within the tarsal tunnel below the medial malleolus. An
accurate diagnosis is difficult, and TTS is usually diagnosed from clinical
symptoms due to the lack of accurate diagnostic tools. We aimed to standardize
the diagnosis of TTS using MRI, and report the MRI conditions for clear
visualization of the tarsal tunnel. METHODS: We investigated which sequences and
MRI conditions would be appropriate for the imaging of the tarsal tunnel in a
healthy volunteer. As in routine brain MRI, the imaging time was within 15
minutes. We also performed an MRI study of the tarsal tunnel in two patients with
TTS. RESULTS: Axial images obtained by fat-suppression 3-dimensional
T2*-weighted imaging(3D-T2*WI)are the most useful for
visualization of the tarsal tunnel. The axial images obtained by T2-weighted
imaging(T2WI)and T1-weighted imaging(T1WI)were also useful for visualization of
the area around the flexor retinaculum. The appropriate slice thickness was
determined to be 1.5 mm, based on the resolution and photographic time. The flip
angle, necessary for tissue resolution, was set at 15 degrees because it
provided the clearest image and highest contrast between different tissues. The
total photographic time was within 14 minutes, and it is acceptable for routine
MRI studies of TTS. In the two cases of TTS included in this study, the tarsal
tunnel was clearly visible. CONCLUSIONS: For diagnosis of TTS using MRI, axial
images obtained by fat-suppression 3D-T2*WI, 2-dimensional(2D)-T2WI,
and 2D-T1WI are recommended. A coronal image obtained by reconstruction of fat
suppression 3D-T2*WI might be useful for anatomical understanding. In
future studies, we plan to evaluate patients with TTS using the above protocol.
PMID- 29362281
TI - [Takotsubo Cardiomyopathy and Neurogenic Pulmonary Edema Following Fibrinolytic
Therapy for Embolic Stroke:A Case Report].
AB - A 79-year-old man presented with left hemiparesis and disturbance of
consciousness. Brain magnetic resonance(MR)imaging revealed an infarction in the
right insular cortex. MR angiography showed a defect in the inferior trunk of the
right middle cerebral artery. The patient was treated with alteplase about 2.5 h
after onset. Immediately after the intravenous alteplase administration, the
hemiparesis improved. However, his respiratory condition unexpectedly worsened 10
h after onset. Chest radiography demonstrated an infiltrative shadow in both lung
fields. Transthoracic echocardiogram showed a dysfunction in the left ventricle
and no contraction at the apex of the heart, consistent with a type of
cardiomyopathy, known as takotsubo cardiomyopathy(TCM). Gradually, the patient's
respiratory and cardiac function improved. Here, we describe a very rare case of
TCM and neurogenic pulmonary edema(NPE)following an acute cerebral infarction,
which was treated with alteplase intravenous administration. TCM and NPE have a
poor prognosis, therefore diagnosis, management, and treatment in the acute phase
is required.
PMID- 29362282
TI - [A Ruptured Middle Cerebral Artery Dissecting Aneurysm Operation in a 2-Year-Old
Boy].
AB - We report the case of a ruptured dissecting aneurysm in an infant. A 2-year-old
boy suffered sudden headache and nausea. CT scan revealed a subarachnoid
hemorrhage, and digital subtraction angiography(DSA)revealed a dissecting
aneurysm(3.9mm)at a branch of the right middle cerebral artery(MCA). Eight days
after onset, carotid angiography revealed enlargement of the aneurysm(up to 6.8
mm). Right frontotemporal craniotomy and aneurysm trapping with STA-MCA bypass
was performed. Two years and two months later, the aneurysm recurred as assessed
by magnetic resonance angiography(MRA). DSA revealed the recurrence of the
aneurysm(7.8mm)proximal to the previous aneurysm and displayed development of
collateral flow through leptomeningeal anastomosis between the branches of the
MCA. During the second operation, the aneurysm extended to the artery branch
proximal to the previous trapping. Parent artery occlusion without bypass was
performed. Postoperative DSA revealed collateral flows through leptomeningeal
anastomosis between the branch of the MCA and the branch of anterior cerebral
artery. At the 3-year follow-up period, abnormal findings on MRI did not appear
and he was healthy without any neurologic abnormalities.
PMID- 29362283
TI - [A Case of Primary Intracranial Epithelioid Hemangioendothelioma].
AB - Epithelioid hemangioendotheliomas(EHEs)most commonly occur in the liver, lung,
bone, and soft tissues, but rarely in the brain. Here, we describe a case of
primary intracranial epithelioid hemangioendothelioma manifested by an epileptic
attack. A 53-year-old woman with no previous convulsive history presented at our
hospital after experiencing a seizure. Magnetic resonance imaging revealed a mass
in her right frontal lobe, with edema, which was well enhanced with gadolinium.
Systemic computed tomography, on the other hand, did not show any tumor
involvement in other organs. The patient underwent surgery, and a complete
resection of the intracranial tumor was successfully performed. The histological
diagnosis was an EHE. There was no evidence of tumor recurrence either in the
brain or other organs at 3 years and 7 months after surgery. Because of its
rarity, the exact prognosis of patients with an intracranial EHE has not been
clearly established. Moreover, tumor recurrence following complete resection has
been reported and thus regular follow-up examinations are considered necessary.
PMID- 29362284
TI - [Endarterectomy for Internal Carotid Artery Occlusion, with an Aberrant Branch of
the Internal Carotid Artery Maintaining Blood Flow Distal to the Complete
Occlusion:A Case Report].
AB - Doppler sonography accurately identifies occlusion of the internal carotid
artery(ICA)and current surgical guidelines do not list an occluded ICA as an
indication for carotid endarterectomy(CEA). We encountered an unusual case, for
which we performed CEA. The left ICA was occluded by atherosclerosis, and was
reconstituted via an aberrant branch of the occipital artery. A 71-year-old man
was referred following brain infarction. Carotid duplex
sonography(CDS)demonstrated occlusion of his left ICA, with flow in the distal
ICA beyond the occlusion("Sandwich stump sign"). 3D computed tomography
angiography and cervical angiography diagnosed ICA occlusion with flow in the
distal ICA, and the patient underwent CEA. Careful evaluation is required when
apparent occlusion of the ICA is detected to avoid overlooking a flow pattern
beyond the occlusion and to determine whether repair is possible.
PMID- 29362285
TI - [Rapid Recanalization of Cerebral Venous Sinus Thrombosis Secondary to Severe
Dehydration:A Case Report].
AB - A 41-year-old healthy man presented with sudden disturbance of consciousness and
convulsion during a marathon. Computed tomography revealed a lateral sinus sign
in the posterior fossa, and magnetic resonance(MR)venography revealed a flow gap
in the posterior part of the superior sagittal sinus and bilateral transverse
sinus, although the other MR image sequences were normal. Laboratory data
revealed dehydration, acute kidney failure, and rhabdomyolysis. Owing to
immediate correction of dehydration, clinical symptoms and radiological
abnormality improved rapidly. Cerebral venous sinus thrombosis(CVST)is a rare
condition, accounting for <1% of all stroke cases. In all cases, CVST cannot
cause cerebral infarction or hemorrhagic change but in any case, may present a
transient ischemic attack-like event because of rapid spontaneous recanalization.
Therefore, CVST may occur more frequently than expected.
PMID- 29362286
TI - [Bilateral Internal Carotid Artery Dissection Caused by Elongated Styloid
Processes:A Case Report].
AB - We report a case of bilateral internal carotid artery(ICA)dissection associated
with bilateral elongated styloid processes(ESPs). A 46-year-old man presented
with transient aphasia and left visual disturbance at a business meeting. He
complained of a foreign body sensation in his throat during swallowing for two
years. Magnetic resonance imaging(MRI)demonstrated fresh small infarcts in the
left corona radiata. Magnetic resonance angiography(MRA)revealed string signs
bilaterally in the cervical ICAs. The patient was diagnosed with bilateral
idiopathic ICA dissection and was treated with ozagrel and clopidogrel. Three
dimensional computed tomographic angiogram(3DCTA)indicated bilateral ESPs and
bilateral ICA stenosis. 3DCTA with the patient's head tilting and neck extension
revealed that each ICA was compressed by the ipsilateral ESP. A follow-up MRA
showed complete normalization of bilateral ICAs after neck rest and anti-platelet
therapy, following which, clopidogrel was stopped. The patient wore a soft
cervical collar until the operation, to avoid contact between the ESPs and ICAs
due to changes in head position. Bilateral ESP resection was performed to prevent
recurrence of cerebral ischemic events caused by ICA dissection. The patient was
discharged one week after the surgery without any neurological deficit. There was
no recurrence of symptoms during the next eight months after the operation.
PMID- 29362287
TI - [A Case of Traumatic Occipital Artery Pseudoaneurysm Followed by Hemorrhagic
Shock].
PMID- 29362288
TI - [(1)Detection of Epileptic Focus Using Electroencephalography-Functional Magnetic
Resonance Imaging(EEG-fMRI)].
PMID- 29362289
TI - Cross-organelle communication at the core of longevity.
PMID- 29362290
TI - The neuronal Shc adaptor in Alzheimer's Disease.
PMID- 29362291
TI - Stem cell depletion by inflammation-associated miR-155.
PMID- 29362292
TI - High-performance electrochemical glucose sensing enabled by Cu(TCNQ) nanorod
array.
AB - It is highly attractive to construct stable enzyme-free glucose sensors based on
three-dimensional direct electrochemical detection of glucose. In this paper, a
copper 7,7,8,8-tetracyanoquinodimethane (Cu(TCNQ)) nanorod array on Cu foam
(Cu(TCNQ) NA/CF) is proposed as an efficient catalyst for electrochemical glucose
oxidation in alkaline conditions. When Cu(TCNQ) NA/CF was used as the enzyme-free
sensory of glucose, the sensor showed a response time within 3 s, a wide linear
detection in the range 0.001-10.0 mM, the minimum limit of detection was as low
as 10 nM (S/N = 3), and it had a high sensitivity of 26 987 MUA mM-1 cm-2.
Moreover, this sensor also possesses long-term stability, high selectivity,
reproducibility, and actual applications for fresh human serum sample analysis is
also successfully accepted.
PMID- 29362293
TI - Self-neutralizing PLGA/magnesium composites as novel biomaterials for tissue
engineering.
AB - Controlling acidic degradation of biodegradable polyesters remains a major
clinical challenge. This work presents a simple and effective strategy of
developing polyester composites with biodegradable magnesium metal or alloys.
PLGA samples with compositions of 1, 3, 5, and 10 wt% magnesium were produced
using a simple solvent-casting method, which resulted in composite films with
near uniform Mg metal/alloy particle dispersion. Degradation study of the
composite films showed that all compositions higher than 1 wt% magnesium were
able to extend the duration of degradation, and buffer acidic pH resulting from
PLGA degradation. PLGA composite with 5 wt% of magnesium showed near-neutral
degradation pattern under sink conditions. Magnesium addition also showed
improved mechanical characteristics in terms of the tensile modulus. In vitro
experiments conducted by seeding PLGA composites with MC3T3-E1 pre-osteoblasts
demonstrated increased ALP expression and cellular mineralization. The
established new biodegradable polymer-metal system provides a useful biomaterial
platform with a wide range of applications in biomedical device development and
scaffold-based tissue engineering.
PMID- 29362294
TI - Prevalence of sleep quality disorder among Iranian drivers: a systematic review
and meta-analysis.
AB - BACKGROUND: Sleep Quality Disorder (SQD) plays a major role in road accidents.
So, this study was carried out to determine the prevalence of SQD among
occupational drivers using systematic review and meta-analysis in Iran. METHODS:
All Persian and English articles between January, 2000 and October, 2015 which
had reported the SQD prevalence in Iranian drivers by Pittsburgh Sleep Quality
Index (PSQI) with cross-sectional design, after the quality evaluation process
and achieving the required score were selected. The heterogenic index of the
studies was distinguished by using Cochran (Q) and I2 tests. Based on
heterogeneity results, a random effects model was used to estimate pooled
prevalence of SQD. Meta-regression was also used to investigate the heterogeneity
of suspected factors. RESULTS: In total, 936 articles were found from national
and international databases. Ten articles entered to meta-analysis process,
ultimately. Since heterogeneity index suggested that there is a considerable
heterogeneity among the results of primary studies (I-squared = 98.8%, Q= 754.1,
p less than 0.001), the overall estimation of SQD among Iranian drivers was
conducted using random-effects model and its rate was estimated to be 53.4% (95%
CI: 38.9-67.8). CONCLUSIONS: Our study demonstrated that more than half of
Iranian drivers have SQD. Identifying the drivers with SQD by periodic
examinations and providing advice and health care among occupational drivers
could be appropriate solutions for decreasing the accident risks.
PMID- 29362296
TI - [Epigenetic Alterations in Gastrointestinal Cancers: Diagnostic and Therapeutic
Applications].
AB - Epigenetic alterations, represented byaberrant DNA methylation, are present in
gastrointestinal cancers. Detection of cancer- specific DNA methylation can be
used to detect cancer, and detection of colon cancer-specific aberrant DNA
methylation is alreadyapproved byFDA. Aberrant DNA methylation is potentlyinduced
bychronic inflammation, and also is accumulated in normal tissues before a cancer
develops. In gastric cancer, potential of cancer risk diagnosis bymeasurement of
aberrant DNA methylation in normal gastric mucosae has been clinically
demonstrated. Therapeutically, DNA demethylating agents have been alreadyapproved
for hematological tumors byFDA, and promising results in clinical trials against
gastrointestinal cancers have been reported. Also, histone deacetylase inhibitors
have been already approved for hematological tumors, and clinical trials against
gastrointestinal cancers have been reported. Selection of right dose, schedule,
combination, and patients is the keyto future success.
PMID- 29362295
TI - Epidemiology and history of knee injury and its impact on activity limitation
among football premier league professional referees.
AB - BACKGROUND: The purpose of this study was to determine the epidemiology and
history of knee injury and its impact on activity limitation among football
premier league professional referees in Iran. METHODS: This was a descriptive
study. 59 Football Premier League professional referees participated in the
study. The knee injury related information such as injury history and mechanism
was recorded. Injury related symptoms and their impacts on the activity
limitation, ability to perform activities of daily living as well participation
in sports and recreational activities was obtained through the Knee Outcome
Survey (KOS). RESULTS: The results indicated that 31 out of 59 participants
reported the history of knee injury. In addition, 18.6%, 22.4% and 81% of the
referees reported that they had been injured during the last 6 months of the last
year, and at some point in their refereeing careers, respectively. Results
further indicated that 48.8% of the injuries occurred in the non-dominant leg and
they occurred more frequently during training sessions (52%). Furthermore, the
value of KOS was 85 +/- 13 for Activities of Daily Living subscale and 90 +/- 9
for Sports and Recreational Activities subscale of the KOS. CONCLUSIONS: Knee
injury was quite common among the Football Premier League professional referees.
It was also indicated that the injuries occurred mainly due to insufficient
physical fitness. Therefore, it is suggested that football referees undergo the
proper warm-up program to avoid knee injury.
PMID- 29362297
TI - [The Risk Factors of Cancer Chemotherapy in Elderly Patients].
AB - The aging rate in Japan is the highest in the world, and it is entering a very
aging society that has never happened before. The first cause of death is a
malignant neoplasm, and opportunities of the treatment for elderly cancer
patients are rapidly increasing. The elderly have increased chronic diseases and
complications with aging, and the adverse event in medication therapy also
increases. Also, the form of medical provision is diversified, home medical care
and nursing care are recommended. Therefore, it is important to appreciate the
various aspects including psychophysiological, living, social aspects in addition
to changes in physical function caused by aging and appropriately evaluate them,
for selecting treatment methods in elderly cancer patients. Geriatric
assessment(GA)is recommended for this evaluation, and it is expected to
contribute to improvement of treatment outcome and quality of life(QOL). In this
article, we will outline the role of aged general comprehensive functional
evaluation in elderly cancer treatment and the problems of chemotherapy in the
elderly.
PMID- 29362298
TI - [Risk Assessment of Chemotherapy for the Elderly Patients with Gastrointestinal
Cancer].
AB - The basic principles in the chemotherapeutic treatment of elderly colorectal
cancer patients are similar to those of young people, but because of age-related
organ function deterioration and comorbidities that are involved in life
prognosis, there are risks of side effects in drug therapy. Considering the
influence on the quality of life(QOL)is necessary. In the treatment guidelines,
recommended initial chemotherapeutic options for unresectable colorectal cancer
depends on whether the patient is appropriate for intensive therapy, but there
are no criteria on how to divide. International Society of Geriatric Oncology
(SIOG)recommend screening tool, i. e. G8, is recommended to identify those
patients in need of comprehensive geriatric assessment(CGA). If abnormal,
screening should be followed by CGA. Further researches are ongoing to build
clinical pathways using screening tool and CGA.
PMID- 29362299
TI - [Risk Assessment of Hematologic Malignancy Treatment of the Elderly].
AB - Along with the aging society in Japan, the number ofelderly cancer patients is
increasing, and hematological malignancy is no exception. Treatment
ofhematological malignancy is mainly chemotherapy and furthermore it is necessary
to keep its dose intensity. In the elderly, adverse events may be strong due to
deterioration oforgan function, comorbidity, etc., and it is difficult for
individual differences to decide chemotherapy regimen and dose. Geriatric
assessment(GA)used in the field of geriatric medicine is reported to be useful
for risk assessment of chemotherapy. The usefulness of GA is also shown in
hematological malignancy. In non-Hodgkin's lymphoma, attempts have been made to
determine the treatment method by stratifying the risk using the results of GA.
PMID- 29362300
TI - [Prognostic Value of Geriatric Screening Tools in Elderly Cancer Patients].
AB - The elderly population is heterogeneous. Chronological age alone does not reflect
heterogeneity in the aging process. It is recommended that elderly cancer
patients should be evaluated for some form of geriatric assessment(GA)to detect
problems, to predict treatment-related toxicities, to predict functional decline,
to predict prognosis, and to assist in cancer treatment decisions. It was
reported that functional status, nutritional status, mental status, polypharmacy,
and comorbidity were independent prognostic factors for survival in elderly
cancer patients. Although a full GA is valuable, it is time-consuming. Therefore,
in a busy practice, geriatric screening tools are useful to identify patients in
need of further evaluation using a full GA. Assessment for screening tools takes
a few minutes. Some screening tools such as Geriatric 8(G8), Vulnerable Elders
Survey-13(VES-13), Groningen Frailty Indicator(GFI), and Flemish version of the
Triage Risk Screening Tool(fTRST)have prognostic value for survival. These
screening tools may help physicians make informed treatment decisions in daily
practice.
PMID- 29362301
TI - [Genitourinary Tumor Four Questions about Bladder Cancer].
PMID- 29362302
TI - [I .Recent Trends in Bladder Cancer Mortality in Japan].
PMID- 29362303
TI - [II .Problems of Treatment for Non-Muscle Invasive Bladder Cancer].
PMID- 29362304
TI - [III .Current Status of Bladder Preservation Therapy for Muscle Invasive Bladder
Cancer].
PMID- 29362305
TI - [IV .The Role of Immune Checkpoint Blockade in the Treatment of Bladder Cancer].
PMID- 29362306
TI - [Evaluation of Chemotherapy-Induced Nausea and Vomiting in Patients with
Hematological Malignancies Using MASCC Antiemesis Tool(MAT)].
AB - Chemotherapy-induced nausea and vomiting(CINV)were prospectively evaluated using
MASCC Antiemesis Tool(MAT) in patients with hematological malignancies in our
institution. A total of 33 patients receiving 46 chemotherapy courses were
evaluated. Although vomiting was not observed in the acute phase, nausea was seen
in 22.6% and 32.3% of the patients in the acute and delayed phases, respectively.
Thirty percent(25 cases)of the patients receiving highly emetogenic chemotherapy
presented nausea in both the phases, while 40%(18 cases)of the patients receiving
moderately emetogenic chemotherapy presented nausea in the delayed phase. The
oral intake was quantitated retrospectively in 31 patients with non- Hodgkin's
lymphoma, who were hospitalized and received CHOP+/-R. Prior to the initiation of
the chemotherapy, 13 patients received the first generation 5-HT3 receptor
antagonist granisetron, while 18 patients received the second generation
palonosetron. Oral intake was greater in the patients who were administered
palonosetron. Thus, the present study suggested that antiemetic treatment could
be improved at our institution.
PMID- 29362307
TI - [A Case of Fatal Interstitial Pneumonia during FOLFIRI plus Cetuximab Therapy for
Liver Metastasis of Colon Cancer].
AB - The patient was a 76-year-old woman who underwent sigmoidectomy in April 2011 for
sigmoid colon cancer with multiple concurrent liver metastases. She was treated
postoperatively with mFOLFOX6 plus cetuximab but was diagnosed with the
progressive disease at the end of course 14. The patient started receiving
FOLFIRI plus cetuximab therapy in May 2012. Later in August 2012, she was
examined for respiratory distress on the scheduled date of receiving course 7 and
was diagnosed with drug-induced interstitial pneumonia resulting from systemic
chemotherapy. The patient was administered oxygen, and her symptoms improved
temporarily with steroid half-pulse and endotoxin adsorption therapy, but on
inpatient day 10, her respiratory condition deteriorated. She was treated with
steroid pulse therapy, but died of respiratory failure on inpatient day 17. The
main adverse events associated with FOLFIRI plus cetuximab therapy are
gastrointestinal symptoms, hematotoxicity, peripheral nerve damage, and
dermatological symptoms. However, reports of respiratory conditions such as
interstitial pneumonia are rare. Although the incidence is low, interstitial
pneumonia can be severe and fatal and therefore requires close attention.
PMID- 29362308
TI - [An Elderly Patient with Metastatic Colon Cancer Achieved Long-Term Survival
Following Single-Agent Chemotherapy with S-1].
AB - Colorectal cancer is a common malignancy and a major health issue in geriatrics.
Systemic chemotherapy should be considered for elderly patients. We report an 85
year-old man with metastatic cecal cancer who has achieved long-term survival
following single-agent chemotherapy with S-1. His fecal occult blood test results
were positive; he then underwent colonoscopy and was diagnosed with cecal cancer.
Chest CT revealed multiple metastases in both lungs. Since radical excision was
infeasible, we performed right hemicolectomy to prevent bowel obstruction.
Histological examination revealed a T3, N0, M1a (PUL2), Stage IV tumor. After
discharge from the hospital, the patient preferred receiving chemotherapy that
would have fewer side effects. S-1 monotherapy was administered. Despite
increased progression of the pulmonary metastases, he experienced no subjective
symptoms, his QOL remained consistent, and he completed 42 cycles of chemotherapy
in total. The patient is currently being managed on an outpatient basis. In
conclusion, elderly patients with cancer should be carefully evaluated according
to both disease control and individual circumstances, such as patient's
tolerability, QOL, and preference.
PMID- 29362309
TI - [A Case Report of Sigmoid Colon Cancer with Multiple Lung Metastases Successfully
Treated with S-1].
AB - A patient in his 70s was diagnosed with sigmoid colon cancer[pT3pN1cM1(PUL1),
pStage IV ]for which he underwent sigmoid colectomy and received S-1 adjuvant
therapy for the lung metastases. The patient received a total of 10 courses of S-
1, administered orally on days 1-14 of a 21-day cycle. The lung metastases showed
a complete response, and the patient completed the S-1 chemotherapy. No
recurrence of lung metastases was detected up to 6 months later.
PMID- 29362310
TI - [A Case of Anal Canal Squamous Cell Carcinoma Detected with Anal Stenosis Eight
Years Following Resection for Rectal Adenocarcinoma].
AB - An 89-year-old woman underwent low anterior resection for rectal
adenocarcinoma(Ra, pT3N0M0, pStage II , Cur A)in 2008. In February 2016, she
underwent an outpatient examination because of a defecation disturbance. Lower
gastrointestinal endoscopy was performed and the stenotic region was biopsied.
However, no malignancy was detected and the stenotic site expanded. However, the
patient experienced recurrence of the same symptoms, developed severe anal
stenosis, and underwent another examination in December 2016. Magnetic resonance
imaging indicated a neoplastic lesion around the entire circumference of the anal
canal. Transperineal needle biopsy results indicated squamous cell carcinoma. The
patient was diagnosed with postoperative rectal cancer and metachronous anal
canal squamous cell carcinoma(P, cT4bN2M0, cStage III b). Laparoscopic artificial
anus construction was performed with the aim of unblocking the anal canal
stenosis. Considering the patient's age and performance status, radiation therapy
was administered. Two months after administering radiation therapy, the tumor
decreased in size, and anal pain reduced.
PMID- 29362311
TI - [Three Cases of Advanced or Recurrent Adrenocortical Carcinoma Patients Treated
with Etoposide, Doxorubicin and Cisplatin plus Mitotane].
AB - Adrenocortical carcinoma is a rare cancer with poor clinical outcomes due to its
propensity to transform or relapse. Reported here are 3 cases of adrenocortical
carcinoma that were treated between January 2007a nd December 2013. Etoposide,
doxorubicin, and cisplatin plus mitotane(EDP plus mitotane)were administered as
adjuvant therapy to 1 patient, while the other patients received the therapy upon
relapse of their cancers following surgery. One patient experienced PR and
another 1 experienced SD during the course of their treatment. While 2 of the
patients experienced Grade 3 non-hematological adverse events, all of them
experienced Grade 3/4 hematological adverse events. The FIRM-ACT study reported
in 2012, proved the effectiveness of EDP plus mitotane for advanced
adrenocortical carcinoma, in spite of the several adverse events it caused. Some
of the adverse events were severe, resulting in a poor quality of life in the
patients. There is not enough evidence to support the use of EDP plus mitotane
postoperatively or in recurrent patients. Moreover, due to the rare nature of
adrenocortical carcinomas, we don't have enough management cases of EDP plus
mitotane chemotherapy for dominant patients. More cases need to be studied to
collect sufficient data on the benefits of EDP plus mitotane therapy for adjuvant
or relapsed adrenocortical carcinoma.
PMID- 29362312
TI - [Synchronous and Unilateral Breast Cancers(Invasive Lobular Carcinoma and Non
Invasive Ductal Carcinoma) - A Case Report].
AB - We report a case of synchronous and unilateral breast cancers in a 51-year-old
female.A focal asymmetric right breast density was detected on breast cancer
screening mammography.Ultrasonography showed a low echoic mass, 9mm in diameter,
in the B area, and a second low echoic mass in the CD area of her right breast.A
core needle biopsy of the B area mass led to a diagnosis of an invasive lobular
carcinoma, positive for estrogen receptor(ER)and progesterone receptor(PgR), and
negative for HER2/neu.One -percent of the tumor cells were Ki-67 positive.Her
preoperative diagnosis was Stage I (T1N0M0).She underwent muscle-preserving
mastectomy plus sentinel lymph node biopsy.The pathological diagnosis from the
resected surgical specimen was invasive lobular carcinoma(B area), positive for
ER, and negative for PgR and HER2/neu protein expression.From this, she was
additionally diagnosed with non-invasive ductal carcinoma(CD area)that was posi-
tive for ER, and negative for PgR and HER2/neu protein expression.The surgical
margins were negative, and there were no sentinel lymph node metastases.These
tumors were independent.She was given adjuvant endocrine therapy.Two years and 6
months after surgery, the patient was doing well and without metastases.
PMID- 29362313
TI - [Long-Term Effect of Fulvestrant for Locally Advanced Breast Cancer in an Elderly
Patient - A Case Report].
AB - We report an elderly patient with locally advanced breast cancer who received
long-term fulvestrant therapy.The patient was a 75-year-old woman who presented
with a right breast lump.She noticed the tumor 4 years and 6 months ago, but she
had not visited any hospital.However, her daughter brought her to our
hospital.The tumor was 73mm in diameter.A core needle biopsy for breast tumor led
to a diagnosis of an invasive ductal carcinoma, positive for estrogen
receptor(ER)and progesterone receptor(PgR), and negative for HER2/neu.The Ki-67
positive cell index was 20%.We performed a whole- body checkup, and confirmed the
diagnosis as T4cN1M0, Stage III B.She initiated endocrine therapy by
letrozole(2.5 mg/ day).After 1 year and 6months, tumor marker levels increased.We
changed the endocrine therapy to fulvestrant(500mg/ month).For the next 2 years
and 6 months, this therapy was effective.Her axillary lymph node metastases
disappeared and tumor size decreased(60%).She underwent muscle-preserving
mastectomy plus axillary lymph node dissection.The pathological diagnosis from
the resected surgical specimen was confirmed as invasive ductal carcinoma,
positive for ER and PgR, and negative for HER2/neu protein expression.The
surgical margins were negative, and there was no metastasis in the lymph
nodes.She was administered adjuvant endocrine therapy.Four years after surgery,
she was well without metastasis.
PMID- 29362314
TI - [The Clinical Effect of Ramucirumab in the Treatment of Advanced Gastric Cancer
in Our Hospital].
AB - Ramucirumab(RAM)was approved for unresectable advanced gastric cancer in March
2015. Recent Japanese gastric cancer treatment guidelines recommended RAM plus
paclitaxel(PTX)and RAM alone in the treatment of patients with advanced gastric
cancer who had been previously treated with chemotherapy. In this retrospective
study, we evaluated the safety and efficacy of RAM alone and PTX plus RAM in
these patients. Patients who were administered RAM or PTX plus RAM between March
2015 and December 2016 were enrolled in this study. We compared the clinical
outcome of RAM alone(RAM group, n=11)with that of PTX plus RAM(PTX plus RAM
group, n=10). The RAM group contained more patients with poor performance status
than the PTX plus RAM group. More cases of Grade 3 or 4 adverse events were found
in the PTX plus RAM group than in the RAM group. The response rate was 9% in the
RAM group and 30% in the PTX plus RAM group. The progression-free survival was 2
months in the RAM group and 3.75 months in the PTX plus RAM group. The overall
survival was not reached in the RAM and PTX plus RAM groups. We considered that
RAM and PTX plus RAM are safe and effective therapies for advanced gastric cancer
patients.
PMID- 29362315
TI - [A Case of Port Site Recurrence of Gallbladder Carcinoma after Laparoscopic
Cholecystectomy].
AB - The patient was a 76-year-old man who underwent laparoscopic cholecystectomy with
a diagnosis of cholecystolithiasis. Since the definite diagnosis of gallbladder
carcinoma was made after the operation, he underwent additional resection. Four
years later, he revisited the hospital complaining of painful swelling of the
port site of his epigastrium. Magnetic resonance imaging of the abdomen revealed
a mass 3.4 cm in diameter at the epigastrium. Thus, port site recurrence of
gallbladder carcinoma was the suspected diagnosis. We resected the peritoneum,
rectus abdominis muscle, and skin, as well as the tumor, and the abdominal wall
was reconstructed using synthetic composite mesh. Histological examinations
revealed recurrence of gallbladder carcinoma. Port site recurrence of gallbladder
carcinoma is known to have a poor prognosis, but long survival can be expected in
patients after complete resection of the metastatic lesion, if the recurrence
develops more than a year after the initial operation.
PMID- 29362316
TI - [Gallbladder Malignant Lymphoma Diagnosed after Surgery for Acute Cholecystitis -
A Case Report].
AB - An 84-year-old man visited our hospital with epigastralgia.Levels of hepatic and
biliary enzymes and CRP were elevated, as detected by a blood test.On a CT scan,
a swollen gallbladder with stones was detected.The patient was admitted to the
hospital with a diagnosis of Grade I acute cholecystitis.Conservative treatment
was continued with antibiotic administration and the patient was discharged from
the hospital with improvement on day 6 after admission.Three months later, the
patient underwent laparoscopic cholecystectomy.In the gallbladder, a 45*45 mm
tumor was found.Upon pathological examination, diffuse proliferation of
lymphocyte-like heterotypic cells and subserosal invasion were
observed.Immunohistochemistry results were negative for MUM1 and positive for
CD10 and Bcl6 markers.A malignant diffuse large B-cell lymphoma was diagnosed.We
experienced a case of malignant lymphoma of the gallbladder diagnosed after
surgery for acute cholecystitis, which we herein report with literature
consideration.
PMID- 29362317
TI - [A Resectable Case of TS-1 Therapy Useful in Distinguishing Primary Lung Cancer
from Lung Metastases of Pancreatic Cancer].
AB - We report a case of pneumonectomy followed by radical pancreatectomy after oral
administration of TS-1 for pancreatic cancer with complications ofa lung tumor.
The patient was a 66-year-old woman. A pancreatic tail tumor and 2 lung nodules
were detected on CT scans, and were diagnosed as pancreatic cancer and metastatic
lung cancer. During a total of1 1 courses ofTS -1 therapy, the pancreatic tumor
tended to contract, but both pulmonary nodules remained unchanged. Due to
differences in treatment effect, double cancers of the lung and pancreas were
suspected, rather than metastatic lung cancers. We performed a VATS partial
resection of the left lower lobe for diagnostic therapy. The pathological
diagnosis revealed an inflammatory myofibroblastic tumor and a primary lung
cancer. We diagnosed that a radical pancreatectomy was possible and performed
distal pancreatectomy. Pathological diagnosis confirmed an invasive pancreatic
ductal carcinoma. Oral administration ofTS -1 was performed as adjuvant
chemotherapy after surgery. Liver metastasis was observed 10 months after
pancreatectomy, and GEM therapy was initiated. Peritoneal dissemination was
observed at 2 years following pancreatectomy, and the patient died at 2 years and
9 months. TS-1 therapy for synchronous lung tumors and pancreatic cancer with
careful observation allowed for a definitive radical resection. This method was
an effective treatment for lung nodules with pancreatic cancer.
PMID- 29362318
TI - [Azygos Arch Preserving Thoracoscopic Esophagectomy for Less Invasiveness].
AB - We reported the technique ofthoracoscopic esophagectomy with preservation
ofazygos arch for less invasivenesss. Among thoracoscopic esophagectomy, this
retchnique indicated for the patients with the tumor located at middle or lower
thoracic and don't reach adventitia. Twenty-eight patients was performed this
procedure and azygos arch were preserved in all cases. None the cases were
converted conventional thoracotomy. The operation time was 133.5 minutes(median),
and estimated blood loss was 30 mL(median)in thoracic procedure. As for
thoracoscopic esophagectomy, could preserved respiratory function, further less
surgical stress can obtain this technique.
PMID- 29362319
TI - [Long-Term Capecitabine Therapy Was Successful for Locally Advanced Breast Cancer
Patient - Report of a Case].
AB - For the treatment of locally advanced breast cancer, chemotherapy involving
anthracycline and/or taxane-containg regimens is generally performed. However,
some patients have difficult reasons for administering these intravenous
chemotherapeutic agents. We experienced a case of locally advanced breast cancer
patient who received long-term capecitabine therapy. This therapy was effective
for this patient. A 72 year-old woman presented with a lump in her right breast.
The tumor had been increasing for 15 years. The tumor had spread from the right
breast to the axilla and the lateral chest, accompanied with ulceration. A core
needle biopsy was performed and the pathological diagnosis was papillotubular
carcinoma. We checked up her body, and there was no distant metastasis. We
diagnosed the clinical stage as T4cN3aM0, stage III C. She was concerned about
the side effect of depilation and did not wish the standard chemotherapy. We
chose capecitabine therapy. She continued capecitabine therapy and endocrine
therapy. The tumor and tumor markers were decreased. The tumor size has not
increased and metastatic lesions have not appeared for 5 years and a half.
PMID- 29362320
TI - [The Efficacy of Definitive Chemoradiotherapy for Thoracic Esophageal Cancer on
the Point of View Salvage Surgery].
AB - INTRODUCTION: Salvage surgery(S-surgery)was performed for residual or relapse
tumor after definitive chemoradiotherapy (dCRT)for resectable esophageal cancer.
When it becomes possible to perform surgery after dCRT for unresectable cases is
called conversion surgery(C-surgery). OBJECTIVE: To examine the outcomes of S
surgery and C-surgery after dCRT for thoracic esophageal cancer and clarify the
significance as a multidisciplinary treatment. MATERIAL AND METHODS: We reviewed
27 patients who underwent S-surgery for thoracic esophageal cancer in our
hospital. 23 cases were residual tumor, 4 were relapse after complete response.
Sixteen cases(59%)were C-surgery. RESULTS: Five cases(19%)had non-radical
resection. Two cases were postoperative hospital death(7%). Postoperative
complications(Clavien-Dindo classification Grade II and more) 11 cases(41%). Four
cases were anastomotic leakage, 4 cases vocal cord paralysis, etc. Pathological
complete response cases 6 cases(22%). The recurrence cases were 7 except for 5
cases of non-radical resection. Three-year overall survival rate was 47%. Twelve
cases(75%)in C-surgery could perform radical resection by down stage.
CONCLUSIONS: The postoperative hospital mortality and complications can be
considered as acceptable. dCRT is a powerful pre-operative treatment for such
cases, and S-surgery plays an important role.
PMID- 29362321
TI - [Multiple Resections for Metastases from Colorectal Cancer].
AB - PURPOSE: We analyzed to clarify an outcome of multiple resections of metastases
from colorectal cancer. PATIENTS AND METHOD: Total 7 cases who underwent several
resections for metastases from colorectal cancer in Saiseikai Kurihashi Hospital
after 2010 were enrolled for analysis. RESULT: Age of patients at the time of the
primary site resection was 67(45-78)year-old, including 4males and 3 females.
Patients located at cecum/ascending/sigmoid/rectosigmoid/upper rectum were
1/1/2/2/1 respectively. Metachronous metastases were found in 5 patients. There
were 1 patient for Stage I , 2 patients for Stage III a and III b each and all
Stage III patients received adjuvant chemotherapy. The resected organs were 9 in
lung, 8 in liver, 1 case in lymph node, local site and peritoneum and the median
resected sites were 3(2-4)lesions. In 17 months median observation periods after
latest resection, 5 cases have not been prolapsed including 3 cases with
chemotherapy. Two cases were relapsed with unresectble status. One case died for
24 months and one case is under the chemotherapy for 12 months. Five year
survival rate was 75% and 2 year prolapse free rate was 66.7%, since the
relatively good prognosis was obtained by multiple resections. CONCLUSION: The
cases that multiple resections with R0 were able to perform for the metastases
were analyzed. Although cases which become finally to be unresectable exist, it
is suggested that the relatively good prognosis might be obtained by multiple
resections for metastases.
PMID- 29362322
TI - [A Case of Resected Superior Sulcus Tumor with Pathological Complete Response
after Trimodality Therapy].
AB - The current case was 73-year-oldwoman. She was referredto our hospital for an
abnormal shadow of chest X-ray in the upper right lung field. Chest CT showed 3.5
cm of tumor located at the apex of right lobe with invasion of the chest wall.
The tumor was diagnosed as squamous cell carcinoma using CT guided needle
biopsy(superior sulcus tumor, clinical T3N0M0, Stage II B). The neoadjuvant
therapy, 4 courses of chemotherapy(CBDCA plus PTX)andconcurrent radiotherapy(45
Gy/25 Fr)was performed. Chest CT revealed that tumor size was decreased to 2.3 cm
in a diameter, and therapeutic effect was decided as partial response(34%). Upper
right lobectomy combinedwith the chest wall(1th to 3th ribs)andmed iastinal lymph
node dissection were performed. The pathological specimens showed no residual
cancer cells(Ef3, pathological complete response[pCR]). She discharged without
complications at 10 days after surgery. It is important to collect cases which
obtainedpCR for development of more effective preoperative therapy.
PMID- 29362323
TI - [A Case of Retroperitoneal Liposarcoma Resected 6 Times in 24 Years].
AB - We report a long-surviving case of retroperitoneal liposarcoma requiring 6
operations in 24 years. A 56-year-old female was diagnosed with liposarcoma and
it was resected for the first time in 1991. The pathological diagnosis was well
differentiated liposarcoma. Thereafter, we resected recurrences in the
retroperitoneum in July 2008, with the left half of the colon in June 2011. Then,
we resected the pancreatic tails, spleen, accessory spleen, left adrenal gland,
left kidney, and part of the diaphragm in October 2012, and part of the
diaphragm, descending colon, and jejunum in October 2014. At this time, there
were growing recurrences removed from the pancreatic tails. There is no evidence
of recurrence after 24 years after the first resection. In this case, it was
thought that the factor correlated with long survival was actively resecting
recurrences and recognizing high-grade dedifferentiated type liposarcoma at an
early stage.
PMID- 29362324
TI - [Three Cases of Obstructive Left-Sided Colon Cancer Resected by Laparoscopic
Surgery].
AB - We report 3 cases of obstructive left-sided colon cancer that could be treated
with laparoscopic resection.Case 1: A 25- year-old man was given a diagnosis of
colonic obstruction due to transverse colon cancer.Twenty -four days after
decompression by a nasointestinal tube, we performed a laparoscopic partial
colectomy.Case 2: A 75-year-old woman was given a diagnosis of colonic
obstruction due to sigmoid colon.Forty -nine days after decompression by a
laparoscopic transverse colostomy, we performed a laparoscopic sigmoidectomy.Case
3: A 48-year-old man was given a diagnosis of colonic obstruction due to sigmoid
colon cancer.Twenty -two days after decompression by colonic stent, we performed
a laparoscopic sigmoidectomy.In these 3 cases, decompression was sufficient when
resecting the primary lesions, and the operations could be completed
laparoscopically.Elective radical surgery was possible by resolution of oncologic
emergency state.
PMID- 29362326
TI - [An Analysis of Perforated Gastric Cancer with Acute Peritonitis in Our
Hospital].
AB - Perforated gastric cancer is relatively rare and the incidence is reported about
1% of all the cases of gastric cancer. We retrospectively analyzed the clinical
data of the consecutive 12 patients with perforated gastric cancer who underwent
operation in our hospital between January 2005 and December 2016. There were 5
men and 7 women, with an average age of 65.8 years old(34-87). Perforated gastric
cancer occurred in the region U(1 cases), M(6 cases), L(5 cases). There were 11
cases with distant metastasis. We could successfully diagnosed as perforated
gastric cancer in 8 cases before emergency operation. Gastrectomy was performed
in 5 cases. However, the curative resection was performed only 1 case. Prognosis
of perforated gastric cancer is poor. We considered as an appropriate two-step
surgical strategy that the first step of surgery is an acute peritonitis
treatment followed by radical gastrectomy with lymphadenectomy.
PMID- 29362325
TI - [Early Surgery for Acute Cholecystitis Complicated with Gallbladder Cancer].
AB - The present study involved 6 patients who had urgent surgery for acute
cholecystitis(AC)complicated with gallbladder cancer(GBC)in our hospital from
January 2014 to December 2016. We analyzed the clinical outcome of early surgery
for AC complicated with GBC. According to Tokyo Guidelines 2013, the AC severity
was classified as Mild 1 case, Moderate 5 cases. Only one patient was diagnosed
with GBC before the operation for AC. The others were during or after the
laparoscopic cholecystectomy. Histopathologically, all patients had Stage II
disease or greater. Two patients had adjunctive radical operation with the wedge
resection of the gallbladder bed, lymphadenectomy and bile duct resection, and
they survived without recurrence for 28 months and 12 months, respectively. Of
the 3 patients without any additional surgery, 2 patients died in several months
after the operation. In consideration of the concurrence of GBC, early surgery
for AC must be decided carefully.
PMID- 29362327
TI - [Pancreas Bed Recurrence Resection Combined with Celiac and Common Hepatic
Arterial Resection after Distal Pancreatectomy for Pancreatic Cancer].
AB - CASE PRESENTATION: A 53-year-old male underwent distal pancreatectomy with
splenectomy for pancreatic body cancer. An increasing mass in the soft tissue
around the common hepatic artery was detected 1 year after the primary resection
and he was referred to our hospital. A low density mass measuring 16mm in length
was detected around the common hepatic artery by dynamic contrast enhanced
computed tomography. We diagnosed as pancreatic cancer recurrence in the pancreas
bed. We performed a recurred mass resection combined with celiac and common
hepatic artery resection, portal vein resection and reconstruction. Pathological
examination revealed the cancer recurrence in connective tissue including nerve
plexus. Adenocarcinoma cells expanded along with the nerve plexus. The tumor
invaded the adventitia of the common hepatic artery. R0 resection was confirmed
without exposure of cancer cells to margin. He was discharged on postoperative
day 12 without any complication. He survived for 6 months after recurrence
resection without metastasis. CONCLUSION: We experienced a case of local
recurrence of pancreatic cancer successfully performed R0 resection in
combination with CHA and CEA resection.
PMID- 29362328
TI - [Treatment of Regorafenib in Patients with Metastatic or Unresectable
Gastrointestinal Stromal Tumor after Failure of Imatinib and Sunitinib].
AB - Imatinibmesylate has dramatically improved the survival with unresectable or
metastatic GIST, whereas many patients subsequently develop imatinib resistance.
Followed by sunitinib, regorafenib has been approved since 2013 in Japan. We
aimed to assess efficacy and safety of regorafenibin GIST patients in clinical
setting. The study was conducted between August 2013 and April 2016, among 11
patients with GIST treated by regorafenib. The median treatment duration was 8.4
months. The median progression-free survival(PFS)was 7.4 months. Nine patients
experienced at least one Grade 3 or 4 toxicity from regorafenib. The most common
Grade 3 toxicity was hand-and-foot skin reactions(4 of 11; 36.4%), followed by
hypertension(3 of 11; 27.3%). Dose reduction was required in 8 patients. Although
dose modifications due to toxicities were very common, some patients achieved
long PFS with regorafenibtreatment.
PMID- 29362329
TI - [A Case of Sigmoid Colon Cancer It Was Found from Penetration of Sigmoid
Diverticulum].
AB - A 52-year-old woman presented with sudden left lower abdominal pain, fever, and
vomiting. As the symptom got improved immediately she went home then. She
consulted our hospital with chief complaint of the left lower abdominal mass.
Abdominal computed tomography revealed sigmoid colon cancer with abscess. She was
diagnosed with sigmoid colon cancer via colonoscopy. Sigmoidectomy including
partial resection of the abdominal wall was performed(D3 lymphadenectomy).
Surgical specimen showed penetration of diverticulum on mucous membrane of the
lesion which had been thought of a abdominal wall permeation and a tumor of I sp
type at anal side. Pathological examination showed diverticulitis with
penetration and the tumor was tubular adenocarcinoma(tub1, pT1bpN0, pStage I ).
We had doubted penetration of sigmoid colon cancer most, but the cause of
penetration turned out to be diverticulitis. We experienced a case in which we
could performed surgery of sigmoid colon cancer and penetration of diverticulum
at the same time.
PMID- 29362330
TI - [Two Cases of Colon Metastasis of Gastric Cancer by Different Metastasis
Pathway].
AB - We treated 2 cases ofcolon metastasis ofgastric cancer considered to be caused by
different pathway. Case 1 was a 55- year-old male with gastric cancer associated
with metastases for lymph node, gallbladder, and liver. Curative surgical
treatment of distal gastrectomy, partial hepatectomy, cholecystectomy and lymph
node dissection was performed. The final find- ing was, L, Less, Type 3,
pT4b(GB), tub2, pN3a(10/20), sP0, CY0, pH1, pM1, Stage IV , R0. Ten months after,
ileocecal resection was performed, as a tumor was detected in the cecum. It was a
submucosal tumor of well to moderately differentiated adenocarcinoma, and
diagnosed as a metastasis ofgastric cancer. Case 2 was a 59-year-old male who
received total gastrectomy. The final finding was UE, Less, Type 4, tub2-por2,
pT4a, pN2(5/19), cM0, sP0, CY0, Stage III B, R1. One year and 10 months later,
unevenness and redness in the mucosal membrane ofthe transverse colon occurred.
Signet-ring cells were observed by the endoscopic biopsy, and colon metastasis
ofstomach cancer was diagnosed. Consequently, transverse colectomy was performed.
Diffused invasion of cancer cells was observed in all layers of the wall, which
was considered as metastasis via gastrocolic ligament. Although colon metastasis
ofstomach cancer is rare, its pathway varies, such as infiltration, direct
invasion, hematogenous, and lymphogenous. Form oflesion also varies. For
diagnosis ofcolon lesion occurring during follow-up after gastric cancer, these
points should be noted.
PMID- 29362331
TI - [Surgical Case of Ulcerative Colitis Accompanied with Rectal Cancer Combined with
Transanal Minimally Invasive Surgery].
AB - A46 -year-old man developed ulcerative colitis at the age of 19 years. Although
the colitis was medically treated, it relapsed and repeated over time. Periodic
lower gastrointestinal endoscopy revealed lower rectal cancer, and he was
referred to our department of surgery. Previous steroid therapy induced diabetes,
and he was obese, with a height of 170.3 cm, weight of 89.6 kg, and BMI of 30.89
kg/m2, indicating that laparoscopic dissection near the anus would be difficult
to perform. Therefore, the patient was scheduled for transanal minimally invasive
surgery(TAMIS). The surgery involved as much laparoscopic rectal dissection as
possible in the ventral to dorsal direction, followed by the TAMIS procedure.
Dissection was started from the dentate line, and, after the closure of the anal
stump, GelPOINT was placed, and made continuous with the previous dissection
layer by applying the technique of down-to-up total mesorectal excision(TME)by
TAMIS. The large intestine was excised through a small abdominal incision to
create an ileal pouch, hand-sewn anastomosis was performed transanally to create
a temporary colostomy, and the surgery was completed. Regarding TAMIS-TME several
problems remain to be solved, including an understanding of its unique anatomy
and the mastery of single-port surgical techniques. However, the herein reported
patient with a high BMI had a definite indication for TAMIS-TME.
PMID- 29362332
TI - [A Case of Multiple Tumor Neurosurgery for Brain Metastasis of Colorectal
Cancer].
AB - We report a patient with brain metastasis of rectal cancer who underwent
metastatic tumor resection 3 times. In March 2012, a 76-years-old man, diagnosed
with Stage III a rectal cancer, underwent Hartmann's operation. The lung
metastasis was confirmed in July and November 2013, surgical resection for
pulmonary metastasis was performed 2 times. In January 2016, he had difficulty of
speaking, and isolated brain tumor was found. We performed surgical resection of
brain metastasis in February 2016. In March and July 2016, the gamma knife
radiosurgery was performed for other brain metastasis. In July 2016, he occurred
nausea, headache and right identity hemi-blindness. Two new brain tumors were
revealed. We performed surgical resection again. Three months after second brain
surgery, he had dysarthria and a solitary brain tumor was confirmed. We performed
third neurosurgical resection. All tumors of brain were found to be metastasis
from rectal cancer in histological study. Currently, 16 months have passed since
the first diagnosis of brain metastasis of this patient, and the quality of life
was good relatively. The prognosis of the patients with brain metastasis is poor
generally. However, this case suggested that multiple surgical resection of brain
metastasis could improve prognosis and quality of life of patients. Accumulation
of further cases is needed.
PMID- 29362333
TI - [Chemoradiotherapy with S-1 for Recurrence Cases of Colorectal Cancer].
AB - INTRODUCTION: Although chemotherapy is the main treatment for recurrent
colorectal cancer, the utility of radiotherapy as a local treatment has been
widely reported. We performed chemoradiotherapy with S-1 for cases with
recurrence after surgery, and the outcomes are reported herein. MATERIALS AND
METHODS: Chemoradiotherapy with S-1 was performed in 4 cases. S-1 was
administered for 2 weeks during the irradiation period, and the off period
provided was 1 week. RESULTS: X-ray irradiation was performed in 2 cases and
proton beam irradiation in the other 2. The progression free periods of the 2
cases receiving proton beam irradiation were 31 months and 36 months. In
contrast, the progression free periods of the 2 cases given X-ray irradiation
were 24 months and 21 months. DISCUSSION: It is known that S-1 not only achieves
a high anticancer effect via dihydropyrimidine dehydrogenase(DPD)inhibition,
which is a major metabolic pathway of 5-FU, but also increases the radiation
susceptibility of malignancies. S-1 is regarded as an ideal anticancer agent when
used in combination with radiation therapy. Since the local control achieved in
our 4 cases was good, chemoradiotherapy with S-1 was considered to be a useful
treatment.
PMID- 29362334
TI - [Usefulness of Vacuum-Assisted Biopsy(VAB)at Initial Biopsy].
AB - In recent years, breast micro-lesions such as ductal carcinoma in situ(DCIS)were
detected with progress of the image diagnosis. We investigated the usefulness of
vacuum-assisted biopsy(VAB)for initial biopsy of breast tumors. We analyzed 32
cases of VAB performed for breast tumors. The pathological diagnosis of the
biopsy specimens was malignant lesions in 10 cases, border-line lesions in 1 and
benign lesions in 21 cases. 11 cases underwent surgery and the final
histopathological diagnosis was the same in 10 of them. One case histopathology
varied from DCIS to invasive ductal carcinoma(IDC). It was suggested that VAB at
initial biopsy was a useful biopsy method.
PMID- 29362335
TI - [A Case Report of Resectable Leiomyosarcoma of the Duodenum Origin Invading to
the Inferior Vena Cava].
AB - A 40's woman had a complaint of abdominal and back pain. Enhanced CT visualized a
large retroperitoneal tumor and huge multiple myomas of the uterus. The tumor was
10cm in diameter and located in the anterior of the inferior vena cava, and
progressed from the posterior of the duodenum to the abdominal aortic
bifurcation. Diffusion-weighted MR image showed the tumor with high signal
intensity. Upper gastrointestinal endoscopy revealed a type 2 tumor at the anal
side of the Vater. The patient was performed curativly abdominal total
hysterectomy and pancreaticoduodenectomy with inferior vena cava resection.
Immunohistochemical examination showed that the tumor cells were negative for
CD34 and c-kit, and positive for desmin and a-SMA. The tumor was
histopathologically diagnosed as leiomyosarcoma originating from the duodenum.
PMID- 29362336
TI - [A Case Survived Long Period after Repeated Operation against the Small
Intestinal GIST with Perforation].
AB - A patient was 60-year-old man. In March 2011, the small bowel tumor with
perforation was found and the partial resection of small intestine was urgently
performed. KIT of resected specimen was positive. Then, diagnosis as GIST was
defined. Oral administration of imatinib was started, but it was finished in 5
months because of development of the systemic edema. In February 2013, the
abdominal CT revealed a tumor of 20 cm in size in the pelvis. Upon laparotomy, we
detected the GIST recurrence generated at the region of small intestine
anastomosis where manipulated previously, then resected all of tumor and
partially small intestine. Afterward, we diagnosed as a recurrence of GIST. In
March 2014, the abdominal CT found 4 cm sized mesenteric tumor and 2 cm sized
abdominal wall tumor. The laparotomy was performed and we found 5 disseminated
nodules intraperitoneally. We confirmed that all of these disseminated nodules
were successfully removed. We defined them as re-recurrence of GIST. Six years
and 5 months have elapsed since the first operation was performed, but there is
no sign of three times recurrence.
PMID- 29362337
TI - [A Case of Metastatic Carcinoma of Anal Fistula].
AB - A 58-year-old man underwent rectal resection(D2 dissection)for rectal cancer and
liver/lung metastases in August 2009. Histopathological findings were Ra, type 2,
70*80mm, tub1>tub2, int, pSI(peritoneum), INF b, ly1, v1, pN1(2/13), pPM0, pDM0,
M1a(H1, PUL1), fStage IV . The lung metastasis had disappeared on chest CT after
postoperative chemotherapy and we were able to perform radical resection of the
liver metastasis by performing hepatectomy twice. In October 2013, anal pain
appeared and a painful tumor approximately 2 cm in size was found in the 5
o'clock direction of the anus. Biopsy revealed a well-differentiated tubular
adenocarcinoma similar to rectal cancer, and it was diagnosed as a fistula
metastasis of rectal cancer.We performed chemoradiotherapy(S-1 120mg/day plus RT
60 Gy/30 Fr)as surgery was recommended but refused. As a result, the tumor
reduced markedly in size. In December 2015, the tumor enlarged in size and the
patient and family requested surgery. We, therefore, performed abdominoperineal
resection. Currently, the patient is alive at 18 months after surgery with no
recurrence.
PMID- 29362338
TI - [A Resected Case of UR-LA Pancreatic Tail Cancer with Aortic Invasion after
Chemoradiotherapy].
AB - A 70-year-old male was referred to our hospital because of weight loss and
epigastric discomfort. CT showed an irregularshaped, low-density tumor, 12 cm in
diameter in the tail of the pancreas. This tumor widely invaded to the left
kidney and to the anterior and left lateral sides of the aorta in spite of no
involvement of celiac and superior mesenteric arteries. Moreover, it closely
contacted with the stomach and the spleen. EUS-fine-needle aspiration biopsy of
the tumor detected adenocarcinoma. Thus, he was diagnosed with UR-LA pancreatic
cancer with aortic invasion. He received combination chemotherapy(S-1 plus
gemcitabine[GEM])and 50.4 Gy 3-dimensional conformal radiation therapy, but this
therapy had no expected effect. We changed the regimen to GEM plus nab-PTX. After
1 course of changed regimen, the tumor ruptured into the stomach and endoscopic
debridement of the necrotic tissue was performed. Twenty-six days later, We
performed distal pancreatectomy with splenectomy, total gastrectomy, left
nephrectomy, left adrenalectomy, and segmental resection of the colon. The tumor
was detached from the aorta as much as possible. The final diagnosis was pT3N0M0,
pStage II A. Fifty-nine days after operation, we restarted GEM plus nab-PTX
therapy. However, a cerebral infarction suddenly occurred, and we discontinued
the chemotherapy. Five months after the operation, he died of cancerous
peritonitis.
PMID- 29362339
TI - [A Case of Stage IV Metaplastic Breast Cancer in Which Primary Tumor Was Removed
after Treatment with Anti-HER2 Systemic Therapy].
AB - The patient was a 58-year-oldpostmenopausal woman. Vacuum assistedbiopsy of the
left breast tumor revealedinvasive ductal carcinoma. Immunohistochemical
examination was negative for estrogen receptor(ER), negative for progesterone
receptor(PgR), andshowedan HER2 score of 3+. FDG-PET/CT revealedmultiple
metastases to the left supracravicular and axillary lymph nodes and lungs. She
was diagnosed with HER2-positive T3N3M1, Stage IV breast cancer. A 2-year regimen
of chemotherapy with trastuzumab andvinorelbine achieveda complete response with
regardto the metastatic sites; however, the size of the primary tumor increasedd
espite the chemotherapy, andsurgical resection of the left breast with axillary
lymph node dissection was performed for local control. Pathological examination
of the surgical specimen revealed metaplastic carcinoma with sarcoma component
surrounded by non-invasive ductal carcinoma. No component of invasive ductal
carcinoma was found. Immunohistochemically, metaplastic carcinoma was negative
for ER, negative for PgR, andrevealedan HER2 score of 0. There was discordance of
HER2 status between pre- andpost -chemotherapy. The patient receivedno further
chemotherapy following surgery andhas been without disease progression for 6
years. We suggest there is heterogeneity, that is, the metastatic sites andthe
partial primary tumor were HER2-positive invasive ductal carcinoma and the
remainder of the primary tumor was triple negative metaplastic carcinoma. As a
result, the patient was able to discontinue chemotherapy with higher quality of
life.
PMID- 29362340
TI - [A Case of Double Lung and Gastric Cancer Involving Para-Aortic Lymph Node
Metastasis with Difficult Preoperative Diagnosis].
AB - A man in his 60s was admitted to our hospital with anemia. An endoscopic
examination revealed advanced gastric cancer. CT revealed peri-gastric and para
aortic lymphadenopathy, and a nodular shadow(20mm)in the lower lobe of the right
lung. PET-CT revealed abnormal uptake in the para-aortic lymph node and stomach
wall and the nodular shadow in the right lung. A bronchoscopy revealed pulmonary
adenocarcinoma. From the above, he was diagnosed with gastric cancer(cT4a, cN2,
cM1, cStage IV )and lung cancer(cT2a, cN0, cM0, cStage I B). Because of gastric
bleeding, we decided to operate on the gastric cancer before the lung cancer.
First, total gastrectomy, splenectomy, and cholecystectomy were performed and
then dissection of lymph node No. 16was performed. Histopathological examination
indicated that lymph node No. 16was common to lung cancer, so the final diagnosis
was gastric cancer(pT4a, pN0, cM0, fStage II A)and lung cancer(cT2a, cN0, pM1,
fStage IV ). In this case, lymphadenectomy of No. 16in the first and pathological
diagnosis during surgery could help us avoid splenectomy and cholecystectomy, and
could reduce invasion.
PMID- 29362341
TI - [Usefulness of Laparoscopic Stoma Creation for Unresectable Colorectal Cancer].
AB - Laparoscopic stoma creation enables good visualization of viscera within the
abdominal cavity to ensure adequate mobilization of the large intestine.
Laparoscopic stoma creation/construction was indicated and performed at our
hospital in 7 patients who were diagnosed with unresectable colorectal cancer
between July 2015 and May 2017. Duringthe ileostomy procedure, we made a skin
incision at the stoma site and performed a single-incision(3-port)laparoscopic
surgery. For the colostomy procedure, we made a small incision at the umbilicus
and mobilized the large intestine with laparoscopic dissection of any
interveningadhesions. Operation time ranged between 34 and 127 minutes, and the
volume of intraoperative blood loss was low in all cases. There were no fatal
complications related to the operation. Laparoscopic stoma creation can be
performed safely and may be useful for staging of malignant colorectal tumors and
reducing the risk of complications.
PMID- 29362342
TI - [A Case Report of Robotic Assisted Laparoscopic Low Anterior Resection in a
Patient with Rectal Cancer and Polysplenia Syndrome].
AB - Polysplenia syndrome is a rare congenital disease characterized by variable
thoracic and abdominal anomalies. A man in his 70s was diagnosed with rectal
cancer by close exploration for fecal occult blood. A barium enema revealed a
type 1 rectal tumor andwith non-rotation of intestine. CT revealed multiple
abnormalities: a polyspleen, preduodenal portal vein, congenital absence of the
pancreatic tail, bilateral superior vena cava, andbilateral bilobedlung. Basedon
these findings, the patient was diagnosedas having rectal cancer with polysplenia
syndrome andtreatedwith robotic assistedlaparoscopic low anterior resection. At
operation, the whole colon was located in the left side of the abdominal cavity.
The whole colon adhered with each other. The ileocecum adheredto the front of the
aorta andthe right iliac artery. In the pelvis, anatomical abnormality was not
detectedandrectal mobilization andresection was performedas usual. The patient
hadno signs of recurrence of the rectal cancer. This is the first case
presentation of laparoscopic low anterior resection in a patient with rectal
cancer and polysplenia syndrome.
PMID- 29362343
TI - [A Patient Underwent Pancreaticoduodenectomy with Reconstruction of Replaced
Right Hepatic Artery].
AB - A 78 year-old man was diagnosed as a pancreatic cancer in department of
gastroenterology in our hospital. Enhanced computed tomography demonstrated an
aberrant right hepatic artery(ARHA)arising from superior mesenteric artery(SMA).
We conducted sub-stomach preserving pancreaticoduodenectomy(SSPPD- II A-1). As
the preoperative diagnosis, right hepatic artery(RHA)was arising from SMA and
running through the tumor, and we resected the ARHA with the tumor and
reconstructed RHA to gastroduodenal artery(GDA). Pathological diagnosis was non
invasive tumor with neither lymph node metastasis nor invasion of the
vasculature. We report a case of the patient underwent pancreaticoduodenectomy
with reconstruction of aberrant right hepatic artery.
PMID- 29362344
TI - [A Case of Rectal Cancer with Multiple Pulmonary and Liver Metastases Could Be
Resected through Chemotherapy].
AB - The patient was a 47-year-old man, whose chief complaint was melena. He visited a
nearby hospital, and further evaluation showed rectal cancer invading the
prostate, with multiple lung and liver metastases. The clinical diagnosis was
cT4b(prostate), cN1, cM1b(H2, PUL2), cStage IV . We performed colostomy in the
transverse colon prior to chemotherapy. He was administered 1 course of mFOLFOX6
plus bevacizumab and 7 courses of FOLFOXIRI plus bevacizumab. The primary tumor
showed PR. The liver metastases were localized and shrunken, while the lung
metastases disappeared. Approximately 6 months after the start of chemotherapy, a
laparoscopic total pelvic exenteration and ileal conduit were performed following
the diagnosis of ycT4b(prostate), ycN1, ycM1a(H2), ycStage IV . About 3 months
later, a partial resection of the left liver lobes(S1 and S5/S8)was performed
laparoscopically. He has been cancer-free for 8 months.
PMID- 29362345
TI - [A Case of Ascending Colon Cancer with Lynch Syndrome Who Underwent XELOX
Adjuvant Chemotherapy].
AB - Lynch syndrome is an inherited syndrome with the development of the colorectal
and various other cancers. Lynch syndrome is caused by mutations in the mismatch
repair genes. A 33 year-old male underwent XELOX adjuvant chemotherapy for
ascending colon cancer with Lynch syndrome. Although efficacy of 5-FU is not
demonstrated in Lynch syndrome, MOSAIC trial had suggested a benefit from FOLFOX
compared with 5-FU in patients who have colorectal cancer with Lynch syndrome.
Oxaliplatin-based adjuvant chemotherapy can be a therapeutic option for
colorectal cancer in lynch syndrome patients.
PMID- 29362346
TI - [A Case of Rectal Cancer with Multiple Endocrine Neoplasia Type 2].
AB - A 63-year-old man with multiple endocrine neoplasia type 2(MEN2)was admitted to
the hospital because of positive fecal occult blood tests. Colonoscopy revealed a
50mm type 2 tumor at the rectum, which was diagnosed as an adenocarcinoma based
on histology. Since there was no apparent distant metastasis, laparoscopy
assisted low anterior resection with regional lymph node dissection was
performed. The final diagnosis was pT3, pN0, pM0, pStage II . Almost all patients
with MEN2 have RET mutations, and they are resistant to EGFR inhibitors. Those
who have thyroid cancer with RET mutations are often given a RET kinase
inhibitor. In this case, if the patient develops recurrent rectal cancer, it
warrants checking for RET mutations and using a multi-kinase inhibitor.
PMID- 29362347
TI - [A Case of Endocrine Cell Carcinoma of the Transverse Colon with Very Poor
Prognosis, Onset with Bowel Obstruction].
AB - We report a case of endocrine cell carcinoma of the colon with very poor
prognosis, onset with bowel obstruction and multiple liver metastases. The
patient was a 77-year-old man who underwent left hemicolectomy after a colon
stent treatment for bowel obstruction due to cancer of the transverse colon with
unresectable multiple liver metastases. Chemotherapy was not initiated because of
his poor health. He died of primary cancer 52 days after the surgery. Endocrine
cell carcinoma of the large intestine has a poor prognosis due to an early onset
of liver and lymph node metastases, as well as peritoneal dissemination. A large
scale clinical study is needed to establish an effective adjuvant chemotherapy.
PMID- 29362348
TI - [A Case of Study Stable Disease after Hepatic Arterial Infusion Chemotherapy in a
Patient with Systemic Chemotherapy-Resistant Liver Metastases of Colon Cancer].
AB - A 62-year-old man was underwent sigmoidectomy for sigmoid colon cancer(T3N1H0P0,
Stage III a). He received a postoperative systemic chemotherapy with SOX. Five
months after the operation, multiple liver metastases were detected by CT scan.
Systemic chemotherapy(bevacizumab and SOX, bevacizumab and FOLFIRI)did not reduce
the liver metastases. So 4 courses of hepatic arterial infusion(HAI)chemotherapy
with CDDP 10mg/day and 5-FU 500mg/day for 2weeks were performed without severe
adverse events. All the liver metastases decreased in size remarkably, and the
hepatic resection was able to be performed. We think HAI chemotherapy is one of
the useful options for resistance to systemic chemotherapy for liver metastases
from colorectal cancer.
PMID- 29362349
TI - [Brain Metastasis of Triple Negative Breast Cancer after Pathological Complete
Response to Neoadjuvant Chemotherapy - A Case Report].
AB - We report the case of a patient with triple negative breast cancer(TNBC)who
showed isolated brain metastasis relatively soon after pathological complete
response(pCR)to neoadjuvant chemotherapy. A 45-year-old woman with a diagnosis of
TNBC(T2N1M0, Stage II B)received neoadjuvant chemotherapy with 5
FU/epirubicin/cyclophosphamide(FEC), followed by docetaxel. After the neoadjuvant
chemotherapy, she underwent mastectomy and axillary lymph node dissection.
Histological examination of the resected specimens revealed pCR. Brain
metastasis, however, developed 7 months after the resection. She underwent total
removal of the brain tumor and 50 Gy irradiation to the right frontal lobe.
Histological examination confirmed a diagnosis of metastasis from TNBC. She is
doing well with no evidence of disease 81 months after resection of the brain
metastasis. This case and a review of the literature suggest that the clinician
should be aware that brain metastasis from breast cancer may develop even after
achieving pCR to neoadjuvant chemotherapy. Surgical resection followed by
radiotherapy may provide a survival benefit for selected patients with isolated
brain metastasis from breast cancer.
PMID- 29362350
TI - [A Case of Hepatocellular Carcinoma with Right Atrium Tumor Thrombus and Multiple
Intrahepatic Metastases That Remarkably Responded to Combination Therapy
Including Surgery].
AB - The prognosis for hepatocellular carcinoma(HCC)with right atrium tumor
thrombus(RATT)is dismal and necessary to be treated on as oncologic emergency. We
report a case of HCC with RATT which was treated with multidisciplinary therapy
including surgery. After repeated transarterial chemoembolization(TACE)and
radiofrequency ablation(RFA), a 71-year-old man consulted to our hospital with
multiple HCC, lung metastasis, and RATT. Left hemihepatectomy, thrombectomy of
RATT, and 3 months of hepatic arterial infusion chemotherapy(HAIC)was performed,
and intrahepatic HCCs were controlled. However, during treatment of lung
metastasis by sorafenib, the patient died of brain metastasis, 3 years after the
first treatment. Although surgery and HAIC may give a chance of further treatment
by control of intrahepatic HCCs, stronger regimen for systemic HCC would be
necessary.
PMID- 29362351
TI - [A Case of Advanced Breast Cancer with Liver Metastasis Successfully Treated with
Multi-Disciplinary].
AB - We report a case of advanced breast cancer with liver metastasis(T2N1M1, Stage IV
)achieving a significant improvement of QOL by multi-disciplinary therapy. The
patient was 37-year-old woman who had breast lump and axillary lymph nodes
swelling with liver metastasis. A core needle biopsy for breast tumor led to a
diagnosis of an invasive ductal carcinoma, negative for estrogen receptor and
progesterone receptor, and positive for HER2/neu protein expression. The Ki-67
positive cell index was 40%. She received 16 courses of DOC plus HER plus
PER(docetaxel 75mg/m / 2, trastuzumab 6 mg/kg, pertu- zumab 450mg/body, and
received 4 courses of EC(epirubicin 90mg/m / 2, cyclophosphamide 600 mg/m2). The
breast lesion and liver metastatic lesion disappeared after chemotherapy. We
checked up whole body. There was no metastatic lesion. Therefore, we diagnosed a
clinical complete response. We performed muscle preserving mastectomy and
axillary lymph nodes dissection. The pathological diagnosis from resected
specimens were pathological complete response. The surgical margin was negative.
She was started the endocrine therapy by tamoxifen(20mg/day). Three years after
surgery, she was well without metastases. Multi-disciplinary therapy can improve
patient QOL and the clinical outcomes in Stage IV advanced breast cancer.
PMID- 29362352
TI - Benign Pericardial Schwannoma: Case Report and Summary of Previously Reported
Cases.
AB - BACKGROUND Primary pericardial tumors have a prevalence of between 6.7% and 12.8%
of all tumors arising in the cardiac region. Pericardial schwannoma is a rare
entity. It arises from the cardiac plexus and vagus nerve innervating the heart.
Most of the reported cases, have presented with benign behavior, however, in rare
situations, they can undergo transformation to malignant behavior When comparing
the prevalence of cardiac tumors to that of pericardial tumors, the latter is
much lower in occurrence. A review of English literature identified six
pericardial schwannoma cases. CASE REPORT We present a case of a 30-year-old male
patient who presented to our center with the chief complaint of six months of
gradually progressive left chest pain. His past medical history (PMH) was
positive for panic attacks (for which he was taking beta-blockers), paroxysmal
tachycardia, sweating, and irritability. A computed tomography chest scan was
done; a differential diagnosis of paraganglioma was suggested. However,
histopathological examination confirmed the pericardial mass was a schwannoma.
The patient was surgically treated by thoracotomy to resect the lesion.
CONCLUSIONS This case adds to the existing limited literature on pericardial
schwannoma as the seventh reported case. Neurogenic cardiac tumors; our case
marks the second case reported to occur in the subcarinal area near the left
atrium.
PMID- 29362353
TI - Role of Xeroderma Pigmentosum Group D in Cell Cycle and Apoptosis in Cutaneous
Squamous Cell Carcinoma A431 Cells.
AB - BACKGROUND Cutaneous squamous cell carcinoma (cSCC) is the second most widespread
cancer in humans and its incidence is rising. Novel therapy with better efficacy
is needed for clinical treatment of cSCC. Many studies have shown the importance
of DNA repair pathways during the development of cancer. A key nucleotide
excision repair (NER) protein, xeroderma pigmentosum group D (XPD), is
responsible for the excision of a large variety of bulky DNA lesions. MATERIAL
AND METHODS To explore the role of XPD in A431 cells, we overexpressed XPD in
A431 cells and performed MTT assay, flow cytometry, and Western blot analysis to
examine cell proliferation, cell apoptosis, and genes expression. RESULTS We
found that the overexpression of XPD suppressed cell viability, induced cell
cycle arrest at G1 phase, and promoted cell apoptosis. Additionally, XPD blocked
the expression of c-myc, cdc25A, and cdk2, and improved the levels of HIPK2 and
p53. CONCLUSIONS These results provide new evidence to reveal the role of XPD in
cSCC A431 cells and suggest that XPD may serve as an anti-oncogene during cSCC
development.
PMID- 29362356
TI - Suppression of interdiffusion-induced voiding in oxidation of copper nanowires
with twin-modified surface.
AB - Cavitation and hollow structures can be introduced in nanomaterials via the
Kirkendall effect in an alloying or reaction system. By introducing dense
nanoscale twins into copper nanowires (CuNWs), we change the surface structure
and prohibit void formation in oxidation of the nanowires. The nanotwinned CuNW
exhibits faceted surfaces of very few atomic steps as well as a very low vacancy
generation rate at copper/oxide interfaces. Together they lower the oxidation
rate and eliminate void formation at the copper/oxide interface. We propose that
the slow reaction rate together with the highly effective vacancy absorption at
interfaces leads to a lattice shift in the oxidation reaction. Our findings
suggest that the nanoscale Kirkendall effect can be manipulated by controlling
the internal and surface crystal defects of nanomaterials.
PMID- 29362354
TI - Epsin and Sla2 form assemblies through phospholipid interfaces.
AB - In clathrin-mediated endocytosis, adapter proteins assemble together with
clathrin through interactions with specific lipids on the plasma membrane.
However, the precise mechanism of adapter protein assembly at the cell membrane
is still unknown. Here, we show that the membrane-proximal domains ENTH of epsin
and ANTH of Sla2 form complexes through phosphatidylinositol 4,5-bisphosphate
(PIP2) lipid interfaces. Native mass spectrometry reveals how ENTH and ANTH
domains form assemblies by sharing PIP2 molecules. Furthermore, crystal
structures of epsin Ent2 ENTH domain from S. cerevisiae in complex with PIP2 and
Sla2 ANTH domain from C. thermophilum illustrate how allosteric phospholipid
binding occurs. A comparison with human ENTH and ANTH domains reveal only the
human ENTH domain can form a stable hexameric core in presence of PIP2, which
could explain functional differences between fungal and human epsins. We propose
a general phospholipid-driven multifaceted assembly mechanism tolerating
different adapter protein compositions to induce endocytosis.
PMID- 29362357
TI - Volcanic crystals as time capsules of eruption history.
AB - Crystals formed prior to a volcanic event can provide evidence of processes
leading to and timing of eruptions. Clinopyroxene is common in basaltic to
intermediate volcanoes, however, its ability as a recorder of pre-eruptive
histories has remained comparatively underexplored. Here we show that novel high
resolution trace element images of clinopyroxene track eruption triggers and
timescales at Mount Etna (Sicily, Italy). Chromium (Cr) distribution in
clinopyroxene from 1974 to 2014 eruptions reveals punctuated episodes of
intrusion of primitive magma at depth. Magma mixing efficiently triggered
volcanism (success rate up to 90%), within only 2 weeks of arrival of mafic
intrusions. Clinopyroxene zonations distinguish between injections of mafic magma
and regular recharges with more evolved magma, which often fail to tip the system
to erupt. High Cr zonations can therefore be used to reconstruct past eruptions
and inform responses to geophysical signals of volcano unrest, potentially
offering an additional approach to volcano hazard monitoring.
PMID- 29362355
TI - Hypoxia and hypoxia inducible factor-1alpha are required for normal endometrial
repair during menstruation.
AB - Heavy menstrual bleeding (HMB) is common and debilitating, and often requires
surgery due to hormonal side effects from medical therapies. Here we show that
transient, physiological hypoxia occurs in the menstrual endometrium to stabilise
hypoxia inducible factor 1 (HIF-1) and drive repair of the denuded surface. We
report that women with HMB have decreased endometrial HIF-1alpha during
menstruation and prolonged menstrual bleeding. In a mouse model of simulated
menses, physiological endometrial hypoxia occurs during bleeding. Maintenance of
mice under hyperoxia during menses decreases HIF-1alpha induction and delays
endometrial repair. The same effects are observed upon genetic or pharmacological
reduction of endometrial HIF-1alpha. Conversely, artificial induction of hypoxia
by pharmacological stabilisation of HIF-1alpha rescues the delayed endometrial
repair in hypoxia-deficient mice. These data reveal a role for HIF-1 in the
endometrium and suggest its pharmacological stabilisation during menses offers an
effective, non-hormonal treatment for women with HMB.
PMID- 29362358
TI - Therapeutic potential of targeting S100A11 in malignant pleural mesothelioma.
AB - Malignant pleural mesothelioma (MPM) is an aggressive tumor with an unfavorable
prognosis. The standard therapeutic approaches are limited to surgery,
chemotherapy, and radiotherapy. Because the consequent clinical outcome is often
unsatisfactory, a different approach in MPM treatment is required. S100A11, a
Ca2+-binding small protein with two EF-hands, is frequently upregulated in
various human cancers. Interestingly, it has been found that intracellular and
extracellular S100A11 have different functions in cell viability. In this study,
we focused on the impact of extracellular S100A11 in MPM and explored the
therapeutic potential of an S100A11-targeting strategy. We examined the secretion
level of S100A11 in various kinds of cell lines by enzyme-linked immunosorbent
assay. Among them, six out of seven MPM cell lines actively secreted S100A11,
whereas normal mesothelial cell lines did not secrete it. To investigate the role
of secreted S100A11 in MPM, we inhibited its function by neutralizing S100A11
with an anti-S100A11 antibody. Interestingly, the antibody significantly
inhibited the proliferation of S100A11-secreting MPM cells in vitro and in vivo.
Microarray analysis revealed that several pathways including genes involved in
cell proliferation were negatively enriched in the antibody-treated cell lines.
In addition, we examined the secretion level of S100A11 in various types of
pleural effusions. We found that the secretion of S100A11 was significantly
higher in MPM pleural effusions, compared to others, suggesting the possibility
for the use of S100A11 as a biomarker. In conclusion, our results indicate that
extracellular S100A11 plays important roles in MPM and may be a therapeutic
target in S100A11-secreting MPM.
PMID- 29362360
TI - Sensitisation to mitoxantrone-induced apoptosis by the oncolytic adenovirus Ad??
through Bcl-2-dependent attenuation of autophagy.
AB - Anti-apoptotic Bcl-2 is frequently activated in human malignant cells to promote
cell survival and inhibit cell death. Replication-selective oncolytic
adenoviruses deleted in the functional Bcl-2 homologue E1B19K potently synergise
with apoptosis-inducing chemotherapeutic drugs, including mitoxantrone for
prostate cancer. Here, we demonstrate that our previously generated oncolytic
mutant Ad?? (E1B19K- and E1ACR2-deleted) caused potent synergistic apoptotic cell
death in both drug-sensitive 22Rv1, and drug-insensitive PC3 and PC3M prostate
cancer cells. The synergistic cell killing was dependent on Bcl-2 expression and
was prevented by Bcl-2 knockdown, which led to activation of the autophagy
pathway. Mitoxantrone-induced autophagy, which was decreased in combination with
Ad??-infection resulting in increased apoptosis. Expression of the viral E1A12S
protein alone mimicked the synergistic effects with Ad?? in combination with
mitoxantrone while intact wild-type virus (Ad5) had no effect. Early and late
stage inhibition of autophagy by Atg7 knockdown and chloroquine respectively,
promoted apoptotic cell killing with mitoxantrone similar to Ad??. These findings
revealed currently unexplored actions of E1B19K-deleted oncolytic adenoviruses
and the central role of Bcl-2 in the synergistic cell killing. This study
suggests that cancers with functional Bcl-2 expression may be selectively re
sensitised to drugs by Ad??.
PMID- 29362359
TI - Impaired DNA damage response signaling by FUS-NLS mutations leads to
neurodegeneration and FUS aggregate formation.
AB - Amyotrophic lateral sclerosis (ALS) is the most frequent motor neuron disease.
Cytoplasmic fused in sarcoma (FUS) aggregates are pathological hallmarks of FUS
ALS. Proper shuttling between the nucleus and cytoplasm is essential for
physiological cell function. However, the initial event in the pathophysiology of
FUS-ALS remains enigmatic. Using human induced pluripotent stem cell (hiPSCs)
derived motor neurons (MNs), we show that impairment of poly(ADP-ribose)
polymerase (PARP)-dependent DNA damage response (DDR) signaling due to mutations
in the FUS nuclear localization sequence (NLS) induces additional cytoplasmic FUS
mislocalization which in turn results in neurodegeneration and FUS aggregate
formation. Our work suggests that a key pathophysiologic event in ALS is upstream
of aggregate formation. Targeting DDR signaling could lead to novel therapeutic
routes for ameliorating ALS.
PMID- 29362362
TI - Ag-migration effects on the metastable phase in CaCu3Ti4O12 capacitors.
AB - The silver migration effect into the metastable phase forms a micro-electric
path, to enhance the relative dielectric permittivity of CaCu3Ti4O12 ceramics for
electronic devices. Controlling the sintering time uniquely develops the
metastable phase of as-sintered CaCu3Ti4O12 ceramics. A post-heating process that
applies the migration of silver into the metastable phase increases the relative
dielectric permittivity. At 1 kHz frequency, the relative dielectric permittivity
at room temperature of the silver-migrated CaCu3Ti4O12 ceramics sintered for 2 h
is 565.9 * 103, almost 52 times higher than that of the as-sintered CaCu3Ti4O12
ceramics. The selected area electron diffraction (SAED) patterns of the large and
small grains were similar, but differed from those of the metastable region,
including the grain boundary of the as-sintered CaCu3Ti4O12 ceramics sintered for
2 h by TEM technique. This phenomenon suggests that enabling Ag-migration into
the metastable phase develops a micro-electric path that improves the relative
dielectric permittivity of CaCu3Ti4O12 ceramics.
PMID- 29362361
TI - Whole-exome sequencing identifies common and rare variant metabolic QTLs in a
Middle Eastern population.
AB - Metabolomics-genome-wide association studies (mGWAS) have uncovered many
metabolic quantitative trait loci (mQTLs) influencing human metabolic
individuality, though predominantly in European cohorts. By combining whole-exome
sequencing with a high-resolution metabolomics profiling for a highly
consanguineous Middle Eastern population, we discover 21 common variant and 12
functional rare variant mQTLs, of which 45% are novel altogether. We fine-map 10
common variant mQTLs to new metabolite ratio associations, and 11 common variant
mQTLs to putative protein-altering variants. This is the first work to report
common and rare variant mQTLs linked to diseases and/or pharmacological targets
in a consanguineous Arab cohort, with wide implications for precision medicine in
the Middle East.
PMID- 29362363
TI - HNRNPH1 is required for rhabdomyosarcoma cell growth and survival.
AB - Rhabdomyosarcoma (RMS) is an aggressive and difficult to treat cancer
characterized by a muscle-like phenotype. Although the average 5-y survival rate
is 65% for newly diagnosed RMS, the treatment options for metastatic disease are
limited in efficacy, with the 5-y survival rate plummeting to 30%. Heterogenous
nuclear ribonucleoprotein H1 (HNRNPH1) is an RNA-binding protein that is highly
expressed in many cancers, including RMS. To determine the role HNRNPH1 plays in
RMS tumorigenesis, we investigated its expression and effect on growth in three
cellular models of RMS: RD, RH30, and RH41 cells. Upon knockdown of HNRNPH1,
growth of all cell lines was reduced, most likely through a combination of
apoptosis and cell cycle arrest. We then recapitulated this finding by performing
in vivo xenograft studies, in which knockdown of HNRNPH1 resulted in a reduction
of tumor formation and growth. We used RNA sequencing to identify changes in gene
expression after HNRNPH1 knockdown and found altered splicing of some oncogenes.
Our data contribute to understanding the role of HNRNPH1 in RMS development.
PMID- 29362364
TI - A Discontinuous Galerkin Model for Fluorescence Loss in Photobleaching.
AB - Fluorescence loss in photobleaching (FLIP) is a modern microscopy method for
visualization of transport processes in living cells. This paper presents the
simulation of FLIP sequences based on a calibrated reaction-diffusion system
defined on segmented cell images. By the use of a discontinuous Galerkin method,
the computational complexity is drastically reduced compared to continuous
Galerkin methods. Using this approach on green fluorescent protein (GFP), we can
determine its intracellular diffusion constant, the strength of localized
hindrance to diffusion as well as the permeability of the nuclear membrane for
GFP passage, directly from the FLIP image series. Thus, we present for the first
time, to our knowledge, a quantitative computational FLIP method for inferring
several molecular transport parameters in parallel from FLIP image data acquired
at commercial microscope systems.
PMID- 29362365
TI - Fungal networks shape dynamics of bacterial dispersal and community assembly in
cheese rind microbiomes.
AB - Most studies of bacterial motility have examined small-scale (micrometer
centimeter) cell dispersal in monocultures. However, bacteria live in
multispecies communities, where interactions with other microbes may inhibit or
facilitate dispersal. Here, we demonstrate that motile bacteria in cheese rind
microbiomes use physical networks created by filamentous fungi for dispersal, and
that these interactions can shape microbial community structure. Serratia
proteamaculans and other motile cheese rind bacteria disperse on fungal networks
by swimming in the liquid layers formed on fungal hyphae. RNA-sequencing,
transposon mutagenesis, and comparative genomics identify potential genetic
mechanisms, including flagella-mediated motility, that control bacterial
dispersal on hyphae. By manipulating fungal networks in experimental communities,
we demonstrate that fungal-mediated bacterial dispersal can shift cheese rind
microbiome composition by promoting the growth of motile over non-motile
community members. Our single-cell to whole-community systems approach highlights
the interactive dynamics of bacterial motility in multispecies microbiomes.
PMID- 29362366
TI - Platelet subpopulations remain despite strong dual agonist stimulation and can be
characterised using a novel six-colour flow cytometry protocol.
AB - It is recognised that platelets respond differently to activation, where a
subpopulation of platelets adopt a procoagulant phenotype while others are
aggregatory. However, it has not been thoroughly tested whether these
subpopulations will remain in maximally activated samples, or if they are merely
a result of different platelet sensitivities to agonist activation. Here
platelets were activated with gradually increasing concentrations of thrombin
and/or the GPVI agonist cross-linked collagen-related peptide (CRP-XL). Platelet
activation was investigated using a novel six-colour flow cytometry protocol
evaluating exposure of phosphatidylserine, active conformation of the fibrinogen
receptor alphaIIbbeta3, alpha-granule and lysosomal release (P-selectin and LAMP
1 exposure), mitochondrial membrane integrity and platelet fragmentation. Upon
activation by CRP-XL or thrombin+CRP-XL, platelets formed three differently sized
subpopulations. Normal-sized platelets showed high exposure of aggregatory active
alphaIIbbeta3 and intact mitochondria, while the smaller platelets and platelet
fragments showed high exposure of procoagulant phosphatidylserine. The
distribution of platelets between the differently sized subpopulations remained
stable despite high agonist concentrations. All three were still present after 30
and 60 min of activation, showing that all platelets will not have the same
characteristics even after maximal stimulation. This suggests that platelet
subpopulations with distinct activation patterns exist within the total platelet
population.
PMID- 29362368
TI - Physiological status of plant tissue affects the frequency and types of mutations
induced by carbon-ion irradiation in Arabidopsis.
AB - Ionizing radiation including heavy-ion beams has been widely used in mutation
breeding. Dry seeds, seedlings, and cultured tissues are often used for
mutagenesis; however, little is known about the differences in induced mutations
among them. Here, we examined the characteristics of mutations using randomly
chosen Arabidopsis M2 plants derived from dry seeds and seedlings irradiated with
carbon ions. The mutation frequency was 1.4-1.9 times higher in dry-seed
irradiation than in seedling irradiation. This difference was mainly due to the
three-times higher frequency of insertions and deletions (InDels) in dry-seed
irradiation than in seedling irradiation. This difference increased the
proportion of mutations predicted to affect gene function among all mutations
identified by whole genome re-sequencing. Our results demonstrate that the
physiological status of plant tissue greatly affects the characteristics of
mutations induced by ionizing radiation, and that dry seeds are more suitable
materials than seedlings for inducing loss-of-function mutations. The results
also showed that single base deletions often occurred in homopolymeric sequences,
while InDels larger than 2-3 bp often occurred in or near polynucleotide-repeat
or microhomologous sequences. Interestingly, microhomology was less commonly
found around large deletions (>=50 bp), suggesting that the rejoining process
differs depending on the deletion size.
PMID- 29362367
TI - A hypoxia- and telomerase-responsive oncolytic adenovirus expressing secretable
trimeric TRAIL triggers tumour-specific apoptosis and promotes viral dispersion
in TRAIL-resistant glioblastoma.
AB - Glioblastoma is a highly aggressive and malignant type of cancer that is
apoptosis resistant and difficult to cure by conventional cancer therapies. In
this regard, an oncolytic adenovirus that selectively targets the tumour tissue
and induces tumour cell lysis is a promising treatment option. We designed and
constructed a hypoxia-responsive and cancer-specific modified human telomerase
reverse transcriptase (H5CmTERT) promoter to drive replication of an oncolytic
adenovirus (H5CmTERT-Ad). To enhance the anti-tumour efficacy of H5CmTERT-Ad
against malignant glioblastoma, we also generated an H5CmTERT-Ad expressing
secretable trimeric tumour necrosis factor-related apoptosis-inducing ligand
(H5CmTERT-Ad/TRAIL). H5CmTERT promoter-regulated oncolytic adenoviruses showed
cancer-specific and superior cell-killing effect in contrast to a cognate control
oncolytic adenovirus replicating under the control of the endogenous adenovirus
promoter. The cancer cell-killing effects of H5CmTERT-Ad and H5CmTERT-Ad/TRAIL
were markedly higher during hypoxia than normoxia owing to hypoxia responsiveness
of the promoter. H5CmTERT-Ad/TRAIL showed more potent anti-tumour efficacy than
H5CmTERT-Ad did in a xenograft model of TRAIL-resistant subcutaneous and
orthotopic glioblastoma through superior induction of apoptosis and more
extensive virus distribution in the tumour tissue. Altogether, our findings show
that H5CmTERT-Ad/TRAIL can promote dispersion of an oncolytic adenovirus through
robust induction of apoptosis in a highly TRAIL-resistant glioblastoma.
PMID- 29362369
TI - High-temperature operation of electrical injection type-II
(GaIn)As/Ga(AsSb)/(GaIn)As "W"-quantum well lasers emitting at 1.3 um.
AB - Electrical injection lasers emitting in the 1.3 MUm wavelength regime based on
(GaIn)As/Ga(AsSb)/(GaIn)As type-II double "W"-quantum well heterostructures grown
on GaAs substrate are demonstrated. The structure is designed by applying a fully
microscopic theory and fabricated using metal organic vapor phase epitaxy.
Temperature-dependent electroluminescence measurements as well as broad-area edge
emitting laser studies are carried out in order to characterize the resulting
devices. Laser emission based on the fundamental type-II transition is
demonstrated for a 975 MUm long laser bar in the temperature range between 10
degrees C and 100 degrees C. The device exhibits a differential efficiency of 41
% and a threshold current density of 1.0 kA/cm2 at room temperature. Temperature
dependent laser studies reveal characteristic temperatures of T0 = (132 +/- 3) K
over the whole temperature range and T1 = (159 +/- 13) K between 10 degrees C
and 70 degrees C and T1 = (40 +/- 1) K between 80 degrees C and 100 degrees C.
PMID- 29362370
TI - Active and dynamic mitochondrial S-depalmitoylation revealed by targeted
fluorescent probes.
AB - The reversible modification of cysteine residues by thioester formation with
palmitate (S-palmitoylation) is an abundant lipid post-translational modification
(PTM) in mammalian systems. S-palmitoylation has been observed on mitochondrial
proteins, providing an intriguing potential connection between metabolic lipids
and mitochondrial regulation. However, it is unknown whether and/or how
mitochondrial S-palmitoylation is regulated. Here we report the development of
mitoDPPs, targeted fluorescent probes that measure the activity levels of
"erasers" of S-palmitoylation, acyl-protein thioesterases (APTs), within
mitochondria of live cells. Using mitoDPPs, we discover active S-depalmitoylation
in mitochondria, in part mediated by APT1, an S-depalmitoylase previously thought
to reside in the cytosol and on the Golgi apparatus. We also find that
perturbation of long-chain acyl-CoA cytoplasm and mitochondrial regulatory
proteins, respectively, results in selective responses from cytosolic and
mitochondrial S-depalmitoylases. Altogether, this work reveals that mitochondrial
S-palmitoylation is actively regulated by "eraser" enzymes that respond to
alterations in mitochondrial lipid homeostasis.
PMID- 29362372
TI - High-fidelity entanglement swapping and generation of three-qubit GHZ state using
asynchronous telecom photon pair sources.
AB - We experimentally demonstrate a high-fidelity entanglement swapping and a
generation of the Greenberger-Horne-Zeilinger (GHZ) state using polarization
entangled photon pairs at telecommunication wavelength produced by spontaneous
parametric down conversion with continuous-wave pump light. While spatially
separated sources asynchronously emit photon pairs, the time-resolved photon
detection guarantees the temporal indistinguishability of photons without active
timing synchronizations of pump lasers and/or adjustment of optical paths. In the
experiment, photons are sufficiently narrowed by fiber-based Bragg gratings with
the central wavelengths of 1541 nm & 1580 nm, and detected by superconducting
nanowire single-photon detectors with low timing jitters. The observed fidelities
of the final states for entanglement swapping and the generated three-qubit state
were 0.84 +/- 0.04 and 0.70 +/- 0.05, respectively.
PMID- 29362371
TI - KRAS and BRAF mutations in circulating tumour DNA from locally advanced rectal
cancer.
AB - There are limited data on circulating, cell-free, tumour (ct)DNA analysis in
locally advanced rectal cancer (LARC). Digital droplet (dd)PCR was used to
investigate KRAS/BRAF mutations in ctDNA from baseline blood samples of 97 LARC
patients who were treated with CAPOX followed by chemoradiotherapy, surgery and
adjuvant CAPOX +/- cetuximab in a randomised phase II trial. KRAS mutation in
G12D, G12V or G13D was detected in the ctDNA of 43% and 35% of patients with
tumours that were mutant and wild-type for these hotspot mutations, respectively,
according to standard PCR-based analyses on tissue. The detection rate in the
ctDNA of 10 patients with less common mutations was 50%. In 26 cases ctDNA
analysis revealed KRAS mutations that were not previously found in tissue. Twenty
two of these (84.6%) were detected following repeat tissue testing by ddPCR.
Overall, the ctDNA detection rate in the KRAS mutant population was 66%.
Detection of KRAS mutation in ctDNA failed to predict prognosis or refine patient
selection for cetuximab. While this study confirms the feasibility of ctDNA
analysis in LARC and the high sensitivity of ddPCR, larger series are needed to
better address the role of ctDNA as a prognostic or predictive tool in this
setting.
PMID- 29362373
TI - Streamlined sensory motor communication through cortical reciprocal connectivity
in a visually guided eye movement task.
AB - Cortical computation is distributed across multiple areas of the cortex by
networks of reciprocal connectivity. However, how such connectivity contributes
to the communication between the connected areas is not clear. In this study, we
examine the communication between sensory and motor cortices. We develop an eye
movement task in mice and combine it with optogenetic suppression and two-photon
calcium imaging techniques. We identify a small region in the secondary motor
cortex (MOs) that controls eye movements and reciprocally connects with a
rostrolateral part of the higher visual areas (VRL/A/AL). These two regions
encode both motor signals and visual information; however, the information flow
between the regions depends on the direction of the connectivity: motor
information is conveyed preferentially from the MOs to the VRL/A/AL, and sensory
information is transferred primarily in the opposite direction. We propose that
reciprocal connectivity streamlines information flow, enhancing the computational
capacity of a distributed network.
PMID- 29362374
TI - Repeated photon and C-ion irradiations in vivo have different impact on
alteration of tumor characteristics.
AB - Precise characterization of tumor recurrence and regrowth after radiotherapy are
important for prognostic understanding of the therapeutic effect. Here, we
established a novel in vivo mouse model for evaluating the characteristics of
regrown tumor after repeated photon and carbon ion (C-ion) irradiations. The
results showed that tumor growth rate, lung metastasis, shortening of the
survival of the tumor-bearing mice, and tumor microvessel formation were promoted
2- to 3-fold, and expression of angiogenic and metastatic genes increased 1.5- to
15-fold in regrown tumors after repeated photon irradiations, whereas repeated C
ion irradiations did not alter these characteristics. Interestingly, both
repeated photon and C-ion irradiations did not generate radioresistance, which is
generally acquired for in vitro treatment. Our results demonstrated that the
repetition of photon, and not C-ion, irradiations in vivo alter the
characteristics of the regrown tumor, making it more aggressive without
acquisition of radioresistance.
PMID- 29362375
TI - Antioxidative, anti-inflammatory and anti-apoptotic effects of ellagic acid in
liver and brain of rats treated by D-galactose.
AB - Accumulating evidence has suggested that oxidative stress and apoptosis are
involved in the ageing process. D-galactose (gal) has been reported to cause
symptoms of ageing in rats, accompanied by liver and brain injuries. Our study
aimed to investigate the potential antioxidative, anti-inflammatory and anti
apoptotic effects of ellagic acid and to explore how these effects act on rats in
a D-gal-induced ageing model. Ageing was induced by subcutaneous injection of D
gal (100 mg/kg/d for 8 weeks). Ellagic acid was simultaneously administered to
the D-gal-induced ageing rats once daily by intragastric gavage. Finally, the
mental condition, body weight, organ index, levels of inflammatory cytokines,
antioxidative enzymes, and liver function, as well as the expression of pro- and
anti-apoptotic proteins, were monitored. Our results showed that ellagic acid
could improve the mental condition, body weight, organ index and significantly
decrease the levels of inflammatory cytokines, normalize the activities of
antioxidative enzymes, and modulate the expression of apoptotic protein in ageing
rats. In conclusion, the results of this study illustrate that ellagic acid was
suitable for the treatment of some ageing-associated problems, such as oxidative
stress, and had beneficial effects for age-associated diseases.
PMID- 29362377
TI - Annual shifts of flow regime alteration: new insights from the Chaishitan
Reservoir in China.
AB - Reservoir regulation is variable for flow regime alterations and mainly depends
on operational objectives and hydro-meteorological conditions. In this study, the
flow regime metrics (i.e., magnitude, variability and frequency, duration, timing
and rate of change) are adopted to describe variations in both long-term inflow
and outflow series of the Chaishitan Reservoir in China. Deviations between the
inflow and outflow metrics are calculated to assess the flow regime alterations
at annual scale. Further, dimensions of both time and flow regimes are reduced by
multivariate statistical analysis, and the regulation patterns and their annual
shifts are identified. Results show that: four regulation patterns are identified
from 2004 to 2015. The regulation is gradually enhanced over time with typical
features of different hydrological years. In dry years, the pattern is slightly
regulated flow regimes with slightly discharging stored water and flattening
outflow, moderate stability and intermittency. In normal years, the pattern is
slightly regulated flow regimes with extremely increasing flow magnitude in the
pre-nonflood season, high stability and slight intermittency. In wet years, the
pattern is moderately regulated flow regimes with moderately decreasing flow
magnitude in the flood season but extremely increasing flow magnitude in the
nonflood season, slight stability and high intermittency.
PMID- 29362376
TI - A mouse model of autism implicates endosome pH in the regulation of presynaptic
calcium entry.
AB - Psychoactive compounds such as chloroquine and amphetamine act by dissipating the
pH gradient across intracellular membranes, but the physiological mechanisms that
normally regulate organelle pH remain poorly understood. Interestingly, recent
human genetic studies have implicated the endosomal Na+/H+ exchanger NHE9 in both
autism spectrum disorders (ASD) and attention deficit hyperactivity disorder
(ADHD). Plasma membrane NHEs regulate cytosolic pH, but the role of intracellular
isoforms has remained unclear. We now find that inactivation of NHE9 in mice
reproduces behavioral features of ASD including impaired social interaction,
repetitive behaviors, and altered sensory processing. Physiological
characterization reveals hyperacidic endosomes, a cell-autonomous defect in
glutamate receptor expression and impaired neurotransmitter release due to a
defect in presynaptic Ca2+ entry. Acute inhibition of synaptic vesicle
acidification rescues release but without affecting the primary defect due to
loss of NHE9.
PMID- 29362378
TI - Comparing different technologies for active TB case-finding among the homeless: a
transmission-dynamic modelling study.
AB - Homeless persons have elevated risk of tuberculosis (TB) and are under-served by
conventional health services. Approaches to active case-finding (ACF) and
treatment tailored to their needs are required. A transmission-dynamic model was
developed to assess the effectiveness and efficiency of screening with mobile
Chest X-ray, GeneXpert, or both. Effectiveness of ACF depends upon the prevalence
of infection in the population (which determines screening 'yield'), patient
willingness to wait for GeneXpert results, and treatment adherence. ACF is
efficient when TB prevalence exceeds 78/100,000 and 46% of drug sensitive TB
cases and 33% of multi-drug resistant TB cases complete treatment. This threshold
increases to 92/100,000 if additional post-ACF enhanced case management (ECM)
increases treatment completion to 85%. Generally, the most efficient option is
one-step screening of all patients with GeneXpert, but if too many patients (>27%
without ECM, >19% with ECM) are unwilling to wait the 90 minutes required then
two-step screening using chest X-ray (which is rapid) followed by GeneXpert for
confirmation of TB is the most efficient option. Targeted ACF and support
services benefit health through early successful treatment and averting TB
transmission and disease. The optimal strategy is setting-specific, requiring
careful consideration of patients' needs regarding testing and treatment.
PMID- 29362379
TI - New rapid one-step PCR diagnostic assay for Plasmodium falciparum infective
mosquitoes.
AB - An essential component of malaria vector control programmes is the detection of
Plasmodium falciparum within its mosquito vectors, particularly in the salivary
glands where the infective sporozoites reside. Several protocols have been
developed for this purpose; however they require dissection of mosquito specimens
prior to analysis. Here, a novel one-step RT-qPCR TaqMan diagnostic assay was
developed for mosquitoes with infective Plasmodium falciparum sporozoites in the
salivary glands. It is based on detection of the sporozoite-specific Pfslarp and
Pfplp1 gene transcripts. These transcripts were chosen based on bioinformatics
analysis, and experimentally verified to be overexpressed in the salivary gland
sporozoite stage of the parasite compared to other mosquito parasite stages. The
proof of principle and the performance of the assay were demonstrated using
RNAlater preserved mosquito samples. Tests of analytical sensitivity showed the
novel TaqMan assay to be 100% accurate, although its performance in the field
needs to be further demonstrated. This method has no requirement for dissection
and post-PCR processing and thus is simple and rapid to perform in individual
mosquitoes or mosquito pools. It can be used in single or multiplex formats also
targeting additional markers expressed in different tissues, such as
detoxification enzymes associated with insecticide resistance.
PMID- 29362380
TI - Clinical Evaluation of the Immunochromatographic System Using Silver
Amplification for the Rapid Detection of Mycoplasma pneumoniae.
AB - Mycoplasma pneumoniae infection is conventionally diagnosed using serum antibody
testing, microbial culture, and genetic testing. Recently, immunochromatography
based rapid mycoplasma antigen test kits have been developed and commercialised
for rapid diagnosis of M. pneumoniae infection. However, as these kits do not
provide sufficient sensitivity and specificity, a rapid test kit with improved
accuracy is desired. The present prospective study evaluated a rapid M.
pneumoniae diagnostic system utilizing a newly developed silver amplification
immunochromatography (SAI) system. We performed dilution sensitivity test and the
prospective clinical study evaluating the SAI system. The subjects of the
clinical study included both children and adults. All patients suspected to have
mycoplasma pneumonia (169 patients) were sequentially enrolled. Twelve patients
did not agree to participate and 157 patients were enrolled in the study. The
results demonstrate excellent performance of this system with 90.4% sensitivity
and 100.0% specificity compared with real-time polymerase chain reaction. When
compared with loop-mediated isothermal amplification (LAMP) methods, the results
also demonstrate a high performance of this system with 93.0% sensitivity and
100.0% specificity. The SAI system uses a dedicated device for automatic analysis
and reading, making it highly objective, and requires less human power,
supporting its usefulness in clinical settings.
PMID- 29362381
TI - Gene expression of human endometrial L-selectin ligand in relation to the phases
of the natural menstrual cycle.
AB - This study investigates peptide components of L-selectin ligand (LSL) and their
gene expressions in human endometrium during the natural menstrual cycle. We
recruited 41 endometrial samples from reproductive-aged women with leiomyoma and
undergoing hysterectomy and 11 endometrial samples from menopausal women as
controls. Immunohistochemistry revealed strong MECA-79 expression from the early
through the mid-secretory phase and low expression in menopausal endometrium.
Five peptide components of LSL were detected in reproductive and menopausal
endometrium by one-step quantitative RT-PCR: podocalyxin, endomucin, nepmucin,
GlyCAM-1, and CD34. Endomucin differed significantly between the proliferative
and early-secretory phases. CHST2 and CHST4 genes (which are involved in the
generation of LSL epitopes) were expressed without significant differences among
phases. The gene expression of progesterone receptor decreased from the
proliferative to the late-secretory phase, and the difference was significant.
However, estrogen receptor alpha expression showed stability among phases. The
significant expression of endomucin between the proliferative and early-secretory
phases might play a vital role in endometrial receptivity. Further studies are
needed to investigate the factors that regulate the expression of endomucin and
other LSL peptide components in different phases of the menstrual cycle.
PMID- 29362382
TI - Comparison between direct contact and extract exposure methods for PFO
cytotoxicity evaluation.
AB - A series of recent acute blindness cases following non-complicated retinal
detachment surgery caused the release of several health alerts in Spain. The
blindness was attributed to certain lots of perfluoro-octane (PFO; a volatile and
transient medical device). Similar cases have been reported in other countries.
This has raised questions regarding the validity of cytotoxicity test methods
currently used to certify the safety of PFO lots. The tests were performed
according to the International Organization for Standardization (ISO) norms,
using the extract dilution method or the indirect contact method as applied to
L929 cells, a line derived from mouse fibroblasts. The limitations of those
methods have been resolved in this study by proposing a new cytotoxicity test
method for volatile substances. The new method requires direct contact of the
tested substance with cells that are similar to those exposed to the substance in
the clinical setting. This approach includes a few new technical steps that are
crucial for detecting cytotoxicity. Our new method detected toxic PFO lots that
corresponded to the lots producing clinical blindness, which previous methods
failed to detect. The study suggests applying this new method to avoid occurrence
of such cases of blindness.
PMID- 29362383
TI - Individual Variation in Social Behaviours of Male Lab-reared Prairie voles
(Microtus ochrogaster) is Non-heritable and Weakly Associated with V1aR Density.
AB - The genetic and environmental factors that contribute to pair bonding behaviour
remain poorly understood. Prairie voles (Microtus ochrogaster) often, but not
always, form stable pair bonds and present an ideal model species for
investigating the genetic and environmental factors that influence monogamy.
Here, we assessed variation in partner preference, a measure of pair bonding, and
related social behaviours in a population of laboratory-reared prairie voles
under controlled environmental conditions. We evaluated to what extent variation
in these behaviours correlate with vasopressin 1a receptor (V1aR) expression in
the ventral pallidum (VP) and retrosplenial cortex (RSC), and estimated the
heritability of these behaviours and V1aR expression. We found substantial
variation in partner preference and measures of aggression, paternal care, and
anxiety-like behaviours, but no correlation between these traits. We also found
variation in V1aR density in the VP and RSC can account for behavioural
components of paternal care and aggression, but not in partner preference.
Heritability estimates of variation in partner preference were low, yet
heritability estimates for V1aR expression were high, indicating that the
extensive variation in partner preference observed within this population is due
largely to environmental plasticity.
PMID- 29362384
TI - Electrochemical Evaluation and Phase-related Impedance Studies on Silicon-Few
Layer Graphene (FLG) Composite Electrode Systems.
AB - Silicon-Few Layer Graphene (Si-FLG) composite electrodes are investigated using a
scalable electrode manufacturing method. A comprehensive study on the
electrochemical performance and the impedance response is measured using
electrochemical impedance spectroscopy. The study demonstrates that the
incorporation of few-layer graphene (FLG) results in significant improvement in
terms of cyclability, electrode resistance and diffusion properties.
Additionally, the diffusion impedance responses that occur during the phase
changes in silicon is elucidated through Staircase Potentio Electrochemical
Impedance Spectroscopy (SPEIS): a more comprehensive and straightforward approach
than previous state-of-charge based diffusion studies.
PMID- 29362385
TI - A systematic review and meta-analysis: Association between MGMT hypermethylation
and the clinicopathological characteristics of non-small-cell lung carcinoma.
AB - The relationship between O-6-methylguanine-DNA methyltransferase (MGMT) promoter
methylation and clinicopathological characteristics of non-small-cell lung
carcinoma (NSCLC) has remained controversial and unclear. Therefore, in this
study we have undertaken a systematic review and meta-analysis of relevant
studies to quantitatively investigate this association. We identified 30 eligible
studies investigating 2714 NSCLC patients. The relationship between MGMT
hypermethylation and NSCLC was identified based on 20 studies, including 1539
NSCLC patient tissue and 1052 normal and adjacent tissue samples (OR = 4.60, 95%
CI = 3.46~6.11, p < 0.00001). MGMT methylation varied with ethnicity (caucasian:
OR = 4.56, 95% CI = 2.63~7.92, p < 0.00001; asian: OR = 5.18, 95% CI =
2.03~13.22, p = 0.0006) and control style (autologous: OR = 4.44, 95% CI =
3.32~5.92, p < 0.00001; heterogeneous: OR = 9.05, 95% CI = 1.79~45.71, p =
0.008). In addition, MGMT methylation was observed to be specifically associated
with NSCLC clinical stage, and not with age, sex, smoking, pathological types,
and differentiation status. Also MGMT methylation did not impact NSCLC patients
survival (HR = 1.32, 95% CI = 0.77~2.28, p = 0.31). Our study provided clear
evidence about the association of MGMT hypermethylation with increased risk of
NSCLC.
PMID- 29362386
TI - 3-D micro-architecture and mechanical response of soil cemented via microbial
induced calcite precipitation.
AB - We introduce the application of microbial-induced calcite precipitation via the
ureolytic soil bacterium Sporosarcina Pasteurii in freeze-dried form, as a means
of enhancing overall MICP efficiency and reproducibility for geotechnical
engineering applications. We show that the execution of urea hydrolysis and CaCO3
precipitation persist as a "cell-free" mechanism upon the complete breakdown of
rehydrated cell clusters. Further, strength and stiffness parameters of bio
cemented sands are determined. Medium-grained bio-cemented sand yields
compressive strengths up to 12 MPa while, surprisingly, fine-grained sand yields
up to 2.5 MPa for similar bond contents. To understand the observed
discrepancies, we undertake a systematic study of the bio-cemented material's
microstructure, by combining a series of microstructural inspection tools. The
study extends beyond conventional qualitative and textural characterization and
provides with new insight into the material's peculiar 3D micro-architecture. We
apply a new methodology towards quantifying crucial microscopic characteristics
such as the particle sizes of the crystalline bond lattice, the bond-grain
contacts and particle orientations. Bonds are found to exhibit distinctive
geometries and morphologies when MICP applies to different base materials. We
thus contribute to the debate on the importance of factors affecting: (i) MICP
efficiency, (ii) the mechanical response and (iii) peculiar micro-architecture of
bio-improved geo-materials.
PMID- 29362387
TI - Effects of ambroxol on the autophagy-lysosome pathway and mitochondria in primary
cortical neurons.
AB - Glucocerebrosidase (GBA1) mutations are the major genetic risk factor for
Parkinson's Disease (PD). The pathogenic mechanism is still unclear, but
alterations in lysosomal-autophagy processes are implicated due to reduction of
mutated glucocerebrosidase (GCase) in lysosomes. Wild-type GCase activity is also
decreased in sporadic PD brains. Small molecule chaperones that increase
lysosomal GCase activity have potential to be disease-modifying therapies for
GBA1-associated and sporadic PD. Therefore we have used mouse cortical neurons to
explore the effects of the chaperone ambroxol. This chaperone increased wild-type
GCase mRNA, protein levels and activity, as well as increasing other lysosomal
enzymes and LIMP2, the GCase transporter. Transcription factor EB (TFEB), the
master regulator of the CLEAR pathway involved in lysosomal biogenesis was also
increased upon ambroxol treatment. Moreover, we found macroautophagy flux blocked
and exocytosis increased in neurons treated with ambroxol. We suggest that
ambroxol is blocking autophagy and driving cargo towards the secretory pathway.
Mitochondria content was also found to be increased by ambroxol via peroxisome
proliferator-activated receptor gamma coactivator 1-alpha (PGC1-alpha). Our data
suggest that ambroxol, besides being a GCase chaperone, also acts on other
pathways, such as mitochondria, lysosomal biogenesis, and the secretory pathway.
PMID- 29362388
TI - Drylands soil bacterial community is affected by land use change and different
irrigation practices in the Mezquital Valley, Mexico.
AB - Dryland agriculture nourishes one third of global population, although crop
irrigation is often mandatory. As freshwater sources are scarce, treated and
untreated wastewater is increasingly used for irrigation. Here, we investigated
how the transformation of semiarid shrubland into rainfed farming or irrigated
agriculture with freshwater, dam-stored or untreated wastewater affects the total
(DNA-based) and active (RNA-based) soil bacterial community composition,
diversity, and functionality. To do this we collected soil samples during the dry
and rainy seasons and isolated DNA and RNA. Soil moisture, sodium content and pH
were the strongest drivers of the bacterial community composition. We found
lineage-specific adaptations to drought and sodium content in specific land use
systems. Predicted functionality profiles revealed gene abundances involved in
nitrogen, carbon and phosphorous cycles differed among land use systems and
season. Freshwater irrigated bacterial community is taxonomically and
functionally susceptible to seasonal environmental changes, while wastewater
irrigated ones are taxonomically susceptible but functionally resistant to them.
Additionally, we identified potentially harmful human and phytopathogens. The
analyses of 16 S rRNA genes, its transcripts and deduced functional profiles
provided extensive understanding of the short-term and long-term responses of
bacterial communities associated to land use, seasonality, and water quality used
for irrigation in drylands.
PMID- 29362389
TI - Capturing expert uncertainty in spatial cumulative impact assessments.
AB - Understanding the spatial distribution of human impacts on marine environments is
necessary for maintaining healthy ecosystems and supporting 'blue economies'.
Realistic assessments of impact must consider the cumulative impacts of multiple,
coincident threats and the differing vulnerabilities of ecosystems to these
threats. Expert knowledge is often used to assess impact in marine ecosystems
because empirical data are lacking; however, this introduces uncertainty into the
results. As part of a spatial cumulative impact assessment for Spencer Gulf,
South Australia, we asked experts to estimate score ranges (best-case, most
likely and worst-case), which accounted for their uncertainty about the effect of
32 threats on eight ecosystems. Expert scores were combined with data on the
spatial pattern and intensity of threats to generate cumulative impact maps based
on each of the three scoring scenarios, as well as simulations and maps of
uncertainty. We compared our method, which explicitly accounts for the experts'
knowledge-based uncertainty, with other approaches and found that it provides
smaller uncertainty bounds, leading to more constrained assessment results.
Collecting these additional data on experts' knowledge-based uncertainty provides
transparency and simplifies interpretation of the outputs from spatial cumulative
impact assessments, facilitating their application for sustainable resource
management and conservation.
PMID- 29362390
TI - Effect of Salt Intake on Plasma and Urinary Uric Acid Levels in Chinese Adults:
An Interventional Trial.
AB - Uric acid (UA) has been proposed as an important risk factor for cardiovascular
and renal morbidity. We conducted an interventional trial to assess effects of
altered salt intake on plasma and urine UA levels and the relationship between UA
levels and salt sensitivity in humans. Ninety subjects (18-65 years old) were
sequentially maintained on a normal diet for 3 days at baseline, a low-salt diet
for 7 days (3.0 g/day, NaCl), and a high-salt diet for an additional 7 days (18.0
g/day of NaCl). Plasma UA levels significantly increased from baseline to low
salt diet and decreased from low-salt to high-salt diet. By contrast, daily
urinary levels of UA significantly decreased from baseline to low-salt diet and
increased from low-salt to high-salt diet. The 24 h urinary sodium excretions
showed inverse correlation with plasma UA and positive correlation with urinary
UA excretions. Additionally, salt-sensitive subjects presented significantly
higher plasma UA changes in comparison to salt-resistant subjects, and a negative
correlation was observed between degree of salt sensitivity and plasma UA
difference. The present study indicates that variations in dietary salt intake
affect plasma and urine UA levels, and plasma UA may be involved in
pathophysiological process of salt sensitivity.
PMID- 29362391
TI - Widespread modulation of gene expression by copy number variation in skeletal
muscle.
AB - Copy number variation (CNV) is a frequently observed deviation from the diploid
state due to duplication or deletion of genomic regions. Although intensively
analyzed for association with diseases and production traits, the specific
mechanisms and extent by which such variations affect the phenotype are
incompletely understood. We present an integrative study on CNV and genome-wide
gene expression in Brazilian Bos indicus cattle. We analyzed CNVs inferred from
SNP-chip data for effects on gene expression measured with RNA-seq in skeletal
muscle samples of 183 steers. Local effects, where expression changes coincided
with CNVs in the respective genes, were restricted to immune genes. Distal
effects were attributable to several high-impact CNVs that modulated remote
expression in an orchestrated and intertwined fashion. These CNVs were located in
the vicinity of major skeletal muscle pathway regulators and associated genes
were enriched for proteolysis, autophagy, and muscle structure development. From
association analysis between CNVs and several meat quality and production traits,
we found CNV-associated expression effects to also manifest at the phenotype
level. Based on genome sequences of the population founders, we further
demonstrate that CNVs with impact on expression and phenotype are passed on from
one generation to another.
PMID- 29362393
TI - Rapid Growth of Nanocrystalline Diamond on Single Crystal Diamond for Studies on
Materials under Extreme Conditions.
AB - Early stage nucleation morphologies of spatially localized nanocrystalline
diamond (NCD) micro-anvils grown on (100)-oriented single crystal diamond (SCD)
anvil surfaces were analyzed and investigated for applications in high pressure
studies on materials. NCD was grown on SCD using Microwave Plasma Chemical Vapor
Deposition (MPCVD) for brief time intervals ranging from 1-15 minutes. Early
stage film morphologies were characterized using scanning electron microscopy
(SEM) and Raman spectroscopy and were compared to films grown for several hours.
Rapid nucleation and growth of NCD on SCD is demonstrated without any pre-growth
seeding of the substrate surface. As grown NCD diamond micro-anvils on SCD were
used to generate static pressure of 0.5 Terapascal (TPa) on a tungsten sample as
measured by synchrotron x-ray diffraction in a diamond anvil cell. Atomic force
microscopy (AFM) analysis after decompression from ultrahigh pressures showed
that the detachment of the NCD stage occurred in the bulk of the SCD and not at
the interface, suggesting significant adhesive bond strength between
nanocrystalline and single crystal diamond.
PMID- 29362392
TI - Premature polyadenylation of MAGI3 is associated with diminished N6
methyladenosine in its large internal exon.
AB - In cancer, tumor suppressor genes (TSGs) are frequently truncated, causing their
encoded products to be non-functional or dominant-negative. We previously showed
that premature polyadenylation (pPA) of MAGI3 truncates the gene, switching its
functional role from a TSG to a dominant-negative oncogene. Here we report that
MAGI3 undergoes pPA at the intron immediately downstream of its large internal
exon, which is normally highly modified by N6-methyladenosine (m6A). In breast
cancer cells that upregulate MAGI3 pPA , m6A levels in the large internal exon of
MAGI3 are significantly reduced compared to cells that do not express MAGI3 pPA .
We further find that MAGI3 pPA transcripts are significantly depleted of m6A
modifications, in contrast to highly m6A-modified full-length MAGI3 mRNA.
Finally, we analyze public expression data and find that other TSGs, including
LATS1 and BRCA1, also undergo intronic pPA following large internal exons, and
that m6A levels in these exons are reduced in pPA-activated breast cancer cells
relative to untransformed mammary cells. Our study suggests that m6A may play a
role in regulating intronic pPA of MAGI3 and possibly other TSGs, warranting
further investigation.
PMID- 29362394
TI - Improvement in creep life of a nickel-based single-crystal superalloy via
composition homogeneity on the multiscales by magnetic-field-assisted directional
solidification.
AB - The improvement of the creep properties of single-crystal superalloys is always
strongly motivated by the vast growing demand from the aviation, aerospace, and
gas engine. In this study, a static magnetic-field-assisted solidification
process significantly improves the creep life of single-crystal superalloys. The
mechanism originates from an increase in the composition homogeneity on the
multiscales, which further decreases the lattice misfit of gamma/gamma' phases
and affects the phase precipitation. The phase-precipitation change is reflected
as the decrease in the gamma' size and the contents of carbides and gamma/gamma'
eutectic, which can be further verified by the variation of the cracks number and
raft thickness near the fracture surface. The variation of element partition
decreases the dislocation quantity within the gamma/gamma' phases of the samples
during the crept deformation. Though the magnetic field in the study destroys the
single-crystal integrity, it does not offset the benefits from the compositional
homogeneity. The proposed means shows a great potential application in industry
owing to its easy implement. The uncovered mechanism provides a guideline for
controlling microstructures and mechanical properties of alloys with multiple
components and multiple phases using a magnetic field.
PMID- 29362396
TI - Geographically weighted temporally correlated logistic regression model.
AB - Detecting the temporally and spatially varying correlations is important to
understand the biological and disease systems. Here we proposed a geographically
weighted temporally correlated logistic regression (GWTCLR) model to identify
such dynamic correlation of predictors on binomial outcome data, by incorporating
spatial and temporal information for joint inference. The local likelihood method
is adopted to estimate the spatial relationship, while the smoothing method is
employed to estimate the temporal variation. We present the construction and
implementation of GWTCLR and the study of the asymptotic properties of the
proposed estimator. Simulation studies were conducted to evaluate the robustness
of the proposed model. GWTCLR was applied on real epidemiologic data to study the
climatic determinants of human seasonal influenza epidemics. Our method obtained
results largely consistent with previous studies but also revealed certain
spatial and temporal varying patterns that were unobservable by previous models
and methods.
PMID- 29362395
TI - Chitin digestibility is dependent on feeding behaviors, which determine acidic
chitinase mRNA levels in mammalian and poultry stomachs.
AB - Chitin, a polymer of N-acetyl-D-glucosamine (GlcNAc), functions as a major
structural component in chitin-containing organism including crustaceans, insects
and fungi. Recently, we reported that acidic chitinase (Chia) is highly expressed
in mouse, chicken and pig stomach tissues and that it can digest chitin in the
respective gastrointestinal tracts (GIT). In this study, we focus on major
livestock and domestic animals and show that the levels of Chia mRNA in their
stomach tissues are governed by the feeding behavior. Chia mRNA levels were
significantly lower in the bovine (herbivores) and dog (carnivores) stomach than
those in mouse, pig and chicken (omnivores). Consistent with the mRNA levels,
Chia protein was very low in bovine stomach. In addition, the chitinolytic
activity of E. coli-expressed bovine and dog Chia enzymes were moderately but
significantly lower compared with those of the omnivorous Chia enzymes.
Recombinant bovine and dog Chia enzymes can degrade chitin substrates under the
artificial GIT conditions. Furthermore, genomes of some herbivorous animals such
as rabbit and guinea pig do not contain functional Chia genes. These results
indicate that feeding behavior affects Chia expression levels as well as
chitinolytic activity of the enzyme, and determines chitin digestibility in the
particular animals.
PMID- 29362399
TI - Companion diagnostics: new opportunities for safe and effective anti-infectious
disease therapies.
PMID- 29362397
TI - Glutathione transferases: substrates, inihibitors and pro-drugs in cancer and
neurodegenerative diseases.
AB - Glutathione transferase classical GSH conjugation activity plays a critical role
in cellular detoxification against xenobiotics and noxious compounds as well as
against oxidative stress. However, this feature is also exploited by cancer cells
to acquire drug resistance and improve their survival. As a result, various
members of the family were found overexpressed in a number of different cancers.
Moreover several GST polymorphisms, ranging from null phenotypes to point
mutations, were detected in members of the family and found to correlate with the
onset of neuro-degenerative diseases. In the last decades, a great deal of
research aimed at clarifying the role played by GSTs in drug resistance, at
developing inhibitors to counteract this activity but also at exploiting GSTs for
prodrugs specific activation in cancer cells. Here we summarize some of the most
important achievements reached in this lively area of research.
PMID- 29362398
TI - A novel ruthenium complex with xanthoxylin induces S-phase arrest and causes
ERK1/2-mediated apoptosis in HepG2 cells through a p53-independent pathway.
AB - Ruthenium-based compounds have gained great interest due to their potent
cytotoxicity in cancer cells; however, much of their potential applications
remain unexplored. In this paper, we report the synthesis of a novel ruthenium
complex with xanthoxylin (RCX) and the investigation of its cellular and
molecular action in human hepatocellular carcinoma HepG2 cells. We found that RCX
exhibited a potent cytotoxic effect in a panel of cancer cell lines in monolayer
cultures and in a 3D model of multicellular cancer spheroids formed from HepG2
cells. This compound is detected at a high concentration in the cell nuclei,
induces DNA intercalation and inhibits DNA synthesis, arresting the cell cycle in
the S-phase, which is followed by the activation of the caspase-mediated
apoptosis pathway in HepG2 cells. Gene expression analysis revealed changes in
the expression of genes related to cell cycle control, apoptosis and the MAPK
pathway. In addition, RCX induced the phosphorylation of ERK1/2, and pretreatment
with U-0126, an MEK inhibitor known to inhibit the activation of ERK1/2,
prevented RCX-induced apoptosis. In contrast, pretreatment with a p53 inhibitor
(cyclic pifithrin-alpha) did not prevent RCX-induced apoptosis, indicating the
activation of a p53-independent apoptosis pathway. RCX also presented a potent in
vivo antitumor effect in C.B-17 SCID mice engrafted with HepG2 cells. Altogether,
these results indicate that RCX is a novel anticancer drug candidate.
PMID- 29362400
TI - Genetics, pathogenicity and transmissibility of novel reassortant H5N6 highly
pathogenic avian influenza viruses first isolated from migratory birds in western
China.
PMID- 29362401
TI - MicroRNA miR-4779 suppresses tumor growth by inducing apoptosis and cell cycle
arrest through direct targeting of PAK2 and CCND3.
AB - Depending on the function of their target genes, microRNAs (miRNAs) act as either
tumor suppressors or oncogenes. Therefore, miRNAs represent a novel therapeutic
strategy for prevention and management of cancer by targeting of onco-miRNAs or
mimicking of tumor suppressor miRNAs. Herein, we identified novel tumor
suppressor miRNAs and investigated their molecular mechanisms. To identify novel
tumor suppressor miRNAs, we used 532 human miRNA mimic libraries and measured
cell viability using MTS assays. The function of miR-4779 was then analyzed using
cell cycle analyses and apoptosis, colony forming, and soft agar assays. Target
genes of miR-4779 were predicted using TargetScan and miRDB databases and were
confirmed using luciferase assays. Levels of miR-4779 and target genes in colon
cancer tissue samples from patients were evaluated using qRT-PCR and western
blotting analyses. Finally, in vivo tumor suppressive effects of miR-4779 were
evaluated in HCT116 xenografts. In this study, miR-4779 inhibited cancer cell
growth by inducing apoptosis and cell cycle arrest, and the putative survival
factors PAK2 and CCND3 were identified as direct targets of miR-4779. In
subsequent experiments, PAK2 knockdown induced cell cycle arrest and CCND3
knockdown induced cell cycle arrest and apoptosis. In addition, miR-4779
suppressed tumor growth and tumorigenesis in an in vivo HCT116 xenograft model.
Finally, miR-4779 expression was low in 9 of 10 colon cancer tissues, whereas
PAK2 and CCND3 expressions were significantly high in colon cancer tissues. The
novel tumor suppressor miR-4779 inhibits cancer cell growth via cell cycle arrest
and apoptosis by directly targeting PAK2 and CCND3. The present data indicate the
potential of miR-4779 as a therapeutic target for miRNA-based cancer therapy.
PMID- 29362403
TI - Publisher Correction: Freeform micropatterning of living cells into cell culture
medium using direct inkjet printing.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29362404
TI - Sequence-regulated copolymerization based on periodic covalent positioning of
monomers along one-dimensional nanochannels.
AB - The design of monomer sequences in polymers has been a challenging research
subject, especially in making vinyl copolymers by free-radical polymerization.
Here, we report a strategy to obtain sequence-regulated vinyl copolymers,
utilizing the periodic structure of a porous coordination polymer (PCP) as a
template. Mixing of Cu2+ ion and styrene-3,5-dicarboxylic acid (S) produces a
PCP, [Cu(styrene-3,5-dicarboxylate)] n , with the styryl groups periodically
immobilized along the one-dimensional channels. After the introduction of
acrylonitrile (A) into the host PCP, radical copolymerization between A and the
immobilized S is performed inside the channel, followed by decomposing the PCP to
isolate the resulting copolymer. The predominant repetitive SAAA sequence in the
copolymer is confirmed by monomer composition, NMR spectroscopy and theoretical
calculations. Copolymerization using methyl vinyl ketone also provides the same
type of sequence-regulated copolymer, showing that this methodology has a
versatility to control the copolymer sequence via transcription of PCP
periodicity at the molecular level.
PMID- 29362402
TI - The hypoxic tumour microenvironment.
AB - Cancer progression often benefits from the selective conditions present in the
tumour microenvironment, such as the presence of cancer-associated fibroblasts
(CAFs), deregulated ECM deposition, expanded vascularisation and repression of
the immune response. Generation of a hypoxic environment and activation of its
main effector, hypoxia-inducible factor-1 (HIF-1), are common features of
advanced cancers. In addition to the impact on tumour cell biology, the influence
that hypoxia exerts on the surrounding cells represents a critical step in the
tumorigenic process. Hypoxia indeed enables a number of events in the tumour
microenvironment that lead to the expansion of aggressive clones from
heterogeneous tumour cells and promote a lethal phenotype. In this article, we
review the most relevant findings describing the influence of hypoxia and the
contribution of HIF activation on the major components of the tumour
microenvironment, and we summarise their role in cancer development and
progression.
PMID- 29362405
TI - Transcriptional profiling of long non-coding RNAs in mantle of Crassostrea gigas
and their association with shell pigmentation.
AB - Long non-coding RNAs (lncRNAs) play crucial roles in diverse biological processes
and have drawn extensive attention in the past few years. However, lncRNAs remain
poorly understood about expression and roles in Crassostrea gigas, a potential
model organism for marine molluscan studies. Here, we systematically identified
lncRNAs in the mantles of C. gigas from four full-sib families characterized by
white, black, golden, and partially pigmented shell. Using poly(A)-independent
and strand-specific RNA-seq, a total of 441,205,852 clean reads and 12,243 lncRNA
transcripts were obtained. LncRNA transcripts were relatively short with few
exons and low levels of expression in comparison to protein coding mRNA
transcripts. A total of 427 lncRNAs and 349 mRNAs were identified to
differentially express among six pairwise groups, mainly involving in
biomineralization and pigmentation through functional enrichment. Furthermore, a
total of 6 mRNAs and their cis-acting lncRNAs were predicted to involve in
synthesis of melanin, carotenoid, tetrapyrrole, or ommochrome. Of them, chorion
peroxidase and its cis-acting lincRNA TCONS_00951105 are implicated in playing an
essential role in the melanin synthetic pathway. Our studies provided the first
systematic characterization of lncRNAs catalog expressed in oyster mantle, which
may facilitate understanding the molecular regulation of shell colour diversity
and provide new insights into future selective breeding of C. gigas for
aquaculture.
PMID- 29362407
TI - Publisher Correction: Circadian preference towards morningness is associated with
lower slow sleep spindle amplitude and intensity in adolescents.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29362406
TI - Epidemiological and serological surveillance of hand-foot-and-mouth disease in
Shanghai, China, 2012-2016.
AB - Aside from enterovirus 71 (EV71) and coxsackie virus A16 (CV-A16), viruses that
are known to cause hand-foot-and-mouth disease (HFMD), epidemiological profiles
of other enteroviruses that induce HFMD are limited. We collected 9949 laboratory
surveillance HFMD cases and 1230 serum samples from infants and children in
Shanghai from 2012-2016. Since 2013, CV-A6 has displaced EV71 and CV-A16 to
become the predominant serotype. Interestingly, novel epidemiological patterns in
EV71 and CV-A16 infections were observed, with one large peak in both 2012 and
2014, followed by two smaller peaks in the respective following years (2013 and
2015). Through sequencing, we found that C4a, B1b, D-Cluster-1 and B constituted
the major subgenotypes of EV71, CV-A16, CV-A6 and CV-A10, respectively. Among
healthy individuals, 50.49% and 54.23% had positive neutralising antibodies
(NtAbs) against EV71 and CV-A16, respectively, indicating that EV71 and CV-A16
silent infections were common. These populations may be an important potential
source of infection. The overall seropositive rate of EV71 NtAbs showed a
fluctuating, markedly downward trend, indicating the potential risk of a future
EV71 epidemic. High CV-A16 NtAb seroprevalence corroborated a documented CV-A16
'silent' epidemic. Children aged 1-5 years had the lowest EV71 NtAb seropositive
rate, whereas those aged 1-2 years exhibited the lowest CV-A16 NtAb seropositive
rate. This is the first comprehensive investigation of the epidemiology and
aetiology, as well as the seroprevalence, of HFMD in Shanghai between 2012 and
2016. This study provides the latest insights into developing a more efficient
HMFD vaccination programme.
PMID- 29362408
TI - Attentional and physiological processing of food images in functional dyspepsia
patients: A pilot study.
AB - The food-related behavior of functional dyspepsia has been attracting more
interest of late. This pilot study aims to provide evidence of the physiological,
emotional, and attentional aspects of food processing in functional dyspepsia
patients. The study was performed in 15 functional dyspepsia patients and 17
healthy controls after a standard breakfast. We measured autonomic nervous system
activity using skin conductance response and heart rate variability, emotional
response using facial electromyography, and visual attention using eyetracking
during the visual stimuli of food/non-food images. In comparison to healthy
controls, functional dyspepsia patients showed a greater craving for food, a
decreased intake of food, more dyspeptic symptoms, lower pleasantness rating of
food images (particularly of high fat), decreased low frequency/high frequency
ratio of heart rate variability, and suppressed total processing time of food
images. There were no significant differences of skin conductance response and
facial electromyography data between groups. The results suggest that high level
cognitive functions rather than autonomic and emotional mechanisms are more
liable to function differently in functional dyspepsia patients. Abnormal dietary
behavior, reduced subjective rating of pleasantness and visual attention to food
should be considered as important pathophysiological characteristics in
functional dyspepsia.
PMID- 29362409
TI - Cancer cell-derived von Willebrand factor enhanced metastasis of gastric
adenocarcinoma.
AB - Cancer prognosis is poor for patients with blood-borne metastasis. Platelets are
known to assist cancer cells in transmigrating through the endothelium, but
ligands for the platelet-mediated cancer metastasis remain poorly defined. von
Willebrand factor (vWF) is a major platelet ligand that has been widely used as a
biomarker in cancer and associated inflammation. However, its functional role in
cancer growth and metastasis is largely unknown. Here we report that gastric
cancer cells from patients and cells from two well-established gastric cancer
lines express vWF and secrete it into the circulation, upon which it rapidly
becomes cell-bound to mediate cancer-cell aggregation and interaction with
platelets and endothelial cells. The vWF-mediated homotypic and heterotypic cell
cell interactions promote the pulmonary graft of vWF-overexpressing gastric
cancer BGC823 cells in a mouse model. The metastasis-promoting activity of vWF
was blocked by antibodies against vWF and its platelet receptor GP Ibalpha. It
was also reduced by an inhibitory siRNA that suppresses vWF expression. These
findings demonstrate a causal role of cancer-cell-derived vWF in mediating
gastric cancer metastasis and identify vWF as a new therapeutic target.
PMID- 29362411
TI - Growth of magnetic nanowires along freely selectable crystal directions.
AB - The production of nanowire materials, uniformly oriented along any arbitrarily
chosen crystal orientation, is an important, yet unsolved, problem in material
science. Here, we present a generalizable solution to this problem. The solution
is based on the technique of glancing angle deposition combined with a rapid
switching of the deposition direction between crystal symmetry positions. Using
iron-cobalt as an example, we showcase the simplicity and capabilities of the
process in one-step fabrications of <100>, <110>, <111>, <210>, <310>, <320>, and
<321>-oriented nanowires, three-dimensional nanowire spirals, core-shell
heterostructures, and axial hybrids. Our results provide a new capability for
tailoring the properties of nanowires, and should be generalizable to any
material that can be grown as a single-crystal biaxial film.
PMID- 29362410
TI - New live screening of plant-nematode interactions in the rhizosphere.
AB - Free living nematodes (FLN) are microscopic worms found in all soils. While many
FLN species are beneficial to crops, some species cause significant damage by
feeding on roots and vectoring viruses. With the planned legislative removal of
traditionally used chemical treatments, identification of new ways to manage FLN
populations has become a high priority. For this, more powerful screening systems
are required to rapidly assess threats to crops and identify treatments
efficiently. Here, we have developed new live assays for testing nematode
responses to treatment by combining transparent soil microcosms, a new light
sheet imaging technique termed Biospeckle Selective Plane Illumination Microscopy
(BSPIM) for fast nematode detection, and Confocal Laser Scanning Microscopy for
high resolution imaging. We show that BSPIM increased signal to noise ratios by
up to 60 fold and allowed the automatic detection of FLN in transparent soil
samples of 1.5 mL. Growing plant root systems were rapidly scanned for nematode
abundance and activity, and FLN feeding behaviour and responses to chemical
compounds observed in soil-like conditions. This approach could be used for
direct monitoring of FLN activity either to develop new compounds that target
economically damaging herbivorous nematodes or ensuring that beneficial species
are not negatively impacted.
PMID- 29362412
TI - Consensus Clustering of temporal profiles for the identification of metabolic
markers of pre-diabetes in childhood (EarlyBird 73).
AB - In longitudinal clinical studies, methodologies available for the analysis of
multivariate data with multivariate methods are relatively limited. Here, we
present Consensus Clustering (CClust) a new computational method based on
clustering of time profiles and posterior identification of correlation between
clusters and predictors. Subjects are first clustered in groups according to a
response variable temporal profile, using a robust consensus-based strategy. To
discover which of the remaining variables are associated with the resulting
groups, a non-parametric hypothesis test is performed between groups at every
time point, and then the results are aggregated according to the Fisher method.
Our approach is tested through its application to the EarlyBird cohort database,
which contains temporal variations of clinical, metabolic, and anthropometric
profiles in a population of 150 children followed-up annually from age 5 to age
16. Our results show that our consensus-based method is able to overcome the
problem of the approach-dependent results produced by current clustering
algorithms, producing groups defined according to Insulin Resistance (IR) and
biological age (Tanner Score). Moreover, it provides meaningful biological
results confirmed by hypothesis testing with most of the main clinical variables.
These results position CClust as a valid alternative for the analysis of
multivariate longitudinal data.
PMID- 29362413
TI - Spin Hall photoconductance in a three-dimensional topological insulator at room
temperature.
AB - Three-dimensional topological insulators are a class of Dirac materials, wherein
strong spin-orbit coupling leads to two-dimensional surface states. The latter
feature spin-momentum locking, i.e., each momentum vector is associated with a
spin locked perpendicularly to it in the surface plane. While the principal spin
generation capability of topological insulators is well established,
comparatively little is known about the interaction of the spins with external
stimuli like polarized light. We observe a helical, bias-dependent
photoconductance at the lateral edges of topological Bi2Te2Se platelets for
perpendicular incidence of light. The same edges exhibit also a finite bias
dependent Kerr angle, indicative of spin accumulation induced by a transversal
spin Hall effect in the bulk states of the Bi2Te2Se platelets. A symmetry
analysis shows that the helical photoconductance is distinct to common
longitudinal photoconductance and photocurrent phenomena, but consistent with
optically injected spins being transported in the side facets of the platelets.
PMID- 29362414
TI - Transalveolar sinus floor lift without bone grafting in atrophic maxilla: A meta
analysis.
AB - We performed a meta-analysis aimed to assess the clinical results after
transalveolar sinus floor lift without bone grafting in the atrophic maxilla. A
systematic electronic literature search was conducted in PubMed, Embase and The
Cochrane Library, followed by a manual search. Two reviewers independently
extracted study data and conducted quality assessments. Ten non-controlled
studies including 1484 implants and eight controlled studies (5 RCTs and 3
prospective studies) including 817 implants (451 implants in the non-graft group)
were enrolled in this study. The survival rate of implants via the graft-free
method was 98% (95%CI 96% to 100%). There was no significant difference in the
survival rate between the non-graft group and the graft group (RR: 1.02; p =
0.18). No statistically significant difference in marginal bone loss was detected
between the groups at 12 months (0.57, p = 0.07) or 36 months (0.05, p = 0.61).
The endo-sinus bone gain in the non-graft group was significantly lower than in
the graft group at 12 months (-1.10, p = 0.0001) and 36 months (-0.74, p = 0.02).
Hence, the available evidence suggests that predictable results could be acquired
through transalveolar sinus floor lift without bone grafting, while there may be
a trend toward more endo-sinus bone gain with bone grafts.
PMID- 29362415
TI - A Necessary and Sufficient Criterion for the Separability of Quantum State.
AB - Quantum entanglement has been regarded as one of the key physical resources in
quantum information sciences. However, the determination of whether a mixed state
is entangled or not is generally a hard issue, even for the bipartite system. In
this work we propose an operational necessary and sufficient criterion for the
separability of an arbitrary bipartite mixed state, by virtue of the
multiplicative Horn's problem. The work follows the work initiated by Horodecki
et al. and uses the Bloch vector representation introduced to the separability
problem by J. De Vicente. In our criterion, a complete and finite set of
inequalities to determine the separability of compound system is obtained, which
may be viewed as trade-off relations between the quantumness of subsystems. We
apply the obtained result to explicit examples, e.g. the separable decomposition
of arbitrary dimension Werner state and isotropic state.
PMID- 29362416
TI - CREB-binding protein plays key roles in juvenile hormone action in the red flour
beetle, Tribolium Castaneum.
AB - Juvenile hormones (JH) and ecdysteroids regulate many biological and metabolic
processes. CREB-binding protein (CBP) is a transcriptional co-regulator with
histone acetyltransferase (HAT) activity. Therefore, CBP is involved in
activation of many transcription factors that regulate expression of genes
associated with postembryonic development in insects. However, the function of
CBP in JH action in insects is not well understood. Hence, we studied the role of
CBP in JH action in the red flour beetle, Tribolium castaneum and the Tribolium
cell line. CBP knockdown caused a decrease in JH induction of genes, Kr-h1, 4EBP
and G13402 in T. castaneum larvae, adults and TcA cells whereas, Trichostatin A
[TSA, a histone deacetylase (HDAC) inhibitor] induced the expression of these JH
response genes. Western blot analysis with specific antibodies revealed the
requirement of CBP for the acetylation of H3K18 and H3K27 in both T. castaneum
and TcA cells. Chromatin immunoprecipitation (Chip) assays showed the importance
of CBP-mediated acetylation of H3K27 for JH induction of Kr-h1, 4EBP, and G13402
in TcA cells. These data suggest that CBP plays an important role in JH action in
the model insect, T.castaneum.
PMID- 29362417
TI - Characterization of the multimeric structure of poly(A)-binding protein on a
poly(A) tail.
AB - Eukaryotic mature mRNAs possess a poly adenylate tail (poly(A)), to which
multiple molecules of poly(A)-binding protein C1 (PABPC1) bind. PABPC1 regulates
translation and mRNA metabolism by binding to regulatory proteins. To understand
functional mechanism of the regulatory proteins, it is necessary to reveal how
multiple molecules of PABPC1 exist on poly(A). Here, we characterize the
structure of the multiple molecules of PABPC1 on poly(A), by using transmission
electron microscopy (TEM), chemical cross-linking, and NMR spectroscopy. The TEM
images and chemical cross-linking results indicate that multiple PABPC1 molecules
form a wormlike structure in the PABPC1-poly(A) complex, in which the PABPC1
molecules are linearly arrayed. NMR and cross-linking analyses indicate that
PABPC1 forms a multimer by binding to the neighbouring PABPC1 molecules via
interactions between the RNA recognition motif (RRM) 2 in one molecule and the
middle portion of the linker region of another molecule. A PABPC1 mutant lacking
the interaction site in the linker, which possesses an impaired ability to form
the multimer, reduced the in vitro translation activity, suggesting the
importance of PABPC1 multimer formation in the translation process. We therefore
propose a model of the PABPC1 multimer that provides clues to comprehensively
understand the regulation mechanism of mRNA translation.
PMID- 29362418
TI - Efficient inhibition of African swine fever virus replication by CRISPR/Cas9
targeting of the viral p30 gene (CP204L).
AB - African swine fever is a devastating viral disease of domestic and wild pigs
against which no vaccine or therapy is available. Therefore, we applied the
CRISPR (clustered regularly interspaced short palindromic repeats) - Cas9
nuclease system to target the double-stranded DNA genome of African swine fever
virus (ASFV). To this end, a permissive wild boar lung (WSL) cell line was
modified by stable transfection with a plasmid encoding Cas9 and a guide RNA
targeting codons 71 to 78 of the phosphoprotein p30 gene (CP204L) of ASFV. Due to
targeted Cas9 cleavage of the virus genome, plaque formation of ASFV was
completely abrogated and virus yields were reduced by four orders of magnitude.
The specificity of these effects could be demonstrated by using a natural ASFV
isolate and escape mutants possessing nucleotide exchanges within the target
sequence, which were not inhibited in the Cas9-expressing cell line. Growth of
the cell line was not affected by transgene expression which, as well as virus
inhibition, proved to be stable over at least 50 passages. Thus, CRISPR-Cas9
mediated targeting of the ASFV p30 gene is a valid strategy to convey resistance
against ASF infection, which may also be applied in its natural animal host.
PMID- 29362420
TI - Low cost, microcontroller based heating device for multi-wavelength differential
scanning fluorimetry.
AB - Differential scanning fluorimetry is a popular method to estimate the stability
of a protein in distinct buffer conditions by determining its 'melting point'.
The method requires a temperature controlled fluorescence spectrometer or a RT
PCR machine. Here, we introduce a low-budget version of a microcontroller based
heating device implemented into a 96-well plate reader that is connected to a
standard fluorescence spectrometer. We demonstrate its potential to determine the
'melting point' of soluble and membranous proteins at various buffer conditions.
PMID- 29362419
TI - Pancreatic gene expression during recovery after pancreatitis reveals unique
transcriptome profiles.
AB - It is well known that pancreatic recovery after a single episode of injury such
as an isolated bout of pancreatitis occurs rapidly. It is unclear, however, what
changes are inflicted in such conditions to the molecular landscape of the
pancreas. In the caerulein hyperstimulation model of pancreatitis, the murine
pancreas has the ability to recover within one week based on histological
appearance. In this study, we sought to characterize by RNA-sequencing (RNA-seq)
the transcriptional profile of the recovering pancreas up to two weeks post
injury. We found that one week after injury there were 319 differentially
expressed genes (DEGs) compared with baseline and that after two weeks there were
53 DEGs. Forty (12.5%) of the DEGs persisted from week one to week two, and
another 13 DEGs newly emerged in the second week. Amongst the top up-regulated
DEGs were several trypsinogen genes (trypsinogen 4, 5, 12, 15, and 16). To our
knowledge, this is the first characterization of the transcriptome during
pancreatic recovery by deep sequencing, and it reveals on a molecular basis that
there is an ongoing recovery of the pancreas even after apparent histological
resolution. The findings also raise the possibility of an emerging novel
transcriptome upon pancreatic recovery.
PMID- 29362421
TI - Sporadic nesting reveals long distance colonisation in the philopatric loggerhead
sea turtle (Caretta caretta).
AB - The colonisation of new suitable habitats is crucial for species survival at
evolutionary scale under changing environmental conditions. However, colonisation
potential may be limited by philopatry that facilitates exploiting successful
habitats across generations. We examine the mechanisms of long distance dispersal
of the philopatric loggerhead sea turtle (Caretta caretta) by analysing 40
sporadic nesting events in the western Mediterranean. The analysis of a fragment
of the mitochondrial DNA and 7 microsatellites of 121 samples from 18 of these
nesting events revealed that these nests were colonising events associated with
juveniles from distant populations feeding in nearby foraging grounds.
Considering the temperature-dependent sex determination of the species, we
simulated the effect of the incubation temperature and propagule pressure on a
potential colonisation scenario. Our results indicated that colonisation will
succeed if warm temperature conditions, already existing in some of the beaches
in the area, extend to the whole western Mediterranean. We hypothesize that the
sporadic nesting events in developmental foraging grounds may be a mechanism to
overcome philopatry limitations thus increasing the dispersal capabilities of the
species and the adaptability to changing environments. Sporadic nesting in the
western Mediterranean can be viewed as potential new populations in a scenario of
rising temperatures.
PMID- 29362422
TI - A caspase-2-RFXANK interaction and its implication for MHC class II expression.
AB - Despite recent achievements implicating caspase-2 in tumor suppression, the
enzyme stands out from the apoptotic caspase family as a factor whose function
requires further clarification. To specify enzyme characteristics through the
definition of interacting proteins in apoptotic or non-apoptotic settings, a
yeast 2-hybrid (Y2H) screen was performed using the full-length protein as bait.
The current report describes the analysis of a captured prey and putative novel
caspase-2 interacting factor, the regulatory factor X-associated ankyrin
containing protein (RFXANK), previously associated with CIITA, the transactivator
regulating cell-type specificity and inducibility of MHC class II gene
expression. The interaction between caspase-2 and RFXANK was verified by co
immunoprecipitations using both exogenous and endogenous proteins, where the
latter approach suggested that binding of the components occurs in the cytoplasm.
Cellular co-localization was confirmed by transfection of fluorescently
conjugated proteins. Enhanced caspase-2 processing in RFXANK-overexpressing
HEK293T cells treated with chemotherapeutic agents further supported Y2H data.
Yet, no distinct differences with respect to MHC class II expression were
observed in plasma membranes of antigen-presenting cells derived from wild type
and caspase-2-/- mice. In contrast, increased levels of the total MHC class II
protein was evident in protein lysates from caspase-2 RNAi-silenced leukemia cell
lines and B-cells isolated from gene-targeted mice. Together, these data identify
a novel caspase-2-interacting factor, RFXANK, and indicate a potential non
apoptotic role for the enzyme in the control of MHC class II gene regulation.
PMID- 29362423
TI - Thermal Dynamics Effects using Pulse-Shaping Laser Sintering of Printed Silver
Inks.
AB - In recent years, additive manufacturing has been evolving towards flexible
substrates for the fabrication of printable electronic devices and circuits.
Generally polymer-based, these emerging substrates suffer from their heat
sensitivity and low glass-transition temperatures. As such they require new
highly-localized sintering processes to treat the electronic inks without
damaging the polymer-based substrate. Laser-based sintering techniques have shown
great promises to achieve high-quality sintering locally, while controlling the
heat penetration to preserve the polymer substrates integrity. In this report, we
explore new optimization pathways for dynamic laser-based sintering of conductive
silver inks. Multiple passes of a pulsed laser are first performed while varying
pulse train frequencies and pulse energies as an attempt to optimize the
properties of the silver inks. Then, time-domain pulse shaping is performed to
alter the properties of the conductive inks. Together, these pathways allow for
the careful control of the time-domain laser energy distribution in order to
achieve the best electronic performances while preserving the substrate's
integrity. Sheet resistance values as low as 0.024Omega/? are achieved, which is
comparable to conventional 1-hour oven annealing, with the processing time
dramatically reduced to the milisecond range. These results are supported by
finite element modeling of the laser-induced thermal dynamics.
PMID- 29362424
TI - Functional metagenomics identifies novel genes ABCTPP, TMSRP1 and TLSRP1 among
human gut enterotypes.
AB - Every niche in the biosphere is touched by the seemingly endless capacity of
microbes to transform the world around them by adapting swiftly and flexibly to
the environmental changes, likewise the gastrointestinal tract is no exception.
The ability to cope with rapid changes in external osmolarity is an important
aspect of gut microbes for their survival and colonization. Identification of
these survival mechanisms is a pivotal step towards understanding genomic
suitability of a symbiont for successful human gut colonization. Here we
highlight our recent work applying functional metagenomics to study human gut
microbiome to identify candidate genes responsible for the salt stress tolerance.
A plasmid borne metagenomic library of Bacteroidetes enriched human fecal
metagenomic DNA led to identification of unique salt osmotolerance clones SR6 and
SR7. Subsequent gene analysis combined with functional studies revealed that
TLSRP1 within pSR7 and TMSRP1 and ABCTPP of pSR6 are the active loci responsible
for osmotolerance through an energy dependent mechanism. Our study elucidates the
novel genetic machinery involved in bestowing osmotolerance in Prevotella and
Bacteroidetes, the predominant microbial groups in a North Indian population.
This study unravels an alternative method for imparting ionic stress tolerance,
which may be prevalent in the human gut microbiome.
PMID- 29362425
TI - Group-I PAKs-mediated phosphorylation of HACE1 at serine 385 regulates its
oligomerization state and Rac1 ubiquitination.
AB - The regulation of Rac1 by HACE1-mediated ubiquitination and proteasomal
degradation is emerging as an essential element in the maintenance of cell
homeostasis. However, how the E3 ubiquitin ligase activity of HACE1 is regulated
remains undetermined. Using a proteomic approach, we identified serine 385 as a
target of group-I PAK kinases downstream Rac1 activation by CNF1 toxin from
pathogenic E. coli. Moreover, cell treatment with VEGF also promotes Ser-385
phosphorylation of HACE1. We have established in vitro that HACE1 is a direct
target of PAK1 kinase activity. Mechanistically, we found that the phospho
mimetic mutant HACE1(S385E), as opposed to HACE1(S385A), displays a lower
capacity to ubiquitinate Rac1 in cells. Concomitantly, phosphorylation of Ser-385
plays a pivotal role in controlling the oligomerization state of HACE1. Finally,
Ser-385 phosphorylated form of HACE1 localizes in the cytosol away from its
target Rac1. Together, our data point to a feedback inhibition of HACE1
ubiquitination activity on Rac1 by group-I PAK kinases.
PMID- 29362426
TI - Strength of shear bands in fluid-saturated rocks: a nonlinear effect of
competition between dilation and fluid flow.
AB - This study shows the significant and nonlinear effect of the competition between
dilation and fluid flow on the shear strength of constrained shear bands in fluid
saturated rocks. This effect is conditioned by the contribution of the pore
pressure to the yield stress and strength. The pore pressure is controlled by the
dilation of the pore space in the solid skeleton of the shear band during plastic
deformation and by squeezing of pores in surrounding blocks by the dilating shear
band due to the high stiffness of the host massif. A generalized equation has
been derived to describe the dependence of the shear band strength on the ratio
of strain rate to fluid flow rate.
PMID- 29362427
TI - 3D virtual histology of murine kidneys -high resolution visualization of
pathological alterations by micro computed tomography.
AB - The increasing number of patients with end stage chronic kidney disease not only
calls for novel therapeutics but also for pioneering research using convincing
preclinical disease models and innovative analytical techniques. The aim of this
study was to introduce a virtual histology approach using micro computed
tomography (uCT) for the entire murine kidney in order to close the gap between
single slice planar histology and a 3D high resolution dataset. An ex vivo
staining protocol based on phosphotungstic acid diffusion was adapted to enhance
renal soft tissue x-ray attenuation. Subsequent CT scans allowed (i) the
detection of the renal cortex, medulla and pelvis in greater detail, (ii) the
analysis of morphological alterations, (iii) the quantification of the volume as
well as the radio-opacity of these portions and (iv) the quantification of renal
fibrotic remodeling based on altered radio-opacity using the unilateral ureteral
obstruction model. Thus, virtual histology based on PTA contrast enhanced CT will
in future help to refine the outcome of preclinical research on kidney associated
murine disease models.
PMID- 29362428
TI - Controlling AOX1 promoter strength in Pichia pastoris by manipulating poly
(dA:dT) tracts.
AB - Alcohol oxidase I (AOX1) promoter is the most popular but strictly-regulated
methanol inducible promoter for heterologous protein expression in Pichia
pastoris. In recent years, AOX1 promoter libraries have been developed with
deletion or insertion methods. The present research manipulated poly (dA:dT)
tracts in this promoter to control promoter strength, which hadn't been tried
before. There were 34 variants derived from the native AOX1 promoter constructed.
And variants were integrated into the same genomic location and upstream of the
same reporter gene porcine growth hormone (pGH). To test the transferability of
the results obtained from reporter gene pGH, the variants were connected to
reporter gene Lac Z. The resulted promoter library spanned an activity range
between 0.25 and 3.5 fold of the wild-type promoter activity. In addition,
activities of variants correlated with their predicted nucleosome architecture,
which were directed by poly (dA:dT) tracts. The cumulative sum of predicted
nucleosome affinity across the region (-820 to -540) was related to promoters
strength in single deletion variants on a proportional basis. Overall, the
research promotes understanding of the regulatory patterns for AOX1 promoter and
suggested that varying promoter expression of engineering nucleosome architecture
was also a feasible approach in P. pastoris.
PMID- 29362429
TI - Publisher Correction: Observation of photobleaching in Ge-deficient Ge16.8Se83.2
chalcogenide thin film with prolonged irradiation.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29362430
TI - Efficacy of bisphosphonates in the treatment of femoral head osteonecrosis: A
PRISMA-compliant meta-analysis of animal studies and clinical trials.
AB - This study aimed to determine whether bisphosphonates exert an effect on
preventing femoral head collapse after osteonecrosis of the femoral head (ONFH)
in an animal model and in clinical trials. A systematic literature search was
performed for studies published up to January 2017. Twenty-three articles (16
animal studies, seven clinical trials) were included in the meta-analysis. We
found that the bisphosphonate group obtained significant improvement in
epiphyseal quotients (MD = 15.32; 95% CI, 9.25-21.39) and provided better
performance on bone volume (SMD = 1.57; 95% CI, 0.94-2.20), trabecular number
(SMD = 1.30; 95% CI, 0.80-1.79), trabecular thickness (SMD = 0.77; 95% CI, 0.10
1.43) and trabecular separation (SMD = -1.44; 95% CI, -1.70 to -0.58) in the
animal model. However, the bisphosphonate group did not achieve better results in
pain score, Harris score, the occurrence rate of femoral head collapse, or total
hip arthroplasty in the clinical trials. In conclusion, despite bisphosphonates
significantly improving bone remodeling outcomes in animal models, no significant
efficacy was observed in the treatment of ONFH in the clinical studies. Further
studies are required to solve the discordant outcomes between the animal and
clinical studies.
PMID- 29362431
TI - Dual roles of miR-374a by modulated c-Jun respectively targets CCND1-inducing
PI3K/AKT signal and PTEN-suppressing Wnt/beta-catenin signaling in non-small-cell
lung cancer.
AB - MiR-374a appears to play a complex role in non-small-cell lung cancer (NSCLC).
Here, we demonstrate a dual role for miR-374a in NSCLC pathogenesis. The effects
and modulatory mechanisms of miR-374a on cell growth, migration, invasion, and in
vivo tumorigenesis and metastasis in nude mice were also analyzed. The expression
of miR-374a was examined in NSCLC and non-cancerous lung tissues by quantitative
real-time reverse transcription-PCR (qRT-PCR), and in situ hybridization,
respectively. miR-374a directly targets CCND1 and inactivates PI3K/AKT and Ras
mediated cell cycle signalings, as well as epithelial-mesenchymal transition
(EMT). This not only dramatically suppressed cell growth, migration, invasion,and
metastasis, but also elevated A549 and pc-9 NSCLC cell sensitivity to cisplatin
(DDP) while increasing survival time of tumor-bearing mice. Interestingly, miR
374a serves an inverse function in SPCA-1 and H1975 NSCLC cells by directly
targeting PTEN to activate Wnt/beta-catenin and Ras signalings and its downstream
cascade signals. Surprisingly, transcription factor c-Jun bound to the promoter
region of human miR-374a and suppressed miR-374a in A549 and pc-9 cells while
inducing it in SPCA-1 and H1975 cells. Increased levels of miR-374a appeared to
serve a protective role by targeting CCND1 in early-stage NSCLC (Stages I and
II). Inversely, increased miR-374a was an unfavorable factor when targeting PTEN
in more advanced staged NSCLC patients. Our studies are the first to demonstrate
that miR-374a plays divergent roles in NSCLC pathogenesis at different stages of
the disease and implicate the potential application of miR-374a targeting for
cancer therapy.
PMID- 29362432
TI - Nrf2 antioxidant pathway suppresses Numb-mediated epithelial-mesenchymal
transition during pulmonary fibrosis.
AB - Epithelial mesenchymal transition (EMT) is a key progression that promotes
pulmonary fibrosis (PF). Numb, a phosphotyrosine-binding domain (PTB) protein, is
implicated with EMT. Nuclear factor erythroid 2-related factor2 (Nrf2) and its
downstream proteins heme oxygenase-1 (HO-1) and NAD(P)H: quinone oxidoreductase 1
(NQO1) constitute an important pathway of antioxidant defense signal for
protecting against PF. It remains elusive whether Nrf2 antioxidant pathway and
Numb have a potential relationship in EMT-mediated PF. Here, we observed the
effects of Nrf2 pathway and Numb on bleomycin(BLM)-induced PF in Nrf2-knockout
(Nrf2-/-) and wild-type (WT) mice. Meanwhile, rat type II alveolar epithelial
cells line (RLE-6TN) and human epithelial cells line (A549) were both treated
with an Nrf2 activator sulforaphane (SFN), or transfected siRNAs of Nrf2 and Numb
to unravel roles of Nrf2 pathway, Numb and the link between them on transforming
growth factor beta1 (TGF-beta1)-induced EMT. We found BLM-induced lung fibrosis
were more severe in Nrf2-/- mice compared to WT mice with reduced expressions of
HO-1 and NQO1. Numb was enhanced with down-regulated expressions of Nrf2 in BLM
groups and further increased in Nrf2-/- groups. In vitro, given exogenous TGF
beta1 on RLE-6TN and A549 up-regulated Numb expressions, accompanied with down
regulations of Nrf2 and its target proteins HO-1 and NQO1. Transfected with Nrf2
and Numb siRNAs further aggravated and relieved the progression of EMT,
respectively. Inversely, activating Nrf2 pathway by SFN reduced the expression of
Numb and EMT-related protein. Moreover, Numb deficiency by siRNA relieved the
protection of activating Nrf2 against EMT. In conclusion, activating Nrf2
antioxidant pathway suppresses EMT during PF via inhibiting the abnormal
expression of Numb. These findings provide insight into PF pathogenesis and a
basis for novel treatment approaches.
PMID- 29362433
TI - Application of cardiovascular virtual endoscopy: a pilot study on roaming path
planning for diagnosis of congenital heart diseases in children.
AB - To investigate roaming paths planning for diagnosis of congenital heart diseases
(CHD) using a cardiovascular virtual endoscopy (VE) system. Forty children were
enrolled. VE system was applied to support in establishing a diagnosis.
Performance in diagnosing CHDs by CT, VE using automatically planned roaming
paths (VE-auto, objects were treated as left heart system and right heart
system), VE using manually planned paths (VE-manual), and VE using automatically
planned path for left heart system and manually planned path for right heart
system (VE-combined) were studied and compared. Comparable accuracy of 93%, 93%,
95% and 95% was found by CT, VE-auto, VE-manual and VE-combined. However, in
diagnosing tetralogy of Fallot, significantly higher performance was found by
VEs, compared with CT. For VE-auto, poor performance with an accuracy of 85% and
sensitivity of 22% was revealed in diagnosing muscular ventricular septal defect,
compared with VE-manual and VE-combined. Compared with VE-manual, VE-combined
illustrated comparable diagnostic accuracy on all CHDs; however, significantly
smaller diagnostic time was utilized (P < 0.05).Cardiovascular VE system
demonstrated considerable clinical value in the diagnosis of CHDs. Left and right
heart system should not be modeled as two cavity objects simultaneously. When one
of two systems is treated as one object, the other system should be treated as
three separate objects when using VE to diagnose CHDs.
PMID- 29362435
TI - Chromium removal from aqueous solution by a PEI-silica nanocomposite.
AB - It is essential and important to determine the adsorption mechanism as well as
removal efficiency when using an adsorption technique to remove toxic heavy
metals from wastewater. In this research, the removal efficiency and mechanism of
chromium removal by a silica-based nanoparticle were investigated. A PEI-silica
nanoparticle was synthesized by a one-pot technique and exhibited uniformly well
dispersed PEI polymers in silica particles. The adsorption capacity of chromium
ions was determined by a batch adsorption test, with the PEI-silica nanoparticle
having a value of 183.7 mg/g and monolayer sorption. Adsorption of chromium ions
was affected by the solution pH and altered the nanoparticle surface chemically.
First principles calculations of the adsorption energies for the relevant
adsorption configurations and XPS peaks of Cr and N showed that Cr(VI), [HCrO4]-
is reduced to two species, Cr(III), CrOH2+ and Cr3+, by an amine group and that
Cr(III) and Cr(VI) ions are adsorbed on different functional groups, oxidized N
and NH3+.
PMID- 29362434
TI - Effects of processing on structural, mechanical and biological properties of
collagen-based substrates for regenerative medicine.
AB - The aim of this work was to investigate the structural features of type I
collagen isoforms and collagen-based films at atomic and molecular scales, in
order to evaluate whether and to what extent different protocols of slurry
synthesis may change the protein structure and the final properties of the
developed scaffolds. Wide Angle X-ray Scattering data on raw materials
demonstrated the preferential orientation of collagen molecules in equine tendon
derived collagens, while randomly oriented molecules were found in bovine skin
collagens, together with a lower crystalline degree, analyzed by the assessment
of FWHM (Full Width at Half Maximum), and a certain degree of salt contamination.
WAXS and FT-IR (Fourier Transform Infrared) analyses on bovine collagen-based
films, showed that mechanical homogenization of slurry in acidic solution was the
treatment ensuring a high content of super-organization of collagen into triple
helices and a high crystalline domain into the material. In vitro tests on rat
Schwannoma cells showed that Schwann cell differentiation into myelinating cells
was dependent on the specific collagen film being used, and was found to be
stimulated in case of homogenization-treated samples. Finally DHT/EDC
crosslinking treatment was shown to affect mechanical stiffness of films
depending on collagen source and processing conditions.
PMID- 29362436
TI - Spectral mapping of brain functional connectivity from diffusion imaging.
AB - Understanding the relationship between the dynamics of neural processes and the
anatomical substrate of the brain is a central question in neuroscience. On the
one hand, modern neuroimaging technologies, such as diffusion tensor imaging, can
be used to construct structural graphs representing the architecture of white
matter streamlines linking cortical and subcortical structures. On the other
hand, temporal patterns of neural activity can be used to construct functional
graphs representing temporal correlations between brain regions. Although some
studies provide evidence that whole-brain functional connectivity is shaped by
the underlying anatomy, the observed relationship between function and structure
is weak, and the rules by which anatomy constrains brain dynamics remain elusive.
In this article, we introduce a methodology to map the functional connectivity of
a subject at rest from his or her structural graph. Using our methodology, we are
able to systematically account for the role of structural walks in the formation
of functional correlations. Furthermore, in our empirical evaluations, we observe
that the eigenmodes of the mapped functional connectivity are associated with
activity patterns associated with different cognitive systems.
PMID- 29362437
TI - Seabird colonies as important global drivers in the nitrogen and phosphorus
cycles.
AB - Seabirds drastically transform the environmental conditions of the sites where
they establish their breeding colonies via soil, sediment, and water
eutrophication (hereafter termed ornitheutrophication). Here, we report worldwide
amounts of total nitrogen (N) and total phosphorus (P) excreted by seabirds using
an inventory of global seabird populations applied to a bioenergetics model. We
estimate these fluxes to be 591 Gg N y-1 and 99 Gg P y-1, respectively, with the
Antarctic and Southern coasts receiving the highest N and P inputs. We show that
these inputs are of similar magnitude to others considered in global N and P
cycles, with concentrations per unit of surface area in seabird colonies among
the highest measured on the Earth's surface. Finally, an important fraction of
the total excreted N (72.5 Gg y-1) and P (21.8 Gg y-1) can be readily
solubilized, increasing their short-term bioavailability in continental and
coastal waters located near the seabird colonies.
PMID- 29362438
TI - Publisher Correction: Hydrogen storage and stability properties of Pd-Pt solid
solution nanoparticles revealed via atomic and electronic structure.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29362439
TI - Deletion of DGCR8 in VSMCs of adult mice results in loss of vascular reactivity,
reduced blood pressure and neointima formation.
AB - DiGeorge syndrome chromosomal region 8 (DGCR8), a double-stranded-RNA-binding
protein, participates in the miRNA biogenesis pathway and contributes to miRNA
maturation by interacting with the RNAase III enzyme Drosha in cell nuclei. To
investigate the role of DGCR8 in vascular smooth muscle cells (VSMCs) at the
postnatal stages, we generated tamoxifen-inducible VSMC specific knockout (iKO)
mice by crossing DGCR8loxp/loxp with VSMC specific tamoxifen-inducible Cre
transgenic mice SMA-Cre-ERT2. DGCR8iKO mice display reduced body weight one month
following tamoxifen treatment and died around 3 months. Blood pressure and
vascular reactivity were significantly reduced in DGCR8iKO mice compared to
control. Furthermore, loss of DGCR8 in VSMCs inhibited cell proliferation,
migration and neointima formation. VSMC differentiation marker genes, including
SMA and SM22, were downregulated in DGCR8 iKO mice. The majority of miRNAs were
downregulated in DGCR8iKO mice. Disruption of the DGCR8-mediated miRNA biogenesis
pathway attenuated multiple signaling pathways including ERK1/2 and AKT. Our
results demonstrate that the DGCR8-mediated miRNA pathway is required for
maintaining blood pressure, vascular reactivity and vascular wall remodeling at
the postnatal stages.
PMID- 29362441
TI - Experimental identification of non-classicality of noisy twin beams and other
related two-mode states.
AB - Different non-classicality criteria expressed in the form of inequalities among
intensity moments and elements of photon-number distributions are applied to
noisy twin beams and other two-mode states obtained from a twin beam by using a
beam splitter. Their performance in revealing the non-classicality is judged in
comparison with the exact results provided by suitable entanglement and local non
classicality quantifiers. Whereas the non-classicality of noisy twin beams is
always revealed by these criteria, not all the nonclassical states obtained at
the output of the beam splitter can be identified by these experimentally easily
reachable criteria.
PMID- 29362440
TI - The real-life costs of emotion regulation in anorexia nervosa: a combined
ecological momentary assessment and fMRI study.
AB - Regulation of emotions is necessary for successful attainment of short-term and
long-term goals. However, over-regulation may also have its costs. In anorexia
nervosa (AN), forgoing food intake despite emaciation and endocrine signals that
promote eating is an example of "too much" self-control. Here we investigated
whether voluntary emotion regulation in AN patients comes with associated
disorder-relevant costs. Thirty-five patients with acute AN and thirty-five age
matched healthy controls (HCs) performed an established emotion regulation
paradigm during functional magnetic resonance imaging after an overnight fast.
The task required reducing emotions induced by positively valenced pictures via
distancing. We calculated a neural regulation score from responses recorded in a
reward-related brain region of interest (ventral striatum; VS) by subtracting
activation measured on "positive distance" trials from that elicited under the
"positive watch" (baseline) condition. Complementing the imaging data, we used
ecological momentary assessment (EMA) to probe disorder-related rumination and
affect six times/day for 2 weeks following the scanning session. The neural
regulation score indicating reduced VS activation during emotion regulation was
used as a predictor in hierarchical linear models with EMA measures as outcomes.
No group differences in neural activity were found for the main contrasts of the
task. However, regulation of VS activity was associated with increased body
related rumination and increased negative affect in AN, but not in HC. In line
with this finding, correlational analysis with longitudinal BMI measurements
revealed a link between greater VS regulation and poorer treatment outcome after
60 and 90 days. Together, these results identify a neural correlate of altered
emotion regulation in AN, which seems to be detrimental to psychological well
being and may interfere with recovery.
PMID- 29362443
TI - Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator
interface.
AB - Despite the scientific and technological importance of removing interface
dangling bonds, even an ideal model of a dangling-bond-free interface between GaN
and an insulator has not been known. The formation of an atomically thin ordered
buffer layer between crystalline GaN and amorphous SiO2 would be a key to
synthesize a dangling-bond-free GaN/SiO2 interface. Here, we predict that a
silicon oxynitride (Si4O5N3) layer can epitaxially grow on a GaN(0001) surface
without creating dangling bonds at the interface. Our ab initio calculations show
that the GaN/Si4O5N3 structure is more stable than silicon-oxide-terminated
GaN(0001) surfaces. The electronic properties of the GaN/Si4O5N3 structure can be
tuned by modifying the chemical components near the interface. We also propose a
possible approach to experimentally synthesize the GaN/Si4O5N3 structure.
PMID- 29362442
TI - New 5-Aryl-Substituted 2-Aminobenzamide-Type HDAC Inhibitors with a
Diketopiperazine Group and Their Ameliorating Effects on Ischemia-Induced
Neuronal Cell Death.
AB - We previously synthesized new 5-thienyl-substituted 2-aminobenzamide-type HDAC1,
2 inhibitors with the (4-ethyl-2,3-dioxopiperazine-1-carboxamido) methyl group. K
560 (1a) protected against neuronal cell death in a Parkinson's disease model by
up-regulating the expression of XIAP. This finding prompted us to design new K
560-related compounds. We examined the structure activity relationship (SAR) for
the neuronal protective effects of newly synthesized and known K-560 derivatives
after cerebral ischemia. Among them, K-856 (8), containing the (4-methyl-2,5
dioxopiperazin-1-yl) methyl group, exhibited a promising neuronal survival
activity. The SAR study strongly suggested that the attachment of a monocyclic
2,3- or 2,5-diketopiperazine group to the 2-amino-5-aryl (but not 2-nitro-5-aryl)
scaffold is necessary for K-560-related compounds to exert a potent
neuroprotective effect.
PMID- 29362444
TI - Treatment of bipolar depression with minocycline and/or aspirin: an adaptive, 2*2
double-blind, randomized, placebo-controlled, phase IIA clinical trial.
AB - Given evidence of chronic inflammation in bipolar disorder (BD), we tested the
efficacy of aspirin and minocycline as augmentation therapy for bipolar
depression. Ninety-nine depressed outpatients with BD were enrolled in a 6 week,
double-blind, placebo-controlled trial, and randomized to one of four groups:
active minocycline (100 mg b.i.d.) + active aspirin (81 mg b.i.d.) (M + A);
active minocycline + placebo aspirin (M + P); placebo-minocycline + active
aspirin (A + P); and placebo-minocycline + placebo aspirin (P + P). A blinded
interim analysis mid-way through the study led to the dropping of the M + P and A
+ P arms from further enrollment giving numbers per group who were included in
the final analysis of: 30 (M + A), 18 (M + P), 19 (A + P), and 28 (P + P). When
the study started, there were three primary outcome measures. Based on the
results of the interim analysis, the primary outcome variable, response to
treatment as defined by >50% decrease in Montgomery-Asberg Depression Rating
Scale (MADRS) score was maintained. The other two (i.e., the change in mean MADRS
score from baseline to end of study and the remission rate, with remission being
defined as a score of <11 on the MADRS) were reduced to exploratory outcome
measures because the interim analysis indicated that the study was adequately
powered to test differences in response rate but not the mean change in MADRS
scores or remission rates. CRP and IL-6 were assayed to measure inflammation.
Urinary thromboxane B2 (11-D-TXB2) concentrations, which were significantly
increased at baseline in the combined BD sample (n = 90) vs. a healthy control
group (n = 27), served as an indirect marker of cyclooxygenase (COX) activity. In
a two-group analysis, the M + A group showed a greater response rate than the P +
P group (p(one-tailed) = 0.034, OR = 2.93, NNT = 4.7). When all four arms were
included in the analysis, there was a main effect of aspirin on treatment
response that was driven by both the M + A and the A + P groups (p(two-tailed) =
0.019, OR = 3.67, NNT = 4.0). Additionally, there was a significant 3-way
interaction between aspirin, minocycline, and IL-6, indicating that response to
minocycline was significantly greater in participants in the M + P group with
higher IL-6 concentrations. Further, participants in the M + P group who
responded to treatment had significantly greater decreases in IL-6 levels between
baseline and visit 7 vs. non-responders. Regarding the exploratory outcomes,
there was a main effect for aspirin on the remission rate (chi12 = 4.14, p(2t) =
0.04, OR = 2.52, NNT = 8.0). There was no significant main effect of aspirin or
minocycline on the mean change in MADRS score across visits. Aspirin and
minocycline may be efficacious adjunctive treatments for bipolar depression.
Given their potential import, additional studies to confirm and extend these
findings are warranted.
PMID- 29362445
TI - Abnormal behaviours relevant to neurodevelopmental disorders in Kirrel3-knockout
mice.
AB - In the nervous system, Kirrel3 is involved in neuronal migration, axonal
fasciculation, and synapse formation. Recently, genetic links have been reported
between mutations in the KIRREL3 gene and increased risk of neurodevelopmental
disorders, including autism spectrum disorder (ASD) and intellectual disability.
To elucidate the causal relationship between KIRREL3 deficiency and behavioural
abnormalities relevant to neurodevelopmental disorders, we generated global
Kirrel3-knockout (Kirrel3-/-) mice and investigated the detailed behavioural
phenotypes. In the three-chambered social approach test, Kirrel3-/- mice
displayed a significant preference for a mouse over a non-social object but no
significant preference for a stranger mouse over a familiar mouse. Ultrasonic
communications, including pup-to-mother calls, male-female courtship vocalisation
and resident responses to intruder, were significantly impaired in Kirrel3-/-
mice. Significant increases in locomotor activity and repetitive rearing were
also observed in Kirrel3-/- mice. Furthermore, the performance of Kirrel3-/- mice
in the rotarod test was significantly better than that of wild-type mice. In the
acoustic startle test, Kirrel3-/- mice were significantly hypersensitive to
acoustic stimuli. Anxiety-related behaviours and spatial or fear memory
acquisition were normal in Kirrel3-/- mice. These findings suggest that Kirrel3-/
mice exhibit autistic-like behaviours, including social and communicative
deficits, repetitive behaviours, and sensory abnormalities, as well as
hyperactivity.
PMID- 29362446
TI - Critical neutralizing fragment of Zika virus EDIII elicits cross-neutralization
and protection against divergent Zika viruses.
AB - Zika virus (ZIKV) infection remains a serious health threat due to its close
association with congenital Zika syndrome (CZS), which includes microcephaly and
other severe birth defects. As no vaccines are available for human use,
continuous effort is needed to develop effective and safe vaccines to prevent
ZIKV infection. In this study, we constructed three recombinant proteins
comprising, respectively, residues 296-406 (E296-406), 298-409 (E298-409), and
301-404 (E301-404) of ZIKV envelope (E) protein domain III (EDIII) fused with a C
terminal Fc of human IgG. Our results demonstrated that E298-409 induced the
highest titer of neutralizing antibodies against infection with nine ZIKV strains
isolated from different hosts, countries, and time periods, and it maintained
long-term anti-ZIKV immunogenicity to induce neutralizing antibodies. Pups born
to mice immunized with E298-409 were fully protected against lethal challenge
with two epidemic human ZIKV strains, 2015/Honduras (R103451) and 2015/Colombia
(FLR). Passive transfer of anti-E298-409 mouse sera protected pups born to naive
mice, as well as type I interferon receptor-deficient adult A129 mice, from
lethal challenge with human ZIKV strains R103451 and FLR, and this protection was
positively correlated with neutralizing antibodies. These data suggest that the
critical neutralizing fragment (i.e., a fragment that can induce highly potent
neutralizing antibodies against divergent ZIKV strains) of ZIKV EDIII is a good
candidate for development as an effective and safe ZIKV subunit vaccine to
protect pregnant mothers and their fetuses against ZIKV infection. The E298-409
specific antibodies can be used for passive immunization to prevent ZIKV
infection in newborns or immunocompromised adults.
PMID- 29362447
TI - Mitochondria are transported along microtubules in membrane nanotubes to rescue
distressed cardiomyocytes from apoptosis.
AB - Membrane nanotubes (MNTs) act as "highways" between cells to facilitate the
transfer of multiple signals and play an important role in many diseases. Our
previous work reported on the transfer of mitochondria via MNTs between
cardiomyocytes (CMs) and cardiac myofibroblasts (MFs); however, the elucidation
of the underlying mechanism and pathophysiological significance of this transfer
requires additional study. In this study, we determined that the mean movement
velocity of mitochondria in MNTs between CMs and MFs was approximately 17.5 +/-
2.1 nm/s. Meanwhile, treatment with microtubule polymerisation inhibitors
nocodazole or colcemid in cell culture decreased mitochondrial velocity, and
knockdown of the microtubule motor protein kinesin family member 5B (KIF5B) led
to a similar effect, indicating that mitochondrial movement was dependent on
microtubules and the motor protein KIF5B. Furthermore, we showed that
hypoxia/reoxygenation-induced CM apoptosis was attenuated by coculture with
intact or hypoxia/reoxygenation-treated MFs, which transferred mitochondria to
CMs. This rescue was prevented either by separating the cells using Transwell
culture or by impairing mitochondrial transfer with nocodazole or colcemid
treatment. In conclusion, as a novel means of intercellular communication, MNTs
rescue distressed CMs from apoptosis by transporting mitochondria along
microtubules via KIF5B.
PMID- 29362448
TI - Extracellular vesicle-mediated EBAG9 transfer from cancer cells to tumor
microenvironment promotes immune escape and tumor progression.
AB - The antitumor immune response is a critical defense system that eliminates
malignant cells. The failure of the system results in immune escape and proceeds
to tumor growth. We have previously showed that estrogen receptor-binding
fragment-associated antigen 9 (EBAG9) is a relevant cancer biomarker and
facilities immune escape of cancers from the immune surveillance. EBAG9 in cancer
cells suppresses T-cell infiltration into tumor in vivo, whereas that in host
immune cells functions as a limiter for T-cell cytotoxicity. Considering that
EBAG9 plays immune suppressive roles in both tumor and microenvironment, we here
questioned whether EBAG9 is a transferable protein from cancer to surrounding T
cells and affects antitumor immune response. In this study, we showed that
spontaneous development of prostate cancer was repressed in a model of Ebag9
knockout mice crossed with transgenic adenocarcinoma of the mouse prostate
(TRAMP) mice. We identified TM9SF1 as a collaborative EBAG9 interactor, which
regulates epithelial-mesenchymal transition (EMT) in cancer cells. Notably,
extracellular vesicles (EVs) from EBAG9-overexpressing prostate cancer cells have
a potential to facilitate immune escape of tumors by inhibiting T-cell
cytotoxicity and modulating immune-related gene expression in T cells.
Furthermore, we showed that a neutralizing antibody for EBAG9 could rescue the EV
mediated immune suppression by recovering T-cell cytotoxicity. In addition to its
autocrine functions in cancer cells, EBAG9 could behave as a new class of immune
checkpoint that suppresses tumor immunity in a secretory manner. We propose that
EBAG9-targeting cancer treatment could be alternative therapeutic options for
advanced diseases, particularly for those with EBAG9 overexpression.
PMID- 29362449
TI - Heuristic and optimal policy computations in the human brain during sequential
decision-making.
AB - Optimal decisions across extended time horizons require value calculations over
multiple probabilistic future states. Humans may circumvent such complex
computations by resorting to easy-to-compute heuristics that approximate optimal
solutions. To probe the potential interplay between heuristic and optimal
computations, we develop a novel sequential decision-making task, framed as
virtual foraging in which participants have to avoid virtual starvation. Rewards
depend only on final outcomes over five-trial blocks, necessitating planning over
five sequential decisions and probabilistic outcomes. Here, we report model
comparisons demonstrating that participants primarily rely on the best available
heuristic but also use the normatively optimal policy. FMRI signals in medial
prefrontal cortex (MPFC) relate to heuristic and optimal policies and associated
choice uncertainties. Crucially, reaction times and dorsal MPFC activity scale
with discrepancies between heuristic and optimal policies. Thus, sequential
decision-making in humans may emerge from integration between heuristic and
optimal policies, implemented by controllers in MPFC.
PMID- 29362450
TI - Gut Microbiota-Derived Short Chain Fatty Acids Induce Circadian Clock Entrainment
in Mouse Peripheral Tissue.
AB - Microbiota-derived short-chain fatty acids (SCFAs) and organic acids produced by
the fermentation of non-digestible fibre can communicate from the microbiome to
host tissues and modulate homeostasis in mammals. The microbiome has circadian
rhythmicity and helps the host circadian clock function. We investigated the
effect of SCFA or fibre-containing diets on circadian clock phase adjustment in
mouse peripheral tissues (liver, kidney, and submandibular gland). Initially,
caecal SCFA concentrations, particularly acetate and butyrate, induced
significant day-night differences at high concentrations during the active
period, which were correlated with lower caecal pH. By monitoring luciferase
activity correlated with the clock gene Period2 in vivo, we found that oral
administration of mixed SCFA (acetate, butyrate, and propionate) and an organic
acid (lactate), or single administration of each SCFA or lactate for three days,
caused phase changes in the peripheral clocks with stimulation timing dependency.
However, this effect was not detected in cultured fibroblasts or cultured liver
slices with SCFA applied to the culture medium, suggesting SCFA-induced indirect
modulation of circadian clocks in vivo. Finally, cellobiose-containing diets
facilitated SCFA production and refeeding-induced peripheral clock entrainment.
SCFA oral gavage and prebiotic supplementation can facilitate peripheral clock
adjustment, suggesting prebiotics as novel therapeutic candidates for
misalignment.
PMID- 29362451
TI - Sedimentological constraints on the initial uplift of the West Bogda Mountains in
Mid-Permian.
AB - The Late Paleozoic is considered to be an important stage in the evolution of the
Central Asian Orogenic Belt (CAOB). The Bogda Mountains, a northeastern branch of
the Tianshan Mountains, record the complete Paleozoic history of the Tianshan
orogenic belt. The tectonic and sedimentary evolution of the west Bogda area and
the timing of initial uplift of the West Bogda Mountains were investigated based
on detailed sedimentological study of outcrops, including lithology, sedimentary
structures, rock and isotopic compositions and paleocurrent directions. At the
end of the Early Permian, the West Bogda Trough was closed and an island arc was
formed. The sedimentary and subsidence center of the Middle Permian inherited
that of the Early Permian. The west Bogda area became an inherited catchment
area, and developed a widespread shallow, deep and then shallow lacustrine
succession during the Mid-Permian. At the end of the Mid-Permian, strong
intracontinental collision caused the initial uplift of the West Bogda Mountains.
Sedimentological evidence further confirmed that the West Bogda Mountains was a
rift basin in the Carboniferous-Early Permian, and subsequently entered the Late
Paleozoic large-scale intracontinental orogeny in the region.
PMID- 29362452
TI - Tell me about your hay fever: a qualitative investigation of allergic rhinitis
management from the perspective of the patient.
AB - Allergic rhinitis (AR) is sub-optimally managed in the community and is
responsible for a significant health and economic burden. Uncontrolled AR
increases the risk of poorly controlled asthma and presents an increased
susceptibility to thunderstorm asthma. With the availability of treatments over
the-counter, bypassing the health care professional (HCP), the role of the
patient is paramount. Research on the role of the patient in AR management in the
current environment is limited. This study aims to explore the patient
perspective of AR management and understand why it is sub-optimally managed in
the community. Patient perspectives of AR management were explored utilizing a
qualitative, phenomenological approach. Adults with AR were included in the study
and interviewed. Transcripts were analyzed for recurrent themes and emergent
concepts. Forty-seven participants with AR were interviewed about their
experiences. Patient reports of delayed diagnosis, treatment fatigue and
confidence in the ability to manage their AR themselves, heavily influenced their
management preferences. Patients also described barriers associated with AR
management including financial expense as well as being mistaken for having an
infectious disease. Patients described examples of the impact on their quality of
life caused by their AR, yet they strongly believed they could manage it
themselves. This belief that AR is a condition that should be entirely self
managed, contributes to its burden. It amplifies patients' separation from HCPs
and having access to guidelines aimed at optimizing their AR control.
PMID- 29362453
TI - Insights into the molecular mechanism of dehalogenation catalyzed by D-2-haloacid
dehalogenase from crystal structures.
AB - D-2-haloacid dehalogenases (D-DEXs) catalyse the hydrolytic dehalogenation of D-2
haloacids, releasing halide ions and producing the corresponding 2-hydroxyacids.
A structure-guided elucidation of the catalytic mechanism of this dehalogenation
reaction has not been reported yet. Here, we report the catalytic mechanism of a
D-DEX, HadD AJ1 from Pseudomonas putida AJ1/23, which was elucidated by X-ray
crystallographic analysis and the H218O incorporation experiment. HadD AJ1 is an
alpha-helical hydrolase that forms a homotetramer with its monomer including two
structurally axisymmetric repeats. The product-bound complex structure was
trapped with L-lactic acid in the active site, which is framed by the
structurally related helices between two repeats. Site-directed mutagenesis
confirmed the importance of the residues lining the binding pocket in stabilizing
the enzyme-substrate complex. Asp205 acts as a key catalytic residue and is
responsible for activating a water molecule along with Asn131. Then, the hydroxyl
group of the water molecule directly attacks the C2 atom of the substrate to
release the halogen ion instead of forming an enzyme-substrate ester intermediate
as observed in L-2-haloacid dehalogenases. The newly revealed structural and
mechanistic information on D-DEX may inspire structure-based mutagenesis to
engineer highly efficient haloacid dehalogenases.
PMID- 29362454
TI - Nonalcoholic fatty liver disease is associated with dysbiosis independent of body
mass index and insulin resistance.
AB - This study aimed to determine if there is an association between dysbiosis and
nonalcoholic fatty liver disease (NAFLD) independent of obesity and insulin
resistance (IR). This is a prospective cross-sectional study assessing the
intestinal microbiome (IM) of 39 adults with biopsy-proven NAFLD (15 simple
steatosis [SS]; 24 nonalcoholic steatohepatitis [NASH]) and 28 healthy controls
(HC). IM composition (llumina MiSeq Platform) in NAFLD patients compared to HC
were identified by two statistical methods (Metastats, Wilcoxon). Selected taxa
was validated using quantitative PCR (qPCR). Metabolites in feces and serum were
also analyzed. In NAFLD, 8 operational taxonomic units, 6 genera, 6 families and
2 phyla (Bacteroidetes, Firmicutes) were less abundant and; 1 genus
(Lactobacillus) and 1 family (Lactobacillaceae) were more abundant compared to
HC. Lower abundance in both NASH and SS patients compared to HC were confirmed by
qPCR for Ruminococcus, Faecalibacterium prausnitzii and Coprococcus. No
difference was found between NASH and SS. This lower abundance in NAFLD (NASH+SS)
was independent of BMI and IR. NAFLD patients had higher concentrations of fecal
propionate and isobutyric acid and serum 2-hydroxybutyrate and L-lactic acid.
These findings suggest a potential role for a specific IM community and
functional profile in the pathogenesis of NAFLD.
PMID- 29362456
TI - Bone turnover, calcium homeostasis, and vitamin D status in Danish vegans.
AB - BACKGROUND/OBJECTIVES: A vegan diet has been associated with increased bone
fracture risk, but the physiology linking nutritional exposure to bone metabolism
has only been partially elucidated. This study investigated whether a vegan diet
is associated with increased bone turnover and altered calcium homeostasis due to
insufficient intake of calcium and vitamin D. SUBJECTS/METHODS: Fractionated and
total 25-hydroxyvitamin D (25(OH)-D), parathyroid hormone (PTH), calcium, and
four bone turnover markers (osteocalcin, N-terminal propeptide of type I
procollagen (PINP), bone-specific alkaline phosphatase (BAP), and C-terminal
telopeptide of type I collagen (CTX)) were measured in serum from 78 vegans and
77 omnivores. RESULTS: When adjusting for seasonality and constitutional
covariates (age, sex, and body fat percentage) vegans had higher concentrations
of PINP (32 [95% CI: 7, 64]%, P = 0.01) and BAP (58 [95% CI: 27, 97]%, P < 0.001)
compared to omnivores, whereas CTX (30 [95% CI: -1, 72]%, P = 0.06) and
osteocalcin (21.8 [95% CI: -9.3, 63.7]%, P = 0.2) concentrations did not differ
between the two groups. Vegans had higher serum PTH concentration (38 [95% CI:
19, 60]%; P < 0.001) and lower 25(OH)-D serum concentration (-33 [95% CI: -45,
19]%; P < 0.001), but similar serum calcium concentration (-1 [95% CI: -3, 1]%, P
= 0.18 compared to omnivores. CONCLUSIONS: Vegans have higher levels of
circulating bone turnover markers compared to omnivores, which may in the long
term lead to poorer bone health. Differences in dietary habits including intake
of vitamin D and calcium may, at least partly, explain the observed differences.
PMID- 29362457
TI - Age modifies the association between serum obestatin, appetite and nutritional
status in maintenance hemodialysis patients.
AB - BACKGROUND/OBJECTIVES: Increased age is strongly associated with anorexia and
protein-energy wasting (PEW) in maintenance hemodialysis (MHD) population. We
hypothesized that the association of obestatin, a recently discovered
anorexigenic gut hormone, with appetite and nutritional status differs by age
groups. SUBJECTS/METHODS: We performed a cross-sectional study on 261MHD
patients. Obestatin, acyl-ghrelin, markers of inflammation (CRP, IL-6, TNF-alpha)
and nutrition (self-reported appetite, dietary intake, biochemical nutritional
parameters, and body composition) were measured. RESULTS: Obestatin was
associated with appetite in multivariate analyses even after controlling for such
confounders as lean body mass (LBM), IL-6 and acyl-ghrelin in patients younger
than 71 years. For each ng/ml increase in obestatin levels, the odds for
diminished appetite was 0.75 (95% CI: 0.59-0.96). However, these associations
were not observed in patients 71 years and older. Multivariable logistic
regression models (including appetite) also showed increasing odds for PEW
(defined by ESPEN consensus-based criteria for the diagnosis of malnutrition)
across increasing serum obestatin levels (OR: 1.51, 95% CI: 1.05-2.18) in
patients 71 years and older. However, after lean body mass (LBM) was added to
this model, the association between obestatin and malnutrition was abolished (OR:
1.26, 95% CI: 0.83-1.91). CONCLUSIONS: The association between serum obestatin,
appetite and PEW differs depending on age in MHD patients. A positive link with
appetite exists in patients younger than 71 years, whereas this relationship
disappears by the age of 71. In older MHD patients, obestatin is associated with
PEW through mechanisms related to LBM, but not to appetite.
PMID- 29362455
TI - Dynamic recruitment of ubiquitin to mutant huntingtin inclusion bodies.
AB - Many neurodegenerative diseases, such as Huntington's disease, are hallmarked by
the formation of intracellular inclusion bodies (IBs) that are decorated with
ubiquitin, proteasomes and chaperones. The apparent enrichment of ubiquitin and
components involved in protein quality control at IBs suggests local ubiquitin
dependent enzymatic activity. In this study, we examine recruitment of ubiquitin
to IBs of polyglutamine-expanded huntingtin fragments (mHtt) by using synthesized
TAMRA-labeled ubiquitin moieties. We show that intracellular TAMRA-ubiquitin is
dynamic at mHtt IBs and is incorporated into poly-ubiquitin chains of
intracellular substrates, such as mHtt, in a conjugation-dependent manner.
Furthermore, we report that mHtt IBs recruit catalytically active enzymes
involved in (de)-ubiquitination processes based on novel activity-based probes.
However, we also find that the overexpression of the GFP-ubiquitin reporter,
unlike the endogenous ubiquitin and TAMRA-ubiquitin, becomes irreversibly
sequestered as a ring-like structure around the mHtt IBs, suggesting a methodical
disadvantage of GFP-tagged ubiquitin. Our data provide supportive evidence for
dynamic recruitment of ubiquitin and ubiquitin (de)-conjugating activity at mHtt
initiated IBs.
PMID- 29362458
TI - Body composition and development of diabetes: a 15-year follow-up study in a
Japanese population.
AB - BACKGROUND/OBJECTIVES: Few longitudinal studies have examined the association
between diabetes risk and body composition in Asians. The aim of this prospective
cohort study was to determine the role of body composition, estimated by whole
body dual-energy X-ray absorptiometry, in the development of diabetes and to
examine the impact of body composition on diabetes risk in normal weight (body
mass index (BMI) <23 kg/m2) and overweight/obese groups (>=23 kg/m2).
SUBJECTS/METHODS: We measured the body composition for 1532 diabetes-free
subjects (463 men and 1069 women), aged 48-79 years, at the baseline examination
period from 1994-96 and followed-up to detect new cases of diabetes over the next
15 years (median 13.4 years). RESULTS: After being adjusted for BMI and other
potential confounding factors, body fat distribution was associated with diabetes
risk. Percentage of trunk fat was positively associated with the development of
diabetes (hazards ratio (HR) per 1 SD (95% confidential interval (CI)), 1.58
(1.10-2.28) in men, and 1.34 (0.99-1.83) in women), and percentage of leg fat was
negatively associated with the development of diabetes (HR per 1 SD (95% CI),
0.68 (0.50-0.91) in men and 0.68 (0.55-0.85) in women). The estimated HRs of %
trunk and leg fat on the development of diabetes differed little between normal
weight and overweight/obese subjects. Appendicular lean mass was also negatively
associated with diabetes risk only in normal weight men. CONCLUSIONS: Opposite
associations of trunk fat and leg fat with diabetes risk were observed.
Assessment of body composition might help in the evaluation of diabetes risk.
PMID- 29362460
TI - Pessimism and fearfulness in dairy calves.
AB - Animals that experience situations likely to induce negative emotions show
changes in judgment associated with pessimism. Few studies have focused on
whether animals express stable differences in pessimism and whether these
differences are related to personality traits. The first aim of this study was to
explore if dairy calves are consistent over time in making judgments under
ambiguous situations. Our second aim was to determine whether individual
differences in judgment bias are related to conventional personality traits
assessed using four standardized tests (Open field, Novel object, Human
reactivity and Social motivation test). We subjected animals to two sessions of
judgment bias and personality trait tests at 25 and 50 d of age. Individual
differences in judgment bias were consistent over time with some animals
persistently making more pessimistic choices compared to others. Two main
dimensions of personality (Fearfulness and Sociability), obtained through
principal component analysis, were also highly consistent over time. Pessimism
was related to fearfulness, with more fearful calves making more pessimistic
judgments. We conclude that dairy calves differ in the way they perceive and
react to ambiguity and that this relates to individual differences in
fearfulness.
PMID- 29362461
TI - Asenjonamides A-C, antibacterial metabolites isolated from Streptomyces asenjonii
strain KNN 42.f from an extreme-hyper arid Atacama Desert soil.
AB - Bio-guided fractionation of the culture broth extract of Streptomyces asenjonii
strain KNN 42.f recovered from an extreme hyper-arid Atacama Desert soil in
northern Chile led to the isolation of three new bioactive beta-diketones;
asenjonamides A-C (1-3) in addition to the known N-(2-(1H-indol-3-yl)-2
oxoethyl)acetamide (4), a series of bioactive acylated 4-aminoheptosyl-beta-N
glycosides; spicamycins A-E (5-9), and seven known diketopiperazines (10-16). All
isolated compounds were characterized by HRESIMS and NMR analyses and tested for
their antibacterial effect against a panel of bacteria.
PMID- 29362462
TI - Acute inflammatory arthritis: Long-term effects of chikungunya.
PMID- 29362459
TI - Lack of beta-arrestin signaling in the absence of active G proteins.
AB - G protein-independent, arrestin-dependent signaling is a paradigm that broadens
the signaling scope of G protein-coupled receptors (GPCRs) beyond G proteins for
numerous biological processes. However, arrestin signaling in the collective
absence of functional G proteins has never been demonstrated. Here we achieve a
state of "zero functional G" at the cellular level using HEK293 cells depleted by
CRISPR/Cas9 technology of the Gs/q/12 families of Galpha proteins, along with
pertussis toxin-mediated inactivation of Gi/o. Together with HEK293 cells lacking
beta-arrestins ("zero arrestin"), we systematically dissect G protein- from
arrestin-driven signaling outcomes for a broad set of GPCRs. We use biochemical,
biophysical, label-free whole-cell biosensing and ERK phosphorylation to identify
four salient features for all receptors at "zero functional G": arrestin
recruitment and internalization, but-unexpectedly-complete failure to activate
ERK and whole-cell responses. These findings change our understanding of how
GPCRs function and in particular of how they activate ERK1/2.
PMID- 29362464
TI - Inflammation: Potassium channel regulates osteoclastogenesis.
PMID- 29362463
TI - Systemic sclerosis: Promoting apoptosis is key to reversing fibrosis.
PMID- 29362465
TI - Experimental arthritis: Anti-TNF kills the macrophage response.
PMID- 29362466
TI - Behcet syndrome: a contemporary view.
AB - This corrects the article DOI: 10.1038/nrrheum.2017.208.
PMID- 29362467
TI - Moving towards a molecular taxonomy of autoimmune rheumatic diseases.
AB - Autoimmune rheumatic diseases pose many problems that have, in general, already
been solved in the field of cancer. The heterogeneity of each disease, the
clinical similarities and differences between different autoimmune rheumatic
diseases and the large number of patients that remain without a diagnosis
underline the need to reclassify these diseases via new approaches. Knowledge
about the molecular basis of systemic autoimmune diseases, along with the
availability of bioinformatics tools capable of handling and integrating large
volumes of various types of molecular data at once, offer the possibility of
reclassifying these diseases. A new taxonomy could lead to the discovery of new
biomarkers for patient stratification and prognosis. Most importantly, this
taxonomy might enable important changes in clinical trial design to reach the
expected outcomes or the design of molecularly targeted therapies. In this
Review, we discuss the basis for a new molecular taxonomy for autoimmune
rheumatic diseases. We highlight the evidence surrounding the idea that these
diseases share molecular features related to their pathogenesis and development
and discuss previous attempts to classify these diseases. We evaluate the tools
available to analyse and combine different types of molecular data. Finally, we
introduce PRECISESADS, a project aimed at reclassifying the systemic autoimmune
diseases.
PMID- 29362470
TI - Viral hepatitis: Biomarker for HBV therapy discontinuation.
PMID- 29362468
TI - Corrigendum: The RA-MAP Consortium: a working model for academia-industry
collaboration.
AB - This corrects the article DOI: 10.1038/nrrheum.2017.200.
PMID- 29362469
TI - Role of priority effects in the early-life assembly of the gut microbiota.
AB - Understanding how microbial communities develop is essential for predicting and
directing their future states. Ecological theory suggests that community
development is often influenced by priority effects, in which the order and
timing of species arrival determine how species affect one another. Priority
effects can have long-lasting consequences, particularly if species arrival
history varies during the early stage of community development, but their
importance to the human gut microbiota and host health remains largely unknown.
Here, we explore how priority effects might influence microbial communities in
the gastrointestinal tract during early childhood and how the strength of
priority effects can be estimated from the composition of the microbial species
pool. We also discuss factors that alter microbial transmission, such as delivery
mode, diet and parenting behaviours such as breastfeeding, which can influence
the likelihood of priority effects. An improved knowledge of priority effects has
the potential to inform microorganism-based therapies, such as prebiotics and
probiotics, which are aimed at guiding the microbiota towards a healthy state.
PMID- 29362471
TI - Discovery of novel bacterial topoisomerase I inhibitors by use of in silico
docking and in vitro assays.
AB - Topoisomerases are important targets for antibacterial and anticancer therapies.
Bacterial topoisomerase I remains to be exploited for antibiotics that can be
used in the clinic. Inhibitors of bacterial topoisomerase I may provide leads for
novel antibacterial drugs against pathogens resistant to current antibiotics. TB
is the leading infectious cause of death worldwide, and new TB drugs against an
alternative target are urgently needed to overcome multi-drug resistance.
Mycobacterium tuberculosis topoisomerase I (MtbTopI) has been validated
genetically and chemically as a TB drug target. Here we conducted in silico
screening targeting an active site pocket of MtbTopI. The top hits were assayed
for inhibition of MtbTopI activity. The shared structural motif found in the
active hits was utilized in a second round of in silico screening and in vitro
assays, yielding selective inhibitors of MtbTopI with IC50s as low as 2 uM.
Growth inhibition of Mycobacterium smegmatis by these compounds in combination
with an efflux pump inhibitor was diminished by the overexpression of recombinant
MtbTopI. This work demonstrates that in silico screening can be utilized to
discover new bacterial topoisomerase I inhibitors, and identifies a novel
structural motif which could be explored further for finding selective bacterial
topoisomerase I inhibitors.
PMID- 29362472
TI - Making spectral shape measurements in inverse Compton scattering a tool for
advanced diagnostic applications.
AB - Interaction of relativistic electron beams with high power lasers can both serve
as a secondary light source and as a novel diagnostic tool for various beam
parameters. For both applications, it is important to understand the dynamics of
the inverse Compton scattering mechanism and the dependence of the scattered
light's spectral properties on the interacting laser and electron beam
parameters. Measurements are easily misinterpreted due to the complex interplay
of the interaction parameters. Here we report the potential of inverse Compton
scattering as an advanced diagnostic tool by investigating two of the most
influential interaction parameters, namely the laser intensity and the electron
beam emittance. Established scaling laws for the spectral bandwidth and redshift
of the mean scattered photon energy are refined. This allows for a quantitatively
well matching prediction of the spectral shape. Driving the interaction to a
nonlinear regime, we spectrally resolve the rise of higher harmonic radiation
with increasing laser intensity. Unprecedented agreement with 3D radiation
simulations is found, showing the good control and characterization of the
interaction. The findings advance the interpretation of inverse Compton
scattering measurements into a diagnostic tool for electron beams from laser
plasma acceleration.
PMID- 29362473
TI - A single residue in the alphaB helix of the E protein is critical for Zika virus
thermostability.
PMID- 29362474
TI - Metabolomic analysis of low and high biofilm-forming Helicobacter pylori strains.
AB - The biofilm-forming-capability of Helicobacter pylori has been suggested to be
among factors influencing treatment outcome. However, H. pylori exhibit strain-to
strain differences in biofilm-forming-capability. Metabolomics enables the
inference of spatial and temporal changes of metabolic activities during biofilm
formation. Our study seeks to examine the differences in metabolome of low and
high biofilm-formers using the metabolomic approach. Eight H. pylori clinical
strains with different biofilm-forming-capability were chosen for metabolomic
analysis. Bacterial metabolites were extracted using Bligh and Dyer method and
analyzed by Liquid Chromatography/Quadrupole Time-of-Flight mass spectrometry.
The data was processed and analyzed using the MassHunter Qualitative Analysis and
the Mass Profiler Professional programs. Based on global metabolomic profiles,
low and high biofilm-formers presented as two distinctly different groups.
Interestingly, low-biofilm-formers produced more metabolites than high-biofilm
formers. Further analysis was performed to identify metabolites that differed
significantly (p-value < 0.005) between low and high biofilm-formers. These
metabolites include major categories of lipids and metabolites involve in
prostaglandin and folate metabolism. Our findings suggest that biofilm formation
in H. pylori is complex and probably driven by the bacterium' endogenous
metabolism. Understanding the underlying metabolic differences between low and
high biofilm-formers may enhance our current understanding of pathogenesis,
extragastric survival and transmission of H. pylori infections.
PMID- 29362475
TI - Lack of evidence for selection favouring MHC haplotypes that combine high
functional diversity.
AB - High rates of gene duplication and the highest levels of functional allelic
diversity in vertebrate genomes are the main hallmarks of the major
histocompatibility complex (MHC), a multigene family with a primordial role in
pathogen recognition. The usual tight linkage among MHC gene duplicates may
provide an opportunity for the evolution of haplotypes that associate
functionally divergent alleles and thus grant the transmission of optimal levels
of diversity to coming generations. Even though such associations may be a
crucial component of disease resistance, this hypothesis has been given little
attention in wild populations. Here, we leveraged pedigree data from a barn owl
(Tyto alba) population to characterize MHC haplotype structure across two MHC
class I (MHC-I) and two MHC class IIB (MHC-IIB) duplicates, in order to test the
hypothesis that haplotypes' genetic diversity is higher than expected from
randomly associated alleles. After showing that MHC loci are tightly linked
within classes, we found limited evidence for shifts towards MHC haplotypes
combining high diversity. Neither amino acid nor functional within-haplotype
diversity were significantly higher than in random sets of haplotypes, regardless
of MHC class. Our results therefore provide no evidence for selection towards
high-diversity MHC haplotypes in barn owls. Rather, high rates of concerted
evolution may constrain the evolution of high-diversity haplotypes at MHC-I,
while, in contrast, for MHC-IIB, fixed differences among loci may provide barn
owls with already optimized functional diversity. This suggests that at the MHC-I
and MHC-IIB respectively, different evolutionary dynamics may govern the
evolution of within-haplotype diversity.
PMID- 29362477
TI - Random neuronal ensembles can inherently do context dependent coarse conjunctive
encoding of input stimulus without any specific training.
AB - Conjunctive encoding of inputs has been hypothesized to be a key feature in the
computational capabilities of the brain. This has been inferred based on
behavioral studies and electrophysiological recording from animals. In this
report, we show that random neuronal ensembles grown on multi-electrode array
perform a coarse-conjunctive encoding for a sequence of inputs with the first
input setting the context. Such an encoding scheme creates similar yet unique
population codes at the output of the ensemble, for related input sequences,
which can then be decoded via a simple perceptron and hence a single STDP neuron
layer. The random neuronal ensembles allow for pattern generalization and novel
sequence classification without needing any specific learning or training of the
ensemble. Such a representation of the inputs as population codes of neuronal
ensemble outputs, has inherent redundancy and is suitable for further decoding
via even probabilistic/random connections to subsequent neuronal layers. We
reproduce this behavior in a mathematical model to show that a random neuronal
network with a mix of excitatory and inhibitory neurons and sufficient
connectivity creates similar coarse-conjunctive encoding of input sequences.
PMID- 29362476
TI - Magneto-active substrates for local mechanical stimulation of living cells.
AB - Cells are able to sense and react to their physical environment by translating a
mechanical cue into an intracellular biochemical signal that triggers biological
and mechanical responses. This process, called mechanotransduction, controls
essential cellular functions such as proliferation and migration. The cellular
response to an external mechanical stimulation has been investigated with various
static and dynamic systems, so far limited to global deformations or to local
stimulation through discrete substrates. To apply local and dynamic mechanical
constraints at the single cell scale through a continuous surface, we have
developed and modelled magneto-active substrates made of magnetic micro-pillars
embedded in an elastomer. Constrained and unconstrained substrates are analysed
to map surface stress resulting from the magnetic actuation of the micro-pillars
and the adherent cells. These substrates have a rigidity in the range of cell
matrices, and the magnetic micro-pillars generate local forces in the range of
cellular forces, both in traction and compression. As an application, we followed
the protrusive activity of cells subjected to dynamic stimulations. Our magneto
active substrates thus represent a new tool to study mechanotransduction in
single cells, and complement existing techniques by exerting a local and dynamic
stimulation, traction and compression, through a continuous soft substrate.
PMID- 29362478
TI - A specialized fungal parasite (Massospora cicadina) hijacks the sexual signals of
periodical cicadas (Hemiptera: Cicadidae: Magicicada).
AB - Male periodical cicadas (Magicicada spp.) infected with conidiospore-producing
("Stage I") infections of the entomopathogenic fungus Massospora cicadina exhibit
precisely timed wing-flick signaling behavior normally seen only in sexually
receptive female cicadas. Male wing-flicks attract copulation attempts from
conspecific males in the chorus; close contact apparently spreads the infective
conidiospores. In contrast, males with "Stage II" infections that produce resting
spores that wait for the next cicada generation do not produce female-specific
signals. We propose that these complex fungus-induced behavioral changes, which
resemble apparently independently derived changes in other cicada-Massospora
systems, represent a fungus "extended phenotype" that hijacks cicadas, turning
them into vehicles for fungus transmission at the expense of the cicadas' own
interests.
PMID- 29362480
TI - Phosphoglyceric acid mutase-1 contributes to oncogenic mTOR-mediated tumor growth
and confers non-small cell lung cancer patients with poor prognosis.
AB - As a hallmark of cancer, the Warburg effect (aerobic glycolysis) confers a
selective advantage for the survival and proliferation of cancer cells. Due to
frequent aberration of upstream proto-oncogenes and tumor suppressors,
hyperactive mammalian/mechanistic target of rapamycin (mTOR) is a potent inducer
of the Warburg effect. Here, we report that overexpression of a glycolytic
enzyme, phosphoglyceric acid mutase-1 (PGAM1), is critical to oncogenic mTOR
mediated Warburg effect. mTOR stimulated PGAM1 expression through hypoxia
inducible factor 1alpha-mediated transcriptional activation. Blockage of PGAM1
suppressed mTOR-dependent glycolysis, cell proliferation, and tumorigenesis.
PGAM1 expression and mTOR activity were positively correlated in non-small cell
lung cancer (NSCLC) tissues and PGAM1 abundance was an adverse predictor for
patient survival. PGAM1 is thus a downstream effector of mTOR signaling pathway
and mTOR-PGAM1 signaling cascade may contribute to the development of Warburg
effect observed in cancer. We consider PGAM1 as a novel prognostic biomarker for
NSCLC and a therapeutic target for cancer.
PMID- 29362481
TI - Enhancer of polycomb maintains germline activity and genome integrity in
Drosophila testis.
AB - Tissue homeostasis depends on the ability of tissue-specific adult stem cells to
maintain a balance between proliferation and differentiation, as well as ensure
DNA damage repair. Here, we use the Drosophila male germline stem cell system to
study how a chromatin factor, enhancer of polycomb [E(Pc)], regulates the
proliferation-to-differentiation (mitosis-to-meiosis) transition and DNA damage
repair. We identified two critical targets of E(Pc). First, E(Pc) represses CycB
transcription, likely through modulating H4 acetylation. Second, E(Pc) is
required for accumulation of an important germline differentiation factor, Bag-of
marbles (Bam), through post-transcriptional regulation. When E(Pc) is
downregulated, increased CycB and decreased Bam are both responsible for
defective mitosis-to-meiosis transition in the germline. Moreover, DNA double
strand breaks (DSBs) accumulate upon germline inactivation of E(Pc) under both
physiological condition and recovery from heat shock-induced endonuclease
expression. Failure of robust DSB repair likely leads to germ cell loss. Finally,
compromising the activity of Tip60, a histone acetyltransferase, leads to
germline defects similar to E(Pc) loss-of-function, suggesting that E(Pc) acts
cooperatively with Tip60. Together, our data demonstrate that E(Pc) has
pleiotropic roles in maintaining male germline activity and genome integrity. Our
findings will help elucidate the in vivo molecular mechanisms of E(Pc).
PMID- 29362482
TI - Arsenic trioxide promoting ETosis in acute promyelocytic leukemia through mTOR
regulated autophagy.
AB - Despite the high efficacy and safety of arsenic trioxide (ATO) in treating acute
promyelocytic leukemia (APL) and eradicating APL leukemia-initiating cells
(LICs), the mechanism underlying its selective cytotoxicity remains elusive. We
have recently demonstrated that APL cells undergo a novel cell death program,
termed ETosis, through autophagy. However, the role of ETosis in ATO-induced APL
LIC eradication remains unclear. For this study, we evaluated the effects of ATO
on ETosis and the contributions of drug-induced ETosis to APL LIC eradication. In
NB4 cells, ATO primarily increased ETosis at moderate concentrations (0.5-0.75
MUM) and stimulated apoptosis at higher doses (1.0-2.0 MUM). Furthermore, ATO
induced ETosis through mammalian target of rapamycin (mTOR)-dependent autophagy,
which was partially regulated by reactive oxygen species. Additionally, rapamycin
enhanced ATO-induced ETosis in NB4 cells and APL cells from newly diagnosed and
relapsed patients. In contrast, rapamycin had no effect on apoptosis in these
cells. We also noted that PML/RARA oncoprotein was effectively cleared with this
combination. Intriguingly, activation of autophagy with rapamycin-enhanced APL
LIC eradication clearance by ATO in vitro and in a xenograft APL model, while
inhibition of autophagy spared clonogenic cells. Our current results show that
ATO exerts antileukemic effects at least partially through ETosis and targets
LICs primarily through ETosis. Addition of drugs that target the ETotic pathway
could be a promising therapeutic strategy to further eradicate LICs and reduce
relapse.
PMID- 29362483
TI - Inhibition of p53 prevents diabetic cardiomyopathy by preventing early-stage
apoptosis and cell senescence, reduced glycolysis, and impaired angiogenesis.
AB - Elevated tumor suppressor p53 expression has been associated with heart diseases,
including the diabetic heart. However, its precise role in the pathogenesis of
diabetic cardiomyopathy (DCM) remains unclear. We hypothesized that the
development of DCM is attributed to up-regulated p53-mediated both early cardiac
cell death and persistent cell senescence, glycolytic and angiogenetic
dysfunctions. The present study investigated the effect of p53 inhibition with
its specific inhibitor pifithrin-alpha (PFT-alpha) on the pathogenesis of DCM and
its associated mechanisms. Type 1 diabetes was induced with multiple low doses of
streptozotocin. Both hyperglycemic and age-matched control mice were treated with
and without PFT-alpha five times a week for 2 months and then sacrificed at 3 and
6 months post-diabetes. Treatment with PFT-alpha significantly prevented the
progression of diabetes-induced cardiac remodeling and dysfunction (i.e., DCM).
Mechanistically, the inhibition of p53 prevented the cardiac apoptosis during
early-stage diabetes (0.5 month), attenuated diabetes-induced cell senescence (3
and 6 months), and improved both glycolytic and angiogenic defects by increasing
hypoxia-induced factor (HIF)-1alpha protein stability and upregulating HIF-1alpha
transcription of specific target genes at 3 and 6 months after diabetes.
Therefore, the targeted inhibition of p53 in diabetic individuals may provide a
novel approach for the prevention of DCM.
PMID- 29362484
TI - Whole Organ Blood and Lymphatic Vessels Imaging (WOBLI).
AB - Thin section histology is limited in providing 3D structural information,
particularly of the intricate morphology of the vasculature. Availability of high
spatial resolution imaging for thick samples, would overcome the restriction
dictated by low light penetration. Our study aimed at optimizing the procedure
for efficient and affordable tissue clearing, along with an appropriate
immunofluorescence labeling that will be applicable for high resolution imaging
of blood and lymphatic vessels. The new procedure, termed whole organ blood and
lymphatic vessels imaging (WOBLI), is based on two previously reported methods,
CLARITY and ScaleA2. We used this procedure for the analysis of isolated whole
ovary, uterus, lung and liver. These organs were subjected to passive clearing,
following fixation, immunolabeling and embedding in hydrogel. Cleared specimens
were immersed in ScaleA2 solution until transparency was achieved and imaged
using light sheet microscopy. We demonstrate that WOBLI allows detailed analysis
and generation of structural information of the lymphatic and blood vasculature
from thick slices and more importantly, from whole organs. We conclude that WOBLI
offers the advantages of morphology and fluorescence preservation with efficient
clearing. Furthermore, WOBLI provides a robust, cost-effective method for
generation of transparent specimens, allowing high resolution, 3D-imaging of
blood and lymphatic vessels networks.
PMID- 29362485
TI - Copper(I)-binding properties of de-coppering drugs for the treatment of Wilson
disease. alpha-Lipoic acid as a potential anti-copper agent.
AB - Wilson disease is an autosomal recessive genetic disorder caused by loss-of
function mutations in the P-type copper ATPase, ATP7B, which leads to toxic
accumulation of copper mainly in the liver and brain. Wilson disease is
treatable, primarily by copper-chelation therapy, which promotes copper
excretion. Although several de-coppering drugs are currently available, their
Cu(I)-binding affinities have not been quantitatively characterized. Here we
determined the Cu(I)-binding affinities of five major de-coppering drugs - D
penicillamine, trientine, 2,3-dimercapto-1-propanol, meso-2,3-dimercaptosuccinate
and tetrathiomolybdate - by exploring their ability to extract Cu(I) ions from
two Cu(I)-binding proteins, the copper chaperone for cytochrome c oxidase, Cox17,
and metallothionein. We report that the Cu(I)-binding affinity of these drugs
varies by four orders of magnitude and correlates positively with the number of
sulfur atoms in the drug molecule and negatively with the number of atoms
separating two SH groups. Based on the analysis of structure-activity
relationship and determined Cu(I)-binding affinity, we hypothesize that the
endogenous biologically active substance, alpha-lipoic acid, may be suitable for
the treatment of Wilson disease. Our hypothesis is supported by cell culture
experiments where alpha-lipoic acid protected hepatic cells from copper toxicity.
These results provide a basis for elaboration of new generation drugs that may
provide better therapeutic outcomes.
PMID- 29362486
TI - Virtual craniotomy for high-resolution optoacoustic brain microscopy.
AB - Ultrasound-mediated transcranial images of the brain often suffer from acoustic
distortions produced by the skull bone. In high-resolution optoacoustic
microscopy, the skull-induced acoustic aberrations are known to impair image
resolution and contrast, further skewing the location and intensity of the
different absorbing structures. We present a virtual craniotomy deconvolution
algorithm based on an ultrasound wave propagation model that corrects for the
skull-induced distortions in optically-resolved optoacoustic transcranial
microscopy data. The method takes advantage of the geometrical and spectral
information of a pulse-echo ultrasound image of the skull simultaneously acquired
by our multimodal imaging system. Transcranial mouse brain imaging experiments
confirmed the ability to accurately account for the signal amplitude decay,
temporal delay and pulse broadening introduced by the rodent's skull. Our study
is the first to demonstrate skull-corrected transcranial optoacoustic imaging in
vivo.
PMID- 29362487
TI - The Transmission and Evolution of HIV-1 Quasispecies within One Couple: a Follow
up Study based on Next-Generation Sequencing.
AB - Next-generation sequencing (NGS) has been successfully used to trace HIV-1
infection. In this study, we investigated the transmission and evolution of HIV-1
quasispecies in a couple infected through heterosexual behavior. A heterosexual
couple in which both partners were infected with HIV-1 was followed up for 54
months. Blood samples including whole-blood and plasma samples, were collected at
various time points. After HIV-1 subtyping, NGS (Miseq platform) was used to
sequence the env region of the HIV-1 quasispecies. Genetic distances were
calculated, and phylogenetic trees were generated. We found both partners were
infected with HIV-1 subtype circulating recombinant form (CRF), CRF65_cpx. The
quasispecies distribution was relatively tightly clustered in the phylogenetic
tree during early infection. Over time, the distribution of HIV-1 quasispecies
gradually became more dispersed at 12th months, with a progressive increase in
gene diversity. By 37th months, the sequences obtained for both partners formed
different clusters in the phylogenetic tree. These results suggest that the HIV-1
contact tracing results generated by the Miseq platform may be more reliable than
other conventional sequencing methods, which can provide important information
about the transmission and evolution of HIV-1. Our findings may help to better
target preventative interventions for promoting public health.
PMID- 29362488
TI - Transcriptional regulation of P63 on the apoptosis of male germ cells and three
stages of spermatogenesis in mice.
AB - Infertility affects 10-15% of couples worldwide, and male factors account for
50%. Spermatogenesis is precisely regulated by genetic factors, and the mutations
of genes result in abnormal spermatogenesis and eventual male infertility. The
aim of this study was to explore the role and transcriptional regulation of P63
in the apoptosis and mouse spermatogenesis. P63 protein was decreased in male
germ cells of P63(+/-) mice compared with wild-type mice. There was no obvious
difference in testis weight, sperm motility, and fecundity between P63(+/-) and
wild-type mice. However, abnormal germ cells were frequently observed in P63(+/-)
mice at 2 months old. Notably, apoptotic male germ cells and the percentage of
abnormal sperm were significantly enhanced in P63(+/-) mice compared to wild-type
mice. Spermatogonia, pachytene spermatocytes and round spermatids were isolated
from P63(+/-) and wild-type mice using STA-PUT velocity sedimentation, and they
were identified phenotypically with high purities. RNA sequencing demonstrated
distinct transcription profiles in spermatogonia, pachytene spermatocytes, and
round spermatids between P63(+/-) mice and wild-type mice. In total, there were
645 differentially expressed genes (DEGs) in spermatogonia, 106 DEGs in pachytene
spermatocytes, and 1152 in round spermatids between P63(+/-) mice and wild-type
mice. Real time PCR verified a number of DEGs identified by RNA sequencing. Gene
ontology annotation and pathway analyzes further indicated that certain key
genes, e.g., Ccnd2, Tgfa, Hes5, Insl3, Kit, Lef1, and Jun were involved in
apoptosis, while Dazl, Kit, Pld6, Cdkn2d, Stra8, and Ubr2 were associated with
regulating spermatogenesis. Collectively, these results implicate that P63
mediates the apoptosis of male germ cells and regulates three stages of
spermatogenesis transcriptionally. This study could provide novel targets for the
diagnosis and treatment of male infertility.
PMID- 29362490
TI - Publisher Correction: Functional coupling of human pancreatic islets and liver
spheroids on-a-chip: Towards a novel human ex vivo type 2 diabetes model.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29362489
TI - Genetic variant in CACNA1C is associated with PTSD in traumatized police
officers.
AB - Posttraumatic stress disorder (PTSD) is a debilitating psychiatric disorder that
may develop after a traumatic event. Here we aimed to identify epigenetic and
genetic loci associated with PTSD. We included 73 traumatized police officers
with extreme phenotypes regarding symptom severity despite similar trauma
history: n = 34 had PTSD and n = 39 had minimal PTSD symptoms. Epigenetic and
genetic profiles were based on the Illumina HumanMethylation450 BeadChip. We
searched for differentially methylated probes (DMPs) and differentially
methylated regions (DMRs). For genetic associations we analyzed the CpG-SNPs
present on the array. We detected no genome-wide significant DMPs and we did not
replicate previously reported DMPs associated with PTSD. However, GSE analysis of
the top 100 DMPs showed enrichment of three genes involved in the dopaminergic
neurogenesis pathway. Furthermore, we observed a suggestive association of one
relatively large DMR between patients and controls, which was located at the PAX8
gene and previously associated with other psychiatric disorders. Finally, we
validated five PTSD-associated CpG-SNPs identified with the array using sanger
sequencing. We subsequently replicated the association of one common SNP
(rs1990322) in the CACNA1C locus with PTSD in an independent cohort of
traumatized children. The CACNA1C locus was previously associated with other
psychiatric disorders, but not yet with PTSD. Thus, despite the small sample
size, inclusion of extreme symptom severity phenotypes in a highly homogenous
traumatized cohort enabled detection of epigenetic and genetic loci associated
with PTSD. Moreover, here we showed that genetically confounded 450K probes are
informative for genetic association analysis.
PMID- 29362491
TI - On the thermodynamic origin of metabolic scaling.
AB - The origin and shape of metabolic scaling has been controversial since Kleiber
found that basal metabolic rate of animals seemed to vary as a power law of their
body mass with exponent 3/4, instead of 2/3, as a surface-to-volume argument
predicts. The universality of exponent 3/4 -claimed in terms of the fractal
properties of the nutrient network- has recently been challenged according to
empirical evidence that observed a wealth of robust exponents deviating from 3/4.
Here we present a conceptually simple thermodynamic framework, where the
dependence of metabolic rate with body mass emerges from a trade-off between the
energy dissipated as heat and the energy efficiently used by the organism to
maintain its metabolism. This balance tunes the shape of an additive model from
which different effective scalings can be recovered as particular cases, thereby
reconciling previously inconsistent empirical evidence in mammals, birds, insects
and even plants under a unified framework. This model is biologically motivated,
fits remarkably well the data, and also explains additional features such as the
relation between energy lost as heat and mass, the role and influence of
different climatic environments or the difference found between endotherms and
ectotherms.
PMID- 29362492
TI - Novel compound heterozygous DPH1 mutations in a patient with the unique clinical
features of airway obstruction and external genital abnormalities.
AB - The diphthamide biosynthesis 1 (DPH1) gene encodes one of the essential
components of the enzyme catalyzing the first step of diphthamide formation on
eukaryotic elongation factor 2 (EEF2). Diphthamide is the posttranslationally
modified histidine residue on EEF2 that promotes protein chain elongation in the
ribosome. DPH1 defects result in a failure of protein synthesis involving EEF2,
leading to growth defects, embryonic lethality, and cell death. In humans, DPH1
mutations cause developmental delay with a short stature, dysmorphic features,
and sparse hair, and are inherited in an autosomal recessive manner (MIM#616901).
To date, only two homozygous missense mutations in DPH1 (c.17T>A, p.Met6Lys and
c.701T>C, p.Leu234Pro) have been reported. We used WES to identify novel compound
heterozygous mutations in DPH1 (c.289delG, p.Glu97Lysfs*8 and c.491T>C,
p.Leu164Pro) in a patient from a nonconsanguineous family presenting with
intellectual disability, a short stature, craniofacial abnormalities, and
external genital abnormalities. The clinical phenotype of all patients with DPH1
mutations, including the current patient, revealed core features, although the
external genital anomaly was newly recognized in our case.
PMID- 29362493
TI - Identification of IFRD1 variant in a Han Chinese family with autosomal dominant
hereditary spastic paraplegia associated with peripheral neuropathy and ataxia.
AB - Spinocerebellar ataxias (SCAs) are a group of autosomal dominant, clinically
heterogeneous neurodegenerative disorders. SCA18 is a rare autosomal dominant
sensory/motor neuropathy with ataxia (OMIM#607458) associated with a single
missense variant c.514 A>G in the interferon related developmental regulator 1
(IFRD1) gene previously reported in a five-generation American family of Irish
origin. However, to date, there have been no other reports of the IFRD1 mutation
to confirm its role in SCA. Here, we report a Han Chinese family with SCA18; the
family members presented with a slowly progressing gait ataxia, pyramidal tract
signs, and peripheral neuropathy. We identified a missense variant (c.514 A>G,
p.I172V) in IFRD1 gene in the family using targeted next-generation sequencing
and Sanger direct sequencing with specific primers. Our results suggest that the
IFRD1 gene may be the causative allele for SCA18.
PMID- 29362495
TI - Publisher Correction: Utf1 contributes to intergenerational epigenetic
inheritance of pluripotency.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29362494
TI - Seizure development in the acute intrahippocampal epileptic focus.
AB - Currently, an epileptic seizure is considered to involve a temporary network that
exists for a finite period of time. Formation of this network evolves through
spread of epileptiform activity from a seizure onset zone (SOZ). Propagation of
seizures evoked by kainic acid injection in hippocampus to different brain areas
was analyzed at macro- and micro-intervals. The mean latency of seizure
occurrence in different brain areas varied between 0.5 sec and 85 sec (mean 14.9
+/- 14.5 (SD)), and it increased after each consecutive seizure in areas located
contralateral to the area of injection, but not in the ipsilateral sites. We have
shown that only 41% of epileptic individual events in target brain areas were
driven by epileptic events generated in the SOZ once the seizure began. Fifty
nine percent of epileptiform events in target areas occurred one millisecond
before or after events in the SOZ. These data illustrate that during seizure
maintenance, only some individual epileptiform events in areas outside of SOZ
could be consistently triggered by the SOZ; and the majority must be triggered by
a driver located outside the SOZ or brain areas involved in ictal activity could
be coupled to each other via an unknown mechanism such as stochastic resonance.
PMID- 29362496
TI - The microRNA regulatory landscape of MSC-derived exosomes: a systems view.
AB - Mesenchymal stem cell (MSC)-derived exosomes mediate tissue regeneration in a
variety of diseases including ischemic heart injury, liver fibrosis, and
cerebrovascular disease. Despite an increasing number of studies reporting the
therapeutic effects of MSC exosomes, the underlying molecular mechanisms and
their miRNA complement are poorly characterized. Here we microRNA (miRNA)
profiled MSC exosomes and conducted a network analysis to identify the dominant
biological processes and pathways modulated by exosomal miRNAs. At a system
level, miRNA-targeted genes were enriched for (cardio)vascular and angiogenesis
processes in line with observed cardiovascular regenerative effects. Targeted
pathways were related to Wnt signaling, pro-fibrotic signaling via TGF-beta and
PDGF, proliferation, and apoptosis. When tested, MSC exosomes reduced collagen
production by cardiac fibroblasts, protected cardiomyocytes from apoptosis, and
increased angiogenesis in HUVECs. The intrinsic beneficial effects were further
improved by virus-free enrichment of MSC exosomes with network-informed
regenerative miRNAs capable of promoting angiogenesis and cardiomyocyte
proliferation. The data presented here help define the miRNA landscape of MSC
exosomes, establish their biological functions through network analyses at a
system level, and provide a platform for modulating the overall phenotypic
effects of exosomes.
PMID- 29362498
TI - Nonsymmorphic symmetry protected node-line semimetal in the trigonal YH3.
AB - Using ab initio calculations based on density-functional theory and effective
model analysis, we propose that the trigonal YH3 (Space Group: P[Formula: see
text]c1) at ambient pressure is a node-line semimetal when spin-orbit coupling
(SOC) is ignored. This trigonal YH3 has very clean electronic structure near
Fermi level and its nodal lines locate very closely to the Fermi energy, which
makes it a perfect system for model analysis. Symmetry analysis shows that the
nodal ring in this compound is protected by the glide-plane symmetry, where the
band inversion of |Y+, d xz > and |H1-, s> orbits at Gamma point is responsible
for the formation of the nodal lines. When SOC is included, the line nodes are
prohibited by the glide-plane symmetry, and a small gap (~5 meV) appears, which
leads YH3 to be a strong topological insulator with Z2 indices (1,000). Thus the
glide-plane symmetry plays an opposite role in the formation of the nodal lines
in cases without and with SOC. As the SOC-induced gap is so small that can be
neglected, this P[Formula: see text]c1 YH3 may be a good candidate for
experimental explorations on the fundamental physics of topological node-line
semimetals. We find the surface states of this P[Formula: see text]c1 phase are
somehow unique and may be helpful to identify the real ground state of YH3 in the
experiment.
PMID- 29362499
TI - First experimental observations on melting and chemical modification of volcanic
ash during lightning interaction.
AB - Electrification in volcanic ash plumes often leads to syn-eruptive lightning
discharges. High temperatures in and around lightning plasma channels have the
potential to chemically alter, re-melt, and possibly volatilize ash fragments in
the eruption cloud. In this study, we experimentally simulate temperature
conditions of volcanic lightning in the laboratory, and systematically
investigate the effects of rapid melting on the morphology and chemical
composition of ash. Samples of different size and composition are ejected towards
an artificially generated electrical arc. Post-experiment ash morphologies
include fully melted spheres, partially melted particles, agglomerates, and
vesiculated particles. High-speed imaging reveals various processes occurring
during the short lightning-ash interactions, such as particle melting and
rounding, foaming, and explosive particle fragmentation. Chemical analyses of the
flash-melted particles reveal considerable bulk loss of Cl, S, P and Na through
thermal vaporization. Element distribution patterns suggest convection as a key
process of element transport from the interior of the melt droplet to rim where
volatiles are lost. Modeling the degree of sodium loss delivers maximum melt
temperatures between 3290 and 3490 K. Our results imply that natural lighting
strikes may be an important agent of syn-eruptive morphological and chemical
processing of volcanic ash.
PMID- 29362497
TI - ATP-mediated Events in Peritubular Cells Contribute to Sterile Testicular
Inflammation.
AB - Peritubular myoid cells, which form the walls of seminiferous tubules in the
testis, are functionally unexplored. While they transport sperm and contribute to
the spermatogonial stem cell niche, specifically their emerging role in the
immune surveillance of the testis and in male infertility remains to be studied.
Recently, cytokine production and activation of Toll-like receptors (TLRs) were
uncovered in cultured peritubular cells. We now show that human peritubular cells
express purinergic receptors P2RX4 and P2RX7, which are functionally linked to
TLRs, with P2RX4 being the prevalent ATP-gated ion channel. Subsequent ATP
treatment of cultured peritubular cells resulted in up-regulated (pro
)inflammatory cytokine expression and secretion, while characteristic peritubular
proteins, that is smooth muscle cell markers and extracellular matrix molecules,
decreased. These findings indicate that extracellular ATP may act as danger
molecule on peritubular cells, able to promote inflammatory responses in the
testicular environment.
PMID- 29362500
TI - On terahertz pulsed broadband Gauss-Bessel beam free-space propagation.
AB - Terahertz pulse time-domain holography is the ultimate technique allowing the
evaluating a propagation of pulse broadband terahertz wavefronts and analyze
their spatial, temporal and spectral evolution. We have numerically analyzed
pulsed broadband terahertz Gauss-Bessel beam's both spatio-temporal and spatio
spectral evolution in the non-paraxial approach. We have characterized two
dimensional spatio-temporal beam behavior and demonstrated all stages of pulse
reshaping during the propagation, including X-shape pulse forming. The reshaping
is also illustrated by the energy transfer dynamics, where the pulse energy flows
from leading edge to trailing edge. This behavior illustrates strong spatio
temporal coupling effect when spatio-temporal distribution of Bessel beam's
wavefront depends on propagation distance. The spatio-temporal and spatio
spectral profiles for different spectral components clearly illustrate the model
where the Bessel beam's wavefront at the exit from the axicon can be divided into
radial segments for which the wave vectors intersect. Phase velocity via
propagation distance is estimated and compared with existing experimantal
results. Results of the phase velocity calculation depend strongly on distance
increment value, thus demonstrating superluminal or subluminal behavior.
PMID- 29362501
TI - Sequential transfection of RUNX2/SP7 and ATF4 coated onto dexamethasone-loaded
nanospheresenhances osteogenesis.
AB - The timing of gene transfection greatly influences stem cell differentiation.
Sequential transfection is crucial for regulation of cell behavior. When
transfected several days after differentiation initiation, genes expressed at the
late stage of differentiation can regulate cell behaviors and functions. To
determine the optimal timing of key gene delivery, we sequentially transfected
human mesenchymal stem cells (hMSCs). This method can easily control osteogenesis
of stem cells. hMSCs were first transfected with RUNX2 and SP7 using poly(lactic
co-glycolic acid) nanoparticles to induce osteogenesis, and then with ATF4 after
5, 7, and 14 days. Prior to transfecting hMSCs with all three genes, each gene
was individually transfected and its expression was monitored. Transfection of
these genes was confirmed by RT-PCR, Western blotting, and confocal microscopy.
The pDNAs entered the nuclei of hMSCs, and RUNX2 and SP7 proteins were translated
and triggered osteogenesis. Second, the ATF4 gene was delivered when cells were
at the pre-osteoblasts stage. To induce the osteogenesis of hMSCs, the optimal
timing of ATF4 gene delivery was 14 days after RUNX2/SP7 transfection.
Experiments in 2- and 3-dimensional culture systems confirmed that transfection
of ATF4 at 14 days after RUNX2/SP7 promoted osteogenic differentiation of hMSCs.
PMID- 29362503
TI - Alloantigen expression on malignant cells and healthy host tissue influences
graft-versus-tumor reactions after allogeneic hematopoietic stem cell
transplantation.
AB - Durable remissions of hematological malignancies regularly observed following
allogeneic hematopoietic stem cell transplantation (aHSCT) are due to the
conditioning regimen, as well as an immunological phenomenon called graft-versus
leukemia (GVL) or graft-versus-tumor (GVT) effect. The development of GVL is
closely linked to graft-versus-host disease (GVHD), the main side effect
associated with aHSCT. Both, GVHD and GVL are mediated by donor T cells that are
initially activated by antigen-presenting cells that present recipient-derived
alloantigens in the context of either matched or mismatched MHC class I
molecules. Using murine models of aHSCT we show that ubiquitously expressed minor
histocompatibility alloantigens (mHAg) are no relevant target for GVT effects.
Interestingly, certain ubiquitously expressed MHC alloantigens augmented GVT
effects early after transplantation, while others did not. The magnitude of GVT
effects correlated with tumor infiltration by CD8+ cytotoxic T cells and tumor
cell apoptosis. Furthermore, the immune response underlying GVHD and GVT was
oligoclonal, highlighting that immunodominance is an important factor during
alloimmune responses. These results emphasize that alloantigen expression on non
hematopoietic tissues can influence GVT effects in a previously unrecognized
fashion. These findings bear significance for harnessing optimal GVL effects in
patients receiving aHSCT.
PMID- 29362502
TI - Lethal mutagenesis of an RNA plant virus via lethal defection.
AB - Lethal mutagenesis is an antiviral therapy that relies on increasing the viral
mutation rate with mutagenic nucleoside or base analogues. Currently, the
molecular mechanisms that lead to virus extinction through enhanced mutagenesis
are not fully understood. Increasing experimental evidence supports the lethal
defection model of lethal mutagenesis of RNA viruses, where replication-competent
defectors drive infective virus towards extinction. Here, we address lethal
mutagenesis in vivo using 5-fluorouracil (5-FU) during the establishment of
tobacco mosaic virus (TMV) systemic infections in N. tabacum. The results show
that 5-FU decreased the infectivity of TMV without affecting its viral load.
Analysis of molecular clones spanning two genomic regions showed an increase of
the FU-related base transitions A -> G and U -> C. Although the mutation
frequency or the number of mutations per molecule did not increase, the
complexity of the mutant spectra and the distribution of the mutations were
altered. Overall, our results suggest that 5-FU antiviral effect on TMV is
associated with the perturbation of the mutation-selection balance in the genomic
region of the RNA-dependent RNA polymerase (RdRp). Our work supports the lethal
defection model for lethal mutagenesis in vivo in a plant RNA virus and opens the
way to study lethal mutagens in plant-virus systems.
PMID- 29362504
TI - Secondary malignancies and survival outcomes after autologous stem cell
transplantation for follicular lymphoma in the pre-rituximab and rituximab eras:
a long-term follow-up analysis from the Spanish GELTAMO registry.
PMID- 29362505
TI - Polypharmacy and adverse drug events among propensity score matched privately
insured persons with and without spinal cord injury.
AB - STUDY DESIGN: Retrospective quasi-experimental design. OBJECTIVES: To compare the
incidence of adverse drug events (ADEs) between persons with and without spinal
cord injury (SCI), while controlling for all potential and available risk
factors. SETTING: A commercially available claims dataset consisting of ~170
million patient cases in the United States between 2012 and 2013. METHODS:
Participants (aged 18-64 years) included 2779 persons with polypharmacy and
traumatic or non-traumatic SCI and 2779 propensity score-matched persons with
polypharmacy without SCI. The cohorts were matched using demographic variables
including number of concomitant prescriptions, comorbidities, hospital
admissions, age, gender, and geographic region. Inpatient and outpatient claims
records containing 395 distinct IDC-9 codes indicative of ADEs were extracted.
Incidence and frequency of ADEs were compared between groups using logistic and
Poisson regression, respectively. RESULTS: Persons with SCI were significantly
more likely to experience an ADE than matched controls (Odds Ratio = 1.45, p <
0.0001). Among persons with ADEs (n = 1552), individuals with SCI experienced
fewer ADEs over time than matched controls (Incidence Rate Ratio = 0.91, p <
0.0001). CONCLUSIONS: While persons with SCI and polypharmacy are at a greater
risk for experiencing an ADE, their medical care after an ADE may be better
managed than that of a matched control population. There may be a need for
practice guidelines that facilitate proactive identification of persons with SCI
at the highest risk of ADE. Steps may then be taken to mitigate risk, in contrast
to current practice trends that appear to take a reactive approach after an ADE
has occurred.
PMID- 29362506
TI - Evolutionary loss and replacement of Buchnera, the obligate endosymbiont of
aphids.
AB - Symbiotic interactions between organisms create new ecological niches. For
example, many insects survive on plant-sap with the aid of maternally transmitted
bacterial symbionts that provision essential nutrients lacking in this diet.
Symbiotic partners often enter a long-term relationship in which the co
evolutionary fate of lineages is interdependent. Obligate symbionts that are
strictly maternally transmitted experience genetic drift and genome degradation,
compromising symbiont function and reducing host fitness unless hosts can
compensate for these deficits. One evolutionary solution is the acquisition of a
novel symbiont with a functionally intact genome. Whereas almost all aphids host
the anciently acquired bacterial endosymbiont Buchnera aphidicola
(Gammaproteobacteria), Geopemphigus species have lost Buchnera and instead
contain a maternally transmitted symbiont closely related to several known insect
symbionts from the bacterial phylum Bacteroidetes. A complete genome sequence
shows the symbiont has lost many ancestral genes, resulting in a genome size
intermediate between that of free-living and symbiotic Bacteroidetes. The
Geopemphigus symbiont retains biosynthetic pathways for amino acids and vitamins,
as in Buchnera and other insect symbionts. This case of evolutionary replacement
of Buchnera provides an opportunity to further understand the evolution and
functional genomics of symbiosis.
PMID- 29362507
TI - Multiple colonist pools shape fiddler crab-associated bacterial communities.
AB - Colonization is a key component of community assembly because it continuously
contributes new species that can potentially establish and adds individuals to
established populations in local communities. Colonization is determined by the
regional species pool, which is typically viewed as stable at ecological time
scales. Yet, many natural communities including plants, birds and microbes, are
exposed to several distinct and dynamic sources of colonists and how multiple
colonist pools interact to shape local communities remains unclear. Using a 16S
rRNA amplicon survey, we profiled bacteria within surface, subsurface and burrow
sediments and assessed their role as colonist pools for fiddler crab-associated
bacteria. We found significant differences in composition among sediment types,
driven by halophilic taxa in the surface, and different Desulfobacteraceae taxa
in the subsurface and burrow. Bacteria from burrow sediment colonized the crab
carapace whereas gut bacterial communities were colonized by burrow and surface
sediment bacteria. Despite distinct colonist pools influencing gut bacteria,
variation in composition across gut samples did not lead to significant clusters.
In contrast, carapace bacterial communities clustered in six distinct groups
loosely associated with crab species. Our findings suggest that multiple colonist
pools can influence local communities but factors explaining variation in
community composition depend on local habitats. Recognizing multiple colonist
pools expands our understanding of the interaction between regional and local
processes driving community structure and diversity.
PMID- 29362508
TI - Inhibition of RM-1 prostate carcinoma and eliciting robust immune responses in
the mouse model by using VEGF-M2-GnRH3-hinge-MVP vaccine.
AB - GnRH and VEGF have been investigated as prostate carcinoma enhancers that support
tumor spread and progression. Although both have documented roles in prostate
carcinoma and many cancer types, the weak immunogenicity of these peptides has
remained a major challenge for use in immunotherapy. Here, we describe a novel
strategy to inhibit GnRH and VEGF production and assess the effect on the immune
responses against these hormones using the RM-1 prostate cancer model. We
designed a novel recombinant fusion protein which combined GnRH and VEGF as a
vaccine against this tumor. The newly constructed fusion protein hVEGF121-M2
GnRH3-hinge-MVP contains the human vascular endothelial growth factor (hVEGF121)
and three copies of GnRH in sequential linear alignment and T helper epitope MVP
as an immunogenic vaccine. The effectiveness of the vaccine in eliciting an
immune response and attenuating the prostate tumor growth was evaluated. Results
showed that administration of a new vaccine effectively elicited humoral and
cellular immune responses. We found that, a novel fusion protein, hVEGF121-M2
GnRH3-hinge-MVP, effectively inhibited growth of RM-1 prostate model and
effectively promoted immune response. In conclusion, hVEGF121-M2-GnRH3-hinge-MVP
is an effective dual mechanism tumor vaccine that limits RM-1 prostate growth.
This vaccine may be a promising strategy for the treatment of hormone refractory
prostate malignancies.
PMID- 29362509
TI - Structure-based selection of human metabolite binding P4 pocket of DRB1*15:01 and
DRB1*15:03, with implications for multiple sclerosis.
AB - Binding of small molecules in the human leukocyte antigen (HLA) peptide-binding
groove may result in conformational changes of bound peptide and an altered
immune response, but previous studies have not considered a potential role for
endogenous metabolites. We performed virtual screening of the complete Human
Metabolite Database (HMDB) for docking to the multiple sclerosis (MS) susceptible
DRB1*15:01 allele and compared the results to the closely related yet non
susceptible DRB1*15:03 allele; and assessed the potential impact on binding of
human myelin basic peptide (MBP). We observed higher energy scores for metabolite
binding to DRB1*15:01 than DRB1*15:03. Structural comparison of docked
metabolites with DRB1*15:01 and DRB1*15:03 complexed with MBP revealed that
PhenylalanineMBP92 allows binding of metabolites in the P4 pocket of DRB1*15:01
but ValineMBP89 abrogates metabolite binding in the P1 pocket. We observed
differences in the energy scores for binding of metabolites in the P4 pockets of
DRB1*15:01 vs. DRB1*15:03 suggesting stronger binding to DRB1*15:01. Our study
confirmed that specific, disease-associated human metabolites bind effectively
with the most polymorphic P4 pocket of DRB1*15:01, the primary MS susceptible
allele in most populations. Our results suggest that endogenous human metabolites
bound in specific pockets of HLA may be immunomodulatory and implicated in
autoimmune disease.
PMID- 29362510
TI - Choriodecidual leukocytes display a unique gene expression signature in
spontaneous labor at term.
AB - Prior to and during the process of human labor, maternal circulating leukocytes
infiltrate the maternal-fetal interface (choriodecidua) and become activated
resembling choriodecidual leukocytes. Since, there is no evidence comparing
maternal circulating and choriodecidual leukocytes, herein, we characterized
their transcriptome and explored the biological processes enriched in
choriodecidual leukocytes. From women undergoing spontaneous term labor we
isolated circulating and choriodecidual leukocytes, performed microarray analysis
(n = 5) and qRT-PCR validation (n = 9) and interaction network analysis with up
regulated genes. We found 270 genes up-regulated and only 17 genes down-regulated
in choriodecidual leukocytes compared to maternal circulating leukocytes. The
most up-regulated genes were CCL18, GPNMB, SEPP1, FN1, RNASE1, SPP1, C1QC, and
PLTP. The biological processes enriched in choriodecidual leukocytes were cell
migration and regulation of immune response, chemotaxis, and humoral immune
responses. Our results show striking differences between the transcriptome of
choriodecidual and maternal circulating leukocytes. Choriodecidual leukocytes are
enriched in immune mediators implicated in the spontaneous process of labor at
term.
PMID- 29362511
TI - Neuropsychiatric Phenotypes Produced by GABA Reduction in Mouse Cortex and
Hippocampus.
AB - Whereas cortical GAD67 reduction and subsequent GABA level decrease are
consistently observed in schizophrenia and depression, it remains unclear how
these GABAergic abnormalities contribute to specific symptoms. We modeled
cortical GAD67 reduction in mice, in which the Gad1 gene is genetically ablated
from ~50% of cortical and hippocampal interneurons. Mutant mice showed a
reduction of tissue GABA in the hippocampus and cortex including mPFC, and
exhibited a cluster of effort-based behavior deficits including decreased home
cage wheel running and increased immobility in both tail suspension and forced
swim tests. Since saccharine preference, progressive ratio responding to food,
and learned helplessness task were normal, such avolition-like behavior could not
be explained by anhedonia or behavioral despair. In line with the prevailing view
that dopamine in anterior cingulate cortex (ACC) plays a role in evaluating
effort cost for engaging in actions, we found that tail-suspension triggered
dopamine release in ACC of controls, which was severely attenuated in the mutant
mice. Conversely, ACC dopamine release by progressive ratio responding to reward,
during which animals were allowed to effortlessly perform the nose-poking, was
not affected in mutants. These results suggest that cortical GABA reduction
preferentially impairs the effort-based behavior which requires much effort with
little benefit, through a deficit of ACC dopamine release triggered by high
effort cost behavior, but not by reward-seeking behavior. Collectively, a subset
of negative symptoms with a reduced willingness to expend costly effort, often
observed in patients with schizophrenia and depression, may be attributed to
cortical GABA level reduction.
PMID- 29362512
TI - Dopaminergic Genetic Variation Influences Aripiprazole Effects on Alcohol Self
Administration and the Neural Response to Alcohol Cues in a Randomized Trial.
AB - Dopamine (DA) signaling regulates many aspects of Alcohol Use Disorder (AUD).
However, clinical studies of dopaminergic medications, including the DA partial
agonist aripiprazole (APZ), have been inconsistent, suggesting the possibility of
a pharmacogenetic interaction. This study examined whether variation in DA
related genes moderated APZ effects on reward-related AUD phenotypes. The
interacting effects of APZ and a variable number tandem repeat (VNTR)
polymorphism in DAT1/SLC6A3 (the gene encoding the DA transporter (DAT)) were
tested. In addition, interactions between APZ and a genetic composite comprising
the DAT1 VNTR and functional polymorphisms in catechol-O-methyltransferase
(COMT), DRD2, and DRD4 were evaluated. Ninety-four non-treatment-seeking
individuals with AUD were genotyped for these polymorphisms, randomized to APZ
(titrated to 15 mg) or placebo for 8 days, and underwent an fMRI alcohol cue
reactivity task (day 7; n=81) and a bar lab paradigm (day 8). Primary outcomes
were alcohol cue-elicited ventral striatal (VS) activation and the number of
drinks consumed in the bar lab. DAT1 genotype significantly moderated medication
effects, such that APZ, relative to placebo, reduced VS activation and bar-lab
drinking only among carriers of the DAT1 9-repeat allele, previously associated
with lower DAT expression and greater reward-related brain activation. The
genetic composite further moderated medication effects, such that APZ reduced the
primary outcomes more among individuals who carried a larger number of DAT1,
COMT, DRD2, and DRD4 alleles associated with higher DA tone. Taken together,
these data suggest that APZ may be a promising AUD treatment for individuals with
a genetic predisposition to higher synaptic DA tone.
PMID- 29362479
TI - Molecular mechanisms of cell death: recommendations of the Nomenclature Committee
on Cell Death 2018.
AB - Over the past decade, the Nomenclature Committee on Cell Death (NCCD) has
formulated guidelines for the definition and interpretation of cell death from
morphological, biochemical, and functional perspectives. Since the field
continues to expand and novel mechanisms that orchestrate multiple cell death
pathways are unveiled, we propose an updated classification of cell death
subroutines focusing on mechanistic and essential (as opposed to correlative and
dispensable) aspects of the process. As we provide molecularly oriented
definitions of terms including intrinsic apoptosis, extrinsic apoptosis,
mitochondrial permeability transition (MPT)-driven necrosis, necroptosis,
ferroptosis, pyroptosis, parthanatos, entotic cell death, NETotic cell death,
lysosome-dependent cell death, autophagy-dependent cell death, immunogenic cell
death, cellular senescence, and mitotic catastrophe, we discuss the utility of
neologisms that refer to highly specialized instances of these processes. The
mission of the NCCD is to provide a widely accepted nomenclature on cell death in
support of the continued development of the field.
PMID- 29362515
TI - Increased Risk of the APOB rs11279109 Polymorphism for CHD among the Kuwaiti
Population.
AB - Background: Coronary heart disease (CHD) is among the leading causes of death in
Kuwait. This case-control study investigated the genetic association of APOB
rs11279109 with CHD in Kuwaitis. Methods: The polymorphism was genotyped in 734
Kuwaiti samples by direct amplification. Statistical analysis with genetic
modeling was used to assess its association with CHD. Results: A statistically
significant association (P < 0.001) between the rs11279109 DD genotype (OR: 2.43,
CI: 1.34-4.41) with CHD was observed. A codominant genetic model revealed a 2.69
risk increase (CI: 1.57-4.61) for the DD genotype (P = 0.009) independent of age,
sex, BMI, smoking, hypercholesterolemia, and ethnicity suggesting APOB rs11279109
as an indicator for the increased risk of CHD. Conclusion: The DD genotype may
explain molecular mechanisms that underline increased LDL oxidation leading to
arthrosclerosis. The findings emphasize the need to identify genetic markers
specific to the CHD patient ethnic group in order to improve prognosis and help
in early diagnosis and prevention.
PMID- 29362516
TI - Introduction to serial reviews: Current progress in covalent modification of
biomolecules by compounds in food or oxidatively generated compounds - its
relevance to biological functions.
PMID- 29362518
TI - A fluorescent metal-sensor study provides evidence for iron transport by
transcytosis in the intestinal epithelial cells.
AB - Iron transport across the intestinal epithelium is facilitated by the divalent
metal transporter 1 (DMT1) on the brush border membrane (BBM). The fluorescent
metal sensor calcein, which is hydrophilic, membrane-impermeable and quenched by
chelation with iron, was used to test our hypothesis that intestinal iron
absorption is through the endocytic processes and is involved in a pathway where
BBM-derived vesicles fuse with basolateral membrane (BLM)-derived vesicles. To
monitor the flux of iron via transcytosis, Caco-2 cells were employed as a
polarized cell layer in Transwell chambers. When calcein was added to the basal
chamber along with apo-transferrin (apo-Tf), calcein rapidly underwent
endocytosis and co-localized with apo-Tf. Calcein was quenched by adding an iron
ascorbate complex and then restored by adding 2,2'-dipyridyl into the apical
chamber. These results were confirmed by live-cell imaging. When hemin from the
apical surface and calcein from the basal chamber were added to the Caco-2 cells,
internalization of DMT1 and quenching of calcein were not observed until 2 h
later. These results indicated that absorbed hemin required processing before
hemin-derived iron was available to BLM-derived vesicles. These studies suggest
that iron is transported in Caco-2 cells by transcytosis with apical-derived
vesicles that are fused to BLM-derived vesicles.
PMID- 29362519
TI - Visfatin Triggers Anorexia and Body Weight Loss through Regulating the
Inflammatory Response in the Hypothalamic Microglia.
AB - Visfatin is an adipokine that is secreted from adipose tissue, and it is involved
in a variety of physiological processes. In particular, visfatin has been
implicated in metabolic diseases, such as obesity and type 2 diabetes, which are
directly linked to systemic inflammation. However, the potential impacts of
visfatin on the hypothalamic control of energy homeostasis, which is involved in
microglial inflammation, have not fully been investigated. In this study, we
found that treatment with exogenous recombinant visfatin protein led to the
activation of the inflammatory response in a microglial cell line. In addition,
we observed that central administration of visfatin led to the activation of
microglia in the hypothalamus. Finally, we found that visfatin reduced food
intake and body weight through activating POMC neurons in association with
microglia activation in mice. These findings indicate that elevation of central
visfatin levels may be associated with homeostatic feeding behavior in response
to metabolic shifts, such as increased adiposity following inflammatory processes
in the hypothalamus.
PMID- 29362517
TI - Abundance of DNA adducts of 4-oxo-2-alkenals, lipid peroxidation-derived highly
reactive genotoxins.
AB - Reactive oxygen species and their reaction products can damage DNA to form
mutagenic lesions. Among the reactive species, lipid peroxidation-derived
aldehydes react with nucleobases and form bulky exocyclic adducts. Many types of
aldehyde-derived DNA adducts have been characterized, identified and detected in
vitro and in vivo, whereas relative quantitative and pathophysiological
contributions of each adduct still remain unclear. In recent years, an abundant
class of DNA adducts derived from 4-oxo-2-alkenals have been identified, in
addition to classic aldehyde-derived adducts. The presence of 4-oxo-2-alkenal
derived DNA adducts associated with age-related diseases has been revealed in
rodents and humans. In vitro studies have demonstrated that 4-oxo-2-alkenals, as
compared with other classes of lipid peroxidation-derived aldehydes, are highly
reactive with nucleobases. It has been generally recognized that 4-oxo-2-alkenals
are generated through oxidative degradation of the corresponding 4-hydroperoxy-2
alkenals, homolytic degradation products of polyunsaturated fatty acid
hydroperoxides. Our recent results have also shown an alternative pathway for the
formation of 4-oxo-2-alkenals, in which 2-alkenals could undergo the metal
catalyzed autoxidation resulting in the formation of the corresponding 4-oxo-2
alkenals. This review summarizes the basis of the formation of lipid peroxidation
derived genotoxic aldehydes and their covalent adduction to nucleobases,
especially focusing on the abundance of 4-oxo-2-alkenal-derived DNA adducts.
PMID- 29362520
TI - Role of Cathepsin S in Periodontal Inflammation and Infection.
AB - Cathepsin S is a cysteine protease and regulator of autophagy with possible
involvement in periodontitis. The objective of this study was to investigate
whether cathepsin S is involved in the pathogenesis of periodontal diseases.
Human periodontal fibroblasts were cultured under inflammatory and infectious
conditions elicited by interleukin-1beta and Fusobacterium nucleatum,
respectively. An array-based approach was used to analyze differential expression
of autophagy-associated genes. Cathepsin S was upregulated most strongly and thus
further studied in vitro at gene and protein levels. In vivo, gingival tissue
biopsies from rats with ligature-induced periodontitis and from periodontitis
patients were also analyzed at transcriptional and protein levels. Multiple gene
expression changes due to interleukin-1beta and F. nucleatum were observed in
vitro. Both stimulants caused a significant cathepsin S upregulation. A
significantly elevated cathepsin S expression in gingival biopsies from rats with
experimental periodontitis was found in vivo, as compared to that from control.
Gingival biopsies from periodontitis patients showed a significantly higher
cathepsin S expression than those from healthy gingiva. Our findings provide
original evidence that cathepsin S is increased in periodontal cells and tissues
under inflammatory and infectious conditions, suggesting a critical role of this
autophagy-associated molecule in the pathogenesis of periodontitis.
PMID- 29362522
TI - Next-generation morphological character discovery and evaluation: an X-ray micro
CT enhanced revision of the ant genus Zasphinctus Wheeler (Hymenoptera,
Formicidae, Dorylinae) in the Afrotropics.
AB - New technologies for imaging and analysis of morphological characters offer
opportunities to enhance revisionary taxonomy and better integrate it with the
rest of biology. In this study, we revise the Afrotropical fauna of the ant genus
Zasphinctus Wheeler, and use high-resolution X-ray microtomography (micro-CT) to
analyse a number of morphological characters of taxonomic and biological
interest. We recognise and describe three new species: Z. obamaisp. n., Z.
sarowiwaisp. n., and Z. wilsonisp. n. The species delimitations are based on the
morphological examination of all physical specimens in combination with 3D scans
and volume reconstructions. Based on this approach, we present a new taxonomic
discrimination system for the regional fauna that consists of a combination of
easily observable morphological characters visible at magnifications of around 80
100 *, less observable characters that require higher magnifications, as well as
characters made visible through virtual dissections that would otherwise require
destructive treatment. Zasphinctus are rarely collected ants and the material
available to us is comparatively scarce. Consequently, we explore the use of
micro-CT as a non-invasive tool for the virtual examination, manipulation, and
dissection of such rare material. Furthermore, we delineate the treated species
by providing a diagnostic character matrix illustrated by numerous images and
supplement that with additional evidence in the form of stacked montage images,
3D PDFs and 3D rotation videos of scans of major body parts and full body (in
total we provide 16 stacked montage photographs, 116 images of 3D
reconstructions, 15 3D rotation videos, and 13 3D PDFs). In addition to the
comparative morphology analyses used for species delimitations, we also apply
micro-CT data to examine certain traits, such as mouthparts, cuticle thickness,
and thoracic and abdominal muscles in order to assess their taxonomic usefulness
or gain insights into the natural history of the genus. The complete datasets
comprising the raw micro-CT data, 3D PDFs, 3D rotation videos, still images of 3D
models, and coloured montage photos have been made available online as cybertypes
(Dryad, http://dx.doi.org/10.5061/dryad.4s3v1).
PMID- 29362523
TI - Editorial.
PMID- 29362521
TI - Granulocyte Colony-Stimulating Factor and Its Potential Application for Skeletal
Muscle Repair and Regeneration.
AB - Granulocyte colony-stimulating factor (G-CSF) was originally discovered in the
context of hematopoiesis. However, the identification of the G-CSF receptor (G
CSFR) being expressed outside the hematopoietic system has revealed wider roles
for G-CSF, particularly in tissue repair and regeneration. Skeletal muscle
damage, including that following strenuous exercise, induces an elevation in
plasma G-CSF, implicating it as a potential mediator of skeletal muscle repair.
This has been supported by preclinical studies and clinical trials investigating
G-CSF as a potential therapeutic agent in relevant disease states. This review
focuses on the growing literature associated with G-CSF and G-CSFR in skeletal
muscle under healthy and disease conditions and highlights the current
controversies.
PMID- 29362524
TI - Honduranura centraliamericana gen. n. et sp. n. from Central America (Collembola,
Neanuridae, Neanurinae).
AB - Honduranuragen. n. and the type species H. centraliamericanasp. n. are described
and illustrated. The new genus shows the characters of Sensillanurini Cassagnau,
1983 tribe and is distinguished by the fusion of cephalic and abdominal
tubercles: clypeal with antennofrontal and dorsointernal with dorsoexternal on
head; presence of fused tubercles on each side of abdominal segment V. Most of
the tubercles bear strong subcuticular reticulation. A key for the genera of the
tribe Sensillanurini is provided.
PMID- 29362525
TI - New species and records of terrestrial slugs from East Africa (Gastropoda,
Urocyclidae, Veronicellidae, Agriolimacidae).
AB - New and little-known terrestrial slugs are dealt with based on extensive
collections made in East Africa (Kenya, Tanzania, and Uganda) 1993-2007. This
account deals primarily with larger species from forests in the Eastern Arc
Mountains of Tanzania. In Veronicellidae, Pseudoveronicella Germain, 1908 is
extended to Tanzania by P. (Hoffmannia) zootoca tanzaniensis subsp. n. in the
Udzungwa Mts. In Urocyclidae, Dendrolimax parensissp. n. is described from the
Pare Mts. and Leptichnoides avisexcrementissp. n. is described from the Uluguru
Mts. In Urocyclinae, Tanzalimax tattersfieldigen. & sp. n. is described from the
Usambara Mts., Tanzalimax seddonaegen. & sp. n. from the Uluguru Mts., and
Udzungwalimax suminisgen. & sp. n. from the Udzungwa Mts. In addition, the ill
defined genus Atrichotoxon Simroth, 1910 is discussed and the little-known
Dendrolimax leprosus Pollonera, 1906 is reported from Uganda. In Agriolimacidae,
a species of Deroceras Rafinesque, 1820 is reported for the first time from
southern Tanzania. The taxonomic attribution and significance of each discovery
is discussed.
PMID- 29362526
TI - New species of Indocloeon Muller-Liebenau from South-East Asia (Ephemeroptera,
Baetidae).
AB - One new species of Indocloeon Muller-Liebenau from Brunei, I. spathasetissp. n.,
and one new species from Indonesia, I. timorensesp. n., are described and
illustrated based on their larvae. The total number of known Indocloeon species
increases from two to four and the generic attributes of the larvae are amended
based on the examination of the new species. Results on the genetics of some
species (COI) as well as comments on the distribution of I. indonesiae Kluge are
also provided.
PMID- 29362527
TI - Review of Stantonia Ashmead (Hymenoptera, Braconidae, Orgilinae) from Vietnam,
China, Japan, and Russia, with descriptions of six new species.
AB - The genus Stantonia Ashmead, 1904 (Hymenoptera, Braconidae, Orgilinae) is
reviewed for Vietnam, China, Japan, and Russia. Six new species of the genus
Stantonia are described and illustrated: Stantonia brevicaudata van Achterberg,
sp. n., S. dickyyui van Achterberg & Long, sp. n., S. granulata Long & van
Achterberg, sp. n., S. robustifemur van Achterberg & Long, sp. n., S. stilpnosoma
Long & van Achterberg, sp. n., and S. vietnamica van Achterberg, sp. n. A new
subgenus (Planitoniasubg. n.: type species Stantonia robustifemur van Achterberg
& Long, sp. n.) is proposed for the species with a flat clypeus and face, and
reduced vein r-m of the fore wing. Three species are newly recorded from Vietnam:
Stantonia gracilis van Achterberg, 1987, S. sumatrana Enderlein, 1908, and S.
tianmushana Chen, He & Ma, 2004. A key to species of Stantonia from Vietnam,
China, Russia, and Japan is provided.
PMID- 29362528
TI - The genus Gallerucida Motschulsky in Taiwan (Insecta, Coleoptera, Chrysomelidae,
Galerucinae).
AB - Species within the genus Gallerucida Motschulsky recorded in Taiwan are revised.
Gallerucida bifasciata Motschulsky 1861 G. lutea Gressitt & Kimoto 1963 G.
sauteri Chujo 1938 and G. shirozui Kimoto 1969 are redescribed. Sphenoraia chujoi
Lee 2014 is proposed as a junior synonym of G. flaviventris (Baly 1861).
Gallerucida thoracica (Jacoby 1888) is recorded as new for Taiwan and
redescribed. Lectotypes are designated for Gallerucida nigrita Chujo 1935 G.
sauteri Chujo 1938 and Eustetha thoracica Jacoby 1888. Biological notes are given
on all Taiwanese species of Gallerucida.
PMID- 29362529
TI - Two new Dolichothele Mello-Leitao, 1923 species from Brazil and Bolivia (Araneae,
Theraphosidae).
AB - Two new species of Dolichothele Mello-Leitao, 1923 are described from Brazil and
Bolivia, D. mottaisp. n. from Distrito Federal and the state of Goias, Brazil,
and D. camargorumsp. n. from the state of Rondonia, Brazil, and the La Paz
region, Bolivia. Males of the two new species resemble Dolichothele bolivianum
(Vol, 2001) in having a small subapical keel on the distal embolus and females in
particular by the short spermatheca. Dolichothele bolivianum is redescribed, and
its geographical distribution is herein restricted to Bolivia and the state of
Mato Grosso in Brazil.
PMID- 29362530
TI - Magadhaideus, a new genus of the tribe Plectoderini with the description of a new
species from China (Hemiptera, Fulgoromorpha, Achilidae).
AB - A new planthopper genus and species from China, Magadhaideus xiphos Long & Chen,
gen. et sp. n. (Hemiptera: Fulgoromorpha: Achilidae: Plectoderini), is described
and illustrated. A new combination, Magadhaideus cervina (Fennah, 1956), comb. n.
transferred from Magadha Distant and a key to species of the new genus are also
given.
PMID- 29362531
TI - Hind wing variation in Leptura annularis complex among European and Asiatic
populations (Coleoptera, Cerambycidae).
AB - The ability to quantify morphological variation is essential for understanding
the processes of species diversification. The geometric morphometrics approach
allows reliable description of variation in animals, including insects. Here,
this method was used to quantify the morphological variation among European and
Asiatic populations of Leptura annularis Fabricius, 1801 and its closely related
species L. mimica Bates, 1884, endemic for Japan and Sakhalin islands. Since the
taxonomic status of these two taxa is differently interpreted by taxonomists,
they are collectively called "Leptura annularis complex" in this paper. The
analysis was based on the measurements of hind wings of 269 specimens from six
populations from Europe and Asia. The level of morphological divergence between
most of continental European and Asiatic populations was relatively small and
proportional to the geographic distance between them. However, distinct
morphotype was detected in Sakhalin Is. and Japan. These data confirm the
morphological divergence of the endemic L. mimica species. Obtained results
highlight the potential of the geometric morphometric method in studying
morphological variation in beetles.
PMID- 29362532
TI - Two new species of Thyridosmylus Kruger, 1913 from Madagascar (Neuroptera,
Osmylidae).
AB - The lance lacewing genus Thyridosmylus Kruger (Osmylidae: Spilosmylinae) is found
in Madagascar and Southeast Asia. Two new Malagasy species are described herein,
Thyridosmylus fuscomarginatus Xu, Wang & Winterton, sp. n., and Thyridosmylus
longiprocessus Xu, Wang & Winterton, sp. n. A key to differentiate the Malagasy
species of Thyridosmylus is provided.
PMID- 29362533
TI - Aquatic dance flies (Diptera, Empididae, Clinocerinae and Hemerodromiinae) of
Greece: species richness, distribution and description of five new species.
AB - All records of aquatic dance flies (37 species in subfamily Clinocerinae and 10
species in subfamily Hemerodromiinae) from the territory of Greece are
summarized, including previously unpublished data and data on five newly
described species (Chelifera horvati Ivkovic & Sinclair, sp. n., Wiedemannia
iphigeniae Ivkovic & Sinclair, sp. n., W. ljerkae Ivkovic & Sinclair, sp. n., W.
nebulosa Ivkovic & Sinclair, sp. n. and W. pseudoberthelemyi Ivkovic & Sinclair,
sp. n.). The new species are described and illustrated, the male terminalia of
Clinocera megalatlantica (Vaillant) are illustrated and the distributions of all
species within Greece are listed. The aquatic Empididae fauna of Greece consists
of 47 species, with the following described species reported for the first time:
Chelifera angusta Collin, Hemerodromia melangyna Collin, Clinocera
megalatlantica, Kowarzia plectrum (Mik), Phaeobalia dimidiata (Loew), W.
(Chamaedipsia) beckeri (Mik), W. (Philolutra) angelieri Vaillant and W. (P.)
chvali Joost. A key to species of aquatic Empididae of Greece is provided for the
first time. Information related to the European Ecoregions in which species were
found is given. Compared to the other studied countries in the Balkans, the Greek
species assemblage is most similar to that of the Former Yugoslav Republic of
Macedonia.
PMID- 29362534
TI - Review of the genus Locastra Walker, 1859 from China, with descriptions of four
new species (Lepidoptera, Pyralidae, Epipaschiinae).
AB - The genus Locastra Walker, 1859 from China is reviewed. Of the five species
treated, four species are described as new: L. nigrilineatasp. n., L. solivagasp.
n., L. subtrapeziasp. n. and L. viridissp. n. A diagnosis of L. muscosalis
(Walker, 1866) is given. Photographs of adults and the genitalia are provided,
along with a key to the five Chinese species treated.
PMID- 29362535
TI - Riparian Collembola (Hexapoda) communities of northern Moldova, Eastern Europe.
AB - Collembola were studied in a well-preserved riverine section of the Prut River in
the Republic of Moldova. The study was focused on species diversity and habitat
preferences of the particular species at two localities. Riparian habitats of the
Prut River near Braniste included open river bank, forest belt and meadow, and
the shore of Lake Costesti-Stanca included meadow, pasture and shrub vegetation.
In total 77 collembolan species were recorded, of which Neanura moldavica and
Arrhopalites prutensis were endemic to Moldova. Comparative analyses showed a
specific community composition at Braniste, with Anurida ellipsoides and
Mesaphorura macrochaeta being abundant on the river bank and Hemisotoma
thermophila in the meadow. In contrast, the forest plantation at the same
locality was similar to the shrub-land in Costesti, with the common species
Mesaphorura critica, M. yosii, Deutonura albella and Isotomiella minor.
Hygrophilous species preferred the habitats of the river section in Braniste,
with quiet backwaters, to the artificial shoreline of the large lake. Species
diversity was relatively high in the natural meadow and forest in Braniste and
also in shrub-land on the lake shore. The present study documented relatively
high collembolan species diversity at the shoreline and running water sections in
the upper catchment area of the Prut River in Moldova that involve naturally
valuable inundated habitats of Eastern Europe.
PMID- 29362536
TI - A new species of Rana from the Dabie Mountains in eastern China (Anura, Ranidae).
AB - A new species Rana dabieshanensissp. n. is described from the Dabie Mountains in
Anhui Province, China, based on morphological character differences and molecular
analyses. The new species can be distinguished from its congeners by a
combination of diagnostic characters. The results of phylogenetic analyses (based
on 12s rRNA, 16s rRNA, ND2, Cyt b, RAG1, BDNF and Tyr) and genetic distances
(based on Cyt b) indicate that the new species belongs to the Rana longicrus
group, and is placed as the sister taxon to R. hanluica.
PMID- 29362537
TI - The first record of Telemidae from Kenya, with the description of two new species
(Arachnida, Araneae).
AB - Two new species of Telemidae from Kenya are described: Guhua kakamegaensis Zhao &
Li, gen. et sp. n., Apneumonella taitatavetaensis Zhao & Li, sp. n. Holotypes are
deposited in the National Museum of Kenya in Nairobi, and all paratypes are
deposited in the Institute of Zoology, Chinese Academy of Sciences in Beijing. A
distribution map of Telemidae found in Kenya is presented.
PMID- 29362538
TI - Seeking quantitative morphological characters for species identification in
soldiers of Puerto Rican Heterotermes (Dictyoptera, Blattaria, Termitoidae,
Rhinotermitidae).
AB - Subterranean termites in the genus Heterotermes Froggatt (Rhinotermitidae:
Heterotermitinae) are pantropical wood feeders capable of causing significant
structural damage. The aim of this study was to investigate soldier morphological
attributes in three Puerto Rican species of Heterotermes previously identified by
sequencing of two mitochondrial genes and attributed to Heterotermes tenuis
(Hagen), H. convexinotatus (Snyder) and H. cardini (Snyder). Soldiers (n = 156)
were imaged and measured using the Auto-Montage image-stacking program. We
demonstrated that Puerto Rican Heterotermes soldiers could not be identified to
species level based upon seven morphometric indices or any combination thereof.
Nor could differences in soldier head pilosity be used to discriminate species,
in contrast to previous findings. However, previously described characters of the
soldier tergal setae were reported to be useful in discriminating H. tenuis from
both of its Puerto Rican congeners.
PMID- 29362539
TI - Discovery of a most remarkable cave-specialized trechine beetle from southern
China (Coleoptera, Carabidae, Trechinae).
AB - Xuedytes bellus Tian & Huang, gen. et sp. n. is described from a limestone cave
in Du'an Karst of Guangxi, a kingdom of cavernicolous trechine beetles in
southern China. From a morphological point of view, Xuedytes Tian & Huang, gen.
n. seems to be the most extremely cave-adapted trechines in the world.
Superficially, it looks much like Giraffaphaenops Deuve, 2002 in general body
shape, in particular the structure of the prothorax, but simultaneously it is
similar to Dongodytes (s. str.) Deuve, 1993, based on elytral characters,
including chaetotaxy. Hence the new genus seems to represent a lineage
intermediate between Giraffaphaenops and Dongodytes (s. str.).
PMID- 29362540
TI - A new species of Homoneura (Euhomoneura) from northern China (Diptera,
Lauxaniidae).
AB - Homoneura (Euhomoneura) yanqingensis sp. n. is described as new to science and
Homoneura (E.) shatalkini Papp, 1984 is recorded from China for the first time.
Photographs and illustrations are provided for both of these species, including
genitalia. A key is provided to separate the Chinese species of the subgenus
Euhomoneura.
PMID- 29362541
TI - Southern limits of distribution of the intertidal gobies Chaenogobius annularis
and C. gulosus support the existence of a biogeographic boundary in southern
Japan (Teleostei, Perciformes, Gobiidae).
AB - Understanding the distributional patterns of individual animal groups with
respect to coastal topology and the local physical environment provides essential
foundational frameworks for marine zoogeography. In the northwestern Pacific
waters of Japan, the distributional pattern of some cool-temperate species of
marine fishes suggests the existence of a biogeographic boundary corresponding to
a long sandy shore on the eastern coast of Kyushu, southern Japan. The existence
of this hypothetical biogeographic boundary was tested by mapping the southern
distributional limit of two species of cool-temperate intertidal gobies,
Chaenogobius annularis and C. gulosus, which are endemic to East Asia and common
in rock pools within their range in the Japanese Archipelago. Distribution and
abundance were assessed by survey of museum collections from south-east Kyushu
(i.e., the entire coasts of Kagoshima and Miyazaki prefectures); and a
quantitative survey of the abundance of these gobies in rock pools at various
sites around the hypothesized boundary on the eastern coast of Kyushu, including
the subtropical Tanega-shima Island. The museum collection survey showed
different distribution patterns between the two species: C. annularis was
distributed along the entire coasts of south-east Kyushu including subtropical
islands, whereas C. gulosus was distributed along these coasts, including one
site on a subtropical island, except for an area south of the hypothesized
boundary on the eastern coast of Kyushu. The density and occurrence rates of C.
annularis in rock pools decreased with latitude, it being absent from a
subtropical island, and C. gulosus was not detected from sites south of the
hypothesized boundary. The qualitative survey showed that the southernmost
records of C. annularis and C. gulosus were the adjacent subtropical islands
(Yaku-shima and Tanega-shima islands respectively), although the quantitative
survey suggested that their normal range of distribution was limited to the
southern part of the Kyushu mainland. A combination of qualitative and
quantitative survey methods in the present study highlighted that the
southernmost record of a certain species may not necessarily indicate the true
limit of its distribution. The distribution of C. gulosus supports the existence
of the hypothetical biogeographic boundary, and the different distribution
patterns of the two species may be caused by differences in their early life
histories.
PMID- 29362542
TI - Three new species and the molecular phylogeny of Antipathozoanthus from the Indo
Pacific Ocean (Anthozoa, Hexacorallia, Zoantharia).
AB - In this study, three new species of macrocnemic zoantharians (Hexacorallia,
Zoantharia) are described from localities in the Indo-Pacific Ocean including the
Red Sea, the Maldives, Palau, and southern Japan: Antipathozoanthus obscurussp.
n., A. remengesauisp. n., and A. cavernussp. n. Although the genus
Antipathozoanthus is currently restricted to species living on antipatharians, A.
obscurussp. n. is not associated with any living substrate and instead is found
on coral reef carbonate substrate within narrow caves or cracks. The two new
species that have association with antipatharians, A. remengesauisp. n. and A.
cavernussp. n., can be distinguished by their relative coenenchyme development
and the antipatharian species that each uses as substrate. Additionally, all new
species described in this study have unique nuclear internal transcribed spacer
region of ribosomal DNA (ITS-rDNA) sequences. Our results indicate that more
phylogenetic studies focusing on increasing the numbers of species examined
within each of the genera of Parazoanthidae are required in order to better
understand the evolutionary history of substrate specificity within the family
Parazoanthidae.
PMID- 29362543
TI - A new species of Trichopeltis Pocock, 1894 from southern China, with a checklist
and a distribution map of Trichopeltis species (Diplopoda, Polydesmida,
Cryptodesmidae).
AB - The millipede genus Trichopeltis Pocock, 1894 contains 12 described species
including a new species from southern China described here. Trichopeltis
sutcharitisp. n. can be distinguished from congeners by its gonopods that are
strongly caudolaterally curved and have a prominent, high, curved, densely setose
process on each coxa. An updated checklist and a distribution map are provided
for all species of the genus.
PMID- 29362544
TI - Two new species of Lobellini from Tianmu Mountain, China (Collembola,
Neanuridae).
AB - Three species of the subfamily Neanurinae (Collembola: Neanuridae) are recorded
from Tianmu Mountain, Zhejiang Province, east China. Two of them, Lobellina
fusasp. n. and Paralobella tianmunasp. n., are new to science and described in
this paper. Lobellina fusasp. n. can be recognized by the presence of six teeth
on mandible and the fusion of dorsointernal tubercles on the head. Paralobella
tianmunasp. n. is characterized by a mandible with seven teeth, the lateral
tubercle of Abd. II-III respectively with 7 (6+s) chaetae. Crossodonthina
bidentata Luo & Chen, 2009 is widely distributed in the mountain from 300 to 1500
m a.s.l.
PMID- 29362545
TI - A missing piece in the puzzle: the presence of Euglossa viridissima in the Baja
California Peninsula (Hymenoptera, Apidae).
AB - Orchid bees are a conspicuous component of the neotropical bee fauna, with a few
species reaching the northernmost natural distribution for the group in
northwestern continental Mexico. Among them, Euglossa viridissima Friese is here
reported for the first time in the Cape Region of the Baja California peninsula,
Mexico, where no species of the group have been found previously. These records
are presented, their biogeographical implications discussed, and some
interpretations of the local factors that influence the bees is presented.
PMID- 29362546
TI - Effects of habitat and time of day on flock size of Turkey Vultures in Cuba
(Cathartes aura).
AB - In agricultural landscapes, the Turkey Vulture Cathartes aura feeds mainly on
carcases of domestic animals. In spring 2017, data on 214 flocks of Turkey
vultures were collected in a road survey in Cuba (in total 2384 km). Turkey
Vultures were found to be common accross Cuba, but flock size varied between
habitats, reaching a maximum of 43 in valleys and 31 in agricultural landscapes
with domestic animal farms. Vultures were active throughout the day, but the time
of day did not significantly affect flock size. This study corroborates previous
studies which suggested that carrion resources located in agricultural habitats
and river valleys is crucial for the continued survival of this still abundant
species. Changes in Cuba's socio-political system in the near future will likely
impact agricultural practices, and this in turn will likely affect Turkey
Vultures. Our study may serve as a baseline against which future population
changes and flocking behaviour of Turkey Vultures can be compared.
PMID- 29362547
TI - Ridleyandra merohmerea (Gesneriaceae), a new species from Kelantan, Peninsular
Malaysia.
AB - Ridleyandra merohmerea, a new species of Gesneriaceae, is described and
illustrated. It is endemic in Peninsular Malaysia and known from a few
populations along the Tuang River in the lowland dipterocarp forest of the Ulu
Galas Forest Reserve in Kelantan, Peninsular Malaysia. Its conservation status is
assessed as Critically Endangered.
PMID- 29362548
TI - Nahuatlea: a new genus of compositae (Gochnatieae) from North America.
AB - In the course of a detailed molecular study of the tribe Gochnatieae (Compositae:
Gochnatioideae) it became apparent that the genus Gochnatia (sensu Cabrera) was
not monophyletic but composed of a number of morphologically, geographically, and
molecularly distinct clades. All but one of these clades had previously been
recognized at the generic or sectional level and therefore had a name that could
be applied. However, one clade, whose members are from Mexico and adjacent parts
of the United States, had never been recognized as a distinct taxon. The Mexican
clade is the sister group of the Caribbean clade which seems to indicate a
dispersal event from Southern South America to Mexico and from Mexico to the
Caribbean. Here we provide the Mexican clade with a genus name, Nahuatlea, and
make the necessary new combinations. The name is derived from Nahuatl, the major
indigenous language that is spoken in the area where most of the collections were
gathered. A genus description, key to species, images, and a short, species
descriptions, are provided.
PMID- 29362549
TI - Morphological and anatomical evidence support a new wild cassava: Manihot fallax
(Crotonoideae, Euphorbiaceae), from Mato Grosso, Brazil.
AB - During the preparation of the taxonomic treatment of Manihot in the Midwest
Region of Brazil, a new species was found. Manihot fallax M.J. Silva & L.S.
Inocencio is described, illustrated and morphologically compared with similar
simple-leaved species. The conservation status, geographic distribution
(including map), ecology, phenology and notes about leaf anatomy of the new
species are given. The synonymisation of M. robusta M. Mend. & T. B. Cavalc.
under M. attenuata Mull. Arg. and lectotypes for M. attenuata and M.
brachystachys Pax & K. Hoffm are also proposed. An emended description of M.
attenuata is proposed as the original description is incomplete as it lacks
information on the pistillate flowers, fruits and seeds.
PMID- 29362550
TI - A new species and two new records of Quercus (Fagaceae) from northern Vietnam.
AB - A new species, Quercus xuanlienensis Binh, Ngoc & Bon, is described from Xuan
Lien Nature Reserve, Vietnam. The new species is morphologically similar to Q.
edithiae Skan, in having 8-11 pairs of secondary veins, bowl-shaped cupules and
ellipsoid to cylindrical-ellipsoid and basally convex nuts. It differs in having
serrulate leaf margins only at apical 1/5-1/7, almost entire margins of bracts on
cupule and much longer nuts. The species is also similar to Q. fleuryi Hickel &
A. Camus in having leaves glabrous on both surfaces with only an apically
serrulate margin but differs in having shorter petioles, cupules enclosing 1/5 of
the nut and much longer nuts. In addition, Q. disciformis Chun & Tsiang. and Q.
bella Chun & Tsiang., previously known from China, are newly recorded from Ba Vi
National Park, Vietnam.
PMID- 29362551
TI - The communities of terrestrial macrofungi in different forest types in vicinities
of Khanty-Mansiysk (middle taiga zone of West Siberia).
AB - Background: The diversity of macrofungi in the vicinities of Khanty-Mansiysk
(Yugra, Russia) was surveyed using a method of permanent sampling plots. Ten
plots, each consisting of a number of micro-plots, were established in several
different communities ranging from old-growth mixed taiga forest to its
derivatives in cutting succession and bogged areas. For more complete
registration of the mycota, plots were supplemented with random walking routes
directly nearby. Survey results were subjected to various quantitative analyses
which allowed not only to evaluate the diversity of fungi but also to obtain
valuable information on occurrence, abundance and ecology of individual species
as well as community structure and its dynamics in the course of ecological
succession. The paper reports the results of the first year of observations. New
information: 460 species of terrestrial macrofungi revealed in a poorly explored
area in middle taiga of West Siberia. The plot-based study revealed differences
between communities of terrestrial macrofungi of old coniferous forests, their
after-cutting secondary formations and bogged stages. The survey allowed to
reveal records of 3 species listed in the Red Data Book of Russia and 9 species
listed in the Red Data Book of Yugra.
PMID- 29362553
TI - Standardized spider (Arachnida, Araneae) inventory of Hankoniemi, Finland.
AB - Background: During a field course on spider taxonomy and ecology at the
University of Helsinki, the authors had the opportunity to sample four plots with
a dual objective of both teaching on field methods, spider identification and
behaviour and uncovering the spider diversity patterns found in the southern
coastal forests of Hankoniemi, Finland. As an ultimate goal, this field course
intended to contribute to a global project that intends to uncover spider
diversity patterns worldwide. With that purpose, a set of standardised methods
and procedures was followed that allow the comparability of obtained data with
numerous other projects being conducted across all continents. New information: A
total of 104 species and 1997 adults was collected. Of these, 41 species (39%)
were Linyphiidae and 13 (12%) Theridiidae. All other families had 6 or less
species represented. Linyphiidae were also dominant in terms of adult individuals
captured, with 1015 (51%), followed by 428 (21%) Lycosidae, 158 (8%)
Tetragnathidae and 145 (7%) Theridiidae. All other families had less than 100
individuals. The most abundant species were Neriene peltata, Alopecosa taeniata,
Piratula hygrophila and Dismodicus elevatus, all with more than 100 individuals.
All sites had between 56 and 62 species and between 445 and 569 individuals.
PMID- 29362552
TI - Polychaetes of Greece: an updated and annotated checklist.
AB - Background: The last annotated checklist of marine polychaetes in Greece was
published in 2001. Since then, global taxonomic progress, combined with many new
species records for Greece, required a thorough review of the taxonomic,
nomenclatural and biogeographic status of the national species list. This
checklist revises the status of all extant polychaete species reported from the
Greek Exclusive Economic Zone since 1832. The work was undertaken as part of the
efforts on compiling a national species inventory (Greek Taxon Information System
initiative) in the framework of the LifeWatchGreece Research Infrastructure. New
information: This checklist comprises an updated and annotated inventory of
polychaete species in Greek waters, compiled from literature reports, online
databases, museum collections and unpublished datasets. The list provides
information on 836 species-level taxa from Greece, of which 142 are considered
questionable. An additional 84 species reported in the past are currently
considered absent from Greece; reasons for the exclusion of each species are
given. Fourteen species are reported here for the first time from Greek waters.
At least 52 species in the present list constitute in fact a complex of cryptic
or pseudo-cryptic species. Forty-seven species are considered non-native to the
area. In addition to the species-level taxa reported in this checklist, eleven
genera have been recorded from Greece with no representatives identified to
species level. One replacement name is introduced. For each species, a
comprehensive bibliographic list of occurrence records in Greece and the synonyms
used in these publications are provided as supplementary material. Where
necessary, the taxonomic, nomenclatural or biogeographic status is discussed.
Finally, the findings are discussed in the wider context of Mediterranean
polychaete biogeography, taxonomic practice and worldwide research progress.
PMID- 29362554
TI - Dataset of "true mangroves" plant species traits.
AB - Background: Plant traits have been used extensively in ecology. They can be used
as proxies for resource-acquisition strategies and facilitate the understanding
of community structure and ecosystem functioning. However, many reviews and
comparative analysis of plant traits do not include mangroves plants, possibly
due to the lack of quantitative information available in a centralised form. New
information: Here a dataset is presented with 2364 records of traits of "true
mangroves" species, gathered from 88 references (published articles, books,
theses and dissertations). The dataset contains information on 107 quantitative
traits and 18 qualitative traits for 55 species of "true mangroves" (sensu
Tomlinson 2016). Most traits refer to components of living trees (mainly leaves),
but litter traits were also included.
PMID- 29362555
TI - Seed plant diversity of different forest types in Liangshui National Natural
Reserve.
AB - Background: Thirty years ago, there was a monograph of vegetation and plant
diversity in the region prepared by the Department of Forestry at the Northeast
Forestry University (unpublished), but the variety of plants in the region has
changed significantly over the past 30 years. In future years, the authors hope
to publish a new monograph and this research is to prepare for this work. This
study aimed at reporting the characteristics of plant diversity in five different
forest types in Liangshui National Natural Reserve, China, each with three 25 *
25 m tree quadrats, twelve 5 * 5 m wide shrub quadrats and twelve 1 * 1 m wide
herbaceous quadrats. Censuses of each forest type were conducted in 2016. New
information: The five main forest types presented differences in structure,
diversity and species richness.
PMID- 29362556
TI - Fauna of Cerambycidae (Insecta: Coleoptera) in Komaba Campus of the University of
Tokyo, a highly urbanised area in Japan.
AB - Urban green spaces play an important role in maintaining urban biodiversity in
the Tokyo Metropolis, Japan. Plant-dependent insect assemblages such as
Cerambycidae, in particular, are likely influenced by the existence of green
spaces in Tokyo's urbanised environments. This study is the first comprehensive
inventory of the cerambycid fauna in the Komaba Campus of the University of
Tokyo. A cerambycid assemblage composed of a total of 25 species was recorded
within the Komaba Campus site and compared to cerambycid assemblages in nine
other green spaces distributed throughout Tokyo. The results indicated that the
species number in the campus was similar to that recoded in a similar-sized green
space in coastal Tokyo. Fewer cerambycid species were, however, found at the
campus site than in larger-sized green spaces within Tokyo. Moreover, species
compositions in urbanised areas were markedly different from those in
suburbanised parks, mountains and forests within Tokyo.
PMID- 29362557
TI - Aphyllophoroid fungi in insular woodlands of eastern Ukraine.
AB - Background: Fungi play crucial roles in ecosystems and are among the species
richest organism groups on Earth. However, knowledge on their occurrence lags
behind the data for animals and plants. Recent analyses of fungal occurrence data
from Western, Central and Northern Europe provided important insights into
response of fungi to global warming. The consequences of the global changes for
biodiversity on a larger geographical scale are not yet understood. Landscapes of
Eastern Europe and particularly of eastern Ukraine, with their specific
geological history, vegetation and climate, can add substantially new information
about fungal diversity in Europe. New information: We describe the dataset and
provide a checklist of aphyllophoroid fungi (non-gilled macroscopic
Basidiomycota) from eastern Ukraine sampled in 16 areas between 2007 and 2011.
The dataset was managed on the PlutoF biodiversity workbench
(http://dx.doi.org/10.15156/BIO/587471) and can also be accessed via Global
Biodiversity Information Facility (GBIF, parts of datasets
https://doi.org/10.15468/kuspj6 and https://doi.org/10.15468/h7qtfd). This
dataset includes 3418 occurences, namely 2727 specimens and 691 observations of
fructifications belonging to 349 species of fungi. With these data, the digitised
CWU herbarium (V. N. Karazin Kharkiv National University, Ukraine) doubled in
size A most detailed description of the substrate's properties and habitat for
each record is provided. The specimen records are supplemented by 26 nuclear
ribosomal DNA ITS sequences and six 28S sequences. Additionally, 287 photographs
depicting diagnostic macro- and microscopic features of fungal fruitbodies as
well as studied habitats are linked to the dataset. Most of the specimens have at
least one mention in literature and relevant references are displayed as
associated with specimen data. In total, 16 publication references are linked to
the dataset. The dataset sheds new light on the fungal diversity of Eastern
Europe. It is expected to complement other public sources of fungal occurrence
information on continental and global levels in addressing macroecological and
biogeographical questions.
PMID- 29362559
TI - Fluorine-19 Magnetic Resonance Imaging and Positron Emission Tomography of Tumor
Associated Macrophages and Tumor Metabolism.
AB - The presence of tumor-associated macrophages (TAMs) is significantly associated
with poor prognosis of tumors. Currently, magnetic resonance imaging- (MRI-)
based TAM imaging methods that use nanoparticles such as superparamagnetic iron
oxide and perfluorocarbon nanoemulsions are available for quantitative monitoring
of TAM burden in tumors. However, whether MRI-based measurements of TAMs can be
used as prognostic markers has not been evaluated yet. In this study, we used
positron emission tomography (PET) with 18F-2-fluoro-2-deoxy-D-glucose (18F-FDG)
as a radioactive tracer and fluorine-19- (19F-) MRI for imaging mouse breast
cancer models to determine any association between TAM infiltration and tumor
metabolism. Perfluorocarbon nanoemulsions were intravenously administered to
track and quantify TAM infiltration using a 7T MR scanner. To analyze glucose
uptake in tumors, 18F-FDG-PET images were acquired immediately after 19F-MRI.
Coregistered 18F-FDG-PET and 19F-MR images enabled comparison of spatial patterns
of glucose uptake and TAM distribution in tumors. 19F-MR signal intensities from
tumors exhibited a strong inverse correlation with 18F-FDG uptake while having a
significant positive correlation with tumor growth from days 2 to 7. These
results show that combination of 19F-MRI and 18F-FDG-PET can improve our
understanding of the relationship between TAM and tumor microenvironment.
PMID- 29362558
TI - Mapping Extracellular pH of Gliomas in Presence of Superparamagnetic
Nanoparticles: Towards Imaging the Distribution of Drug-Containing Nanoparticles
and Their Curative Effect on the Tumor Microenvironment.
AB - Since brain's microvasculature is compromised in gliomas, intravenous injection
of tumor-targeting nanoparticles containing drugs (D-NPs) and superparamagnetic
iron oxide (SPIO-NPs) can deliver high payloads of drugs while allowing MRI to
track drug distribution. However, therapeutic effect of D-NPs remains poorly
investigated because superparamagnetic fields generated by SPIO-NPs perturb
conventional MRI readouts. Because extracellular pH (pHe) is a tumor hallmark,
mapping pHe is critical. Brain pHe is measured by biosensor imaging of redundant
deviation in shifts (BIRDS) with lanthanide agents, by detecting paramagnetically
shifted resonances of nonexchangeable protons on the agent. To test the
hypothesis that BIRDS-based pHe readout remains uncompromised by presence of SPIO
NPs, we mapped pHe in glioma-bearing rats before and after SPIO-NPs infusion.
While SPIO-NPs accumulation in the tumor enhanced MRI contrast, the pHe inside
and outside the MRI-defined tumor boundary remained unchanged after SPIO-NPs
infusion, regardless of the tumor type (9L versus RG2) or agent injection method
(renal ligation versus coinfusion with probenecid). These results demonstrate
that we can simultaneously and noninvasively image the specific location and the
healing efficacy of D-NPs, where MRI contrast from SPIO-NPs can track their
distribution and BIRDS-based pHe can map their therapeutic impact.
PMID- 29362560
TI - Analysis of Factors Associated with the Severity of Acute Pancreatitis according
to Etiology.
AB - Background: The objective of this study was to determine the factors associated
with severity of acute pancreatitis (AP) according to two major etiologies:
alcohol and gallstones. Methods: We reviewed the medical records of consecutive
patients who were admitted with AP between January 2003 and January 2013. A total
of 905 patients with AP (660 alcohol-induced, 245 gallstone-induced) were
enrolled. Among them, severe AP (SAP) occurred in 72 patients (53 alcohol
induced, 19 gallstone-induced). Contributing factors between patients with and
without SAP were analyzed according to the etiology. Results: Multivariate
analysis demonstrated that current smoking, pancreatic necrosis, and bacteremia
were associated with AP severity in both alcohol- and gallstone-induced AP.
Pancreatic fluid collection was significantly associated with alcohol-induced SAP
(p = 0.04), whereas dyslipidemia was significantly associated with gallstone
induced SAP (p = 0.01). Body mass index was significantly correlated with the
Bedside Index of Severity in Acute Pancreatitis score in both alcohol- and
gallstone-induced AP (p = 0.03 and 0.01, resp.). Conclusions: Current smoking,
pancreatic necrosis, and bacteremia can aggravate the clinical course of AP.
Pancreatic fluid collection and dyslipidemia were associated with AP severity
according to the different etiologies. Obesity may also be associated with AP
severity in both etiologies.
PMID- 29362561
TI - T Helper Lymphocyte and Mast Cell Immunohistochemical Pattern in Nonceliac Gluten
Sensitivity.
AB - Background and Aims: Nonceliac gluten sensitivity (NCGS) is a gluten-related
emerging condition. Since few data about NCGS histopathology is available, we
assessed the markers of lymphocyte and innate immunity activation. Materials and
Methods: We retrieved duodenal biopsy samples of patients with NCGS diagnosis
according to the Salerno criteria. We selected specimens of positive
(seropositive celiac disease/Marsh 1-2 stage) and negative (normal microscopic
picture) controls. Immunohistochemistry for CD3 (intraepithelial lymphocytes
IELs), CD4 (T helper lymphocytes), CD8 (T cytotoxic lymphocytes), and CD1a/CD117
(Langerhans/mast cells) was performed. ANOVA plus Bonferroni's tests were used
for statistical analysis. Results: Twenty NCGS, 16 celiac disease, and 16
negative controls were selected. CD3 in NCGS were higher than negative controls
and lower than celiac disease (18.5 +/- 6.4, 11.9 +/- 2.8, and 40.8 +/- 8.1
IELs/100 enterocytes; p < 0.001). CD4 were lower in NCGS than controls and celiac
disease (31.0 +/- 22.1, 72.5 +/- 29.5, and 103.7 +/- 15.7 cells/mm2; p < 0.001).
CD8 in NCGS were similar to negative controls, but lower than celiac disease
(14.0 +/- 7.4 and 34.0 +/- 7.1 IELs/100 enterocytes, p < 0.001). CD117 were
higher in NCGS than celiac disease and negative controls (145.8 +/- 49.9, 121.3
+/- 13.1, and 113.5 +/- 23.4 cells/mm2; p = 0.009). Conclusions: The combination
of CD4 and CD117, as well as IEL characterization, may be useful to support a
clinical diagnosis of NCGS.
PMID- 29362563
TI - The Hypoactivity Associated with the Repeated Exposure to Atrazine Is Related to
Decreases in the Specific Binding to D1-DA Receptors in the Striatum of Rats.
AB - The herbicide atrazine (ATR) has a potential toxic effect on the neuronal
circuits of the brain, specifically on two major dopaminergic pathways: the
nigrostriatal and mesolimbic circuits. In this work, we repeatedly exposed adult
male Sprague-Dawley rats to 6 injections of 100 mg ATR/kg of body weight (for two
weeks) and one saline injection two days after ATR administration. Locomotor
activity was assessed for 15 minutes and/or 2 hours after ATR or saline injection
and 2 months after the final ATR administration. The specific binding of [3H]
SCH23390 to D1-DA receptors and that of [3H]-Spiperone to D2-DA receptors in the
dorsal and ventral striatum were assessed 2 days and 2 months after ATR
treatment. ATR administration resulted in immediate, short- and long-term
hypoactivity and reduced specific binding of [3H]-SCH23390 in the dorsal striatum
of rats evaluated 2 months after the last ATR injection. The specific binding of
[3H]-SCH23390 in the ventral striatum and the specific binding of [3H]-Spiperone
in the dorsal and ventral striatum remained unchanged at 2 days or 2 months after
ATR treatment. These results, together with previous findings of our group,
indicate that the nigrostriatal system is a preferential target for ATR exposure.
PMID- 29362562
TI - Open Abdomen Management and Candida Infections: A Very Likely Link.
AB - Objective: Laparostomy can be applied in trauma, abdominal sepsis, intra
abdominal hypertension, or compartment syndrome. Systemic infections, especially
if complicated by Candida, are associated with a high risk of mortality. Methods:
This is a single-centre retrospective case series of 47 cases admitted to our
Department, which required laparostomy procedure; we analyzed the type of
surgery, temporary abdominal closure, duration of open abdomen, complications,
SOFA score, mortality with Candida infections, and empirical or targeted
antifungal therapy. Results: We found that patients with Candida infection were
related with a statistically significant difference (p < 0.05) with a
complication after OA closure, total complications, time elapsed after OA
application, time spent on the first surgical OA application, type of temporary
abdominal closure that is used, and duration of the open abdomen. The use of
empirical and targeted antifungal therapy is related to the duration of open
abdomen too. Conclusions: Management of the OA is often burdened by sepsis or
septic shock, especially when complicated by Candida infection. Candida score is
a validated tool to identify patients who can be treated empirically, but every
situation must be considered on an individual basis.
PMID- 29362565
TI - Staphylococcus aureus and Escherichia coli in Curd Cheese Sold in the
Northeastern Region of South America.
AB - The present study evaluated the microbiological and sanitary quality of curd
cheese sold on the beaches of the Itaparica Island, Brazil, and verified whether
a correlation exists between the commercialization conditions and the
microbiological data. The research was performed between December 2015 and March
2017. Sixty samples of rennet-containing cheese were collected to estimate the
populations of mesophylls, psychrotrophic microorganisms, mold and yeast,
Staphylococcus aureus, total coliforms, and Escherichia coli. An observational
analysis was performed during the collection, using a checklist to verify the
sellers' sanitary conditions and cheese marketing. A high nonconformity index was
registered regarding aspects in the checklist. In the microbiological analyses,
the number of mesophylls in raw and roasted samples ranged from 7,88 to 14,82 log
CFU/mL, and those of psychrotrophs ranged from 2,80 to 3,84 log CFU/mL.
Meanwhile, mold and yeast levels in the samples ranged from 8,06 to 5,54 log
CFU/mL, S. aureus was detected at levels from 3,24 to 4,94 log CFU/mL, and the
total coliform counts ranged from 4,48 to 7,18 log CFU/mL. The number of E. coli
specimens ranged from 2,96 to 5,75 log CFU/mL. Microbial insecurity was noted for
commercialized curd cheese, and the need for intervention was indicated.
PMID- 29362564
TI - Prognostic Factors for Immune Thrombocytopenia Outcome in Greek Children: A
Retrospective Single-Centered Analysis.
AB - Immune thrombocytopenia (ITP) in children has a varied course and according to
duration is distinguished as newly diagnosed (<3 months), persistent (3-12), and
chronic (>12) types. Several studies have evaluated the prognostic factors for
the progression of the disease, but similar works have yet to be performed in
Greece. We aimed to identify prognostic markers for the three forms of the
disease in 57 Greek children during a 13-year period. Information regarding age,
gender, preceding infection, bleeding type, duration of symptoms and platelets at
diagnosis, treatment, disease course, and immunological markers was recorded. 39
children had newly diagnosed, 4 persistent, and 14 chronic disease. Chronic ITP
children were more likely to be of age > 10 years (p = 0.015) and have gradual
initiation of the disease (p = 0.001), platelets > 10 * 109/L (p = 0.01), and
impaired immunological markers (p < 0.003) compared to newly diagnosed/persistent
groups. Recent history of infection was found mainly in the newly
diagnosed/persistent group (p = 0.013). None of the children exhibited severe
spontaneous bleeding. Conclusion. Even though ITP in children usually has a self
limited course, with rare serious bleeding complications, the chronic form of the
disease is characterized by different predictive parameters, which can be used in
clinical practice.
PMID- 29362566
TI - Occipitocervical Hemolymphangioma in an Adult with Neck Pain and Stiffness: Case
Report and Literature Review.
AB - Introduction: Hemolymphangioma is an extremely rare malformation of the lymphatic
and blood vessels. A limited number of hemolymphangioma cases occurring in the
pancreas, extremities, spleen, and other organs have been reported until
September 2017. To the best of our knowledge, no cases of hemolymphangioma in the
occipitocervical region have been reported in the literature. Case Presentation:
We reported the case of a 23-year-old male patient with an occipitocervical
lesion presenting atypically as neck pain and stiffness over a period of five
months. Although hemolymphangioma has historically demonstrated a female
predilection (2.25 : 1 female to male) and presentation in the third to fourth
decades of life, this case is an atypical manifestation occurring in a young male
patient. The clinical characteristics and management choices of this uncommon
case of hemolymphangioma in the occipitocervical region are discussed, and a
review based on the available literature is also presented. Conclusion:
Hemolymphangioma of the occipitocervical region is an uncommon presentation of a
rare lesion. Although rare, hemolymphangioma should be considered a differential
diagnosis for masses occurring in the occipitocervical region. Complete surgical
resection is the treatment of choice and affords a good prognosis.
PMID- 29362567
TI - Cellular and Molecular Mechanisms of Mesenchymal Stem Cell Actions.
PMID- 29362568
TI - The Optimal Intervention Time of Bone Marrow Mesenchymal Stem Cells in
Ameliorating Cardiac Fibrosis Induced by Viral Myocarditis: A Randomized
Controlled Trial in Mice.
AB - Bone marrow-derived mesenchymal stem cells (BMSCs) have recently been introduced
to treat cardiovascular diseases, such as myocardial infarction and dilated
cardiomyopathy. Nevertheless, there are few researches focused on the application
of BMSCs in treating viral myocarditis, not to mention its optimal intervention
timer potential mechanisms. In our study, we concentrated on finding an optimal
time window to perform BMSCs treatment in a murine model of myocarditis induced
by coxsackievirus B3 (CVB3). On the 1st day, 3rd day, 7th day, and 14th day after
BALB/c mice were infected by CVB3, we intravenously injected equivalent BMSCs
into the treatment groups. With a 28-day follow-up after inoculation, we found
that the ventricular function was significantly improved in the BMSCs treatment
group and cardiac fibrosis markedly ameliorated, especially when BMSCs were
injected between 1 and 2 weeks after CVB3 inoculation. Furthermore, we
demonstrated that after BMSCs treatment, the expressions of TGF-beta, col1alpha1,
and col3alpha1 were significantly decreased. Therefore, we conclude that BMSCs
may have a potential to improve CVB3-induced myocarditis by ameliorating cardiac
fibrosis through the inhibition of TGF-beta expression.
PMID- 29362569
TI - Patient-prosthesis mismatch in patients treated with transcatheter aortic valve
implantation - predictors, incidence and impact on clinical efficacy. A
preliminary study.
AB - Introduction: Patient-prosthesis mismatch (PPM) is relatively frequent after
surgical aortic valve replacement (SAVR) and negatively impacts prognosis. Aim:
We sought to determine the frequency and clinical effects of PPM after
transcatheter aortic valve implantation (TAVI). Material and methods: Overall,
238 patients who underwent TAVI were screened. Moderate PPM was defined as
indexed effective orifice area (EOAi) between 0.65 and 0.85 cm2/m2, and severe
PPM as < 0.65 cm2/m2. All-cause mortality and the Valve Academic Research
Consortium 2 (VARC-2) defined composite of clinical efficacy at 1 year were the
primary endpoints. Results: Finally, 201 patients were included (mean age: 79.6
+/-7.4 years, 52% females). The femoral artery served as the delivery route in
79% and most of the prostheses were self-expanding (68%). Any PPM was present in
48 (24%) subjects, and only 7 (3.5%) had severe PPM. Body surface area (BSA)
independently predicted any PPM (OR = 16.9, p < 0.001) whereas post-dilation
tended to protect against PPM (OR = 0.46, p = 0.09). All-cause mortality was
similar in patients with moderate or severe PPM as compared to those with no PPM
(14.6% vs. 14.3% vs. 13.1%, respectively, log-rank p = 0.98). Neither moderate
(OR = 1.6, 95% CI: 0.8-3.2, p = 0.16) nor severe PPM (OR = 1.67, 95% CI: 0.36
7.7, p = 0.51) had a significant impact on composite endpoint, or its elements,
with the exception of transvalvular pressure gradient > 20 mm Hg. Conclusions:
Severe PPM after TAVI is rare, can be predicted by larger BSA and does not seem
to affect mid-term mortality or composite clinical outcome. Larger studies are
needed to find different independent predictors of PPM and elucidate its impact
in terms of device durability and long-term clinical efficacy.
PMID- 29362570
TI - Left ventricular remodelling pattern and its relation to clinical outcomes in
patients with severe aortic stenosis treated with transcatheter aortic valve
implantation.
AB - Introduction: Left ventricular hypertrophy (LVH) is a common compensating process
in the pressure overload mechanism of aortic stenosis (AS). Aim: To identify a
group of patients with a LVH pattern which may alter periprocedural and 1-year
outcomes after transcatheter aortic valve implantation (TAVI). Material and
methods: Echocardiographic examinations of 226 patients with severe AS treated
with TAVI between March 2010 and February 2016 were retrospectively analysed and
correlated with echocardiographic parameters and clinical outcomes in the study
group. Ultimately 208 patients were enrolled in the study. Based on left
ventricular mass index (LVMI) and relative wall thickness (RWT) patients were
divided into three categories: concentric remodelling (CR), concentric
hypertrophy (CH) and eccentric hypertrophy (EH). Most of the patients with severe
AS referred for TAVI were found to have CH (n = 150, 72.8%), then EH (n = 33,
16%) and CR (n = 16, 7.8%). Results: There were no significant differences
between groups in terms of periprocedural outcomes or complications. After a mean
observation time of 561.8 +/-239.0 days, the observed all-cause mortality rate
was 19.9%. After multivariable adjustment, CR remained associated with a higher
risk of mortality (HR = 4.31; 95% CI: 1.607-11.538; p = 0.004). Conclusions: Left
ventricular hypertrophy is common in patients with severe AS prior to TAVI. The
LVH pattern does not affect TAVI-related complications. In patients with severe
AS referred for TAVI, CR seems to be the least favourable geometry of LVH,
increasing the risk of 1-year all-cause death.
PMID- 29362572
TI - Native aortic coarctation stenting in patients >= 46 years old.
AB - Introduction: Stent implantation is an effective therapy for aortic coarctation
(CoA) in adolescents and adults. Aim: To present a unique group of patients with
native coarctation of the aorta older than or equal to 46 years treated with bare
metal or covered stents. Material and methods: Since 2002 we have performed stent
implantations by applying bare metal stents or covered stents using femoral
access in 24 patients aged 46 and older. Results: We used the Mullins technique
in all cases, implanting different stents: Palmaz, Cheatham-Platinum (CP),
covered CP or Andrastents XL/XXL. Twenty-one procedures were elective and 3 were
urgent. Eighteen bare metal stents (2 stents in one patient) and 7 covered stents
were used. All procedures were effective (CoA gradient reduced < 20 mm Hg),
despite 2 migrations of bare metal stents. Mean gradient was reduced from 50.6 +/
15.3 to 6.8 +/-6.5 mm Hg (p < 0.001) and mean lumen diameter of stenosed aorta
increased from 5.5 +/-2.5 to 14.9 +/-5.2 mm (p < 0.001). One special case is
discussed - a 49-year-old man with end staged heart failure and severe CoA, who
underwent a rescue procedure with a bare metal stent. One serious complication -
stroke of the central nervous system - was observed in a 53-year-old woman during
covered stent implantation (symptoms resolved during rehabilitation process).
Conclusions: Stent implantation of native coarctation of the aorta is also a safe
procedure in the eldest patients and is associated with persistent relief of
aortic obstruction.
PMID- 29362571
TI - Right heart catheterization procedures in patients with suspicion of pulmonary
hypertension - experiences of a tertiary center.
AB - Introduction: Right heart catheterization (RHC) is an invasive procedure
providing direct and accurate measurements of hemodynamics of the cardiovascular
system. Acute pulmonary vasoreactivity testing (APVT) following basal RHC in some
patients is an established tool evaluating the reversibility of hypertension in
the pulmonary vasculature. Aim: We sought to assess the most common indications,
vascular approaches and complications during RHC in a single high-volume center.
Material and methods: A total of 534 RHC procedures in 348 patients (64% male)
were performed. The prospective registry was carried out for 28 months. Collected
data included indications for RHC, vascular approaches, hemodynamic and clinical
data, complications and response of pulmonary vessels in APVT. Results: In 401
(75%) procedures pulmonary hypertension (mean pulmonary artery pressure (mPAP) >=
25 mm Hg) was confirmed. Left heart failure was the most common indication
(55.8%), mainly ischemic (26%) or dilated cardiomyopathy (19.9%). Other
indications included a suspicion of arterial (21.7%), or chronic thromboembolic
pulmonary hypertension (14.6%). The right internal jugular vein approach was used
in 89.1% of procedures. Acute pulmonary vasoreactivity testing was performed in
143 patients, and it was positive in 67 (46.9%) cases. Complications occurred in
21 (3.9%) procedures and included pulmonary edema (0.2%), pneumothorax (0.2%) and
puncture of the artery followed by the insertion of a vascular sheath (0.4%),
atrial arrhythmia (0.2%), superior vena cava dissection (0.2%), incidental artery
puncture (1.1%) and local hematoma (2.2%). Conclusions: The most frequent
indication for RHC was left heart failure, and the most common approach was the
right internal jugular vein. RHC is safe procedure with a low rate of major
complications.
PMID- 29362573
TI - Closure of mitral paravalvular defects without performing an arteriovenous loop:
a case series of fourteen patients.
AB - Introduction: The arteriovenous (AV) loop is recommended when further support is
needed during paravalvular leak (PVL) closure. Aim: We report the feasibility and
safety of mitral PVL closure without constructing an AV loop, based on a single
centre experience. Material and methods: Fourteen patients with mitral valve
replacement (MVR) who had New York Heart Association (NYHA) class III-IV dyspnoea
or NYHA class II symptoms with significant haemolytic anaemia caused by severe or
moderate-to-severe paravalvular regurgitation and who underwent transcatheter PVL
closure (TPVLC) between May 2014 and February 2017 were enrolled. Results: In
total, 15 PVL procedures and 19 device deployments were performed. The patients
had one (n = 10), three (n = 1) or four (n = 1) devices for closure at the time
of the procedure; one patient had two devices from two procedures at different
times with different access ways. Nineteen devices (10 (66.6%) via transseptal
access; 4 (26.6%), transapical access; and 1 (6.6%), retrograde access) were
deployed successfully without making an AV loop. Conclusions: The TPVLC is a less
invasive and effective alternative to surgery in symptomatic patients with
significant PVLs and high operational risks. The success rates are satisfactory,
with improving techniques and devices. Procedural success without using an AV
loop can be achieved with reduced costs, fluoroscopic times and complications.
PMID- 29362574
TI - Emergency abdominal surgery in patients with left ventricular assist device:
short- and long-term results.
AB - Introduction: Emergency abdominal surgery (EAS) in patients with long-term
mechanical circulatory support and strong anticoagulation is very difficult. Aim:
To present our experiences regarding the short- and long-term results of patients
with a left ventricular assist device (LVAD) who underwent emergency abdominal
surgery under general anesthesia at a large tertiary healthcare center. Material
and methods: The electronic medical records of 7 patients with LVAD who underwent
EAS between January 1, 2010 and December 31, 2016 were retrospectively
investigated in order to evaluate perioperative management and outcomes. The
patients were divided into two groups based on the need for EAS procedures.
Results: Seven (9.2%) of 76 patients with LVAD underwent EAS an average of 79.1
+/-79.4 days after implantation. No statistically significant differences were
found between the groups with and without EAS with regard to demographic
characteristics, type of device, and rate of perioperative mortality (p > 0.05).
The indications for surgery, retroperitoneal hematoma in 2 patients and in 5
other patients; ileus, iatrogenic splenic injury associated with thoracentesis,
splenic abscess, acute abdominal pain and rectal cancer surgery was a pelvic
abscess in a patient who is connected to the stump. In all cases laparotomy was
performed with median incision. The perioperative mortality rate was 28.6% (n =
2). Two patients underwent orthotopic heart transplant during long-term follow
up. Conclusions: The EAS is not rare during LVAD treatment but is a rather
complex procedure. General surgeons will be increasingly likely to encounter such
patients as their numbers rise and their life expectancies are prolonged.
PMID- 29362575
TI - Minimally invasive coronary artery bypass as a safe method of surgical
revascularization. The step towards hybrid procedures.
AB - Introduction: Coronary artery disease is nowadays responsible for approximately
15% of hospitalizations in Poland. Minimally invasive coronary artery bypass
(MIDCAB) represents an attractive alternative to a sternotomy, and at the same
time provides better life quality and facilitates quick rehabilitation. Aim: To
evaluate whether MIDCAB can be performed with similar early and mid-term results
as off-pump coronary artery bypass (OPCAB) and therefore can be considered as a
safe stage in hybrid revascularization. Material and methods: In a retrospective
cohort study, we analyzed 73 consecutive patients who underwent coronary artery
bypass grafting (left internal mammary artery to left anterior descending artery)
between 2013 and 2016 in the Department of Cardiovascular Surgery and
Transplantology, John Paul II Hospital, Krakow. Thirty-eight (52.1%) MIDCAB and
35 (47.9%) OPCAB patients were enrolled. Results: Short-term results did not
significantly differ between groups and similar 30-day mortality was observed
(MIDCAB 2.6% vs. OPCAB 2.9%, p = 1). The median follow-up period was 21 months.
There were no statistical differences in terms of overall survival or cardiac
mortality between groups (94.7% vs. 88.6%, p = 0.42; 2.6% vs. 2.9%, p = 1,
respectively). The rate of hospitalization due to cardiac causes was similar in
both groups (7.9% vs. 5.1%, p = 1) and there were no differences in current
exacerbation of angina or heart failure, with median NYHA class I and CCS class I
in both groups. Conclusions: Despite higher technical difficulty, MIDCAB
procedures can be performed with similar safety results as OPCAB procedures. No
differences in terms of mortality, repeat revascularization or recurrent angina
are observed.
PMID- 29362576
TI - No-reflow and platelet reactivity in diabetic patients with ST-segment elevation
myocardial infarction: is there a link?
PMID- 29362577
TI - Comparison of demographics, cardiovascular risk factors profile and prevalence of
coexistent atherosclerotic vascular disease in patients with severe aortic
stenosis stratified according to dichotomized stenosis severity.
PMID- 29362578
TI - Late complications of transcatheter atrial septal defect closure requiring urgent
surgery.
PMID- 29362579
TI - Right coronary artery stenosis unmasking ischemia in a patient with bilateral
coronary pulmonary fistulas.
PMID- 29362580
TI - Right atrium tumor - pseudoaneurysm of right coronary artery. A rare complication
after percutaneous coronary intervention.
PMID- 29362581
TI - Contrast-enhanced computed tomography for early detection of acute myocardial
infarction due to blunt chest trauma.
PMID- 29362582
TI - Transcatheter transapical valve-in-valve implantation for degenerated mitral
bioprosthesis.
PMID- 29362583
TI - Percutaneous mitral balloon valvuloplasty. Difficult mitral valve crossing.
PMID- 29362585
TI - 18th Interventional Cardiology Workshop New Frontiers in Interventional
Cardiology: December 7th, 2017, Krakow, Poland.
PMID- 29362584
TI - Acute embolisation of Watchman plug onto aortic bioprosthesis followed by
successful percutaneous removal.
PMID- 29362586
TI - Hypericum perforatum: Synthesis of Active Principles during Flowering and
Fruitification-Novel Aspects of Biological Potential.
AB - St. John's wort is a widely used medicinal plant. The quality of herbal drug,
which is in most of the cases collected from nature, varies. Therefore, the aim
of the present study was detailed chemical characterization of Hypericum
perforatum subsp. perforatum samples collected in close time intervals during
flowering and fruitification with the purpose to state the phenological stage
characterized by maximum levels of active principles. The antioxidant potential
and potential to inhibit biologically important enzymes, as well as the
cytotoxicity and genotoxicity of the sample collected during the full flowering
period, were evaluated. Data showed that the optimal period for the achieving of
maximum level of active principles is the phenophase between floral budding and
flowering stage. Significant antioxidant potential and the ability to inhibit
biologically important enzymes (especially alpha-glucosidase) were recorded. The
extract exhibited no genotoxicity in subcytotoxic concentrations, while increased
cytotoxicity recorded in cotreatment with bleomycin on malignant cell lines was
especially significant.
PMID- 29362587
TI - Medicinal Herbs and Their Active Compounds for Fatty Liver Diseases.
PMID- 29362588
TI - Tang-Luo-Ning, a Traditional Chinese Medicine, Inhibits Endoplasmic Reticulum
Stress-Induced Apoptosis of Schwann Cells under High Glucose Environment.
AB - Tang-Luo-Ning (TLN) has a definite effect in the clinical treatment of diabetic
peripheral neuropathy (DPN). Schwann cells (SCs) apoptosis induced by endoplasmic
reticulum stress (ER stress) is one of the main pathogeneses of DPN. This study
investigates whether TLN can inhibit SCs apoptosis by inhibiting ER stress
induced apoptosis. Our previous researches have demonstrated that TLN could
increase the expression of ER stress marker protein GRP78 and inhibited the
expression of apoptosis marker protein CHOP in ER stress. In this study, the
results showed that TLN attenuated apoptosis by decreasing Ca2+ level in SCs and
maintaining ER morphology. TLN could decrease downstream proteins of CHOP
including GADD34 and Ero1alpha, while it increased P-eIF2alpha and decreased the
upstream proteins of CHOP including P-IRE1alpha/IRE1alpha and XBP-1, thereby
reducing ER stress-induced apoptosis.
PMID- 29362589
TI - Antinociceptive Activities of the Methanolic Extract of the Stem Bark of
Boswellia dalzielii Hutch. (Burseraceae) in Rats Are NO/cGMP/ATP-Sensitive-K+
Channel Activation Dependent.
AB - Boswellia dalzielii (B. dalzielii) is traditionally used in the treatment of
rheumatism, pain, and inflammation. The present investigation evaluates the
property and possible mechanism of action of the methanolic extract of B.
dalzielii (BDME) on inflammatory and neuropathic pain models. Effects of BDME
(250 and 500 mg/kg), orally administered, were verified in mechanical
hypernociception induced by LPS or PGE2. Mechanical hyperalgesia, cold allodynia,
and heat hyperalgesia were used in vincristine-induced neuropathic pain. NW-nitro
L-arginine methyl ester (inhibitor of nitric oxide synthase), glibenclamide (ATP
sensitive potassium channel blocker), methylene blue (cGMP blocker), or naloxone
(opioid antagonist receptor) has been used to evaluate the therapeutic effects of
BDME on PGE2-induced hyperalgesia. Chemical profile of BDME was determined by
using HPLC-XESI-PDA/MS. BDME showed significant antinociceptive effects in
inflammatory pain caused by LPS and PGE2. The extract also significantly
inhibited neuropathic pain induced by vincristine. The antinociceptive property
of BDME in PGE2 model was significantly blocked by L-NAME, glibenclamide,
methylene blue, or naloxone. The present work reveals the antinociceptive
activities of BDME both in inflammatory and in neuropathic models of pain. This
plant extract may be acting firstly by binding to opioid receptors and secondly
by activating the NO/cGMP/ATP-sensitive-K+ channel pathway.
PMID- 29362590
TI - Larvicidal Activity against Aedes aegypti and Chemical Characterization of the
Inflorescences of Tagetes patula.
AB - The crude acetone extract (CAE) of defatted inflorescences of Tagetes patula was
partitioned into five semipurified fractions: n-hexane (HF), dichloromethane
(DF), ethyl acetate (EAF), n-butanol (BF), and aqueous (AQF). BF was fractionated
by reversed-phase polyamide column chromatography, obtaining 34 subfractions,
which were subjected to HSCCC, where patuletin and patulitrin were isolated. CAE
and the fractions BF, EAF, DF, and AQF were analyzed by LC-DAD-MS, and patuletin
and patulitrin were determined as the major substances in EAF and BF,
respectively. BF was also analyzed by HPLC and capillary electrophoresis (CE),
and patulitrin was again determined to be the main substance in this fraction.
CAE and the semipurified fractions (750, 500, 300, 100, and 50 mg/L) were assayed
for larvicidal activity against Aedes aegypti, with mortality rate expressed as
percentage. All fractions except AQF showed insecticidal activity after 24 h
exposure of larvae to the highest concentration. However, EAF showed the highest
activity with more than 50% reduction in larval population at 50 mg/L. The
insecticidal activity observed with EAF might have been due to the higher
concentration of patuletin present in this fraction.
PMID- 29362591
TI - Influence of Institution-Based Factors on Preoperative Blood Testing Prior to Low
Risk Surgery: A Bayesian Generalized Linear Mixed Approach.
AB - To optimize delivery of health care services in clinical practice, the use of
unnecessary interventions should be reduced. Although recommendations for this
reduction have been accepted worldwide, recent studies have revealed that the use
of such procedures continues to increase. We conducted a retrospective cohort
study using a nationwide claim-based database to evaluate factors influencing
preoperative blood testing prior to low-risk surgery, via a Bayesian generalized
linear mixed approach. The study period was set from April 1, 2012, to March 31,
2016, and 69,252 surgeries performed at 9,922 institutions were included in the
analysis. Mean patient age was 44.3 +/- 11.3 years (57% female). Preoperative
blood tests were performed for 59.0% of procedures. Among institutional factors,
the number of beds was strongly associated with preoperative blood testing (odds
ratio [95% highest posterior density interval (HPD interval)], 2.64 [2.53 to
2.75]). The difference (95% credible interval) in the rate of preoperative blood
testing between institutions with <100 beds and >=100 beds was 0.315 [0.309 to
0.322], and the Bayesian index theta was 1.00. This indicated that preoperative
blood tests are strongly influenced by institutional factors, suggesting that
specific guidelines should be developed to avoid excessive preoperative testing
for low-risk surgery.
PMID- 29362592
TI - Mathematical Modeling and Control of Infectious Diseases.
PMID- 29362593
TI - Editorial.
PMID- 29362594
TI - Overview of IgG4 - Related Disease.
AB - : Rationale (hypothesis): IgG4-related disease (IgG4-RD) is a pathological entity
recently recognized by the medical world that can affect any organ or system.
However, there is insufficient data about this disease in medical literature. Aim
(objective): A more extensive clarification of the IgG4 molecule, the diversified
aspects of IgG4-related disease, and the response of this disease to treatment,
will provide a crucial understanding of the immune system and other diseases now
known to be associated with IgG4. METHODS AND RESULTS: The MEDLINE online medical
database was used, and, after a comprehensive review of medical articles
regarding IgG4-RD, published after 2003, using the search words "IgG4- related
disease" and "IgG4 molecule", we have described the clinical, pathological and
therapeutic features of IgG4-RD, as well as the presence of the IgG4 molecule in
the evolution, diagnosis and management of this syndrome. We characterized the
potential disease mechanisms and discussed early observations related to
treatment. DISCUSSION: Given the response to immunosuppressive therapy, it is
hypothesized that IgG4-related disease is most likely an autoimmune disease.
Therefore, IgG4-related disease is a fibro-inflammatory condition that can affect
any organ and can lead to the formation of pseudotumoral lesions requiring
differential diagnosis with various malignancies. Positive diagnostic criteria
are histopathological and require at least two features out of the following
three: dense limphoplasmocitary infiltrate, storiform fibrosis, obliterative
phlebitis.
PMID- 29362595
TI - Protocol for a Systematic Review and Meta-Analysis of Lithium, Anticonvulsive or
atypical antipsychotic Drugs for Treatment of Refractory Obsessive-Compulsive
Disorder.
AB - INTRODUCTION: Obsessive-compulsive disorder (OCD) is a neuropsychiatric disorder
that causes significant distress to the afflicted individual. About half of OCD
patients treated with an adequate trial of serotonin reuptake inhibitors fail to
fully respond to treatment and continue to exhibit significant symptoms.
Therefore, there is a need for other agents to alleviate the symptoms of these
disorders. In spite of considerable research including numerous randomized
controlled trials and systematic reviews, there exists uncertainty regarding what
treatments are effective. In this systematic review, we evaluated the efficacy of
mood stabilizers in treatment-refractory OCD. MATERIALS AND METHODS: We conducted
a meta-analysis of all randomized clinical trials evaluating lithium,
anticonvulsive agents or atypical antipsychotic drugs for OCD to determine which
therapies show more effective than a placebo, in reducing obsessive-compulsive
symptoms. We acquired eligible studies through a systematic search of Cochrane
Central Registry of Controlled Trials, MEDLINE, EMBASE, PsycINFO, Scopus,
ProQuest and Google scholar. We conducted meta-analyses to establish the effect
of lithium, anticonvulsive agents, or atypical antipsychotic drugs on patient
important outcomes when possible. To assess relative effects of treatments, we
constructed a random effect model. DISCUSSIONS: Our review was the first to
evaluate all treatments for OCD, to provide the relative effectiveness of
lithium, anticonvulsive agents, or atypical antipsychotic drugs, and prioritize
patient-important outcomes with a focus on functional gains. Our review
facilitated the evidence-based management of patients with resistant OCD, and
identified the key areas for future research.
PMID- 29362596
TI - Cerebrolysin and early neurorehabilitation in patients with acute ischemic
stroke: a prospective, randomized, placebo-controlled clinical study.
AB - Background - Stroke represents one of the most important causes of permanent
physical or mental disability. A number of recent advances in recovery have
reinforced the idea that pharmacological intervention combined with a specific
rehabilitation therapy can reduce disability after stroke. Objective - The aim of
this trial was to demonstrate the hypothesis that the association of
pharmacological treatment with Cerebrolysin to early physical therapy can
significantly stimulate the endogenous processes underlying the recovery after an
ischemic stroke. Methods and Results - It was a prospective, randomized, double
blind, placebo-controlled clinical study. 60 patients were randomized either to
30 ml/ day Cerebrolysin or to Placebo for 10 consecutive days, starting in the
first 24-48 hours after stroke. The pharmacological treatment was paired with
early physical rehabilitation. The robust nonparametric evaluation of the
National Institute for Health Stroke Scale (NIHSS) demonstrated a large
superiority of Cerebrolysin relative to placebo on day 10 with a MW=0.79 (95% CI,
0.65-0.94), respectively on day 30 with MW=0.75 (95% CI, 0.60-0.89). Similar
results were found with modified Ranking Scale (mRS) and Barthel Index (BI).
Cerebrolysin was safe and well tolerated. Conclusions - Cerebrolysin had a
beneficial effect on global neurological status and disability. The beneficial
results of this study can be easily applied in the current clinical practice.
Abbreviations: BI = Barthel Index; CB = Changes from Baseline; CI = Confidence
interval; ICH = International Conference on Harmonization; ITT = intention-to
treat; LB = Lower Bound of Confidence Interval; mRS = modified Rankin Scale; MW =
Mann-Whitney; NIHSS = National Institute for Health Stroke Scale; P = P-value; R
= Valid Number Reference Group (Placebo); SD = standard deviation; T = Valid
Number Test Group (Cerebrolysin); UB = Upper Bound of Confidence Interval.
PMID- 29362597
TI - Health-related quality of life of rheumatic disease patients treated in a
specialized IPS in Medellin, Colombia.
AB - PURPOSE: To compare Health-Related Quality of Life (HRQOL) profiles and their
associated factors in people with rheumatoid arthritis (RA), spondyloarthritis
(SpA), fibromyalgia (FM) and rheumatoid comorbidity treated in a specialized
health center (SHC) in Medellin, Colombia. METHODS: A cross-sectional analytical
study was performed with 93 RA patients, 30 SpA patients, 41 primary FM patients
and 48 secondary FM patients with a concurrent diagnosis of RA or SpA. A
demographic, socioeconomic, and clinical survey (the IPAQ, International Physical
Activity Questionnaire) and the SF-36 survey validated in Medellin were
administered. The statistical analyses were executed using SPSS 21.0 Results.
Significant differences were found in all HRQOL dimensions among the patients,
with physical performance perceived as the worst in the four groups. FM had the
worst HRQOL profile, whereas the least affected group was SpA. Patients with RA
and rheumatoid comorbidity shared similar HRQOL scores. The years of study, age
and economic satisfaction variables were associated with the physical
performance, vitality, social functioning, and mental health domains. CONCLUSION:
The HRQOL profile was negative in patients with rheumatic diseases and lower in
patients with FM. Additionally, variables or subgroups with greater deterioration
were identified. This information will be useful for health activities and
generate evidence in favor of incorporating HRQOL measurements into rheumatology
services to complement clinical evaluations.
PMID- 29362598
TI - Correlation between the wide range of tubal pathology discovered by routine
hysterosalpingography in a university hospital in Romania and the successful
pregnancy rate. A cohort study.
AB - RATIONALE: Hysterosalpingography is still the main method to begin with when
studying the causes of female impossibility to conceive a baby. OBJECTIVE: The
aim of this study is to correlate and evaluate the wide range of tubal pathology
discovered by routine hysterosalpingography in a university hospital in Romania
with the successful pregnancy rate. METHODS AND RESULTS: A total of 95
consecutive patients explored by routine hysterosalpingography in a university
hospital during 2015 and 2016 were included. Out of 173 fallopian tubes studied,
28.9% were occluded, 13.29% were almost occluded, and only 57.8% were patent. Of
these patients, 11 successful pregnancies occurred in 95 women (11.57%) until
September 2017. A number of 7 patients delivered a normal baby in our hospital
(7.36%). One patient was admitted at 36 weeks of gestation, and another one at 26
weeks of gestation, for risk of premature delivery. None of these two patients
delivered in our hospital. Two patients were admitted for miscarriage at 8 weeks
and 5 weeks of pregnancy. In all the 7 patients who delivered a normal baby, the
fallopian tubes were entirely visible (100%), whether they were patent or not.
DISCUSSION: To our knowledge, this is the largest study about
hysterosalpingography and the successful pregnancy rate in Romania so far.
ABBREVIATIONS: ART= Assisted reproductive technologies.
PMID- 29362599
TI - Effect of Dialyzable Leukocyte Extract on chronic cervicitis in patients with HPV
infection.
AB - : The objective of the study was to assess the clinical, histopathological and
immunochemical changes induced by dialyzable leukocyte extract (DLE) treatment in
patients with chronic cervicitis associated to HPV infection. Fifty-four female
Mexican patients diagnosed with chronic cervicitis, cervical intra-epithelial
neoplasia grade 1 (CIN 1) and HPV infection were divided into two groups:
patients treated with placebo and patients treated with DLE. Clinical and
colposcopy evaluations were performed before and after treatments. Cervix
biopsies were obtained to analyze histopathological features and to determine the
local immunological changes by immunohistochemistry analyses. Placebo-treated
patients showed no significant changes in the evaluated parameters.
Interestingly, in DLE-treated patients, clinical manifestations of cervicitis
diminished and 89% of them remitted the colposcopic lesions. Histological
analyses of biopsies from DLE-treated patients showed a decreasing leukocyte
infiltrate. Immunochemical analyses showed an increased expression of TGF-beta,
while expression of IFN-gamma, PCNA, and IL-32 decreased. Our results suggest
that DLE can stimulate innate immunity of cervical mucosae, diminishing chronic
cervicitis in HPV-infected patients. TRIAL REGISTRATION: Register ISRCTN16429164
Abbreviations: HPV = Human Papilloma Virus; DLE = Dialyzable leukocyte extract.
PMID- 29362600
TI - Palmitate-induced insulin resistance is attenuated by Pioglitazone and EGCG
through reducing the gluconeogenic key enzymes expression in HepG2 cells.
AB - HYPOTHESIS: Palmitate causes insulin resistance (IR) in insulin target tissue.
Pioglitazone (an anti-hyperglycemic agent) and epigallocatechin gallate (EGCG, a
dietary supplement) can be used for the treatment of type 2 diabetes. However,
their molecular effects on gluconeogenesis remain unclear. OBJECTIVE: Hence, we
aimed to investigate the simultaneous effect of these anti-hyperglycemic agents
on gluconeogenesis through in vitro experiments. METHODS: HepG2 cells were
treated with 0.5 mM palmitate, 10 MUM pioglitazone, and 40 MUM epigallocatechin
gallate (EGCG). Gene expression assay was used to investigate the underlying
mechanism. Glucose production assay was applied in culture medium to evaluate the
activity of gluconeogenesis pathway. RESULTS: Palmitate induced IR could
significantly increase G6Pase and PEPCK gene expressions by 58 and 30%,
respectively, compared to the control. EGCG reduced the expression of PEPCK and
G6Pase by 53 and 67%, respectively. Pioglitazone reduced the mRNA level of PEPCK
and G6Pase by 58 and 62% respectively. Combined treatment of insulin-resistant
cells with EGCG and pioglitazone significantly decreased the mRNA level of PEPCK
and G6Pase by 73 and 80%, respectively. Treatment with palmitate increased
glucose production by 50% in HepG2 cells. When the insulin resistant HepG2 cells
were treated alone with EGCG and pioglitazone, the glucose production reduced by
50 and 55%, respectively. The combined treatment with EGCG and pioglitazone
resulted in 69% reduction in glucose production compared to the palmitate treated
HepG2 cells. CONCLUSIONS: These data suggest the additive inhibitory effect of co
treatment with pioglitazone and EGCG on the gluconeogenesis pathway in palmitate
induced insulin resistance HepG2 cells.
PMID- 29362601
TI - Association pernicious anemia and autoimmune polyendocrinopathy: a retrospective
study.
AB - OBJECTIVE: To investigate the association between pernicious anemia and other
autoimmune diseases. METHODS: This retrospective and bicentric study was
conducted at Reims and Strasbourg University Hospitals and involved 188 patients
with pernicious anemia examined between 2000 and 2010 in order to search for
other autoimmune diseases and to evaluate the role of pernicious anemia in
autoimmune polyglandular syndrome. RESULTS: A total of 74 patients with a
combination of pernicious anemia and other autoimmune diseases were included in
the study. Our study revealed the privileged association of pernicious anemia
with autoimmune thyroiditis. The association of pernicious anemia and autoimmune
thyroiditis are a part of the autoimmune polyglandular syndrome type 3b.
CONCLUSION: We suggest undertaking a systematic clinical examination and
laboratory investigations in search of autoimmune thyroiditis in patient(s) with
the diagnosis of pernicious anemia. The association of pernicious anemia and
autoimmune thyroiditis is frequent and a part of autoimmune polyglandular 3b.
PMID- 29362602
TI - Histological Characteristics of Bruises with Different Age.
AB - BACKGROUND: In forensics bruises as injuries take an important part in the
interpretation of the causes of death. Since activating the inflammatory response
of the body in their formation, histological analysis of the bruised tissue can
provide data on the determination the time when the injury occurred. AIM: The aim
of this study is to compare the histological features of 1-day and 5-days old
bruises. MATERIAL AND METHODS: Bruised human skin samples, 1-day old in group A
and 5-day-old in group B, obtained at autopsy from individuals who died from a
violent death, were analyzed in this study. The qualitative microscopic analysis
was performed on serial paraffin sections of tissues stained with Hematoxylin
eosin and Pearls Prussian Blue method, using a light microscope connected to a
digital camera. RESULTS: Qualitative histological analysis of the studied group A
presented with fresh bruises, less than 24 hours old, showed ruptured smaller
vessels and extravasated red blood cells in the connective tissue of the skin,
with subsequent expansion and infiltration of fibrous septa of the skin. In the
area of bleeding an initial infiltration by macrophages was observed. In the
studied group B, presented with bruises 3-7 days old, histological analysis
showed a marked presence of hemosiderin-laden macrophages and presence of
hematoidin granules in the area of bleeding, as well as ruptured small blood
vessels and red blood cells extravasation in the dilated fibrous septa.
CONCLUSION: A detailed analysis of tissue changes in bruises every day from the
initiation until their recovery, a detailed description of the histological
finding can be given, which will be supported in the precise determination of the
age of the injuries themselves.
PMID- 29362603
TI - The Palm-Heart Diameter: A Prospective Simple Screening Tool for Identifying
Heart Enlargement.
AB - BACKGROUND: Several speculations have linked the size of the fist to be equal to
the size of the heart. However, the substantial scientific report still lacks to
support this theory. AIM: This study aims to provide the validity of the fist
heart assumption by correlating the palm and heart diameters while benchmarking
it as a reference tool for determining the normal heart size. MATERIALS AND
METHOD: Volunteers from the public were recruited during a health fair organised
by the school. A self-administered questionnaire for necessary information was
distributed after the volunteers signed the consent forms. The palm of both hands
was measured in duplicates using a flexible ruler. Ultrasound examination was
used in measuring the diameter of the heart with the landmark being from the
anterior fibrous pericardium to the lowest part of the posterior fibrous
pericardium. The level of significance was kept at P < 0.05. RESULTS: A total of
275 people, consisting of 123 males and 152 females participated in the study.
The age range was from 15 to 80 years with a mean age of 28.16 +/- 16.18. The
measurement showed that the size of both palms correlated with the heart
diameter, p < 0.05. Other factors such as age and height showed a substantial
level of correlation. However, this correlation ceased with older participants.
Palm size did not correlate among participants with previously diagnosed
prehypertension. However, participants with previously diagnosed hypertension
with good medication compliance maintained the correlation. CONCLUSION: This
study establishes the correlation between the palm and heart diameters. Since the
heart tissue and the upper limb share a similar embryonic origin, being the
mesoderm, this study prospects the fact that heart enlargement could be
preliminarily identified by measuring the size of the hand.
PMID- 29362604
TI - Impact of Size of the Tumour, Persistence of Estrogen Receptors, Progesterone
Receptors, HER2Neu Receptors and Ki67 Values on Positivity of Axillary Lymph
Nodes in Patients with Early Breast Cancer with Clinically Negative Axillary
Examination.
AB - AIM: The study aimed to identify factors that influence the positivity of
axillary lymph nodes in patients with early breast cancer and clinically negative
axillary lymph nodes, who were subjected for modified radical mastectomy and
axillary lymphadenectomy. MATERIAL AND METHODS: This study included 81 surgically
treated, early breast cancer patients during the period from 08-2015 to 05-2017.
All the cases have been analysed by standard histological analysis including
macroscopic and microscopic examination by routine H&E staining. For
determination of molecular receptors, immunostaining by PT LINK immunoperoxidase
has been done for HER2neu, ER, PR, p53 and Ki67. RESULTS: Patients age ranged
between 31-73 years, an average of 56.86 years. The mean size of a primary tumour
in the surgically treated patient was 20.33 +/- 6.0 mm. Axillary dissection
revealed from 5 to 32 lymph nodes, with an average of 14. Metastases have been
found in 1 to 7 lymph nodes, with an average 0.7. Only 26 (32.1%) of the patients
showed metastases in the axillary lymph nodes. The univariant regression analysis
showed that the size of a tumour and presence of HER2neu receptors on cancer
cells influence the positivity of the axillary lymph nodes. The presence of the
estrogen receptors, progesterone receptors have no influence on the positivity
for metastatic deposits of lymph nodes. Multivariant model and logistic
regression analysis as significant independent factors or predictors of
positivity of the axillary lymph nodes are influenced by the tumour size only.
CONCLUSION: Our study showed that the metastatic involvement of the axillary
lymph nodes is mainly influenced by the size of a tumour and presence of HER2neu
receptors in the univariant analysis. This point to the important influence of
positivity of the axillary lymph nodes but, in multi-variant regressive analysis
the lymph node status correlates with the tumour size only.
PMID- 29362605
TI - Influence of Interleukin-6 (174G/C) Gene Polymorphism on Obesity in Egyptian
Children.
AB - BACKGROUND: Obesity is a multi-factorial chronic disorder. A considerable number
of studies have been performed to figure out whether there is an association
between obesity and polymorphisms of gene IL-6 (174G/C), but the results are
equivocal. AIM: This study aimed to find out whether the IL-6 (174G/C) gene was
associated with the risk of developing obesity in Egyptian children. SUBJECTS AND
METHODS: The study included 149 children and adolescents with age ranged between
9.5 - 18 years. Eighty-five of them were obese which BMIZ-score is > 2, and sixty
four children with BMIZ-score <= 2 served as control group. Serum level of IL-6
and genetic analysis for IL-6 (174G/C) gene polymorphism were done. RESULTS:
Obese children had significantly higher serum levels of IL-6 as compared to those
of control children (P = 0.003). A high percentage of IL-6 polymorphism GC was
found in obese subjects (93.7%), while the control group had a higher percentage
of IL-6 polymorphism GG (70.6 %). CONCLUSION: Our study showed that carriers of
the C allele for the IL-6 (174G/C) polymorphism have higher BMI. As the G174C
polymorphism is likely to affect IL-6 expression and its physiological
regulation; consequently this polymorphism may affect adiposity.
PMID- 29362607
TI - A Comparative Study of Polymerase Chain Reaction-Restriction Fragment Length
Polymorphism and Fungal Culture for the Evaluation of Fungal Species in Patients
with Tinea Cruris.
AB - BACKGROUND: Tinea cruris is the second most common dermatophytosis in the world
and the most common in Indonesia. The conventional laboratory tests for
dermatophyte infection are slow and less specific. Polymerase Chain Reaction
Restriction Fragment Length Polymorphism (PCR-RFLP) is a PCR method with the
addition of enzyme after amplification, therefore enabling for more specific
results. AIM: This study aimed to find whether the PCR-RFLP test could yield the
same fungal species result as a fungal culture. METHODS: The specimens were skin
scrapings from thirty-one patients suspected tinea cruris. The tools and
materials that were used were Sabaroud's dextrose agar media, primer ITS 1 and
ITS 4 and MvaI. RESULTS: The equation percentage of the test result species
between PCR-RFLP and fungal culture was 50% of 12 subjects whose the test results
were both positive from the fungal culture and PCR-RFLP. The percentage of the
test result with fungal culture the fungal species were found, but in the PCR
RFLP test which the fungal species was not found, the percentage was 50% of 12
subjects which the test results were both positive as fungi from the culture and
PCR-RFLP test. CONCLUSIONS: The species from PCR-RFLP examination was the same
with the fungal culture.
PMID- 29362606
TI - Genistein Ameliorates Cyclophosphamide - Induced Hepatotoxicity by Modulation of
Oxidative Stress and Inflammatory Mediators.
AB - AIM: The present study investigated the protective effect of the phytoestrogen,
genistein (GEN), against (CP)-induced acute hepatotoxicity in rats. MATERIAL AND
METHODS: Male adult rats were randomly assigned into five groups. Normal control
group received the vehicles; CP group received a single dose of CP (200 mg/kg,
i.p). The other three groups received subcutaneous GEN at doses of 0.5, 1 and 2
mg/kg/day, respectively, for 15 consecutive days prior CP injection. Sera and
liver tissues were collected forty-eight hours after CP injection for assessment
of liver function enzymes (ALT and AST) in rat sera, the hepatic
oxidative/nitrosative biomarkers (GSH, MDA and NOx), hepatic interleukin-1beta,
and myeloperoxidase activity. Immunohistochemistry of cyclooxygenase-2 and
histopathological examination of liver tissues were also conducted. RESULTS: The
CP-induced acute liver damage was evidenced by elevated serum ALT and AST
accompanied by increased hepatic oxidative stress and inflammatory biomarkers.
Immunohistochemical outcomes revealed hepatic cyclooxygenase-2 expression in CP
group with distortion of liver architecture. GEN-pretreatment significantly
ameliorated the deterioration of liver function and exerted significant anti
oxidant and anti-inflammatory activity with a marked decline in hepatic
cyclooxygenase-2 expression in a dose dependent-manner. CONCLUSION: The present
study demonstrated that the antioxidant and anti-inflammatory activities of GEN
might contribute to its protective effects against CP-induced liver damage.
PMID- 29362608
TI - Rapid Identification of Aspergillus Fumigatus Using Betaeta-Tubulin and RodletA
Genes.
AB - AIM: The main purpose of the present study was to test the beta-tubulin and
rodletA genes for rapid identification of Aspergillus fumigatus. MATERIALS AND
METHODS: Fifty-one A. fumigatus strains including environmental, clinical and
reference isolates were tested in this research. PCR was carried out based on
betatub and rodA partial gene sequences. RESULTS: A 198 bp DNA fragment was
obtained using betatub gene. PCR amplification of the rodA gene resulted in a 313
bp band. The betatub and rodA genes PCR products exhibited a 100% homology with
the associated sequences in the GenBank. CONCLUSION: In the present study, we
used a PCR approach that was able to discriminate A. fumigatus from other related
species within the section Fumigati.
PMID- 29362609
TI - Hepatoprotective Effect of Camel Milk on Poloxamer 407 Induced Hyperlipidaemic
Wistar Rats.
AB - AIM: To investigate the effect of oral administration of camel milk on liver
enzymes, total proteins and histology of poloxamer 407 induced hyperlipidaemic
wistar rats. MATERIAL AND METHODS: Thirty male wistar rats weighing between 150
200 g were randomly assigned into six groups of five each; group I: administered
distilled water, group II: induced with P407, group III: induced with P407 and
treated with atorvastatin (20 mg/kg) and groups IV, V and VI: induced with P407
and treated with camel milk 250 mg/kg, 500 mg/kg and 1000 mg/kg respectively.
After three weeks, blood samples and liver tissues were collected for the
determination of alkaline phospatase (ALP), alanine aminotransferase (ALT),
aspartate aminotransferase (AST), total protein, albumin, globulin,
albumin/globulin ratio and histological studies respectively. RESULTS: All camel
milk treated groups showed significant (p < 0.05) decrease in ALT and AST. Camel
milk treated groups; 250 mg/kg and 1000mg/kg showed significant (p < 0.05)
decrease in total protein, globulin with all camel milk treated groups having
significant (p < 0.05) increase in A/G ratio. Histological examination of liver
tissues showed that camel milk at a dose of 250 mg/kg had slight adipocytes
infiltration. CONCLUSION: The results of our findings highlight the
hepatoprotective effect of camel milk in poloxamer 407 induced hyperlipidaemic
wistar rats.
PMID- 29362610
TI - A Comparative Study of Rat Lung Decellularization by Chemical Detergents for Lung
Tissue Engineering.
AB - BACKGROUND: Lung disease is the most common cause of death in the world. The last
stage of pulmonary diseases is lung transplantation. Limitation and shortage of
donor organs cause to appear tissue engineering field. Decellularization is a
hope for producing intact ECM in the development of engineered organs. AIM: The
goal of the decellularization process is to remove cellular and nuclear material
while retaining lung three-dimensional and molecular proteins. Different
concentration of detergents was used for finding the best approach in lung
decellularization. MATERIAL AND METHODS: In this study, three-time approaches
(24, 48 and 96 h) with four detergents (CHAPS, SDS, SDC and Triton X-100) were
used for decellularizing rat lungs for maintaining of three-dimensional lung
architecture and ECM protein composition which have significant roles in
differentiation and migration of stem cells. This comparative study determined
that variable decellularization approaches can cause significantly different
effects on decellularized lungs. RESULTS: Results showed that destruction was
increased with increasing the detergent concentration. Single detergent showed a
significant reduction in maintaining of three-dimensional of lung and ECM
proteins (Collagen and Elastin). But, the best methods were mixed detergents of
SDC and CHAPS in low concentration in 48 and 96 h decellularization. CONCLUSION:
Decellularized lung tissue can be used in the laboratory to study various aspects
of pulmonary biology and physiology and also, these results can be used in the
continued improvement of engineered lung tissue.
PMID- 29362611
TI - Antigenotoxic and Antioxidant Activity of Methanol Stem Bark Extract of Napoleona
Vogelii Hook & Planch (Lecythidaceae) In Cyclophosphamide-Induced Genotoxicity.
AB - BACKGROUND: Napoleona vogelii is used in traditional medicine for cancer
management. AIM: The study was conducted to evaluate the antigenotoxic and
antioxidant activities of methanol stem bark extract of N. vogelii in male
Sprague Dawley rats. MATERIALS AND METHOD: Thirty male Sprague Dawley rats were
randomly divided into group 1 (control) administered 10 mL/kg distilled water,
groups 2 and 3 were co-administered 100 mg/kg, 200 mg/kg of N. vogelli and 5
mg/kg cyclophosphamide (CPA) respectively for 7 days p.o. Groups 4 and 5 were
administered only 5 mg/kg CPA and 200 mg/kg NV respectively. RESULTS: The LD50
oral was greater than 4 g/kg. There were significant (p < 0.0001) increases in
plasma enzymatic and non-enzymatic antioxidant enzymes and significant (p <
0.0001) decrease in percentage micronuclei in bone marrow of extract treated rats
compared to rats administered 5 mg/kg CPA alone. There was steatosis pointing to
cytotoxic injury in the liver of rats co-administered 200 mg/kg NV and 5 mg/kg
CPA. Gas chromatography-mass spectrometry analysis of the extract showed the
presence of phytol and unsaturated fatty acids. CONCLUSION: N. vogelii possesses
antigenotoxic and antioxidant activities associated with the presence of
phytochemicals, phytol and unsaturated fatty acids.
PMID- 29362612
TI - Hepatitis B virus Genotypes in West Azarbayjan Province, Northwest Iran.
AB - BACKGROUND: Infections caused by Hepatitis B are one of the world health's most
serious problems. According to assessments, nearly 500,000 to 1.2 million people
die each year due to chronic hepatitis, cirrhosis of the liver and hepatocellular
carcinoma. Hepatitis B is one of the diseases which can be transferred through
blood and its products. Clinical importance of genotypes of hepatitis B virus and
their relations with mutations are well known. AIM: Since epidemiological data
resulting from determining genotypes and sub-genotypes of hepatitis B can help a
lot in defining a vaccination plan, antiretroviral therapy, detection and
prevention of diseases, genotypes of this virus in hepatitis B patients were
evaluated in West Azarbaijan province. MATERIALS AND METHODS: In this cross
sectional study, serum samples of 100 hepatitis B patients (70 male/30 female)
were taken randomly from Urmia University of Medical Sciences (UMSU) referrals,
Urmia, Iran; and were tested positive for the presence of surface antigens of
hepatitis B virus (HBsAg) using ELISA method. In the first method, after
extracting the DNA of the virus, sequencing of S genes was carried out using
Sanger method, and the sequences were aligned and edited using Bioedit software.
In the next step, phylogenic analysis of the sequences was done in comparison
with the reference sequences which were extracted from a gene bank, utilising
Neighbour-joining assay method with CLUSTRAL W software. To ensure genotyping
accuracy, the samples were tested once more, using Nested PCR method. RESULTS:
The results were consistent with the sequence method and the dominant genotype in
patients suffering hepatitis was type D. In other words, Iranian's HBV genotypic
types are homogeneous and in close coordination with each other. CONCLUSIONS: The
results reveal that D genotype is the main genotype of HBV in West Azarbayjan
province, northwest Iran. Presence of this genotype was in conformity conformed
withto the low rate of acute liver diseases caused by hepatitis B chronic
infection, cirrhosis of the liver and hepatocellular carcinoma.
PMID- 29362614
TI - Effects of Pleuran (Beta-Glucan from Pleurotus Ostreatus) Supplementation on
Incidence and Duration of COPD Exacerbations.
AB - BACKGROUND: 1,3/1,6-beta-glucans are recognised as immunomodulators in human and
veterinary medicine for over 50 years. AIM: To assess the effects of pleuran
(1,3/1,6-beta-glucan from Pleurotus ostreatus) on incidence and duration of
bacterial exacerbations in patients with COPD. METHODS: We performed an
observational, non-randomized, open-label study including 32 COPD patients (Group
D) in whom besides the recommended chronic treatment for the stable disease were
administered supplement combination containing pleuran 100 mg, vitamin C 60 mg
and zinc 5 mg once daily over a three month-period (Group 1). Also, an equal
number of Group D COPD patients who besides the recommended treatment for stable
disease received the supplement combination containing vitamin C 60 mg and zinc 5
mg once daily, matched to the study subjects of the Group 1 by sex and age served
as control (Group 2). RESULTS: Over the study period 57 exacerbations (24 in the
Group 1 and 33 in the Group 2) were documented. A mean number of exacerbations
over the study period was significantly lower in the Group1 (0.7 +/- 0.4) as
compared to their mean number in the Group 2 (1.0 +/- 0.6) (P = 0.0218).
Furthermore, a mean duration of exacerbations expressed in days needed for cure
or clinical improvement (i.e. complete resolution of symptoms or return of the
symptoms to their baseline severity) in the Group 1 (6.7 +/- 0.8 days) was
significantly shorter than the mean duration of exacerbations in the Group 2 (7.4
+/- 1.3 days) (P = 0.0118). There was not reported any adverse effect during the
study period by study subjects from both examined groups. CONCLUSION: Our
findings indicated that pleuran might impact the incidence and duration of
bacterial exacerbations in patients with COPD. There is a need for further
studies for more precise determination of the influence of pleuran on the course
of COPD.
PMID- 29362613
TI - Protective Effects of the Third Generation Vasodilatory Betaeta - Blocker
Nebivolol against D-Galactosamine - Induced Hepatorenal Syndrome in Rats.
AB - BACKGROUND: Renal dysfunction is very common in patients with advanced liver
cirrhosis and portal hypertension. The development of renal failure in the
absence of clinical, anatomical or pathological causes renal of failure is termed
hepatorenal syndrome (HRS). AIM: The present study was constructed to investigate
the possible protective effects of nebivolol (Nebi) against D-galactosamine (Gal)
induced HRS in rats. MATERIAL AND METHODS: Rats were treated with Nebi for ten
successive days. On the 8th day of the experiment, they received a single dose of
Gal. Serum levels of Cr, BUN, Na+ and K+ as well as AST, ALT, total bilirubin
(TB), NH3 and endothelin-1 (ET-1) were determined following Gal administration.
Moreover, renal and liver contents of MDA, GSH, F2-isoprostanes (F2-IPs), tumor
necrosis factor-alpha (TNF-alpha), nuclear factor kappa-B (NF-kappaB), total
nitric oxide (NO), in addition to activities of caspase-3 (Cas-3), heme oxygenase
1 (HO-1), inducible and endothelial NO synthase (iNOS and eNOS) enzymes were also
assessed. Finally, histopathological examination was performed. RESULTS: Nebi
attenuated Gal-induced renal and hepatic dysfunction. It also decreased the Gal
induced oxidative stress and inflammatory recruitment. CONCLUSION: Results
demonstrated both nephroprotective and hepatoprotective effects of Nebi against
HRS and suggested a role of its antioxidant, anti-inflammatory, anti-apoptotic
and NO-releasing properties.
PMID- 29362616
TI - Survival of Advanced Stage High-Grade Serous Ovarian Cancer Patients in the
Republic of Macedonia.
AB - AIM: The primary objective of the study was to evaluate the overall survival of
women with advanced stage (Stage IIIA-IV) high-grade serous ovarian cancer in
Macedonia. MATERIALS AND METHODS: The study was a cross-sectional medical record
review of patients diagnosed with advanced stage HGSC. Patients were deemed
eligible for inclusion if they were diagnosed with an advanced stage (Stage IIIA
IV) HGSC of the ovary, fallopian tube or peritoneum between 2009 and 2015. The
data were analyzed in a descriptive fashion and summary statistics were provided,
as appropriate. Survival was calculated using the Kaplan-Meier method. RESULTS: A
total of 81 eligible patients were identified and included in the study. The
average overall survival in the studied cohort was 46.59 months (95%CI = 39.11
54.06). Patients that were optimally debulked and patients that had a platinum
free interval larger than 12 months had significantly longer survival in the
current series (p < 0.001). CONCLUSION: the average overall survival of advanced
stage HGSC patients in the studied series was 46.59 months (95%CI = 39.11-54.06).
Patients aged 65 years or younger tended to live approximately ten months longer
than patients older than 65 years, but this difference was not statistically
significant. There was no difference in HGSC survival in the groups of patients
with grade 2 and grade 3 disease. However, optimal surgical debulking and
platinum sensitivity were associated with significantly better overall survival.
PMID- 29362615
TI - Relationship between Vitamin D, Inflammation and Lung Function In Patients with
Severe Uncontrolled Asthma.
AB - BACKGROUND: Recently epidemiological studies showed that low vitamin D is linked
to airway hyperresponsiveness, decreased lung function, poor asthma control, and
steroid-resistant asthma. AIM: We investigated the relationship between Vitamin
D, inflammation with circulating IL-33 and lung function in 30 patients with
severe uncontrolled asthma. MATERIALS AND METHODS: The study included 30 patients
with severe uncontrolled asthma. In each of them were measured serum levels of IL
33 and Vitamin D by the ELISA method. The pulmonary function is measured by basic
spirometry parameters, FEV1. The results were statistically elaborated according
to the Pearson's Correlation Tests. RESULTS: The results showed statistically
insignificant correlation between Vitamin D and IL-33, and Vitamin D with FEV1
(Vit.D/IL-33; r = 0.11323, p = 0.551); (Vit.D/FEV1; r = -0.1005; p = 0.597)
Correlation between IL-33 and FEV1 is negative but statistically significant (IL
33/FEV1; r = -0.5248; p = 0.003). CONCLUSION: Because there are little studies
about the link between vitamin D and asthma, further research to clarify the
mechanism how vitamin D control the activity of CD4+ T cells and the related Th2
type cytokines in the parthenogenesis of asthma.
PMID- 29362617
TI - The Predictive Role of Procalcitonin On the Treatment of Intra-Abdominal
Infections.
AB - AIM: This study aims to evaluate the algorithm of procalcitonin (PCT) and its
role on the duration of antibiotics prescription for intra-abdominal infections.
MATERIALS AND METHODS: This study is a prospective controlled study that is
conducted in groups of 50 hospitalised patients and 50 controlled group patients.
RESULTS: The results indicated that the average duration of antibiotic delivery
to the PCT group was -10.6 days (SD +/- 6.6 days), while in the control group
13.2 days (SD +/- 4.2 days). These data showed a significant difference in the
duration of antibiotic therapy and the monitoring role of PCTs in the prediction
success of antibiotic treatment. The antibiotic delivery was longer in the septic
shock 17 (SD +/- 11.7) that corresponds to high PCT values of 67.8 (SD +/- 50.9).
Recurrence of the infection after the cessation of antibiotics occurred in 2
cases (4%) in the standard group, while it occurred in 3 cases (6%) in the
control group. CONCLUSION: The treatment of the intra-abdominal infections based
on the PCT algorithm shortens the duration of antibiotic treatment and does not
pose a risk for the recurrence of the infection.
PMID- 29362618
TI - Correlation between the Montreal Cognitive Assessment-Indonesian Version (Moca
INA) and the Mini-Mental State Examination (MMSE) in Elderly.
AB - BACKGROUND: As the rapid growth of the elderly population and the increased
prevalence of Alezheimer's Disease and related disorders, there is an increasing
need for effective cognitive screening. The Mini Mental State Examination (MMSE)
is the most frequently used screening test of cognitive impairment because of its
convenience. The Montreal Cognitive Assessment-Indonesian Version (MoCA-INA) has
been validated and recently been used as a cognitive screening tool. OBJECTIVES:
The aim of this study was to compare the MMSE and MoCA-INA scores and to
determine the correlation between the MMSE and MoCA-INA scores in elderly.
MATERIAL AND METHODS: This was a cross-sectional study including 83 elderly
subjects from November 2016 until June 2017. We performed MMSE and MoCA-INA for
assessment of cognitive function and the time between each test was at least 30
minutes. RESULTS: The study included 83 subjects which were consisted of 46
(55.4%) males and 37 (44.6%) females. The mean age was 69.19 +/- 4.23 ranging
from 65 to 79 years old. The average MMSE scores was 24.96 +/- 3.38 (range 14 to
30). The average MoCA-INA scores was 21.06 +/- 4.56 (range 5 to 30). The Pearson
correlation coefficient between the scores was 0.71 (p<0.005). There were no
significant differences of both scores based on history of hypertension, diabetes
mellitus and previous stroke, but there was a significant difference in MMSE
scores based on level of education. CONCLUSION: The MoCA-INA score showed a good
correlation with the MMSE score. Both tests showed comparable results but MoCA
INA showed lower average with wider range of scores.
PMID- 29362619
TI - Kosovo's Experience for Children with Feeding Difficulties after Cardiac Surgery
for Congenital Heart Defect.
AB - BACKGROUND: A feeding disorder in infancy and during childhood is a complex
condition involving different symptoms such as food refusal and faddiest, both
leading to a decreased food intake. AIM: We aimed to assess the prevalence and
predictor factors of feeding difficulties in children who underwent cardiac open
heart surgery in neonatal period and infancy. We address selected nutritional and
caloric requirements for children after cardiac surgery and explore nutritional
interdependence with other system functions. METHODS: This was a retrospective
study in a tertiary referral hospital, and prior approval from the institutional
ethics committee was obtained. Information for 78 children (42 male and 36
female) was taken from patients charts. Data were analysed with descriptive
statistics and logistic regression. RESULTS: From a cohort of analysed children
with feeding problems we have occurred in 23% of such cases. At the time of the
study, refusal to eat or poor appetite was reported as a significant problem in
19 children and subnormal height and weight were recorded in 11 children. Early
neonatal intervention and reoperation were identified as risk factors for latter
feeding difficulties or inadequate intake. Children with feeding problems also
tended to eat less than children without feeding problems. There was a trend
towards more feeding problems in patients with chromosomal abnormalities or other
associated anomalies. CONCLUSION: Feeding disorder is often and a frequent long
term sequel in children after neonatal or early infancy heart surgery. Patients
with chromosomal and associated anomalies who underwent multiple cardiac
surgeries are at risk of developing feeding difficulties.
PMID- 29362620
TI - Compare Of the West Syndrome with Other Syndromes in the Epileptic Encephalopathy
- Kosovo Experience.
AB - BACKGROUND: West Syndrome (WS) represents as a specific epileptic encephalopathy
characterised with a unique type of attacks, called infantile spasms, severe
forms of abnormalities in electroencephalographic (EEG) records as a
hypsarythmias and delays in the psychomotoric development. The characteristics of
the disease, mostly affecting male gender, are infantile spasms and typical
findings in EEG as a hypsarythmia. Infantile spasms are a consequence of many
factors in the undeveloped brain. AIM: We aimed: (1) to see the incidence of the
illness and the spreading out because of gender in rapport with other syndromes
in the epileptic encephalopathies group; (2) to show principles of the treatment
for the illness; and (3) to present the effects of the disease in the psycho
motoric development of affected children. METHODS: The study was designed as a
cross-sectional study of the patients with epileptic encephalopathies, treated in
Paediatric Clinic in Prishtina, from 1st of January 2013 until the 31st of
December 2015. RESULTS: From the cohort group of 97 children diagnosed with
epileptic encephalopathies, in 14 of them clinical and EEG signs of WS were
noted. The earliest age of disease manifestation was 74 days (+/- 63.8 days). On
the group of children with WS, 13 of them with Natrium Valpropat were treated,
with the doses of 301.9 mg (+/- 64.1). From the cohort group, in 89 children
(91.8%) psychomotoric retardation was documented, within the higher reoccurrence
in the undifferentiated epileptic encephalopathies (96%) and the WS (78.6%).
CONCLUSION: WS is a frequent disease of the encephalopathies with the
epileptogenic framework. The resistance in anticonvulsive therapy is huge, and
psychomotoric retardation follows a big percentage of children with this
syndrome.
PMID- 29362621
TI - Assessment of the Correlation between Severity of Coronary Artery Disease and
Waist-Hip Ratio.
AB - AIM: This study was conducted to investigate the correlation between waist/hip
ratio (WHR) as a measurement of obesity and severity of coronary artery disease
(CAD) assessed by angiography in Kosovo. METHODS: The study included 82 patients
with suspected or known CAD who were referred for coronary angiography. All
patients were subjected to full individual medical history, clinical examination
including measurement of arterial blood pressure, body weight, height, body mass
index (BMI), waist circumference, hip circumference, waist/hip ratio, and
waist/height ratio. Coronary angiography was performed using standard techniques
to determine the presence and severity of coronary artery lesions with the
Gensini score. RESULTS: Among the 82 patients in the study, the mean age in the
CAD group was 66.76 +/- 9.12 years and the mean age in the non-CAD group was
64.80 +/- 8.30 years. Patients in the CAD group had a mean BMI of 28.17 +/- 3.32
kg/m2 and those in the non-CAD group had a mean BMI of 28.76 +/- 4.68 kg/m2.
Patients in the CAD group had a mean waist/height ratio of 1.76 +/- 7.56 and
those in the non-CAD group had a mean waist/height ratio of 0.57 +/- 0.08.
Patient in the CAD group had a mean waist/hip ratio of 0.93 +/- 0.06 and those in
the non-CAD group had a mean waist/hip ratio of 0.88 +/- 0.07. Thirty-seven
patients (45.1%) had no coronary artery disease (Gensini score = 0), 15 (18.3%)
had mild disease (Gensini score = 1-32), 14 (17.1%) had moderate disease (Gensini
score = 32-58), and 16 (19.5%) had severe disease (Gensini score >= 58).
CONCLUSION: There was a significant positive correlation between waist/hip ratio
and presence of CAD in Kosovar patients.
PMID- 29362622
TI - Apelin, Nitric Oxide and Vascular Affection in Adolescent Type 1 Diabetic
Patients.
AB - AIM: To evaluate the relationship of apelin and nitric oxide (NO) to endothelial
dysfunction in type 1 diabetics. PATIENTS AND METHODS: Sixty two type 1 diabetics
and 30 healthy age and sex matched controls were included. Blood samples for
apelin, NO, glycosylated hemoglobin (HbA1c), and lipid profile were collected.
Albumin/creatinine ratio was assessed in urine. Flow mediated dilatation (FMD)
via ultrasound was done. RESULTS: The mean age of diabetics were 16.3 +/- 1.5 yrs
(14.0 - 19.0 yrs), and duration of disease, were 9.4 +/- 2.9 yrs (5.0 - 16.5
yrs). FMD and FMD/nitrate mediated dilatation (NMD) ratio were lower in
diabetics. NO was decreased, while apelin and albumin/creatinine ratio were
increased significantly in diabetics. There was a positive correlation between
apelin and HbA1c. On the contrary, NO had a negative correlation with HbA1c,
albumin/creatinine ratio, LDL-c and OxLDL. CONCLUSION: Diabetic patients had
endothelial dysfunction and high apelin level, with no related to each other.
High level of apelin is associated with bad glycemic control. Obesity had no role
to increase in apelin level. NO is related to diabetic nephropathy and
atherosclerosis. We recommend a further large study to evaluate the relationship
of apelin with endothelial dysfunction.
PMID- 29362623
TI - The Prevalence and Risk Factors of Early Arrhythmias Following Pediatric Open
Heart Surgery in Egyptian Children.
AB - AIM: This study aimed to assess the prevalence of early postoperative arrhythmias
after cardiac operation in the pediatric population, and to analyse possible risk
factors. MATERIAL AND METHODS: Cross-sectional study included 30 postoperative
patients, with age range four up to 144 months. They were selected from those
admitted to the Cardiology Unit in the Pediatric department of Ain Shams
University hospitals, after undergoing cardiopulmonary bypass (CPB) surgery for
correction of congenital cardiac defects. All patients had preoperative sinus
rhythm and normal preoperative electrolytes levels. All patients' records about
age, weight, type of surgery, intraoperative arrhythmias, cardiopulmonary bypass
time, ischemic time and use of inotropic drugs were taken before they were
admitted to the specialised pediatric post-surgery intensive care unit (ICU).
RESULTS: Arrhythmia was documented in 15 out of 30 patients (50%). Statistically
significant difference between the arrhythmic and non-arrhythmic group were
recorded in relation to the age of operation (23 vs 33 months), weight (12 vs. 17
kg), ischemic time (74.5 vs. 54 min), cardiopulmonary bypass time (125.5 vs.
93.5min), inotrope use (1.6 vs. 1.16) and postoperative ICU stay (5.8 vs. 2.7
days), P<0.05. CONCLUSION: Early postoperative arrhythmias following surgery for
congenital heart disease are relatively frequent in children (50%). Younger age,
lower body weight, longer ischemic time and bypass time, and more inotrope use
are all risk factors for postoperative arrhythmias and lead to increase the
hospital stay.
PMID- 29362624
TI - Profile of Skin pH in Leukaemia's Children with Chemotherapy Treatments at Haji
Adam Malik General Hospital, Medan.
AB - BACKGROUND: One of the treatments for leukaemia is chemotherapy. Side effects and
toxicity of this treatment can be seen on the skin, adnexal, and mucous
membranes. They might increase potential hydrogen (pH) value on the skin surface,
therefore, disrupting epidermal barrier defences. AIM: To describe the pH of the
skin in children with leukaemia who received chemotherapy. SUBJECT AND METHOD:
This study was an observational descriptive, cross-sectional study, conducted
from March until December 2016 with 32 children with leukaemia who treated at
Haji Adam Malik General Hospital, Medan as subjects. Skin pH was measured by a pH
meter. RESULT: We found mean skin pH in ALL (6.28 +/- 0.58), CML (5.9 +/- 0) and
AML (6.5 +/- 0.50). The mean skin pH after 1-5 weeks of chemotherapy was 6.13 +/-
0.49, at 6-10 weeks (6.32 +/- 0.51), and at 11-15 weeks (7.12 +/- 0.36). The mean
skin pH of patients with two drugs (5.98 +/- 0.44), four drugs (6.28 +/- 0.55),
and six drugs (6.63 +/- 0.56). CONCLUSION: The highest mean of skin pH were
obtained in AML group, 11-15 weeks length of chemotherapy and group with six
drugs regimen.
PMID- 29362625
TI - A Comparison of the Quality of Sleep between Pre and Post-Surgery Cervical
Herniated Nucleus Pulposus Patients Utilizing the Anterior Discectomy Method.
AB - BACKGROUND: Herniated Nucleus Pulposus (HNP) is the prolapse of the
intervertebral disk through a tear in the annulus fibrosus. This causes nerve
root compression with clinical pain manifestation and affects the quality of
sleep. AIM: The aim of this study was find out the comparison in the quality of
sleep between before (pre) and after (post) surgery cervical HNP patients.
METHODS: This study was a retrospective cohort study. Ninety patients were asked
to complete the Pittsburgh Sleep Quality Index (PSQI) questionnaire. All data
which has been computed were analysed with the McNemar test. RESULT: The outcome
reveals that from 90 patient's cervical HNP, 81 (90%) were 40 years old age group
and 66 (73.3%) of them were women. The result showed that 66 (73.3%) patients
have a bad sleep quality before surgery. Surgery has increased the quality of
sleep after surgery 66 (73.3%) patients had good sleep quality. There was a
significant difference in the quality of sleep pre and post operation (p =
0.001). CONCLUSION: There was a significant difference in the quality of sleep
between pre and post operation cervical HNP patient utilising anterior discectomy
methods.
PMID- 29362626
TI - Comparative Study on Adding Pioglitazone or Sitagliptin to Patients with Type 2
Diabetes Mellitus Insufficiently Controlled With Metformin.
AB - BACKGROUND: Diabetes mellitus is a progressive disorder that often requires
combination therapy. AIM: This study aimed to compare and study of add-on
sitagliptin versus pioglitazone in patients with type 2 diabetes inadequately
controlled with metformin. METHODS: This 12-week, randomised, open-label and
single centre study compared sitagliptin (100 mg daily, n = 80) and pioglitazone
(30 mg daily, n = 80) in type 2 diabetic patients whose disease was not
adequately controlled with metformin. RESULTS: The mean change in HbA1c from
baseline was -1.001 +/- 0.83 with sitagliptin and -0.75 +/- 1.20 with
pioglitazone, and there were no significant difference between groups (P =
0.132). The mean change in fasting blood sugar (FBS) was -18.48 +/- 33.32 mg/dl
with sitagliptin and -20.53 +/- 53.97 mg/dl with pioglitazone, and there were no
significant difference between groups (P = 0.773). Sitagliptin caused 1.08 +/-
2.39 kg decrease in weight, whereas pioglitazone caused 0.27 +/- 2.42 kg increase
in weight, with a between-group difference of 0.81 kg (P < 0.001). On the other
hand, in sitagliptin group, there was greater improvement in lipid profile than
pioglitazone group. CONCLUSION: Sitagliptin and Pioglitazone demonstrated similar
improvements in glycemic control in type 2 diabetes mellitus patients whose
diabetes had been inadequately controlled with metformin. Nevertheless,
sitagliptin was more effective than pioglitazone regarding lipid and body weight
change.
PMID- 29362627
TI - PET Scan Misses Cutaneous Melanoma Metastasis with Significant Tumour Size and
Tumour Thickness.
AB - BACKGROUND: Although PET-scan is an advanced, innovative and widely used method
for monitoring patients with different types of cancer diseases, it is important
to note that its application in patients with cutaneous melanoma is limited and
should be reconsidered. CASE REPORT: To affirm this new statement, we are
presenting a case from our clinical practice of a patient with melanoma of the
interdigital space (with resected in sano primary melanoma and performed complete
lymphadenectomy) that showed locoregional and systemic progression in two months
post operation. The PET scan performed within the second hospitalization (and
before the second operation) did not detect the presence of any cutaneous
metastases, which were clinically and histologically verified after the second
operative procedure. CONCLUSIONS: This data suggests that shortly more reliable
and sensitive imaging methods for monitoring patients with cutaneous melanoma
should be found. Having in mind that our patient has been operated twice in the
area of the primary lesion (as the surgical wound underwent secondary healing),
theoretically, the abundant cicatrization could have led to reduced glucose
uptake in the surrounded cancerous tissue. Monitoring of a larger number of
patients with locoregional metastases and surgical interventions in different
locations would shed light on the observations shared by us.
PMID- 29362628
TI - Cerebral Venous Thrombosis in a Patient with Iron Deficiency Anemia and
Thrombocytopenia: A Case Report.
AB - AIM: To report a potential association of thrombosis, thrombocytopenia with iron
deficiency anaemia. CASE REPORT: A 43-year-old female experienced an episode of a
headache, with bilateral papilledema by neurological examination, magnetic
resonance venography (MRV) brain showed cerebrovenous thrombosis (CVT), iron
deficiency anaemia and thrombocytopenia by blood investigations, that was treated
with iron supplementations and anticoagulation. CONCLUSION: In this patient,
cerebrovenous thrombosis (CVT) was discovered in a patient with thrombocytopenia
and iron deficiency anaemia and treated with iron supplements and
anticoagulation, we concluded that thrombocytopenia is not a protective factor
against thrombosis especially with iron deficiency anaemia.
PMID- 29362629
TI - Primary Solitary Melanoma of the Lymphatic Nodes Or a Single Metastasis of
Unknown Melanoma: Do We Need a New Staging System?
AB - BACKGROUND: Malignant melanoma is a disease which has a cutaneous origin in 90%
of the patients, but in rare cases, it could be discovered as secondary deposits
with unknown primary site. Metastatic Malignant Melanoma occurs without a primary
site in about 3% of all melanoma patients, and it could be divided into two main
groups: metastatic lymph nodes' involvement or non-lymph nodes disease. The lack
of unified classification and staging system, provided by AJCC (2009), as well as
the lack for curtain diagnostic and therapeutic protocol, prompt us to raise the
question what is the right way to precede in cases of metastasis of the lymph
nodes, without evidence of a primary tumour? CASE REPORT: We report a case of 67
years- old woman who presented in the dermatology clinic after a surgical removal
of an enlarged lymph node in her left femoral area, verified histologically as a
metastasis of melanoma. After a diagnostic refinement in the clinic, the
diagnosis of metastasis of malignant melanoma was confirmed by histology
revision. We use the presented case to create for the first time in the world
literature a novel stereotype of thinking, which is also followed by a stereotype
of clinical behaviour - gentle to the patient, but providing a certain amount of
security and satisfaction for the medical staff. CONCLUSION: The affection of a
single lymph node in the absence of a primary tumour should not automatically
lead to the conclusion that it is a single metastasis, but rather a primary
melanoma of the lymph nodes, in cases of a negative PET scan, for example. In
these cases, the measuring of the tumour thickness should guide the further
therapeutic behaviour and determine the approach.
PMID- 29362630
TI - Spontaneous Anterior Lens Capsule Rupture Of a Patient with Alport Syndrome - A
Case Report.
AB - BACKGROUND: Alport syndrome is a progressive genetic disease which is
characterised by glomerulonephritis, sensorineural deafness and ocular
abnormalities. We aimed to present a clinical case of a patient with Alport
syndrome with spontaneous anterior lens capsule rupture. CASE REPORT: A 16-year
old male with histologically proven Alport syndrome was hospitalised in the
Department of Ophthalmology, University Hospital "Prof. Stoyan Kirkovich", Stara
Zagora with low vision, pain, redness, high IOP and rupture of the anterior
lenticular capsule of the right eye. Phacoaspiration was successfully performed
(Millenium, Bausch& Lomb, Rochester, New York, USA) with the bimanual irrigation
aspiration system (Geuder AG, Heidelberg, Germany) with excellent visual results.
CONCLUSION: As the syndrome is quite rare and can lead to diagnostic difficulties
for the ophthalmologist complications such as spontaneous or traumatic rupture of
the capsule are not uncommon. In such cases, phacoaspiration is an efficient
method for clear lens extraction after ruptures of the anterior lenticular
capsule of young patients with Alport syndrome.
PMID- 29362631
TI - Prevalence of Oral Mucosal Lesions and Their Association with Severity of
Psoriasis among Psoriatic Patients Referred To Dermatology Clinic: A Cross
Sectional Study in Kashan/Iran.
AB - BACKGROUND: Psoriasis is a common inflammatory papulosquamous disease of the skin
with unknown aetiology that may be associated with the abnormal T-cell function.
AIM: This study was aimed to determine the prevalence of oral mucosal lesions and
their associations with severity of psoriasis in psoriatic patients attending the
dermatologic clinic of Shahid Beheshti Teaching Hospital at Kashan, Iran.
METHODS: In this cross-sectional descriptive analytic study, all consecutive
patients with psoriasis who referred to the dermatologic clinic at the Shahid
Beheshti Hospital of Kashan University of Medical Sciences in Kashan City/ Iran
were enrolled. All patients were examined for the presence of oral lesions, and
the clinical and epidemiological features of the disease were recorded in a
questionnaire for each patient. A biopsy was taken from atypical suspected
patients with oral lesions by an expert dermatologist. Data were analysed using
the Statistical Package for Social Science (Version 18). Descriptive statistics
tests; Cross tabulation and Chi-square with Fisher's exact test were used.
RESULTS: Of total 177 psoriatic patients, 62 cases (35%) of patients were male,
and 115 cases (65%) were female. Mean age of patients was 31.71 years. The oral
lesion was seen in 83 cases (46.9%). Fissure tongue (28.2%) and geographic tongue
(4.5%) were the most common oral lesions. The prevalence of oral lesions in
patients with psoriasis significantly was associated with age, history of oral
lesions, and with the onset of the oral lesions (P < 0.05). The most common form
of psoriasis was chronic plaque form (Psoriasis Vulgaris) observed in 147 cases
(83.1). The majority of patients (67.2%) were suffering from a mild form of the
disease. Psoriasis Area and Severity Index (PASI) score of most patients was
mild. CONCLUSIONS: The prevalence of oral lesions such as Fissure tongue and
geographic tongue is higher in psoriatic patients suggests further studies for
approving the clinical importance of these apparently nonspecific lesions as
possible predictors or markers of the severity of the cases suspected to
Psoriasis disease.
PMID- 29362632
TI - Temporomandibular Disorders Treatment with Correction of Decreased Occlusal
Vertical Dimension.
AB - BACKGROUND: The term decreased occlusal vertical dimension refers to the reduced
distance between two anatomical points while the teeth are in a state of
occlusion. The development of this situation is about some parafunctional
activities of the masticatory system. AIM: To evaluate the value of decreased
occlusal vertical dimension in cases with temporomandibular disorder and to
follow up the influence of corrective treatment with occlusal splints and
definitive prosthetic construction upon the elimination of clinical symptoms.
MATERIAL AND METHODS: Eight cases with decreased occlusal vertical dimension
accompanied with temporomandibular disorders were treated with an occlusal
splint, as part of reversible occlusal treatment. After reducing, or complete
elimination of the symptoms related to problems of decreased occlusal vertical
dimension, the definitive prosthetic therapy was performed. RESULTS: The mean
value of decreased occlusal vertical dimension in our patients is 8.5 mm, and the
mean value of therapy time with an occlusal splint in these patients was 3.5
months. CONCLUSION: Occlusal splint is a part of reversible occlusal therapy in
cases with decreased occlusal vertical dimension. After reducing the symptoms
related to decreased occlusal vertical dimension definitive prosthetic therapy
can be done.
PMID- 29362633
TI - Testing the Effect of Aggressive Beverage on the Damage of Enamel Structure.
AB - BACKGROUND: Dental erosion is a common problem in modern societies, owing to the
increased consumption of acid drinks such as soft drinks, sports drinks, fruit
juice. Examining the enamel surface with the Atomic Force Microscopy (AFM)
enables more precise registering and defining the changes of enamel surface
structure and microhardness. This method can be used to compare the efficiency of
application of different preventive and therapy materials and medicaments in
dentistry. The chronic regular consumption of low pH cola drinks encouraged the
erosion of the teeth. The loss of anatomy and sensitivity are direct results of
acid cola dissolving coronal tooth material. Under the influence of coca cola, a
change of crystal structure and nanomorphology on enamel surface occurs. AIM:
This paper reflects dental damage from abusive cola drinking, and the clinical
presentation can be explained from data presented in this thesis. MATERIAL AND
METHODS: The trial was conducted on a total of 40 extracted teeth which were
divided into two groups treated with the solution of coca cola during 5 minutes,
and then prepared and tested with a standard AFM procedure, type SPM-5200.
Quantitative analysis was performed by comparing the roughness parameters (Ra) of
the treated and non-treated sample. RESULTS: Based on the test of a hypothesis of
the existence of differences between the treated and untreated sample, with an
application of a t-test, it is shown that there are statistically highly
significant differences between Ra of the treated sample with a 5-minute
treatment of coca cola and Ra of the same sample without the treatment.
CONCLUSION: Use of AFM enables successful monitoring of changes on enamel surface
as well as the interpretation of the ultrastructural configuration of the crystal
stage and the damage created under the influence of different external factors.
PMID- 29362634
TI - The Effect of Strontium Ranelate Gel on Bone Formation in Calvarial Critical Size
Defects.
AB - AIM: The current study was designed to investigate the effectiveness of locally
applied Strontium ranelate to induce bone formation. MATERIALS AND METHODS: Forty
eight female rats were divided into six groups (eight rats in each group): The
three test groups included Strontium (SR) 2.5 mg, 5 mg and 10 mg that was
dissolved in methylcellulose gel. The control groups included methylcellulose,
simvastatin 5 mg and a negative control where the defect was left to heal without
any intervention. At 44 days the groups were sacrificed, and the bone defects
were assessed histomorphometically to assess bone formation. The data was
statistically analysed. RESULTS: There was a statistically significant difference
in the amount of new bone formation between all groups, where the 2.5 mg SR group
showed the highest median bone percentage, is 41.95 %, followed by the 5, and 10
mg SR demonstrating a median bone are a percentage of 39.89%, and 30.19%
respectively. Simvastatin showed a median bone percentage of 36.07 %, while the
methylcellulose and the negative control groups demonstrated the lowest median
area percentage of 23.12 and 20.70 % respectively. CONCLUSIONS: The study showed
that the local application of an SR could up-regulate the bone formation and may
prove to be a cost-effective method of bone regeneration.
PMID- 29362635
TI - Prosthodontic Rehabilitation of Patient with Anterior Hyper Function Syndrome.
AB - BACKGROUND: The success of prosthetic rehabilitation in patients with removable
dentures depends on the achievement of the aesthetics, phonetics and most of all,
proper use in the mastication process. All the patients that receive removable
prostheses need a feeding education program. They must cut the food into smaller
pieces, extend the length of time necessary for chewing and place the food upon
both the right and left sides of the mouth at once. Bilaterally chewing with
dentures will contribute to increased efficiency and denture stability during
mastication. Using the anterior teeth for biting, as a result of increased
pressure on the anterior ridge may lead to the anterior hyperfunction syndrome.
CASE REPORT: The patient requested dental rehabilitation in our clinic for
prosthetic dentistry two and a half years ago. We examined him and made therapy
plan, for complete removable maxillary denture and partial mandibular denture.
Besides our instructions for proper use of dentures and necessity for regular
controls, his next visit was after two and a half years. He came with enlarged
tuberosity and papillary hyperplasia in the pre-maxillary region. After oral
surgery treatment (laser removing of hyperplastic tissue) and a healing period of
four weeks, we made indirect relining on the upper denture, re-occlusion and re
articulation achieving weak contacts between the lower natural teeth and upper
teeth of the complete denture. The patient was advised not to bite food with his
anterior teeth, and avoid chewing very hard food which tends to imprint and
displace dentures. CONCLUSION: Anterior hyperfunction syndrome with its high
incidence is a disease with the need of interdisciplinary therapy approach. Fast
diagnosis, thorough clinical examination using all available diagnostic tools,
and choosing the right treatment is very challenging.
PMID- 29362636
TI - Demographic and Clinical Features of Thyroid Carcinomas in Republic of Macedonia
(1999-2010).
AB - BACKGROUND: Thyroid carcinomas (TC) are the most common endocrine malignancies.
In some parts of the world, the incidence of TCs has increased over the past few
decades, especially in females according to some studies. AIM: We have set as the
objective for our study to analyse the demographic, ultrasound features, thyroid
hormonal status and frequency of thyroid carcinomas in the Republic of Macedonia
according to histopathological type. MATERIALS AND METHODS: Retrospective
analysis of medical data from all diagnosed and treated patients with TC at the
Institute of Pathophysiology and Nuclear Medicine for the period 1999-2010 was
performed. Demographic characteristics: age at diagnosis, gender,
histopathological type of TC and from clinical features: US findings and thyroid
hormonal state at initial examination and their distribution in eight state
regions were evaluated. RESULTS: Total number of 204 patients with TC in the
Republic of Macedonia was registered. Papillary thyroid carcinoma (PTC) was the
most frequent with 131 pts (64.21%), follicular (FTC) with 13 pts (6.37%) was
second thyroid malignoma, followed by medullary (MTC) with 12 pts (5.88%),
anaplastic (ATC) 11 pts (5.39%) and the rarest types were Hurtle cell carcinoma
and intrathyroid sarcoma with only 1 diagnosed case. Age varied widely from 7 yrs
to 88 yrs age (average 47.9 +/- 16.6 yrs). PTC was more prevalent in younger age
groups, while ATC was diagnosed in elderly patients. In all of the eight-country
regions, the prevalence rate was higher for females than males (3:1) or 15.21/105
female to 5.03/105 male prevalence rate. According to US appearance mostly TC was
unilateral in 42.65% and multifocal in 7.84% with dimensions from 15 to 50 mm.
CONCLUSION: There is an increase in incidence and prevalence rate of TCs in our
country, mostly PTC, while reduction exists in the number of diagnosed cases of
ATC and FTC, comparing with previous studies before iodine prophylaxis program.
Different from described in the literature is female: male (4:1) ratio for ATC.
According to US features, we can conclude that introduction of more detailed
reporting system may improve diagnostic accuracy.
PMID- 29362637
TI - The Value of Mobile Ultrasound Services in Rural Communities in South-South
Nigeria.
AB - AIM: This paper examines the activities of mobile services units including
ultrasound services in rural and urban communities in the Calabar region of South
South, Nigeria. MATERIALS AND METHODS: Consenting individuals were invited and
attended five medical outreach activities in rural and urban areas of the Calabar
region between January and June 2016. Abdomino-pelvic scans were done.
Subsequently the results were analyzed. RESULTS: Five hundred and seventy-four
(574) individuals had Abdomino-pelvic scans done, using a curvilinear probe to
assess the abdomino-pelvic organs. The female to male ratio was 1.46:1. The age
ranged from 1-78 years with a mean of 40.63 (standard deviation of 17.5). The
commonest sonographic finding was uterine fibroids, 21 (8.1%). Fifty-four percent
of the scans were normal. The commonest sonographic finding in men was prostatic
enlargement. CONCLUSION: Medical outreach activities provided by mobile units
provide much needed ultrasound services in poor resource settings in Nigeria.
Significant clinical pathologies were identified at fairly high rates.
PMID- 29362638
TI - Risk Factors for Early Preterm Birth at King Salman Armed Force Hospital in 2010.
AB - AIM: To investigate risk factors for early preterm birth. METHODS AND MATERIAL: A
retrospective comparative study was conducted at Tabuk, Kingdom of Saudi Arabia
during the period from January to December 2010. Five hundred and ninety-five
patient's files and delivery registry logbooks were reviewed, the following
information was collected; demographic data, current and past obstetric
histories. Then the early and late preterm births were compared for various risk
factors. The Statistical Package for Social Sciences (SPSS version 22) was used.
The Chi-square and t-test were used to test the statistical significance and a P
value<0.05 considered significant. RESULTS: Prevalence of early preterm birth was
found to be 2.5% in our study group. Women at risk for early preterm birth were:
primigravidas (33.7% vs. 26.2% for control), P-value 0.039, OR 1.429 and 95% CI
0.982 - 2.079); multiple gestations (87.7% vs. 95.1% for control, P-value 0.002,
OR 0.368 and 95% CI 0.196 - 0.688); and patients with a prior history of
placental abruption (3.7% vs. 1.0% for control, P-value 0.027, OR3.928 and 95% CI
1.1360 - 13.586). CONCLUSIONS: Current study indicated that early preterm births
differed from preterm as a whole; primigravida, multiple gestations and a history
of placental abruption are independent risk factors for them.
PMID- 29362639
TI - Management, Diagnostic and Prognostic Significance of Acetylcholinesterase as a
Biomarker of the Toxic Effects of Pesticides in People Occupationally Exposed.
AB - AIM: The paper presents research on the most common causes of exposure that leads
to disorders of cholinesterase activity, as well as an overview of the results of
cholinesterase activity with the poisoned people. MATERIAL AND METHODS: In a
group of 35 acute poisoned patients by organophosphate compounds has led to
inhibition of AchE. A total number of examined workers are 175 in the chemical
industry and agricultural production in the area of Rasina District-Serbia.
RESULTS: The results showed that among workers who are constantly exposed to
pesticides, acetylcholinesterase is within the reference value. Having examined
the medical records of these workers, it is noted that, at 72%, there is a slight
fall of AchE activity, each year. The workers who had been exposed to pesticides
at the time of testing had acetylcholinesterase regarding reference value, but
52% of them had a few years ago significantly reduced the value of the activity
of acetylcholinesterase, which was treated and then transferred to other jobs.
The 48% of these workers had acetylcholinesterase regarding benchmarks or were
transferred to other jobs, for a variety of other health problems. CONCLUSION:
Using each pesticide should only deal with people who are well versed in the way
of its use, as well as the way of protecting them from poisoning.
PMID- 29362640
TI - Experiences of Barriers and Motivators to Weight-Loss among Saudi People with
Overweight or Obesity in Qassim Region - A Qualitative Study.
AB - BACKGROUND: Obesity has become a global health threat. Saudi Arabia ranks among
the countries with high obesity and overweight rates. This study aims to explore
experiences of Saudi people with overweight or obesity with a particular focus on
the perceived barriers and motivators to weight loss. MATERIAL AND METHODS: We
used a qualitative approach to recruiting a purposive sample using maximum
variation sampling technique. Those who had previously attempted weight loss at
least once were included in the study. In-depth interviews were conducted,
transcribed and/ or audiotaped. Interviews continued until saturation was
reached. The qualitative content analysis was performed. RESULTS: A total of 19
males and 18 females participated in this study with a mean Body Mass Index (BMI)
of 32.6 kg/m2. Their main triggers to weight loss were concerns about overall
health and the desire to improve their looks. Declining motivation, lack of
family support and unhealthy eating during social gatherings were perceived as
the main barriers. Motivating factors included concerns about health, family
support, and availability of exercise facilities. CONCLUSION: Factors responsible
for a successful weight- loss is context-specific. This study has shown several
barriers as well as motivators, which play an important role in weight reduction
and maintenance.
PMID- 29362641
TI - Public Health Profile of Road Traffic Accidents in Kosovo 2010-2015.
AB - AIM: To determine the characteristics of the Socio-medical profile of road
traffic accidents in Kosovo, between 2010 and 2015 year. STUDY DESIGN:
Retrospective study. METHODS: A descriptive method based on the database of road
traffic accidents from the National Police of Kosovo. RESULTS: In Kosovo for the
period 2010-2015, on average, the yearly number of road traffic accidents is
18437 with mortality rate 7.4 per 100000 and lethality of 1.5%. The highest
number of fatal cases are drivers and above 19 years old with more than 80%.
Among injured significantly highest percentage is among passengers for all years
and above 19 years old. Road traffic accident with a vehicle occurs most
frequently, with approximately over 70%, mostly on dry road 72.9% and clear
weather 71.1%. The driver is the contributing factors of road traffic accidents
on average 99.3% whereas climatic conditions only 0.5%, with over 50% of crashes
occurring in urban road 56.2%, mostly during Monday 16.0% and in the afternoon
rush hours between 14.00-18.00 with 31.0%. CONCLUSIONS: There is a slight
decrease in the mortality rate of 0.10/00 and lethality rate of 0.1% each year,
whereas there is an increase of 21.50/00 for traumatism rate for each year.
PMID- 29362642
TI - Subclinical Hypothyroidism - Whether and When To Start Treatment?
AB - Subclinical hypothyroidism represents a state with increased values of thyroid
stimulating hormone (TSH) and normal values of thyroxine (T4) and
triiodothyronine (T3). The disorder is asymptomatic, and the diagnosis is made
based on the results of laboratory findings when the level of TSH reaches values
above 4.0 mU/l. It is still subject to debate whether patients with subclinical
hypothyroidism are at increased risk of cardiovascular disease, neuropsychiatric
and neuromuscular disorders. Studies have shown that the appearance of general
symptoms and complications are more common in patients whose values of TSH are
above 10 mU/l. Therefore, the initiation of therapy with levothyroxine, which is
the foundation of substitution therapy, is advised in patients whose TSH is >10
mU/l. As for patients whose values of TSH are from 4.0 to 10.0 mU/l and who make
up 90% of the patients with subclinical hypothyroidism, further research is
needed to determine the effects of the disorder and levothyroxine therapy on the
health. Until then, the introduction of the substitution therapy in patients with
TSH which is <10 mU/l should be considered in the case of the presence of general
symptoms, anti-thyroid antibodies, increased lipids and other risk factors,
goitre, pregnancy, ovarian dysfunction and infertility.
PMID- 29362643
TI - Endoscopic Removal of a Giant Complicated Hyperplastic Gastric Polyp.
AB - The patient, a 40-year-old male, was referred to our clinic with intermittent
nausea, vomiting and symptomatic anemia for 4 months. Notable hematological
indices were low hemoglobin levels of 9.6 g/dl and hematocrit levels of 35.8%,
while after receiving two units of concentrated red blood cells, at discharge;
they achieved levels of 15.2 g/dl and 42.3%, respectively.
Esophagogastroduodenoscopy revealed a 3 cm antral pedunculated polyp, prolapsing
into pylorus thus causing intermittent pyloric obstruction and anemia.
Histological examination revealed a hyperplastic polyp without evidences of
malignancy. No atrophy, metaplasia, dysplastic changes or Helicobacter pylori
infection were detected in samples taken from the antrum and the corpus; however,
the examination provided evidence for gastritis. Follow-up endoscopy was provided
after 12 weeks to see polypectomy site after a course of Pantoprazole
administration, and to define symptom-free time after polypectomy. Endoscopic
removal of complicated gastric polyps should be considered at the time of initial
diagnostic endoscopy. Endoscopic resection of polyps enables to determine the
exact histopathologic type as well as to effectively treat symptomatic gastric
outlet obstruction and anemia.
PMID- 29362644
TI - Effects of Language Background on Gaze Behavior: A Crosslinguistic Comparison
Between Korean and German Speakers.
AB - Languages differ in how they categorize spatial relations: While German
differentiates between containment (in) and support (auf) with distinct spatial
words-(a) den Kuli IN die Kappe stecken ("put pen in cap"); (b) die Kappe AUF den
Kuli stecken ("put cap on pen")-Korean uses a single spatial word (kkita)
collapsing (a) and (b) into one semantic category, particularly when the spatial
enclosure is tight-fit. Korean uses a different word (i.e., netha) for loose-fits
(e.g., apple in bowl). We tested whether these differences influence the
attention of the speaker. In a crosslinguistic study, we compared native German
speakers with native Korean speakers. Participants rated the similarity of two
successive video clips of several scenes where two objects were joined or nested
(either in a tight or loose manner). The rating data show that Korean speakers
base their rating of similarity more on tight- versus loose-fit, whereas German
speakers base their rating more on containment versus support (in vs. auf).
Throughout the experiment, we also measured the participants' eye movements.
Korean speakers looked equally long at the moving Figure object and at the
stationary Ground object, whereas German speakers were more biased to look at the
Ground object. Additionally, Korean speakers also looked more at the region where
the two objects touched than did German speakers. We discuss our data in the
light of crosslinguistic semantics and the extent of their influence on spatial
cognition and perception.
PMID- 29362645
TI - The Effects of Similarity on High-Level Visual Working Memory Processing.
AB - Similarity has been observed to have opposite effects on visual working memory
(VWM) for complex images. How can these discrepant results be reconciled? To
answer this question, we used a change-detection paradigm to test visual working
memory performance for multiple real-world objects. We found that working memory
for moderate similarity items was worse than that for either high or low
similarity items. This pattern was unaffected by manipulations of stimulus type
(faces vs. scenes), encoding duration (limited vs. self-paced), and presentation
format (simultaneous vs. sequential). We also found that the similarity effects
differed in strength in different categories (scenes vs. faces). These results
suggest that complex real-world objects are represented using a centre-surround
inhibition organization. These results support the category-specific cortical
resource theory and further suggest that centre-surround inhibition organization
may differ by category.
PMID- 29362646
TI - Different Paths to Different Strategies? Unique Associations Among Facets of the
Dark Triad, Empathy, and Trait Emotional Intelligence.
AB - Emotional deficits, such as limited empathy, are considered a fundamental aspect
of the Dark Triad traits (narcissism, Machiavellianism, and psychopathy).
However, the nature and extent of such deficiencies seem to vary among dark
personalities. By applying multidimensional measures of empathy, emotional
intelligence, and the Dark Triad, we aimed to investigate in more detail how
individuals high in various dark traits understand and evaluate emotions. Results
indicated that each trait, and, moreover, each facet thereof entailed unique
emotional deficiencies. Narcissism was positively associated with trait emotional
intelligence, whereas the secondary factor of psychopathy was associated
negatively. With respect to empathy, only primary psychopathy was linked to an
overall deficit, while a positive relationship was found between Machiavellianism
and the perspective-taking facet of cognitive empathy. We argue that the specific
emotional limitations of the Dark Triad traits might contribute to the successful
deployment of different socially aversive strategies.
PMID- 29362647
TI - Nonspecific Retroactive Interference in Children and Adults.
AB - Retroactive interference (RI) is a primary source of forgetting and occurs when
new information disrupts or damages an existing memory. Prior research has shown
that children are susceptible to RI when the to-be-remembered and interfering
information are similar, but it is unclear whether they are also vulnerable to
nonspecific RI . This form of interference occurs when a memory is disrupted by
an unrelated and dissimilar distractor task, and the present study explored six-
and seven-year-olds susceptibility to such nonspecific RI. In two experiments,
participants learnt a list of words and completed a free recall test 5 min later.
During the interval, participants either remained quiet (the control condition)
or completed spot-the-difference puzzles (the interference condition). In
Experiment 1, the children were highly susceptible to nonspecific interference,
whereas a sample of adults were not affected by the interfering task. However,
when a new sample of children were given more time to encode and retrieve the
words in Experiment 2, they were able to resist interference. Nonspecific RI can
damage children's memory, but they do have the ability to prevent this form of
interference in certain circumstances.
PMID- 29362648
TI - Outcome of esophageal cancer in the elderly - systematic review of the
literature.
AB - Introduction: As the population ages, the number of elderly patients with
esophageal cancer increases. Esophageal cancer has a poor prognosis and is
associated with decreased life quality. Aim: To review the literature about the
outcome of esophageal cancer in patients over 65. Material and methods: Articles
published between January 2006 and November 2016 in the PubMed/Medline and
ResearchGate databases were reviewed. Nineteen retrospective studies were
included. Results: Six thousand seven hundred and twenty-nine patients over 65
were analyzed. Thirty-day mortality ranges from 3.2% to 8.1%. Overall 5-year
survival rates range from 0% to 49.2%, and the median survival rate ranges from
9.6 to 108.2 months. The incidence of complications in the surgery group ranges
from 27% to 69%. Chemoradiotherapy grade >= 3 toxicity was observed in 22-36% of
patients. Conclusions: Chronological age seems to have little influence on
outcome of esophageal cancer. Open esophagectomy seems to be the mainstay of
treatment for patients with esophageal cancer, regardless of age. There is still
high mortality and morbidity involved in this procedure. To reduce them, some
less invasive methods are being trialed.
PMID- 29362649
TI - Long-term follow-up results of umbilical hernia repair.
AB - Introduction: Multiple suture techniques and various mesh repairs are used in
open or laparoscopic umbilical hernia (UH) surgery. Aim: To compare long-term
follow-up results of UH repair in different hernia surgery groups and to identify
risk factors for UH recurrence. Material and methods: A retrospective analysis of
216 patients who underwent elective surgery for UH during a 10-year period was
performed. The patients were divided into three groups according to surgery
technique (suture, mesh and laparoscopic repair). Early and long-term follow-up
results including hospital stay, postoperative general and wound complications,
recurrence rate and postoperative patient complaints were reviewed. Risk factors
for recurrence were also analyzed. Results: One hundred and forty-six patients
were operated on using suture repair, 52 using open mesh and 18 using
laparoscopic repair technique. 77.8% of patients underwent long-term follow-up.
The postoperative wound complication rate and long-term postoperative complaints
were significantly higher in the open mesh repair group. The overall hernia
recurrence rate was 13.1%. Only 2 (1.7%) patients with small hernias (< 2 cm) had
a recurrence in the suture repair group. Logistic regression analysis showed that
body mass index (BMI) > 30 kg/m2, diabetes and wound infection were independent
risk factors for umbilical hernia recurrence. Conclusions: The overall umbilical
hernia recurrence rate was 13.1%. Body mass index > 30 kg/m2, diabetes and wound
infection were independent risk factors for UH recurrence. According to our study
results, laparoscopic medium and large umbilical hernia repair has slight
advantages over open mesh repair concerning early postoperative complications,
long-term postoperative pain and recurrence.
PMID- 29362650
TI - Single port access for laparoscopic lateral segmentectomy.
AB - Introduction: Single-port access laparoscopic lateral segmentectomy (LLS) has
been developed as a novel minimally invasive surgery. We have experience with
this LLS technique. Aim: To report our technique and patients' postoperative
course in a series of single-port access LLS performed in our department. We also
examine the cosmetic outcome, safety, and utility of the procedure. Material and
methods: Between February 2010 and October 2016, 54 patients who underwent single
or multiple-port laparoscopic or open lateral segmentectomy (LS) were
retrospectively analyzed with respect to cosmetic outcome, safety, and utility.
Results: In the single LLS group, the laparoscopic procedure was successfully
completed for all 14 patients. The median operative time was significantly
shorter in the single LLS group (123 min; range: 50-270 min) than in the other
groups. Estimated blood loss was also significantly lower in the single LLS group
(10 ml; range: 0-330 ml). During the first 7 postoperative days, the visual
analog scale pain score and the use of additional analgesia were not
significantly different between groups. The single LLS group had a 7.1%
complication rate (Clavien-Dindo classification > IIIA); this was not
significantly different between groups. Conclusions: Single-port access LLS is a
procedure with excellent cosmetic results, although, with regard to invasiveness,
there are no major differences from conventional LLS.
PMID- 29362651
TI - Does previous open surgical experience have any influence on robotic surgery
simulation exercises?
AB - Introduction: Within the last years, there has been a trend in many hospitals to
switch their surgical activity from open/laparoscopic procedures to robotic
surgery. Some open surgeons have been shifting their activity to robotic surgery.
It is still unclear whether there is a transfer of open surgical skills to
robotic ones. Aim: To evaluate whether such transfer of skills occurs and to
identify which specific skills are more significantly transferred from the
operative table to the console. Material and methods: Twenty-five volunteers were
included in the study, divided into 2 groups: group A (15 participants) - medical
students (without any surgical experience in open, laparoscopic or robotic
surgery); and group B (10 participants) - surgeons with exclusively open surgical
experience, without any previous laparoscopic or robotic experience. Participants
were asked to complete 3 robotic simulator console exercises structured from the
easiest one (Peg Board) to the toughest one (Sponge Suture). Overall scores for
each exercise as well as specific metrics were compared between the two groups.
Results: There were no significant differences between overall scores of the two
groups for the easiest task. Overall scores were better for group B as the
exercises got more complex. For the intermediate and high-difficulty level
exercises, most of the specific metrics were better for group B, with the
exception of the working master space item. Conclusions: Our results suggest that
the open surgical skills transfer to robotic skills, at least for the very
beginning of the training process.
PMID- 29362652
TI - Single center experience in laparoscopic treatment of gallbladder perforation.
AB - Introduction: Gallbladder perforation (GBP) is a rare disease with potential
mortality. Previous series have reported an incidence of approximately 2-11% and
it still continues to be a significant problem for surgeons. Aim: To present our
clinical experience with gallbladder perforation. Material and methods: The
records of 2754 patients who received surgical treatment for cholelithiasis
between 2010 and 2016 were reviewed retrospectively. One hundred thirty-three
patients had gallbladder perforation. Age, gender, time from the onset of
symptoms, diagnostic procedures, surgical treatment, morbidity and mortality
rates were evaluated. Results: 15.78% of patients had a body mass index > 35.
6.76% had chronic obstructive pulmonary disease, 6.76% had cardiac disease,
10.52% had diabetes and 4.51% had sepsis. American Society of Anesthesiology
scores were I in 54.13%, II in 35.33%, III in 6.01% and IV in 4.51% of the
patients. 27.81% of patients were diagnosed during surgery. The perforation site
was the gallbladder fundus in 69.17%, body in 17.30%, Hartman's pouch in 10.53%
and cystic duct in 3% of patients. Treatment modalities were laparoscopic
cholecystectomy in 82.71%, open cholecystectomy in 3%, percutaneous drainage
catheters + laparoscopic cholecystectomy in 3%, laparoscopic cholecystectomy +
fistula repair in 10.53% and open cholecystectomy + fistula repair in 0.75% of
patients. Mean length of hospital stay was 1.69 days. Mortality and morbidity
rates were 8.27% and 10.52%, respectively. Histopathology results were acute
cholecystitis in 69.93%, chronic cholecystitis in 20.30% and acute exacerbation
over chronic cholecystitis in 9.77% of patients. Conclusions: Appropriate
classification and management of perforated cholecystitis is essential.
Laparoscopic cholecystectomy is a safe and feasible method to decrease morbidity
in gallbladder perforations.
PMID- 29362653
TI - Reduced-port robotic total mesorectal resection for rectal cancer using a single
port access: a technical note.
AB - Introduction: Single-port laparoscopic surgery has some advantages, including
improved cosmetic outcomes and minimized parietal trauma. However, pure single
port laparoscopic rectal cancer surgery is challenging because of the
difficulties in creating triangulation and applying the laparoscopic staplers
with sufficient distal margins in the narrow pelvic cavity. Recently, a reduced
port robotic operation with a robotic single-port access plus one wristed robotic
arm for colon cancer was introduced to overcome the limitations of single-port
laparoscopic rectal surgery. Aim: Single-port laparoscopic surgery has some
advantages, including improved cosmetic outcomes and minimized parietal trauma.
However, the pure single-port laparoscopic rectal cancer operation is
challenging. Recently, a reduced-port robotic operation with a robotic single
port access plus one wristed robotic arm for colon cancer was introduced to
overcome the limitations of single-port laparoscopic rectal surgery. Material and
methods: We performed a single-port plus an additional port robotic operation
using a robotic single-port access through the umbilical incision, and the
wristed robotic instruments were inserted through an additional robotic port in
the right lower quadrant. Results: The total operative and docking times were 310
min and 25 min, respectively. The total number of lymph nodes harvested was 12,
and the proximal and distal resection margins were 11.1 and 2 cm, respectively.
The patient was discharged on postoperative day 12 uneventfully. Conclusions:
Based on a representative case, reduced-port robotic total mesorectal excision
for rectal cancer using the single-port access appears to be feasible and safe.
This approach could overcome the limitations of single-port laparoscopic rectal
surgery.
PMID- 29362654
TI - Transcatheter arterial embolization for upper gastrointestinal tract bleeding.
AB - Introduction: Transcatheter arterial embolization is a possible treatment for
patients with recurrent bleeding from the upper gastrointestinal tract after
failed endoscopic management and is also an alternative to surgical treatment.
Aim: To analyze the outcomes of transcatheter arterial embolization and identify
the clinical and technical factors that influenced the rates of morbidity and
mortality. Material and methods: A retrospective analysis was carried out, based
on the data of 36 patients who underwent transcatheter arterial embolization for
acute nonvariceal upper gastrointestinal bleeding in 2013 to 2015 in our center.
An analysis was performed between early rebleeding rates, mortality and the
following factors: patient sex, age, number of units of packed red blood cells
and packed plasma administered to the patients, length of hospital stay,
therapeutic or prophylactic embolization. Results: The technical success rate of
the embolization procedure was 100%. There were 15 (41.70%) therapeutic
embolizations and 21 (58.3%) prophylactic embolizations. There was a 77.8%
clinical success rate. Following embolization, 10 (27.80%) patients had repeated
bleeding and 9 (25.0%) patients died. Significant associations were found between
rebleeding and prophylactic embolization (OR = 10.53; p = 0.04) and between
mortality and prophylactic embolization (OR = 10.53; p = 0.04) and units of
packed red blood cells (OR = 1.25; p < 0.01). Conclusions: In our experience,
transcatheter arterial embolization is a safe treatment method for acute
nonvariceal upper gastrointestinal bleeding and a possible alternative to surgery
for high-risk patients.
PMID- 29362655
TI - Percutaneous radiofrequency and microwave ablation in the treatment of renal
tumors - 10 years of experience.
AB - Introduction: The standard radical treatment of renal cell carcinoma is surgical
resection, but it is not suitable for patients with serious medical comorbidities
and solitary kidney tumors. Minimally invasive ablation techniques could be an
appropriate therapeutic alternative. Aim: To retrospectively evaluate the
technical success, mid-term and long-term efficacy and safety of radiofrequency
and microwave ablation in patients with small renal tumors. Material and methods:
Over the course of 10 years, 91 ablation procedures in 64 patients for 68 tumors,
of size 12-60 mm, were performed using only conscious sedation. These ablations
were done under the guidance of computed tomography. We treated 41 males and 23
females with solitary kidney tumors (14 cases) and tumors in non-surgical
candidates (54 cases). Results: In 50 (73.5%) tumors single treatment was
successful; in 13 (19.1%) cases a second procedure was used successfully, and in
the 5 largest tumors (sizes 45-60 mm, 7.4%) a third treatment was necessary.
Within the follow-up 10 (15.6%) patients died, but none due to metastatic renal
cell carcinoma. Only 1 serious complication was observed - retroperitoneal and
psoatic hematoma. Early recurrence occurred in 18 (26.5%) tumors. Late recurrence
was detected in 5 (7.4%) cases. In all cases complete local control of the renal
tumors was reached. Conclusions: Percutaneous ablation is a very effective
treatment for patients with small renal tumors of the T1a group with a minimal
complication rate.
PMID- 29362656
TI - Clinical efficacy and safety of transcatheter embolization for vascular
complications after percutaneous nephrolithotomy.
AB - Introduction: Percutaneous nephrolithotomy (PNL) is the preferred procedure for
safe and effective surgical treatment of kidney stones. Hemorrhage is the most
serious complication of PNL, resulting from pseudoaneurysm (PA) or arteriovenous
fistula (AVF), and can usually be controlled with conservative treatment. Aim: To
evaluate endovascular treatments and outcomes of vascular complications observed
after PNL. Material and methods: We retrospectively reviewed data on 19 patients
who underwent renal embolization due to post-PNL renal artery bleeding between
March 2005 and September 2016. Embolization materials included embolization coils
and glue. The incidence of post-PNL vascular complications and their endovascular
treatments, outcomes, and the follow-up data were analyzed. Results: Nineteen
(1.1%) of 1,609 patients (mean age: 44.9 years, range: 19-75 years) underwent
angiography and subsequent transcatheter embolization to control bleeding. The
mean time to onset of hemorrhage was 7.2 days after PNL (range: 3-18 days). The
PNL entry site was the lower calyx in 15 patients, the middle calyx in 3, and the
upper calyx in 1. PA, AVF, and PA plus AVF occurred in 14, 5, and 3 of the 19
renal angiography patients, respectively. Embolization of the affected vessels
was successful in all 19 patients. The embolization materials of coil, glue, and
coil plus glue were used in 16, 3, and 2 patients, respectively. Conclusions:
Severe hematuria is a rare complication of PNL and can be successfully treated
with transcatheter embolization.
PMID- 29362657
TI - Clinical evaluation of embolization of the superior vesical prostatic artery for
treatment of benign prostatic hyperplasia: a single-center retrospective study.
AB - Introduction: Non-surgical minimally invasive treatments are greatly needed for
patients with symptomatic benign prostatic hyperplasia (BPH), for whom medical
treatment has failed and surgery is contraindicated. This study retrospectively
evaluated the efficacy and safety of super-selective prostatic artery
embolization (PAE) for BPH, relative to transurethral resection of the prostate
(TURP). Aim: To clinically evaluate the efficacy and safety of super-selective
PAE for BPH, relative to TURP. Material and methods: From February 2012 to March
2015, patients with BPH underwent selective PAE (n = 17) or TURP (control group;
n = 40). Prostate volume, maximum urinary flow rate (Qmax), International
Prostate Symptoms Score (IPSS), and quality of life (QoL) score were evaluated at
baseline and postoperative 3, 6, and 12 months. Complications were also recorded.
Results: All the procedures were technically successfully. At postoperative 1
year, patients given PAE had significantly greater prostate volume (64.6 +/-10.2
ml), IPSS (23.9 +/-4.9), and QoL (4.1 +/-0.7) compared with the control patients
(42.0 +/-7.5 ml, 13.1 +/-3.5, and 2.1 +/-0.7, respectively). The Qmax of the PAE
group (9.5 +/-3.7 ml/s) was significantly lower than that of the control (21.8 +/
4.2 ml/s). The changes in parameters of the TURP patients relative to the
preoperative baseline were significantly greater than those of the PAE group. No
severe complications occurred. Conclusions: Prostatic artery embolization was
demonstrated as safe and effective and may be considered an alternative treatment
for BPH patients, especially for those who are not candidates for or refuse
surgery.
PMID- 29362658
TI - Spinal anesthesia is safe in laparoscopic total extraperitoneal inguinal hernia
repair. A retrospective clinical trial.
AB - Introduction: Laparoscopic total extraperitoneal (TEP) inguinal hernia repair is
an effective and safe method for the treatment of inguinal hernia. There are very
few studies on regional anesthesia methods in TEP surgery. Aim: To compare TEP
inguinal hernia repair performed when the patient was treated under spinal
anesthesia (SA) with that performed under general anesthesia (GA). Material and
methods: All total of 80 patients were studied between December 2015 and March
2017. Hyperbaric bupivacaine and fentanyl were used for SA, to achieve a
sensorial level of T3. Propofol, sevoflurane, rocuronium, fentanyl, and tracheal
intubation were used for GA. Intraoperative events related to SA, surgical times,
intra- and postoperative complications, and pain scores were recorded. Results:
The mean operative time in the SA TEP group was 70.2 +/-6.7 min, which was
significantly longer than the mean operative time in the GA TEP group of 67.2 +/
6.2 min (p < 0.038). The mean pain scores in the SA TEP group were 0.23 +/-0.42
at the first hour, 1.83 +/-0.64 at 6 h and 1.28 +/-0.45 at 24 h. These scores
were significantly lower than the corresponding scores of 5.18 +/-0.84 (p <
0.001), 2.50 +/-0.55 (p < 0.001) and 1.58 +/-0.55 in the GA TEP group. Generally,
patients were more satisfied with SA than GA (p < 0.004). Conclusions: Spinal
anesthesia TEP is significantly less painful in the early postoperative period,
leading to earlier ambulation than GA TEP. Additionally, SA TEP results in
significantly less need for analgesics and better patient satisfaction results.
SA TEP seems to be a better alternative than the existing GA TEP.
PMID- 29362659
TI - Use of the blue cotton screen method with endoscopy to detect occult esophageal
foreign bodies.
AB - More than 20,000 cases of upper gastrointestinal foreign bodies (FBs) have been
reported in the last 5 years in China. Early detection and treatment is vital in
these patients. Differential diagnosis of esophageal injury and occult esophageal
foreign bodies is challenging, particularly in the case of non-radio-opaque
foreign bodies. A diagnostic technique with high accuracy and low risk is needed
for clinical practice. We describe successful use of the "blue cotton screen
method" to detect esophageal foreign bodies in 2 patients. The advantages and
disadvantages of various diagnostic modalities in the management of patients with
foreign body ingestion are presented. This technique is safer and more effective
than traditional methods for foreign body impaction in the esophageal cavity. It
could be applied for screening and in the differential diagnosis of esophageal
injury and FBs in the esophageal lumen.
PMID- 29362661
TI - Laparoscopy-assisted micropercutaneous choledocholithotripsy with holmium laser
in a cholecystectomized patient: an initial report.
AB - We present a novel minimally invasive technique, laparoscopy-assisted
micropercutaneous choledocholithotripsy, for choledocholithiasis that cannot be
treated with other endoscopic techniques. This technique includes standard
laparoscopic exploration of the common bile duct, combined with an all-seeing
needle and holmium laser lithotripsy. As is known, an all-seeing needle is used
in micropercutaneous nephrolithotomy for middle-sized renal stones. In this
technique, an all-seeing needle was inserted into the dilatated common bile duct
under laparoscopic vision and then a lithotripsy procedure was performed with a
holmium laser behind the biliary stent. A cholecystectomized female patient with
a 21-mm stone in the common bile duct who previously underwent an unsuccessful
endoscopic retrograde cholangiopancreatography procedure was operated on in our
service with laparoscopy-assisted micropercutaneous choledocholithotomy without a
T-tube. This novel procedure was completed uneventfully and the patient was
discharged without any complications. In the future, this procedure will
hopefully be a treatment modality in choledocholithiasis that cannot be treated
by other minimally invasive techniques.
PMID- 29362660
TI - Laparoscopic approach in the treatment of large leiomyoma of the lower third of
the esophagus.
AB - Leiomyoma of the lower third of the esophagus is a relatively rare disorder but
the most common benign tumor of the esophagus. We present a case of an involuted
esophageal leiomyoma, 11 cm in size, treated by the laparoscopic approach. The
preoperative computed tomogram visualized a mass 3 * 1.5 cm in diameter in the
lower esophagus without an eccentric lumen or compression of nearby organs.
Resection of the tumor was indicated according to the patient's symptoms and to
exclude malignancy. Laparoscopic enucleation of esophageal leiomyoma was
performed. The overall operative time was 205 min. The diagnosis of leiomyoma was
established on histopathology and immunohistochemistry staining. The patient
resumed the intake of a normal diet on the 5th postoperative day and was
discharged from hospital 8 days after the surgery. We have found this minimally
invasive operation to be an effective and well-tolerated treatment option,
determined by the experience of the surgeon.
PMID- 29362662
TI - Evaluation of pain relief sufficiency using the Cumulative Analgesic Consumption
Score (CACS) and its modification (MACS).
AB - Postoperative pain is one of the major complications in general and bariatric
surgery, associated with ongoing problems such as ileus, pneumonia and prolonged
mobilization. In this study, patients undergoing bariatric surgery were analyzed
according to their postoperative pain relief regime. In one group patients were
treated with a patient-controlled analgesia (PCA) device, while the other group
was treated with oral and intravenous analgesic medication. The aim of this study
was to analyze which postoperative pain relief therapy would be more appropriate.
We chose the Cumulative Analgesic Consumption Score (CACS) and Numeric Rating
Scale (NRS) for pain measurement. For better comparison, we performed a
modification of CACS according to PCA treatment. We observed better pain relief
in the PCA group. Furthermore, we observed an advantage of treatment with
laxatives in patients treated with PCA. In conclusion, PCA devices are
appropriate instruments for postoperative pain relief in bariatric patients. CACS
is a practical tool for postoperative pain measurement, describing individual
pain sensation more objectively, although holding further potential in
modification.
PMID- 29362663
TI - Laparoscopy in small bowel obstruction - current status - review.
AB - Introduction: Acute small bowel obstruction (SBO) is an urgent medical condition.
Its diagnosis is based mainly on a clinical examination followed by confirmatory
simple routine radiological examinations such as plain X-ray of the abdominal
cavity or computed tomography (CT). However, a real surgical challenge is not a
decision whether to perform a surgery, but a decision when and how to perform it.
Aim: To determine the place of laparoscopy in contemporary management of acute
SBO based on the current literature. Material and methods: A review of the
literature based on the Medline database and including mainly the period of 2013
2017 was performed. Conclusions: With regard to SBO, laparoscopy is a technique
showing its advantages resulting from a minimally invasive approach. However, SBO
is still a condition where the use of laparoscopy is limited mainly to selected
cases such as SBO caused by single adhesions or foreign bodies. A basic
limitation of using this technique is advanced and complicated SBO and lack of
sufficient technical skills of the surgeon.
PMID- 29362664
TI - Postprocessing Algorithm for Driving Conventional Scanning Tunneling Microscope
at Fast Scan Rates.
AB - We present an image postprocessing framework for Scanning Tunneling Microscope
(STM) to reduce the strong spurious oscillations and scan line noise at fast scan
rates and preserve the features, allowing an order of magnitude increase in the
scan rate without upgrading the hardware. The proposed method consists of two
steps for large scale images and four steps for atomic scale images. For large
scale images, we first apply for each line an image registration method to align
the forward and backward scans of the same line. In the second step we apply a
"rubber band" model which is solved by a novel Constrained Adaptive and Iterative
Filtering Algorithm (CIAFA). The numerical results on measurement from
copper(111) surface indicate the processed images are comparable in accuracy to
data obtained with a slow scan rate, but are free of the scan drift error
commonly seen in slow scan data. For atomic scale images, an additional first
step to remove line-by-line strong background fluctuations and a fourth step of
replacing the postprocessed image by its ranking map as the final atomic
resolution image are required. The resulting image restores the lattice image
that is nearly undetectable in the original fast scan data.
PMID- 29362665
TI - Effect of Vitamin D Receptor Activation on the AGE/RAGE System and
Myeloperoxidase in Chronic Kidney Disease Patients.
AB - Vitamin D receptor (VDR) activation has been reported to increase circulating
levels of the advanced glycation end products (AGE) and their decoy receptor
(RAGE). However, until now, the effect of VDR activation on AGE and RAGE has not
been tested in the setting of a randomized, double-blind clinical trial. We have
therefore analyzed the effect of VDR activation by paricalcitol on pentosidine,
S100A12/ENRAGE, and RAGE and on established biomarkers of oxidative stress like
myeloperoxidase in CKD patients in the PENNY trial. At baseline, human
S100A12/ENRAGE, RAGE, and myeloperoxidase, but not pentosidine, were
intercorrelated, and the association between S100A12/ENRAGE and myeloperoxidase
(r = 0.71, P < 0.001) was the strongest among these correlations. Paricalcitol
failed to modify biomarkers of the AGE/RAGE system and myeloperoxidase in
unadjusted and adjusted analyses by the generalized linear model (GLM). No effect
modification by other risk factors was registered. Paricalcitol does not modify
biomarkers of the AGE/RAGE system and myeloperoxidase in CKD patients. The
apparent increase in RAGE levels by VDR activation reported in previous
uncontrolled studies is most likely due to confounding factors rather than to VDR
activation per se. This trial is registered with NCT01680198.
PMID- 29362666
TI - Active Fragment of Veronica ciliata Fisch. Attenuates t-BHP-Induced Oxidative
Stress Injury in HepG2 Cells through Antioxidant and Antiapoptosis Activities.
AB - Excessive amounts of reactive oxygen species (ROS) in the body are a key factor
in the development of hepatopathies such as hepatitis. The aim of this study was
to assess the antioxidation effect in vitro and hepatoprotective activity of the
active fragment of Veronica ciliata Fisch. (VCAF). Antioxidant assays (DPPH,
superoxide, and hydroxyl radicals scavenging) were conducted, and
hepatoprotective effects through the application of tert-butyl hydroperoxide- (t
BHP-) induced oxidative stress injury in HepG2 cells were evaluated. VCAF had
high phenolic and flavonoid contents and strong antioxidant activity. From the
perspective of hepatoprotection, VCAF exhibited a significant protective effect
on t-BHP-induced HepG2 cell injury, as indicated by reductions in cytotoxicity
and the levels of ROS, 8-hydroxydeoxyguanosine (8-OHdG), and protein carbonyls.
Further study demonstrated that VCAF attenuated the apoptosis of t-BHP-treated
HepG2 cells by suppressing the activation of caspase-3 and caspase-8. Moreover,
it significantly decreased the levels of ALT and AST, increased the activities of
acetyl cholinesterase (AChE), glutathione (GSH), superoxide dismutase (SOD), and
catalase (CAT), and increased total antioxidative capability (T-AOC).
Collectively, we concluded that VCAF may be a considerable candidate for
protecting against liver injury owing to its excellent antioxidant and
antiapoptosis properties.
PMID- 29362667
TI - Xylopine Induces Oxidative Stress and Causes G2/M Phase Arrest, Triggering
Caspase-Mediated Apoptosis by p53-Independent Pathway in HCT116 Cells.
AB - Xylopine is an aporphine alkaloid that has cytotoxic activity to cancer cells. In
this study, the underlying mechanism of xylopine cytotoxicity was assessed in
human colon carcinoma HCT116 cells. Xylopine displayed potent cytotoxicity in
different cancer cell lines in monolayer cultures and in a 3D model of cancer
multicellular spheroids formed from HCT116 cells. Typical morphology of
apoptosis, cell cycle arrest in the G2/M phase, increased internucleosomal DNA
fragmentation, loss of the mitochondrial transmembrane potential, and increased
phosphatidylserine externalization and caspase-3 activation were observed in
xylopine-treated HCT116 cells. Moreover, pretreatment with a caspase-3 inhibitor
(Z-DEVD-FMK), but not with a p53 inhibitor (cyclic pifithrin-alpha), reduced
xylopine-induced apoptosis, indicating induction of caspase-mediated apoptosis by
the p53-independent pathway. Treatment with xylopine also caused an increase in
the production of reactive oxygen/nitrogen species (ROS/RNS), including hydrogen
peroxide and nitric oxide, but not superoxide anion, and reduced glutathione
levels were decreased in xylopine-treated HCT116 cells. Application of the
antioxidant N-acetylcysteine reduced the ROS levels and xylopine-induced
apoptosis, indicating activation of ROS-mediated apoptosis pathway. In
conclusion, xylopine has potent cytotoxicity to different cancer cell lines and
is able to induce oxidative stress and G2/M phase arrest, triggering caspase
mediated apoptosis by the p53-independent pathway in HCT116 cells.
PMID- 29362668
TI - Advances in cytogenetics of Brazilian rodents: cytotaxonomy, chromosome evolution
and new karyotypic data.
AB - Rodents constitute one of the most diversified mammalian orders. Due to the
morphological similarity in many of the groups, their taxonomy is controversial.
Karyotype information proved to be an important tool for distinguishing some
species because some of them are species-specific. Additionally, rodents can be
an excellent model for chromosome evolution studies since many rearrangements
have been described in this group.This work brings a review of cytogenetic data
of Brazilian rodents, with information about diploid and fundamental numbers,
polymorphisms, and geographical distribution. We point out that, even with the
recent efforts on cytogenetic studies in this group, many species lack karyotypic
data. Moreover, we describe for the first time the karyotype of Carterodon
sulcidens (Lund, 1838) (Family Echimyidae), a new fundamental number for an
undescribed species of Neacomys Thomas, 1900 (Family Cricetidae, Subfamily
Sigmodontinae), and illustrate the karyotype of a Brazilian specimen of Mus
musculus Linnaeus, 1758 (Family Muridae). This review compiles the cytogenetic
data on Brazilian rodents reported in the last three decades, after the last
revision published in 1984, including synonyms, chromosomal variations, and
geographic distribution. Additionally, it also reinforces that Brazilian
biodiversity is still poorly known, considering the new data reported here.
PMID- 29362669
TI - Studies in two allopatric populations of Hypostomus affinis (Steindachner, 1877):
the role of mapping the ribosomal genes to understand the chromosome evolution of
the group.
AB - Several cytogenetic markers show chromosomal diversity in the fish such as
"armoured catfish". Although studies have characterized many species in the major
genera representing these Siluridae, particularly in the genus Hypostomus
Lacepede, 1803, trends in chromosome evolution of this group remain unclear. The
Paraiba do Sul river basin contains the armoured catfish Hypostomus affinis
Steindachner, 1877, which is unique because of its distribution of repetitive
DNAs, the 5S and 18S rDNA. Identified samples and registered collections in
Brazilian museums were identified as the same typological species, while we
observed wide variations in the physical location of this gene in the karyotype
based on fluorescent in situ hybridization results. In this study, we propose
that these species can represent evolutionarily independent units, as these fish
frequently undergo processes such as dispersion and vicariance and that the rDNA
is associated with DNA that spreads in the genome, such as transposons.
Additionally, the absence of gene flow due to the distance of the sample location
could intensify evolutionary processes. The phenotypes found for the 18S rDNA
showed minor changes in relation to the number of sites between the lower and
upper drainage regions of Paraiba do Sul. The large difference in the number of
sites found for the 5S rDNA entered the same region (upper drainage of the basin)
and the literature data could represent a population dynamics where an expansion
of the 5S rDNA sites provides an extinct or non-sampled cytotype in this work.
PMID- 29362670
TI - Molecular phylogenetic reconstruction and localization of the (TTAGG)n telomeric
repeats in the chromosomes of Acromyrmex striatus (Roger, 1863) suggests a lower
ancestral karyotype for leafcutter ants (Hymenoptera).
AB - Chromosome counts and karyotype characterization have proved to be important
features of a genome. Chromosome changes during the diversification of ants might
play an important role, given the diversity and success of Formicidae.
Comparative karyotype analyses on ants have enriched and helped ant systematics.
Among leafcutter ants, two major chromosome counts have been described, one
frequent in Atta Fabricius, 1804 (2n = 22 in all Atta spp. whose karyotype is
known) and the other frequent in Acromyrmex Mayr, 1865 (2n = 38 in the majority
of species whose karyotype is known). The main exception is Acromyrmex striatus
(Roger, 1863), which harbors a diploid chromosome set of 22. Here we describe the
use of fluorescence in situ hybridization (FISH) with telomeric probes with
(TTAGG)6 repeats to describe the telomere composition of A. striatus and to
recover potential interstitial non-telomeric signals that may reflect fusion
events during the evolution of leafcutter lineage from 38 to 22 chromosomes.
Further, we reconstruct the ancestral chromosome numbers of the leafcutter clade
based on a recently proposed molecular phylogenetic hypothesis and phylogenomic
tree. Distinct signals have been observed in both extremities on the telomere
chromosomes of A. striatus. Non-telomeric signals have not been retrieved in our
analysis. It could be supposed that the low-numbered karyotype indeed represents
the ancestral chromosome number of leafcutters. The phylogenetic reconstruction
also recovered a low chromosome number from the diverse approaches implemented,
suggesting that n = 11 is the most likely ancestral karyotype of the leafcutter
ants and is a plesiomorphic feature shared between A. striatus and Atta spp.
PMID- 29362671
TI - The global origins of resistance-associated variants in the non-structural
proteins 5A and 5B of the hepatitis C virus.
AB - New, costly, fast acting, therapies targeting the non-structural proteins 5A and
5B (NS5A and NS5B) regions of the hepatitis C virus (HCV) genome are curative in
the majority of cases. Variants with certain mutations in the NS5A and NS5B
regions of HCV have been shown to reduce susceptibility to direct-acting NS5A and
NS5B therapy and are found in treatment naive patients. Despite this, the ease
with which these variants evolve is poorly known, as are their evolutionary and
geographic origins. To address this crucial gap we inferred the evolutionary and
geographic origins of resistance-associated variants (RAVs) in the HCV NS5A and
NS5B regions of subtypes 1a, 1b, and 3a sequences available from global
databases. We found that RAVs in the NS5A region of HCV, when prevalent, were
widely dispersed throughout the phylogenetic tree of HCV with multiple
independent origins and that these variants are globally distributed. In
contrast, most of the NS5B C316N variants came from one of two clades in the
phylogenetic tree of HCV subtype 1b. The presence of serine (S) at codon 218 of
HCV NS5B appears to facilitate the evolution of the C316N RAV. Other NS5B RAVs
did not arise very frequently in our data set, except for S556G in subtype 1b and
with respect to geography NS5B RAVs were also globally distributed. The inferred
distribution of RAVs in the NS5A region and frequency of their origin suggest a
low fitness barrier without the need for co-evolution of compensatory mutations.
A low fitness barrier may allow rapid selection of de novo resistance to NS5A
inhibitors during therapy.
PMID- 29362673
TI - Challenges in Assessing Outcomes among Infants of Pregnant HIV-Positive Women
Receiving ART in Uganda.
AB - Since 2012, the WHO recommends lifelong ART with TDF+FTC/3TC+EFV for all HIV
positive pregnant and breastfeeding women (Option B-plus). In this analysis we
describe the proportion of early and late transmission in mothers with high
retention in Kampala, Uganda. We included 700 pregnant women from January 2012 to
August 2014 with a follow-up extended to August 2016; the median age was 31 years
(IQR: 26-35), 36.3% in WHO stage 3/4; median CD4 count was 447 cells/MUL (IQR:
301-651) and 73.3% were already on ART for a median time of 28 (IQR: 10-57)
months; 52% infants were male and median weight was 3.2 Kg (IQR: 2.5-3.5). Five
hundred and sixty-five (80.7%) infants had at least one test for HIV; 22 (3.1%)
infants died, all with unknown serostatus; 3 tested positive at week 6 and one
additional at months 12 and 18. Two of the mothers of the 4 HIV-positive infants
were ART-naive at the time of pregnancy. We report very low documented HIV
transmission comparable with those reported in clinical trials settings; however,
demonstrating the efficacy of Option B-plus in terms of averted transmission in
routine settings is challenging since high proportion of infants do not have
documented HIV tests.
PMID- 29362672
TI - Frequency of Toxocariasis among Patients Clinically Suspected to Have Visceral
Toxocariasis: A Retrospective Descriptive Study in Sri Lanka.
AB - Introduction: Human toxocariasis is caused by several species of the nematode
Toxocara. Two common clinical syndromes are ocular and visceral larva migrans.
Objectives: To determine the Toxocara antibody positivity in clinically suspected
VLM patients and to describe demographic factors and clinical manifestations of
seropositive patients. Methods: 522 clinically suspected patients were studied
between 1993 and 2014. Relevant data was gathered from referral letters. Serum
samples were subjected to Toxocara antigen ELISA. Results: Overall,
seropositivity was 50.2% (262), of which 109 (40.8%) were positive at high level
of Toxocara antibody carriage and 153 (58.4%) were positive at low levels. The
seropositives ranged from 3 months to 70 years (mean = 7.8). Younger age group
had higher levels of seropositivity and it was statistically significant.
Majority of children under 5 years were seropositive (47.7%, n = 125).
Seropositivity was common in males (55.3%, n = 145). Clinical manifestations of
seropositives include lymphadenopathy (24.1%) skin rash (22.5%), dyspnoea
(21.7%), fever (21%), hepatosplenomegaly (9.2%), and abdominal pain (3.8%). 197
(75.2%) seropositive cases had eosinophilia. These symptoms were not
statistically significant. Conclusions: This study confirms toxocariasis as an
important cause of childhood ill health identifying common clinical symptoms
recommending preventive measures to limit transmission.
PMID- 29362674
TI - Robot-Assisted Hybrid Esophagectomy Is Associated with a Shorter Length of Stay
Compared to Conventional Transthoracic Esophagectomy: A Retrospective Study.
AB - Aim: To compare the peri- and postoperative data between a hybrid minimally
invasive esophagectomy (HMIE) and the conventional Ivor Lewis esophagectomy.
Methods: Retrospective comparison of perioperative characteristics, postoperative
complications, and survival between HMIE and Ivor Lewis esophagectomy. Results:
216 patients were included, with 160 procedures performed with the conventional
and 56 with the HMIE approach. Lower perioperative blood loss was found in the
HMIE group (600 ml versus 200 ml, p < 0.001). Also, a higher median number of
lymph nodes were harvested in the HMIE group (median 28) than in the conventional
group (median 23) (p = 0.002). The median length of stay was longer in the
conventional group compared to the HMIE group (11.5 days versus 10.0 days, p =
0.03). Patients in the HMIE group experienced fewer grade 2 or higher
complications than the conventional group (39% versus 57%, p = 0.03). The rate of
all pulmonary (51% versus 43%, p = 0.32) and severe pulmonary complications (38%
versus 18%, p = 0.23) was not statistically different between the groups.
Conclusions: The HMIE was associated with lower intraoperative blood loss, a
higher lymph node harvest, and a shorter hospital stay. However, the inborn
limitations with the retrospective design stress a need for prospective
randomized studies. Registration number is DRKS00013023.
PMID- 29362675
TI - Are Shopkeepers Suffering from Pulmonary Tuberculosis in Bahir Dar City,
Northwest Ethiopia: A Cross-Sectional Survey.
AB - Background: Despite several interventions, tuberculosis (TB) continues to be a
major public health concern in developing countries. Objective: To determine
pulmonary TB prevalence and associated factors among shopkeepers in Bahir Dar
City, Ethiopia. Methods: A cross-sectional study was conducted in 2016 among 520
shopkeepers who had TB signs and symptoms using questionnaire interview and
sputum samples processing. Shopkeepers were considered TB positive if two sputum
slides became positive. Data were edited and analyzed using SPSS version 23.
Multivariable logistic regression analysis was used to identify factors. Results:
A total of 520 shopkeepers were interviewed and gave sputum samples. About 256
(49.2%) of them were under the <=30 years' age category, 22.0% can read and
write, 65.0% were Muslims, and 32.0% originated from rural areas. Pulmonary TB
prevalence was 7.0% (37/520), and positivity proportion was 57.0% (21/37) in
males and 70.0% (26/37) in urban residents. Smaller (44.0%) shopkeepers got
health education on TB. Illiteracy, no health education, contact history,
cigarette smoking, nonventilated shops, and comorbidities were factors to TB
infection (p value < 0.05). Conclusions: Significant numbers of shopkeepers were
infected by TB. Factors to TB infection were either personal or related to
comorbidities or the environment. Therefore, TB officials need to specially
emphasize awareness creation, occupational health, and early screening to prevent
TB.
PMID- 29362676
TI - Design, Synthesis, and Cytotoxicity Evaluation of Novel Griseofulvin Analogues
with Improved Water Solubility.
AB - Griseofulvin 1 is an important antifungal agent that has recently received
attention due to its antiproliferative activity in mammalian cancer cells. Study
of SAR of some griseofulvin analogues has led to the identification of 2'
benzyloxy griseofulvin 3, a more potent analogue which retards tumor growth
through inhibition of centrosomal clustering. However, similar to griseofulvin 1,
compound 3 exhibited poor aqueous solubility. In order to improve the poor water
solubility, six new griseofulvin analogues 5-10 were synthesized and tested for
their antiproliferative activity and water solubility. The semicarbazone 9 and
aminoguanidine 10 analogues were the most potent against HCT116 and MCF-7 cell
lines. In combination studies, compound 9 was found to exert synergistic effects
with tamoxifen and 5-fluorouracil against MCF-7 and HCT116 cells proliferation,
respectively. The flow cytometric analysis of effect of 9 on cell cycle
progression revealed G2/M arrest in HCT116. In addition, compound 9 induced
apoptosis in MCF-7 cells. Finally, all synthesized analogues revealed higher
water solubility than griseofulvin 1 and benzyloxy analogue 3 in pH 1.2 and 6.8
buffer solutions.
PMID- 29362677
TI - Frequency of c.35delG Mutation in GJB2 Gene (Connexin 26) in Syrian Patients with
Nonsyndromic Hearing Impairment.
AB - Background: Hearing impairments (HI) are the most common birth defect worldwide.
Very large numbers of genes have been identified but the most profound is GJB2.
The clinical interest regarding this gene is very pronounced due to its high
carrier frequency (0.5-5.4%) across different ethnic groups. This study aimed to
determine the prevalence of common GJB2 mutations in Syrian patients with
profound sensorineural HI. Methods: We carried out PCR, restriction enzyme based
screening, and sequencing of 132 Syrian patients diagnosed clinically with
hereditary deafness for different GJB2 mutations. Results: The result revealed
that, in GJB2 gene, c.35delG is the most prevalent among affected studied
subjects (13.64%), followed by c.457G>A (2.4%). Conclusion: The benefit of this
study on the one hand is its first report of prelingual deafness causative gene
mutations identified by sequencing technology in the Syrian families. It is
obvious from the results that the deployment in biomedical research is highly
effective and has a great impact on the ability to uncover the cause of genetic
variation in different genetic diseases.
PMID- 29362679
TI - Bone Lid Technique Using a Piezoelectric Device for the Treatment of a Mandibular
Bony Lesion.
AB - Different techniques for the enucleation of jaw cyst lesion in the oral and
maxillofacial regions have been proposed, including the bone lid technique. The
purpose of this case report is to describe the case of a cystic lesion,
approached with the bone lid technique performed using a piezoelectric device,
with an 8-month clinical and radiographic follow-up. A 14-year-old male patient
was treated for a suspicious lesion detected on a panoramic radiograph. The
concerned area was surgically accessed, and a radiographically predetermined bony
window was drawn, and the beveled bony lid was removed. The underlying lesion was
enucleated and sent for pathology as a routine procedure, and the removed bony
lid was repositioned in situ and secured with a collagen tape. Healing was
uneventful with limited swelling and reduced pain. A complete radiographic bone
healing at the previously diseased site was confirmed with an 8-month cone beam
computed tomography (CBCT) scan with no buccal bone resorption nor ridge
collapse. The bone lid technique with a piezoelectric device was noninvasive and
atraumatic in this case. Further studies are needed and could lead to the
adaptation of this approach as a possible standard of care.
PMID- 29362678
TI - Long-Term High Salt Intake Involves Reduced SK Currents and Increased
Excitability of PVN Neurons with Projections to the Rostral Ventrolateral Medulla
in Rats.
AB - Evidence indicates that high salt (HS) intake activates presympathetic
paraventricular nucleus (PVN) neurons, which contributes to sympathoexcitation of
salt-sensitive hypertension. The present study determined whether 5 weeks of HS
(2% NaCl) intake alters the small conductance Ca2+-activated potassium channel
(SK) current in presympathetic PVN neurons and whether this change affects the
neuronal excitability. In whole-cell voltage-clamp recordings, HS-treated rats
had significantly decreased SK currents compared to rats with normal salt (NS,
0.4% NaCl) intake in PVN neurons. The sensitivity of PVN neuronal excitability in
response to current injections was greater in HS group compared to NS controls.
The SK channel blocker apamin augmented the neuronal excitability in both groups
but had less effect on the sensitivity of the neuronal excitability in HS group
compared to NS controls. In the HS group, the interspike interval (ISI) was
significantly shorter than that in NS controls. Apamin significantly shortened
the ISI in NS controls but had less effect in the HS group. This data suggests
that HS intake reduces SK currents, which contributes to increased PVN neuronal
excitability at least in part through a decrease in spike frequency adaptation
and may be a precursor to the development of salt-sensitive hypertension.
PMID- 29362680
TI - Impacted Metallic Spring Requiring Cervical Esophagotomy: A Case Report and
Review of the Literature on Foreign Body Removal.
AB - Foreign body ingestion is a commonly encountered problem and can cause
significant morbidity and mortality. When removal of a foreign body from the
upper gastrointestinal tract is indicated, endoscopy is the modality of choice
and has a high reported success rate. However, in less than 1% of cases,
endoscopic removal of a foreign body is unsuccessful and surgical intervention is
necessary. We report a unique case of a large, sharp metallic spring swallowed by
an incarcerated patient which subsequently became lodged in his upper thoracic
esophagus. This spring was unable to be removed endoscopically due to risk of
perforation and cervical esophagotomy was needed for its successful removal,
illustrating the limitations of endoscopic techniques in removal of foreign
bodies and the role surgical intervention has in these rare instances.
PMID- 29362681
TI - Unusual Radiographic Presentation of Pneumocystis Pneumonia in a Patient with
AIDS.
AB - Pneumocystis jirovecii pneumonia (PCP) typically presents as an interstitial and
alveolar process with ground glass opacities on chest computed tomography (CT).
The absence of ground glass opacities on chest CT is thought to have a high
negative predictive value for PCP in individuals with AIDS. Here, we report a
case of PCP in a man with AIDS who presented to our hospital with subacute
shortness of breath and a nonproductive cough. While his chest CT revealed
diffuse nodular rather than ground glass opacities, bronchoscopy with
bronchoalveolar lavage and transbronchial biopsies confirmed the diagnosis of PCP
and did not identify additional pathogens. PCP was not the expected diagnosis
based on chest CT, but it otherwise fit well with the patient's clinical and
laboratory presentation. In the era of combination antiretroviral therapy,
routine prophylaxis for PCP, and increased use of computed tomography, it may be
that PCP will increasingly present with nonclassical chest radiographic patterns.
Clinicians should be aware of this presentation when selecting diagnostic and
management strategies.
PMID- 29362682
TI - Obturator Nerve Schwannoma as a Mimic of Ovarian Malignancy.
AB - The obturator nerve is an extremely rare location for schwannomas to originate,
and such diagnosis is typically not considered among the imaging diagnostic
possibilities for a cystic-solid pelvic mass. A 63-year-old female with a known
pelvic mass presented with increasing pelvic pain. The mass, which had been
followed by serial imaging over five years, was described showing mixed solid and
cystic components, likely arising from the left ovary. Although the key diagnosis
to be excluded was a primary ovarian malignancy, the patient chose to pursue
active surveillance. Over the five years of close observation, the lesion
increased slowly, while her CA-125 level showed no significant elevation.
Increase in size of the mass and worsening pain and concern for a gynecologic
malignancy on MRI led her to ultimately consent to a hysterectomy with bilateral
salpingooophorectomy. During the surgery, the mass was noted to be contiguous
with the left obturator nerve. Pathologic evaluation revealed a schwannoma (WHO
grade I). The patient's postsurgical course was uneventful, without residual
weakness in the left adductor muscles.
PMID- 29362683
TI - A Rare Case of Metastatic Choriocarcinoma of Lung Origin.
AB - Choriocarcinoma is part of the spectrum of gestational trophoblastic disease that
occurs in women of reproductive age. Although the most common metastatic site of
choriocarcinoma is the lung, primary pulmonary choriocarcinoma is rare. To
diagnose primary pulmonary choriocarcinoma, the patient should have no previous
gynecologic malignancy, have elevated human chorionic gonadotropin, and have
pathological confirmation of the disease excluding gonadal primary site of the
tumor. Due to the paucity of data, there are no guidelines for treatment.
Prognosis of this malignancy is extremely poor. We report a rare case of
metastatic primary lung choriocarcinoma in a 69-year-old postmenopausal woman who
was treated with combination of surgery, chemotherapy, and radiation. The patient
had a good outcome and is doing well after 1-year follow-up.
PMID- 29362684
TI - Left Paraduodenal Hernia: A Rare Complication following Laparoscopic
Appendectomy.
AB - Paraduodenal hernias are rare congenital internal hernias accounting for <2% of
intestinal obstruction. Left paraduodenal hernias (LPDHs) into the fossa of
Landzert are the more common type and result from abnormal rotation of the midgut
and failure of peritoneal fusion. Sequelae of these hernias usually occur
spontaneously in the 4th or 5th decade of life and are more common in males and
have a significant risk of incarceration and subsequent strangulation. We
describe a case of a 15-year-old female who develops a LPDH following
laparoscopic appendectomy, resulting in jejunal incarceration and subsequent
small intestinal obstruction. The patient discussed is from an atypical
demographic, being young and female. In addition, the precipitating event
prompting incarceration of the hernia appears to be the application of
pneumoperitoneum, placement in the Trendelenburg position, and manipulation of
small intestine for the purpose of facilitating laparoscopic appendectomy. To our
knowledge, this is the first reported case of LPDH exacerbated by laparoscopic
procedure.
PMID- 29362685
TI - Sustained Effectiveness of a Fixed-Dose Combination of Artesunate and Amodiaquine
in 480 Patients with Uncomplicated Plasmodium falciparum Malaria in Cote
d'Ivoire.
AB - The objective of this study was to monitor the effectiveness of artesunate
amodiaquine fixed-dose combination tablets (ASAQ Winthrop(r)) in the treatment of
uncomplicated Plasmodium falciparum malaria in Cote d'Ivoire. Two enrolment
periods (November 2009 to May 2010 and March to October 2013) were compared using
an identical design. Subjects with proven monospecific P. falciparum infection
according to the WHO diagnostic criteria were eligible. 290 patients during each
period received a dose of ASAQ Winthrop tablets appropriate for their age. The
primary outcome measure was PCR-corrected adequate clinical and parasitological
response at Day 28 in the per protocol population (255 in Period 1 and 240 in
Period 2). This was achieved by 95.7% of patients during Period 1 and 96.3%
during Period 2. Over 95% of patients were afebrile at Day 3 and complete
parasite clearance was achieved at Day 3 in >99% of patients. Nineteen adverse
events in nineteen patients were considered as possibly related to treatment,
principally vomiting, abnormal liver function tests, and pruritus. There was no
evidence for loss of effectiveness over the three-year period in spite of strong
drug pressure. This trial was registered in the US Clinical Trials Registry
(clinical.trials.gov) under the identifier number NCT01023399.
PMID- 29362686
TI - A Possible Link Between Pyriproxyfen and Microcephaly.
AB - The Zika virus has been the primary suspect in the large increase in incidence of
microcephaly in 2015-6 in Brazil. While evidence for Zika being the cause of some
of the cases is strong, its role as the primary cause of the large number of
cases in Brazil has not been confirmed. Recently, the disparity between the
incidences in different geographic locations has led to questions about the
virus's role. Here we consider the alternative possibility that the use of the
insecticide pyriproxyfen for control of mosquito populations in Brazilian
drinking water is the primary cause. Pyriproxifen is a juvenile hormone analog
which has been shown to correspond in mammals to a number of fat soluble
regulatory molecules including retinoic acid, a metabolite of vitamin A, with
which it has cross-reactivity and whose application during development has been
shown to cause microcephaly. Methoprene, another juvenile hormone analog that was
approved as an insecticide based upon tests performed in the 1970s, has
metabolites that bind to the mammalian retinoid X receptor, and has been shown to
cause developmental disorders in mammals. Isotretinoin is another example of a
retinoid causing microcephaly in human babies via maternal exposure and
activation of the retinoid X receptor in developing fetuses. Moreover, tests of
pyriproxyfen by the manufacturer, Sumitomo, widely quoted as giving no evidence
for developmental toxicity, actually found some evidence for such an effect,
including low brain mass and arhinencephaly-incomplete formation of the anterior
cerebral hemispheres-in exposed rat pups. Finally, the pyriproxyfen use in Brazil
is unprecedented-it has never before been applied to a water supply on such a
scale. Claims that it is not being used in Recife, the epicenter of microcephaly
cases, do not distinguish the metropolitan area of Recife, where it is widely
used, and the municipality, and have not been adequately confirmed. Given this
combination of information about molecular mechanisms and toxicological evidence,
we strongly recommend that the use of pyriproxyfen in Brazil be suspended until
the potential causal link to microcephaly is investigated further.
PMID- 29362687
TI - False Low-Risk Single Nucleotide Polymorphism-Based Noninvasive Prenatal
Screening in Pentasomy 49,XXXXY.
AB - Introduction Pentasomy 49,XXXXY is a sex chromosome anomaly difficult to be
diagnosed prenatally. We describe a patient of pentasomy 49,XXXXY with false low
risk results using a noninvasive prenatal screening (NIPS). A 30-year-old G1P0
woman presented at 33 6/7 weeks, secondary to sonographic fetal anomalies. She
had low-risk NIPS at 13 6/7 weeks. Anatomy survey showed bilateral clubfeet,
clinodactyly of the left fifth digit, micropenis, and echogenic bowel.
Cytogenetics analysis revealed pentasomy 49,XXXXY syndrome. We report third
trimester sonographic features of a fetus with pentasomy 49,XXXXY and the
importance of thorough pre- and posttest counseling for NIPS.
PMID- 29362688
TI - Pregnant Women's Knowledge and Beliefs about the Safety and Outcomes of Delivery
at Various Gestational Ages.
AB - Objectives Despite the morbidity associated with late preterm and early-term
births, there is limited data on pregnant women's perception of neonatal risk
based on gestational age (GA). Therefore, our objective was to determine pregnant
women's perception of neonatal risks at varying GAs. Method Through an anonymous
24-question survey, pregnant women were asked to designate the GA at delivery
that is desirable, safe, and defined as full term. Responses were compared based
on race, history of preterm birth, and medical comorbidities. Results Among the
233 survey respondents, the majority (62.9%) desired delivery at 36 to 39 weeks'
gestation. Black women were more likely to desire delivery at 28 to 35 weeks
compared with other racial/ethnic groups ( p = 0.005). Women with a history of
preterm birth or medical complications were less likely to desire delivery at 40
weeks. More than 40% of respondents thought delivery at 8 months of pregnancy was
safe and 40.3% responded that 37 weeks' gestation is considered term. Conclusion
Misconceptions surrounding the definition of a term pregnancy are pervasive and
vary by race, obstetric history, and medical comorbidities. Our findings
highlight the need for patient education about appropriate gestational length,
especially in minority and high-risk populations.
PMID- 29362689
TI - Ergonomic risk and preventive measures of musculoskeletal disorders in the
dentistry environment: an umbrella review.
AB - Introduction: Dental practitioners are exposed to different occupational hazards
during the course of their professional activity, such as physical, chemical,
biological, ergonomic factors. The ergonomic hazards, caused by strained posture
and prolonged repetitive movements, can induce musculoskeletal disorders. It
occurs in 54-93% of dental professionals and involve the spine, shoulder and hand
wrist tract. Through a systematic review of international literature, we analyzed
specific ergonomic risk factors and preventive measures of musculoskeletal
disorders in professional dental activity. Methods: This systematic review is
coherent with the PRISMA statement. The scientific research on the major online
databases was based on the following keywords: dentist, prevention, ergonomic,
dentistry, musculoskeletal, neck pain, posture, ergonomics, work and
occupational. The studies included in this review focus on disorders related to
ergonomics and on the most effective preventive measures to be adopted. No
restrictions were applied for language or publication type. We excluded reports
not related to ergonomic prevention in dentistry, reports of minor academic
significance, editorial articles, individual contributions, and studies published
in scientific conferences. Results: Online research indicated 4188 references:
PubMed (2919), Scopus (1257) e Cochrane Library (12). We excluded 3012 of these,
because they were unrelated to ergonomics theme and 187 due to duplication. From
the remaining 989 studies, 960 papers did not meet inclusion criteria and they
were excluded. Therefore, we analyzed 29 articles, including 16 narrative reviews
and 13 original article. The main risk factor for the development of
musculoskeletal disorders found in our analysis is static posture adopted during
work, highlighted in 87.5% of reviews and 84% of original articles. With regard
to preventive measures, 75% of the reviews highlighted the importance of
stretching after each working session and at the end of the working day, while
61.5% of the original articles emphasized the use of modern and ergonomic
instruments. Discussion: This review showed that static postures are strongly
responsible in the etiology of musculoskeletal disorders. The awkward postures
more frequently identified among dental professionals are: extreme forward-head
and neck flexion; trunk inclination and rotation towards one side; lifting one or
both shoulders; increased curvature of the thoracic vertebral column; incorrect
positioning of the lower limbs with thigh-leg angle of less than 90 degrees . It
is really important to use of a modern workstation with appropriate ergonomic
supports. Among the preventive ergonomic measures, literature has widely
recognized the role of physical activity and of a neutral and balanced posture.
The present review has some limits: a large part of the selected studies did not
have a high methodological quality score and an inadequate statistical analysis.
PMID- 29362690
TI - Population genetic structure of Patagonian toothfish (Dissostichus eleginoides)
in the Southeast Pacific and Southwest Atlantic Ocean.
AB - Previous studies of population genetic structure in Dissostichus eleginoides have
shown that oceanographic and geographic discontinuities drive in this species
population differentiation. Studies have focused on the genetics of D.
eleginoides in the Southern Ocean; however, there is little knowledge of their
genetic variation along the South American continental shelf. In this study, we
used a panel of six microsatellites to test whether D. eleginoides shows
population genetic structuring in this region. We hypothesized that this species
would show zero or very limited genetic structuring due to the habitat continuity
along the South American shelf from Peru in the Pacific Ocean to the Falkland
Islands in the Atlantic Ocean. We used Bayesian and traditional analyses to
evaluate population genetic structure, and we estimated the number of putative
migrants and effective population size. Consistent with our predictions, our
results showed no significant genetic structuring among populations of the South
American continental shelf but supported two significant and well-defined genetic
clusters of D. eleginoides between regions (South American continental shelf and
South Georgia clusters). Genetic connectivity between these two clusters was
11.3% of putative migrants from the South American cluster to the South Georgia
Island and 0.7% in the opposite direction. Effective population size was higher
in locations from the South American continental shelf as compared with the South
Georgia Island. Overall, our results support that the continuity of the deep-sea
habitat along the continental shelf and the biological features of the study
species are plausible drivers of intraspecific population genetic structuring
across the distribution of D. eleginoides on the South American continental
shelf.
PMID- 29362691
TI - Prediction of life stress on athletes' burnout: the dual role of perceived
stress.
AB - Although many studies adopted Smith's (1986) cognitive-affective model of
athletic burnout in examining stress-burnout relationship, very few studies
examined the mediating/moderating role of perceived stress on the stress-burnout
relationship. We sampled 195 college student-athletes and assessed their life
stress, perceived stress, and burnout. Correlation analyses found all study
variables correlated. Two separate hierarchical regression analyses found that
the "distress" component of perceived stress mediated athletes' two types of life
stress-burnout relationship but "counter-stress" component of perceived stress
moderated athletes' general-life stress-burnout relationship. We concluded that
interweaving relationships among athletes' life stress, perceived stress, and
burnout are not straightforward. Future research should consider the nature of
athletes life stress, and dual role of perceived stress in examining its'
association with related psychological responses in athletic settings.
PMID- 29362692
TI - -Comparative spigot ontogeny across the spider tree of life.
AB - Spiders are well known for their silk and its varying use across taxa. Very few
studies have examined the silk spigot ontogeny of the entire spinning field of a
spider. Historically the spider phylogeny was based on morphological data and
behavioral data associated with silk. Recent phylogenomics studies have shifted
major paradigms in our understanding of silk use evolution, reordering
phylogenetic relationships that were once thought to be monophyletic. Considering
this, we explored spigot ontogeny in 22 species, including Dolomedes tenebrosus
and Hogna carolinensis, reported here for the first time. This is the first study
of its kind and the first to incorporate the Araneae Tree of Life. After rigorous
testing for phylogenetic signal and model fit, we performed 60 phylogenetic
generalized least squares analyses on adult female and second instar spigot
morphology. Six analyses had significant correlation coefficients, suggesting
that instar, strategy, and spigot variety are good predictors of spigot number in
spiders, after correcting for bias of shared evolutionary history. We performed
ancestral character estimation of singular, fiber producing spigots on the
posterior lateral spinneret whose potential homology has long been debated. We
found that the ancestral root of our phylogram of 22 species, with the addition
of five additional cribellate and ecribellate lineages, was more likely to have
either none or a modified spigot rather than a pseudoflagelliform gland spigot or
a flagelliform spigot. This spigot ontogeny approach is novel and we can build on
our efforts from this study by growing the dataset to include deeper taxon
sampling and working towards the capability to incorporate full ontogeny in the
analysis.
PMID- 29362693
TI - Giant ants and their shape: revealing relationships in the genus Titanomyrma with
geometric morphometrics.
AB - Shape is a natural phenomenon inherent to many different lifeforms. A modern
technique to analyse shape is geometric morphometrics (GM), which offers a whole
range of methods concerning the pure shape of an object. The results from these
methods have provided new insights into biological problems and have become
especially useful in the fields of entomology and palaeontology. Despite the
conspicuous successes in other hymenopteran groups, GM analysis of wings and
fossil wings of Formicidae has been neglected. Here we tested if landmarks
defining the wing shape of fossil ants that belong to the genus Titanomyrma are
reliable and if this technique is able to expose relationships among different
groups of the largest Hymenoptera that ever lived. This study comprises 402 wings
from 362 ants that were analysed and assigned with the GM methods linear
discriminant function analysis, principal component analysis, canonical variate
analysis, and regression. The giant ant genus Titanomyrma and the parataxon
Formicium have different representatives that are all very similar but these
modern methods were able to distinguish giant ant types even to the level of the
sex. Thirty-five giant ant specimens from the Eckfeld Maar were significantly
differentiable from a collection of Messel specimens that consisted of 187
Titanomyrma gigantea females and 42 T. gigantea males, and from 74 Titanomyrma
simillima females and 21 T. simillima males. Out of the 324 Messel ants, 127 are
newly assigned to a species and 223 giant ants are newly assigned to sex with GM
analysis. All specimens from Messel fit to the two species. Moreover, shape
affinities of these groups and the species Formicium brodiei, Formicium mirabile,
and Formicium berryi, which are known only from wings, were investigated. T.
gigantea stands out with a possible female relative in one of the Eckfeld
specimens whereas the other groups show similar shape patterns that are possibly
plesiomorphic. Formicidae are one of the most dominant taxa in the animal kingdom
and new methods can aid in investigating their diversity in the present and in
deep time. GM of the ant wing delivers significant results and this core of
methods is able to enhance the toolset we have now to analyse the complex biology
of the ants. It can prove as especially useful in the future when incorporated
into better understanding aspects of evolutionary patterns and ant palaeontology.
PMID- 29362694
TI - Sicegar: R package for sigmoidal and double-sigmoidal curve fitting.
AB - Sigmoidal and double-sigmoidal dynamics are commonly observed in many areas of
biology. Here we present sicegar, an R package for the automated fitting and
classification of sigmoidal and double-sigmoidal data. The package categorizes
data into one of three categories, "no signal," "sigmoidal," or "double
sigmoidal," by rigorously fitting a series of mathematical models to the data.
The data is labeled as "ambiguous" if neither the sigmoidal nor double-sigmoidal
model fit the data well. In addition to performing the classification, the
package also reports a wealth of metrics as well as biologically meaningful
parameters describing the sigmoidal or double-sigmoidal curves. In extensive
simulations, we find that the package performs well, can recover the original
dynamics even under fairly high noise levels, and will typically classify curves
as "ambiguous" rather than misclassifying them. The package is available on CRAN
and comes with extensive documentation and usage examples.
PMID- 29362695
TI - Differential response to stress in Ostrea lurida as measured by gene expression.
AB - Olympia oysters are the only oyster native to the west coast of North America.
The population within Puget Sound, WA has been decreasing significantly since the
early 1900's. Current restoration efforts are focused on supplementing local
populations with hatchery bred oysters. A recent study by Heare et al. (2017) has
shown differences in stress response in oysters from different locations in Puget
Sound however, nothing is known about the underlying mechanisms associated with
these observed differences. In this study, expression of genes associated with
growth, immune function, and gene regulatory activity in oysters from Oyster Bay,
Dabob Bay, and Fidalgo Bay were characterized following temperature and
mechanical stress. We found that heat stress and mechanical stress significantly
changed expression in molecular regulatory activity and immune response,
respectively. We also found that oysters from Oyster Bay had the most dramatic
response to stress at the gene expression level. These data provide important
baseline information on the physiological response of Ostrea lurida to stress and
provide clues to underlying performance differences in the three populations
examined.
PMID- 29362696
TI - Phylogenetic relationships and genetic diversity of the Polypedates leucomystax
complex in Thailand.
AB - Taxonomic uncertainty of the Asian tree frog Polypedates leucomystax complex
presents the challenging task of inferring its biogeographical history. Here, we
describe its dispersion and the genetic relationships among different populations
in Thailand, where we connect the population of the P. leucomystax complex of the
Sunda Islands to the Indochina (mainland) population based on analyses of 266
sequences of the mitochondrial cytochrome c oxidase subunit I (COI) gene. Our
maternal genealogy implies that there are four well-supported lineages in
Thailand, consisting of Northern A (clade A: Polypedates sp.), Nan (clade B: P.
cf. impresus), Southern (clade C: P. cf. leucomystax) and Northern D (clade D: P.
cf. megacephalus), with Bayesian posterior probability >0.9. Phylogeny and
haplotype networks indicate that clades A, B and D are sympatric. In contrast,
clade C (P. cf. leucomystax) and clade D (P. cf. megacephalus) are genetically
divergent due to the geographical barrier of the Isthmus of Kra, resulting in an
allopatric distribution. Climatic conditions, in particular differences in
rainfall on each side of the Isthmus of Kra, may play an important role in
limiting the immigration of both clades. For the within-populations of either
clades C or D, there was no significant correlation between geographic and
genetic distance by the isolation-by-distance test, indicating intraspecific
dispersal of each clade. Population expansion occurred in clade C, whereas clade
D showed a constant population. Taken together, the P. leucomystax complex in
South East Asia may have diversified under climatic pressure, leading to
allopatric and/or sympatric speciation.
PMID- 29362697
TI - Long-horned Ceratopsidae from the Foremost Formation (Campanian) of southern
Alberta.
AB - The horned Ceratopsidae represent one of the last radiations of dinosaurs, and
despite a decade of intense work greatly adding to our understanding of this
diversification, their early evolution is still poorly known. Here, two
postorbital horncores from the upper Foremost Formation (Campanian) of Alberta
are described, and at ~78.5 Ma represent some of the geologically oldest
ceratopsid material. The larger of these specimens is incorporated into a fused
supraorbital complex, and preserves a massive, straight, postorbital horncore
that is vertical in lateral view, but canted dorsolaterally in rostral view.
Medially, the supracranial sinus is composed of a small, restricted caudal
chamber, and a large rostral chamber that forms the cornual diverticulum. This
morphology is distinct from that of the long-horned Chasmosaurinae, and similar
to, but still different from, those of younger Centrosaurinae taxa. The smaller
specimen represents an ontogenetically younger individual, and although showing
consistent morphology to the larger specimen, is less taxonomically useful.
Although not certain, these postorbital horns may be referable to a long-horned
basal (i.e., early-branching, non-pachyrhinosaurini, non-centrosaurini)
centrosaurine, potentially the contemporaneous Xenoceratops, largely known from
the parietosquamosal frill. These specimens indicate the morphology of the
supracranial sinus in early, long-horned members of the Ceratopsidae, and add to
our understanding of the evolution of the cranial display structures in this
iconic dinosaur clade.
PMID- 29362698
TI - Attentional biases toward body images in males at high risk of muscle dysmorphia.
AB - Objective: Although research on muscle dysmorphia (MD), a body dysmorphic
disorder subtype, has recently increased, the causes and mechanisms underlying
this disorder remain unclear. Results from studies examining disorders associated
with body image suggest the involvement of self-schema in biasing attention
toward specific body information. The present study examined whether individuals
at higher risk of MD also display attentional biases toward specific types of
body images. Methods: The validated Chinese version of the Muscle Appearance
Satisfaction Scale was used to distinguish men at higher and lower risk of MD.
Sixty-five adult Chinese men at higher (HRMD, n = 33) and lower risk of MD (LRMD,
n = 32) performed a visual probe task. Initially, an image of a bodybuilder with
either larger or smaller musculature was presented on one side of a central
point, with a neutral image of a car exterior presented on the other side along
the horizontal plane for 2,000 ms. The paired images were removed, and a visual
target (a dot) was displayed in the location of one of the previously shown
images. Participants were asked to indicate the location of the target, and their
eye movements were recorded during the entire visual presentation. Participant
reaction time and three eye movement measurements (gaze direction, first saccade
latency, and first fixation duration) were recorded for use in determining
attentional bias. Results: The HRMD group revealed biases in orienting and
maintaining their attention on images of bodybuilders with larger musculatures.
Participants in this group consequently had a shorter reaction time in
identifying the target that appeared at the location in which an image of a
bodybuilder with a larger musculature had been previously displayed. They also
directed their initial gaze more frequently, had shorter saccade latency, and had
longer first fixation duration on images of bodybuilders with larger musculatures
(all p < .0001). In comparison, the LRMD group had longer reaction times, slower
attention orientation toward body images, and shorter fixation duration for
images of bodybuilders with larger musculatures (all p < .0001), indicating
weaker or mixed responses. Discussion: Adult Chinese men at higher risk of MD
displayed biases in orienting and maintaining their visual attention toward
images of bodybuilders with larger musculatures, and these biases facilitated
their information processing. These results suggest that development of MD may be
due in part to attentional biases associated with established negative self
schema of specific body information. These findings provide insight into
understanding and identifying the cognitive characteristics of MD in an Asian
population.
PMID- 29362699
TI - Local genic base composition impacts protein production and cellular fitness.
AB - The maintenance of a G + C content that is higher than the mutational input to a
genome provides support for the view that selection serves to increase G + C
contents in bacteria. Recent experimental evidence from Escherichia coli
demonstrated that selection for increasing G + C content operates at the level of
translation, but the precise mechanism by which this occurs is unknown. To
determine the substrate of selection, we asked whether selection on G + C content
acts across all sites within a gene or is confined to particular genic regions or
nucleotide positions. We systematically altered the G + C contents of the GFP
gene and assayed its effects on the fitness of strains harboring each variant.
Fitness differences were attributable to the base compositional variation in the
terminal portion of the gene, suggesting a connection to the folding of a
specific protein feature. Variants containing sequence features that are thought
to result in rapid translation, such as low G + C content and high levels of
codon adaptation, displayed highly reduced growth rates. Taken together, our
results show that purifying selection acting against A and T mutations most
likely results from their tendency to increase the rate of translation, which can
perturb the dynamics of protein folding.
PMID- 29362700
TI - Distributional dynamics of a vulnerable species in response to past and future
climate change: a window for conservation prospects.
AB - Background: The ongoing change in climate is predicted to exert unprecedented
effects on Earth's biodiversity at all levels of organization. Biological
conservation is important to prevent biodiversity loss, especially for species
facing a high risk of extinction. Understanding the past responses of species to
climate change is helpful for revealing response mechanisms, which will
contribute to the development of effective conservation strategies in the future.
Methods: In this study, we modelled the distributional dynamics of a 'Vulnerable'
species, Pseudolarix amabilis, in response to late Quaternary glacial
interglacial cycles and future 2080 climate change using an ecological niche
model (MaxEnt). We also performed migration vector analysis to reveal the
potential migration of the population over time. Results: Historical modelling
indicates that the range dynamics of P. amabilis is highly sensitive to climate
change and that its long-distance dispersal ability and potential for
evolutionary adaption are limited. Compared to the current climatically suitable
areas for this species, future modelling showed significant migration northward
towards future potential climatically suitable areas. Discussion: In combination
with the predicted future distribution, the mechanism revealed by the historical
response suggests that this species will not be able to fully occupy the future
expanded areas of suitable climate or adapt to the unsuitable climate across the
future contraction regions. As a result, we suggest assisted migration as an
effective supplementary means of conserving this vulnerable species in the face
of the unprecedentedly rapid climate change of the 21st century. As a study case,
this work highlights the significance of introducing historical perspectives
while researching species conservation, especially for currently vulnerable or
endangered taxa that once had a wider distribution in geological time.
PMID- 29362701
TI - Analysis of an ATP-induced conformational transition of ABC transporter MsbA
using a coarse-grained model.
AB - Upon the binding of ATP molecules to nucleotide binding domains (NBDs), ATP
binding cassette (ABC) exporters undergo a conformational transition from an
inward-facing (IF) to an outward-facing (OF) state. This molecular event is a
typical example of chemo-mechanical coupling. However, the underlying mechanism
remains unclear. In this study, we analyzed the IF->OF transition of a
representative ABC exporter, MsbA, by solving the equation of motion under an
elastic network model (ENM). ATP was represented as a single node in ENM or
replaced by external forces. When two ATP nodes were added to the ENM of the IF
state protein, the two NBDs dimerized; subsequently, the two transmembrane
domains opened toward the extracellular side, resulting in the formation of the
OF structure. Such a conformational transition was also reproduced by applying
external forces, which caused the rotational motion of the NBDs instead of the
addition of ATP nodes. The process of the conformational transition was analyzed
in detail using cross-correlation maps for node-node interactions. More
importantly, it was revealed that the ATP binding energy is converted into
distortion energy of several transmembrane helices. These results are useful for
understanding the chemo-mechanical coupling in ABC transporters.
PMID- 29362702
TI - Analysis of the GTPase motif of FlhF in the control of the number and location of
polar flagella in Vibrio alginolyticus.
AB - Vibrio alginolyticus normally has a single polar flagellum whose number and
placement are regulated positively by FlhF. FlhF is a GTPase and homolog of a
signal recognition particle (SRP) protein called Ffh and SRP receptor FtsY. FlhF
is located at the cell pole and directs formation of the flagellum. To study the
mechanism of FlhF localization, we introduced random mutations into flhF by means
of hydroxylamine and isolated mutants that could not generate the flagellum at
the cell pole. The novel mutations were only mapped to the GTPase motif of FlhF.
The mutant FlhF proteins showed reduced polar localization as compared to the
wild type and still could associate with the membrane. These results support the
assumption that the GTPase motif of FlhF plays a critical role in the polar
localization of this protein during formation of the flagellum.
PMID- 29362703
TI - Chimeric microbial rhodopsins for optical activation of Gs-proteins.
AB - We previously showed that the chimeric proteins of microbial rhodopsins, such as
light-driven proton pump bacteriorhodopsin (BR) and Gloeobacter rhodopsin (GR)
that contain cytoplasmic loops of bovine rhodopsin, are able to activate Gt
protein upon light absorption. These facts suggest similar protein structural
changes in both the light-driven proton pump and animal rhodopsin. Here we report
two trials to engineer chimeric rhodopsins, one for the inserted loop, and
another for the microbial rhodopsin template. For the former, we successfully
activated Gs protein by light through the incorporation of the cytoplasmic loop
of beta2-adrenergic receptor (beta2AR). For the latter, we did not observe any G
protein activation for the light-driven sodium pump from Indibacter alkaliphilus
(IndiR2) or a light-driven chloride pump halorhodopsin from Natronomonas
pharaonis (NpHR), whereas the light-driven proton pump GR showed light-dependent
G-protein activation. This fact suggests that a helix opening motion is common to
G protein coupled receptor (GPCR) and GR, but not to IndiR2 and NpHR. Light
induced difference FTIR spectroscopy revealed similar structural changes between
WT and the third loop chimera for each light-driven pump. A helical structural
perturbation, which was largest for GR, was further enhanced in the chimera. We
conclude that similar structural dynamics that occur on the cytoplasmic side of
GPCR are needed to design chimeric microbial rhodopsins.
PMID- 29362704
TI - Structural differences in the bacterial flagellar motor among bacterial species.
AB - The bacterial flagellum is a supramolecular motility machine consisting of the
basal body as a rotary motor, the hook as a universal joint, and the filament as
a helical propeller. Intact structures of the bacterial flagella have been
observed for different bacterial species by electron cryotomography and
subtomogram averaging. The core structures of the basal body consisting of the C
ring, the MS ring, the rod and the protein export apparatus, and their
organization are well conserved, but novel and divergent structures have also
been visualized to surround the conserved structure of the basal body. This
suggests that the flagellar motors have adapted to function in various
environments where bacteria live and survive. In this review, we will summarize
our current findings on the divergent structures of the bacterial flagellar
motor.
PMID- 29362705
TI - Structural basis for the molecular interactions in DNA damage tolerances.
AB - DNA damage tolerance (DDT) is a cell function to avoid replication arrest by DNA
damage during DNA replication. DDT includes two pathways, translesion DNA
synthesis (TLS) and template-switched DNA synthesis (TS). DDT is regulated by
ubiquitination of proliferating cell nuclear antigen that binds to double
stranded DNA and functions as scaffold protein for DNA metabolism. TLS is
transient DNA synthesis using damaged DNA as a template by error-prone DNA
polymerases termed TLS polymerases specialized for DNA damage. TS, in which one
newly synthesized strand is utilized as an undamaged template for replication by
replicative polymerases, is error-free process. Thus, DDT is not inherently a
repair pathway. DDT is a mechanism to tolerate DNA damage, giving priority to DNA
synthesis and enabling finish of DNA replication for cell survival and genome
stability. DDT is associated with cancer development and thus is of great
interest in drug discovery for cancer therapy. This review article describes
recent progress in structural studies on protein-protein and protein-DNA
complexes involved in TLS and TS, providing the molecular mechanisms of
interactions in DDT.
PMID- 29362706
TI - Radial stiffness characteristics of the overlap regions of sarcomeres in isolated
skeletal myofibrils in pre-force generating state.
AB - We have studied the stiffness of myofilament lattice in sarcomeres in the pre
force generating state, which was realized by a relaxing reagent, BDM (butane
dione monoxime). First, the radial stiffness for the overlap regions of
sarcomeres of isolated single myofibrils was estimated from the resulting
decreases in diameter by osmotic pressure applied with the addition of Dextran.
Then, the radial stiffness was also estimated from force-distance curve
measurements with AFM technology. The radial stiffness for the overlap regions
thus obtained was composed of a soft and a rigid component. The soft component
visco-elastically changed in a characteristic fashion depending on the
physiological conditions of myofibrils, suggesting that it comes from cross
bridge structures. BDM treatments significantly affected the soft radial
component of contracting myofibrils depending on the approach velocity of
cantilever: It was nearly equal to that in the contracting state at high approach
velocity, whereas as low as that in the relaxing state at low approach velocity.
However, comparable BDM treatments greatly suppressed the force production and
the axial stiffness in contracting glycerinated muscle fibers and also the
sliding velocity of actin filaments in the in vitro motility assay. Considering
that BDM shifts the cross-bridge population from force generating to pre-force
generating states in contracting muscle, the obtained results strongly suggest
that cross-bridges in the pre-force generating state are visco-elastically
attached to the thin filaments in such a binding manner that the axial stiffness
is low but the radial stiffness significantly high similar to that in force
generating state.
PMID- 29362707
TI - Decrease in pulmonary function and oxygenation after lung resection.
AB - Respiratory deficits are common following curative intent lung cancer surgery and
may reduce the patient's ability to be physically active. We evaluated the
influence of surgery on pulmonary function, respiratory muscle strength and
physical performance after lung resection. Pulmonary function, respiratory muscle
strength (maximal inspiratory/expiratory pressure) and 6-min walk test (6MWT)
were assessed pre-operatively, 2 weeks post-operatively and 6 months post
operatively in 80 patients (age 68+/-9 years). Video-assisted thoracoscopic
surgery was performed in 58% of cases. Two weeks post-operatively, we found a
significant decline in pulmonary function (forced vital capacity -0.6+/-0.6 L and
forced expiratory volume in 1 s -0.43+/-0.4 L; both p<0.0001), 6MWT (-37.6+/-74.8
m; p<0.0001) and oxygenation (-2.9+/-4.7 units; p<0.001), while maximal
inspiratory and maximal expiratory pressure were unaffected. At 6 months post
operatively, pulmonary function and oxygenation remained significantly decreased
(p<0.001), whereas 6MWT was recovered. We conclude that lung resection has a
significant short- and long-term impact on pulmonary function and oxygenation,
but not on respiratory muscle strength. Future research should focus on
mechanisms negatively influencing post-operative pulmonary function other than
impaired respiratory muscle strength.
PMID- 29362708
TI - Upper airway involvement in bronchiectasis is marked by early onset and allergic
features.
AB - The association of bronchiectasis with chronic rhinosinusitis (CRS) has been
reported. However, apart from primary ciliary dyskinesia (PCD) and cystic
fibrosis (CF), predisposing conditions have not been established. We aimed to
define clinical and laboratory features that differentiate patients with
bronchiectasis with upper airway symptoms (UASs) and without PCD from patients
without UASs. We reviewed charts of adults with bronchiectasis, excluding CF and
PCD. UASs were defined as nasal discharge most days of the year, sinusitis or
nasal polyps. Laboratory data included IgG, total IgE, blood eosinophils, sputum
bacteriology and lung function. A radiologist blinded to UAS presence scored
bronchiectasis (Reiff score) and sino-nasal pathology (Lund-Mackay score). Of 197
patients, for the 70 (35%) with UASs, symptoms started earlier (34+/-25 versus
46+/-24 years; p=0.001), disease duration was longer (median 24 versus 12 years;
p=0.027), exacerbations were more frequent (median 3 versus 2 per year; p=0.14),
and peripheral blood eosinophil (median 230 versus 200 MUL-1; p=0.015) and total
IgE (median 100 versus 42 IU.mL-1; p=0.085) levels were higher. The sinus
computed tomography score was independently associated with exacerbations, with 1
point on the Lund-Mackay score associated with a 1.03-fold increase in the number
of exacerbations per year (95% CI 1.0-1.05; p=0.004). These findings may
implicate a higher disease burden in patients with UASs. We hypothesise that UASs
precede and may in some cases lead to the development of bronchiectasis.
PMID- 29362709
TI - Do Tonic Itch and Pain Stimuli Draw Attention towards Their Location?
AB - Background: Although itch and pain are distinct experiences, both are unpleasant,
may demand attention, and interfere with daily activities. Research investigating
the role of attention in tonic itch and pain stimuli, particularly whether
attention is drawn to the stimulus location, is scarce. Methods: In the
somatosensory attention task, fifty-three healthy participants were exposed to 35
second electrical itch or pain stimuli on either the left or right wrist.
Participants responded as quickly as possible to visual targets appearing at the
stimulated location (ipsilateral trials) or the arm without stimulation
(contralateral trials). During control blocks, participants performed the visual
task without stimulation. Attention allocation at the itch and pain location is
inferred when responses are faster ipsilaterally than contralaterally. Results:
Results did not indicate that attention was directed towards or away from the
itch and pain location. Notwithstanding, participants were slower during itch and
pain than during control blocks. Conclusions: In contrast with our hypotheses, no
indications were found for spatial attention allocation towards the somatosensory
stimuli. This may relate to dynamic shifts in attention over the time course of
the tonic sensations. Our secondary finding that itch and pain interfere with
task performance is in-line with attention theories of bodily perception.
PMID- 29362710
TI - Possible Effect of Concomitant Prokinetics and Herbal Medicines against Nausea in
Patients Taking Lubiprostone.
AB - Background and Aim: Lubiprostone is a novel laxative that sometimes causes
nausea, but preventive strategies remain unconfirmed. Methods: We retrospectively
chose 126 patients prescribed lubiprostone from 2013 to 2016. Medical records
were reviewed to clarify whether nausea developed after administration of the
drug. Background characteristics, including concomitant medicines, were also
reviewed. Results: The most common adverse symptom was diarrhea (23.8%). Nausea
occurred in 16 patients (12.7%). Patients taking either prokinetics or herbal
medicines or both were unlikely to develop nausea (p = 0.007). Conclusions:
Concomitant prokinetics and/or herbal medicines may help alleviate lubiprostone
induced nausea.
PMID- 29362711
TI - Reduction of Skeletal Muscle Power in Adolescent Males Carrying H63D Mutation in
the HFE Gene.
AB - Iron overload resulting from the mutation of genes involved in iron metabolism or
excess dietary intake has been reported to negatively influence human physical
performance. The aim of this study was to test the hypothesis that adolescents
bearing a hemochromatosis gene (HFE) mutation in contrast to adults with the same
mutation will not experience iron accumulation and their aerobic capacity will be
similar to that of age-matched controls. Thirteen boys participated in the study.
Seven of them are carriers of H63D mutation in the HFE gene and six were wild
type. Fitness levels were assessed using the cardiopulmonary exercise test. In
addition, iron status and inflammatory markers were determined. We observed that
cardiovascular fitness was significantly lower in the group bearing the HFE
mutation compared to the control group. Moreover, the HFE mutation group achieved
lower maximal power output compared to the control group. There were no
differences in blood ferritin concentrations between the two groups which
indicates similar amounts of stored iron. Obtained data do not confirm our
hypothesis. On the contrary, it was demonstrated that HFE mutation is associated
with a lower level of aerobic capacity, even in the absence of iron accumulation.
PMID- 29362712
TI - The Difficult Evolution of Intensive Cardiac Care Units: An Overview of the BLITZ
3 Registry and Other Italian Surveys.
AB - Coronary care units, initially developed to treat acute myocardial infarction,
have moved to the care of a broader population of acute cardiac patients and are
currently defined as Intensive Cardiac Care Units (ICCUs). However, very limited
data are available on such evolution. Since 2008, in Italy, several surveys have
been designed to assess ICCUs' activities. The largest and most comprehensive of
these, the BLITZ-3 Registry, observed that patients admitted are mainly elderly
males and suffer from several comorbidities. Direct admission to ICCUs through
the Emergency Medical System was rather rare. Acute coronary syndromes (ACS)
account for more than half of the discharge diagnoses. However, numbers of acute
heart failure (AHF) admissions are substantial. Interestingly, age, resources
availability, and networking have a strong influence on ICCUs' epidemiology and
activities. In fact, while patients with ACS concentrate in ICCUs with
interventional capabilities, older patients with AHF or non-ACS, non-AHF cardiac
diseases prevail in peripheral ICCUs. In conclusion, although ACS is still the
core business of ICCUs, aging, comorbidities, increasing numbers of non-ACS,
technological improvements, and resources availability have had substantial
effects on epidemiology and activities of ICCUs. The Italian surveys confirm
these changes and call for a substantial update of ICCUs' organization and
competences.
PMID- 29362713
TI - Comparison of Optic Nerve Head Blood Flow Autoregulation among Quadrants Induced
by Decreased Ocular Perfusion Pressure during Vitrectomy.
AB - Purpose: The present study aimed to examine changes in optic nerve head (ONH)
blood flow autoregulation in 4 quadrants (superior, nasal, inferior, and
temporal) with decreased ocular perfusion pressure (OPP) during vitrectomy in
order to determine whether there is a significant difference of autoregulatory
capacity in response to OPP decrease at each ONH quadrant. Methods: This study
included 24 eyes with an epiretinal membrane or macular hole that underwent
vitrectomy at Toho University Sakura Medical Center. Following vitrectomy, the
tissue mean blur rate (MBR), which reflects ONH blood flow, was measured. Mean
tissue MBRs in the four quadrants were generated automatically in the software
analysis report. Measurements were conducted before and 5 and 10 min after
intraocular pressure (IOP) elevation of approximately 15 mmHg in the subjects
without systemic disorders. Results: The baseline tissue MBR of the temporal
quadrant was significantly lower than that of the other 3 quadrants (all P <
0.05). However, the time courses of tissue MBR in response to OPP decrease were
not significantly different among the four quadrants during vitrectomy (P =
0.23). Conclusions: There is no significant difference in the autoregulatory
capacity of the four ONH quadrants in patients without systemic disorders during
vitrectomy.
PMID- 29362714
TI - Inferring Molecular Processes Heterogeneity from Transcriptional Data.
AB - RNA microarrays and RNA-seq are nowadays standard technologies to study the
transcriptional activity of cells. Most studies focus on tracking transcriptional
changes caused by specific experimental conditions. Information referring to
genes up- and downregulation is evaluated analyzing the behaviour of relatively
large population of cells by averaging its properties. However, even assuming
perfect sample homogeneity, different subpopulations of cells can exhibit diverse
transcriptomic profiles, as they may follow different regulatory/signaling
pathways. The purpose of this study is to provide a novel methodological scheme
to account for possible internal, functional heterogeneity in homogeneous cell
lines, including cancer ones. We propose a novel computational method to infer
the proportion between subpopulations of cells that manifest various functional
behaviour in a given sample. Our method was validated using two datasets from RNA
microarray experiments. Both experiments aimed to examine cell viability in
specific experimental conditions. The presented methodology can be easily
extended to RNA-seq data as well as other molecular processes. Moreover, it
complements standard tools to indicate most important networks from
transcriptomic data and in particular could be useful in the analysis of cancer
cell lines affected by biologically active compounds or drugs.
PMID- 29362715
TI - Prevalence of Thyroid Abnormalities in Thai Patients with Vitiligo.
AB - Background: Vitiligo is an acquired hypopigmentary disorder. The prevalence of
vitiligo is 0.1-2% worldwide. Numerous autoimmune diseases are associated with
vitiligo, including autoimmune thyroid diseases. The prevalence of thyroid
abnormalities is up to 34% in vitiligo patients depending on ethnicities.
Objective: This study aims to investigate thyroid abnormalities in Thai patients
with vitiligo. Methods: Medical records of vitiligo patients attending outpatient
dermatology clinic at a university-based hospital from 2012 to 2016 were
retrospectively reviewed. Data regarding vitiligo, clinical features, and
autoimmune thyroid laboratory results were retrieved and analyzed. Results: Among
325 vitiligo patients identified, anti-thyroid peroxidase and anti-thyroglobulin
were positive in 90 (27.7%) and 63 patients (19.4%), respectively. Positive
thyroid antibody was associated with female gender (p < 0.001) and vitiliginous
hand lesions (p < 0.02). Out of 197 patients with complete thyroid function test,
the prevalence of autoimmune thyroid diseases (AITD) is 12.7%. Female,
nonsegmental type, higher affected area, and the presence of leukotrichia are
significantly associated with AITD in vitiligo patients. Conclusions: Prevalence
of positive thyroid antibodies and AITD in Thai patients with vitiligo is
compatible with previous studies around the world. Screening for AITD with
thyroid antibodies and serum TSH is essential for vitiligo patients.
PMID- 29362716
TI - Efficacy of Fluoride Varnishes with Added Calcium Phosphate in the Protection of
the Structural and Mechanical Properties of Enamel.
AB - The aim of this study was to investigate the efficacy of various fluoride
varnishes in the protection of the structural and nanomechanical properties of
dental enamel. Demineralized enamel specimens were imaged using a high-resolution
micro-CT system and lesion parameters including mineral density and lesion depth
were extracted from mineral density profiles. Nanoindentation elastic modulus and
hardness were calculated as a function of penetration depth from the load
displacement curves. The average depth of the lesion in specimens with no prior
fluoride varnish treatment was 86 +/- 7.19 MUm whereas the varnish treated
specimens had an average depth of 67 +/- 7.03 MUm (P < 0.05). The mineral density
of enamel lesions with no fluoride varnish treatment had an average of 1.85
gr/cm3 which was 25% lower than the corresponding value in varnish treated enamel
and 37% lower than sound enamel. While, in the varnish treated group, elastic
modulus and hardness values had decreased by 18% and 23%, respectively, the
corresponding values in the non-varnish treated specimens had a reduction of 43%
and 54% compared to the sound enamel. The findings from this study highlight the
preventive role of fluoride varnishes. Addition of calcium and phosphate does not
seem to enhance or inhibit the prevention or remineralization performance of
fluoride varnishes.
PMID- 29362717
TI - Association between Fluorescent Advanced Glycation End-Products and Vascular
Complications in Type 2 Diabetic Patients.
AB - Objectives: Diabetes is a major health problem associated with hyperglycemia and
chronically increased oxidative stress and enhanced formation of advanced
glycation end-products (AGEs). The aim of this study was to determine whether
oxidative plasma biomarkers in diabetic patients could be evidenced and
associated with vascular complications. Methods: Oxidative stress biomarkers such
as thiols, ischemia-modified albumin (IMA), glycated albumin (GA), fructosamine,
and AGEs were measured in 75 patients with poorly controlled type 2 diabetes
(HbA1c > 7.5%) with (44) or without (31) vascular disease and in 31 nondiabetic
controls. Results: Most biomarkers of oxidation and glycation were significantly
increased in diabetic patients in comparison with nondiabetics. Fructosamines,
GA, IMA, and AGEs were positively correlated and levels of fluorescent AGEs were
significantly increased in the plasma from patients presenting vascular
complication. Conclusions: These results bring new evidence for the potential
interest of glycated albumin, oxidative stress, and glycoxidation parameters in
the monitoring of type 2 diabetic patients. Furthermore, it emphasizes
fluorescent AGEs as a putative indicator for vascular event prediction in
diabetic patients.
PMID- 29362718
TI - Paeoniflorin, the Main Active Ingredient of Shuyu Capsule, Inhibits Cav1.2 and
Regulates Calmodulin/Calmodulin-Dependent Protein Kinase II Signalling.
AB - The aim of this study was to explore the mechanism underlying the antidepression
activity of paeoniflorin, the main active ingredient of paeony extract and Shuyu
capsules, and determine its effect on the calmodulin/calmodulin-dependent protein
kinase II (CaM/CaMKII) signalling pathway and on the possible target, the voltage
gated calcium channel (Cav). Rats at the nonacceptance stage were selected for
premenstrual syndrome (PMS) depression modelling. Behavioural assays were used
for model testing. Rats were given Shuyu capsules, paeony extract, and bupleurum.
Western blot analysis was used to assess the expression levels of calcium voltage
gated channel subunit alpha 1 C (CACNA1C), brain-derived neurotrophic factor, and
CaM/CaMKII signalling pathway proteins. Intracellular Ca2+ concentration in CHO
cell line was measured using Fluo-4-AM and whole-cell patch clamps. The PMS
depression model was successfully established and demonstrated that Shuyu can
mitigate depressive behaviour in a rat PMS model. Paeony extract did not affect
CACNA1C protein expression in rat hippocampi but did affect Cav1.2-mediated
CaM/CaMKII signalling pathways. Paeoniflorin significantly inhibited KCl-induced
increases in intracellular Ca2+ concentration and Cav1.2 current density.
Further, it may function via the CaM/CaMKII pathway and its downstream signalling
molecules by regulating Cav1.2, thus playing an important role in the treatment
and alleviation of affective disorders.
PMID- 29362719
TI - A Clinical Mentorship and Quality Improvement Program to Support Health Center
Nurses Manage Type 2 Diabetes in Rural Rwanda.
AB - Introduction: The prevalence of diabetes mellitus is rapidly rising in SSA.
Interventions are needed to support the decentralization of services to improve
and expand access to care. We describe a clinical mentorship and quality
improvement program that connected nurse mentors with nurse mentees to support
the decentralization of type 2 diabetes care in rural Rwanda. Methods: This is a
descriptive study. Routinely collected data from patients with type 2 diabetes
cared for at rural health center NCD clinics between January 1, 2013 and December
31, 2015, were extracted from EMR system. Data collected as part of the clinical
mentorship program were extracted from an electronic database. Summary statistics
are reported. Results: The patient population reflects the rural settings, with
low rates of traditional NCD risk factors: 5.6% of patients were current smokers,
11.0% were current consumers of alcohol, and 11.9% were obese. Of 263 observed
nurse mentee-patient encounters, mentor and mentee agreed on diagnosis 94.4% of
the time. Similarly, agreement levels were high for medication, laboratory exam,
and follow-up plans, at 86.3%, 87.1%, and 92.4%, respectively. Conclusion: Nurses
that receive mentorship can adhere to a type 2 diabetes treatment protocol in
rural Rwanda primary health care settings.
PMID- 29362720
TI - Maternal Dietary Patterns and Gestational Diabetes Risk: A Case-Control Study.
AB - Background: Maternal dietary patterns play an important role in the progress of
gestational diabetes mellitus (GDM). The aim of the present study was to explore
this association. Method: A total of 388 pregnant women (122 case and 266
control) were included. Dietary intake were collected using a food frequency
questionnaire (FFQ). GDM was diagnosed using a 100-gram, 3-hour oral glucose
tolerance test. Dietary pattern was identified by factor analysis. To investigate
the relation between each of the independent variables with gestational diabetes,
the odds ratio (OR) was calculated. Results: Western dietary pattern was high in
sweets, jams, mayonnaise, soft drinks, salty snacks, solid fat, high-fat dairy
products, potatoes, organ meat, eggs, red meat, processed foods, tea, and coffee.
The prudent dietary pattern was characterized by higher intake of liquid oils,
legumes, nuts and seeds, fruits and dried fruits, fish and poultry whole, and
refined grains. Western dietary pattern was associated with increased risk of
gestational diabetes mellitus before and after adjustment for confounders (OR =
1.97, 95% CI: 1.27-3.04, OR = 1.68, 95% CI: 1.04-2.27). However, no significant
association was found for a prudent pattern. Conclusion: These findings suggest
that the Western dietary pattern was associated with an increased risk of GDM.
PMID- 29362721
TI - Diabetes Prevention, Early Intervention, and Nondrug Therapy.
PMID- 29362723
TI - Durability of Telbivudine-Associated Improvement of Renal Function Following
Withdrawal or Switching of Antivirals in Chronic Hepatitis B Patients.
AB - Background: Besides antiviral activities against hepatitis B virus (HBV),
telbivudine has an extrahepatic pharmaceutical effect: to improve renal function
assessed by estimated glomerular filtration rate (eGFR). However, the durability
of this effect after withdrawal of telbivudine or switching to other antivirals
has never been investigated. Methods: We conducted a postmarketing, real-world
observation study for telbivudine treatment. The durability of telbivudine
associated renal function improvement was examined following withdrawal/switching
of antivirals. Results: Of 160 telbivudine-treated, chronic hepatitis B patients,
21, 6, and 2 patients were loss to follow-up, dead, and pregnant during the
study, respectively. Of the remaining 131 patients, 26, 47, 28, and 30 patients
experienced telbivudine withdrawal, continuous use of telbivudine, switching to
entecavir, or switching to tenofovir, respectively. During the first 2 years,
eGFR in telbivudine-treated patients significantly improved before
withdrawal/switching of antivirals (P = .009). Thereafter, eGFR remained
unchanged for >1 year in the withdrawal (P = .100) and continuous use (P = .517)
subgroups, but decreased significantly in the switching to entecavir (P = .002)
and switching to tenofovir (P < .001) subgroups. Multivariate logistic regression
analysis revealed that switching to tenofovir and poor liver functional reserve
were predictors for eGFR deterioration. Conclusions: Telbivudine-associated renal
function improvement was durable after withdrawal or continuous use of
telbivudine. However, renal function deteriorated if patients were switched to
entecavir or tenofovir.
PMID- 29362722
TI - The Identification of Key Genes and Pathways in Glioma by Bioinformatics
Analysis.
AB - Glioma is the most common malignant tumor in the central nervous system. This
study aims to explore the potential mechanism and identify gene signatures of
glioma. The glioma gene expression profile GSE4290 was analyzed for
differentially expressed genes (DEGs). Gene ontology (GO) and Kyoto Encyclopedia
of Genes and Genomes (KEGG) analyses were applied for the enriched pathways. A
protein-protein interaction (PPI) network was constructed to find the hub genes.
Survival analysis was conducted to screen and validate critical genes. In this
study, 775 downregulated DEGs were identified. GO analysis demonstrated that the
DEGs were enriched in cellular protein modification, regulation of cell
communication, and regulation of signaling. KEGG analysis indicated that the DEGs
were enriched in the MAPK signaling pathway, endocytosis, oxytocin signaling, and
calcium signaling. PPI network and module analysis found 12 hub genes, which were
enriched in synaptic vesicle cycling rheumatoid arthritis and collecting duct
acid secretion. The four key genes CDK17, GNA13, PHF21A, and MTHFD2 were
identified in both generation (GSE4412) and validation (GSE4271) dataset,
respectively. Regression analysis showed that CDK13, PHF21A, and MTHFD2 were
independent predictors. The results suggested that CDK17, GNA13, PHF21A, and
MTHFD2 might play important roles and potentially be valuable in the prognosis
and treatment of glioma.
PMID- 29362724
TI - Association of Suboptimal Antiretroviral Therapy Adherence With Inflammation in
Virologically Suppressed Individuals Enrolled in the SMART Study.
AB - Suboptimal (ie, <100%) antiretroviral therapy (ART) adherence has been associated
with heightened inflammation in cohort studies, even among people with virologic
suppression. We aimed to evaluate this association among participants in the
Strategies for Management of Antiretroviral Therapy (SMART) study who had
virologic suppression (HIV-1 VL < 200 copies/mL) at enrollment. Based on self
reported adherence (7-day recall), plasma concentrations of interleukin 6 and D
dimer were 9% (95% confidence interval [CI], 1%-18%; P = .02) and 11% (95% CI, 1%
22%; P = .03) higher in participants who reported suboptimal vs 100% adherence,
respectively. These findings confirm previous observations and support the
hypothesis that suboptimal ART adherence, even in the context of virologic
suppression, may have significant biological consequences. ClinicalTrials.gov
number NCT00027352.
PMID- 29362725
TI - Male Involvement in Maternal Health Care at Anomabo, Central Region, Ghana.
AB - Background: Globally, male involvement in maternal health care services remains a
challenge to effective maternal health care accessibility and utilization.
Objective: This study assessed male involvement in maternal health care services
and associated factors in Anomabo in the Central Region of Ghana. Methods: Random
sampling procedures were employed in selecting 100 adult male respondents whose
partners were pregnant or had given birth within twelve months preceding the
study. Pearson Chi-Square and Fisher's exact tests were conducted to assess the
association of sociodemographic and enabling/disenabling factors with male
involvement in maternal health care services. Results: Some 35%, 44%, and 20% of
men accompanied their partners to antenatal care, delivery, and postnatal care
services, respectively. Male involvement in antenatal care and delivery was
influenced by sociodemographic (partner's education, type of marriage, living
arrangements, and number of children) and enabling/disenabling (distance to
health facility, attitude of health workers, prohibitive cultural norms,
unfavourable health policies, and gender roles) factors. Conclusion: The low male
involvement in maternal health care services warrants interventions to improve
the situation. Public health interventions should focus on designing messages to
diffuse existing sociocultural perceptions and health care provider attitudes
which influence male involvement in maternal health care services.
PMID- 29362727
TI - Two Cases of Atezolizumab-Induced Hypophysitis.
AB - Cancer immunotherapy has emerged as treatment of multiple advanced cancer types.
Immune checkpoint inhibitors, namely anticytotoxic T-lymphocyte antigen-4 (CTLA
4), antiprogrammed cell death-1 (PD-1), and antiprogrammed cell death-1 ligand 1
(PD-L1) antibodies, have been used for treatment of various cancers. Classified
as immune-related adverse events, several endocrinopathies, including
hypophysitis, are associated with these agents. Although anti-CTLA-4-induced
hypophysitis has been frequently observed, hypophysitis upon use of anti-PD-1 and
anti-PD-L1 antibodies is rare. Case 1 is a 65-year-old man presented with a stage
IV non-small cell lung cancer (NSCLC) treated with atezolizumab (an anti-PD-L1
antibody) following several inefficacious chemotherapies. After 56 weeks of the
treatment, he complained of general malaise and appetite loss, and was diagnosed
with adrenal insufficiency. Endocrinological examination revealed isolated
adrenocorticotropic hormone (ACTH) deficiency; pituitary magnetic resonance
imaging (MRI) showed anterior pituitary atrophy. Hydrocortisone replacement
therapy rapidly improved his symptoms and enabled him to continue atezolizumab
therapy. Case 2 is a 70-year-old man with a stage IV NSCLC treated with
atezolizumab. After 52 weeks of treatment, he was diagnosed with isolated ACTH
deficiency. Pituitary MRI revealed no obvious abnormalities in the anterior
pituitary. Hydrocortisone replacement therapy was also efficacious. We report two
cases of atezolizumab-induced hypophysitis. Both showed isolated ACTH deficiency,
suggesting similar clinical characteristics of hypophysitis associated with the
use of anti-PD-1 antibodies. These results suggest a caution for the late-onset
central adrenal insufficiency associated with hypophysitis in patients treated
with anti-PD-L1 antibodies.
PMID- 29362728
TI - ewrapper: Operationalizing engagement strategies in mHealth.
AB - The advancement of digital technologies particularly in the domain of mobile
health (mHealth) holds great promise in the promotion of health behavior.
However, keeping users engaged remains a central challenge. This paper proposes a
novel approach to address this issue by supplementing existing and future mHealth
applications with an engagement wrapper - a collection of engagement strategies
integrated into a single, coherent model. The engagement wrapper is
operationalized within the format of an ambient display on the lock screen of
mobile devices.
PMID- 29362729
TI - DNA microarray-based resonance light scattering assay for multiplexed detection
of DNA mutation in papillary thyroid cancer.
AB - Highly accurate analysis of single-nucleotide polymorphisms (SNPs) plays an
important role in both disease diagnostics and personalized medicine development.
In this work, a DNA microarray-based resonance light scattering (RLS) assay has
been developed for multiplexed detection of papillary thyroid carcinoma (PTC)
related mutation points including BRAFV600E (t1m), NRAS codon 61 (t2m), TERT
promoter g.1295228 (t31m) and TERT promoter g.1295250 (t32m) with high
sensitivity and selectivity by the attachment of polyvalent ssDNA modified 13 nm
gold nanoparticles (ssDNAs@GNPs) followed by silver deposition for signal
enhancement. The microarray-based RLS assay provides a detection limit (S/N = 3)
at the sub-nanomolar level for the target ssDNAs and determines allele
frequencies as low as 0.2% for t1m, 0.2% for t2m, 0.5% for t31m, and 0.5% for
t32m in the cocktail of target ssDNAs, respectively. The practicability of the
DNA microarray-based RLS assay is demonstrated by profiling of t2m in 50 clinical
thyroid tissue samples of PTC patients, and satisfactory results are obtained.
PMID- 29362726
TI - Effects of Estrogen Therapy on the Serotonergic System in an Animal Model of
Perimenopause Induced by 4-Vinylcyclohexen Diepoxide (VCD).
AB - Chronic exposure to 4-vinylcycloxene diepoxide (VCD) in rodents accelerates the
natural process of ovarian follicular atresia modelling perimenopause in women.
We investigated why estrogen therapy is beneficial for symptomatic women despite
normal or high estrogen levels during perimenopause. Female rats (28 d) were
injected daily with VCD or oil for 15 d; 55-65 d after the first injection,
pellets of 17beta-estradiol or oil were inserted subcutaneously. Around 20 d
after, the rats were euthanized (control rats on diestrus and estradiol-treated
21 d after pellets implants). Blood was collected for hormone measurement, the
brains were removed and dorsal raphe nucleus (DRN), hippocampus (HPC), and
amygdala (AMY) punched out for serotonin (5-HT), estrogen receptor beta (ERbeta),
and progesterone receptor (PR) mRNA level measurements. Another set of rats was
perfused for tryptophan hydroxylase (TPH) immunohistochemistry in the DRN.
Periestropausal rats exhibited estradiol levels similar to controls and a lower
progesterone level, which was restored by estradiol. The DRN of periestropausal
rats exhibited lower expression of PR and ERbeta mRNA and a lower number of TPH
cells. Estradiol restored the ERbeta mRNA levels and number of serotonergic cells
in the DRN caudal subregion. The 5-HT levels were lower in the AMY and HPC in
peristropausal rats, and estradiol treatment increased the 5-HT levels in the HPC
and also increased ERbeta expression in this area. In conclusion, estradiol may
improve perimenopause symptoms by increasing progesterone and boosting serotonin
pathway from the caudal DRN to the dorsal HPC potentially through an increment in
ERbeta expression in the DRN.
PMID- 29362730
TI - Temperature dependent excited state dynamics in dual emissive CdSe nano
tetrapods.
AB - Time resolved spectroscopic investigation has been performed on nano-tetrapods,
which are exotic nanocrystals with zinc blende type core structure and four arms
with wurtzite structure. Dual emission is observed in these nanostructures. A
band-edge emission occurs at 500-600 nm and a broad surface state emission occurs
in the 600-900 nm region. The band-edge emission decays almost completely in a
few ps, indicating the operation of an efficient trapping process. Incomplete
recovery kinetics of ground state bleach from transient absorption experiments
signifies the existence of a long-lived excited state. The lifetime of the
surface state emission is in tens of nanoseconds. At liquid nitrogen temperature,
surface state emission is enhanced to a greater degree than band edge emission,
indicating suppression of various deactivation pathways at this temperature.
Thus, an idea of excited state dynamics of these systems is developed, with a
view of future tuning of photoluminescence properties by playing with the
different radiative and nonradiative pathways involved.
PMID- 29362731
TI - Enzyme-free quantification of exosomal microRNA by the target-triggered assembly
of the polymer DNAzyme nanostructure.
AB - We herein report an efficient hybridization chain reaction (HCR)- and DNAzyme
based enzyme-free signal amplification for the detection of specific exosomal
miRNAs in the culture medium of cancer cells and serum samples from cancer
patients via the target-triggered self-assembly of the polymer DNAzyme
nanostructure.
PMID- 29362732
TI - Deducing disulfide patterns of cysteine-rich proteins using signature fragments
produced by top-down mass spectrometry.
AB - Direct mapping of protein disulfide patterns using top-down mass spectrometry
(MS) is often hampered by inadequate fragmentation at the disulfide-enclosing
region, and insufficient structural information provided by the fragments. Here
we used electron-transfer/high energy collision dissociation (EThcD) to improve
the fragmentation efficiency, and developed strategies that minimize the false
positive identification of fragments and deconvolute the signals representing
specific modifications made to the disulfide-cleavage-induced fragments. We
observed clear correlations between unique modification (attachment or removal of
H or SH) patterns and the number of disulfide bonds that enclose the
corresponding region. Using the characteristic signature fragments, we in part
localized the Cys-bridging sites in disulfide-scrambled lysozymes, and reduced
the number of putative disulfide patterns from 104 to 6. The results demonstrated
the feasibility of direct analysis of complex disulfide patterns using top-down
MS.
PMID- 29362733
TI - Probing beta amyloid aggregation using fluorescence anisotropy: experiments and
simulation.
AB - The aggregation of beta amyloid (Ab) protein is associated with the development
of Alzheimer's disease. In this work we monitor Ab aggregation using fluorescence
anisotropy, a technique that provides information on the rotational diffusion of
the fluorescing tyrosine (Tyr) side chains. We also perform Monte Carlo (MC) and
fully atomistic Molecular Dynamics (MD) simulations to interpret the experiments.
The experimental results show that there are two different rotational timescales
contributing to the anisotropy. Our MC simulation captures this behaviour in a
coarse-scale manner, and, more importantly, shows that the Tyr side chains must
have their movements restricted in order to reproduce the anisotropy. The MD
simulations provide a molecular scale view, and indeed show that aggregation
restricts the Try side chains to yield anisotropy in line with the experimental
results. This combination of experiment and simulation therefore provides a
unique insight into the aggregation process, and we suggest how this approach
might be used to gain further information on aggregating protein systems.
PMID- 29362734
TI - Investigation of compacted DNA structures induced by Na+ and K+ monovalent
cations using biological nanopores.
AB - In aqueous solutions, an elongated, negatively charged DNA chain can quickly
change its conformation into a compacted globule in the presence of positively
charged molecules, or cations. This well-known process, called DNA compaction, is
a method with great potential for gene therapy and delivery. Experimental
conditions to induce these compacted DNA structures are often limited to the use
of common compacting agents, such as cationic surfactants, polymers, and
multivalent cations. In this study, we show that in highly concentrated buffers
of 1 M monovalent cation solutions at pH 7.2 and 10, biological nanopores allow
real-time sensing of individual compacted structures induced by K+ and Na+, the
most abundant monovalent cations in human bodies. Herein, we studied the ratio
between compacted and linear structures for 15-mer single-stranded DNA molecules
containing only cytosine nucleotides, optimizing the probability of linear DNA
chains being compacted. Since the binding affinity of each nucleotide to cation
is different, the ability of the DNA strand to fold into a compacted structure
greatly depends on the type of cations and nucleotides present. Our experimental
results compare favorably with findings from previous molecular dynamics
simulations for the DNA compacting potential of K+ and Na+ monovalent cations. We
estimate that the majority of single-stranded DNA molecules in our experiment are
compacted. From the current traces of nanopores, the ratio of compacted DNA to
linear DNA molecules is approximately 30 : 1 and 15 : 1, at a pH of 7.2 and 10,
respectively. Our comparative studies reveal that Na+ monovalent cations have a
greater potential of compacting the 15C-ssDNA than K+ cations.
PMID- 29362735
TI - Effects of different substituents of methyl 5-R-salicylates on the excited state
intramolecular proton transfer process.
AB - The proton transfer reaction in methyl 5-R-salicylate is found to be highly
sensitive to the presence of specific substituents in resonance with the hydroxyl
group, leading to different fluorescence behaviors of methyl 5-R-salicylate with
different substituents (J. Catalan, J. Phys. Chem. B, 2015, 119, 2132). But a
detailed survey of its reaction mechanism is lacking. In our research, the
hydrogen bond strengthening behavior in excited states is affected by the
different substituents that have been reported for the first time. Absorption and
emission spectra calculated for the work presented here agree well with
experimental results. At the same time, in order to provide a reliable
description of the reaction energy profiles, we compare the barrier differences
obtained using CAM-B3LYP and B3LYP methods, and we visually observe the effect of
different substituents on the ESIPT reactions in methyl 5-R-salicylates by
combining the potential energy curves. So the excited state intramolecular proton
transfer (ESIPT) reactions in methyl 5-R-salicylate molecules are investigated in
detail using density functional theory (DFT) and time dependent density
functional theory (TDDFT) methods. It can be confirmed that the mobility of the
intramolecular pi electrons is affected by an increase in the resonant strength
of the different substituents and hydroxyl groups. As a consequence, a hydrogen
bonding interaction gradual weakening mechanism has been perfectly verified, that
is, the ESIPT reaction is more difficult to occur from MS -> 5MeMS -> 5FMS ->
5ClMS -> 5BrMS -> 5MeOMS -> 5AmMS molecules.
PMID- 29362736
TI - Enzymatic asymmetric synthesis of chiral amino acids.
AB - Chiral amino acids are extensively applied in the pharmaceutical, food, cosmetic,
agricultural, and feedstuff industries. The development of synthetic
methodologies for optically pure amino acids has been driven by their significant
applications. Among the various synthesis methods for the production of chiral
amino acids, enzymatic asymmetric synthesis is a unique preparation strategy that
shows great potential. This review provides an overview of the reported methods
for enzymatic asymmetric synthesis of chiral amino acids, including asymmetric
reductive amination of keto acids, asymmetric transfer of an amino group to keto
acids, enantioselective addition of ammonia to alpha,beta-unsaturated acids, and
aldol condensation of an amino acid to aldehydes.
PMID- 29362747
TI - Plasmonic behaviour and plasmon-induced charge separation of nanostructured MoO3
x under near infrared irradiation.
AB - Plasmon-induced charge separation (PICS) allows direct conversion of localized
surface plasmon resonance (LSPR) to electron flows and photoelectrochemical
reactions. However, PICS has only been achieved using plasmonic noble metal
nanoparticles, not with compound nanoparticles. In order to achieve compound
PICS, MoO3-x nanostructures were prepared that exhibit LSPR in the near infrared
region by using metal oxides or metal nanoparticles as templates. Solid-state
cells based on the MoO3-x nanostructure were developed. Their photoresponse to
700-1400 nm infrared light was investigated and analyzed on the basis of their
PICS mechanisms.
PMID- 29362748
TI - Fluorescent excimers and exciplexes of the purine base derivative 8-phenylethynyl
guanine in DNA hairpins.
AB - The ground- and excited-state electronic interactions between the nucleobase
analog 8-(4'-phenylethynyl)deoxyguanosine, EG, with natural nucleobases and 7
deazaguanine, as well as between adjacent EG base analogs, have been
characterized using a combination of steady-state spectroscopy and time-resolved
fluorescence, absorption, and stimulated Raman spectroscopies. The properties of
the nucleoside EG-H2 are only weakly perturbed upon incorporation into synthetic
DNA hairpins in which thymine, cytosine or adenine are the bases flanking EG.
Incorporation of the nucleoside to be adjacent to guanine or deazaguanine results
in the formation of short-lived (40-80 ps) exciplexes, the charge transfer
character of which increases as the oxidation potential of the donor decreases.
Hairpins possessing two or three adjacent EG base analogs display exciton-coupled
circular dichroism in the ground state and form long-lived fluorescent excited
states upon electronic excitation. Incorporation of EG into the helical scaffold
of the DNA hairpins places it adjacent to its neighboring nucleobases or a second
EG, thus providing the close proximity required for the formation of exciplex or
excimer intermediates upon geometric relaxation of the short-lived EG excited
state. The three time-resolved spectroscopic methods employed permit both the
characterization of the several intermediates and the kinetics of their formation
and decay.
PMID- 29362749
TI - Adsorption equilibrium of nitrogen dioxide in porous materials.
AB - The effect of confinement on the equilibrium reactive system containing nitrogen
dioxide and dinitrogen tetroxide is studied by molecular simulation and the
reactive Monte Carlo (RxMC) approach. The bulk-phase reaction was successfully
reproduced and five all-silica zeolites (i.e. FAU, FER, MFI, MOR, and TON) with
different topologies were selected to study their adoption behavior. Dinitrogen
tetroxide showed a stronger affinity than nitrogen dioxide in all the zeolites
due to size effects, but exclusive adsorption sites in MOR allowed the adsorption
of nitrogen dioxide with no competition at these sites. From the study of the
adsorption isotherms and isobars of the reacting mixture, confinement enhanced
the formation of dimers over the full range of pressure and temperature, finding
the largest deviations from bulk fractions at low temperature and high pressure.
The channel size and shape of the zeolite have a noticeable influence on the
dinitrogen tetroxide formation, being more important in MFI, closely followed by
TON and MOR, and finally FER and FAU. Preferential adsorption sites in MOR lead
to an unusually strong selective adsorption towards nitrogen dioxide,
demonstrating that the topological structure has a crucial influence on the
composition of the mixture and must be carefully considered in systems containing
nitrogen dioxide.
PMID- 29362750
TI - Charge recombination control for high efficiency CdS/CdSe quantum dot co
sensitized solar cells with multi-ZnS layers.
AB - ZnS as an inorganic passivation agent has been proven to be effective in
suppressing charge recombination and enhancing power conversion efficiency (PCE)
in quantum dot-sensitized solar cells (QDSCs). In the present study, we
constructed a novel TiO2/ZnS/CdS/ZnCdS/CdSe/ZnS photoelectrode via successive
ionic layer adsorption and reaction (SILAR) and chemical bath deposition (CBD).
The complementary effects of multi-ZnS layers on the optical and electrochemical
performance of the QDSCs were systematically investigated. The multi-ZnS can not
only facilitate the growth and distribution of QDs, but also suppress the
different interface charge recombination effectively. We suggest that the
formation of the ZnCdS intermediate layers via ion-exchange route during CBD
process contributes to the higher photoelectrochemical cell performance of the
QDSCs with the middle ZnS layer. When the three-layer ZnS was employed in
CdS/CdSe co-sensitized system, the PCE reached 6.05%, which is much higher than
that observed for solar cells with the conventional single ZnS treatments and the
two layers inserted at the interface between CdS QDs and CdSe QDs and electrolyte
(4.80% and 5.42%, respectively).
PMID- 29362751
TI - Computational evidence for a reaction pathway bifurcation in Sasaki-type (4 + 3)
cycloadditions.
AB - The current report seeks to validate the existence of a post-transition state
bifurcation in the Lewis acid-catalysed (4 + 3)-cycloaddition of butadiene and
alpha-methoxy acrolein. Cycloaddition transition state (TS) structures are shown
by intrinsic reaction coordinate (IRC) and potential energy surface (PES) scan
calculations to connect directly to both (4 + 3)- and (4 + 2)-products. A second
TS, a 1,2-sigmatropic shift which interconverts the products, was also located.
Implicit solvent is observed to have a substantial effect of the course of the
reaction, with the minimum energy path from the gas phase TS leading to (4 + 2)
product whereas the DCM solvent phase TS leads to (4 + 3)-product. On the basis
of these data it is suggested that a number of previously reported (4 + 3)
cycloadditions may also possess reaction pathway bifurcations.
PMID- 29362752
TI - Correction: High-temperature X-ray diffraction and thermal expansion of
nanocrystalline and coarse-crystalline acanthite alpha-Ag2S and argentite beta
Ag2S.
AB - Correction for 'High-temperature X-ray diffraction and thermal expansion of
nanocrystalline and coarse-crystalline acanthite alpha-Ag2S and argentite beta
Ag2S' by S. I. Sadovnikov et al., Phys. Chem. Chem. Phys., 2016, 18, 4617-4626.
PMID- 29362753
TI - Sensitive colorimetric immunoassay of Vibrio parahaemolyticus based on specific
nonapeptide probe screening from a phage display library conjugated with MnO2
nanosheets with peroxidase-like activity.
AB - Pathogen detection continues to receive significant attention due to the harmful
effects of pathogens on public health. Herein, specific nonapeptide-fusion
proteins pVIII (pVIII fusion) were isolated from phage VQTVQIGSD (designated by
the sequence of a fused foreign peptide), which was specifically screened from
the f8/9 landscape phage library against Vibrio parahaemolyticus (V.
parahaemolyticus) in a high-throughput way. The as-prepared V. parahaemolyticus
specific recognition element is cheaper and more available than antibodies.
Further, a highly sensitive colorimetric immunoassay for V. parahaemolyticus was
established using pVIII fusion as capture probes coupled with protein-templated
MnO2 nanosheets (NSs) as signal probes. In the presence of a target bacterium, V.
parahaemolyticus, a sandwich-type complex of pVIII fusion-V. parahaemolyticus
MnO2 NS@pVIII fusion was formed through specific recognition of pVIII fusion and
V. parahaemolyticus. The signal probes (MnO2 NSs) could catalyze the reaction of
3,3',5,5'-tetramethylbenzidine and H2O2 to generate a colorimetric change. The
proposed V. parahaemolyticus detection method demonstrated a wide detection range
(20-104 colony-forming units (CFU) mL-1), low limit of detection (15 CFU mL-1),
excellent selectivity, and high reliability for real marine samples, showing
potential application in marine microbiological detection and control.
PMID- 29362754
TI - PLP-independent racemization: mechanistic and mutational studies of O
ureidoserine racemase (DcsC).
AB - O-Ureidoserine racemase (DcsC) is a PLP-independent enzyme in the biosynthetic
route to the antibiotic d-cycloserine. Here we present the recombinant expression
and characterization of a significantly more active DcsC variant featuring an N
terminal SUMO-tag. Synthesis of enantiomeric pure inhibitors in combination with
site-specific mutation of active site cysteines to serines of this enzyme offers
closer insights into the mechanism of this transformation. Homology modelling
with a close relative (diaminopimelate epimerase, DapF) inspired C- and N
terminal truncation of DcsC to produce a more compact yet still active enzyme
variant.
PMID- 29362755
TI - The Piancatelli reaction and its variants: recent applications to high added
value chemicals and biomass valorization.
AB - The Piancatelli reaction, also called the Piancatelli rearrangement, consists in
the direct conversion of furfuryl alcohols to cyclopentenone derivatives through
a furan ring opening-electrocyclization process. Discovered in the late 70's,
this reaction has been scarcely used for more than 40 years but recently has been
the focus of particular interest from the scientific community and an increasing
number of publications on the topic have emerged in the last few years. The first
part of this review provides an overview of the recent achievements in classical
Piancatelli reactions, discussing reaction conditions and catalytic systems,
whereas the second part focuses on the variants recently developed, including the
use of new nucleophiles in the process. Finally, the third part of this review
deals with the recent application of this transformation to the production of
commodity chemicals from renewable carbon feedstocks based on sugar-derived
furanic platforms.
PMID- 29362756
TI - Ultra-sensitive chemical and biological analysis via specialty fibers with built
in microstructured optofluidic channels.
AB - All-in-fiber optofluidics is an analytical tool that provides enhanced sensing
performance with simplified analyzing system design. Currently, its advance is
limited either by complicated liquid manipulation and light injection
configuration or by low sensitivity resulting from inadequate light-matter
interaction. In this work, we design and fabricate a side-channel photonic
crystal fiber (SC-PCF) and exploit its versatile sensing capabilities in in-line
optofluidic configurations. The built-in microfluidic channel of the SC-PCF
enables strong light-matter interaction and easy lateral access of liquid samples
in these analytical systems. In addition, the sensing performance of the SC-PCF
is demonstrated with methylene blue for absorptive molecular detection and with
human cardiac troponin T protein by utilizing a Sagnac interferometry
configuration for ultra-sensitive and specific biomolecular specimen detection.
Owing to the features of great flexibility and compactness, high-sensitivity to
the analyte variation, and efficient liquid manipulation/replacement, the
demonstrated SC-PCF offers a generic solution to be adapted to various fiber
waveguide sensors to detect a wide range of analytes in real time, especially for
applications from environmental monitoring to biological diagnosis.
PMID- 29362757
TI - Syntheses, structures and theoretical calculations of stable triarylarsine
radical cations.
AB - The first stable triarylarsine radical cation salts 1+[BArF4]- and 2+[BArF4]-
were afforded by one-electron oxidation of triarylarsines Trip3As (1, Trip =
2,4,6-iPr3C6H2) and Dipp3As (2, Dipp = 2,6-iPr2C6H3) with AgSbF6 and NaBArF4 (ArF
= 3,5-(CF3)2C6H3), respectively. Their molecular and electronic structures were
investigated by single-crystal X-ray diffraction, electron paramagnetic resonance
(EPR) and UV-vis absorption spectroscopy, in conjunction with density functional
theory (DFT) calculations. The EPR and computational results demonstrate that the
spin density of 1+ and 2+ is mainly distributed on the As nuclei.
PMID- 29362758
TI - Free-electron-laser coherent diffraction images of individual drug-carrying
liposome particles in solution.
AB - Using the excellent performances of a SACLA (RIKEN/HARIMA, Japan) X-ray free
electron laser (X-FEL), coherent diffraction imaging (CDI) was used to detect
individual liposome particles in water, with or without inserted doxorubicin
nanorods. This was possible because of the electron density differences between
the carrier, the liposome, and the drug. The result is important since liposome
nanocarriers at present dominate drug delivery systems. In spite of the low cross
section of the original ingredients, the diffracted intensity of drug-free
liposomes was sufficient for spatial reconstruction yielding quantitative
structural information. For particles containing doxorubicin, the structural
parameters of the nanorods could be extracted from CDI. Furthermore, the
measurement of the electron density of the solution enclosed in each liposome
provides direct evidence of the incorporation of ammonium sulphate into the
nanorods. Overall, ours is an important test for extending the X-FEL analysis of
individual nanoparticles to low cross-sectional systems in solution, and also for
its potential use to optimize the manufacturing of drug nanocarriers.
PMID- 29362759
TI - A simple microfluidic platform for the partial treatment of insuspendable tissue
samples with orientation control.
AB - Microfluidic devices have extensively been applied to study biological samples,
including single cells. Exploiting laminar flows on a small scale, microfluidics
allow for the selective and partial exposure of samples to various chemical
treatments. Traditionally, suspendable samples are first flowed into formed
microchannels and are allowed to adhere to the channel floor randomly with no
control over sample placement or orientation, before being subjected to partial
treatment. This severely limits the choice of samples and the extent of sample
preparations. Here, we overcame this limit by reversing the sequence. We prepared
the samples first on glass substrates. A patterned silicone slab was then placed
on the substrate to form channels at an appropriate orientation with respect to
the sample. We used liquid silicone rubber (LSR) as the base material. Its
compliance (low elastic modulus) and its adhesion to glass offer the necessary
seal to form the microchannels naturally. The applicability of the device was
demonstrated by testing single axons of embryonic Drosophila motor neurons in
vivo. A segment of the axons was subjected to drugs that inhibit myosin
activities or block voltage-gated sodium ion channels. In response, the axons
reduced the clustering of neuro-transmitter vesicles at the presynaptic terminal
of neuromuscular junctions, or increased the calcium intake and underwent
membrane hyperpolarization, respectively. Such fundamental studies cannot be
carried out using conventional microfluidics.
PMID- 29362760
TI - A comparison of the sensory and rheological properties of different cellulosic
fibres for food.
AB - The impact of different cellulosic microstructures formed by highly entangled
fibre networks was studied for food applications as dietary fibre. This paper
reports the impact of the microstructure on the rheological and sensory behaviour
of the aqueous suspensions of particulate and fibrillated forms of softwood
cellulosic fibres, and was compared with citrus fibres. An aqueous suspension of
cellulosic fibres shows stable viscoelastic gel-like behaviour as a function of
frequency. The particulate form of cellulosic fibres showed the lowest shear
viscosity as compared with the entangled network system at comparable
concentrations. To provide further insight into the relationship between the
structure of cellulosic fibre and taste (salt) perception, an aqueous suspension
with matched shear viscosities were studied. A hypothesis to explain why softwood
cellulosic fibre (CTE) with an entangled network structure prolongs the taste
perception is presented.
PMID- 29362761
TI - Protein charge transfer absorption spectra: an intrinsic probe to monitor
structural and oligomeric transitions in proteins.
AB - Protein Charge Transfer Spectra (ProCharTS) originate when charged
amino/carboxylate groups in the side chains of Lys/Glu act as electronic charge
acceptors/donors for photoinduced charge transfer either from/to the polypeptide
backbone or to each other. The absorption band intensities in ProCharTS at
wavelengths of 250-800 nm are dependent on the 3D spatial proximity of these
charged functional groups across the protein. Intrinsically disordered proteins
(IDPs) are an important class of proteins involved in signalling and regulatory
functions in the eukaryotic cell. IDPs are rich in charged amino acids, but lack
structure-promoting intrinsic spectral probes like Tyr or Trp in their sequences,
making their structural characterisation difficult. Here, we exploit the richness
of charged amino acid populations among IDPs (like the PEST fragment of human c
Myc, its mutant and dehydrin from maize) to sense structural transitions in IDPs
using ProCharTS absorption spectra. Conformational changes induced in the protein
by altering the pH and temperature of the aqueous medium were monitored by
ProCharTS and confirmed by CD spectra. Further, the utility of ProCharTS to
detect protein aggregation was examined using Hen Egg-White Lysozyme (HEWL)
protein. The results revealed that in the presence of Trp/Tyr, ProCharTS
absorbance was substantially reduced, specifically at wavelengths where the
absorption by Trp or Tyr was near its maximum. Significant changes in the
ProCharTS spectra were observed with changing pH in the range of 3-11, which
correlated with changes in the secondary structure of the PEST fragment.
Importantly, the absorbance at 280 nm, which is often employed as a measure of
protein concentration, was profoundly altered by changes in ProCharTS intensity
in response to changing the pH in dehydrin. The ProCharTS intensity was sensitive
to temperature-induced changes in the secondary structures of the PEST fragments
between 25-85 degrees C. The presence of 0.25 M NaCl or KCl in the medium also
altered the ProCharTS spectrum. Finally, an increase in ProCharTS absorbance with
time in HEWL at pH 2 directly correlated with the growth of HEWL aggregates and
amyloid fibrils, as confirmed by the increasing thioflavin T fluorescence. Taken
together, our work highlights the utility of ProCharTS as a label-free intrinsic
probe to monitor changes in protein charge, structure and oligomeric state.
PMID- 29362762
TI - Preparation of a BiVO4 nanoporous photoanode based on peroxovanadate reduction
and conversion for efficient photoelectrochemical performance.
AB - A unique, controllable and facile method based on peroxovanadate reduction and
conversion to prepare BiVO4 nanoporous films is presented. In this method, a slow
and controllable reduction of peroxovanadate with ethanol was used, which was the
crucial step to ensure the uniform deposition of V2O5.xH2O on an F-doped tin
oxide substrate, and subsequently the annealed V2O5.xH2O film was converted to a
BiVO4 film by a simple impregnation method with Bi3+ under the oriented effect of
polyethylene glycol. The converted BiVO4 film possessed a single monoclinic
scheelite structure and exhibited an optimal water splitting photocurrent density
of 1.10 mA cm-2 at 1.23 V vs. RHE in 0.1 M KH2PO4 (pH 7) under AM 1.5G
illumination with an incident photon-to-current conversion efficiency of ~22.4%
at 400 nm using an annealed V2O5.xH2O film deposited for 3 hours. The BiVO4 film
also showed excellent water splitting performance and degradation efficiency in
the PEC degradation of methylene blue and tetracycline hydrochloride with a rate
constant of 0.63 h-1 and 0.21 h-1, respectively.
PMID- 29362763
TI - Achieving selectivity for copper over zinc with luminescent terbium probes
bearing phenanthridine antennas.
AB - A family of terbium probes was synthesized and evaluated for the luminescence
detection of copper and zinc in water at neutral pH. Each probe incorporates a
terbium ion chelated by a macrocyclic polyaminocarboxylate and conjugated to
either one, two, or three phenanthridine antennas via a diamine linker. All three
probes, Tb-1Phen, Tb-2Phen, and Tb-3Phen, exhibit similar responses toward copper
and zinc. In each case, the terbium-centered time-gated phosphorescence decreases
upon binding either CuI or CuII but not upon addition of ZnII. The
phosphorescence of Tb-2Phen is also not significantly affected by other metal
ions including MgII, CaII, MnII, FeII, NiII, CdII, and HgII. Tb-1Phen, on the
other hand, responds weakly to MnII, FeII and NiII. The lack of affinity of each
probe for ZnII was further confirmed by competition experiments with CuI and
CuII. Notably, whereas the terbium-centered emission of each probe is quenched
upon copper coordination, the phenanthridine-centered luminescence emission is
not. As such, each probe functions as a ratiometric probe for the selective
detection of copper over zinc. Theoretical calculations further demonstrate that
the turn off response of the probe is due to an increase in the distance
separating the lanthanide ion from its phenanthridine antennas upon coordination
of copper, which in turn decreases the efficiency of terbium sensitization by the
phenanthridines.
PMID- 29362764
TI - Total synthesis of pipecolic acid and 1-C-alkyl 1,5-iminopentitol derivatives by
way of stereoselective aldol reactions from (S)-isoserinal.
AB - A short synthesis of iminosugars and pipecolic acid derivatives has been realized
through aldol addition of a pyruvate, a range of ketones and (S)-isoserinal,
followed by catalytic reductive intramolecular amination. The stereoselective
aldol reaction was achieved successfully by using tertiary amines or di-zinc
aldol catalysts, thus constituting two parallel routes to optically pure products
with good yields and high diastereoselectivities. These carbohydrate analogues
may be the inhibitors of potent glycosidases and glycosyltransferases.
PMID- 29362765
TI - Protective effects of a Ganoderma atrum polysaccharide against acrylamide induced
oxidative damage via a mitochondria mediated intrinsic apoptotic pathway in IEC-6
cells.
AB - The preventive role of a purified Ganoderma atrum polysaccharide PSG-1-F2 as a
new dietary antioxidant against the intestinal toxicity of acrylamide (ACR) was
investigated in vitro. Our results showed that ACR could induce oxidative stress
in IEC-6 cells by the overproduction of reactive oxygen species (ROS) and
malondialdehyde (MDA), and as well as the reduction in the activities of
superoxide dismutase (SOD) and glutathione peroxidase (GSH-Px). In addition, the
induction of a mitochondria-mediated intrinsic apoptotic pathway by ACR was
evidenced by the events of loss of mitochondrial membrane potential, bax/bcl-2
dysregulation, cytochrome c release, and activation of caspase-3. Interestingly,
PSG-1-F2 was able to suppress ACR toxicity by improving the redox status of IEC-6
cells and by attenuating mitochondria-mediated apoptosis. Its protective effect
was even superior to the clinically used antioxidant N-acetylcysteine (NAC). This
study uniquely introduces PSG-1-F2 as a potential inhibitor of ACR-induced stress
and toxicities.
PMID- 29362766
TI - Effects of calorie restriction plus fish oil supplementation on abnormal
metabolic characteristics and the iron status of middle-aged obese women.
AB - The increasing prevalence of obesity and sedentary lifestyles has led to a higher
incidence of metabolic syndrome (MetS) worldwide as well as in Taiwan. Middle
aged women are at a greater risk of MetS, type 2 diabetes, and cardiovascular
disease than men because they have more subcutaneous fat and larger waist
circumferences compared with men with equal visceral fat levels. In this study,
we investigated the effects of calorie restriction (CR) and fish oil
supplementation (CRF) on middle-aged Taiwanese women with MetS. An open-label,
parallel-arm, controlled trial was conducted for 12 weeks. A total of 75 eligible
participants were randomly assigned to the CR or CRF group. Both the dietary
intervention groups were further divided into two age groups: <=45 and >45 years.
Changes in MetS severity, inflammatory status, iron status, and red blood cell
fatty acid profile were evaluated. A total of 71 participants completed the
trial. Both dietary interventions significantly ameliorated MetS and improved the
participants' inflammatory status. CR significantly increased the total iron
binding capacity (TIBC) whereas CRF increased hepcidin levels in women aged >45
years. Furthermore, CRF significantly increased the n-6/n-3 and arachidonic
acid/docosahexaenoic acid ratios. Both interventions improved the anthropometric
and MetS characteristics, including body weight, blood glucose and triglyceride
levels, and the score of the homeostasis model assessment of insulin resistance
and quantitative insulin sensitivity check index. In conclusion, the 12-week
dietary interventions improved the abnormal metabolic status of middle-aged obese
women. CRF was demonstrated to be more effective in ameliorating postprandial
glucose level and TIBC in women aged >45 years than in those aged <=45 years.
PMID- 29362767
TI - Capsaicin and nonivamide similarly modulate outcome measures of mitochondrial
energy metabolism in HepG2 and 3T3-L1 cells.
AB - Capsaicin, the highly pungent principle of red pepper, has been demonstrated to
have anti-obesity properties by affecting energy and lipid metabolism. Recent
evidence from human intervention trials shows that also less pungent capsaicin
analogs, like nonivamide, may help to reduce total body fat, although mechanistic
data comparing the effects of capsaicin and nonivamide on outcome measures of
energy metabolism are lacking. Here, the tissue-specific effects of capsaicin and
nonivamide on parameters of mitochondrial energy metabolism in 3T3-L1 and HepG2
cells are investigated. Lipid accumulation was reduced to a similar extent after
treatment with both test substances during the maturation of 3T3-L1 cells by up
to 6.91% for capsaicin and up to 4.89% for nonivamide (p < 0.01) at a
concentration of 0.1 MUM or 1 MUM, respectively. Energy-producing pathways, as
indicated by the reduced mitochondrial oxygen consumption and reduced glucose and
fatty acid uptake, were diminished after incubation with both capsaicinoids at a
concentration of 100 MUM. The results from HPLC analyses revealed a reduced
cellular energy charge potential after a 4 h treatment with nonivamide. In HepG2
cells, similar effects were demonstrated: the glucose uptake was reduced by 18.7%
and 25.8% (p < 0.05), after a 24 h incubation with 100 MUM capsaicin and
nonivamide, respectively. In addition, the fatty acid uptake and oxygen
consumption were decreased and the energy charge potential was diminished. These
findings provide evidence that concentrations of capsaicin and nonivamide between
0.1 and 100 MUM modulate the mechanisms of cellular energy metabolism to a
similar extent, independent of the investigated tissue.
PMID- 29362768
TI - Enhanced green upconversion luminescence in tetrahedral LiYF4:Yb/Er nanoparticles
by manganese(ii)-doping: the key role of the host lattice.
AB - We report the enhancement of green upconversion luminescence in tetrahedral
LiYF4:Yb/Er nanoparticles by Mn2+ ion doping, which is different from the
enhanced single-band red emission dominated by Mn2+ ions in cubic NaLnF4:Yb/Er
(Ln = Y, Gd, Lu) nanoparticles. The energy levels of the first excited state 4T1
of Mn2+ in tetrahedral LiYF4:Gd and cubic NaGd(Y)F4 are compared by detection of
emissions from Mn2+via the energy transition from Gd3+ to Mn2+ with excitation at
275 nm. The coordination environments of Mn2+ in these two host lattices have
been investigated by X-ray absorption fine structure measurements. The results
demonstrate that the formation of tetrahedral MnF4 in tetragonal LiYF4 arising
from the replacement of Ln3+ ions with Mn2+ ions leads to a higher energy level
of the Mn2+ 4T1 state than that in octahedral MnF6 in cubic NaYF4. The high-lying
excited state of tetrahedral MnF4 is close to the green emitting 4S3/2 state of
Er3+ and thus enhances green upconversion emission in tetragonal LiYF4:Yb/Er,
while the low-lying excited state of octahedral MnF6 dominates red emission in
cubic NaYF4:Yb/Er. These findings provide direct evidence for the key roles of
the host lattices and more possibilities in modulating the upconversion behaviour
of lanthanide-based nanoparticles by transition-metal ion doping to achieve the
desired goals of specific applications.
PMID- 29362769
TI - E-Z isomerization in Suzuki cross-couplings of haloenones: ligand effects and
evidence for a separate catalytic cycle.
AB - Suzuki cross-coupling of haloalkenes is generally assumed to occur with retention
of the alkene stereochemistry. While studying Suzuki cross-couplings on E-1,2
dichlorovinyl phenyl ketone, we were surprised to observe extensive
isomerization. More surprisingly, the ligand employed strongly influenced the
degree of isomerization: DPEphos and Xantphos led to 96% isomerized cross-coupled
product whereas reactions in the absence of a phosphine ligand, or reactions
employing t-BuXantphos, gave 94% retention of stereochemistry. While E-Z
isomerization in Pd-catalyzed vinylic couplings has previously been attributed to
events within the cross-coupling catalytic cycle, we present experimental and
computational evidence for a separate Pd-catalyzed isomerization process in these
reactions.
PMID- 29362770
TI - Changing the Subject: The Place of Revisions in Grammatical Development.
AB - Purpose: This article focuses on toddlers' revisions of the sentence subject and
tests the hypothesis that subject diversity (i.e., the number of different
subjects produced) increases the probability of subject revision. Method: One
hour language samples were collected from 61 children (32 girls) at 27 months.
Spontaneously produced, active declarative sentences (ADSs) were analyzed for
subject diversity and the presence of subject revision and repetition. The number
of different words produced, mean length of utterance, tense/agreement
productivity score, and the number of ADSs were also measured. Results:
Regression analyses were performed with revision and repetition as the dependent
variables. Subject diversity significantly predicted the probability of revision,
whereas the number of ADSs predicted the probability of repetition. Conclusion:
The results support the hypothesis that subject diversity increases the
probability of subject revision. It is proposed that lexical diversity within
specific syntactic positions is the primary mechanism whereby revision rates
increase with grammatical development. The results underscore the need to
differentiate repetition from revision in the classification of disfluencies.
PMID- 29362771
TI - Orpheus' Descent Into the Neurointensive Care Unit.
PMID- 29362772
TI - Pharma Art-Abstract Medication in the Work of Beverly Fishman.
PMID- 29362773
TI - Nystagmus and Platinum Hair.
PMID- 29362774
TI - Left Atrial Appendage Occlusion During Cardiac Operations for Prevention of
Thromboembolic Events.
PMID- 29362775
TI - Three Decades of Peer Review Congresses.
PMID- 29362776
TI - Evaluating the Long-term Safety of Hysteroscopic Sterilization.
PMID- 29362777
TI - A Window Into the Evolution of Trauma-Focused Psychotherapies for Posttraumatic
Stress Disorder.
PMID- 29362779
TI - Tick Bite Linked to Red Meat Allergy.
PMID- 29362778
TI - Oral Medications to Treat Toenail Fungal Infection.
AB - Clinical Question: Which oral antifungal medication is associated with the
highest clinical (ie, normal appearance of the toenail) and mycological (negative
culture, microscopy, or both) cure rates vs placebo or other antifungals when
used to treat fungal infections? Bottom Line: Both terbinafine and azole-based
medications were associated with higher clinical and mycological cure rates
compared with placebo (high-quality evidence). Azoles were associated with lower
cure rates than terbinafine when compared directly.
PMID- 29362780
TI - CMS Cancels Mandatory Hip and Cardiac Payment Bundles.
PMID- 29362781
TI - Study Recruitment to Accelerate Precision Medicine.
PMID- 29362783
TI - Predetermination of Sex.
PMID- 29362784
TI - Trialists' Intent to Share Individual Participant Data as Disclosed at
ClinicalTrials.gov.
PMID- 29362785
TI - Editorial Evaluation, Peer Review, and Publication of Research Reports With and
Without Supplementary Online Content.
PMID- 29362786
TI - Characteristics of Interim Publications of Randomized Clinical Trials and
Comparison With Final Publications.
PMID- 29362787
TI - Prevalence of Disclosed Conflicts of Interest in Biomedical Research and
Associations With Journal Impact Factors and Altmetric Scores.
PMID- 29362788
TI - Altmetric Scores, Citations, and Publication of Studies Posted as Preprints.
PMID- 29362789
TI - Shantanu Nundy, MD: The Human Diagnosis Project.
PMID- 29362791
TI - Social Media Intervention Improves Vaccine Acceptance.
PMID- 29362790
TI - New Combination Therapy for Cystic Fibrosis.
PMID- 29362792
TI - Bariatric Surgery Controls Blood Pressure.
PMID- 29362793
TI - Opioid Agonist and Antagonist Therapies Prevent Opioid Relapse.
PMID- 29362794
TI - Association Between Left Atrial Appendage Occlusion and Readmission for
Thromboembolism Among Patients With Atrial Fibrillation Undergoing Concomitant
Cardiac Surgery.
AB - Importance: The left atrial appendage is a key site of thrombus formation in
atrial fibrillation (AF) and can be occluded or removed at the time of cardiac
surgery. There is limited evidence regarding the effectiveness of surgical left
atrial appendage occlusion (S-LAAO) for reducing the risk of thromboembolism.
Objective: To evaluate the association of S-LAAO vs no receipt of S-LAAO with the
risk of thromboembolism among older patients undergoing cardiac surgery. Design,
Setting, and Participants: Retrospective cohort study of a nationally
representative Medicare-linked cohort from the Society of Thoracic Surgeons Adult
Cardiac Surgery Database (2011-2012). Patients aged 65 years and older with AF
undergoing cardiac surgery (coronary artery bypass grafting [CABG], mitral valve
surgery with or without CABG, or aortic valve surgery with or without CABG) with
and without concomitant S-LAAO were followed up until December 31, 2014.
Exposures: S-LAAO vs no S-LAAO. Main Outcomes and Measures: The primary outcome
was readmission for thromboembolism (stroke, transient ischemic attack, or
systemic embolism) at up to 3 years of follow-up, as defined by Medicare claims
data. Secondary end points included hemorrhagic stroke, all-cause mortality, and
a composite end point (thromboembolism, hemorrhagic stroke, or all-cause
mortality). Results: Among 10 524 patients undergoing surgery (median age, 76
years; 39% female; median CHA2DS2-VASc score, 4), 3892 (37%) underwent S-LAAO.
Overall, at a mean follow-up of 2.6 years, thromboembolism occurred in 5.4%,
hemorrhagic stroke in 0.9%, all-cause mortality in 21.5%, and the composite end
point in 25.7%. S-LAAO, compared with no S-LAAO, was associated with lower
unadjusted rates of thromboembolism (4.2% vs 6.2%), all-cause mortality (17.3% vs
23.9%), and the composite end point (20.5% vs 28.7%) but no significant
difference in rates of hemorrhagic stroke (0.9% vs 0.9%). After inverse
probability-weighted adjustment, S-LAAO was associated with a significantly lower
rate of thromboembolism (subdistribution hazard ratio [HR], 0.67; 95% CI, 0.56
0.81; P < .001), all-cause mortality (HR, 0.88; 95% CI, 0.79-0.97; P = .001), and
the composite end point (HR, 0.83; 95% CI, 0.76-0.91; P < .001) but not
hemorrhagic stroke (subdistribution HR, 0.84; 95% CI, 0.53-1.32; P = .44). S
LAAO, compared with no S-LAAO, was associated with a lower risk of
thromboembolism among patients discharged without anticoagulation (unadjusted
rate, 4.2% vs 6.0%; adjusted subdistribution HR, 0.26; 95% CI, 0.17-0.40; P <
.001), but not among patients discharged with anticoagulation (unadjusted rate,
4.1% vs 6.3%; adjusted subdistribution HR, 0.88; 95% CI, 0.56-1.39; P = .59).
Conclusions and Relevance: Among older patients with AF undergoing concomitant
cardiac surgery, S-LAAO, compared with no S-LAAO, was associated with a lower
risk of readmission for thromboembolism over 3 years. These findings support the
use of S-LAAO, but randomized trials are necessary to provide definitive
evidence.
PMID- 29362795
TI - Effect of Prolonged Exposure Therapy Delivered Over 2 Weeks vs 8 Weeks vs Present
Centered Therapy on PTSD Symptom Severity in Military Personnel: A Randomized
Clinical Trial.
AB - Importance: Effective and efficient treatment is needed for posttraumatic stress
disorder (PTSD) in active duty military personnel. Objective: To examine the
effects of massed prolonged exposure therapy (massed therapy), spaced prolonged
exposure therapy (spaced therapy), present-centered therapy (PCT), and a minimal
contact control (MCC) on PTSD severity. Design, Setting, and Participants:
Randomized clinical trial conducted at Fort Hood, Texas, from January 2011
through July 2016 and enrolling 370 military personnel with PTSD who had returned
from Iraq, Afghanistan, or both. Final follow-up was July 11, 2016.
Interventions: Prolonged exposure therapy, cognitive behavioral therapy involving
exposure to trauma memories/reminders, administered as massed therapy (n = 110;
10 sessions over 2 weeks) or spaced therapy (n = 109; 10 sessions over 8 weeks);
PCT, a non-trauma-focused therapy involving identifying/discussing daily
stressors (n = 107; 10 sessions over 8 weeks); or MCC, telephone calls from
therapists (n = 40; once weekly for 4 weeks). Main Outcomes and Measures:
Outcomes were assessed before and after treatment and at 2-week, 12-week, and 6
month follow-up. Primary outcome was interviewer-assessed PTSD symptom severity,
measured by the PTSD Symptom Scale-Interview (PSS-I; range, 0-51; higher scores
indicate greater PTSD severity; MCID, 3.18), used to assess efficacy of massed
therapy at 2 weeks posttreatment vs MCC at week 4; noninferiority of massed
therapy vs spaced therapy at 2 weeks and 12 weeks posttreatment (noninferiority
margin, 50% [2.3 points on PSS-I, with 1-sided alpha = .05]); and efficacy of
spaced therapy vs PCT at posttreatment. Results: Among 370 randomized
participants, data were analyzed for 366 (mean age, 32.7 [SD, 7.3] years; 44
women [12.0%]; mean baseline PSS-I score, 25.49 [6.36]), and 216 (59.0%)
completed the study. At 2 weeks posttreatment, mean PSS-I score was 17.62 (mean
decrease from baseline, 7.13) for massed therapy and 21.41 (mean decrease, 3.43)
for MCC (difference in decrease, 3.70 [95% CI,0.72 to 6.68]; P = .02). At 2 weeks
posttreatment, mean PSS-I score was 18.03 for spaced therapy (decrease, 7.29;
difference in means vs massed therapy, 0.79 [1-sided 95% CI, -infinity to 2.29; P
= .049 for noninferiority]) and at 12 weeks posttreatment was 18.88 for massed
therapy (decrease, 6.32) and 18.34 for spaced therapy (decrease, 6.97;
difference, 0.55 [1-sided 95% CI, -infinity to 2.05; P = .03 for
noninferiority]). At posttreatment, PSS-I scores for PCT were 18.65 (decrease,
7.31; difference in decrease vs spaced therapy, 0.10 [95% CI, -2.48 to 2.27]; P =
.93). Conclusions and Relevance: Among active duty military personnel with PTSD,
massed therapy (10 sessions over 2 weeks) reduced PTSD symptom severity more than
MCC at 2-week follow-up and was noninferior to spaced therapy (10 sessions over 8
weeks), and there was no significant difference between spaced therapy and PCT.
The reductions in PTSD symptom severity with all treatments were relatively
modest, suggesting that further research is needed to determine the clinical
importance of these findings. Trial Registration: clinicaltrials.gov Identifier:
NCT01049516.
PMID- 29362797
TI - Patellofemoral Pain.
PMID- 29362796
TI - Association of Hysteroscopic vs Laparoscopic Sterilization With Procedural,
Gynecological, and Medical Outcomes.
AB - Importance: Safety of hysteroscopic sterilization has been recently questioned
following reports of general symptoms such as allergy, tiredness, and depression
in addition to associated gynecological results such as pelvic pain, perforation
of fallopian tubes or uterus, and unwanted pregnancy. Objective: To compare the
risk of reported adverse events between hysteroscopic and laparoscopic
sterilization. Design, Setting, and Participants: French nationwide cohort study
using the national hospital discharge database linked to the health insurance
claims database. Women aged 30 to 54 years receiving a first hysteroscopic or
laparoscopic sterilization between 2010 and 2014 were included and were followed
up through December 2015. Exposures: Hysteroscopic sterilization vs laparoscopic
sterilization. Main Outcomes and Measures: Risks of procedural complications
(surgical and medical) and of gynecological (sterilization failure that includes
salpingectomy, second sterilization procedure, or pregnancy; pregnancy;
reoperation) and medical outcomes (all types of allergy; autoimmune diseases;
thyroid disorder; use of analgesics, antimigraines, antidepressants,
benzodiazepines; outpatient visits; sickness absence; suicide attempts; death)
that occurred within 1 and 3 years after sterilization were compared using
inverse probability of treatment-weighted Cox models. Results: Of the 105 357
women included (95.5% of eligible participants; mean age, 41.3 years [SD, 3.7
years]), 71 303 (67.7% ) underwent hysteroscopic sterilization, and 34 054
(32.3%) underwent laparoscopic sterilization. During the hospitalization for
sterilization, risk of surgical complications for hysteroscopic sterilization was
lower: 0.13% for hysteroscopic sterilization vs 0.78% for laparoscopic
sterilization (adjusted risk difference [RD], -0.64; 95% CI, -0.67 to -0.60) and
was lower for medical complications: 0.06% vs 0.11% (adjusted RD, -0.05; 95% CI,
0.08 to -0.01). During the first year after sterilization, 4.83% of women who
underwent hysteroscopic sterilization had a higher risk of sterilization failure
than the 0.69% who underwent laparoscopic sterilization (adjusted hazard ratio
[HR], 7.11; 95% CI, 5.92 to 8.54; adjusted RD, 4.23 per 100 person-years; 95% CI,
3.40 to 5.22). Additionally, 5.65% of women who underwent hysteroscopic
sterilization required gynecological reoperation vs 1.76% of women who underwent
laparoscopic sterilization (adjusted HR, 3.26; 95% CI, 2.90 to 3.67; adjusted RD,
4.63 per 100 person-years; 95% CI, 3.38 to 4.75); these differences persisted
after 3 years, although attenuated. Hysteroscopic sterilization was associated
with a lower risk of pregnancy within the first year of the procedure but was not
significantly associated with a difference in risk of pregnancy by the third year
(adjusted HR, 1.04; 95% CI, 0.83-1.30; adjusted RD, 0.01 per 100 person-years;
95% CI, -0.04 to 0.07). Risks of medical outcomes were not significantly
increased with hysteroscopic sterilization compared with laparoscopic
sterilization. Conclusions and Relevance: Among women undergoing first
sterilization, the use of hysteroscopic sterilization was significantly
associated with higher risk of gynecological complications over 1 year and over 3
years than was laparoscopic sterilization. Risk of medical outcomes was not
significantly increased over 1 year or over 3 years. These findings do not
support increased medical risks associated with hysteroscopic sterilization.
PMID- 29362799
TI - The Things We Say.
PMID- 29362802
TI - Possible Racial Disparities in NICU Breast Milk Use.
PMID- 29362800
TI - Preferred Reporting Items for a Systematic Review and Meta-analysis of Diagnostic
Test Accuracy Studies: The PRISMA-DTA Statement.
AB - Importance: Systematic reviews of diagnostic test accuracy synthesize data from
primary diagnostic studies that have evaluated the accuracy of 1 or more index
tests against a reference standard, provide estimates of test performance, allow
comparisons of the accuracy of different tests, and facilitate the identification
of sources of variability in test accuracy. Objective: To develop the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA) diagnostic test
accuracy guideline as a stand-alone extension of the PRISMA statement.
Modifications to the PRISMA statement reflect the specific requirements for
reporting of systematic reviews and meta-analyses of diagnostic test accuracy
studies and the abstracts for these reviews. Design: Established standards from
the Enhancing the Quality and Transparency of Health Research (EQUATOR) Network
were followed for the development of the guideline. The original PRISMA statement
was used as a framework on which to modify and add items. A group of 24
multidisciplinary experts used a systematic review of articles on existing
reporting guidelines and methods, a 3-round Delphi process, a consensus meeting,
pilot testing, and iterative refinement to develop the PRISMA diagnostic test
accuracy guideline. The final version of the PRISMA diagnostic test accuracy
guideline checklist was approved by the group. Findings: The systematic review
(produced 64 items) and the Delphi process (provided feedback on 7 proposed
items; 1 item was later split into 2 items) identified 71 potentially relevant
items for consideration. The Delphi process reduced these to 60 items that were
discussed at the consensus meeting. Following the meeting, pilot testing and
iterative feedback were used to generate the 27-item PRISMA diagnostic test
accuracy checklist. To reflect specific or optimal contemporary systematic review
methods for diagnostic test accuracy, 8 of the 27 original PRISMA items were left
unchanged, 17 were modified, 2 were added, and 2 were omitted. Conclusions and
Relevance: The 27-item PRISMA diagnostic test accuracy checklist provides
specific guidance for reporting of systematic reviews. The PRISMA diagnostic test
accuracy guideline can facilitate the transparent reporting of reviews, and may
assist in the evaluation of validity and applicability, enhance replicability of
reviews, and make the results from systematic reviews of diagnostic test accuracy
studies more useful.
PMID- 29362803
TI - African Countries Move Closer to Eradicating Guinea Worm Disease.
PMID- 29362804
TI - Interocular correlation sensitivity and its relationship with stereopsis.
AB - Stereoscopic vision uses the disparity between the images received by the two
eyes to derive three-dimensional estimates. Here, we were interested in providing
a measure of the strength of binocular vision alternate to disparity processing.
In particular, we wanted to assess the spatial dependence of sensitivity to
detect interocular correlation (IOC). Thus we designed dichoptic stimuli composed
of bandpass textures whose IOC is sinusoidally modulated at different correlation
frequencies and compared sensitivity to these stimuli to that of analogous
stimuli modulated in disparity. We observed that the IOC sensitivity is low
pass/band pass and increases with stimulus duration and contrast in a similar way
to that of disparity sensitivity. IOC sensitivity is only weakly, though
significantly, correlated with disparity sensitivity in the population. It could
provide an alternate measure of binocular sensitivity.
PMID- 29362805
TI - The edge of awareness: Mask spatial density, but not color, determines optimal
temporal frequency for continuous flash suppression.
AB - The study of how visual processing functions in the absence of visual awareness
has become a major research interest in the vision-science community. One of the
main sources of evidence that stimuli that do not reach conscious awareness-and
are thus "invisible"-are still processed to some degree by the visual system
comes from studies using continuous flash suppression (CFS). Why and how CFS
works may provide more general insight into how stimuli access awareness. As
spatial and temporal properties of stimuli are major determinants of visual
perception, we hypothesized that these properties of the CFS masks would be of
significant importance to the achieved suppression depth. In previous studies
however, the spatial and temporal properties of the masks themselves have
received little study, and masking parameters vary widely across studies, making
a metacomparison difficult. To investigate the factors that determine the
effectiveness of CFS, we varied both the temporal frequency and the spatial
density of Mondrian-style masks. We consistently found the longest suppression
duration for a mask temporal frequency of around 6 Hz. In trials using masks with
reduced spatial density, suppression was weaker and frequency tuning was less
precise. In contrast, removing color reduced mask effectiveness but did not
change the pattern of suppression strength as a function of frequency. Overall,
this pattern of results stresses the importance of CFS mask parameters and is
consistent with the idea that CFS works by disrupting the spatiotemporal
mechanisms that underlie conscious access to visual input.
PMID- 29362806
TI - Transsaccadic transfer of distortion adaptation in a natural environment.
AB - Spatially varying distortions in optical elements-for instance prisms and
progressive power lenses-modulate the visual world disparately in different
visual areas. Saccadic eye movements in such a complexly distorted environment
thereby continuously alter the retinal location of the distortions. Yet the
visual system achieves perceptual constancy by compensating for distortions
irrespective of their retinal relocations at different fixations. Here, we
assessed whether the visual system retains its plasticity to distortions across
saccades to attain stability. Specifically, we tapped into reference frames of
geometric skew-adaptation aftereffects to evaluate the transfer of retinotopic
and spatiotopic distortion information across saccades. Adaptation to skew
distortion of natural-image content was tested at retinotopic and spatiotopic
locations after a saccade was executed between adaptation and test phases. The
skew-adaptation information was partially transferred to a new fixation after a
saccade. Significant adaptation aftereffects were obtained at both retinotopic
and spatiotopic locations. Conceivably, spatiotopic information was used to
counterbalance the saccadic retinal shifts of the distortions. Therefore,
distortion processing in a natural visual world does not start anew at each
fixation; rather, retinotopic and spatiotopic skew information acquired at
previous fixations are preserved to mediate stable perception during eye
movements.
PMID- 29362807
TI - Shatter and splatter: The contribution of mechanical and optical properties to
the perception of soft and hard breaking materials.
AB - Research on the visual perception of materials has mostly focused on the surface
qualities of rigid objects. The perception of substance like materials is less
explored. Here, we investigated the contribution of, and interaction between,
surface optics and mechanical properties to the perception of nonrigid, breaking
materials. We created novel animations of materials ranging from soft to hard
bodies that broke apart differently when dropped. In Experiment 1, animations
were rendered as point-light movies varying in dot density, as well as "full-cue"
optical versions ranging from translucent glossy to opaque matte under a natural
illumination field. Observers used a scale to rate each substance on different
attributes. In Experiment 2 we investigated how much shape contributed to ratings
of the full-cue stimuli in Experiment 1, by comparing ratings when observers were
shown movies versus one frame of the animation. The results showed that optical
and mechanical properties had an interactive effect on ratings of several
material attributes. We also found that motion and static cues each provided a
lot of information about the material qualities; however, when combined, they
influenced observers' ratings interactively. For example, in some conditions,
motion dominated over optical information; in other conditions, it enhanced the
effect of optics. Our results suggest that rating multiple attributes is an
effective way to measure underlying perceptual differences between nonrigid
breaking materials, and this study is the first to our knowledge to show
interactions between optical and mechanical properties in a task involving
judgments of perceptual qualities.
PMID- 29362809
TI - An Erythematous-squamous Lesion of the Foot: A Quiz.
PMID- 29362808
TI - Stimulus conflation and tuning selectivity in V4 neurons: a model of visual
crowding.
AB - Visual crowding is a fundamental constraint on our ability to identify peripheral
objects in cluttered environments. This study proposes a descriptive model for
understanding crowding based on the tuning selectivity for stimuli within the
receptive field (RF) and examines potential neural correlates in cortical area
V4. For V4 neurons, optimally sized, letter-like stimuli are much smaller than
the RF. This permits stimulus conflation, the fusing of separate objects into a
single identity, to occur within the RF of single neurons. Flanking interactions
between such stimuli were found to be limited to the RF. The response to an
optimal stimulus centered in the neuron's RF, is suppressed by the simultaneous
presentation of flanking stimuli within the RF. The degree of suppression is a
function of the neuron's stimulus tuning properties and the position of the
flanker within the RF. A single neuron may show suppression or facilitation
depending on the detailed stimulus conditions and the relationship to tuning
selectivity. Loss of activity in the set of neurons tuned to a particular
stimulus alters its overall representation and potential identification, thus
forming a basis for visual crowding effects. The mechanisms that determine the
outcome of conflation are associated with object identification, and are not some
other independent visual phenomena.
PMID- 29362810
TI - Predictive Model for Response Rate to Narrowband Ultraviolet B Phototherapy in
Vitiligo: A Retrospective Cohort Study of 579 Patients.
AB - Vitiligo is an acquired depigmenting disorder. To date, there is no predictive
model for its response rate to narrowband ultraviolet B (NBUVB) phototherapy. The
aim of this study was to investigate the different types of response of patients
with non-segmental vitiligo undergoing NBUVB 3 times a week. Many patients who
were previously considered non-responders were given the opportunity to continue
the treatment. Long-term maintenance of treatment and follow-up of a cohort of
579 patients enabled different subtypes of response (very rapid, rapid, average,
slow and "non-responders") to be described for the first time, and a predictive
model of response to be constructed based on repigmentation rate in the first 48
sessions of NBUVB. Among those patients who did not respond during the first 48
sessions, a new subgroup of patients was found, termed "very-slow" responders,
who achieved a low, but significant, level of repigmentation after 96 sessions of
NBUVB.
PMID- 29362811
TI - Efficacy of Omalizumab Treatment with Concomitant Antihistamines as Needed for
Moderate, Refractory Chronic Spontaneous Urticaria.
PMID- 29362812
TI - Banded Scalp Hair with an Unusual Glistening Appearance in a Teenager: A Quiz.
PMID- 29362813
TI - Two Severe Cases of Adult-onset Still's Disease with Persistent Pruritic
Eruptions.
PMID- 29362814
TI - Longer Duration of Untreated Psychosis is Associated with Poorer Outcomes for
Patients with Delusional Infestation.
AB - We examined the association between the duration of untreated psychosis and
outcome for patients with delusional infestation. This multi-centre international
study included 211 consecutive patients. Illness severity was evaluated at first
presentation and outcome was measured with the Clinical Global Impression scale
(CGI) at baseline and follow-up. A regression analysis showed a clear clinical
and statistically significant association between shorter duration of untreated
psychosis and better outcome at follow-up. Patients with a duration of untreated
psychosis of less than one year showed a CGI-S change from 5.37 to 2.07; those
with a duration of untreated psychosis of 1-5 years a change from 5.48 to 2.59,
and those with a duration of untreated psychosis of >5 years a change from 5.59
to 3.37. This difference of 1.1 CGI points between the groups resembles a
clinically relevant difference in patient outcome. Our results suggest that
longer duration of untreated psychosis in patients with delusional infestation is
associated with significantly less favour-able clinical outcomes.
PMID- 29362815
TI - Two Minimal Clinically Important Difference (2MCID): A New Twist on an Old
Concept.
PMID- 29362816
TI - Pyoderma Gangrenosum Under Dabrafenib and Trametinib for Metastatic Melanoma.
PMID- 29362817
TI - [Superior canal dehiscence syndrome : Diagnosis with vestibular evoked myogenic
potentials and fremitus nystagmus. German version].
AB - BACKGROUND: Superior canal dehiscence syndrome (SCDS) is a relatively rare
neurotological disorder that is characterized by a heterogeneous clinical
picture. Recently, vestibular evoked myogenic potential (VEMP) measurementwas
established for the diagnosis of SCDS. In the present study, a case series of
patients with SCDS were analyzed, with a focus on VEMP. METHODS: Four patients
with SCDS were prospectively examined with ocular VEMP (oVEMP) and cervical VEMP
(cVEMP). The clinical features and the standard audiovestibular test battery
results are summarized and analyzed. The diagnostic accuracy of VEMP testing is
evaluated. RESULTS: The increased oVEMP amplitudes had a specificity of 100% in
this patient population. All patients had normal caloric function and head
impulse testing. The Tullio sign was observed in two patients. Three patients had
autophony. The airbone gap was not greater than 10 dB in any of the patients. Two
patients had marked fremitus nystagmus. All patients had a bony dehiscence of the
superior semicircular canal on computed tomography imaging. CONCLUSION: The
subjective and clinical features in this case series of SCDS patients were
heterogeneous. However, objective oVEMP testing had the highest diagnostic value.
Furthermore, we describe a new diagnostic clinical sign: fremitus nystagmus.
PMID- 29362818
TI - Traumatic cardiac luxation.
PMID- 29362819
TI - A comparison of group sequential and fixed sample size designs for bioequivalence
trials with highly variable drugs.
AB - PURPOSE: A drug is defined as highly variable if its intra-individual coefficient
of variation (CV) is greater than or equal to 30%. In such a case, bioequivalence
may be assessed by means of methods that take the (high) variability into
account. The Scaled Average Bioequivalence (SABE) approach is such a procedure
and represents the recommendations of FDA. The aim of this investigation is to
compare the performance characteristics of classical group sequential designs
(GSD) and fixed design settings for three-period crossover bioequivalence studies
with highly variable drugs, where the SABE procedure is utilized. METHODS: Monte
Carlo simulations were performed to assess type I error rate, power, and average
sample size for GSDs with Pocock's and O'Brien-Fleming's stopping rules and
various timings of the interim analysis and for fixed design settings. RESULTS:
Based on our investigated scenarios, the GSDs show comparable properties with
regard to power and type I error rate as compared to the corresponding fixed
designs. However, due to an advantage in average sample size, the most appealing
design is Pocock's approach with interim analysis after 50% information fraction.
CONCLUSIONS: Due to their favorable performance characteristics, two-stage GSDs
are an appealing alternative to fixed sample designs when assessing
bioequivalence in highly variable drugs.
PMID- 29362820
TI - Subtherapeutic valproic acid plasma concentrations under concomitant dipyrone
therapy in an epilepsy patient-a case report.
PMID- 29362822
TI - Gut Microbiota and IGF-1.
AB - Microbiota and their hosts have coevolved for millions of years. Microbiota are
not only critical for optimal development of the host under normal physiological
growth, but also important to ensure proper host development during nutrient
scarcity or disease conditions. A large body of research has begun to detail the
mechanism(s) of how microbiota cooperate with the host to maintain optimal health
status. One crucial host pathway recently demonstrated to be modulated by
microbiota is that of the growth factor insulin like growth factor 1 (IGF-1). Gut
microbiota are capable of dynamically modulating circulating IGF-1 in the host,
with the majority of data suggesting that microbiota induce host IGF-1 synthesis
to influence growth. Microbiota-derived metabolites such as short chain fatty
acids are sufficient to induce IGF-1. Whether microbiota induction of IGF-1 is
mediated by the difference in growth hormone expression or the host sensitivity
to growth hormone is still under investigation. This review summarizes the
current data detailing the interaction between gut microbiota, IGF-1 and host
development.
PMID- 29362823
TI - Exposure Duration Is a Determinant of the Effect of Sinusoidal Electromagnetic
Fields on Peak Bone Mass of Young Rats.
AB - We proposed a three-step strategy to obtain the optimal therapeutic parameters,
which is composed of large-scale screening at cellular level, verification in
animal experiments, and confirmation by a clinical trial. The objective of the
current study was to test the feasibility of our strategy. Newborn rat calvarial
osteoblasts were treated by 50 Hz 1.8 mT sinusoidal electromagnetic fields
(SEMFs) with 0.5, 1.0, 1.5, 2.0, 2.5, and 3.0 h/days, respectively. The
osteogenic differentiation and maturation of the osteoblast were assayed and
compared to obtain the optimal duration. One-month-old growing rats were then
treated by the same SEMFs with 0.5, 1.5, and 2.5 h/days, respectively, and the
peak bone mass was analyzed after 2 months. It was found that the optimal
exposure duration to promote the osteogenic differentiation and maturation of
osteoblasts was 1.5 h/days, judging by the increasing degrees of ALP activity,
calcified nodules formed, the gene and protein expression levels of Runx-2, BMP
2, and Col-I, as well as the expression levels of signaling proteins of the BMP
2/Smad1/5/8 pathway. The highest increase of peak bone mass after 2 months was
also obtained by 1.5 h/days, judging by the results of X-ray dual-energy
absorptiometry, mechanical property analysis, micro-CT scanning, and serum bone
turnover marker examinations. The above results indicated that exposure duration
is a determinant for the therapeutic effect of EMFs, and the optimal therapeutic
effects only can be obtained by the optimal exposure duration.
PMID- 29362824
TI - Time-course responses of ileal and fecal microbiota and metabolite profiles to
antibiotics in cannulated pigs.
AB - We investigated the time-course effects of therapeutic antibiotics on intestinal
microbial composition and metabolism in an ileal-cannulated pig model. Sixteen
ileal-cannulated piglets (12 +/- 0.5 kg) were assigned to two groups (n = 8) and
fed standard diets with or without antibiotics. At 4 days before, and at days 2,
7, and 13 after antibiotic administration, ileal and fecal samples were collected
for analysis of microbiota composition via 16S rRNA MiSeq sequencing and
metabolites (short-chain fatty acids, biogenic amines, and indole). It was found
that Lactobacillus and Bifidobacterium had decreased by an average 2.68-fold and
508-fold in ileum on days 2-13, and by an average 45.08-fold and 71.50-fold in
feces on days 7-13 (P < 0.05). Escherichia/Shigella had increased by an average
265-fold in ileum on days 2-13, and by an average 36.70-fold in feces on days 7
13 (P < 0.05). Acetate concentration had decreased in ileum by an average 2.88
fold on days 2-13, and by 1.83-fold in feces on day 7 (P < 0.05). Cadaverine
concentration had increased by an average 7.03-fold in ileum on days 2-13, and by
an average 9.96-fold in feces on days 7-13 (P < 0.05), and fecal indole
concentration had increased by an average 2.51-fold on days 7-13 (P < 0.05).
Correlation analysis between significant microbes and metabolites indicated that
the antibiotic-induced microbiota shift appeared to result in the changes of
intestinal metabolism. In conclusion, antibiotic administration led to dynamic
changes in microbial communities and metabolism in ileum and feces, with ileal
microbiota being more prone to shift than fecal microbiota.
PMID- 29362825
TI - Compost bacteria and fungi that influence growth and development of Agaricus
bisporus and other commercial mushrooms.
AB - Mushrooms are an important food crop for many millions of people worldwide. The
most important edible mushroom is the button mushroom (Agaricus bisporus), an
excellent example of sustainable food production which is cultivated on a
selective compost produced from recycled agricultural waste products. A diverse
population of bacteria and fungi are involved throughout the production of
Agaricus. A range of successional taxa convert the wheat straw into compost in
the thermophilic composting process. These initially break down readily
accessible compounds and release ammonia, and then assimilate cellulose and
hemicellulose into compost microbial biomass that forms the primary source of
nutrition for the Agaricus mycelium. This key process in composting is performed
by a microbial consortium consisting of the thermophilic fungus Mycothermus
thermophilus (Scytalidium thermophilum) and a range of thermophilic
proteobacteria and actinobacteria, many of which have only recently been
identified. Certain bacterial taxa have been shown to promote elongation of the
Agaricus hyphae, and bacterial activity is required to induce production of the
mushroom fruiting bodies during cropping. Attempts to isolate mushroom growth
promoting bacteria for commercial mushroom production have not yet been
successful. Compost bacteria and fungi also cause economically important losses
in the cropping process, causing a range of destructive diseases of mushroom
hyphae and fruiting bodies. Recent advances in our understanding of the key
bacteria and fungi in mushroom compost provide the potential to improve
productivity of mushroom compost and to reduce the impact of crop disease.
PMID- 29362826
TI - Telomere length is reduced in 9- to 16-year-old girls exposed to gestational
diabetes in utero.
AB - AIMS/HYPOTHESIS: Shortened telomere length is a marker of cell damage and is
associated with oxidative stress, chronic inflammation and metabolic disease. We
hypothesised that the offspring of women with gestational diabetes mellitus (GDM)
with increased risk of cardiovascular and metabolic diseases might exhibit
shorter telomere length. METHODS: We investigated telomere length in 439 GDM and
469 control group offspring, aged between 9 and 16 years, recruited from the
Danish National Birth Cohort. Relative telomere length was measured in peripheral
blood DNA (n = 908) using a quantitative PCR approach. Multivariate regression
analysis was used to investigate the association between mothers' GDM status and
telomere length in the offspring. RESULTS: Female offspring had longer telomeres
than males. Offspring of mothers with GDM had significantly shorter telomere
length than control offspring, but this difference was observed only in girls.
There was a negative association between telomere length and GDM exposure among
the female offspring (14% shorter telomeres, p = 0.003) following adjustment for
the age of the offspring. Telomere length in female offspring was negatively
associated with fasting insulin levels and HOMA-IR (p = 0.03). Maternal age,
smoking, gestational age, birthweight and the offspring's anthropometric
characteristics were not associated with telomere length (p >= 0.1).
CONCLUSIONS/INTERPRETATION: The 9- to 16-year-old girls of mothers with GDM had
shorter telomeres than those from the control population. Further studies are
needed to understand the extent to which shortened telomere length predicts
and/or contributes to the increased risk of disease later in life among the
offspring of women with GDM.
PMID- 29362827
TI - Calorimetric markers for monitoring of multiple myeloma and Waldenstrom's
macroglobulinemia patients.
AB - The blood proteome has been studied extensively for identification of novel
reliable disease biomarkers. In recent years, differential scanning calorimetry
has emerged as a new tool for characterization of the thermodynamic properties of
the major serum/plasma proteins and for the establishment of calorimetric markers
for a variety of diseases. Here we applied calorimetry to monitor the effect of
treatment of patients diagnosed with multiple myeloma and Waldenstrom's
macroglobulinemia on the calorimetric profiles of patients' blood sera. The
parameters derived from the calorimetric profiles were compared with the primary
serum biomarkers, monoclonal immunoglobulin (M protein) concentration, and
kappa/lambda free light chain ratio. For the secretory cases, the calorimetric
parameters thermogram's shape similarity and weighted average center strongly
depended on the M protein level but had lower sensitivity and specificity. By
contrast, for non-secretory cases, the calorimetric parameters did not depend on
the kappa/lambda free light chains ratio and exhibited significantly higher
sensitivity and specificity than M protein levels. A combination of the
immunological and calorimetric tests was found to greatly improve the sensitivity
and specificity of the clinical status evaluation. The pronounced differences in
blood sera thermograms before and during monitoring reflected the individual
patients' response to treatment received and showed maintenance of heterogeneity
during the disease course.
PMID- 29362828
TI - Genetic differences in C57BL/6 mouse substrains affect kidney crystal deposition.
AB - We previously established an experimental model of calcium oxalate crystal
deposition in the mouse kidney using C57BL/6 mice. C57BL/6J (B6J) and C57BL/6N
(B6N) are two core substrains of C57BL/6 mice. B6J and B6N substrains have
approximately the same genomic sequence. However, in whole-genome analyses,
substrains have slight genetic differences in some genes. In this study, we used
these substrains as kidney crystal formation models and compared their genetic
backgrounds to elucidate the pathogenic mechanisms of kidney stone formation.
Eight-week-old male B6J and B6N mice (n = 15 in each group) were administered 80
mg/kg glyoxylate for 12 days, and the amount of kidney crystal depositions was
compared. The expression levels of six genes (Snap29, Fgf14, Aplp2, Lims1,
Naaladl2, and Nnt) were investigated by quantitative polymerase chain reaction,
and the protein levels were evaluated by western blotting and
immunohistochemistry. The amount of kidney crystal depositions was significantly
higher in B6J mice than in B6N mice on days 6 and 12. The expression of
nicotinamide nucleotide transhydrogenase (Nnt) gene was significantly lower in
B6J mice than in B6N mice. The expression of Nnt protein was observed only in B6N
mice, and preferential high expression was seen in renal tubular epithelial
cells. The results of this study provide compelling evidence that differences in
mouse substrains affect kidney crystal deposition and that the absence of Nnt
protein could be involved in crystal formation in B6J mice.
PMID- 29362829
TI - An exploratory investigation of the effects of whole-head vibration on jaw
movements.
AB - The perturbing effects of vibration applied to head and body structures are known
to destabilize motor control and elicit corrective responses. Although such
vibration response testing may be informative for identifying sensorimotor
deficits, the effect of whole-head vibration has not been tested on oromotor
control. The purpose of this study was to determine how jaw movements respond to
the perturbing effects of whole-head vibration during jaw motor tasks. Ten
healthy adults completed speech, chewing, and two syllable repetition tasks with
and without whole-head vibration. Jaw movements were recorded using 3D optical
motion capture. The results showed that the direction and magnitude of the
response were dependent on the task. The two syllable repetition tasks responded
to vibration, although the direction of the effect differed for the two tasks.
Specifically, during vibration, jaw movements became slower and smaller during
the syllable repetition task that imposed speed and spatial precision demands,
whereas jaw movements became faster and larger during the syllable repetition
task that only imposed speed demands. In contrast, jaw movements were unaffected
by the vibration during speech and chewing. These findings suggest that the
response to vibration may be dependent on spatiotemporal demands, the
availability of residual afferent information, and robust feedforward models.
PMID- 29362830
TI - Investigating the neural basis of basic human movement perception using multi
voxel pattern analysis.
AB - Humans can skillfully recognize actions from others' body motion and make a
judgment or response at once. Previous neuroimaging studies have mostly utilized
diminished and brief human motion stimuli and indicated that human occipito
temporal cortex plays a critical role at biological motion recognition. It
remains unclear to what extent that the areas related to human motion perception
are involved in decoding basic movements. Because human movement naturally stems
from the sequences of body posture, so we utilized the stimulus of real
movements. Participants were presented four categories of human movements (jump,
run, skip and walk) in a blocked fMRI experiment. Multi-voxel pattern analysis
(MVPA) was adopted to assess whether different movements could be discriminated
in four regions. We found that movement-specific information was represented in
both human body-sensitive areas, extrastriate body area (EBA) and motion
sensitive areas, posterior superior temporal sulcus (pSTS) and human middle
temporal complex (hMT+). Additionally, a further functional connectivity analysis
using EBA as a seed was conducted and it suggested that EBA showed a task
modulated functional connectivity with multiple areas that were involved in the
behavior perception and motor control. Human motion processing appeared to be
completed in a distributed network. The occipito-temporal cortex may perform the
initial processing of human motion information extracting, and then transform
them to interconnected areas for a further utilization.
PMID- 29362831
TI - Various Cranial and Orbital Imaging Findings in Pediatric Abusive and Non-abusive
Head trauma, and Relation to Outcomes.
AB - BACKGROUND AND PURPOSE: Differentiating Abusive Head Trauma (AHT) from Non
abusive Head trauma (NAHT) has profound clinical prognostic and legal
implications, as certain imaging findings can individually be more suggestive of
NAHT, while others are more suggestive of AHT. This study was set out to evaluate
for an association between the various imaging findings in AHT with outcome.
MATERIAL AND METHODS: Over 7-years, 55 children (age 0-4 years') with head trauma
and magnetic resonance imaging (MRI) were included as either: abusive (n = 16),
non-abusive (n = 35), or indeterminate (n = 4). Two pediatric neuroradiologists
jointly reviewed the imaging. The frequency of imaging findings and their
association with >=6 months' outcome were calculated. RESULTS: Comparing abusive
versus non-abusive head trauma, complex subdural hematoma was present in 81% (n =
13/16) and 29% (n = 10/35), hypoxic-ischemic injury in 44% (n = 7/16) and 6% (n =
2/35), and diffuse axonal injury in 12% (n = 2/16) and 26% (n = 9/35),
respectively. Susceptibility-weighted imaging (SWI) retinal hemorrhages were
absent in non-abusive trauma (0/35), but present in 44% (n = 7/16) of the abusive
group. In abuse, simple subdural hematomas were absent. Significant associations
were found between the presence of abusive trauma with both hypoxic ischemic
insult (OR = 12.83, p = 0.0024) and complex subdural hematoma (OR = 10.83, p =
0.0007). The presence of hypoxic ischemic injury (HII) did correlate
significantly with clinical outcome (p = 0.017), while retinal hemorrhages on SWI
and complex subdural hematoma did not (p = 0.1696-p = 0.2496). CONCLUSION:
Neuroimaging findings can be helpful in discriminating these two conditions on
presentation, as well as in helping solidify the suspicion of AHT. Regarding
eventual outcome in AHT, the most important predictor is clearly HII.
PMID- 29362833
TI - [The Department of Urology at the Medical Center of the University of Munich and
its partners in Central and Eastern Europe in the period from 1969 to 2004 : An
eyewitness account].
AB - During the 1980s, the Ludwig Maximillian University Department of Urology under
the direction of Professor Dr. Egbert Schmiedt developed a close cooperation with
Bulgarian urologists. As the successor of Professor Schmiedt, I have tried to
continue this cooperation, especially in the scientific field, and also to
integrate Romanian urology. At the request of several leading urologists of
eastern European countries, the Central European Association of Urology which
should act under the umbrella of European Association of Urology was founded.
Although misunderstandings obviously prevented this, our efforts do not seem to
have been useless-at least as far as the idea of modern European urology is
concerned.
PMID- 29362832
TI - Genetic mapping reveals a candidate gene (ClFS1) for fruit shape in watermelon
(Citrullus lanatus L.).
AB - KEY MESSAGE: A 159 bp deletion in ClFS1 gene encoding IQD protein is responsible
for fruit shape in watermelon. Watermelon [Citrullus lanatus (Thunb.) Matsum. &
Nakai] is known for its rich diversity in fruit size and shape. Fruit shape has
been one of the major objectives of watermelon breeding. However, the candidate
genes and the underlying genetic mechanism for such an important trait in
watermelon are unknown. In this study, we identified a locus on chromosome 3 of
watermelon genome controlling fruit shape. Segregation analysis in F2 and BC1
populations derived from a cross between two inbred lines "Duan125" (elongate
fruit) and "Zhengzhouzigua" (spherical fruit) suggests that fruit shape of
watermelon is controlled by a single locus and elongate fruit (OO) is
incompletely dominant to spherical fruit (oo) with the heterozygote (Oo) being
oval fruit. GWAS profiles among 315 accessions identified a major locus
designated on watermelon chromosome 3, which was confirmed by BSA-seq mapping in
the F2 population. The candidate gene was mapped to a region 46 kb on chromosome
3. There were only four genes present in the corresponding region in the
reference genome. Four candidate genes were sequenced in this region, revealing
that the CDS of Cla011257 had a 159 bp deletion which resulted in the omission of
53 amino acids in elongate watermelon. An indel marker was derived from the 159
bp deletion to test the F2 population and 105 watermelon accessions. The results
showed that Cla011257 cosegregated with watermelon fruit shape. In addition, the
Cla011257 expression was the highest at ovary formation stage. The predicted
protein of the Cla011257 gene fitted in IQD protein family which was reported to
have association with cell arrays and Ca2+-CaM signaling modules. Clear
understanding of the genes facilitating the fruit shape along with marker
association selection will be an effective way to develop new cultivars.
PMID- 29362834
TI - [Postmenopausal lichen planopilaris also known as fibrosing frontotemporal
alopecia Kossard : An evidence-oriented practical guide to treatment from the
University of the Saarland, Hair Research Center of the Dr. Rolf M. Schwiete
Foundation].
AB - Postmenopausal lichen planopilaris (PLPP), also known as fibrosing frontotemporal
alopecia Kossard (FFAK), is a not uncommon inflammatory scalp disease affecting
approximately 5% of patients at specialized hair centers. The overall incidence
of sporadic occurrence is believed to be just under 1% in the older,
predominantly female, general population. Since the disease is often undiagnosed,
it is statistically likely to be underrepresented. It especially occurs in
postmenopausal women who are in the 6th and 7th decade of life (90%), but also in
about 10% of premenopausal women, and in men it is documented only in isolated
cases. The result is a permanent scarring hair loss accentuated at the front
hairline with backward movement towards the neck mostly accompanied by a typical
loss of the eyebrows. The disease therefore often leads to significant mental
distress and social anxiety in those affected. This is the basis for a compelling
need to develop evidence-based therapeutic concepts. While numerous retrospective
case series have characterized the phenomenology of FFAK very well, to date there
are no randomized controlled trials on evidence-based therapy. Here, we present
the Homburger Evidence-Oriented Therapy Algorithm, which is oriented along the
available case series evidence: It may (1) serve as a therapy guide for practice
and (2) can be used as a basis for working out reliable data based on study
evidence. The article contains detailed practical information on photo
documentation, biopsy and histological processing up to the practical
implementation of, for example, intralesional steroid therapy as well as
information on selection criteria for suitable systemic therapies.
PMID- 29362835
TI - Repeat reirradiation of the spinal cord: multi-national expert treatment
recommendations.
AB - BACKGROUND: Improved survival of patients with spinal bone metastases has
resulted in an increased number of referrals for retreatment and repeat
reirradiation. METHODS: A consortium of expert radiation oncologists (RO) has
been established with the aim of providing treatment recommendations for
challenging clinical scenarios for which there are no established guidelines. In
this case, a patient developed local progression of a T5 vertebral lesion after
two prior courses of palliative radiotherapy (time interval >12 months, assumed
cumulative biologically equivalent dose in 2-Gy fractions [EQD2] for spinal cord
[alpha/beta 2 Gy] 75 Gy). Expert recommendations were tabulated with the aim of
providing guidance. RESULTS: Five of seven RO would offer a third course of
radiotherapy, preferably with advanced techniques such as stereotactic
radiotherapy. However, the dose-fractionation concepts were heterogeneous (3-20
fractions) and sometimes adjusted to different options for systemic treatment.
All five RO would compromise target volume coverage to reduce the dose to the
spinal cord. Definition of the spinal cord planning-organ-at-risk volume was
heterogeneous. All five RO limited the EQD2 for spinal cord. Two were willing to
accept more than 12.5 Gy and the highest EQD2 was 19 Gy. CONCLUSIONS: The
increasing body of literature about bone metastases and spinal cord reirradiation
has encouraged some expert RO to offer palliative reirradiation with cumulative
cord doses above 75 Gy EQD2; however, no consensus was achieved. Strategies for
harmonization of clinical practice and development of evidence-based dose
constraints are discussed.
PMID- 29362836
TI - Urinary incontinence in nulliparous women before and during pregnancy:
prevalence, incidence, type, and risk factors.
AB - INTRODUCTION: While many women report urinary incontinence (UI) during pregnancy,
associations with pre-pregnancy urinary leakage remain under-explained. METHODS:
We performed a multi-strand prospective cohort study with 860 nulliparous women
recruited during pregnancy. RESULTS: Prevalence of any urinary leakage was 34.8%
before and 38.7% during pregnancy. Prevalence of UI, leaking urine at least once
per month, was 7.2% and 17.7% respectively. Mixed urinary incontinence (MUI) was
reported by 59.7% of women before and 58.8% during pregnancy, stress urinary
incontinence (SUI) by 22.6% and 37.2%, and urge urinary incontinence (UUI) by
17.7% and 4.0%, respectively. SUI accounted for half (50.0%), MUI for less than
half (44.2%), and UUI for 5.8% of new-onset UI in pregnancy. Pre-pregnancy UI was
significantly associated with childhood enuresis [adjusted odds ratio (AOR) 2.9,
95% confidence interval (CI) 1.5-5.6, p = 0.001) and a body mass index (BMI) >=30
kg/m2 (AOR 4.2, 95% CI 1.9-9.4, p <0.001). Women aged >=35 years (AOR 2.8, 95% CI
1.4-5.9, p = 0.005), women whose pre-pregnancy BMI was 25-29.99 kg/m2 (AOR 2.0,
95% CI 1.2-3.5, p = 0.01), and women who leaked urine less than once per month
(AOR 2.6, 95% CI 1.6-4.1, p <0.005) were significantly more likely to report new
onset UI in pregnancy. CONCLUSION: Considerable proportions of nulliparous women
leak urine before and during pregnancy, and most ignore symptoms. Healthcare
professionals have several opportunities for promoting continence in all pregnant
women, particularly in women with identifiable risk factors. If enquiry about UI,
and offering advice on effective preventative and curative treatments, became
routine in clinical practice, it is likely that some of these women could become
or stay continent.
PMID- 29362837
TI - Imaging in anorectal and cloacal malformations.
PMID- 29362838
TI - Ultrasound findings in rapidly involuting congenital hemangioma (RICH) - beware
of venous ectasia and venous lakes.
AB - BACKGROUND: Bleeding, ulceration and cardiac failure can occur in rapidly
involuting congenital hemangioma (RICH). Bleeding intensity ranges from
superficial to life-threatening. OBJECTIVE: To determine whether there are
sonographic criteria associated with an increased risk of bleeding, ulceration or
cardiac failure in RICH in order to identify children who need close monitoring
or prophylactic treatment. MATERIALS AND METHODS: This retrospective single
center study included RICH patients over a period of 13 years. We evaluated
sonographic features of RICH on B-mode and Doppler ultrasound. We correlated the
occurrence of bleeding, ulceration and cardiac failure with four sonographic
findings: (1) visible vessel, (2) venous ectasia, (3) venous lake and (4)
arteriovenous shunting. RESULTS: We included 24 patients. Ulceration occurred in
five cases, bleeding in four cases, one of which was life-threatening. Cardiac
failure was observed more frequently in RICH with venous lakes (P=0.028).
Bleeding and ulceration appeared more frequently in RICH with venous ectasia and
venous lakes. Cardiac failure was associated with the presence of venous ectasia.
All children with cardiac failure or ulceration had arteriovenous shunts.
CONCLUSION: RICH with venous lakes on ultrasound is prone to develop bleeding,
cardiac failure and ulceration. This association was only significant for cardiac
failure.
PMID- 29362839
TI - Can diffusion-weighted whole-body MRI replace contrast-enhanced CT for initial
staging of Hodgkin lymphoma in children and adolescents?
AB - BACKGROUND: Although positron emission tomography with 18F-fluoro-2-deoxyglucose
(FDG-PET/CT) has been recommended as the method of choice for lymphoma staging,
it has limited availability in several countries, therefore, studies comparing
whole-body magnetic resonance imaging (MRI) to conventional staging methods or to
FDG-PET/CT are an important tool to establish whole-body MRI as an alternative to
these methods. OBJECTIVE: To compare whole-body MRI versus conventional imaging
methods for staging of Hodgkin lymphoma in children and adolescents. MATERIALS
AND METHODS: The study included 22 patients ages 5 to 21 years. Staging was
performed using conventional imaging methods and whole-body MRI. Conventional
imaging methods were defined as computed tomography (CT) of the neck, chest,
abdomen and pelvis and ultrasonography of the neck and/or abdomen. We calculated
the sensitivity of these methods for Hodgkin lymphoma staging and their
sensitivity and specificity for detecting sites of nodal and extranodal
involvement. RESULTS: The sensitivity of whole-body MRI for Hodgkin lymphoma
staging was superior to that of conventional imaging methods (95.5% vs. 86.4%,
respectively), but both methods had similar sensitivity and specificity for
detecting involvement of nodal sites (99.1% and 100% vs. 97.3% and 100%,
respectively) and extranodal sites (90.5% and 98.7% vs. 90.5% and 99.4%,
respectively). CONCLUSION: Whole-body MRI has excellent sensitivity for staging
of Hodgkin lymphoma in children and adolescents. It can thus be considered an
alternative for this purpose, particularly because it does not expose patients to
ionizing radiation.
PMID- 29362841
TI - Spectrum of cerebral arterial and venous abnormalities in Alagille syndrome.
AB - BACKGROUND: Alagille syndrome is a pediatric multisystem disease with increased
prevalence of cerebrovascular disease. The spectrum of cerebrovascular disease in
Alagille syndrome includes cerebral aneurysms, moyamoya arteriopathy and
dolichoectasia. The prevalence of cerebrovascular disease in Alagille syndrome
varies widely in the literature. OBJECTIVE: To determine the prevalence of
cerebrovascular disease in our institution's Alagille patient population by
employing a full primary review of all available neuroimaging. MATERIALS AND
METHODS: An institutional review board-approved retrospective review of all
Alagille syndrome patients seen at a tertiary care children's hospital from
January 2000 to January 2014 was performed. All neuroimaging studies were
reviewed for arterial or venous abnormalities. The prevalence of arterial and
venous abnormalities was calculated and clinical outcomes were determined.
RESULTS: Fifty-two patients with Alagille syndrome ranging in age from 11 months
to 27 years were studied. Nineteen (37%) had dedicated vascular neuroimaging. Six
(32%) had cerebral arterial disease, 4 with dolichoectasia, 3 with aneurysm(s)
and 2 with moyamoya arteriopathy. Three of the four patients with dolichoectasia
had associated aneurysm(s). Venous anomalies were present in 4 (21%) patients.
One patient with moyamoya arteriopathy underwent revascularization procedures. No
deaths were attributable to cerebrovascular disease. CONCLUSION: Cerebral
vasculopathy is an important feature of Alagille syndrome and includes
dolichoectasia, cerebral aneurysms and moyamoya arteriopathy. The high prevalence
identified in our study suggests noninvasive vascular neuroimaging screening
should be performed in this patient population. In addition to cerebral arterial
abnormalities, alterations of venous development may be a feature of Alagille
syndrome.
PMID- 29362840
TI - Role of interventional radiology in managing pediatric liver tumors : Part 1:
Endovascular interventions.
AB - Primary liver malignancies are rare in children. Hepatoblastoma and
hepatocellular carcinoma (HCC) together represent the overwhelming majority of
cases. Overall survival of hepatoblastoma approaches 80% with multimodal
treatment approaches that include chemotherapy, surgery and transplantation.
However, there remains a subset of children with hepatoblastoma in whom resection
or transplantation is not possible. The 5-year survival for children diagnosed
with HCC is less than 30% and remains a significant therapeutic challenge. The
poor outcomes for children with primary liver tumors motivate investigation of
new therapeutic alternatives. Interventional oncology offers a broad scope of
percutaneous and transcatheter endovascular cancer therapies that might provide
clinical benefits. Minimally invasive approaches are distinct from medical,
surgical and radiation oncologic treatments, and in adults these approaches have
been established as the fourth pillar of cancer care. Transarterial
chemoembolization is a minimally invasive locoregional treatment option performed
by interventional radiologists with level-I evidence as standard of care in
adults with advanced liver malignancy; transarterial chemoembolization in adults
has served to prolong disease-free progression, downstage and bridge patients for
surgical and transplant interventions, and improve overall survival. However,
while several groups have reported that transarterial chemoembolization is
feasible in children, the published experience is limited primarily to small
retrospective case series. The lack of prospective trial evidence has in part
limited the utilization of transarterial chemoembolization in the pediatric
patient population. The purpose of this article is to provide an overview of the
role of interventional radiology in the diagnosis and endovascular management of
hepatic malignancies in children.
PMID- 29362842
TI - CT-guided localization of pulmonary nodules in children prior to video-assisted
thoracoscopic surgical resection utilizing a combination of two previously
described techniques.
AB - BACKGROUND: Pulmonary nodules in pediatric oncology patients can present a
diagnostic and treatment dilemma. Imaging findings are often nonspecific and
tissue diagnosis may be required for appropriate treatment. The smaller
subpleural nodules may not be visualized and cannot be palpated during video
assisted thoracoscopic surgical (VATS) resection. Preoperative localization has
been beneficial in obtaining an adequate pathological specimen. OBJECTIVE: This
study presents experience in a large pediatric hospital using CT-guided
preoperative localization of pulmonary nodules combining two previously utilized
techniques, hook wire and methylene blue blood patch localization. MATERIALS AND
METHODS: A search of the electronic medical record utilizing a medical record
search application was performed to identify all patients who underwent
preoperative lung nodule localization during a 12.5-year period (July 1999
through January 2012). A retrospective chart review of these patients was then
performed. Pre- and postoperative imaging, interventional radiology procedural
images and reports, surgical reports, and pathology reports were obtained and
evaluated. RESULTS: Thirty-five patients, with 40 nodules, who underwent
preoperative CT-guided lung nodule localization were identified. Patients ranged
in age from 8 months to 21 years. The pulmonary nodules ranged in size from 1.4
mm to 18 mm. Twelve nodules were localized using a Kopans breast lesion
localization needle with hook wire, 4 were localized using a methylene blue blood
patch, and 24 were localized using the combination of these two techniques. The
technical success rate of all procedures was 100%. A pathological diagnosis was
determined in 39 patients (97.5%). There were 6 (15.0%) minor complications and
no major complications. CONCLUSION: CT-guided lung nodule localization using the
combined techniques of methylene blue blood patch and hook wire is safe,
technically feasible and successful in children. Using this combination of
techniques will consistently yield a pathological diagnosis, is currently the
preferred technique at our tertiary pediatric hospital and could be considered
the new best practice.
PMID- 29362843
TI - Mosaic-pattern dedifferentiation in liposarcoma and chondrosarcoma: imaging
features of an uncommon form of dedifferentiation.
AB - The term "dedifferentiation" was classically used in sarcoma pathology to refer
to tumors in which a high-grade, undifferentiated sarcoma, or a high-grade
sarcoma showing heterologous differentiation, arises from a pre-existing neoplasm
of borderline or low-grade malignancy. The best recognized examples of this
include dedifferentiated liposarcoma, arising from atypical lipomatous tumor/well
differentiated liposarcoma, and dedifferentiated chondrosarcoma, arising from
grade 1 hyaline chondrosarcoma of bone. In the overwhelming majority of cases,
this dedifferentiated, high-grade sarcoma presents as a macroscopically visible
mass, adjacent to and clearly distinct from the pre-existing low-grade lesion. It
is less well appreciated that dedifferentiation may also occur in a so-called
"mosaic pattern,' in which the high-grade component is intimately admixed with
elements of the precursor lesion, forming only microscopically apparent foci.
This mosaic or co-mingling pattern of dedifferentiation is also reflected in the
MR imaging appearance. In contrast to the classic pattern of dedifferentiation in
which there are two distinct juxtaposed masses with different signal intensities
and enhancement patterns, such changes are not seen in mosaic dedifferentiation.
The imaging features of this pattern of dedifferentiation have not been
described. In this report we describe the imaging features of two patients with
mosaic pattern dedifferentiation, one with liposarcoma and one with
chondrosarcoma. In both cases the precursor lesion was correctly diagnosed by pre
biopsy imaging, but the presence of high-grade sarcoma was not recognized.
PMID- 29362844
TI - Prosthetic liner wear in total hip replacement: a longitudinal 13-year study with
computed tomography.
AB - This case report follows a woman who had a total hip replacement in 1992 when she
was 45 years old. Six serial computed tomography (CT) examinations over a period
of 13 years provided information that allowed her revision surgery to be limited
to liner replacement as opposed to replacement of the entire prosthesis.
Additionally, they provided data that ruled out the presence of osteolysis and
indeed none was found at surgery. In 2004, when the first CT was performed, the
3D distance the femoral head had penetrated into the cup was determined to be 2.6
mm. By 2017, femoral head penetration had progressed to 5.0 mm. The extracted
liner showed wear at the thinnest part to be 5.5 mm, as measured with a
micrometer. The use of modern CT techniques can identify problems, while still
correctable without major surgery. Furthermore, the ability of CT to assess the
direction of wear revealed that the liner wear changed from the cranial to dorsal
direction.
PMID- 29362845
TI - Hypertrophic Cardiomyopathy Genotype Prediction Models in a Pediatric Population.
AB - The Toronto Hypertrophic Cardiomyopathy (HCM) Genotype Score and Mayo HCM
Genotype Predictor are risk assessment models developed to estimate a patient's
likelihood of testing positive for a pathogenic variant causative of HCM. These
models were developed from adult populations with HCM based on factors that have
been associated with a positive genotype and have not been validated in external
populations. The purpose of this study was to evaluate the overall predictive
abilities of these models in a clinical pediatric HCM setting. A retrospective
medical record review of 77 pediatric patients with gene panel testing for HCM
between September 2005 and June 2015 was performed. Clinical and
echocardiographic variables used in the developed models were collected and used
to calculate scores for each patient. To evaluate model performance, the ability
to discriminate between a carrier and non-carrier was assessed by area under the
ROC curve (AUC) and overall calibration was evaluated by the Hosmer-Lemeshow
goodness-of-fit statistic. Discrimination assessed by AUC was 0.72 (P < 0.001)
for the Toronto model and 0.67 (P = 0.004) for the Mayo model. The Toronto model
and the Mayo model showed P values of 0.36 and 0.82, respectively, for model
calibration. Our findings suggest that these models are useful in predicting a
positive genetic test result in a pediatric HCM setting. They may be used to aid
healthcare providers in communicating risk and enhance patient decision-making
regarding pursuit of genetic testing.
PMID- 29362848
TI - Mitteilungen der DGKFO.
PMID- 29362847
TI - Correction to: Meeting report of the 8th International Conference on "cGMP BcGMP:
generators, effectors, and therapeutic implications" at Bamberg, Germany, from
June 23 to 25, 2017.
AB - The article "Meeting report of the 8 th International Conference on "cGMP BcGMP:
generators, effectors, and therapeutic implications" at Bamberg, Germany, from
June 23 to 25, 2017" was originally published Online First without open access.
After publication in volume 390, issue 12, pages 1177-1188, the author decided to
opt for Open Choice and to make the article an open access publication.
PMID- 29362846
TI - Roles of pyruvate carboxylase in human diseases: from diabetes to cancers and
infection.
AB - Pyruvate carboxylase (PC), an anaplerotic enzyme, plays an essential role in
various cellular metabolic pathways including gluconeogenesis, de novo fatty acid
synthesis, amino acid synthesis, and glucose-induced insulin secretion.
Deregulation of PC expression or activity has long been known to be associated
with metabolic syndrome in several rodent models. Accumulating data in the past
decade clearly showed that deregulation of PC expression is associated with type
2 diabetes in humans, while targeted inhibition of PC expression in a mouse model
reduced adiposity and improved insulin sensitivity in diet-induced type 2
diabetes. More recent studies also show that PC is strongly involved in
tumorigenesis in several cancers, including breast, non-small cell lung cancer,
glioblastoma, renal carcinoma, and gall bladder. Systems metabolomics analysis of
these cancers identified pyruvate carboxylation as an essential metabolic hub
that feeds carbon skeletons of downstream metabolites of oxaloacetate into the
biosynthesis of various cellular components including membrane lipids,
nucleotides, amino acids, and the redox control. Inhibition or down-regulation of
PC expression in several cancers markedly impairs their growth ex vivo and in
vivo, drawing attention to PC as an anti-cancer target. PC has also exhibited a
moonlight function by interacting with immune surveillance that can either
promote or block viral infection. In certain pathogenic bacteria, PC is essential
for infection, replication, and maintenance of their virulence phenotype.
PMID- 29362851
TI - A near-infrared fluorescent sensor with large Stokes shift for rapid and highly
selective detection of thiophenols in water samples and living cells.
AB - The development of simple methods with high sensitivity and selectivity to
differentiate toxic aromatic thiols (thiophenols) from aliphatic thiols
(cysteine, homocysteine, and glutathione) and hydrogen sulfide (H2S) is of great
significance. Herein, we report on the fabrication of a novel near-infrared (NIR)
fluorescent sensor for rapid and highly selective detection of thiophenols
through the photoinduced electron transfer (PET) mechanism. In the presence of
the thiophenols, an obvious enhancement of NIR fluorescence at 658 nm could be
visualized with the aid of nucleophilic aromatic substitution (SNAr) reaction.
The sensor displays large Stokes shift (~ 227 nm), fast response time (< 30 s),
high sensitivity (~ 8.3 nM), and good biocompatibility. Moreover, the as-prepared
sensor possesses an excellent anti-interference feature even when other possible
interferents exist (aliphatic thiols and H2S) and has been successfully utilized
for thiophenol detection in both water samples and living cells. Graphical
abstract Illustration of the sensor for thiophenol imaging in living cells.
PMID- 29362849
TI - Insights into inflammatory priming of mesenchymal stromal cells: functional
biological impacts.
AB - Mesenchymal stromal cells (MSCs) are multipotent adult cells with relevant
biological properties making them interesting tools for cell-based therapy. These
cells have the ability to home to sites of injury and secrete bioactive factors
as part of their therapeutic functions. However, depending on the local
environment, diverse functions of MSCs can be modulated and thus can influence
their therapeutic value. The specific cytokine milieu within the site of
inflammation is vital in determining the fate and cell behaviors of MSCs. Indeed,
inflammatory signals (called as inflammatory priming), may induce critical
changes on the phenotype, multilineage potential, hematopoietic support and
immunomodulatory capacity of MSCs. Thus, for appropriate clinical application of
MSCs, it is important to well know and understand these effects. In summary,
investigating MSC interactions with the inflammatory environment is necessary to
empower the therapeutic value of MSCs.
PMID- 29362850
TI - Heme oxygenase-1/biliverdin/carbon monoxide pathway downregulates
hypernociception in rats by a mechanism dependent on cGMP/ATP-sensitive K+
channels.
AB - OBJECTIVE AND DESIGN: To investigate the role of heme oxygenase-1 (HO-1), carbon
monoxide (CO), and biliverdin (BVD) in the zymosan-induced TMJ arthritis in rats.
MATERIALS AND METHODS: Mechanical threshold was assessed before and 4 h after TMJ
arthritis induction in rats. Cell influx, myeloperoxidase activity, and
histological changes were measured in the TMJ lavages and tissues. Trigeminal
ganglion and periarticular tissues were used for HO-1, TNF-alpha, and IL-1beta
mRNA time course expression and immunohistochemical analyses. Hemin (0.1, 0.3, or
1 mg kg-1), DMDC (0.025, 0.25, or 2.5 umol kg-1), biliverdin (1, 3, or 10 mg kg
1), or ZnPP-IX (1, 3 or 9 mg kg-1) were injected (s.c.) 60 min before zymosan.
ODQ (12.5 umol kg-1; s.c.) or glibenclamide (10 mg kg-1; i.p.) was administered 1
h and 30 min prior to DMDC (2.5 umol kg-1; s.c), respectively. RESULTS: Hemin (1
mg kg-1), DMDC (2.5 umol kg-1), and BVD (10 mg kg-1) reduced hypernociception and
leukocyte migration, which ZnPP (3 mg kg-1) enhanced. The effects of DMDC were
counteracted by ODQ and glibenclamide. The HO-1, TNF-alpha, and IL-1beta mRNA
expression and immunolabelling increased. CONCLUSIONS: HO-1/BVD/CO pathway
activation provides anti-nociceptive and anti-inflammatory effects on the zymosan
induced TMJ hypernociception in rats.
PMID- 29362852
TI - Smartphones as audience response systems for lectures and seminars.
PMID- 29362853
TI - Volumetric absorptive microsampling as an alternative tool for therapeutic drug
monitoring of first-generation anti-epileptic drugs.
AB - Dosage adjustment of anti-epileptic drugs by therapeutic drug monitoring (TDM) is
very useful, especially for the first-generation anti-epileptic drugs (AEDs).
Microsampling-the collection of small volumes of blood-is increasingly considered
a valuable alternative to conventional venous sampling for TDM. Volumetric
absorptive microsampling (VAMS) allows accurate and precise collection of a fixed
volume of blood, eliminating the volumetric blood hematocrit bias coupled to
conventional dried blood spot collection. The aim of this study was to develop
and validate an LC-MS/MS method for the determination and quantification of four
anti-epileptic drugs (carbamazepine, valproic acid, phenobarbital, and phenytoin)
and one active metabolite (carbamazepine-10,11-epoxide) in samples collected by
VAMS. The method was fully validated based on international guidelines. Precision
(%RSD) was below 10%, while, with a single exception, accuracy (%bias) met the
acceptance criteria. Neither carry-over nor unacceptable interferences were
observed, the method being able to distinguish between the isomers oxcarbazepine
and carbamazepine-10,11-epoxide. All compounds were stable in VAMS samples for at
least 1 month when stored at room temperature, 4 degrees C, and - 20 degrees C
and for at least 1 week when stored at 60 degrees C. Internal standard-corrected
matrix effects were below 10%, with %RSDs below 4%. High (> 85%) recovery values
were obtained and the effect of the hematocrit on the recovery was overall
limited. Successful application on external quality control materials and on left
over patient samples demonstrated the validity and applicability of the developed
procedure. Graphical abstract Graphical representation of the sampling, chemical
structures, and the resulting chromatogram for volumetric absorptive
microsampling (VAMS)-based therapeutic drug monitoring of first-generation anti
epileptic drugs by liquid chromatography with tandem mass spectrometric
detection.
PMID- 29362855
TI - [Medical Knowledge in Accident Insurance : Assessing "Pneumatic Tool Damages" at
the Bergmannsheil Hospital, 1929-1944].
AB - The article focuses on one central element of medical activity in the context of
the German social insurance system: providing expert assessments in accident
pension cases. Taking an example from interwar coal mining, it aims to
reconstruct how social policy makers first conceived of "pneumatic tool damages"
as occupational disease and how trauma surgeons had to deal with this new entity
of social law once it had been institutionalized in 1929. Drawing on physicians'
publications as well as archival sources from the supreme court in social
insurance, the Reichsversicherungsamt, the article examines how the controversial
generation of new knowledge took place. It argues that medical knowledge was
neither simply applied to administration and law nor was it compromised by the
necessity to adjust it to those fields of decision-making. Expert medical
opinions should instead be understood as a specific form of medical knowledge.
PMID- 29362854
TI - Simultaneous quantification of endogenous and exogenous plasma glucose by isotope
dilution LC-MS/MS with indirect MRM of the derivative tag.
AB - Quantification of endogenous and exogenous plasma glucose can help more
comprehensively evaluate the glucose metabolic status. A ratio-based approach
using isotope dilution liquid chromatography tandem mass spectrometry (ID LC
MS/MS) with indirect multiple reaction monitoring (MRM) of the derivative tag was
developed to simultaneously quantify endo-/exogenous plasma glucose. Using
diluted D-[13C6] glucose as tracer of exogenous glucose, 12C6/13C6 glucoses were
first derivatized and then data were acquired in MRM mode. The metabolism of
exogenous glucose can be tracked and the concentration ratio of endo/exo-genous
glucose can be measured by calculating the endo-/exo-genous glucose
concentrations from peak area ratio of specific daughter ions. Joint application
of selective derivatization and MRM analysis not only improves the sensitivity
but also minimizes the interference from the background of plasma, which warrants
the accuracy and reproducibility. Good agreement between the theoretical and
calculated concentration ratios was obtained with a linear correlation
coefficient (R) of 0.9969 in the range of D-glucose from 0.5 to 20.0 mM, which
covers the healthy and diabetic physiological scenarios. Satisfactory
reproducibility was obtained by evaluation of the intra- and inter-day precisions
with relative standard deviations (RSDs) less than 5.16%, and relative recoveries
of 85.96 to 95.92% were obtained at low, medium, and high concentration,
respectively. The method was successfully applied to simultaneous determination
of the endo-/exogenous glucose concentration in plasma of non-diabetic and type
II diabetic cynomolgus monkeys. Graphical Abstract The scheme of the proposed
ratio-based approach using isotope dilution LC-MS/MS with indirect MRM of the
derivative tag for simultaneous quantification of endogenous and exogenous plasma
glucose.
PMID- 29362856
TI - Science and Technology for Development in a Postcolonial World. Negotiations at
the United Nations, 1960-1980.
AB - Ever since the early 1960s, the United Nations has acknowledged science and
technology as integral components of developmental policies. While this
connection was initially perceived as the application of findings from scientific
research conducted in the Global North, by the 1970s, in the context of
negotiations for a New International Economic Order, attention shifted towards
the structures of the global management of science. Accordingly in 1979 the UN
Conference on Science and Technology for Development discussed possibilities of
strengthening scientific and technological research and teaching, particularly in
developing countries. During subsequent negotiations conflicts erupted over the
question of how to finance programs supporting science. When the G-77 nations
presented plans involving automatic financing schemes, these concepts proved
incompatible with the insistence of important industrialized countries that all
financial contributions should be voluntary. These discussions appeared to be
concerned with the size of financial contributions. In a larger perspective,
however, they reflected fundamentally different concepts of a world order,
turning science and technology into a medium for far-reaching debates about
questions of global development and justice.
PMID- 29362857
TI - Effect of the national screening program on malignancy status of cervical cancer
in Northern Thailand.
AB - OBJECTIVES: Cervical cancer has posed a serious problem in Thailand for decades.
In 2002, a systematic screening program was implemented under universal
healthcare coverage for all Thai women. However, there has been little research
on how screening affected particular aspects of cervical cancer, such as stage
distribution. This screening program has a target group; therefore, it is
necessary to assess stage and incidence trends by age of those within and outside
the screening target group. METHODS: Using trend analysis, we assess in situ and
malignant cervical cancers in Northern Thailand to measure changes after
implementation of the national screening program. RESULTS: While incidence of
malignant cancers is decreasing and incidence of in situ tumors is increasing
across all age groups, women above age 60 still experience a high incidence of
malignant tumors. CONCLUSIONS: The screening program is successful in the target
group at downshifting the stage distribution of malignant tumors and reducing
incidence of malignant tumors with in situ cases being captured. However, the
high incidence of malignant tumors in women over age 60 will continue to be
clinically relevant for cervical cancer management until younger generations
undergoing screening enter this age group.
PMID- 29362858
TI - Repeatability of quantitative 18F-FLT uptake measurements in solid tumors: an
individual patient data multi-center meta-analysis.
AB - INTRODUCTION: 3'-deoxy-3'-[18F]fluorothymidine (18F-FLT) positron emission
tomography (PET) provides a non-invasive method to assess cellular proliferation
and response to antitumor therapy. Quantitative 18F-FLT uptake metrics are being
used for evaluation of proliferative response in investigational setting, however
multi-center repeatability needs to be established. The aim of this study was to
determine the repeatability of 18F-FLT tumor uptake metrics by re-analyzing
individual patient data from previously published reports using the same tumor
segmentation method and repeatability metrics across cohorts. METHODS: A
systematic search in PubMed, EMBASE.com and the Cochrane Library from inception
October 2016 yielded five 18F-FLT repeatability cohorts in solid tumors. 18F-FLT
avid lesions were delineated using a 50% isocontour adapted for local background
on test and retest scans. SUVmax, SUVmean, SUVpeak, proliferative volume and
total lesion uptake (TLU) were calculated. Repeatability was assessed using the
repeatability coefficient (RC = 1.96 * SD of test-retest differences), linear
regression analysis, and the intra-class correlation coefficient (ICC). The
impact of different lesion selection criteria was also evaluated. RESULTS: Images
from four cohorts containing 30 patients with 52 lesions were obtained and
analyzed (ten in breast cancer, nine in head and neck squamous cell carcinoma,
and 33 in non-small cell lung cancer patients). A good correlation was found
between test-retest data for all 18F-FLT uptake metrics (R2 >= 0.93; ICC >=
0.96). Best repeatability was found for SUVpeak (RC: 23.1%), without significant
differences in RC between different SUV metrics. Repeatability of proliferative
volume (RC: 36.0%) and TLU (RC: 36.4%) was worse than SUV. Lesion selection
methods based on SUVmax >= 4.0 improved the repeatability of volumetric metrics
(RC: 26-28%), but did not affect the repeatability of SUV metrics. CONCLUSIONS:
In multi-center studies, differences >= 25% in 18F-FLT SUV metrics likely
represent a true change in tumor uptake. Larger differences are required for FLT
metrics comprising volume estimates when no lesion selection criteria are
applied.
PMID- 29362859
TI - 68Ga-PSMA PET/CT in the evaluation of bone metastases in prostate cancer.
AB - PURPOSE: The aims of this retrospective analysis were to compare 68Ga-PSMA PET
findings and low-dose CT findings (120 kV, 30 mA), and to obtain semiquantitative
and quantitative 68Ga-PSMA PET data in patients with prostate cancer (PC) bone
metastases. METHODS: In total, 152 PET/CT scans from 140 patients were evaluated.
Of these patients, 30 had previously untreated primary PC, and 110 had
biochemical relapse after treatment of primary PC. All patients underwent dynamic
PET/CT scanning of the pelvis and lower abdomen as well as whole-body PET/CT with
68Ga-PSMA-11. The PET/CT scans were analysed qualitatively (visually),
semiquantitatively (SUV), and quantitatively based on a two-tissue compartment
model and a noncompartmental approach leading to the extraction of the fractal
dimension. Differences were considered significant for p values <0.05. RESULTS:
In total, 168 68Ga-PSMA-positive and 113 CT-positive skeletal lesions were
detected in 37 patients (8 with primary PC, 29 with biochemical recurrence). Of
these 168 lesions, 103 were both 68Ga-PSMA PET-positive and CT-positive, 65 were
only 68Ga-PSMA-positive, and 10 were only CT-positive. The Yang test showed that
there were significantly more 68Ga-PSMA PET-positive lesions than CT-positive
lesions. Association analysis showed that PSA plasma levels were significantly
correlated with several 68Ga-PSMA-11-associated parameters in bone metastases,
including the degree of tracer uptake (SUVaverage and SUVmax), its transport rate
from plasma to the interstitial/intracellular compartment (K1), its rate of
binding to the PSMA receptor and its internalization (k3), its influx rate (Ki),
and its distribution heterogeneity. CONCLUSION: 68Ga-PSMA PET/CT is a useful
diagnostic tool in the detection of bone metastases in PC. 68Ga-PSMA PET
visualizes more bone metastases than low-dose CT. PSA plasma levels are
significantly correlated with several 68Ga-PSMA PET parameters.
PMID- 29362860
TI - Regional histologic differences in the long head of the biceps tendon following
subpectoral biceps tenodesis in patients with rotator cuff tears and SLAP
lesions.
AB - PURPOSE: The purpose of this study was to quantify the regional histology of the
long head of the biceps tendon (LHBT) and compare the histopathology present to
clinical findings in patients with rotator cuff tears and SLAP lesions. METHODS:
Prospectively enrolled patients undergoing an open subpectoral LHBT tenodesis in
the setting of a rotator cuff (RTC) tear or SLAP lesion. Perioperative data were
collected and the excised LHBT was analyzed by a fellowship trained pathologist.
Tendons were sectioned into proximal (biceps anchor), middle (bicipital groove),
and distal (myotendinous junction) portions. Sections were stained with Movat's
pentachrome stain and digitized for analysis. Comparisons were made between the
histologic findings present in the setting of a rotator cuff tear with those seen
in the setting of a SLAP tear. RESULTS: 39 tendons were analyzed: 20 from
patients with SLAP lesions (mean age of 44.7 years, range 23-60 years) and 19
from patients with rotator cuff tears (mean age of 58.7 years, range 43-71).
Patients with the most pathologic tendons in the bicipital groove were
significantly older (59.4 vs. 50.4 years; p < 0.05), reported higher pre
operative VAS scores (6.6 vs. 5.0; p < 0.02), and demonstrated lower pre
operative ASES scores (41.6 vs. 50.7; p < 0.05). The RTC group showed
significantly more mucinous degeneration at both the proximal (p < 0.03) and the
middle (p < 0.01) tendon portions compared to the SLAP group. In both groups, the
portions of proximal tendon showed significantly (p < 0.05) more mucinous
degeneration than distal portions. CONCLUSION: Regional histologic differences
exist in the LHBT. Rotator cuff patients showed the most degenerated tendon in
the bicipital groove and these patients tended to be older and have higher VAS
and lower ASES scores. Surgeons should consider performing a subpectoral biceps
tenodesis as the bicipital groove portion of the tendon may be very degenerated,
especially in patients with rotator cuff disease. Additional research is
warranted to distinguish whether treating the biceps differently in distinct
geographic regions affects patient outcomes. LEVEL OF EVIDENCE: II.
PMID- 29362862
TI - The development of an in vitro Pig-a assay in L5178Y cells.
AB - A recent flow cytometry-based in vivo mutagenicity assay involves the hemizygous
phosphatidylinositol class A (Pig-a) gene. Pig-a forms the catalytic subunit of N
acetylglucosaminyltransferase required for glycophosphatidylinositol (GPI) anchor
biosynthesis. Mutations in Pig-a prevent GPI-anchor synthesis resulting in loss
of cell-surface GPI-linked proteins. The aim of the current study was to develop
and validate an in vitro Pig-a assay in L5178Y mouse lymphoma cells. Ethyl
methanesulfonate (EMS)-treated cells (186.24-558.72 ug/ml; 24 h) were used for
method development and antibodies against GPI-linked CD90.2 and stably expressed
CD45 were used to determine GPI-status by flow cytometry. Antibody concentration
and incubation times were optimised (0.18 ug/ml, 30 min, 4 degrees C) and Zombie
VioletTM (viability marker; 0.5%, 30 min, RT) was included. The optimum
phenotypic expression period was 8 days. The low background mutation frequency of
GPI-deficiency [GPI(-)] in L5178Y cells (0.1%) constitutes a rare event, thus
flow cytometry acquisition parameters were optimised; 104 cells were measured at
medium flow rate to ensure a CV <= 30%. Spiking known numbers of GPI(-) cells
into a wild-type population gave high correlation between measured and spiked
numbers (R2 0.999). We applied the in vitro Pig-a assay to a selection of well
validated genotoxic and non-genotoxic compounds. EMS, N-ethyl-N-nitrosourea and 4
nitroquinoline-N-oxide dose dependently increased numbers of GPI(-) cells, while
etoposide, mitomycin C, and a bacterial-specific mutagen did not. Cycloheximide
and sodium chloride were negative. Sanger sequencing revealed Pig-a mutations in
the GPI(-) clones. In conclusion, this in vitro Pig-a assay could complement the
in vivo version, and follow up weak Ames positives and late-stage human
metabolites or impurities.
PMID- 29362861
TI - Ethanol potentiates the genotoxicity of the food-derived mammary carcinogen PhIP
in human estrogen receptor-positive mammary cells: mechanistic support for
lifestyle factors (cooked red meat and ethanol) associated with mammary cancer.
AB - Consumption of cooked/processed meat and ethanol are lifestyle risk factors in
the aetiology of breast cancer. Cooking meat generates heterocyclic amines such
as 2-amino-1-methyl-6-phenylimidazo[4,5-b]pyridine (PhIP). Epidemiology,
mechanistic and animal studies indicate that PhIP is a mammary carcinogen that
could be causally linked to breast cancer incidence; PhIP is DNA damaging,
mutagenic and oestrogenic. PhIP toxicity involves cytochrome P450 (CYP1 family)
mediated metabolic activation to DNA-damaging species, and transcriptional
responses through Aryl hydrocarbon receptor (AhR) and estrogen-receptor-alpha (ER
alpha). Ethanol consumption is a modifiable lifestyle factor strongly associated
with breast cancer risk. Ethanol toxicity involves alcohol dehydrogenase
metabolism to reactive acetaldehyde, and is also a substrate for CYP2E1, which
when uncoupled generates reactive oxygen species (ROS) and DNA damage. Here,
using human mammary cells that differ in estrogen-receptor status, we explore
genotoxicity of PhIP and ethanol and mechanisms behind this toxicity. Treatment
with PhIP (10-7-10-4 M) significantly induced genotoxicity (micronuclei
formation) preferentially in ER-alpha positive human mammary cell lines (MCF-7,
ER-alpha+) compared to MDA-MB-231 (ER-alpha-) cells. PhIP-induced CYP1A2 in both
cell lines but CYP1B1 was selectively induced in ER-alpha(+) cells. ER-alpha
inhibition in MCF-7 cells attenuated PhIP-mediated micronuclei formation and
CYP1B1 induction. PhIP-induced CYP2E1 and ROS via ER-alpha-STAT-3 pathway, but
only in ER-alpha (+) MCF-7 cells. Importantly, simultaneous treatments of
physiological concentrations ethanol (10-3-10-1 M) with PhIP (10-7-10-4 M)
increased oxidative stress and genotoxicity in MCF-7 cells, compared to the
individual chemicals. Collectively, these data offer a mechanistic basis for the
increased risk of breast cancer associated with dietary cooked meat and ethanol
lifestyle choices.
PMID- 29362863
TI - Innovative organotypic in vitro models for safety assessment: aligning with
regulatory requirements and understanding models of the heart, skin, and liver as
paradigms.
AB - The development of improved, innovative models for the detection of toxicity of
drugs, chemicals, or chemicals in cosmetics is crucial to efficiently bring new
products safely to market in a cost-effective and timely manner. In addition,
improvement in models to detect toxicity may reduce the incidence of unexpected
post-marketing toxicity and reduce or eliminate the need for animal testing. The
safety of novel products of the pharmaceutical, chemical, or cosmetics industry
must be assured; therefore, toxicological properties need to be assessed.
Accepted methods for gathering the information required by law for approval of
substances are often animal methods. To reduce, refine, and replace animal
testing, innovative organotypic in vitro models have emerged. Such models appear
at different levels of complexity ranging from simpler, self-organized three
dimensional (3D) cell cultures up to more advanced scaffold-based co-cultures
consisting of multiple cell types. This review provides an overview of recent
developments in the field of toxicity testing with in vitro models for three
major organ types: heart, skin, and liver. This review also examines regulatory
aspects of such models in Europe and the UK, and summarizes best practices to
facilitate the acceptance and appropriate use of advanced in vitro models.
PMID- 29362865
TI - [Medical education in motion].
PMID- 29362864
TI - Tacrolimus-induced nephrotoxicity in mice is associated with microRNA
deregulation.
AB - Although Tacrolimus is an immunosuppressive drug widely used in renal
transplantation, its chronic use paradoxically induces nephrotoxic effects, in
particular renal fibrosis, which is responsible for chronic allograft dysfunction
and represents a major prognostic factor of allograft survival. As molecular
pathways and mechanisms involved in Tacrolimus-induced fibrogenic response are
poorly elucidated, we assessed whether miRNAs are involved in the nephrotoxic
effects mediated by Tacrolimus. Treatment of CD-1 mice with Tacrolimus (1 mg/kg/d
for 28 days) resulted in kidney injury and was associated with alteration of a
gene expression signature associated with cellular stress, fibrosis and
inflammation. Tacrolimus also affected renal miRNA expression, including miRNAs
previously involved in fibrotic and inflammatory processes as "fibromirs" such as
miR-21-5p, miR-199a-5p and miR-214-3p. In agreement with in vivo data, Renal
Proximal Tubular Epithelial cells exposed to Tacrolimus (25 and 50 uM) showed
upregulation of miR-21-5p and the concomitant induction of epithelial phenotypic
changes, inflammation and oxidative stress. In conclusion, this study suggests
for the first time that miRNAs, especially fibromiRs, participate to Tacrolimus
induced nephrotoxic effects. Therefore, targeting miRNAs may be a new therapeutic
option to counteract Tacrolimus deleterious effects on kidney.
PMID- 29362866
TI - [Kit-based radiolabeling of PSMA ligands].
PMID- 29362867
TI - The stem cell factor-stimulated melanogenesis in human melanocytes can be
abrogated by interrupting the phosphorylation of MSK1: evidence for involvement
of the p38/MSK1/CREB/MITF axis.
AB - We recently found that treatment of normal human melanocytes (NHMs) with the
antioxidant astaxanthin (AX) suppresses the stem cell factor (SCF)-stimulated
protein expression levels of microphthalmia-associated transcription factor
(MITF) at 1.5 h and of tyrosinase and endothelin B receptor at 96 h post
treatment. Analysis of the signaling cascade(s) involved revealed that although
the major SCF-activated signaling cascade that leads to CREB activation (the c
KIT/Shc/Raf-1/ERK/RSK/CREB axis) is not interrupted, the increased
phosphorylation of CREB is significantly abrogated by AX. We show for the first
time that treatment of NHMs with SCF activates the p38/mitogen and stress
activated kinase (MSK1) axis in a c-KIT dependent fashion. Interestingly, whereas
AX does not abrogate the SCF-induced activation of p38, it does affect the
increased phosphorylation of its downstream target, MSK1. The lineage connection
of p38/MSK1 activation with CREB activation and its associated MITF expression is
supported by our finding that while silencing MSK1 abolishes the activation of
CREB and the subsequent increase in total MITF proteins at 15 min and at 1.5 h,
respectively, post-stimulation with SCF, inhibitors of p38 and of MSK1 abrogate
the SCF-induced increase in total MITF proteins at 1.5 h post-stimulation. These
findings suggest that SCF-stimulated melanogenesis can be abrogated by
interrupting MSK1 phosphorylation, providing evidence for involvement of the
p38/MSK1/CREB/MITF axis, providing new evidence for the ROS depletion independent
interruption by antioxidants of SCF-triggered signaling.
PMID- 29362868
TI - Effect of topical timolol on response rate and adverse events in infantile
hemangioma: a meta-analysis.
AB - A number of clinical trials evaluated the effect of topical timolol in the
treatment of infantile hemangioma and provided inconsistent results. The present
study assessed the response rate and adverse events of topical timolol in the
treatment of infantile hemangioma. Pubmed, Embase, China National Knowledge
Infrastructure (CNKI), Wanfang, and Cochrane library were searched until October
2016. Specific inclusion criteria were used to evaluate articles. Risk ratios
(RRs) with 95% confidence intervals (CIs) were calculated. The meta-analysis was
performed using a random effect model. A total of 10 studies, comprising 887
infants with hemangioma, were included. The response rate was reported in eight
trials; these studies compared the topical timolol to laser, observation,
placebo, or propranolol. The heterogeneity was statistically significant (P <
0.00001, I2 = 83%). The difference in the response rate was significant (RR =
2.86, 95% CI 1.31-6.24) while comparing the topical timolol to the controls.
However, no significant difference in the response rate was observed while
comparing the topical timolol to propranolol (RR = 0.99, 95% CI 0.70-1.42). The
difference in the adverse events was found to be significant (RR = 0.21, 95% CI
0.05-0.97) when the timolol group was compared to the control group. This meta
analysis confirmed that the topical timolol alone was more beneficial on response
rate and adverse event than laser, placebo, and observation. The response rate
did not differ significantly when comparing the topical timolol to propranolol.
However, further studies are essential using an improved design of the study.
PMID- 29362869
TI - Antiplatelet and anticoagulant agents in vitreoretinal surgery: a prospective
multicenter study involving 804 patients.
AB - PURPOSE: To assess the rate of hemorrhagic complications after vitreoretinal
surgery and the influence of antithrombotic agents. METHODS: Hemorrhagic
complications of vitreoretinal procedures performed in seven ophthalmologic
centers on patients treated or not treated with antiplatelet (AP) or
anticoagulant (AC) agents were prospectively collected. Patients'
characteristics, surgical techniques, and complications were recorded during
surgery and for 1 month after. RESULTS: Eight hundred four procedures were
performed between January 2015 and April 2015. Among them, 18.4% were treated
with AP agents (n = 148) and 7.8% with AC agents (n = 63), with 18 of them
treated with NOACS (new oral anticoagulants). AP or AC agents were continued in
96.5% and 80.7% of cases, respectively. Fifty-three patients (6.6%) developed one
or more hemorrhagic complications in one eye during this period. In univariate
analysis, AC agents were not associated with hemorrhagic complications (P =
0.329) in contrast to AP (P = 0.005). However, in multivariate analysis, AP
agents were no longer associated with hemorrhagic complications and the
intraoperative use of endodiathermy was the only factor associated with
hemorrhagic complications (P = 0.001). CONCLUSIONS: This study showed that AP and
AC agents were not a factor associated with hemorrhagic complications during
vitreoretinal surgery. The continuation of these treatments should be considered
without risk of severe hemorrhagic complications.
PMID- 29362870
TI - Ligictaluridus michaelalicea n. sp. (Monogenea: Dactylogyridae) from flathead
catfish (Pylodictis olivaris) in the upper Mississippi River, including remarks
on taxonomy influencing monogenean treatment regulation in the United States.
AB - Ligictaluridus michaelalicea n. sp. (Monogenea: Dactylogyridae, Ancyrocephalinae)
is described from the gills of Pylodictis olivaris (Siluriformes: Ictaluridae)
from Wisconsin and Iowa portions of the upper Mississippi River. Diagnostic
features include a relatively large, strongly curved tubular cirrus with minor
terminal flare; an accessory piece with a prominent basal lobe and a simple,
thick terminal limb featuring a thin lateral flange and blunt distal tip devoid
of recurved hooks. The sinistral vagina, two prostatic reservoirs, and a terminal
seminal vesicle of the vas deferens are prominent. The anchors, ventral and
dorsal bars, and hooks are similar in overall form to those of other members of
the genus. The description includes sequence data for the 18S rRNA gene, which
aligned most closely with species of ancyrocephaline monogeneans, with the
highest similarity being with Ligictaluridus pricei (Mueller, 1936). Other
monogenean species identified from the flathead catfish examined included L.
pricei and Ligictaluridus mirabilis (Mueller, 1937). L. michaelalicea n. sp. is
the fourth species to be described from P. olivaris within its natural range in
central and eastern North America. Implications resulting from taxonomic name
changes, including species of Ligictaluridus, and United States Food and Drug
Administration treatment regulations are discussed. An updated key to species of
the genus Ligictaluridus is presented.
PMID- 29362871
TI - Assessment of turkey vehicle container microclimate on transit during summer
season conditions.
AB - This study evaluated the formed microclimate commercial truck transport practices
effects on the turkeys' welfare by measuring Dead on Arrival (DOA) index and
pale, soft, and exudative (PSE-like) meat occurrence. The experimental design was
entirely randomized in a 6 * 2 factorial arrangements (two truck container
compartments * six water shower groups) with birds positioned at superior front
(SF), inferior front (IF), superior middle (SM), inferior middle (IM), superior
rear (SR), and inferior rear (IR) and two bath treatments: with water shower
(WiS) and without water shower (WoS) with eight replications for each treatment.
The animals were transported for 95 min' journey from the farm to the
slaughterhouse under hot-humidity conditions. The results shown herein indicated
the formation of a thermal core at the inferior middle and rear truck container
regions, because the heat produced by the birds and the influence of developed
microclimate consisting of temperature, relative humidity, and air ventilation.
The IM and IR container compartments under the WoS treatment presented the
highest (P < 0.01) numbers of PSE-like meat incidence and DOA index values
compared with those located at the front under WiS treatment as the consequence
of the altered to birds unbearable conditions within the container microclimate
in transit. The formed microclimate during the commercial transport practices
under hot-humidity conditions affected the bird's welfare consequently turkey
meat qualities.
PMID- 29362872
TI - Zornia latifolia: a smart drug being adulterated by Stylosanthes guianensis.
AB - Dried herbal preparations, based on "Zornia latifolia," are commonly sold on web,
mainly for their supposed hallucinogenic properties. In this work, we demonstrate
that these commercial products contain a different Fabacea, i.e., Stylosanthes
guianensis, a cheaper plant, widely cultivated in tropical regions as a fodder
legume. We were provided with plant samples of true Zornia latifolia from Brazil,
and carried out a thorough comparison of the two species. The assignment of
commercial samples was performed by means of micro-morphological analysis, DNA
barcoding, and partial phytochemical investigation. We observed that Z. latifolia
contains large amounts of flavonoid di-glycosides derived from luteolin,
apigenin, and genistein, while in S. guianensis lesser amounts of flavonoids,
mainly derived from quercetin, were found. It is likely that the spasmolytic and
anxiolytic properties of Z. latifolia, as reported in traditional medicine,
derive from its contents in apigenin and/or genistein.
PMID- 29362874
TI - Complex signatures of natural selection at GYPA.
AB - The human MN blood group antigens are isoforms of glycophorin A (GPA) encoded by
the gene, GYPA, and are the most abundant erythrocyte sialoglycoproteins. The
distribution of MN antigens has been widely studied in human populations yet the
evolutionary and/or demographic factors affecting population variation remain
elusive. While the primary function of GPA is yet to be discovered, it serves as
the major binding site for the 175-kD erythrocyte-binding antigen (EB-175) of the
malarial parasite, Plasmodium falciparum, a major selective pressure in recent
human history. More specifically, exon two of GYPA encodes the receptor-binding
ligand to which P. falciparum binds. Accordingly, there has been keen interest in
understanding what impact, if any, natural selection has had on the distribution
of variation in GYPA and exon two in particular. To this end, we resequenced GYPA
in individuals sampled from both P. falciparum endemic (sub-Saharan Africa and
South India) and non-endemic (Europe and East Asia) regions of the world.
Observed patterns of variation suggest that GYPA has been subject to balancing
selection in populations living in malaria endemic areas and in Europeans, but no
such evidence was found in samples from East Asia, Oceania, and the Americas.
These results are consistent with malaria acting as a selective pressure on GYPA,
but also suggest that another selective force has resulted in a similar pattern
of variation in Europeans. Accordingly, GYPA has perhaps a more complex
evolutionary history, wherein on a global scale, spatially varying selective
pressures have governed its natural history.
PMID- 29362875
TI - Impact of updating the non-radiation parameters in the ICRP 103 detriment model.
AB - The radiation detriment in ICRP 103 is defined as the product of the organ
specific risk coefficient and the damage that may be associated with a cancer
type or hereditary effect. This is used to indicate a weighted risk according to
the radiation sensitivity of different organs and the severity of damage that may
possibly arise. While the risk refers to radiation exposure parameters, the
extent of damage is independent of radiation. The parameters that are not
affected by radiation are lethality, impairment of quality of life, and reduced
life expectancy, which are considered as quantities associated with the severity
of disease or damage. The damage and thus the detriment appear to be mostly
affected by lethality, which is the quotient of the age-standardized mortality
rate to the incidence rate. The analysis of the detriment presented in this paper
focuses on the influence of the lethality on the detriment from 1980 to 2012 in
the USA and Germany. While the lethality in this period covering more than three
decades has decreased approximately linearly by 30% (both USA and Germany),
within the same period the detriment declined only by 13% in the USA and by 15%
in Germany. If only based on these two countries, an update on the detriment
parameters with reference to 2007, when ICRP 103 was released, would result in a
reduced weighted risk, i.e. the radiation detriment would be reduced by 10 to 15%
from originally 5.7% per Sv for the whole population to roughly 5% per Sv.
PMID- 29362873
TI - Molecular tissue changes in early myocardial ischemia: from pathophysiology to
the identification of new diagnostic markers.
AB - Diagnosing early myocardial ischemia (the initial 4 to 6 h after interruption of
blood flow to part of the myocardium) remains a challenge for clinical and
forensic pathologists. Several immunohistochemical markers have been proposed for
improving postmortem detection of early myocardial ischemia; however, no single
marker appears to be both sufficiently specific as well as sensitive. This review
summarizes the diverse categories of molecular tissue markers that have been
investigated in human autopsy samples with acute myocardial infarction as well as
in the well-established and widely used in vivo animal model of early myocardial
ischemia (permanent ligation of the coronary artery). Recently identified markers
appearing during the initial 2 h of myocardial ischemia are highlighted. Among
them, only six were tested for specificity (C5b-9, hypoxia-inducible factor 1
alpha, vascular endothelial growth factor, heart fatty acid binding protein,
connexin 43, and JunB). Despite the discovery of several potentially promising
markers (in terms of early expression and specificity), many of them remain to be
tested and validated for application in routine diagnostics in clinical and
forensic pathology. In particular, research investigating the postmortem
stability of these markers is required before any might be implemented into
routine diagnostics. Establishing a standardized panel of immunohistochemical
markers may be more useful for improving sensitivity and specificity than
searching for a single marker.
PMID- 29362876
TI - Variability of Denosumab densitometric response in postmenopausal osteoporosis.
AB - The objective of our prospective study is to specify the variability of
densitometric response to Denosumab, given in the second line, and to try to
understand the reasons. All menopausal patients with primary osteoporosis,
treated by Denosumab in our centre from 2014 to 2015, were included in this open
prospective work. At T0, the patient's age, type of fracture, and previous
treatments were collated. At T0 and T1, after 1 year of treatment by Dmab, a DXA
of the spine and the hip and a determination of CTX were performed. Sixty-three
patients aged 68.8 +/- 8.3 years were included. The median number of treatments
prescribed for osteoporosis before switch to Denosumab was 2.4. The median
duration of these treatments was 7.2 years. At T1, CTX was less than 33 pg/ml
(minimum threshold for our assay kit) in all patients. The median BMD in the
spine increased by + 5.44% compared to T0. 14 patients in the upper quartile had
a median BMD gain in the spine of + 11.07%. Fourteen patients in the lower
quartile had a median BMD gain in the spine of + 0.6%. Only the duration of
previous treatments, which was greater in the non-responder group, differed
between these two groups. In the total cohort, the spinal densitometric gain was
negatively correlated with the age of the patient at baseline (p = 0.04), the
duration of previous treatment (p = 0.02), and positively with the CTX level (p =
0.05). The Dmab densitometric response is highly variable, partly explained by
the duration of previous treatments and the level of bone resorption at
initiation of treatment.
PMID- 29362877
TI - Science and fashion: inflammation and oxidative stress in psychiatry.
PMID- 29362878
TI - [Influence of computer-based cognitive training on mobility in healthy older
adults : A systematic review].
AB - BACKGROUND: Mobility is important and often affected in older adults. Mobility is
related to cognitive function, which is associated with age-related decline.
Computer-based cognitive training (CCT) is increasingly used to treat such
cognitive deficits. Whether CCT also has an effect on mobility is not yet clear.
OBJECTIVE: The aim of this systematic review was to identify and evaluate
available intervention studies investigating the effect of CCT on mobility
related outcome parameters in healthy older adults. METHODS: Studies with CCT
interventions with mobility outcome parameters (gait, balance, transfer) as
primary outcomes and published up to June 2017 were categorized based on the
criteria of the American Academy for Cerebral Palsy and Developmental Medicine
(AACPDM) and then systematically evaluated. RESULTS: Out of 305 identified
studies, 11 CCT studies met the inclusion criteria. The quality of these studies
was generally high but definitions and effects of mobility outcome parameters
were heterogeneous. The most promising mobility outcome parameters that may be
influenced by CCT are step length under dual tasking conditions and gait
initiation. CONCLUSION: The use of CCT may have positive effects on mobility
parameters. Further studies focusing on this hypothesis as the primary outcome
parameter are needed.
PMID- 29362879
TI - Infection Function of Adhesin-Like Protein ALP609 from Spiroplasma melliferum CH
1.
AB - Spiroplasma melliferum is the causative agent of spiroplasmosis in honeybees.
During infection, adhesion of spiroplasmas to the host cells through adhesion
factors is a crucial step. In this study, we identified an adhesin-like protein
(ALP609) in S. melliferum CH-1 and investigated its role in the infection. To
determine whether ALP609 is an adhesion factor, we performed indirect
immunofluorescence microscopy to visualize its adhesion properties. Subsequently,
an infection model of S. melliferum CH-1 was established using primary midgut
cells of Apis mellifera to examine the adhesion and invasion of spiroplasma using
anti-ALP609 antibodies inhibition assays and competition assays with recombinant
ALP609 in vitro. We found that anti-ALP609 antibodies could inhibit the adhesion
and invasion of spiroplasma to the midgut cells of A. mellifera and reduce midgut
cell invasion on increased exposure to recombinant ALP609. To the best of our
knowledge, this is the first report identifying adhesion-related factors in S.
melliferum. Our results suggested that ALP609 is an adhesin-like protein critical
for invasion of S. melliferum CH-1 into midgut cells of A. mellifera.
PMID- 29362880
TI - Spirosoma agri sp. nov., Isolated from Apple Orchard Soil.
AB - A Gram-negative, non-motile, rod-shaped, aerobic bacterial strain, designated S7
3-3T, was isolated from apple orchard soil in Gyeongsangnam-do province, South
Korea, and was characterized taxonomically using a polyphasic approach.
Phylogenetic analysis based on 16S rRNA gene sequence showed that strain S7-3-3T
belonged to the family Cytophagaceae in the phylum Bacteroidetes was most closely
related to Spirosoma rigui WPCB118T (94.3%), Spirosoma pulveris JSH5-14T (93.9%),
and Spirosoma linguale DSM 74T (93.7%). The strain showed typical chemotaxonomic
characteristics of the genus Spirosoma with a predominant respiratory quinone of
menaquinone MK-7 and the major fatty acids of summed feature 3 (C16:1
omega7c/C16:1 omega6c; 43.9%) and C16:1 omega5c (25.6%). The G+C content of
genomic DNA was 49.6 mol%. The polar lipid profile contained major amounts of
phosphatidylethanolamine, an unidentified aminophospholipid, and an unidentified
polar lipid. Phenotypic and chemotaxonomic data supported the affiliation of
strain S7-3-3T with the genus Spirosoma. The results of physiological and
biochemical tests showed the genotypic and phenotypic differentiation of the
isolate from recognized Spirosoma species. On the basis of its phenotypic
properties, genotypic distinctiveness, and chemotaxonomic features, strain S7-3
3T represents a novel species of the genus Spirosoma, for which the name
Spirosoma agri sp. nov. is proposed. The type strain is S7-3-3T (= KCTC 52727T =
JCM 32199T).
PMID- 29362881
TI - Outcome of concomitant resection of the replaced right hepatic artery in
pancreaticoduodenectomy without reconstruction.
AB - PURPOSE: It has been reported that preoperative embolization or intraoperative
reconstruction of the replaced right hepatic artery (rRHA) in order to secure the
arterial blood flow to the liver and biliary tract are useful for patients who
have undergone pancreaticoduodenectomy (PD) with concomitant rRHA resection. In
this study, the feasibility of concomitant resection of rRHA in PD without
preoperative embolization or intraoperative reconstruction were retrospectively
evaluated with a particular focus on postoperative complications. METHODS: We
retrospectively analyzed 323 consecutive patients who underwent PD. RESULTS: In
51 patients (15.8%), an rRHA was detected. Nine of 51 patients underwent combined
rRHA resection during PD. Eight patients showed tumor abutment, and one patient
had accidental intraoperative damage of the rRHA. Although there were no cases of
bilioenteric anastomotic failure, a hepatic abscess occurred in one patient. This
patient was treated with percutaneous transhepatic abscess drainage and was cured
immediately without suffering sepsis. Postoperative complications of Clavien
Dindo classification >= IIIa were found in three patients, and R0 resection was
achieved in six. Surgical outcomes showed no significant differences between the
rRHA-resected and non-resected groups. Moreover, there were no significant
differences in laboratory data related to liver functions between the rRHA
resected and non-resected groups before surgery and on postoperative days 1, 3,
5, and 7. CONCLUSIONS: Simple resection of the rRHA following an unintended or
accidental injury during PD is not associated with severe morbidity and should be
considered as an alternative to a technically difficult reconstruction.
PMID- 29362882
TI - Extended pancreatectomy as defined by the ISGPS: useful in selected cases of
pancreatic cancer but invaluable in other complex pancreatic tumors.
AB - PURPOSE: Extended pancreatectomy aimed at R0 resection of pancreatic tumors with
adjacent vessel and organ involvement may be the only option for cure. This study
was done with an objective to analyze the short- and long-term outcomes of
extended pancreatic resections. METHODS: All pancreatectomies performed between
2006 and 2015 were included. The pancreatectomies were classified as standard or
extended, as per the International Study Group for Pancreatic Surgery. All
surgical complications and terminologies were according to Clavien-Dindo
classification and International Study Group for Pancreatic Surgery guidelines.
Morbidity and mortality were primary outcomes and disease-free survival was a
secondary outcome. RESULTS: Sixty-three extended and 620 standard
pancreatectomies were performed. Major morbidity (Clavien grades III, IV and V)
(37 vs. 29%, p = 0.21) and mortality (6 vs. 4%, p = 0.3) for extended
pancreatectomies were comparable to those for standard pancreatectomies. Blood
loss > 855 ml, need for blood transfusion, and tumor size were independent risk
factors for morbidity, and the latter two for mortality. Standard
pancreatectomies were associated with better 3-year disease-free survival than
extended pancreatectomies (67 vs. 41%, p < 0.001). Extended pancreatectomies
resulted in a significantly better median disease-free survival for non
pancreatic adenocarcinoma vs. pancreatic adenocarcinoma (33.3 vs. 9.5 months, p =
0.01). CONCLUSION: Extended pancreatectomies resulted in similar peri-operative
morbidity and mortality compared to standard pancreatectomies. Although the
survival of patients undergoing these complex procedures is inferior to standard
pancreatectomies, they should be undertaken not only in selected cases of
pancreatic cancer but even more so in other complex pancreatic tumors.
PMID- 29362883
TI - Bidirectional association between weight status and motor skills in adolescents :
A 4-year longitudinal study.
AB - BACKGROUND: Despite considerable efforts the prevalence of overweight and obesity
in youth remains high. Poor motor skills have been associated with increased body
weight but there is still limited information on the longitudinal association of
these health parameters. AIM: This study examined the prospective association
between motor skills and body weight in 10- to 14-year-old youth. METHODS: Body
weight, height and motor skills, assessed via the German motor test 16-18
(Deutscher Motorik Test, DMT6-18), were measured in 213 middle school students
(57% male) every year over a 4-year period. Club sports participation and
migration status were assessed via a questionnaire. RESULTS: Besides an inverse
cross-sectional association between body weight and motor skills, excess body
weight was associated with impaired development of motor skills (p < 0.05).
Furthermore, weight loss was associated with enhanced motor development and
allowed previously overweight students to catch up with their normal weight
peers. High motor skills at baseline also reduced the odds of becoming
overweight/obese during the observation period. These results were independent of
club sports participation. CONCLUSION: There is a bidirectional, synergistic
association between body weight and motor skills. Facilitating the development of
motor skills in children and adolescents may therefore be a viable intervention
strategy targeting weight management and physical activity in youth.
PMID- 29362884
TI - Complications of CT-guided transthoracic lung biopsy : A short report on current
literature and a case of systemic air embolism.
AB - Percutaneous computed tomography (CT)-guided transthoracic needle biopsy (PCNB)
is a common diagnostic procedure and is especially indispensable in thoracic
oncology. Complications, such as pulmonary hemorrhage and pneumothorax are
frequent, but usually easy to manage. Systemic air embolism is a rare but
relevant adverse event and its true incidence is probably underestimated, as not
all cases may become clinically apparent. We present a case of systemic air
embolism following a core-needle biopsy of a left upper lobe lesion, where
immediately after the procedure CT scans documented air in the thoracic aorta and
in the left ventricle. In this context, we review the current literature on
technical aspects as well as on frequent and infrequent major complications of
PCNB, together with risk factors, emergency treatment and prevention strategies.
PMID- 29362885
TI - Two invasive herbivores on a shared host: patterns and consequences of
phytohormone induction.
AB - Herbivore-induced changes in host quality mediate indirect interactions between
herbivores. The nature of these indirect interactions can vary depending on the
identity of herbivores involved, species-specific induction of defense-signaling
pathways, and sequence of attack. However, our understanding of the role of these
signaling pathways in the success of multiple exotic herbivores is less known.
Eastern hemlock (Tsuga canadensis) is attacked by two invasive herbivores
[elongate hemlock scale (EHS; Fiorinia externa) and hemlock woolly adelgid (HWA;
Adelges tsugae)] throughout much of its range, but prior attack by EHS is known
to deter HWA. The potential role of phytohormones in this interaction is poorly
understood. We measured endogenous levels of phytohormones in eastern hemlock in
response to attack by these invasive herbivores. We also used exogenous
application of methyl jasmonate (MJ) and acibenzolar-S-methyl (ASM), a salicylic
acid (SA) pathway elicitor, to test the hypothesis that defense-signaling
phytohormones typically induced by herbivores could deter HWA. Resistance to
adelgid attack was assessed using a behavioral assay. Adelgid feeding
significantly elevated both abscisic acid (ABA) and SA in local tissues, while
EHS feeding had no detectable effect on either phytohormone. HWA progrediens and
sistens crawlers preferred to settle on ASM-treated foliage. In contrast, HWA
crawlers actively avoided settlement on MJ-treated foliage. We suggest that
induction of ABA- and SA-signaling pathways, in concert with defense-signaling
interference, may aid HWA invasion success, and that defense-signaling
interference, induced by exotic competitors, may mediate resistance of native
hosts.
PMID- 29362886
TI - Pheochromocytoma and paraganglioma: genotype versus anatomic location as
determinants of tumor phenotype.
AB - To date, germline or somatic genetic events can be detected for at least 60% of
paragangliomas. Strong genotype-phenotype associations have been recognized and
become increasingly refined. Characteristics closely linked with genotype include
syndromic presentation, age of onset, risk of metastatic disease and predominant
anatomic site. In contrast, profiles of catecholamine secretion appear to be
largely determined by anatomic location or cell type of origin. This review
summarizes current knowledge of genotype-phenotype correlations for
paragangliomas in different locations and scrutinizes previous publications on
the respective tissues of origin to find potential explanations for site-related
differences. We hypothesize that differential sensitivities of distinct
chromaffin cell populations to hypoxia are major determinants of these
differences, with increased sensitivity to hypoxia likely exacerbating
vulnerability to mutation-derived disruption of hypoxic signaling pathways.
Potential involvement of endothelin-1, tumor necrosis factor type 1 receptor
associated protein and the hypoxia-inducible miR-210 in the development of
abdomino-thoracic or head and neck paragangliomas are discussed. Recognition of
factors that predispose to chromosomal losses, or amplify sub-threshold molecular
alterations towards tumorigenic events in different (chromaffin) cell types, may
facilitate the leap from developing targeted therapies towards establishment of
tumor preventative measures.
PMID- 29362887
TI - Rumination impairs the control of stimulus-induced retrieval of irrelevant
information, but not attention, control, or response selection in general.
AB - The aim of the study was to throw more light on the relationship between
rumination and cognitive-control processes. Seventy-eight adults were assessed
with respect to rumination tendencies by means of the LEIDS-r before performing a
Stroop task, an event-file task assessing the automatic retrieval of irrelevant
information, an attentional set-shifting task, and the Attentional Network Task,
which provided scores for alerting, orienting, and executive control functioning.
The size of the Stroop effect and irrelevant retrieval in the event-five task
were positively correlated with the tendency to ruminate, while all other scores
did not correlate with any rumination scale. Controlling for depressive
tendencies eliminated the Stroop-related finding (an observation that may account
for previous failures to replicate), but not the event-file finding. Taken
altogether, our results suggest that rumination does not affect attention,
executive control, or response selection in general, but rather selectively
impairs the control of stimulus-induced retrieval of irrelevant information.
PMID- 29362888
TI - The roles of consistency and exclusivity in perceiving body ownership and agency.
AB - Previous rubber/virtual hand illusion studies have established important
constraints for the illusion that an artificial effector becomes part of one's
own body (perceived ownership), and that its actions are being caused by oneself
(perceived agency). We can take these observed constraints to establish two of
three Wegner's (Trends Cogn Sci 7:65-69; Wegner, Trends in Cognitive Sciences
7:65-69, 2003) criteria for the perception of personal agency: priority and
consistency, but not Wegner's third criterion-exclusivity. In this study we
tested with virtual hand illusion, whether exclusivity (participant is certain
who was controlling the virtual effector) can also be established. We manipulated
two factors: exclusivity and consistency. Our results show that on both ownership
and agency judgments, consistency and exclusivity produced main effects, and the
two effects interacted in an underadditive fashion. Taken together, these
findings provide support for our suggestion to extend Wegner's agency theory to
explain perceived body ownership, which in turn provides an integrative framework
for interpreting constraints on ownership and agency illusions.
PMID- 29362890
TI - Yonsei Experience of 5000 Gasless Transaxillary Robotic Thyroidectomies: Reply.
PMID- 29362889
TI - Computer-driven quantitative image analysis in the assessment of tumor cell and T
cell features in diffuse large B cell lymphomas.
AB - Diffuse large B cell lymphoma (DLBCL) is recognized as the most common non
Hodgkin lymphoma subtype. Advanced high-resolution digital scans of pathology
slides have enabled the development of computer-based image analysis algorithms
that may assist pathologists in quantifying immunohistochemical stains. In this
retrospective study, we reviewed data from 29 patients affected by DLBCL. In
order to evaluate the number of tumor cells and microenvironment T cells, we
performed an analysis of CD20, Ki67, and CD3 counts, assessed with the Positive
Pixel Count algorithm embedded in the Aperio ImageScope software. A lower tumor
cell count was observed in patients with a non-germinal center immunophenotype,
high LDH, splenomegaly and an IPI >= 3. A lower number of CD3 was observed in
patients with bulky disease, an IPI >= 3 and disease stage 3-4. Overall, these
data confirm that quantitative analysis of the tumor cells and of the tumor
microenvironment by means of computer-driven quantitative image analysis may add
new information in DLBCL diagnosis.
PMID- 29362891
TI - Post-operative Complications Following Emergency Operations Performed by Trainee
Surgeons: A Retrospective Analysis of Surgical Deaths.
AB - BACKGROUND: Adequate surgical care of patients and concurrent training of
residents is achieved in elective procedures through careful case selection and
adequate supervision. Whether this applies when trainees are involved in
emergency operations remains equivocal. The aim of this study was therefore to
compare the risk of post-operative complications following emergency procedures
performed by senior operators compared with supervised trainees. METHODS: This is
a retrospective cohort study examining in-hospital deaths of patients across all
surgical specialties who underwent emergency surgery in Australian public
hospitals reported to the national surgical mortality audit between 2009 and
2015. Multivariable logistic regression was used to explore whether there was an
association between the level of operator experience (senior operator vs trainee)
and the occurrence of post-operative surgical complications following an
emergency procedure. RESULTS: Our population consisted of 6920 patients. There
were notable differences between the trainees and senior operator groups;
trainees more often operated on patients aged over 80 years, with cardiovascular
and neurological risk factors. Senior operators more often operated on very young
and obese patients with advanced malignancy and hepatic disease. Supervised
trainees had a lower rate of post-operative complications compared with senior
operators; 18% (n = 396) and 25% (n = 1210), respectively (p < 0.05). Operations
performed by trainees were associated with an 18% decrease (95% CI 5-29%; p <
0.05) in odds of post-operative complications compared with senior operators,
adjusting for potential confounders. CONCLUSIONS: Contrary to popular belief, our
results suggest that supervised trainees safely perform emergency operations,
provided that cases are judiciously selected.
PMID- 29362892
TI - Comparison of Adverse Events and Outcomes Between Patients With and Without Drain
Insertion After Hepatectomy: A Propensity Score-Matched, Multicenter, Prospective
Observational Cohort Study in Japan (CSGO-HBP-001).
AB - BACKGROUND: Adverse events (AEs) after hepatectomy (Hx) have decreased. The aim
of this study was to assess the safety of Hx and to identify the risks and
benefits of drain insertion. METHODS: From 2010 to 2012, a multicenter,
prospective cohort study was conducted in consecutive patients who underwent Hx.
Patients who were scheduled to undergo Hx with neither reconstruction of the
biliary tract nor concomitant resection of other organs were excluded. AEs were
graded based on the Clavien-Dindo classification. Univariate analysis was
performed to identify the risks and benefits in all cases and in selected cases
matched by propensity score. RESULTS: This study included 197 patients. AEs
occurred in 20 (10.1%). In all cases, no difference in the rate of AE was
observed between those with and without drain insertion. Postoperative hospital
stay in the group with drains (n = 132) was statistically longer than that in the
group without drains (n = 65) (17.7 vs. 11.5 days, P = 0.001). In patients
without AE (n = 177), hospital stay in the group with drains was statistically
longer than that in the group without drains (14.1 vs. 11.3 days, P < 0.001). In
propensity score-matched cases (41 cases in each group), postoperative hospital
stay in the group with drains was also statistically longer than that in the
group without drains (17.3 vs. 11.4 days, P = 0.003). CONCLUSION: Drain insertion
after hepatectomy may lead to longer hospital stay in patients with and without
AE.
PMID- 29362893
TI - Adaptive Management of Environmental Flows.
AB - Adaptive management enables managers to work with complexity and uncertainty, and
to respond to changing biophysical and social conditions. Amid considerable
uncertainty over the benefits of environmental flows, governments are embracing
adaptive management as a means to inform decision making. This Special Issue of
Environmental Management presents examples of adaptive management of
environmental flows and addresses claims that there are few examples of its
successful implementation. It arose from a session at the 11th International
Symposium on Ecohydraulics held in Australia, and is consequently dominated by
papers from Australia. We classified the papers according to the involvement of
researchers, managers and the local community in adaptive management. Five papers
report on approaches developed by researchers, and one paper on a community-led
program; these case studies currently have little impact on decision making. Six
papers provide examples involving water managers and researchers, and two papers
provide examples involving water managers and the local community. There are no
papers where researchers, managers and local communities all contribute equally
to adaptive management. Successful adaptive management of environmental flows
occurs more often than is perceived. The final paper explores why successes are
rarely reported, suggesting a lack of emphasis on reflection on management
practices. One major challenge is to increase the documentation of successful
adaptive management, so that benefits of learning extend beyond the project where
it takes place. Finally, moving towards greater involvement of all stakeholders
is critical if we are to realize the benefits of adaptive management for
improving outcomes from environmental flows.
PMID- 29362894
TI - The ontogeny of the 300 million year old xiphosuran Euproops danae
(Euchelicerata) and implications for resolving the Euproops species complex.
AB - Xiphosurans have often been considered as archaic appearing cheliceratan
arthropods, with a rich fossil record. We describe here parts of the post
embryonic ontogeny of the 300 million year old xiphosuran Euproops danae
(Xiphosura sensu stricto, Euchelicerata), from the Mazon Creek Lagerstatte (Upper
Carboniferous), USA. Recently, the ontogeny of a closely related species,
Euproops sp. from the Upper Carboniferous Piesberg quarry, Osnabruck, Germany
(informally called 'Piesproops'), has been reconstructed. This analysis has drawn
characters into question that were used to differentiate E. danae from another
species occurring at the same time, Euproops rotundatus from the British Middle
Coal Measures. More precisely, early post-embryonic stages of Piesproops resemble
E. danae; later stages resemble E. rotundatus. Based on this earlier study, the
here-described reinvestigation of E. danae has been performed as the ontogenetic
sequence itself may yield more reliable characters for differentiating species of
Euproops. We could identify eight different growth stages for E. danae. This
ontogenetic sequence shows a comparable growth to that of Piesproops, but differs
markedly in the development of the opisthosomal flange. This character may serve
as a basis for reliably differentiating these species. Additionally, analysing
the ontogeny of further species may offer the basis for identifying heterochronic
shifts in the evolution of xiphosurans.
PMID- 29362895
TI - In vivo live imaging of bone cells.
AB - There are as many as 200 cell types in the body, and highly sophisticated and
varied life phenomena are carried out by cell migration to appropriate places at
appropriate times following the appropriate interactions. Recent advances in
optical imaging technology using multi-photon excitation microscopy have enabled
visualization inside intact bone tissues in living animals without thin
sectioning. Using such advanced techniques, the dynamic behaviors of living bone
cells on intact bone tissue structures can be elucidated. Here, we focus on
recent findings using intravital multi-photon imaging of dynamic biological
systems, e.g., bone homeostasis. This novel approach has proven beneficial for
understanding the mechanisms underlying the spatiotemporal nature of bone
remodeling systems and for evaluating the specific modes of actions of novel
drugs currently in development, which will contribute to a new chapter in bone
and mineral research.
PMID- 29362896
TI - Comparison of retropubic, laparoscopic and robotic radical prostatectomy: who is
the winner?
AB - PURPOSE: This study is a systematic analysis of the evidence regarding
oncological, perioperative and postoperative outcomes and the cost of open
retropubic radical prostatectomy (ORP), laparoscopic radical prostatectomy (LRP)
and robotic-assisted laparoscopic radical prostatectomy (RALP). METHODS: Summary
data was abstracted from 104 original research articles representing 227,400
patients. PubMed/Medline, Scopus, Google Scholar, EMBASE and the Cochrane Library
were reviewed in December 2016. A total of 104 publications were selected for
inclusion. The primary outcomes were positive surgical margin (PSM) and major
complication rate according to Clavien classifications. Secondary outcomes were
operative time, length of hospital stay, estimated blood loss, transfusions,
conversions, rate of post-operative erectile dysfunction and incontinence and
total cost of procedure. RESULTS: ORP had a significantly higher rate than RALP
for PSM (OR: 1.18; 95% CI 1.05-1.32; p = 0.004), but the rate of PSM was not
significantly different between ORP versus LRP (OR: 1.37; 95% CI 0.88-2.14; p =
0.17) and RALP versus LRP (OR: 0.83; 95% CI 0.40-1.72; p = 0.62). The major
Clavien complication rate was significantly different between ORP and RALP (OR:
2.14; 95% CI 1.24-3.68; p = 0.006). Estimated blood loss, transfusions and length
of hospital stay were low for RALP, moderate for LRP and high for ORP. The rate
of erectile dysfunction (OR: 2.58; 95% CI 1.77-3.75; p < 0.001) and incontinence
(OR: 3.57; 95% CI 2.28-5.58; p < 0.001) were significantly lower after RALP than
LRP and equivalent for other comparisons. Total cost was highest for RALP,
followed by LRP and ORP. CONCLUSIONS: For PSM and peri- and post-operative
complications, RALP showed better results than ORP and LRP. In the context of the
biases between the studies, one should interpret the results with caution.
PMID- 29362897
TI - Early double J stent removal in renal transplant patients to prevent urinary
tract infection - systematic review and meta-analysis of randomized controlled
trials.
AB - Ureteral stents are routinely used in renal transplant and are associated with
reduced urological complications but increased urinary tract infections (UTIs).
There is no agreement on the preferred time to removal of stents after
transplantation. We performed a systematic review and meta-analysis of all
randomized controlled trials (RCTs) comparing stent duration of <14 days vs > =14
days. Electronic databases were searched to identify RCTs that compared early vs
late stent removal. Primary outcome was urinary tract infections. Secondary
outcomes included various urological complications. No significant difference in
UTI rates was demonstrated between short and long stent duration (relative risk
(RR) 0.85, 95% confidence interval (CI) 0.44-1.64), with significant
heterogeneity (I2 = 86%). Sensitivity analysis evaluating studies with low risk
of bias for allocation concealment demonstrated statistically significant lower
rates of UTI with short stent duration (RR 0.48, 95% CI 0.32-0.71) with no
heterogeneity. No significant difference was demonstrated for the outcome of
major urological complications (RR 0.72, 95% CI 0.50-1.05), without
heterogeneity. Ureteral stenosis rates were significantly lower in the short
duration arm (RR 0.42, 95% CI 0.18-0.98). Early removal of ureteral stents after
renal transplant may be associated with reduced rates of UTI and ureteral
stenosis. Additional RCTs are needed.
PMID- 29362898
TI - Androgen Therapy for Acute Myeloid and Hairy Cell Leukemia.
AB - OPINION STATEMENT: The purpose of this paper is to review the preclinical and
clinical rationale for androgen therapy of acute myeloid (AML) and hairy cell
leukemia (HCL). A major recent positive study should renew interest in this
therapy, which has been reported to be effective in these leukemias for more than
50 years. Hopefully, renewed interest in this approach, which seems promising,
will lead to well-designed modern studies that will precisely define a role for
androgens in these leukemias. A recent large prospective, randomized study has
demonstrated enhanced survival for elderly AML patients who present with WBC
counts < 30,000/MUL have improved overall survival when androgens are given post
remission, and numerous case reports suggest that androgens frequently restore
normal peripheral blood counts in severely pancytopenic patients with HCL. Well
designed prospective studies are needed to precisely define which patients are
most likely to benefit from androgen therapy and where in the treatment plan they
should be incorporated.
PMID- 29362899
TI - Costs of dose escalation among ulcerative colitis patients treated with
adalimumab in Germany.
AB - AIMS: We determined adalimumab utilisation and associated drug costs in patients
with ulcerative colitis (UC), focusing on patients requiring dose escalation.
METHODS: The retrospective cohort study analysed the de-identified prescription
data of the Arvato Health Analytics (Munich, Germany) database (2010-2015) in
adult UC patients undergoing adalimumab therapy. RESULTS: A total of 154 patients
were newly treated with adalimumab (average 39.6 years, 53% females), with a mean
dose of 2.93 mg/day. Within 12 months, 69 patients (45%) received a dose increase
of > 50% (doubled dose in 48 patients; 32%), with the escalation reported at
169.3 +/- 99.3 days. A subsequent dose de-escalation to the standard dose
occurred in 50 (32%) of patients that initially had a dose increase of > 50%
(after 94.7 +/- 49.6 days). Direct drug costs were 28,846 ? in the overall study
population, 24,934 ? in patients on standard dose, 36,094 ? in patients with dose
increase, and 32,742 ? in patients with increase and subsequent decrease.
CONCLUSION: Dose escalation occurred frequently, and in one third of patients the
dose was at least doubled. Dose escalations were associated with substantial
increases in direct drug costs. Dose escalation of adalimumab can severely affect
both the health care system and the drug budget of the physician. It needs to be
considered that other biologic medications may constitute a more cost-effective
alternative.
PMID- 29362900
TI - The effect of online gambling on gambling problems and resulting economic health
costs in Germany.
AB - Problematic and pathological gambling have emerged as substantial problems in
many countries. One potential accelerating factor for this phenomenon during
recent years is the Internet, which offers different kinds of games and online
applications for gambling that are faster, more attractive due to a variety of
design and marketing options, less costly and potentially more addictive than
terrestrial gambling opportunities. However, the contributing role of the
Internet for problematic gambling has not been analyzed sufficiently so far and
remains inconclusive. The current study is based on a representative sample with
15,023 individuals from Germany. With a new concept of assessing online gambling
with its relative fraction of total gambling activities and a control-function
approach to account for possible endogeneity of online gambling, we estimate the
impact of online gambling on gambling behavior while additionally controlling for
a rich set of important covariates, like education, employment situation and
family status. The results show that, on average, replacing 10% of offline
gambling with online gambling increases the likelihood of being a problematic
gambler by 8.8-12.6%. This increase is equivalent to 139,322 problematic gamblers
and 27.24 million ? per year of additional expenditures in the German health
sector. Our findings underpin the necessity to keep online gambling restricted to
prevent further developments of problematic and pathological gambling in Germany.
PMID- 29362901
TI - Reconstructing an incomparable organism: the Chalicothere in nineteenth and early
twentieth century palaeontology.
AB - Palaeontology developed as a field dependent upon comparison. Not only did
reconstructing the fragmentary records of fossil organisms and placing them
within taxonomic systems and evolutionary lineages require detailed anatomical
comparisons with living and fossil animals, but the field also required thinking
in terms of behavioural, biological and ecological analogies with modern
organisms to understand how prehistoric animals lived and behaved. Yet
palaeontological material often worked against making easy linkages, bringing a
sense of mystery and doubt. This paper will look at an animal whose study
exemplified these problems: the Chalicothere. Increasingly (although not
unproblematically) recognized as a specific type from finds across North America
and Eurasia from the early nineteenth century onwards, these prehistoric mammals
showed short back legs terminating in pawed feet, long front limbs ending in
sharp claws, a long flexible neck, and herbivorous grinding teeth. The
Chalicothere became a significant organism within palaeontological studies, as
the unexpected mix of characters made it a textbook example against the Cuvierian
notion of "correlation of parts," while explaining how the animal moved, fed and
behaved became puzzling. However, rather than prevent comparisons, these actually
led to comparative analogies becoming flexible and varied, with different forms
of comparison being made with varying methods and degrees of confidence, and with
the anatomy, movement and behaviour of giraffes, bears, horses, anteaters,
primates and other organisms all serving at various points as potential models
for different aspects of the animal. This paper will examine some of the attempts
to reconstruct and define the Chalicotheres across a long timescale, using this
to show how multiple comparisons and analogies could be deployed in a
reconstructive and evolutionary science like palaeontology, and illustrate some
of the limits and tensions in comparative methods, as they were used to
reconstruct organisms which were thought to be incomparable to any modern animal.
PMID- 29362902
TI - A phase I dose escalation trial of nab-paclitaxel and fixed dose radiation in
patients with unresectable or borderline resectable pancreatic cancer.
AB - PURPOSE: Patients with locally advanced pancreatic cancer typically have poor
outcomes, with a median survival of approximately 16 months. Novel methods to
improve outcomes are needed. Nab-paclitaxel (Abraxane) has shown efficacy in
pancreatic cancer and is FDA-approved for metastatic disease in combination with
gemcitabine. Nab-paclitaxel is also a promising radiosensitizer based on
laboratory studies, but it has never been clinically tested with definitive
radiotherapy for locally advanced pancreatic carcinoma. METHODS: We performed a
phase 1 study using a 3 + 3 dose escalation strategy to determine the safety and
tolerability of dose-escalated nab-paclitaxel with fractionated radiotherapy for
patients with unresectable or borderline resectable pancreatic cancer. Following
induction chemotherapy with two cycles of nab-paclitaxel and gemcitabine,
patients were treated with weekly nab-paclitaxel and daily radiotherapy to a dose
of 52.5 Gy in 25 fractions. Final dose-limiting toxicity (DLT) determination was
performed at day 65 after the start of radiotherapy. RESULTS: Nine patients
received nab-paclitaxel at a dose level of either 100 mg/m2 (n = 3) or 125 mg/m2
(n = 6). There were no observed grade 3 gastrointestinal toxicities. One DLT
(grade 3 neuropathy) was observed in a patient who received 125 mg/m2 of nab
paclitaxel. Other grade 3 toxicities included fatigue (11%), anemia (11%) and
neutropenia (11%). No grade 4 toxicities were observed. Following
chemoradiotherapy, four patients (borderline resectable, n = 2 and unresectable,
n = 2) underwent surgical resection, all with negative margins and with
significant treatment effect with limited tumor viability. CONCLUSIONS: The
combination of fractionated radiation and weekly full dose nab-paclitaxel was
safe and well-tolerated.
PMID- 29362903
TI - Comparative assessment of pharmacokinetics, and pharmacodynamics between
RTXM83TM, a rituximab biosimilar, and rituximab in diffuse large B-cell lymphoma
patients: a population PK model approach.
AB - PURPOSE: The main objective was to quantify any potential differences in
pharmacokinetic (PK) parameters (AUC and Cmax) between RTXM83, a proposed
rituximab biosimilar, and its reference product, using a population PK model
approach. METHODS: Rituximab PK and PD data were obtained from a randomized,
double-blind, phase III clinical study (RTXM83-AC-01-11) in patients with diffuse
large B-cell lymphoma (DLBCL) that received 375 mg/m2 intravenous RTXM83 or its
reference product with CHOP regimen, every 3 weeks, for six cycles. Rituximab
levels were quantified by Meso Scale Discovery assay. PK analysis was performed
using NONMEM 7.3.0. The effect of disease and patient covariates on RXTM83 PK was
investigated. Model was evaluated using visual predictive check and non
parametric bootstrap. RESULTS: In total, 251 DLBCL patients (127 and 124 in
RXTM83-CHOP and rituximab-CHOP arms, respectively) and 5341 serum concentrations
(2703 for RXTM83 and 2638 for rituximab, respectively) were available for the
population PK analysis. The volume of distribution of the central compartment
(V1) and clearance of RXTM83 were estimated at 3.19 L and 12.5 mL/h,
respectively. Body surface area allowed to explain the interindividual
variability for V1. A statistical analysis showed that systemic exposure (AUC and
Cmax) of RTXM83 was similar to rituximab. The 90% confidence intervals for all
pairwise comparisons were within the predefined bioequivalence interval of 0.80
1.25. PD similarity of B-cell depletion and recovery was also observed.
CONCLUSIONS: The time course of RTXM83 was well characterized by the model
developed. The systemic exposure of RTXM83 and its associated variability were
similar to those for rituximab reference in DLBCL patients, demonstrating PK
similarity. The PD similarity of RTXM83 and rituximab reference product was also
demonstrated.
PMID- 29362904
TI - Atrial Fibrillation Ablation and its Impact on Stroke.
AB - Atrial fibrillation (AF) is a commonly encountered arrhythmia, which is not yet
fully understood. Catheter ablation has shown to be an effective strategy for
rhythm management and several small or retrospective studies have shown that
stroke rates are decreased in ablated AF patients compared to those medically
managed. Several studies even show that ablation returns stroke risk to that of
non-AF patients. Large scale, prospective trials will further illuminate this
connection and provide mechanistic understanding of the role of the procedure
versus the process of selection for the procedure and peri- and post-procedural
therapy and management. Furthermore, modification of risk factors associated with
AF show a significant increase in the sustained success of AF ablation and can
also moderate the progression of AF.
PMID- 29362905
TI - Role of Chemotherapy in the Neoadjuvant/Adjuvant Setting for Patients With Rectal
Adenocarcinoma Undergoing Chemoradiotherapy and Surgery or Radiotherapy and
Surgery.
AB - Rectal cancer has been successfully managed in the last couple of decades. In the
USA, as the initial approach, neoadjuvant concurrent chemoradiation has been
associated not only with decrease in tumor size and recurrence but also with
higher resection rate with minimal side effects. Data support that addition of
chemotherapy to radiotherapy is superior to radiotherapy alone in the neoadjuvant
setting. Recent debates have addressed the question of administration of adjuvant
chemotherapy following surgery. In this article, we discuss the role of
chemotherapy in both the neoadjuvant and the adjuvant settings for locally
advanced rectal cancer.
PMID- 29362906
TI - Experience in colon sparing surgery in North America: advanced endoscopic
approaches for complex colorectal lesions.
AB - BACKGROUND: Need for colon sparing interventions for premalignant lesions not
amenable to conventional endoscopic excision has stimulated interest in advanced
endoscopic approaches. The aim of this study was to report a single institution's
experience with these techniques. METHODS: A retrospective review was conducted
of a prospectively collected database of all patients referred between 2011 and
2015 for colorectal resection of benign appearing deemed endoscopically
unresectable by conventional endoscopic techniques. Patients were counseled for
endoscopic submucosal dissection (ESD) with possible combined endoscopic
laparoscopic surgery (CELS) or alternatively colorectal resection if unable to
resect endoscopically or suspicion for cancer. Lesion characteristic, resection
rate, complications, and outcomes were evaluated. RESULTS: 110 patients were
analyzed [mean age 64 years, female gender 55 (50%), median body mass index 29.4
kg/m2]. Indications for interventions were large polyp median endoscopic size 3
cm (range 1.5-6.5) and/or difficult location [cecum (34.9%), ascending colon
(22.7%), transverse colon (14.5%), hepatic flexure (11.8%), descending colon
(6.3%), sigmoid colon (3.6%), rectum (3.6%), and splenic flexure (2.6%)]. Lesion
morphology was sessile (N = 98, 93%) and pedunculated (N = 12, 7%). Successful
endoscopic resection rate was 88.2% (N = 97): ESD in 69 patients and CELS in 28
patients. Complication rate was 11.8% (13/110) [delayed bleeding (N = 4),
perforation (N = 3), organ-space surgical site infection (SSI) (N = 2),
superficial SSI (N = 1), and postoperative ileus (N = 3)]. Out of 110 patients,
13 patients (11.8%) required colectomy for technical failure (7 patients) or
carcinoma (6 patients). During a median follow-up of 16 months (range 6-41
months), 2 patients had adenoma recurrence. CONCLUSIONS: Advanced endoscopic
surgery appears to be a safe and effective alternative to colectomy for patients
with complex premalignant lesions deemed unresectable with conventional
endoscopic techniques.
PMID- 29362907
TI - Prospective randomized study comparing single-incision laparoscopic versus multi
trocar laparoscopic totally extraperitoneal (TEP) inguinal hernia repair at 2
years.
AB - BACKGROUND: Inguinal hernia repair via multi-trocar laparoscopy (MTL) has gained
an increasing popularity worldwide. Single-incision laparoscopy (SIL) has been
introduced to reduce the port-related complications and to improve the cosmetic
results. The authors report a prospective randomized study comparing SIL versus
MTL totally extraperitoneal (TEP) inguinal hernia repair. METHODS: Between
January 2013 and May 2015, 113 versus 97 patients were prospectively randomized
between SILTEP and MTLTEP. Perioperative, short-term, and mid-term outcomes have
been assessed. The primary endpoint was the mid-term outcomes (late postoperative
complications, late inguinal hernia recurrence, surgical and cosmetic
satisfactions). Secondary endpoints were perioperative outcomes (operative time,
mesh fixation, operative complications, postoperative pain, and hospital stay)
and short-term outcomes (early postoperative complications, early inguinal hernia
recurrence, and days to return to normal activities). RESULTS: After a mean
follow-up of 27 +/- 8 months, a statistically significant difference was found
between the two groups in terms of mean operative time for both unilateral and
bilateral inguinal hernia repair (p = 0.016; p = 0.039) and cosmetic satisfaction
(p = 0.003). CONCLUSION: Perioperative, short-term, and mid-term outcomes were
comparable between the two groups. At 2-year follow-up, a significant shorter
operative time after MTLTEP and a greater cosmetic satisfaction after SILTEP have
been found.
PMID- 29362908
TI - Diagnostic utility of staging abdominal computerized tomography and repeat
endoscopy in detecting localization errors at initial endoscopy in colorectal
cancer.
AB - BACKGROUND: Colonoscopy has a reported localization error rate as high as 21% in
detecting colorectal neoplasms. Preoperative repeat endoscopy has been shown to
be protective against localization errors. There is a paucity of literature
assessing the utility of staging computerized tomography (CT) and repeat
endoscopy as diagnostic tools for detecting localization errors following initial
endoscopy. The objective of this study is to determine the diagnostic
characteristics of staging CT and repeat endoscopy in correcting localization
errors at initial endoscopy. METHODS: A retrospective cohort study was conducted
at a large tertiary academic center between January 2006 and August 2014. All
patients undergoing surgical resection for CRC were identified. Group comparisons
were conducted between (1) patients that underwent only staging CT (staging CT
group), and (2) patients that underwent staging CT and repeat endoscopy (repeat
endoscopy group). The primary outcome was localization error correction rate for
errors at initial endoscopy. RESULTS: 594 patients were identified, 196 (33.0%)
in the repeat endoscopy group, and 398 (77.0%) patients in the staging CT group.
Error rates for each modality were as follows: initial endoscopy 8.8% (95% CI 6.5
11.0), staging CT 9.3% (95% CI 6.5-11.0), and repeat endoscopy 2.6% (95% CI 0.3
4.7); p < 0.01. Repeat endoscopy was superior to staging CT in correcting
localization errors for left-sided / rectal lesions (81.2% vs. 33.3%; p < 0.01),
right-sided lesions (80.0% vs. 54.5%; p = 0.21), and overall lesions (80.8% vs.
42.3%; p < 0.01). Repeat endoscopy compared to staging CT demonstrated relative
risk reduction of 66.7% (95% CI 22-86%), absolute risk reduction of 38.5% (95% CI
14.2-62.8%), and odds ratio of 0.18 (95% CI 0.05-0.61) for correcting errors at
initial endoscopy. CONCLUSIONS: Repeat endoscopy in colorectal cancer is superior
to staging CT as a diagnostic tool for correcting localization-based errors at
initial endoscopy.
PMID- 29362909
TI - Gerald J. Marks, M.D., FACS (1925-), founder of the Society of American
Gastrointestinal Endoscopic Surgeons (SAGES).
AB - This historical vignette describes the professional career of Gerald J. Marks,
the founder of the Society of American Gastrointestinal and Endoscopic Surgeons
and the International Federation of Societies of Endoscopic Surgeons. Dr. Marks
is also the founding Associate Editor of Surgical Endoscopy, which celebrated its
30th anniversary in 2017. Dr. Marks is a renowned colorectal surgeon, an
accomplished watercolor artist, and a fascinating personality.
PMID- 29362910
TI - Roux-En-Y gastric bypass following failed fundoplication.
AB - INTRODUCTION: Roux-En-Y gastric bypass (RYGB) is an alternative to reoperative
fundoplication. The aim of this study was to expand long-term outcomes of
patients undergoing RYGB after failed fundoplication and assess symptom
resolution. METHODS: A single institution prospective study was performed of
patients undergoing fundoplication takedown and RYGB between March 2007 and
September 2016. Demographics, body mass index (BMI), preoperative symptoms,
operative duration and findings, and postoperative outcomes were recorded. Data
were assessed using standard statistical methods. RESULTS: 87 patients with
failed antireflux surgery underwent RYGB. Median age 58 years (range 25-79
years). Median preoperative BMI 32.4 kg/m2 (range 21.6-50.6 kg/m2). Comorbidities
included hypertension (48.3%) and diabetes (11.5%). Sixty-six patients had
undergone 1 prior fundoplication, 18 had 2 prior fundoplications, and 3 had 3
prior fundoplications. At least one previous open antireflux procedure had been
performed in 16.1% of patients. The most common recurrent symptoms were reflux
(85.1%), dysphagia (36.7%), pain (35.6%), and regurgitation (29.9%). Median
symptom-free interval from last antireflux surgery was 3 years (range 0-25
years). RYGB was performed laparoscopically in 47.1% of cases, robotically in
44.8% of cases, and open in 5.9%. Operative duration was longer in the robotic
group (p = 0.04). During RYGB, 85.1% patients were found to have an associated
hiatal hernia, 34.5% had intrathoracic migration of the fundoplication, 32.2% a
slipped fundoplication onto proximal stomach, and 13.8% had wrap disruption.
Median length of stay (LOS) was 4 days (range 1-33 days). Median follow-up was
35.8 months, 11 patients (12.6%) had recurrent reflux symptoms. Excess body
weight loss (%EWL) was 80.4%. There was no mortality but 8 patients required
reoperation during follow-up. CONCLUSIONS: Fundoplication takedown with RYGB was
successful for long-term reflux resolution. Most can be performed via a minimally
invasive approach with acceptable perioperative morbidity, symptom resolution,
and the additional benefit of %EWL.
PMID- 29362911
TI - Use of a novel technique to manage gastrointestinal leaks with endoluminal
negative pressure: a single institution experience.
AB - BACKGROUND: Perforations and anastomotic leaks of the gastrointestinal tract are
severe complications, which carry high morbidity and mortality and management of
these is a multi-disciplinary challenge. The use of endoluminal vacuum (EVAC)
therapy has recently proven to be a useful technique to manage these
complications. We report our institution's experience with this novel technique
in the chest, abdomen, and pelvis. METHODS: This is a retrospective review of an
IRB approved registry of all EVAC therapy patients from July 2013 to December
2016. A total of 55 patients were examined and 49 patients were eligible for
inclusion: 15 esophageal, 21 gastric, 3 small bowel, and 10 colorectal defects.
The primary endpoint was closure rate of the GI tract defect with EVAC therapy.
RESULTS: Fifteen (100%) esophageal defects closed with EVAC therapy. Mean
duration of therapy was 27 days consisting of an average of 6 endosponge changes
every 4.8 days. Eighteen (86%) gastric defects closed with EVAC therapy. Mean
duration of therapy was 38 days with a mean of 9 endosponge changes every 5.3
days. Three (100%) small bowel defects closed with EVAC therapy. Mean duration of
therapy was 13.7 days with a mean of 2.7 endosponge changes every 4.4 days. Six
(60%) colorectal defects closed with EVAC therapy. Mean duration of therapy was
23.2 days, consisting of a mean of 6 endosponge changes every 4.0 days. There
were two deaths, which were not directly related to EVAC therapy and occurred
outside the measured 30-day mortality. CONCLUSION: Our experience demonstrates
that EVAC therapy is feasible and effective for the management of
gastrointestinal perforations/leaks throughout the GI tract and can be considered
as a safe alternative to surgical intervention in select cases.
PMID- 29362912
TI - Minimally invasive colorectal surgery in the morbid obese: does size really
matter?
AB - BACKGROUND: As obesity becomes more prevalent, it presents a technical challenge
for minimally invasive colorectal resection surgery. Various studies have
examined the clinical outcomes of obese surgical patients. However, morbidly
obese patients (BMI >= 35) are becoming increasingly more common. This study aims
to investigate the short-term surgical outcomes of morbidly obese patients
undergoing minimal-invasive colorectal surgery and compare them with both obese
(30 <= BMI < 35) and non-obese patients (BMI < 30). METHODS: Patients from three
centres who received minimally invasive colorectal surgical resections between
2006 and 2016 were identified from prospectively collected databases. The
baseline characteristics and surgical outcomes of morbidly obese, obese and non
obese patients were analysed. RESULTS: A total of 1386 patients were identified,
84 (6%) morbidly obese, 246 (18%) obese and 1056 (76%) non-obese. Patients'
baseline characteristics were similar for age, operating surgeon, surgical
approach but differed in terms of ASA grade and gender. There was no difference
in conversion rate, length of stay, anastomotic leak rate and 30-day readmission,
reoperation and mortality rates. Operation time and blood loss were different
across the 3 groups (morbidly obese vs obese vs non-obese: 185 vs 188 vs 170 min,
p = 0.000; 20 vs 20 vs 10 ml, p = 0.003). In patients with malignant disease
there was no difference in lymph node yield or R0 clearance. Univariate and
multivariate linear regression analysis showed that for every one-unit increase
in BMI operative time increases by roughly 2 min (univariate 2.243, 95% CI 1.524
2.962; multivariate 2.295; 95% CI 1.554-3.036). Univariate and multivariate
binary logistic regression analyses showed that BMI does not affect conversion or
morbidity and mortality. CONCLUSIONS: The increased technical difficulty
encountered in obese and morbidly obese patients in minimally invasive colorectal
surgery results in higher operative times and blood loss, although this is not
clinically significant. However, conversion rate and post-operative short-term
outcomes are similar between morbidly obese, obese and non-obese patients.
PMID- 29362913
TI - Spatial and temporal patterns of microclimates at an urban forest edge and their
management implications.
AB - Fragmented forests generate a variety of forest edges, leading to microclimates
in the edge zones that differ from those in the forest interior. Understanding
microclimatic variation is an important consideration for managers because it
helps when making decisions about how to restrict the extent of edge effects.
Thus, our study attempted to characterize the changing microclimate features at
an urban forest edge located on Mt. Gwanak, Seoul, South Korea. We examined edge
effects on air temperature, relative humidity, soil temperature, soil moisture,
and photosynthetically active radiation (PAR) during the hottest three
consecutive days in August 2016. Results showed that each variable responded
differently to the edge effects. This urban forest edge had an effect on temporal
changes at a diurnal scale in all microclimate variables, except soil moisture.
In addition, all variables except relative humidity were significantly influenced
by the edge effect up to 15 m inward from the forest boundary. The relative
humidity fluctuated the most and showed the deepest extent of the edge effect.
Moreover, the edge widths calculated from the relative humidity and air
temperature both peaked in the late afternoon (16:00 h). Our findings provide a
reference for forest managers in designing urban forest zones and will contribute
to the conservation of fragmented forests in urban areas.
PMID- 29362914
TI - Dysfunctional Wound Healing in Diabetic Foot Ulcers: New Crossroads.
AB - PURPOSE OF REVIEW: Diabetic foot ulcerations (DFU) affect 25% of patients with
diabetes mellitus during their lifetime and constitute a major health problem as
they are often recalcitrant to healing due to a constellation of both intrinsic
and extrinsic factors. The purpose of this review is to (1) detail the current
mechanistic understanding of DFU formation and (2) highlight future therapeutic
targets. RECENT FINDINGS: From a molecular perspective, DFUs exhibit a chronic
inflammatory predisposition. In addition, increased local hypoxic conditions and
impaired cellular responses to hypoxia are pathogenic factors that contribute to
delayed wound healing. Finally, recent evidence suggests a role for epigenetic
alterations, including microRNAs, in delayed DFU healing due to the complex
interplay between genes and the environment. In this regard, notable progress has
been made in the molecular and genetic understanding of DFU formation. However,
further studies are needed to translate preclinical investigations into clinical
therapies.
PMID- 29362915
TI - Altered expression of HER-2 and the mismatch repair genes MLH1 and MSH2 predicts
the outcome of T1 high-grade bladder cancer.
AB - PURPOSE: The identification of factors predicting the outcome of stage T1 high
grade bladder cancer (BC) is a major clinical issue. METHODS: We performed
immunohistochemistry to assess the role of human epidermal growth factor receptor
2 (HER-2) and microsatellite instability (MSI) factors MutL homologue 1 (MLH1)
and MutS homologue 2 (MSH2) in predicting recurrence and progression of T1 high
grade BCs having undergone transurethral resection of bladder tumor (TURBT) alone
or TURBT + intravesical instillations of bacillus Calmette-Guerin (BCG). RESULTS:
HER-2 overexpression was a significant predictor of disease-free survival (DFS)
in the overall as well as in the two patients' population; as for progression
free survival (PFS), it was significant in the overall but not in the two
patients' population. MLH1 was an independent predictor of PFS only in patients
treated with BCG and MSH2 failed to predict DFS and PFS in all populations. Most
importantly, the higher the number of altered markers the lowers the DFS and PFS.
In multivariate Cox proportional-hazards regression analysis, the number of
altered molecular markers and BCG treatment were significant predictors (p =
0.0004 and 0.0283, respectively) of DFS, whereas the number of altered molecular
markers was the only significant predictor (p = 0.0054) of PFS. CONCLUSIONS:
Altered expression of the proto-oncogene HER-2 and the two molecular markers of
genetic instability MLH1 and MSH2 predicted T1 high-grade BC outcome with the
higher the number of altered markers the lower the DFS and PFS. These findings
provide grounds for further testing them in predicting the outcome of this
challenging disease.
PMID- 29362916
TI - Decision tree analysis to stratify risk of de novo non-melanoma skin cancer
following liver transplantation.
AB - PURPOSE: Non-melanoma skin cancer (NMSC) is the most common de novo malignancy in
liver transplant (LT) recipients; it behaves more aggressively and it increases
mortality. We used decision tree analysis to develop a tool to stratify and
quantify risk of NMSC in LT recipients. METHODS: We performed Cox regression
analysis to identify which predictive variables to enter into the decision tree
analysis. Data were from the Organ Procurement Transplant Network (OPTN) STAR
files of September 2016 (n = 102984). RESULTS: NMSC developed in 4556 of the
105984 recipients, a mean of 5.6 years after transplant. The 5/10/20-year rates
of NMSC were 2.9/6.3/13.5%, respectively. Cox regression identified male gender,
Caucasian race, age, body mass index (BMI) at LT, and sirolimus use as key
predictive or protective factors for NMSC. These factors were entered into a
decision tree analysis. The final tree stratified non-Caucasians as low risk
(0.8%), and Caucasian males > 47 years, BMI < 40 who did not receive sirolimus,
as high risk (7.3% cumulative incidence of NMSC). The predictions in the
derivation set were almost identical to those in the validation set (r2 = 0.971,
p < 0.0001). Cumulative incidence of NMSC in low, moderate and high risk groups
at 5/10/20 year was 0.5/1.2/3.3, 2.1/4.8/11.7 and 5.6/11.6/23.1% (p < 0.0001).
CONCLUSIONS: The decision tree model accurately stratifies the risk of developing
NMSC in the long-term after LT.
PMID- 29362917
TI - Transforming growth factor beta 1 (TGFbeta1) polymorphisms and haplotype
structures have dual roles in breast cancer pathogenesis.
AB - PURPOSE: Despite the documented dual role of TGFbeta1 in breast cancer (BC)
pathogenesis, the subtype-specific influences of its polymorphisms remain
undocumented. The present study investigated the effects of the TGFB1 promoter
region (rs1800468 or G-800A and rs1800469 or C-509T) and signal peptide
(rs1800470 or C29T and rs1800471 or G74C) single nucleotide polymorphisms (SNPs)
and their haplotype structures on the susceptibility and clinicopathological
presentation of BC subtypes. METHODS: TGFB1 genotypes were assessed by PCR-RFLP
and haplotype structures were inferred for 323 BC patients and 405 neoplasia-free
women, and case-control analyses were performed by logistic regression adjusted
by age. Clinicopathological parameters (age at diagnosis, tumor size,
histopathological grade, lymph node metastasis, proliferation index and disease
stage) were tested for correlation with TGFB1 variants. All statistical analyses
were two-tailed with an alpha level of 0.05. RESULTS: Variants related to
increased TGFbeta1 production (C-509T SNP and GTCG haplotype) were associated
with increased susceptibility to HER2+ tumors and correlated with worse
prognostic parameters in HER2+ and triple-negative (TN) BCs, but correlated
negatively to Ki67 in ER/PR+HER2- tumors. Conversely, low TGFbeta1 production
variants (C29T SNP and GCTG haplotype) were protective against HER2+ tumors and
correlated negatively with prognostic parameters in HER2+ and TN BCs, while
indicating higher proliferation rates in ER/PR+HER2- tumors. Furthermore, the
GCCG haplotype was associated with decreased susceptibility to ER/PR+HER2-
tumors, but correlated positively with Ki67 in this subgroup. CONCLUSION: The
present study indicates that TGFB1 variants have subtype-specific roles in BC and
may switch from tumor suppressor to promoter during tumor development, consistent
with TGFbeta1 dual role in BC pathogenesis.
PMID- 29362918
TI - Clinical characteristics and outcomes of cancer patients requiring intensive care
unit admission: a prospective study.
AB - PURPOSE: The study was aimed to describe the characteristics of cancer patients
admitted to the oncological ICU and to identify clinical features associated with
outcomes. METHODS: This is a prospective study (January 2014 to December 2015) of
522 cancer patients consecutively admitted to the oncological ICU. Patients with
a length of oncological ICU stay <= 1 day were excluded. Demographic and clinical
variables were obtained at oncological ICU admission. The primary outcome of
interest was hospital mortality. Logistic regression analysis was performed to
identify independent risk factors for hospital mortality. RESULTS: The study
cohort consisted of 492 (94.3%) patients with solid tumours and 30 patients
(5.7%) with haematological malignancies. Advanced cancer was observed in 53.3%.
Unplanned admission accounted for 25.3%. Hospital mortality rate was 13.0% (n =
68), and it was higher for patients with unplanned admission than those for
electively admitted patients (35.6% vs. 5.4; p < 0.0001). Stage IV of cancer (OR
5.28; 95% CI 2.71-10.28; p < 0.0001), patients from the emergency department (OR
3.33; 95% CI 1.68-6.61; p = 0.001), unplanned admission (OR 7.99; 95% CI 4.45
14.33; p < 0.0001), non-malignancy-related admission (OR 5.80; 95% CI 3.26-10.32;
p < 0.0001), sepsis (OR 4.81; 95% CI 2.28-10.16; p < 0.0001), chemotherapy
induced adverse event (OR 5.64; 95% CI 2.33-13.66; p < 0.0001), and invasive
mechanical ventilation (OR 18.70; 95% CI 9.93-35.21; p < 0.0001) were
independently associated with increased hospital mortality in multivariate
logistic regression analysis. CONCLUSIONS: ICU admission of cancer patients
should be based on potential chance of recovering from the acute problem.
Clinical predictor for mortality could support this purpose (UIN:
researchregistry3484).
PMID- 29362919
TI - Proliferation-associated miRNAs-494, -205, -21 and -126 detected by in situ
hybridization: expression and prognostic potential in breast carcinoma patients.
AB - PURPOSE: To visualize by in situ hybridization (ISH) the levels of a set of
proliferation-associated miRNAs and to evaluate their impact and clinical
applicability in prognostication of invasive breast carcinoma. METHODS: Tissue
specimen from breast carcinoma patients were investigated for miRNAs-494, -205,
21 and -126. Prognostic associations for levels of miRNAs were analyzed based on
complete clinical data and up to 22.5-year follow-up of the patient material (n =
285). For detection of the miRNAs, an automated sensitive protocol applying in
situ hybridization was developed. RESULTS: MiRNA-494 indicated prognostic value
for patients with invasive breast carcinoma. Among node-negative disease reduced
level of miRNA-494 predicted 8.5-fold risk of breast cancer death (p = 0.04).
Altered levels and expression patterns of the studied miRNAs were observed in
breast carcinomas as compared to benign breast tissue. CONCLUSIONS: The present
paper reports for the first time on the prognostic value of miRNA-494 in invasive
breast cancer. Particularly, detection of miRNA-494 could benefit patients with
node-negative breast cancer in identifying subgroups with aggressive disease.
Based on our experience, the developed automatic ISH method to visualize altered
levels of miRNAs-494, -205, -21 and -126 could be applied to routine pathology
diagnostics providing that conditions of tissue treatment, especially fixation
delays, are managed.
PMID- 29362920
TI - Leptomeningeal Metastases.
AB - OPINION STATEMENT: Treatment options for leptomeningeal metastases are expanding
with greater tolerability and efficacy than in the past. Improved knowledge of
molecular subtypes of some cancers can guide in choosing more effective
therapeutic options; however, physicians should be mindful that these molecular
types can be different in the central nervous system compared to the rest of the
body. This is particularly true in breast and lung cancer, in which some patients
now can live for many months or even years after diagnosis of leptomeningeal
metastases. Options for intrathecal therapies are expanding, but physicians
should be mindful that this is a passive delivery system that relies on normal
CSF flow, so therapies will not penetrate bulky or parenchymal disease sites,
especially in the presence of abnormal CSF flow. When chemotherapeutic options
are lacking or unsuccessful, focal radiosurgery which can provide symptomatic
relief and proton craniospinal radiation remain effective options. Hopefully more
formal studies will be conducted in the future to verify which treatments are
indeed most effective for particular types of cancer.
PMID- 29362921
TI - Cloning, Expression, and Biochemical Characterization of Two New Oligoalginate
Lyases with Synergistic Degradation Capability.
AB - Alginate, the most abundant carbohydrate presents in brown macroalgae, has
recently gained increasing attention as an alternative biomass for the production
of biofuel. Oligoalginate lyases catalyze the degradation of alginate oligomers
into monomers, a prerequisite for bioethanol production. In this study, two new
oligoalginate lyase genes, oalC6 and oalC17, were cloned from Cellulophaga sp.
SY116, and expressed them in Escherichia coli. The deduced oligoalginate lyases,
OalC6 and OalC17, belonged to the polysaccharide lyase (PL) family 6 and 17,
respectively. Both showed less than 50% amino acid identity with all of the
characterized oligoalginate lyases. Moreover, OalC6 and OalC17 could degrade both
alginate polymers and oligomers into monomers in an exolytic mode. Substrate
specificity studies demonstrated that OalC6 preferred alpha-L-guluronate (polyG)
blocks, while OalC17 preferred poly beta-D-mannuronate (polyM) blocks. The
combination of OalC6 and OalC17 showed synergistic degradation ability toward
both alginate polymers and oligomers. Finally, an efficient process for the
production of alginate monomers was established by combining the new-isolated
exotype alginate lyases (i.e., OalC6 and OalC17) and the endotype alginate lyase
AlySY08. Overall, our work provides new insights for the development of novel
biotechnologies for biofuel production from seaweed.
PMID- 29362923
TI - Evaluation of an interdisciplinary palliative care inhouse training for
professionals in gynecological oncology.
AB - PURPOSE: The aim of this study was to evaluate the effect of a pilot
interdisciplinary inhouse training in palliative care (PC) for gynecological
oncologists. METHODS: Competencies of participants from a gynecological
university department were evaluated taking part in an interdisciplinary PC
course in a pre and post design. The multiprofessional course covered basic
principles of PC, symptom management and communication taught by PC specialists.
Competencies were evaluated using self-designed questionnaires before (ISPG-1),
right after (ISPG-2), and 6 months after the training (ISPG-3) (inhouse seminar
palliative care in gynecology: ISPG). RESULTS: 31 persons from the department of
gynecology took part in the course, of which 27 answered the first questionnaire
(seven nurses (26%), 19 doctors (71%), one profession not indicated (3%), median
working experience in gynecological oncology: 5 years). Return rates were: ISPG-1
27/31 (87.1%), ISPG-2 20/31 (64.5%) and IPSG-3 14/31 (45.2%). A more positive
attitude towards PC could be observed in the majority of participants after the
course (ISPG-2 62%, ISPG-3 71%). They felt more competent in the care of
palliative patients (46%). PC would be initiated earlier and the interaction with
other disciplines was improved (ISPG-2 85%, ISPG-3 100%). The participants
assessed a significant improvement of their skills in all palliative fields which
were analyzed. CONCLUSION: PC inhouse training improves the understanding of PC
and the interdisciplinary approach in the management of patients with advanced
disease. It is a feasible and useful instrument to improve the competencies in
generalist PC of specialists in gynecological oncology.
PMID- 29362922
TI - Prognostic and clinicopathological significance of PD-L1 in patients with renal
cell carcinoma: a meta-analysis based on 1863 individuals.
AB - The prognostic significance of PD-L1 in renal cell carcinoma (RCC) had been
investigated in previous studies; however, the results remain controversial. The
primary aim of this meta-analysis was to investigate the prognostic and
clinicopathological significance of the PD-L1 expression in patients with RCC.
Relevant literature was identified form PubMed, Embase, Web of Science and
Cochrane library, which compared the prognostic significance between PD-L1
expression and RCC. Hazard ratios (HRs) for survival outcomes and odds ratios
(ORs) for clinical parameters associated with PD-L1 were extracted from eligible
studies. Heterogeneity was assessed using the I2 value. The fixed-effects model
was used if there was no evidence of heterogeneity; otherwise, the random-effects
model was used. Publication bias was evaluated using Begg's funnel plots and
Egger's regression test. A total of 1863 patients from ten eligible studies were
analyzed. The results showed that PD-L1 expression is associated with poor
overall survival in clear cell RCC (ccRCC) (HR = 2.76, 95%CI: 2.25-3.38, I2 =
14.4%, P < 0.001) and non-clear cell RCC (non-ccRCC) (HR = 2.77, 95%CI: 1.62
4.72, I2 = 28.8%, P < 0.001). In addition, PD-L1 expression was found to be
significantly associated with primary tumor stage (OR = 1.76, 95%CI: 1.39-2.23;
I2 = 56.3%), regional lymph node involvement (OR = 2.10, 95%CI: 1.48-2.98; I2 =
14.9%), distant metastases (OR = 2.69, 95%CI: 2.05-3.54; I2 = 0.0%), nuclear
grade (OR = 1.72, 95%CI: 1.32-2.23; I2 = 79.4%) and histologic tumor necrosis (OR
= 2.25, 95%CI: 1.59-3.18; I2 = 66.1%) in patients with RCC. The outcome stability
was confirmed by sensitivity analysis. Both the Begg's funnel plot test (P =
0.276) and the Egger's (P = 0.388) verified that there was no publication bias
within the included studies. This study suggests that PD-L1 expression is
correlated with poor prognosis and advanced clinicopathological features in RCC
patients.
PMID- 29362924
TI - Ovarian conservation is associated with better survival in young patients with
T1N0M0 cervical adenocarcinoma: a population-based study.
AB - PURPOSE: Ovarian conservation is controversial in patients with cervical
adenocarcinoma due to the risk of ovarian metastasis. The aim of this study is to
evaluate the association of ovarian conservation with survival outcomes in young
patients with T1N0M0 cervical adenocarcinoma. METHODS: Women who were 45 years of
age or younger with T1N0M0 cervical adenocarcinoma from 1988 to 2013 recorded in
the Surveillance, Epidemiology, and End Results (SEER) database were included.
Propensity score weighting was used to balance the intragroup differences. Cause
specific survival (CSS) and overall survival (OS) were compared using Kaplan
Meier estimates. A multivariate Cox model was used to adjust for covariates
including propensity score. A stratified analysis was then conducted. RESULTS:
Totally, 1090 (79.7%) patients underwent oophorectomy and 278 (20.3%) patients
whose ovaries were preserved were identified. Patients with preserved ovaries
were younger, with a lower T classification and less likely to undergo pelvic
lymphadenectomy (all p < 0.05). After propensity weighting, ovarian conservation
group had better cause-specific survival (CSS) (5-year 98.8 versus 97.1%, 10-year
98.0 versus 95.2%, p = 0.0370) and overall survival (OS) (5-year 98.8 versus
97.1%, 10-year 96.5 versus 93.5%, p = 0.0025). After adjustment, the CSS benefit
of ovarian conservation was marginally significant (p = 0.051) and OS benefit was
still significant (p = 0.006). Stratified analysis showed that the CSS benefit
was found in T1b classification (HR, 0.23; 95% CI 0.06-0.89, p = 0.033) and
histological grade > 1 (HR 0.12; 95% CI 0.02-0.87; p = 0.035). CONCLUSION: Among
young women with T1N0M0 cervical adenocarcinoma, ovarian conservation is
associated with better survival.
PMID- 29362925
TI - A prospective randomized experimental study to investigate the peritoneal
adhesion formation after waterjet injection and argon plasma coagulation
(HybridAPC) in a rat model.
AB - BACKGROUND: This prospective, randomized, controlled, single-blinded study
investigates the peritoneal adhesion formation of HybridAPC (waterjet elevation
of the peritoneum with subsequent argon plasma coagulation) versus only waterjet
(elevation with the same instrument, but without subsequent argon plasma
coagulation) in a rat model (24 female Wistar rats). MATERIALS AND METHODS:
Bilateral lesions were created on the abdominal wall with HybridAPC on one
sidewall and waterjet elevation on the other sidewall of the peritoneum in a
standard fashion. After 10 days, the rats were euthanized to evaluate the
peritoneal trauma sites. MAIN OUTCOME MEASURE(S): Adhesion incidence, quantity,
and quality were scored 10 days postoperatively and studied histopathologically.
RESULT(S): Incidence of adhesion formation was 2.3% for HybridAPC; no adhesions
occurred for peritoneal elevation with saline (p = 1.00). Histologic evaluation
revealed no acute inflammation in both groups. An overall moderate degree of
granulation tissue formation and myonecrosis was observed in the HybridAPC group,
whereas no chronic inflammation and myonecrosis occurred after elevation without
thermal ablation (p < 0.0001). CONCLUSION(S): This study investigates the effect
of waterjet elevation of the peritoneum with and without subsequent thermal
ablation on adhesion formation in a rat model for the first time. Peritoneal
waterjet elevation with saline does not provide any risk of adhesion formation.
Thermal coagulation with APC after waterjet elevation of the peritoneum creates
advantageous peritoneal conditions due to a permanent moist tissue surface and
the cooling effect of the injected solution, resulting in no significant
difference in adhesion formation compared to peritoneal elevation without thermal
ablation. HybridAPC can thus be regarded as a beneficial coagulation method with
only minor adhesion formation due to positive tissue effects of the combined
waterjet.
PMID- 29362926
TI - Incidence of echogenic amniotic fluid at term pregnancy and its association with
meconium.
AB - PURPOSE: The presence of echogenic amniotic fluid at term gestation on sonography
is uncommon. The aim of our study was to investigate the incidence of echogenic
amniotic fluid at term pregnancy, and to determine how often echogenic amniotic
fluid was associated with meconium. METHODS: All singleton pregnant women at term
who were admitted to our labor unit and who delivered within 24 h of the
ultrasound scan were included in the study. For each woman, gestational age,
maternal age, gravidity, parity, the character of the amniotic fluid on
ultrasound at admission (clear or echogenic), birth weight, and the character of
the amniotic fluid on artificial or spontaneous rupture of membranes or on
cesarean section (clear/with vernix/meconium-stained) were recorded. RESULTS:
When amniotic fluid was assessed on ultrasound, among 278 patients, 9 (3.2%)
patients' amniotic fluid was echogenic. When the amniotic fluid was assessed at
delivery, the rates of meconium-stained amniotic fluid in women with and without
echogenic amniotic fluid were 44.44% (4/9) and 9.3% (25/269), respectively; the
difference was statistically significant (p = 0.035). We found a sensitivity and
specificity of 13.79 and 97.99%, and a positive and negative predictive value of
44.44 and 90.7%, respectively, for echogenic amniotic fluid seen on ultrasound in
identifying meconium-stained amniotic fluid. CONCLUSIONS: The incidence of
echogenic amniotic fluid at term gestation was found as 3.2 and 44.4% of cases of
echogenic amniotic fluid was associated with meconium.
PMID- 29362927
TI - Endoscopic ultrasonography (EUS)-guided laser ablation (LA) of adrenal metastasis
from pancreatic adenocarcinoma.
AB - Endoscopic ultrasonography (EUS)-guided laser ablation (LA) is potentially
applicable to tumours in the left lobe of the liver and pancreas. This report
seeks to introduce the novel use of EUS-guided LA for left adrenal metastases
from pancreatic adenocarcinoma. A 70-year-old female was referred to our hospital
for dull abdominal pain and tiredness for 1 month. Computed tomography (CT)
discovered a 1.0 * 1.7 cm mass in the area of the left adrenal gland. The lesion
was highly suspicious for metastasis due to her history of pancreatic
adenocarcinoma 1 year ago, which was removed through radical surgery.
Intraoperative frozen-section pathology revealed a tumour invading the left
adrenal gland. The left adrenal mass was clearly visualised on EUS and exhibited
irregular hyper-enhancement during contrast. The tumour was successfully treated
by four sessions of EUS-guided LA. After the procedure, symptomatic relief was
achieved and remained. At the 4-month follow-up, contrast-enhanced CT revealed
that the volume of the target was significantly decreased. Here, we introduced a
new method for left adrenal metastasis using thermal ablation through EUS. As a
potential alternative access to the percutaneous approach, EUS-guided LA may
provide a minimally invasive treatment to relieve the tumour burdens and symptoms
when applied accurately.
PMID- 29362928
TI - Is amalgamated ligno-bupivacaine an answer to complicated minor oral surgical
anesthesia? A randomized split-mouth double-blind clinical trial.
AB - PURPOSE: The purpose of this study was to find a suitable anesthetic combination
for complicated and protracted minor oral surgical procedures. METHODS: Fifty
patients with bilaterally impacted deep-seated mandibular third molars were
included in this study and randomly divided on the basis of anesthetic used into
two groups. Group A received 2% lignocaine with 1:200,000 adrenaline while in
group B, amalgamated mixture of 2% lignocaine and 0.5% bupivacaine was used. The
onset time, duration of anesthetic effect, supplementary injections, pain (during
local anesthetic deposition, intra and postoperatively), and postoperative
analgesia were the study parameters. Chi-square and unpaired t tests were used to
compare means. RESULTS: The onset time in both the groups was comparable and
showed statistically significant difference between the duration of anesthetic
effect with notable requirement of supplemental anesthetic injections in group A
(54%) (p < 0.05). Pain scores also revealed a statistically significant
intergroup difference (p < 0.05). Requirement of postoperative analgesics was
delayed in group B. CONCLUSIONS: The amalgamated mixture of lignocaine and
bupivacaine had equivocally rational onset and provided a more profound and in
depth anesthesia especially in complicated and protracted minor oral surgical
procedures. Though this mixture is widely used in other surgical fields, its
efficacy still remains unexploited and undocumented in oral and maxillofacial
surgical procedures.
PMID- 29362929
TI - Does the efficacy of parent-child training depend on maternal symptom
improvement? Results from a randomized controlled trial on children and mothers
both affected by attention-deficit/hyperactivity disorder (ADHD).
AB - Multimodal treatment of children with ADHD often includes parent-child training
(PCT). However, due to the high heritability, parents of children with ADHD are
frequently also affected by the disorder, which is likely to constitute a
significant barrier to successful treatment of the child. This secondary analysis
of our randomized controlled multicentre AIMAC trial (ADHD in mothers and
children) investigates whether children's outcomes following parent-child
training in combination with maternal ADHD treatment depend on maternal symptom
improvement. In a first step focusing on treatment of maternal ADHD, 144 mothers
of mother-child dyads were randomized to multimodal ADHD treatment (group
psychotherapy plus methylphenidate) or clinical management (mainly supportive
counselling). After 12 weeks (T2), a 12-week PCT program (T2-T3) for all mother
child dyads was added to treat children's ADHD. Maternal symptomatology (CAARS
O:L; SCL-90-R) and children's externalizing symptoms (ADHD-ODD Scale, SDQ) were
repeatedly assessed (T1 = baseline, T2, T3). Effects of changes in maternal
symptomatology (T1-T2) on the change in children's symptom scores (T1-T3) were
analysed using a general linear model, controlling for baseline scores, study
centre, and maternal treatment group. 125 mother-child dyads were analysed.
Mothers showed significant improvements in ADHD symptoms and overall
psychopathology [CAARS-O:L ADHD index: mean - 3.54, SE 0.74 p < 0.0001; SCL-90-R
Global Severity (GS): mean - 11.03, SE 3.90, p = 0.0056]. Although children's
externalizing symptoms improved significantly (ADHD-ODD Scale: mean - 4.46, SE
0.58, p < 0.0001), maternal improvement had no effect on children's outcomes
after Bonferroni-Holm correction for multiple testing. The findings do not
support our hypothesis that children's outcomes following PCT for ADHD depend on
maternal symptom improvements.Trial register CCT-ISRCTN73911400.
PMID- 29362930
TI - Molecular prevalence of bovine noroviruses and neboviruses in newborn calves in
Iran.
AB - In this study, bovine enteric caliciviruses (BECs) were detected in 49.4% of a
total of 253 stool specimens for diarrheic calves collected from 42 industrial
dairy farms from March 2010 to February 2012. Genogroup III norovirus (NoVsGIII)
were more prevalent (39.5%) than neboviruses (NBs) (15%), and coinfections were
observed in 5.1% of the samples tested. Sequence analysis of the partial
polymerase gene from 13 NoVsGIII samples indicated the circulation of both
genotype 1 and genotype 2 strains. Among the six NB strains sequenced, five were
related to the Bo/Nebraska/80/US strain, while one was related to the
Bo/Newbury1/76/UK strain.
PMID- 29362931
TI - Immunoregulation of Theiler's virus-induced demyelinating disease by glatiramer
acetate without suppression of antiviral immune responses.
AB - While most disease-modifying drugs (DMDs) regulate multiple sclerosis (MS) by
suppressing inflammation, they can potentially suppress antiviral immunity,
causing progressive multifocal leukoencephalopathy (PML). The DMD glatiramer
acetate (GA) has been used for MS patients who are at high risk of PML. We
investigated whether GA is safe for use in viral infections by using a model of
MS induced by infection with Theiler's murine encephalomyelitis virus (TMEV).
Treatment of TMEV-infected mice with GA neither enhanced viral loads nor
suppressed antiviral immune responses, while it resulted in an increase in the
Foxp3/Il17a ratio and IL-4/IL-10 production. This is the first study to suggest
that GA could be safe for MS patients with a proven viral infection.
PMID- 29362932
TI - Increased rate of ventriculostomy-related hemorrhage following endovascular
treatment of ruptured aneurysms compared to clipping.
AB - OBJECTIVE: Acutely ruptured aneurysms can be treated by endovascular intervention
or via surgery (clipping). After endovascular treatment, the risk of
thromboembolic complications is reduced by the use of anticoagulative agents,
which is not required after clipping. The aim of the study is to investigate the
rate of ventriculostomy-related hemorrhage after endovascular treatment and
clipping. METHODS: A consecutive series of 99 patients treated for a ruptured
aneurysm which required an external ventricular drainage between 2010 and 2015
were included. Their CT scans were investigated retrospectively for
ventriculostomy-related hemorrhage. Furthermore, the extent of bleeding, the rate
of revision surgery, and the rate of bacterial ventriculitis have been analyzed.
RESULTS: Ventriculostomy-related hemorrhage was observed in 20 of 45 patients
after endovascular treatment compared to 7 of 54 patients after clipping (chi
squared test, p < 0.001). Revision surgery was indicated in 75%. In 50% of these
patients, revision surgery was required more than once and nearly 50% developed
additional cerebral infections. Intraventricular or intracerebral extension of
the bleeding was observed only in the endovascular treatment group (chi-squared
test, p = 0.003). Glasgow outcome scale showed a significant better outcome in
the surgical group (t test, p = 0.005). CONCLUSIONS: Ventriculostomy-related
hemorrhage is an underestimated complication after endovascular treatment leading
to revision surgeries, bacterial infections, and may have a negative impact on
long-term outcome. The probability of occurrence is increased when
anticoagulation is performed by heparin in combination with antiplatelet drugs as
compared to heparin alone. Lumbar drainage should be considered as an alternative
for treatment of acute hydrocephalus in patients with Hunt and Hess grade 1-3.
PMID- 29362933
TI - Supplementary motor area syndrome after surgery for parasagittal meningiomas.
AB - BACKGROUND: Resection within the supplementary motor area (SMA) may be
accompanied by dramatic motor deficits and speech arrest when the dominant
hemisphere is involved, termed the SMA syndrome. Typically, the muscle tone of
the paralyzed extremities is preserved, and in most cases, a complete or near
complete recovery is seen within a few months. The SMA syndrome has not been
recognized for extra-axial tumor surgery in approximation of the SMA. METHODS: We
observed the SMA syndrome in a patient operated for a parasagittal meningioma in
the posterior frontal region, and this observation intrigued us to prospectively
collect similar cases. RESULTS: In the period from January 2010 to December 2015,
we observed five patients who developed a partial SMA syndrome after surgery for
frontal parasagittal meningiomas. The muscle tone was preserved in the affected
extremities. All patients experienced improvement in motor function within a few
days, and on follow-up, three out of five patients had recovered completely.
Three of the patients had meningioma WHO grade II. CONCLUSIONS: Surgically
induced SMA syndrome can easily be confused with pyramidal weakness. This series
of cases demonstrate that the syndrome may also develop after removal of extra
axial tumors and is probably underdiagnosed and underreported. The good
functional prognosis is helpful in the preoperative counseling and follow-up of
these patients.
PMID- 29362934
TI - Effect of heat stress on contractility of tissue-engineered artificial skeletal
muscle.
AB - The effects of heat stress on tissue like skeletal muscle have been widely
studied. However, the mechanism responsible for the effect of heat stress is
still unclear. A useful experimental tissue model is necessary because muscle
function in cell culture may differ from native muscle and measuring its
contractility is difficult. We previously reported three-dimensional tissue
engineered artificial skeletal muscle (TEM) that can be easily set in a
measurement apparatus for quantitative evaluation of contractility. We have now
applied TEM to the investigation of heat stress. We analyzed contractility
immediately after thermal exposure at 39 degrees C for 24 or 48 h to evaluate
the acute effects and after thermal exposure followed by normal culture to
evaluate the aftereffects. Peak twitch contractile force and time-to-peak twitch
were used as contractile parameters. Heat stress increased the TCF in the early
stage (1 week) after normal culture; the TCF decreased temporarily in the middle
to late stages (2-3 weeks). These results suggest that heat stress may affect
both myoblast fusion and myotube differentiation in the early stage of TEM
culture, but not myotube maturation in the late stage. The TCF increase rate with
thermal exposure was significantly higher than that without thermal exposure.
Although detailed analysis at the molecular level is necessary for further
investigation, our artificial skeletal muscle may be a promising tool for heat
stress investigation.
PMID- 29362935
TI - Two-Dimensional Spectroscopy at Terahertz Frequencies.
AB - Multidimensional spectroscopy in the visible and infrared spectral ranges has
become a powerful technique to retrieve dynamic correlations and couplings in
wide-ranging systems by utilizing multiple correlated light-matter interactions.
Its extension to the terahertz (THz) regime of the electromagnetic spectrum,
where rich material degrees of freedom reside, however, has been progressing
slowly. This chapter reviews some of the THz-frequency two-dimensional (2D)
spectroscopy techniques and experimental results realized in recent years.
Examples include gas molecule rotations, spin precessions in magnetic systems,
and liquid molecular dynamics studied by 2D THz or hybrid 2D THz-Raman
spectroscopy techniques. The methodology shows promising applications to
different THz-frequency degrees of freedom in various chemical systems and
processes.
PMID- 29362936
TI - Arginine-alpha, beta-dehydrophenylalanine Dipeptide Nanoparticles for pH
Responsive Drug Delivery.
AB - PURPOSE: Nanoparticles (NPs) exhibiting responsiveness towards pH variations in
organs, tissue microenvironments and cellular compartments can significantly add
on to the drug delivery potential. Here, we have developed NPs from an
amphipathic dipeptide, Arginine-alpha, beta-dehydrophenylalanine (RDeltaF), and
tried to explore their pH responsive drug delivery potential in various cancer
cells. METHODS: RDeltaF-NPs were architectured by harnessing the process of
molecular self-assembly followed by the assessment of effect of pH on NPs
morphology using zetasizer, SEM and CD. FTIR and PXRD analysis of the dipeptide
and doxorubicin (Dox) were carried out for compatibility assessment followed by
encapsulation of Dox in RDeltaF-NPs. RDeltaF-Dox-NPs were evaluated for pH
dependent release as well as for in-vitro cellular internalization and efficacy
in cancer cells. RESULTS: RDeltaF self-assembled to form monodispersed particles
at pH 7. SEM analysis revealed a loss of overall particle morphology along with
particle aggregation at highly acidic and basic pH respectively. The NPs
demonstrated a slow and sustained release behaviour at pH 7 (97.64 +/- 4.71%
after 36 h) in comparison to pH 2 (90.27 +/- 1.45% after 8 h) and pH 10 (96.39 +/
3.87% after 12 h). In-vitro efficacy studies carried-out in various cancer cells
revealed that RDeltaF-Dox-NPs exhibited higher efficacy with 1.65, 1.95 and 13.34
fold lower IC50 values in comparison to Dox in C6, HCT-116 and AGS cell lines.
CONCLUSIONS: RDeltaF-Dox-NPs with higher drug release at acidic pH, enhanced
internalization in cancer cells along with higher cytotoxic potential can act as
effective pH responsive drug delivery systems.
PMID- 29362937
TI - Relapse rate and predictors of relapse in a large single center cohort of type 1
autoimmune pancreatitis: long-term follow-up results after steroid therapy with
short-duration maintenance treatment.
AB - BACKGROUND: Type 1 autoimmune pancreatitis (AIP), as a pancreatic manifestation
of IgG4-related disease, shows a favorable prognosis in the short term. However,
disease relapse is common in long-term follow-up, despite a successful initial
treatment response. This study aimed to identify the predictors of relapse and
long-term outcomes in patients with type 1 AIP. METHODS: Patients with more than
2 years of follow-up who met the International Consensus Diagnostic Criteria for
type 1 AIP were included. Patients who had undergone pancreatic operations
associated with AIP or who lacked sufficient clinical data were excluded.
RESULTS: All 138 patients achieved clinical remission with initial steroid
therapy, and 66 (47.8%) experienced relapse during a median 60 (range 24-197)
months follow-up. Among the relapsed patients, about 74% (49/66) relapsed within
3 years. About 60% (82/138) had other organ involvement (OOI), most commonly in
the proximal bile duct (26.8%). At first diagnosis, OOI, and especially OOI of
the proximal bile duct, was a significant independent predictor of relapse
(hazard ratio 2.65; 95% confidence interval 1.44-4.89; p = 0.002), according to
multivariate analysis. During the follow-up period, 16 (11.6%) patients
experienced endocrine/exocrine dysfunction and 32 (23.2%) patients developed de
novo pancreatic calcifications/stones. No pancreatic cancer occurred in any
patients. CONCLUSIONS: Type 1 AIP has common relapses, and patients with OOI,
especially OOI of the proximal bile duct, appear to be at increased risk for
relapse. Long-term sequelae, including pancreatic insufficiency and pancreatic
calcifications/stones, are common in patients with relapse. To reduce the
relapse, longer maintenance treatment may be needed especially for patients at
high risk for relapse.
PMID- 29362938
TI - Statin use and pancreatic cancer risk in two prospective cohort studies.
AB - BACKGROUND: Statins, 3-hydroxy-3-methylglutaryl-coenzyme A (HMG-CoA) reductase
inhibitors, are common lipid-lowering agents and may reduce the risk of several
cancer types including pancreatic cancer. However, the association between statin
use and pancreatic cancer risk has not been fully evaluated in prospective
studies. METHODS: We studied the association between statin use and incident
pancreatic cancer in 113,059 participants from the prospective Nurses' Health
Study and Health Professionals Follow-up Study. Statin use was self-reported via
study questionnaires and updated biennially. Hazard ratios (HRs) and 95%
confidence intervals (CIs) for incidence of pancreatic cancer were estimated
using multivariable Cox proportional hazards models with adjustment for potential
confounders. RESULTS: In total, 583 participants developed incident pancreatic
cancer during 1.4 million person-years of follow-up. No difference was identified
in pancreatic cancer risk for regular versus non-regular statin users
(multivariable-adjusted HR 0.98; 95% CI 0.82-1.16). There was no significant
heterogeneity in the association of statin use with pancreatic cancer risk
between the cohorts. Similarly, longer duration of regular statin use was not
associated with decreased risk of pancreatic cancer (Ptrend = 0.65). The results
remained similar when we examined statin use status at baseline or accounting for
4-year latency period. We observed no statistically significant effect
modification for the association of statin use with pancreatic cancer risk by
body mass index, smoking status, or diabetes mellitus status (all Pinteraction >
0.21). CONCLUSIONS: Regular statin use was not associated with pancreatic cancer
risk in two large prospective cohort studies in the U.S.
PMID- 29362939
TI - Human development index, children's health-related quality of life and movement
behaviors: a compositional data analysis.
AB - PURPOSE: Health-related quality of life has been related to physical activity,
sedentary behavior, and sleep among children from developed nations. These
relationships have rarely been assessed in developing nations, nor have behaviors
been considered in their true context, as mutually exclusive and exhaustive parts
of the movement behavior composition. This study aimed to explore whether
children's health-related quality of life is related to their movement behavior
composition and if the relationship differs according to human development index.
METHODS: Children aged 9-11 years (n = 5855), from the 12-nation cross-sectional
observational International Study of Childhood Obesity, Lifestyle and the
Environment 2011-2013, self-reported their health-related quality of life
(KIDSCREEN-10). Daily movement behaviors were from 24-h, 7-day accelerometry.
Isometric log-ratio mixed-effect linear models were used to calculate estimates
for difference in health-related quality of life for the reallocation of time
between daily movement behaviors. RESULTS: Children from countries of higher
human development index reported stronger positive relationships between health
related quality of life and moderate-to-vigorous physical activity, relative to
the remaining behaviors (r = 0.75, p = 0.005) than those from lower human
development index countries. In the very high human development index strata
alone, health-related quality of life was significantly related to the movement
behavior composition (p = 0.005), with moderate-to-vigorous physical activity
(relative to remaining behaviors) being positively associated with health-related
quality of life. CONCLUSIONS: The relationship between children's health-related
quality of life and their movement behaviors is moderated by their country's
human development index. This should be considered when 24-h movement behavior
guidelines are developed for children around the world.
PMID- 29362942
TI - Is Salvage of Recently Infected Breast Implant After Breast Augmentation or
Reconstruction Possible? An Experimental Study.
AB - INTRODUCTION: The reinsertion of an infected implant when peri-prosthetic
infection occurs early after breast augmentation or breast reconstruction remains
controversial. In this experimental study, the authors tried to remove bacteria,
and their biofilm, from the colonized surface of breast prostheses, without
damaging their integrity. MATERIALS AND METHODS: A total of 112 shell samples of
silicone breast prostheses, smooth (SPSS) and textured (TPSS), were colonized by
S. epidermidis (SE) or S. aureus (SA) strains, all able to produce biofilms.
After 15 days, all the samples were removed from the contaminated culture broth
and constituted 4 groups of 20 contaminated samples: SPSS/SE (group I), SPSS/SA
(group II), TPSS/SE (group III), TPSS/SE (group IV). In another group-group SEM-,
16 colonized samples were used for documentation with scanning electron
microscopy (SEM). The remaining 16 samples were used to test the limits of
detection of the sterility test. All samples of groups I-IV and 8 samples of
group SEM were " washed " with a smooth brush in a povidone-iodine bath and
rinsed with saline solution. A subset of the washed samples was sent for SEM and
the others were immersed in sterile broth and were incubated at 35 degrees C for
3 weeks (groups I-IV). RESULTS: Fifteen days after contamination, all the samples
in groups I-IV were colonized. In the SEM group, SEM images attested to the
presence of bacteria in biofilm attached to the shells. After cleaning, SEM did
not reveal any bacteria and there was no visible alteration in the outer
structure of the shell. Sterility tests performed after decontamination in groups
I-IV remained negative for all the samples. CONCLUSION: Breast prostheses
recently contaminated with Staphylococci, frequently involved in peri-prosthetic
breast implant infection and capable of producing biofilms, can be efficiently
decontaminated by the procedure used in this study. Our decontamination procedure
did not alter the surface structure of the prostheses. This decontamination
procedure could allow reinsertion of an infected implant when peri-prosthetic
infection occurs early after breast augmentation or breast reconstruction and
when a salvage procedure is indicated. NO LEVEL ASSIGNED: This journal requires
that authors assign a level of evidence to each submission to which Evidence
Based Medicine rankings are applicable. This excludes Review Articles, Book
Reviews, and manuscripts that concern Basic Science, Animal Studies, Cadaver
Studies, and Experimental Studies. For a full description of these Evidence-Based
Medicine ratings, please refer to the Table of Contents or the online
Instructions to Authors www.springer.com/00266 .
PMID- 29362943
TI - Laser-Assisted Liposuction (LAL) Versus Traditional Liposuction: Systematic
Review.
AB - INTRODUCTION: Liposuction is the most performed surgical procedure in Brazil and
the second in the world. In recent years, new technologies have been developed in
an attempt to improve liposuction, such as laser. The objective of this study is
to evaluate the efficacy and safety of laser-assisted liposuction (LAL) compared
to traditional liposuction through a systematic review of the literature. METHOD:
The search strategy used was the combination of the descriptors [lasers (MeSH
Terms)] and (lipectomy [MeSH Terms]) in the PubMed database. Two independent
researchers carried out the reading of the abstracts and selection of the studies
according to the eligibility criteria. The risks of study bias were evaluated
using an instrument similar to that used by the Cochrane Collaboration. RESULTS:
Initially, 80 studies were obtained and, after evaluating the eligibility
criteria, seven remained. Five of them observed that LAL has benefits when
compared to traditional liposuction, and the main outcomes were compared with
regard to histological analysis (2 products), further reduction of subcutaneous
fat (2), better retraction of the skin (3), and higher personal satisfaction of
the patient (2). The qualitative assessment identified high risks of bias in
various areas in the studies. CONCLUSION: Although studies have concluded that
LAL promotes greater fat reduction, better skin retraction, and greater patient
satisfaction compared to traditional liposuction, the high bias impedes a more
reliable conclusion. LEVEL OF EVIDENCE III: This journal requires that authors
assign a level of evidence to each article. For a full description of these
Evidence-Based Medicine ratings, please refer to the Table of Contents or the
online Instructions to Authors www.springer.com/00266 .
PMID- 29362940
TI - The H-Reflex as a Biomarker for Spinal Disinhibition in Painful Diabetic
Neuropathy.
AB - PURPOSE OF REVIEW: Neuropathic pain may arise from multiple mechanisms and
locations. Efficacy of current treatments for painful diabetic neuropathy is
limited to an unpredictable subset of patients, possibly reflecting diversity of
pain generator mechanisms, and there is a lack of targeted treatments for
individual patients. This review summarizes preclinical evidence supporting a
role for spinal disinhibition in painful diabetic neuropathy, the physiology and
pharmacology of rate-dependent depression (RDD) of the spinal H-reflex and the
translational potential of using RDD as a biomarker of spinally mediated pain.
RECENT FINDINGS: Impaired RDD occurs in animal models of diabetes and was also
detected in diabetic patients with painful vs painless neuropathy. RDD status can
be determined using standard neurophysiological equipment. Loss of RDD may
provide a clinical biomarker of spinal disinhibition, thereby enabling a
personalized medicine approach to selection of current treatment options and
enrichment of future clinical trial populations.
PMID- 29362944
TI - Assessment of Pb, Cd and Hg soil contamination and its potential to cause
cytotoxic and genotoxic effects in human cell lines (CaCo-2 and HaCaT).
AB - Soil contamination by heavy metals is a serious global environmental problem,
especially for developing countries. A large number of industrial plants, which
continually pollute the environment, characterize Tuzla Canton, Bosnia and
Herzegovina. The aim of this study was to assess the level of soil pollution by
heavy metals and to estimate cytotoxicity and genotoxicity of soil leachates from
this area. Lead (Pb), cadmium (Cd) and mercury (Hg) were analyzed by ICP-AES and
AAS. Soil contamination was assessed using contamination factor, degree of
contamination, geoaccumulation index and pollution load index. To determine the
connection of variables and understanding their origin in soils, principal
component analysis (PCA) and cluster analysis (CA) were used. The results
indicate that Cd and Hg originated from natural and anthropogenic activities,
while Pb is of anthropogenic origin. For toxicity evaluation, CaCo-2 and HaCaT
cells were used. PrestoBlue assay was used for cytotoxicity testing, and
gammaH2A.X for genotoxicity evaluation. Concerning cytotoxicity, Cd and Hg had a
positive correlation with cytotoxicity in HaCaT cells, but only Hg induced
cytotoxicity in CaCo-2 cells. We also demonstrate that soil leachates
contaminated by heavy metals can induce genotoxicity in both used cell lines.
According to these results, combining bioassays with standard physicochemical
analysis can be useful for evaluating environmental and health risks more
accurately. These results are important for developing proper management
strategies to decrease pollution. This is one of the first studies from this area
and an important indication of soil quality in Southeast Europe.
PMID- 29362945
TI - Increasing Stroke Knowledge and Decreasing Stroke Risk in a Latino Immigrant
Population.
AB - Stroke knowledge is poor and stroke risk is growing for the U.S. Latino immigrant
population. We present results of an evaluation of a tailored, community-based
intervention in Durham, North Carolina. The intervention included integration of
stroke knowledge into classes and workshops at a community-based organization.
Knowledge surveys were administered to participants immediately before and after
stroke education, and at multiple points over the following year. For both low
risk participants receiving classroom-based education and individually care
managed participants with risk factors, stroke knowledge improved dramatically
and remained high among those who could be reached for follow-up. Evidence of
behavior change and change in clinical status was weak. These findings from an
observational study conducted in a real-world context complement the results of
previously reported efficacy studies, indicating potential gains from health
education for Latino immigrants, even from classroom-based education for low-risk
individuals.
PMID- 29362946
TI - Prevalence and Risk Factors Associated with Self-Rated Morbidities Among South
Asian Migrant Gas Station Workers in Kuwait.
AB - Gas station workers (GSWs) are at elevated risk of morbidities associated with
exposure to petrochemical substances. This cross-sectional study assessed the
prevalence and examined demographics and occupation-related risk factors
associated with self-rated ill-health among GSWs in Kuwait. Structured
questionnaire was used to record self-rated ill-health from 460 selected GSWs of
South-Asian origin. Prevalence of self-rated ill-health conditions was computed.
Random-intercept multivariable logistic regression model was used to identify the
demographics and/or work-place related potential risk factors associated with one
or more morbidities. Prevalent morbidities were eye(s) complaint (45.2%),
asthma/shortness of breath (7.6%), frequent sneezing and allergic rhinitis
(44.3%), recurrent headache (48.3%), dizziness (9.8%), tremors (42%), and
eczema/skin complaint (44.1%). Furthermore, GSWs were significantly more likely
to report one or more ill-health conditions (vs. none), if they were
overweight/obese (aOR 1.8; 95% CI 1.1-2.9), married (aOR 2.6; 95% CI 1.5-4.5) or
on current job for more than one year (aOR 6.4; 95% CI 2.9-15.4). In conclusion,
GSWs suffer from ailments of multiple organ systems and need education and
facilitation for self-protection.
PMID- 29362947
TI - Morphological characterization of pulmonary microvascular disease in
bronchopulmonary dysplasia caused by hyperoxia in newborn mice.
AB - PURPOSE: Pulmonary microvascular injury is associated with the pathogenesis of
bronchopulmonary dysplasia (BPD). To characterize the mechanisms of pulmonary
vascular disease resulting from BPD, we studied the ultrastructural changes
affecting pulmonary microvasculature. METHODS: Newborn ICR mice were exposed to
85% hyperoxia or normoxia for 14 days, and then normal air replacement conditions
for the following 7 days. At postnatal day (P)14 and P21, lungs were harvested
for ultrastructural examination and assessment of pulmonary hypertension.
RESULTS: The ultrastructure of pulmonary microvasculature in the hyperoxia
exposed lungs revealed a collapsed capillary lumen. This was due to the abnormal
morphology of endothelial cells (ECs) characterized by heterogeneously thick
cytoplasm. Compared to normal air controls, the specimens displayed also
remarkably thick blood-air barriers (BABs), most of which were occupied by EC
layer components. Structural changes were accompanied by increased pulmonary
artery medial thickness and right ventricular hypertrophy (RVH). Moreover,
abnormalities in ECs persisted even after exposure to 7 days of normal air
replacement conditions. Results were confirmed by morphometric quantification.
CONCLUSION: Our results suggest that the abnormal morphology of capillary ECs and
thick BABs correlates with pulmonary artery remodeling and RVH. These
ultrastructural changes might represent possible mechanisms of secondary
pulmonary hypertension in BPD.
PMID- 29362948
TI - Genetic Counselors' Experiences and Interest in Telegenetics and Remote
Counseling.
AB - In 2009, the National Society of Genetic Counselors Service (NSGC) Delivery Model
Task Force defined genetic counseling service delivery models including telephone
(genetic counseling provided remotely by telephone) and telegenetics (counseling
provided remotely using videoconferencing). Little is known about the experience
of genetic counselors practicing telemedicine in the USA. We sought to evaluate
perceived satisfaction, advantages, disadvantages, and barriers to the practice
and implementation of telegenetics by practicing genetic counselors. A 21
question online survey was distributed via the NSGC's member directory.
Descriptive statistics and a thematic analysis were used to analyze data. A total
of 344 surveys were completed of which 235 (68.3%) respondents had delivered
genetic counseling via telemedicine and 109 (36.6%) had not. Overall genetic
counseling providers who had provided telegenetics were satisfied or very
satisfied with their position (91%) and those who were not performing
telegenetics were at least slightly interested in a telehealth position (92%).The
most common appealing reasons for working in or wanting to work in telemedicine
included an innovative approach to healthcare delivery, aspects of remote
positions such as the ability to work from home, and flexibility of hours.
Unappealing characteristics of telemedicine included the inability to see
nonverbals, limited psychosocial counseling, and limited social interaction with
colleague that is associated with remote positions. Barriers to implementation of
telegenetics were noted by 53% of respondents with the largest barrier being
billing and reimbursement. The results of this work suggest that telegenetics
service organizations could consider increasing social interactions, attempting
to use the preferred method of care (video) to increase ability to see
nonverbals, offering flexible work hours, and allowing time to address
psychosocial issues as they arise in consultations.
PMID- 29362950
TI - Aspirin therapy discontinuation and intraoperative blood loss in spinal surgery:
a systematic review.
AB - The purpose of this study was to determine the effect of aspirin therapy
discontinuation on intraoperative blood loss in spinal surgery. We searched
Medline and Google Scholar 1946 to January 2017 inclusive for case-control
studies, cohort studies, and controlled trials reporting intraoperative blood
loss during spinal surgery in patients on pre-operative aspirin. Other outcome
measures reported in the eligible studies were collected as secondary outcomes.
Two reviewers independently screened and extracted data from each study. Five
retrospective cohort and two case-control studies were eligible for inclusion. Of
the 1173 patients identified, 587 patients were never on aspirin (Ax), 416
patients had aspirin discontinued before surgery (Ad), ranging from 3 to 10 days,
and 170 patients had aspirin continued until surgery (Ac). Six out of seven
studies reported no statistically significant difference in intraoperative blood
loss irrespective of aspirin discontinuation. Meta-analysis was not possible due
to high risk of bias. Of the secondary outcome measures, operative time and
postoperative complications were most commonly reported. One of six studies
evaluating operative time reported a significantly longer operative time in the
Ad group compared with the Ac group. The overall risk of postoperative haematoma
in Ax, Ad, and Ac groups is 0.2% (n/N = 1/587), 0.2% (n/N = 1/416), and 1.2% (n/N
= 2/170), respectively. No study reported a statistically significant difference
in postoperative complications. There is no strong evidence demonstrating a
difference in intraoperative blood loss, operation time, and postoperative
complications, irrespective of aspirin discontinuation. This is, however, based
on a limited number of studies and higher-quality research is required to answer
this question with a higher degree of confidence.
PMID- 29362949
TI - Chemical Ecology of Chemosensation in Asteroidea: Insights Towards Management
Strategies of Pest Species.
AB - Within the Phylum Echinodermata, the class Asteroidea, commonly known as starfish
and sea stars, encompasses a large number of benthos inhabiting genera and
species with various feeding modalities including herbivores, carnivores,
omnivores and detritivores. The Asteroidea rely on chemosensation throughout
their life histories including hunting prey, avoiding or deterring predators, in
the formation of spawning aggregations, synchronizing gamete release and
targeting appropriate locations for larval settlement. The identities of many of
the chemical stimuli that mediate these physiological and behavioural processes
remain unresolved even though evidence indicates they play pivotal roles in the
functionality of benthic communities. Aspects of chemosensation, as well as
putative chemically-mediated behaviours and the molecular mechanisms of
chemoreception, within the Asteroidea are reviewed here, with particular
reference to the coral reef pest the Crown-of-Thorns starfish Acanthaster planci
species complex, in the context of mitigation of population outbreaks.
PMID- 29362953
TI - Chronic coffee consumption and striatal DAT-SPECT findings in Parkinson's
disease.
AB - Coffee may interfere with the dopaminergic transmission, and this action would
possibly enhance motor activity and exert an antidyskinetic effect in Parkinson's
disease (PD). This study aimed to see whether coffee habit could be associated
with change in striatal dopamine active transporter (DAT)-single photon emission
computed tomography (SPECT) imaging in PD. A total of 83 PD patients (71 current
coffee drinkers and 12 never drinkers) underwent a DAT-SPECT study, using
[123I]FP-CIT as radionuclide. Socio-demographic and clinical information as well
as smoking habit was collected at the time of imaging acquisition. The Unified
Parkinson's Disease Rating Scale part III was used to evaluate disease severity.
On multivariable analysis, chronic coffee consumption was not associated with any
significant change in striatal uptake of the radionuclide. However, the number of
years patients drunk coffee was correlated with a significant increase in age at
PD onset (p < 0.001). Confirming a previous report, current cigarette smoking was
associated with a reduction of radionuclide uptake in putamen and caudate (p <
0.001).
PMID- 29362952
TI - The role of the clinician in the multi-omics era: are you ready?
AB - Since Garrod's first description of alkaptonuria in 1902, and newborn screening
for phenylketonuria introduced in the 1960s, P4 medicine (preventive, predictive,
personalized, and participatory) has been a reality for the clinician serving
patients with inherited metabolic diseases. The era of high-throughput
technologies promises to accelerate its scale dramatically. Genomics,
transcriptomics, epigenomics, proteomics, glycomics, metabolomics, and lipidomics
offer an amazing opportunity for holistic investigation and contextual
pathophysiologic understanding of inherited metabolic diseases for precise
diagnosis and tailored treatment. While each of the -omics technologies is
important to systems biology, some are more mature than others. Exome sequencing
is emerging as a reimbursed test in clinics around the world, and untargeted
metabolomics has the potential to serve as a single biochemical testing platform.
The challenge lies in the integration and cautious interpretation of these big
data, with translation into clinically meaningful information and/or action for
our patients. A daunting but exciting task for the clinician; we provide clinical
cases to illustrate the importance of his/her role as the connector between
physicians, laboratory experts and researchers in the basic, computer, and
clinical sciences. Open collaborations, data sharing, functional assays, and
model organisms play a key role in the validation of -omics discoveries. Having
all the right expertise at the table when discussing the diagnostic approach and
individualized management plan according to the information yielded by -omics
investigations (e.g., actionable mutations, novel therapeutic interventions), is
the stepping stone of P4 medicine. Patient participation and the adjustment of
the medical team's plan to his/her and the family's wishes most certainly is the
capstone. Are you ready?
PMID- 29362954
TI - Profiles of Social and Coping Resources in Families of Children with Autism
Spectrum Disorder: Relations to Parent and Child Outcomes.
AB - This study described empirically derived profiles of parents' personal and social
coping resources in a sample of 207 families of children diagnosed with autism
spectrum disorder. Latent Profile Analysis identified four family profiles based
on socieoeconomic risk, coping strategy utilization, family functioning,
available social supports, and perceptions of family-centered support. During the
time of children's transition to school, parents in the most disadvantaged group
experienced the highest levels of parenting stress and depression, and their
children had significantly lower adaptive behaviour scores and more parent
reported behavior problems than children in the other three groups. Results
highlight the need for systematic surveillance of family risk factors so that
supports can be provided to enhance both parental well-being and children's
developmental health.
PMID- 29362955
TI - A Quantitative Analysis of Mental Health Among Sexual and Gender Minority Groups
in ASD.
AB - There is increased mental-health adversity among individuals with autism spectrum
disorder. At the same time, sexual and gender minority groups experience poorer
mental-health when compared to heteronormative populations. Recent research
suggests that autistic individuals report increased non-heterosexuality and
gender-dysphoric traits. The current study aimed to investigate whether as
membership of minority grouping becomes increasingly narrowed, mental health
worsened. The present study compared the rates of depression, anxiety, and stress
using the DASS-21 and Personal Well-Being using the personal well-being index
between 261 typically-developing individuals and 309 autistic individuals. As
membership to a minority group became more restrictive, mental health symptoms
worsened (p < .01), suggesting stressors added. Specialized care is recommended
for this vulnerable cohort.
PMID- 29362957
TI - Capsule Commentary on Levine et al., Characteristics and Disparities of Primary
Care Practices in the United States.
PMID- 29362956
TI - Adjuvant hormonal therapy for early breast cancer: an epidemiologic study of
medication adherence.
AB - PURPOSE: The aim of this study was to determine the prevalence of adherence to
adjuvant hormonal therapy (AHT) and to identify risk factors for medication non
adherence in clinical practice in patients with early-stage hormone receptor (HR)
positive breast cancer (BC) previously treated with chemotherapy. METHODS: We
carried out a cross-sectional, observational, prospective, and multicenter survey
based on a structured self-report postal questionnaire (35 items investigating
six areas). A sample of 474 patients was drawn from 676 patients potentially
eligible. The structured and validated Morisky Medication Adherence Scale-4 items
was used for measuring medication adherence. An analysis of risk factors for non
adherence to AHT was performed using a two-step approach: univariate, then
multivariate analysis. RESULTS: A total of 280 patients out of the 428 analyzed
patients participated in the survey, yielding a response rate of 65.4% [60.9
69.9]. The prevalence of adherence to AHT was estimated at 68.6% [63.1-74.0],
corresponding to a high level of adherence. Three risk factors for non-adherence
to AHT were identified: > 2 medications to treat comorbidities (p-value = 0.003),
age less than 65 years (p-value = 0.008), and patient management in a university
hospital setting (p-value = 0.014). CONCLUSIONS: Non-adherence is a common,
complex, and multidimensional healthcare problem. This better understanding and
knowledge of risk factors will allow healthcare providers (such as oncologists,
general practitioners, pharmacists) to more easily identify patients at risk for
non-adherence and help them provide appropriate information about AHT and its
management, thus improving medication adherence in their patients.
PMID- 29362958
TI - Supply of Healthcare Providers in Relation to County Socioeconomic and Health
Status.
PMID- 29362959
TI - Single-Item Measures for Detecting Sleep Problems in United States Military
Veterans.
AB - BACKGROUND: As many as two-thirds of post-9/11 military veterans complain of
sleep problems, including insomnia-like symptoms. Left untreated, chronic sleep
problems increase the risk for a range of negative outcomes, including incident
mental health disorders. However, sleep problems remain overlooked in primary
care settings. To date, no brief sleep screeners have been developed or
validated. Items assessing insomnia and poor sleep are often embedded into
commonly used psychological assessments, and may serve as a viable first step in
screening. OBJECTIVE: The objective of this study was to examine the utility of
three single items (i.e., trouble falling asleep, awakening in the early morning,
and sleep that is restless or disturbed) embedded into the Symptom Checklist-90
Revised (SCL) for identifying two outcomes of interest, poor sleep and probable
insomnia. DESIGN: Data were drawn from the cross-sectional Post-Deployment Mental
Health Study, hosted by the Mid-Atlantic VA Mental Illness Research, Education,
and Clinical Center. Item performance was evaluated using sensitivity,
specificity, and predictive value calculations, along with receiver operating
characteristic (ROC) curves. PARTICIPANTS: Post-9/11 U.S. military veterans with
one or more overseas deployments and with no current DSM Axis I mental health
disorder (N = 1118). MAIN MEASURES: An in-person health and sleep questionnaire,
including the Pittsburgh Sleep Quality Index (PSQI) and the Symptom Checklist
(SCL). KEY RESULTS: Using an item response of 1, all three items demonstrated
moderate sensitivity (0.70-0.78) and acceptable rates of false positives and
false negatives (0.23-0.48 and 0.11-0.42, respectively) in predicting both
outcomes, poor sleep and probable insomnia. CONCLUSIONS: Our initial findings
suggest that existing items in the SCL may serve as a first step in screening for
sleep problems. Early detection and treatment of sleep problems might prevent or
ameliorate several negative outcomes, including incident mental health disorders.
PMID- 29362961
TI - Urantide improves the structure and function of right ventricle as determined by
echocardiography in monocrotaline-induced pulmonary hypertension rat model.
AB - Urotensin II (UII) has been reported to play a key role in pulmonary arterial
hypertension (PAH) development. Doppler echocardiography, a noninvasive and
simple tool, is recommended for diagnosing PAH. This study was designed to
investigate the effect of urantide, a UII receptor antagonist, on the structure
and function of the right ventricle in PAH rat models by Doppler
echocardiography. A total of 60 male rats were divided into two groups: early-
and late-treatment groups. Rats in the urantide and MCT (monocrotaline) subgroups
were injected with 10 MUg/kg urantide in the urantide group or an equal amount of
normal saline in the MCT group 1 week after PAH model construction in the early
treatment group and 4 weeks after the construction in the late-treatment group.
Rats in the control group received an equal volume of normal saline solution. PAH
related indexes were measured by echocardiography. PAH rat models exhibited
higher right ventricular diastolic diameter and lower time to peak, ejection
time, and peak flow velocity of pulmonary artery than controls (P < 0.05).
However, compared with the MCT group, all abovementioned indexes were improved in
the urantide group (P < 0.05). No significant differences in pulmonary artery
diameter and left ventricular ejection fraction were noted among the groups.
Compared with the MCT group, systolic pulmonary arterial pressure (SPAP) and mean
pulmonary arterial pressure (mPAP) were significantly lower in the urantide group
(P < 0.05). SPAP examined by echocardiography was correlated with mPAP by
catheterization (P < 0.05). Urantide treatment improved right heart failure
parameters in MCT-induced PAH rats, thus providing a potential new strategy for
treating PAH.
PMID- 29362960
TI - Effect of intradialytic exercise on daily physical activity and sleep quality in
maintenance hemodialysis patients.
AB - PURPOSE: Physical inactivity and sleep disturbance are frequently observed and
relate to poor clinical outcomes in maintenance hemodialysis patients. We aimed
to investigate the effect of intradialytic exercise on daily physical activity
and sleep quality, measured by an accelerometer, in maintenance hemodialysis
patients. METHODS: This study randomly assigned ambulatory maintenance
hemodialysis patients aged >= 20 years on dialysis >= 6 months, without a
hospitalization history for the previous 3 months to 4 groups: aerobic exercise
(AE), resistance exercise (RE), combination exercise (CE), and control. A
stationary bike was used for AE and a TheraBand(r)/theraball for RE. A 12-week
intradialytic exercise program (3 times/week) was completed in the AE (n = 11),
RE (n = 10), and CE (n = 12) groups. The control group (n = 13) received only
warm-up stretching. At baseline and 12-week follow-up, daily physical activity
and sleep quality were measured with a triaxial accelerometer (wActiSleep-BT;
ActiGraph, Pensacola, FL) during a continuous 7-day wear period. RESULTS: We
observed a significant increase in metabolic equivalent (MET; kcal/h/kg) in the
AE (1.02 +/- 0.03 vs 1.04 +/- 0.04, P = 0.04) and CE (1.06 +/- 0.05 vs 1.09 +/-
0.08, P = 0.01) groups at 12 weeks compared with baseline. When comparing between
group changes in MET, there was a significant increase in METs in the CE group
(0.03 +/- 0.03 vs - 0.01 +/- 0.04, P = 0.02) compared with the control group. The
total number of sedentary bouts (per week) decreased significantly in the AE (200
+/- 37 vs 174 +/- 36, P = 0.01), RE (180 +/- 31 vs 130 +/- 49, P = 0.03), and CE
groups (180 +/- 45 vs 152 +/- 46, P = 0.04) at 12 weeks compared with baseline.
The average sleep fragmentation index, indicating poor sleep quality, decreased
significantly at 12 weeks compared with baseline in the AE (51.4 +/- 8.0 vs 44.5
+/- 9.6, P = 0.03) and RE groups (52.3 +/- 7.3 vs 40.0 +/- 15.4, P = 0.01).
CONCLUSIONS: Intradialytic exercise appears to be clinically beneficial in
improving daily physical activity and sleep quality in maintenance hemodialysis
patients.
PMID- 29362962
TI - Tocilizumab reduces complement C3 and C4 serum levels in rheumatoid arthritis
patients.
AB - Tocilizumab, an anti-interleukin-6 receptor monoclonal antibody, is known to be
able to rapidly reduce acute phase reactants. Although complement components are
part of the acute phase, no data are available on a possible effect of
tocilizumab on complement proteins. Serum levels of complement components C3 and
C4 were retrospectively assessed in 19 consecutive rheumatoid arthritis patients
eligible for tocilizumab treatment. Tocilizumab was found to reduce all known
acute phase reactants, including C3 and C4 levels. C3 and C4 were found to
decrease as early as 4 weeks after the first tocilizumab infusion. On average, C3
decreased by 24.02, 27.35, 33.62, and 32.81%, as compared to pre-treatment
values, after 1, 3, 6, and 12 months of therapy, respectively; likewise, C4
decreased by 44.74, 43.40, 54.33, and 54.56% at the same time points with respect
to pre-treatment values. A discrete proportion of patients (38.46 and 30.76% for
C3 and C4, respectively) displayed subnormal complement serum levels early (4
weeks) after initiation of tocilizumab treatment, which raised suspicion for
complement consumption. However, no circulating immunocomplexes were found nor
did any patient ever display clinical features of immunocomplex disease during a
median follow-up of 38 months. After 12 months of therapy, 68.75 and 56.25% of
patients had abnormally low C3 and C4 serum levels, respectively. Reduction in C3
and C4 serum levels should be included among the anti-inflammatory effects
exerted by tocilizumab and are thus to be considered as an expected outcome of
the mechanism of action of this drug.
PMID- 29362963
TI - Prognostic Value of Exon 19 Versus 21 EGFR Mutations Varies According to Disease
Stage in Surgically Resected Non-small Cell Lung Cancer Adenocarcinoma.
AB - BACKGROUND: The prognostic value of exon 19 and 21 EGFR mutations in stage IV non
small cell lung cancer (NSCLC) is well established. OBJECTIVE: We aimed to
evaluate the prognostic value of the mutations in surgically resected NSCLC.
METHODS: We retrospectively reviewed data from 1798 surgically resected NSCLC
adenocarcinomas between 2007 and 2017 in three departments of thoracic surgery
(Nancy/Strasbourg, France, and Torino, Italy) for whom mutational status was
known. Overall survival (OS) was evaluated using log-rank and Cox proportional
hazard models. RESULTS: EGFR exon 19 deletion was observed in 108 patients
(55.1%) and exon 21 L858R mutations were observed in 88 patients (44.9%). In
stage I, the median OS was not significantly different between exons 19 and 21 (p
= 0.54), while, in stage II, the median OS reached 65 months [95% confidence
interval (CI) 41.67-88.33] for exon 19 mutations and decreased to 48 months for
exon 21 mutations (95% CI 44.21-51.79; p = 0.027). In multivariate analysis, exon
19 deletion remained a favorable prognostic factor [hazard ratio (HR) 0.314, 95%
CI 0.098-0.997; p = 0.05]. In stage III, the median OS reached 66 months (95% CI
44.67-87.32) for exon 19 mutations and decreased to 32 months for exon 21
mutations (95% CI 29.86-34.14; p = 0.03). In multivariate analysis, exon 19
deletion remained a significantly favorable prognostic factor (HR 0.165, 95% CI
0.027-0.999; p = 0.05). CONCLUSION: The prognostic value of EGFR exon 19 and 21
mutations appears to be different according to disease stage in surgically
resected NSCLC.
PMID- 29362964
TI - Pathogen Transmission from Humans to Great Apes is a Growing Threat to Primate
Conservation.
AB - All six great ape species are listed as endangered or critically endangered by
the IUCN and experiencing decreasing population trends. One of the threats to
these non-human primates is the transmission of pathogens from humans. We
conducted a literature review on occurrences of pathogen transmission from humans
to great apes to highlight this often underappreciated issue. In total, we found
33 individual occurrences of probable or confirmed pathogen transmission from
humans to great apes: 23 involved both pathogen and disease transmission, 7
pathogen transmission only, 2 positive antibody titers to zoonotic pathogens, and
1 pathogen transmission with probable disease. Great ape populations were
categorized into captive, semi-free-living, and free-living conditions. The
majority of occurrences involved chimpanzees (Pan troglodytes) (n = 23) or
mountain gorillas (Gorilla beringei beringei) (n = 8). These findings have
implications for conservation efforts and management of endangered great ape
populations. Future efforts should focus on monitoring and addressing zoonotic
pathogen and disease transmission between humans, great ape species, and other
taxa to ensure the health of humans, wild and domestic animals, and the
ecosystems we share.
PMID- 29362965
TI - Using a Harm Reduction Approach in an Environmental Case Study of Fish and
Wildlife Health.
PMID- 29362966
TI - Fusion imaging with contrast-enhanced ultrasonography for evaluating the early
therapeutic efficacy of radiofrequency ablation for small hypervascular
hepatocellular carcinomas with iso-echoic or unclear margins on conventional
ultrasonography.
AB - PURPOSE: We evaluated the efficacy of fusion imaging combining contrast-enhanced
ultrasonography (CEUS) images and arterial phase contrast-enhanced CT (CECT) or
hepatobiliary phase magnetic resonance imaging with gadolinium ethoxybenzyl
diethylenetriamine pentaacetic acid (EOB-MRI) images for the early evaluation of
the effectiveness of radiofrequency ablation (RFA) for small hypervascular
hepatocellular carcinoma (HCC) with iso-echoic or unclear margins on conventional
US. METHODS: Forty HCCs (22 iso-echoic and 18 unclear margin lesions) with mean
diameters of 13.7 mm were treated using RFA under the guidance of fusion imaging
with CEUS. The adequacy of RFA was evaluated using fusion imaging with CEUS 1 day
after RFA. CECT or EOB-MRI was performed 1 month after RFA. We reviewed the
images obtained using both modalities. RESULTS: When the 1-month CECT or EOB-MRI
scans were used as the reference standard, the sensitivity, specificity, and
accuracy of the 1-day fusion imaging for the diagnosis of the adequate ablation
of these HCCs were 97, 100, and 98%, respectively; the kappa value for the
agreement between the findings using the two modalities was 0.655. CONCLUSION:
Fusion imaging with CEUS appears to be a useful method for the early evaluation
of the efficacy of RFA for the treatment of HCCs with iso-echoic or unclear
margins on conventional US.
PMID- 29362967
TI - Does severe obstructive sleep apnea syndrome alter retrobulbar blood flow? A
color Doppler ultrasound study.
AB - PURPOSE: To evaluate extraocular orbital vessels with color Doppler ultrasound
(CDU) and investigate the effects of severe obstructive sleep apnea (OSA) on
retrobulbar blood flow. METHODS: Between February 2014 and September 2015, 30
patients with severe OSA (apnea-hypopnea index (AHI) > 30) and 28 controls were
prospectively included in this study. Intraocular pressure (IOP) was measured
with a Goldmann applanation tonometer, and CDU was used to evaluate the
retrobulbar vessels. RESULTS: The mean AHI score for the OSA group was 63.2 +/-
21.5 per hour. The IOP values were significantly higher in the severe OSA group
(p < 0.05). The central retinal artery peak systolic velocity (PSV) (p < 0.05)
and end-diastolic velocity (EDV) (p < 0.02), and the ophthalmic artery (OA) PSV
and EDV, were found to be significantly lower in the OSA group (p < 0.05).
CONCLUSION: Severe OSA causes an increase in IOP and a decrease in flow velocity
in the retrobulbar circulation.
PMID- 29362968
TI - Bilateral Versus Single Internal Thoracic Artery Grafts.
AB - PURPOSE OF REVIEW: Several advances have been made in recent years to improve
outcome for patients with coronary artery disease. One of the most debated topics
regarding surgical treatment with coronary artery bypass grafting (CABG) is graft
selection. This review aims to present the current status and scientific evidence
for bilateral internal thoracic artery (BITA) grafting. RECENT FINDINGS:
Observational studies and pooled analyses suggest that BITA grafting is
associated with improved survival. Early results from a large randomized
controlled trial report safety and efficacy of the method. The improved survival
might be amplified in select groups, but with an increase in sternal wound
related complications. The benefit of BITA grafts seems to remain to an
approximate age of 69 years at surgery. CABG with BITA grafts is likely
associated with improved long-term survival at a cost of an increase in sternal
wound infections. Ten-year results from the Arterial Revascularization Trial are
expected in 2018, providing the best evidence regarding the method yet. Early
results show it is a safe method in most patient categories considerable for
CABG.
PMID- 29362969
TI - "What Goes Around Comes Around": Attitudes and Practices Regarding Ageing and
Care for the Elderly Among Moroccan Muslim Women Living in Antwerp (Belgium).
AB - The aim of this article is threefold. First, we seek to elicit the attitudes and
practices of middle-aged and elderly Moroccan Muslim women towards ageing and
care for the elderly. Second, we aim to identify possible differences between
middle-aged and elderly women's attitudes and practices. Third, we seek to
explore which role religion plays in their attitudes and practices. Qualitative
empirical research was conducted with a sample of middle-aged and elderly
Moroccan Muslim women living in Antwerp (Belgium) (n = 30) and with experts in
the field (n = 15). Our study unveils that ageing and care for the elderly are
clearly understood from a religious framework. More specifically, theological and
eschatological considerations take up a central position. Access to and
utilization of professional elderly care is hampered by several barriers (e.g.
religious, cultural and financial). We found a more open attitude towards
professional elderly care among middle-aged women than among elderly women.
PMID- 29362971
TI - Engineering co-culture system for production of apigetrin in Escherichia coli.
AB - Microbial cells have extensively been utilized to produce value-added bioactive
compounds. Based on advancement in protein engineering, DNA recombinant
technology, genome engineering, and metabolic remodeling, the microbes can be re
engineered to produce industrially and medicinally important platform chemicals.
The emergence of co-culture system which reduces the metabolic burden and allows
parallel optimization of the engineered pathway in a modular fashion restricting
the formation of undesired byproducts has become an alternative way to synthesize
and produce bioactive compounds. In this study, we present genetically engineered
E. coli-based co-culture system to the de novo synthesis of apigetrin (APG), an
apigenin-7-O-beta-D-glucopyranoside of apigenin. The culture system consists of
an upstream module including 4-coumarate: CoA ligase (4CL), chalcone synthase,
chalcone flavanone isomerase (CHS, CHI), and flavone synthase I (FNSI) to
synthesize apigenin (API) from p-coumaric acid (PCA). Whereas, the downstream
system contains a metabolizing module to enhance the production of UDP-glucose
and expression of glycosyltransferase (PaGT3) to convert API into APG. To
accomplish this improvement in titer, the initial inoculum ratio of strains for
making the co-culture system, temperature, and media component was optimized.
Following large-scale production, a yield of 38.5 uM (16.6 mg/L) of APG was
achieved. In overall, this study provided an efficient tool to synthesize
bioactive compounds in microbial cells.
PMID- 29362970
TI - Lipopolysaccharide-Induced Striatal Nitrosative Stress and Impaired Social
Recognition Memory Are Not Magnified by Paraquat Coexposure.
AB - Systemic inflammation triggered by lipopolysaccharide (LPS) administration
disrupts blood-brain barrier (BBB) homeostasis in animal models. This event leads
to increased susceptibility of several encephalic structures to potential
neurotoxicants present in the bloodstream. In this study, we investigated the
effects of alternate intraperitoneal injections of LPS on BBB permeability,
social recognition memory and biochemical parameters in the striatum 24 h and 60
days after treatments. In addition, we investigated whether the exposure to a
moderate neurotoxic dose of the herbicide paraquat could potentiate LPS-induced
neurotoxicity. LPS administration caused a transient disruption of BBB integrity,
evidenced by increased levels of exogenously administered sodium fluorescein in
the striatum. Also, LPS exposure caused delayed impairment in social recognition
memory (evaluated at day 38 after treatments) and increase in the striatal levels
of 3-nitrotyrosine. These events were observed in the absence of significant
changes in motor coordination and in the levels of tyrosine hydroxylase (TH) in
the striatum and substantia nigra. PQ exposure, which caused a long-lasting
decrease of striatal mitochondrial complex I activity, did not modify LPS-induced
behavioral and striatal biochemical changes. The results indicate that systemic
administration of LPS causes delayed social recognition memory deficit and
striatal nitrosative stress in adult mice and that the coexposure to a moderately
toxic dose of PQ did not magnify these events. In addition, PQ-induced inhibition
of striatal mitochondrial complex I was also not magnified by LPS exposure,
indicating the absence of synergic neurotoxic effects of LPS and PQ in this
experimental model.
PMID- 29362973
TI - Role of topical interferon alpha-2b in 'mitomycin-C-resistant' ocular surface
squamous neoplasia: our preliminary findings.
AB - PURPOSE: To report the clinical presentation of mitomycin-C (MMC)-resistant
ocular surface squamous neoplasia (OSSN) and its treatment outcome with topical
interferon alpha-2b (IFNalpha-2b). METHODS: A prospective, non-randomised, pilot
study enrolling clinically diagnosed OSSN patients. The inclusion criterion was
resistance of OSSN to standard topical MMC (0.02%) chemotherapy. The resistance
was defined as 'no clinical response' in the terms of reduction in tumour size,
extension and vascularity after minimum 6 weeks 'on-cycles' of MMC. Any previous
surgical intervention or recurrent OSSN lesions were excluded. Topical MMC was
stopped in all, and topical IFNalpha-2b (1million IU/ml) eyedrops were prescribed
to each patient. At first presentation, the clinical features and side-effect
profile of MMC was noted and therapeutic effect of IFNalpha-2b was clinically
monitored at each follow-up. Topical immunotherapy was continued for 24 weeks and
a minimum follow-up of 12 weeks was observed after stopping IFNalpha-2b. RESULTS:
Six patients with a mean age of 62 years met the inclusion criteria. At
presentation, all had unilateral, circumscribed, sessile and unifocal lesions
with mean dimensions of 7.67 * 5.17 mm. Four patients had temporal lesions while
surface keratin, pigmentation and corneal involvement were noted in three
lesions, separately. All lesions had dilated and tortuous feeder vessels. All six
tumours resolved completely over a mean tumour resolution time of 16 weeks while
the total duration of IFNalpha-2b treatment was 24 weeks. After stopping
immunotherapy, a mean of 14.5 weeks follow-up was observed. None showed any
recurrence. The approximate cost of total therapy session was 8400 Indian rupees.
CONCLUSION: The OSSN lesions showing 'less or no response' to topical MMC may be
shifted to topical recombinant IFNalpha-2b before proceeding for a surgical
excision.
PMID- 29362972
TI - Advancing biotechnology with CRISPR/Cas9: recent applications and patent
landscape.
AB - Clustered regularly interspaced short palindromic repeats (CRISPR) is poised to
become one of the key scientific discoveries of the twenty-first century.
Originating from prokaryotic and archaeal immune systems to counter phage
invasions, CRISPR-based applications have been tailored for manipulating a broad
range of living organisms. From the different elucidated types of CRISPR
mechanisms, the type II system adapted from Streptococcus pyogenes has been the
most exploited as a tool for genome engineering and gene regulation. In this
review, we describe the different applications of CRISPR/Cas9 technology in the
industrial biotechnology field. Next, we detail the current status of the patent
landscape, highlighting its exploitation through different companies, and
conclude with future perspectives of this technology.
PMID- 29362974
TI - Mapping Brain Activity with Electrocorticography: Resolution Properties and
Robustness of Inverse Solutions.
AB - Electrocorticography (ECoG) is an electrophysiological technique that records
brain activity directly from the cortical surface with high temporal (ms) and
spatial (mm) resolution. Its major limitations are in the high invasiveness and
in the restricted field-of-view of the electrode grid, which partially covers the
cortex. To infer brain activity at locations different from just below the
electrodes, it is necessary to solve the electromagnetic inverse problem.
Limitations in the performance of source reconstruction algorithms from ECoG have
been, to date, only partially addressed in the literature, and a systematic
evaluation is still lacking. The main goal of this study is to provide a
quantitative evaluation of resolution properties of widely used inverse methods
(eLORETA and MNE) for various ECoG grid sizes, in terms of localization error,
spatial dispersion, and overall amplitude. Additionally, this study aims at
evaluating how the use of simultaneous electroencephalography (EEG) affects the
above properties. For these purposes, we take advantage of a unique dataset in
which a monkey underwent a simultaneous recording with a 128 channel ECoG grid
and an 18 channel EEG grid. Our results show that, in general conditions, the
reconstruction of cortical activity located more than 1 cm away from the ECoG
grid is not accurate, since the localization error increases linearly with the
distance from the electrodes. This problem can be partially overcome by recording
simultaneously ECoG and EEG. However, this analysis enlightens the necessity to
design inverse algorithms specifically targeted at taking into account the
limited field-of-view of the ECoG grid.
PMID- 29362975
TI - "Clothesline technique" for proximal tibial shaft fracture fixation using
conventional intramedullary nail: a simple, useful, and inexpensive technique to
prevent fracture malalignment.
AB - Treatment of proximal tibial shaft fractures is always challenging. Despite the
development of modern techniques, the literature still shows high complication
rates, especially regarding proximal fragment malalignment. It is well known that
knee position in flexion during tibial nailing is responsible for extension and
valgus deformities of the proximal fragment. Unlike in tibial shaft fractures,
nails do not reduce proximal tibial fractures due to the medullary canal width.
This study aims to describe a simple, useful, and inexpensive technique to
prevent valgus and extension deformities when treating proximal tibial fractures
using conventional nails: the so-called clothesline technique.
PMID- 29362977
TI - RETRACTED ARTICLE: Biceps tendon interposition in two-part fracture of the
humeral surgical neck.
PMID- 29362978
TI - Social tolerance in not-so-social pumas.
AB - Elbroch, Levy, Lubell, Quigley, and Caragiulo (2017, Science Advances, 3,
e170218) used GPS and motion-activated camera technology to track and rate the
interactions between solitary wild pumas. They found that tolerance at feeding
sites was not predicted by kinship but, rather, indicated the ability to engage
in direct reciprocity, challenging previous assumptions about social cognition in
solitary species.
PMID- 29362976
TI - The ABC and pain in trauma.
AB - Musculoskeletal trauma in the emergency setting is a challenge for orthopaedic
surgeons. Life- and limb-threatening injuries of the extremities should be
treated as soon as possible, since major musculoskeletal trauma can be the cause
of significant bleeding. However, musculoskeletal trauma is often related to
thoracic trauma. Management of the former should be performed only after
patient's airway is maintained and breathing is secured with appropriate pain
monitoring and management by expert anesthesiologists. This article discusses the
association of musculoskeletal with thoracic trauma care and emphasizes on pain
as a vital sign in trauma.
PMID- 29362979
TI - Guideline from Japanese Society of Echocardiography: 2018 focused update
incorporated into Guidance for the Management and Maintenance of Echocardiography
Equipment.
AB - Echocardiography plays a pivotal role as an imaging modality in the modern
cardiology practice. Information derived from echocardiography is definitely
helpful for a patient care. The Japanese Society of Echocardiography has promoted
echocardiography for a routine clinical and research use. One of the missions of
the Society is to provide information that is useful for high-quality
examinations. To ensure it, we believe equipment in good conditions and a
comfortable environment are important for both a patient and an examiner. Thus,
the Committee for Guideline Writing, the Japanese Society of Echocardiography
published brief guidance for the routine use of echocardiography equipment in
2015. Recently, the importance of international standardization has been
emphasized in the medical laboratories. Accordingly, the committee has revised
and updated our guidance for the routine use of echocardiography equipment.
PMID- 29362980
TI - Efficacy and safety of switching to nilotinib in patients with CML-CP in major
molecular response to imatinib: results of a multicenter phase II trial (NILSw
trial).
AB - We evaluated the efficacy and safety of switching to nilotinib in CML-CP patients
who had achieved MMR with continuous detectable BCR-ABL1 transcript levels after
long-term imatinib treatment. Patients who had achieved MMR, but not deep
molecular response (DMR), after > 18 months from the initiation of imatinib
received nilotinib 400 mg twice daily for up to 24 months. BCR-ABL1 transcript
levels were assessed every 3 months. Thirty-eight patients with a median age of
57.5 years (range 22-76 years) were evaluated. Twenty-seven patients completed 24
months of nilotinib treatment; 11 discontinued nilotinib due to retraction of
consent (three patients), loss of MMR (1), intolerance (3) or AEs (5). Twenty
patients [52.6%, (90% CI 38.2-66.7%)] achieved DMR. The cumulative incidence of
achieving DMR by the time of 3, 6, 9, 12, 15, 18, and 21 months was 22.9, 37.7,
47.0, 53.7, 53.7, 53.7, and 53.7%, respectively. Adverse events were consistent
with those reported in other nilotinib studies. Patients experienced each of the
following cardiovascular complications: atrial fibrillation (G2), chest tightness
and dyspnea (G1), myocardial infarction (G2) and heart failure (G3) (n = 1 for
each complication). This study indicates nilotinib achieves strong, rapid
induction of DMR for patients who achieved MMR after long-term imatinib therapy.
PMID- 29362981
TI - Interventions at the Transition from Prison to the Community for Prisoners with
Mental Illness: A Systematic Review.
AB - Prisoners have high rates of mental illness and the transition from prison to the
community is a problematic time for the provision of mental health services and a
range of negative outcomes have been identified in this period. A systematic
review was conducted to identify interventions for prisoners with diagnosed
mental health conditions that targeted this transition period. Fourteen papers
from 13 research studies were included. The interventions identified in this
review were targeted at different stages of release from prison and their content
differed, ranging from Medicaid enrolment schemes to assertive community
treatment. It was found that insurance coverage, and contact with mental health
and other services can be improved by interventions in this period but the impact
on reoffending and reincarceration is complex and interventions may lead to
increased return to prison. There is a developing evidence base that suggests
targeting this period can improve contact with community mental health and other
health services but further high quality evidence with comparable outcomes is
needed to provide more definitive conclusions. The impact of programmes on return
to prison should be evaluated further to establish the effect of interventions on
clinical outcomes and to clarify the role of interventions on reincarceration.
PMID- 29362982
TI - Multi-modality imaging: Bird's eye view from the 2017 American Heart Association
Scientific Sessions.
AB - This review summarizes key imaging studies that were presented in the American
Heart Association Scientific Sessions 2017 related to the fields of nuclear
cardiology, cardiac computed tomography, cardiac magnetic resonance, and
echocardiography. The aim of this bird's eye view is to inform readers about
multiple studies reported at the meeting from these different imaging modalities.
While such a review is most useful for those that did not attend the conference,
we find that a general overview may also be useful to those that did since it is
often difficult to get exposure to many abstracts at large meetings. The review,
therefore, aims to help readers stay updated on the newest imaging studies
presented at the meeting and will hopefully stimulate new ideas for future
research in imaging.
PMID- 29362983
TI - Detrimental effects of nitroglycerin use during regadenoson vasodilator stress
testing: A cautionary tale.
AB - Vasodilator agents such as adenosine and regadenoson are commonly used
pharmacologic stressors to assess for ischemia in patients undergoing myocardial
perfusion studies. The recommended reversal agent for this mode of stress is
aminophylline, although nitroglycerin is commonly administered as an attempt to
reverse the symptoms or electrocardiographic (EKG) changes during the stress
test. We demonstrate through two cases that incorrect administration of
nitroglycerin can induce hypotension and worsen coronary steal, whereas
appropriate administration of aminophylline can reverse the effects of
pharmacologic vasodilators. While nitroglycerin is often used in patients with
organic angina, it has the potential to worsen ischemia in the setting of
pharmacologic vasodilator administration. These cases underscore the importance
of administering the correct reversal agent for pharmacologic stress tests.
PMID- 29362984
TI - Clinical significance of hWAPL polymorphisms in the risk of cervical
carcinogenesis.
AB - To investigate the clinical significance of human wings apart-like (hWAPL)
genetic polymorphisms in cervical carcinogenesis. hWAPL polymorphisms and human
papillomavirus (HPV) types were examined in 175 cervical smears of exfoliated
cervical cell samples using a real-time polymerase chain reaction system. A
significant difference was detected in the frequency of the CC genotype between
the HPV(+) low-grade squamous intraepithelial lesion (LSIL) and high-grade
squamous intraepithelial lesion (HSIL) groups [Odds ratio 0.21, 95% confidence
interval (CI) 0.0723-0.61; P = 0.0029]. A significant difference was noted in the
frequency of the CC genotype between the high-risk HPV-positive LSIL and HSIL
groups (odds ratio 0.2955, 95% CI 0.0893-0.9771; P = 0.0414). The CC genotype of
hWAPL gene promoter polymorphism may be associated with cervical carcinogenesis.
PMID- 29362985
TI - Opium Consumption and the Incidence of Cancer: Does Opium Account as an Emerging
Risk Factor for Gastrointestinal Cancer?
AB - PURPOSE: Some epidemiological studies have shown an association between opium
consumption and the incidence of gastrointestinal (GI) cancer. The present study
was designed to investigate the effects of opium on the initiation of GI cancer
in rats. METHODS: Forty-five rats were randomly divided into three groups; each
received different treatment for 40 weeks. The rats in group 1 received purified
water, while animals in group 2 were treated with 5 mg/kg diethylnitrosamine
(DEN) orally for 8 weeks and continued with purified water by the end of the
experiment. The third experimental group received 300 mg/kg opium for 16 weeks
and then continued with 50 mg/kg phenobarbital by the end of the 40th week. The
growth of tumors in the treated groups was assessed by histological changes and
the up/down expression of p53, cdkn1, cdk2, e-cdh, and n-cdh genes in different
parts of GI tract. RESULTS: Histological examinations revealed that DEN was able
to induce the growth of tumor in GI tract as shown by active mitotic figure in
different regions of GI system and hyperplasia of hepatocytes associated with
infiltration of inflammatory cells, intestinal villous hypertrophy, and
colorectal adenoma. There was also significant (p < 0.05) overexpression of p53,
cdk2, and n-Cdh genes in different parts of digestive system in DEN-treated
group. However, these pathological changes and the degradation of gene expression
were not observed in the opium-treated group. CONCLUSION: The results of this
study suggest that the opium does not promote the initiation of cancer in GI
tract.
PMID- 29362986
TI - Transcutaneous electromyographic respiratory muscle recordings to quantify
patient-ventilator interaction in mechanically ventilated children.
AB - BACKGROUND: To explore the feasibility of transcutaneous electromyographic
respiratory muscle recordings to automatically quantify the synchronicity of
patient-ventilator interaction in the pediatric intensive care unit. METHODS:
Prospective observational study in a tertiary paediatric intensive care unit in
an university hospital. Spontaneous breathing mechanically ventilated children <
18 years of age were eligible for inclusion. Patients underwent a 5-min
continuous recording of ventilator pressure waveforms and transcutaneous
electromyographic signal of the diaphragm. To evaluate patient-ventilator
interaction, the obtained neural inspiration and ventilator pressurization
timings were used to calculate trigger and cycle-off errors of each breath.
Calculated errors were displayed in the dEMG-phase scale. RESULTS: Data of 23
patients were used for analysis. Based on the dEMG-phase scale, the median rates
of synchronous, dyssynchronous and asynchronous breaths as classified by the
automated analysis were 12.2% (1.9-33.8), 47.5% (36.3-63.1), and 28.9% (6.6
49.0). CONCLUSIONS: The dEMG-phase scale quantifying patient-ventilator breath
synchronicity was demonstrated to be feasible and a reliable scale for
mechanically ventilated children, reflected by high intra-class correlation
coefficients. As this non-invasive tool is not restricted to a type of
ventilator, it could easily be clinical implemented in the ventilated pediatric
population. However; correlation studies between the EMG signal measured by
surface EMG and esophageal catheters have to be performed.
PMID- 29362987
TI - Geant4 beam model for boron neutron capture therapy: investigation of neutron
dose components.
AB - Boron neutron capture therapy (BNCT) is a biochemically-targeted type of
radiotherapy, selectively delivering localized dose to tumour cells diffused in
normal tissue, while minimizing normal tissue toxicity. BNCT is based on thermal
neutron capture by stable [Formula: see text]B nuclei resulting in emission of
short-ranged alpha particles and recoil [Formula: see text]Li nuclei. The purpose
of the current work was to develop and validate a Monte Carlo BNCT beam model and
to investigate contribution of individual dose components resulting of neutron
interactions. A neutron beam model was developed in Geant4 and validated against
published data. The neutron beam spectrum, obtained from literature for a
cyclotron-produced beam, was irradiated to a water phantom with boron
concentrations of 100 MUg/g. The calculated percentage depth dose curves (PDDs)
in the phantom were compared with published data to validate the beam model in
terms of total and boron depth dose deposition. Subsequently, two sensitivity
studies were conducted to quantify the impact of: (1) neutron beam spectrum, and
(2) various boron concentrations on the boron dose component. Good agreement was
achieved between the calculated and measured neutron beam PDDs (within 1%). The
resulting boron depth dose deposition was also in agreement with measured data.
The sensitivity study of several boron concentrations showed that the calculated
boron dose gradually converged beyond 100 MUg/g boron concentration. This results
suggest that 100MUg/g tumour boron concentration may be optimal and above this
value limited increase in boron dose is expected for a given neutron flux.
PMID- 29362988
TI - A Comparative Study on Immobilization of Fructosyltransferase in Biodegradable
Polymers by Electrospinning.
AB - Commercial application of biocatalysts depends on the efficiency of the
immobilization method and residual enzyme activity. Electrospinning offers a
simple and versatile route to immobilize enzymes in submicron-sized fibers and
thus improved mass transfer characteristics. Performance of encapsulation of
fructosyltransferase from Bacillus subtilis by emulsion, suspension, and coaxial
electrospinning was compared. We particularly focused on the effect of
hydrophilic properties of a set of biodegradable polymers on support's activity.
Bioactivity of electrospun support in aqueous medium increased in order of the
matrix hydrophilicity. Additionally, the efficiency of electrospun fibers was
compared with Sepabeads(r), commercial epoxy-activated resins. In fibers, enzyme
loading of 68.1 mg/g and specific enzyme activity of 5.5 U/mg was achieved
compared to 49.5 mg/g and 2.2 U/mg on Sepabeads. Fructosyltransferase exhibited
high sensitivity towards organic solvents and covalent attachment, respectively.
Immobilization of native enzyme in coaxial fibers increased the specific activity
to approx. 30 U/mg which corresponds to 24% of that of the free enzyme. Finally,
operational stability of fiber supports was examined in a plug-flow reactor and
5% of initial substrate conversion remained after > 2000 cycles. The efficiency
of core-shell immobilizates compared to one-dimensional fibers was both in batch
and continuous reaction at least 4.4-fold higher.
PMID- 29362990
TI - Characterization and Antibacterial Activity Against Helicobacter pylori of Lactic
Acid Bacteria Isolated from Thai Fermented Rice Noodle.
AB - A total of 32 lactic acid bacteria (LAB) were isolated from Khanom-jeen, a Thai
traditional fermented rice noodle. They belonged to the genus Leuconostoc (Ln),
Lactobacillus (Lb), Enterococcus (E), Lactococcus (Lc), and Weissella (W), based
on their phenotypic characteristics and 16S rRNA gene sequence analyses. The
strains were identified as Ln. pseudomesenteroides (group 1, two strains), Ln.
citreum (group 2, three strains), Ln. lactis (group 3, three strains), Lb.
paracasei subsp. tolerans (group 4, two strains), E. faecium (group 5, three
strains), Lc. lactis subsp. lactis (group 6, one strain), W. confusa (group 7,
six strains), Lb. fermentum (group 8, seven strains), and Lb. plantarum subsp.
plantarum and Lb. pentosus (group 9, five strains). Fifteen strains exhibited the
inhibitory activity against Helicobacter pylori clinical isolates by spot-on-lawn
method. Lb. fermentum P43-01 resisted to bile acids showed the broad spectrum of
antimicrobial activity against H. pylori strains MS83 and BK364. These
antagonistic effects were associated with proteinaceous compounds which are
sensitive to alpha-chymotrypsin and pepsin. Results indicated that production of
bacteriocin-like substances of selected strain might be the significant mechanism
that exerted the inhibition on H. pylori. A potential strain could be used as
probiotics in alternative or adjunctive therapy for a patient suffering from H.
pylori infection.
PMID- 29362989
TI - Radiolabeled GX1 Peptide for Tumor Angiogenesis Imaging.
AB - Early and accurate detection of primary or metastatic tumors is of great value in
staging, treatment management, and prognosis. Tumor angiogenesis plays an
essential role in the growth, invasion, and metastatic spread of solid cancers,
and so, is a promising approach for tumor imaging. The GX1 (CGNSNPKSC) peptide
was identified by phage display library and has been investigated as a marker for
human cancers. This study aims to evaluate the 99mTc-HYNIC-PEG4-c (GX1) as a
biomarker for tumor imaging. Our results showed that GX1 specifically binds to
tumor cells in vitro. SKMEL28 and MDA-MB231 cells achieved total binding peak at
60 min of incubation. For B16F10 and MKN45 cells, the total and specific binding
were similar during all time points, while A549 cell line showed rapid cellular
total uptake of the tracer at 30 min of incubation. Biodistribution showed low
non-specific uptakes and rapid renal excretion. Melanoma tumors showed enhanced
GX1 uptake in animal model at 60 min, and it was significantly blocked by cold
peptide. The radiotracer showed tumor specificity, especially in melanomas that
are highly vascularized tumors. In this sense, it should be considered in future
studies, aiming to evaluate degree of angiogenesis, progression, and invasion of
tumors.
PMID- 29362991
TI - Reduced spontaneous low frequency oscillations as measured with functional near
infrared spectroscopy in mild cognitive impairment.
AB - Spontaneous low frequency oscillations (LFO) in functional imaging data have
gained increased interest in the study of cognitive decline. Persons diagnosed
with mild cognitive impairment (MCI) and Alzheimer's disease (AD) display
alterations in their amount of LFO in various brain regions. This is commonly
interpreted as disruptions in the autoregulation of the cerebral microvascular
system. In the present study LFO (0,07-0,11 Hz) were measured with 52-channel
near-infrared spectroscopy (NIRS) in 61 healthy elderly persons (70-76 years), 54
MCI subjects (70-76 years) and 25 healthy young controls (21-48 years) during
rest over the frontal and the parietal cortex. Both MCI and healthy elderly
controls showed less LFO in the frontal cortex as compared to young subjects. For
the parietal cortex a decrease in LFO could be observed for the MCI group in
comparison to healthy elderly subjects. Correlations of more LFO with worse
performance in neuropsychological tests point to compensatory processes. LFO
measured with NIRS might be especially suited for longitudinal studies aiming at
predicting cognitive decline.
PMID- 29362992
TI - White matter integrity correlates with residual consciousness in patients with
severe brain injury.
AB - Previous studies have suggested that white matter disruption plays an important
role in disorders of consciousness (DOC) after severe brain injury. Nevertheless,
the integrity of white matter architecture supporting consciousness and its
relations with clinical severity in patients with DOC remain to be established.
In this study, diffusion tensor imaging (DTI) data was collected from 14 DOC
patients and 15 healthy control subjects. We combined tract-based spatial
statistics (TBSS) with region of interest (ROI) analysis to examine differences
of DTI metrics on white matter skeletons between DOC patients and healthy
controls, and the association between white matter integrity and patients'
residual consciousness assessed by Coma Recovery Scale-Revised (CRS-R). We found
that: (1) patients with DOC had widespread white matter integrity disruptions,
especially in the fornix; (2) the alteration of white matter microstructure was
mainly attributed to the increase in radial diffusivity, possibly reflecting
demyelination; (3) the behavioral CRS-R assessment score was positively
correlated with white matter integrity in the fornix, uncinate fasciculus,
pontine crossing tract, and posterior limb of internal capsule. Our results
suggest that despite the widespread abnormalities of white matter following
severe brain injury, the impairment of consciousness is likely to result from
disruptions of key pathways that link brain regions in distributed networks.
PMID- 29362993
TI - Regarding "Lethal hypothermia - a sometimes elusive diagnosis".
PMID- 29362994
TI - Common Injuries in Professional Football Quarterbacks.
AB - PURPOSE OF REVIEW: Professional football quarterbacks are at particular risk for
upper extremity injuries due to the physical demands of their position coupled
with the inherent risks associated with professional football. This review sought
to evaluate current clinical literature to better characterize the injury profile
unique to this athletic population. RECENT FINDINGS: Shoulder injuries are the
most prevented upper extremity injury among professional football quarterbacks.
The quarterback position is disproportionately impacted by shoulder injuries when
compared to professional athletes at other positions. Moreover, contrary to other
professional throwing athletes, the majority of upper extremity injuries in the
professional quarterback result from direct contact as opposed to the throwing
motion. The injury profile among professional quarterbacks is unique compared to
other positions and other overhead professional throwing athletes. Overall, a
paucity of high quality clinical evidence exists to support the management of
injuries in this elite population.
PMID- 29362996
TI - Successful surgical treatment of left atrioesophageal fistula following atrial
ablation.
AB - A 69-year-old male had catheter-based ablation for atrial fibrillation. He was
admitted with high fever and had neurological disorder; he was diagnosed with
atrioesophageal fistula by CT scan. Intraoperative findings showed that the
fistula existed adjacent to the left lower pulmonary vein with a vegetation. The
esophageal fistula was repaired, and the left atrial fistula was closed. A
nasogastric tube tip was placed in the esophagus for decompression and advanced
into the stomach for nutritional support. After vomiting, the patient showed loss
of consciousness and left hemiplegia. CT scan revealed a micro-air embolism to
the brain. The nasogastric tube tip was pulled back into the esophagus.
Gastrointestinal fiberscopy showed a pinhole at the fistula, and a percutaneous
endoscopic gastrostomy was made. After conservative treatment, the esophageal
fistula was closed and mediastinitis was improved. He was discharged with a
little neurological deficit.
PMID- 29362995
TI - The Repaired Rotator Cuff: MRI and Ultrasound Evaluation.
AB - PURPOSE OF REVIEW: The purposes of this review were to provide an overview of the
current practice of evaluating the postoperative rotator cuff on imaging and to
review the salient imaging findings of the normal and abnormal postoperative
rotator cuff, as well as of postoperative complications. RECENT FINDINGS: The
repaired rotator cuff frequently appears abnormal on magnetic resonance imaging
(MRI) and ultrasound (US). Recent studies have shown that while the tendons
typically normalize, they can demonstrate clinically insignificant abnormal
imaging appearances for longer than 6 months. Features of capsular thickening or
subacromial-subdeltoid bursal thickening and fluid distension were found to
decrease substantially in the first 6-month postoperative period. MRI and US were
found to be highly comparable in the postoperative assessment of the rotator
cuff, although they had a lower sensitivity for partial thickness tears. Imaging
evaluation of newer techniques such as patch augmentation and superior capsular
reconstruction needs to be further investigated. MRI and US are useful in the
postoperative assessment of the rotator cuff, not only for evaluation of the
integrity of the rotator cuff, but also for detecting hardware complications and
other etiologies of shoulder pain.
PMID- 29362997
TI - TREM2 Ameliorates Neuronal Tau Pathology Through Suppression of Microglial
Inflammatory Response.
AB - As a recently identified susceptibility gene for Alzheimer's disease (AD),
triggering receptor expressed on myeloid cells 2 (TREM2) encodes an immune
receptor that is uniquely expressed on microglia, functioning as a modulator of
microglial functions including phagocytosis and inflammatory response. Several
lines of evidence suggest that TREM2 is upregulated and positively correlates
with tau pathology in the brains of AD patients. Meanwhile, our recent study
showed that knockdown of TREM2 markedly exacerbated neuronal tau
hyperphosphorylation in the brains of P301S-tau transgenic mice, implying that
TREM2 might exert a protective role against tau pathology under AD context.
However, the precise mechanisms underlying this observation remain largely
unclear. In this study, by employing a microglial-neuronal co-culture model, we
showed that microglial inflammatory response induced by lipopolysaccharide led to
tau hyperphosphorylation in neurons via activation of a major tau kinase glycogen
synthase kinase 3beta, confirming the pathogenic effects of activated microglia
on the progression of tau pathology. More importantly, by manipulating TREM2
levels in microglia with a lentiviral-mediated strategy, we demonstrated that
TREM2 ameliorated the pathological effects of activated microglia on neuronal tau
hyperphosphorylation via suppression of microglial inflammatory response. Taken
together, these findings uncover the underlying mechanisms by which TREM2
protects against tau pathology and highlight TREM2 as a potential therapeutic
target for AD.
PMID- 29362998
TI - Cancer-associated retinopathy after surgery for breast cancer: a case report and
review of the literature.
AB - We herein report a 50-year-old Japanese woman with breast cancer who complained
of blurred vision and central scotoma in her left eye on the 12th day after
surgery. Subsequently, the sudden-onset binocular visual disorder progressed, and
she was diagnosed with cancer-associated retinopathy (CAR) based on the clinical
findings. Although her visual acuity temporarily improved following the start of
adjuvant chemotherapy, reductions in her visual acuity progressed once again.
After two courses of steroid pulse therapy initiated from the 59th day following
the onset of CAR, although her visual field was still constricted, her binocular
visual acuity improved from finger movement to 0.8 2 months later. The shorter
the period from onset to treatment, the better the prognosis of the visual
function. However, a diagnosis is often delayed because the incidence of this
disease is very rare. Therefore, it is important to suspect CAR whenever a sudden
visual disorder develops in cancer patients. Furthermore, treatment is believed
to be effective even if steroid therapy is started up to 2 months from onset.
PMID- 29363000
TI - Pituitary xanthogranulomas: clinical features, radiological appearances and post
operative outcomes.
AB - BACKGROUND: Xanthogranulomas are inflammatory masses most commonly found at
peripheral sites such as the skin. Sellar and parasellar xanthogranulomas are
rare and present a diagnostic challenge as they are difficult to differentiate
from other sellar lesions such as craniopharyngiomas and Rathke's cleft cysts pre
operatively. Their radiological imaging features are yet to be clearly defined,
and clinical outcomes after surgery are also uncertain. This study reviews
clinical presentation, radiological appearances, and clinical outcomes in a
cohort of patients with pituitary xanthogranulomas. METHODS: A prospectively
maintained pituitary surgery database was screened for histologically confirmed
pituitary xanthogranulomas between May 2011-December 2016. Retrospective case
note assessments were then performed by three independent reviewers. Patient
demographics, clinical presentations, imaging, and clinical outcomes were
analysed. RESULTS: During the study period 295 endoscopic endonasal pituitary
surgeries were performed. Six patients had confirmed pituitary xanthogranulomas
(2%). Patients most commonly presented with visual field deficits and/or
endocrine dysfunction. Common imaging features included: a cystic consistency,
hyperintensity on T1-weighted MR images, and contrast enhancement either
peripherally (n = 3) or homogenously (n = 3). The most common pre-operative
endocrine deficits were hyperprolactinaemia and hypoadrenalism (at least one of
which was identified in 4/6 patients; 66%). Thirty-three percent (2/6) of
patients presented with diabetes insipidus. The most common post-operative
endocrinological deficits were adrenocortical dysfunction (66%) and gonadotropin
deficiency (66%). Visual assessments normalised in all six patients post
operatively. Gross total resection was achieved in all patients, and at median
follow up of 33.5 months there were no cases of tumour recurrence. CONCLUSIONS:
The prevalence of pituitary xanthogranulomas in our series is higher than that
suggested in the literature. Surgery restored normal vision to all cases, however
four patients (67%) required long-term hormonal replacement post-operatively.
Imaging features such peripheral rim enhancement, a suprasellar tumour epicentre,
and the absence of both calcification or cavernous sinus invasion were identified
as potential indicators that together should alert clinicians to the possibility
of pituitary xanthogranuloma when assessing patients with cystic sellar and
parasellar tumours.
PMID- 29363001
TI - The Impact of Triage Nurse-ordered Diagnostic Studies on Pediatric Emergency
Department Length of Stay.
AB - OBJECTIVE: To identify the need to revise the program triage nurse-ordered
diagnostic tests in the emergency department (ED) of pediatric hospital, and to
evaluate implementation of this program with three laboratory routine tests,
namely blood, urine and stool, which the triage nurses ordered as relevant to
pediatric patients' symptoms. METHODS: The authors retrospectively reviewed the
data of patients who registered in their ED between December 2015 and April 2016,
including the tests as per the orders by triage nurses, and the time they arrived
and the time they had their final payments. A comparison was made of those, who
stayed in the ED, with nurse-requested tests, to those without such tests.
RESULTS: The review indicated the total number of subjects who presented in ED
during the study period and were included in the study was 116,202; 65.4% with
nurse-requested tests while 34.6% without such tests. On median, the length of
their stay with nurse-requested tests was 229 min and without such tests was 244
min, which has significant difference (P = 0.000). CONCLUSIONS: The results of
this program were associated with a reduction in ED treatment which achieved the
purpose to improve high patient flow in the emergency department. However, this
intervention needs further studies to develop the nurse-ordered diagnostic
studies program with more different clinical conditions and tests including
triage nurses training and guideline.
PMID- 29363003
TI - Correction to: Compositional design and Taguchi optimization of hardness
properties in silicone-based ocular lenses.
AB - The original version of this article unfortunately contained a mistake: The
spelling of the Ebrahim Gafar-Zadehs' name was incorrect. The corrected name is
given above.
PMID- 29363002
TI - Bromodomain proteins GTE9 and GTE11 are essential for specific BT2-mediated sugar
and ABA responses in Arabidopsis thaliana.
AB - KEY MESSAGE: Global Transcription Factor Group E proteins GTE9 and GTE11 interact
with BT2 to mediate ABA and sugar responses in Arabidopsis thaliana. BT2 is a BTB
domain protein that regulates responses to various hormone, stress and metabolic
conditions in Arabidopsis thaliana. Loss of BT2 results in plants that are
hypersensitive to inhibition of germination by abscisic acid (ABA) and sugars.
Conversely, overexpression of BT2 results in resistance to ABA and sugars. Here,
we report the roles of BT2-interacting partners GTE9 and GTE11, bromodomain and
extraterminal-domain proteins of Global Transcription Factor Group E, in BT2
mediated responses to sugars and hormones. Loss-of-function mutants, gte9-1 and
gte11-1, mimicked the bt2-1-null mutant responses; germination of all three
mutants was hypersensitive to inhibition by glucose and ABA. Loss of either GTE9
or GTE11 in a BT2 over-expressing line blocked resistance to sugars and ABA,
indicating that both GTE9 and GTE11 were required for BT2 function. Co
immunoprecipitation of BT2 and GTE9 suggested that these proteins physically
interact in vivo, and presumably function together to mediate responses to ABA
and sugar signals.
PMID- 29363004
TI - Correction to: Cure kinetic study of methacrylate-POSS copolymers for ocular
Lens.
AB - The original version of this article unfortunately contained a mistake: the
spelling of the Ebrahim Gafar-Zadehs' name was incorrect. The corrected name is
given above.
PMID- 29362999
TI - Abstracts of the 33rd International Austrian Winter Symposium : Zell am See,
Austria. 24-27 January 2018.
PMID- 29363005
TI - Polyphenols protect against age-associated apoptosis in female rat cochleae.
AB - Dietary antioxidants, polyphenols, have been found to be beneficial in protecting
against the generation of oxidative stress in various diseases associated with
aging. Age-related hearing loss (AHL) is the number one neurodegenerative
disorder on our aged population. Sprague-Dawley rats divided into five groups
according to their age (3, 6, 12, 18 and 24 months old) and treated with 100
mg/day/kg body weight of polyphenols were used. Then, cochleae were harvested to
measure caspase activities (- 3, - 8 and - 9), caspase-3 gene expression, ATP
levels, Bax, BcL-2 and p53 levels. 8-OHdG levels (marker of DNA oxidative damage)
and annexin-V were also measured in cochleae. Increased levels of caspase-3 and 9
in cochlea were observed with age and this effect was attenuated by polyphenol
treatment. In addition, ATP and Bcl-2 levels in older rats were recovered after
administration of polyphenols, while Bax and p53 levels protein decreased. Oral
supplementation with polyphenols also reduces DNA oxidative damage of cochlear
cell. Treatment with polyphenols inhibits the activation of age-related apoptotic
signaling by decreasing oxidative stress inside the rat cochlea.
PMID- 29363006
TI - 3 mm Senhance robotic hysterectomy: a step towards future perspectives.
PMID- 29363008
TI - Troponin assessment in patients admitted to the emergency department with atrial
fibrillation: which role in daily clinical practice?
PMID- 29363007
TI - Technical and operational modifications required for evolving robotic programs
performing anatomic pulmonary resection.
AB - The objectives of this study are to review the complicated and often confusing
technical changes required when converting from the Si robotic system to the Xi
when performing pulmonary lobectomy and segmentectomy. We reviewed a prospective
database of a consecutive series of patients who intended to undergo robotic
lobectomy or segmentectomy by one surgeon. There were 101 lobectomies and 25
segmentectomies performed on the Si robot in 2015-2016, and 95 lobectomies and 28
segmentectomies in 2016 on the Xi robot. The two groups were similar for age,
height, weight, pulmonary function, anatomic resections, and co-morbidities.
Technical differences in robotic arm numbering, port placement, and
instrumentation are shown below. Median docking time was shorter with the Xi
robot [7.5 (95% CI 6-8) versus 10 (95% CI 9-12) min, p = 0.003] as was operation
duration [114 (95% CI 104-123) versus 119 (95% CI 116-126) min, p = 0.041] and
skin closure to room exit [12 (95% CI 10-24) versus 13 (95% CI 12-15) min, p =
0.081]. Anesthesiologists expressed greater comfort with the Xi system, because
the patient's head was not covered by the robot. Outcomes for Si and Xi
operations such as median blood loss (20 cc versus 20 cc), transfusion rate (0
versus 0), major complication rate (3.2 versus 3.3%), and the 30- and 90-day
mortality were no different (one 30-day death in the Si group). The technical
changes that are required for robotic Si-to-Xi conversion are shown. The Xi
system may offer improved operational efficiency.
PMID- 29363009
TI - Malignant Monckeberg medial calcific sclerosis.
PMID- 29363010
TI - Visual discrimination of primate species based on faces in chimpanzees.
AB - Many primate studies have investigated discrimination of individual faces within
the same species. However, few studies have looked at discrimination between
primate species faces at the categorical level. This study systematically
examined the factors important for visual discrimination between primate species
faces in chimpanzees, including: colour, orientation, familiarity, and perceptual
similarity. Five adult female chimpanzees were tested on their ability to
discriminate identical and categorical (non-identical) images of different
primate species faces in a series of touchscreen matching-to-sample experiments.
Discrimination performance for chimpanzee, gorilla, and orangutan faces was
better in colour than in greyscale. An inversion effect was also found, with
higher accuracy for upright than inverted faces. Discrimination performance for
unfamiliar (baboon and capuchin monkey) and highly familiar (chimpanzee and
human) but perceptually different species was equally high. After excluding
effects of colour and familiarity, difficulty in discriminating between different
species faces can be best explained by their perceptual similarity to each other.
Categorical discrimination performance for unfamiliar, perceptually similar faces
(gorilla and orangutan) was significantly worse than unfamiliar, perceptually
different faces (baboon and capuchin monkey). Moreover, multidimensional scaling
analysis of the image similarity data based on local feature matching revealed
greater similarity between chimpanzee, gorilla and orangutan faces than between
human, baboon and capuchin monkey faces. We conclude our chimpanzees appear to
perceive similarity in primate faces in a similar way to humans. Information
about perceptual similarity is likely prioritized over the potential influence of
previous experience or a conceptual representation of species for categorical
discrimination between species faces.
PMID- 29363011
TI - A 5-mm trocar site paramedian early onset voluminous hernia: still in doubt?
PMID- 29363012
TI - Distraction arthroplasty compared to other cartilage preservation procedures in
patients with post-traumatic arthritis: a systematic review.
AB - : Post-traumatic arthritis (PTA) is characterized by the deterioration of
articular cartilage temporally associated with an articular injury. With a
paucity of literature comparing joint preservation techniques, we performed a
systematic review of the literature intending to describe and summarize the
results of ankle distraction arthroplasty as it compares with studies on tibio
talar microfracture, allograft, and autograft for ankle joint preservation in the
post-traumatic population under 50 years of age. Research databases were searched
and abstracts screened for relevance on our topic of interest. Abstracts meeting
screening criteria with high interobserver reliability underwent full-manuscript
review and coding for pertinent citation, study level, treatment, and outcome
variables. Outcome variables for patient-reported pain scales, validated outcome
measurement tools, radiographic progression, reoperation/re-treatment rates, and
complication rates were recorded. Out of 105 unique citations, 10 publications
were included. The distraction arthroplasty studies had 36 out of 181 patients
requiring reoperation for complications (19.9%), while other joint-preserving
procedures studies had 40 out of 177 patients requiring reoperations for
complications (22.6%). Clinical outcome scores at mean follow-up time ranging
from 2 to 10 years between studies were similar. Reported results for a variety
of cartilage preservation procedures, including distraction arthroplasty, are
satisfactory and reoperation rates for complication are similar. Limitations in
available data and underlying study quality affect synthesis of the results
therein. While distraction arthroplasty is an option for cartilage preservation
in patients with PTA of the ankle, the technique is highly specialized which may
affect the external validity. LEVEL OF EVIDENCE: III.
PMID- 29363013
TI - The tibial bayonet method of wound closure.
AB - Management of open lower limb fractures with soft tissue defects can be a
technically challenging orthopaedic problem. Limited availability of orthoplastic
services means that alternatives to the fix and flap concept are required in
order to prevent infected non-unions from developing. The proposed 'bayonet
apposition' allows the surgeon to temporarily shorten the limb without angulating
the limb or creating a bone defect and removing viable bone. The viable bone
edges are overlapped in a bayonet-like manner in order to appose the wound and
skin edges. The limb length is restored by gradually distracting the bone
segments once the soft tissues have healed. This is facilitated with a hexapod
fixator for stabilization of the fracture and distraction. Prerequisites for
utilizing this method are circumferential soft tissue damage to the lower limb
with viable distal tissue. The bayonet method allows primary closure of a wound
and rapid restoration of the native length of the limb.
PMID- 29363014
TI - Letter to the Editor: Utility of Endoanal Ultrasonography in Assessment of
Primary and Recurrent Anal Fistulas and for Detection of Associated Anal
Sphincter Defects.
PMID- 29363015
TI - Pancreaticoduodenectomy and Superior Mesenteric Vein Resection Without
Reconstruction for Locally Advanced Pancreatic Cancer.
PMID- 29363016
TI - Cytoreductive Surgery (CRS) and Hyperthermic Intraperitoneal Chemotherapy
(HIPEC): a Single-Center Experience in Austria.
AB - BACKGROUND: Cytoreductive surgery (CRS) and hyperthermic intraperitoneal
chemotherapy (HIPEC) can significantly influence overall and disease-free
survival in selected patients suffering from peritoneal surface malignancies
(PSM) of various tumor entities. Because of the extent of the therapeutic
approach, the associated morbidity and mortality and the multidisciplinarity
needed, implementation of a CRS + HIPEC program at an institution is often
challenging. METHODS: This single-center analysis included all patients (n = 60,
34 female, 26 male) with PSM from various tumor primaries [colorectal cancer
(15/60; 25%), appendix neoplasia (21/60; 35%), and others (24/60; 40%)] treated
with CRS + HIPEC at our institution between 2006 and 2014. Charts were reviewed
for preoperative patient evaluation, procedure-specific and tumor-specific
parameters, morbidity, mortality, tumor recurrence and patients' overall (OS),
and disease-free survival (DFS). RESULTS: In 57 of the 60 patients included in
the investigation (57/60; 95%), a radical resection (CC 0/1) was achieved. Median
operating time was 559 min (253-900) with a median need of packed red blood cells
of 1.1 (0-7) or fresh frozen plasma of 4.4 (0-20) concentrates. Twenty (33.3%)
patients experienced 24 Dindo-Clavien grade III/IV complications (24/63; 38.1%).
Postoperative 30- and 90-day mortality was 0% in our study population. Five-year
OS was 43%, 5-year DFS 33%. CONCLUSIONS: Due to thorough preoperative patient
evaluation, strict inclusion and exclusion criteria, and intense collaboration
with other specialties, we were able to achieve an excellent 5-year OS of 43%
with a CC score of 0/1 in 95% of our patient population. We were able to
demonstrate the feasibility, efficacy, and safety of CRS + HIPEC in patients
suffering from PSM at our institution.
PMID- 29363017
TI - Esophagobronchial Fistula as the Initial Manifestation of an Esophageal Cancer:
Radiological Demonstration.
PMID- 29363018
TI - Surgical Anatomy of the Superior Mesenteric Vessels Related to
Pancreaticoduodenectomy: a Systematic Review and Meta-Analysis.
AB - BACKGROUND AND PURPOSE: Mesopancreas dissection with central vascular ligation
and the superior mesenteric artery (SMA)-first approach represent the cornerstone
of current principles for radical resection for pancreatic head cancer. The
surgeon dissecting around the SMV and SMA should be aware regarding the
anatomical variants in this area. The aims of this systematic review and meta
analysis are to detail the surgical anatomy of the superior mesenteric vessels
and to propose a standardized terminology with impact in pancreatic cancer
surgery. METHODS: We conducted a systematic search to identify all published
studies in PubMed/MEDLINE and Google Scholar databases from their inception up to
March 2017. RESULTS: Seventy-eight studies, involving a total of 18,369
specimens, were included. The prevalence of the mesenteric-celiac trunk,
replaced/accessory right hepatic artery (RRHA), common hepatic artery, and SMV
inversion was 2.8, 13.2, 2.6, and 4.1%, respectively. The inferior
pancreaticoduodenal artery has its origin into the first jejunal artery, SMA, and
RRHA, in 58.7, 35.8, and 1.2% of cases, respectively. The SMV lacks a common
trunk in 7.5% of cases. The first jejunal vein has a trajectory posterior to the
SMA in 71.8% of cases. The left gastric vein drains into the portal vein in 58%,
in splenic vein (SV) in 35.6%, and into the SV-PV confluence in 5.8% of cases.
CONCLUSIONS: Complex pancreaticoduodenal resections require detailed knowledge of
the superior mesenteric artery and vein, which is significantly different from
the one presented in the classical textbooks of surgery. We are proposing the
concept of the first jejunopancreatic vein which impacts the current oncological
principles of pancreatic head cancer resection.
PMID- 29363019
TI - Liver Transplantation and Liver Resection for Cirrhotic Patients with
Hepatocellular Carcinoma: Comparison of Long-Term Survivals.
AB - BACKGROUND: Both liver transplantation (LT) and liver resection (LR) represent
curative treatment options for hepatocellular carcinoma (HCC) in patients with
liver cirrhosis. In this study, we have compared outcomes between historical and
more recent patient cohorts scheduled either for LT or LR, respectively. METHODS:
Clinicopathological data of all patients with HCC and cirrhosis who underwent LT
or LR between 1989 and 2011 were evaluated. Overall survival of patients with HCC
within the Milan criteria (MC) was analyzed focusing on changes between different
time periods. RESULTS: In total, 364 and 141 patients underwent LT and LR for HCC
in cirrhosis, respectively. Among patients with HCC within MC, 214 and 59
underwent LT and LR, respectively. Postoperative morbidity (37 vs. 11%, P <
.0001), but not mortality (3 vs. 1%, P = .165), was higher after LR than after LT
for HCC within MC. In the period 1989-2004, overall survival (OS) was
significantly higher in patients who underwent LT compared to LR for HCC within
MC (5-year OS: 77 vs. 36%, P < .0001). Interestingly, in the more recent period
2005-2011, OS was comparable between LT and LR for HCC within MC (5-year OS: 73
vs. 61%, P = .07). CONCLUSION: We have noted an improvement of outcomes among
patients selected for partial hepatectomy in recent years that were comparable to
stable results after LT in cirrhotic patients with HCC. Whether those
improvements are due to advances in liver surgery, optimized perioperative
managament for patients with liver cirrhosis, and the development of modern
multimodal treatment strategies for the recurrent lesions appears plausible.
PMID- 29363020
TI - Health State Utility Values for Ileostomies and Colostomies: a Systematic Review
and Meta-Analysis.
AB - BACKGROUND: Ileostomies and colostomies may affect the quality of life of
patients after colorectal surgery; however, the impact has been difficult to
quantify using questionnaire-based measures. Utilities reflect patient
preferences for health states and provide an alternate method of quality of life
assessment. We aimed to systematically review the literature on utilities for
ileostomy and colostomy health states. METHODS: We searched MEDLINE, EMBASE, and
EBM Reviews (to August 16, 2017) to identify studies reporting utilities for
colostomies or ileostomies using direct or indirect, preference-based elicitation
tools. We categorized utilities based on elicitation group (patients with stoma,
patients without stoma, healthcare providers, general population) and tool. We
pooled utilities using random effects models to determine mean utilities for each
elicitation group and tool. RESULTS: We identified ten studies reporting
colostomy utilities and three studies reporting ileostomy utilities. Utilities
were most commonly obtained using direct elicitation measures administered to
individuals with an understanding of the health state. Patients with stomas and
providers gave high utility ratings for the colostomy state (range 0.88-0.92 and
0.86-0.92, respectively, using direct elicitation tools). Ileostomy utilities
obtained from patients following surgery and from providers also demonstrated
high values placed on the ileostomy health state (range 0.88-1.0). CONCLUSIONS:
Following stoma surgery, values placed on quality of life are similar to those
obtained from patients with conditions such as asthma and allergies or
individuals of similar age without chronic conditions. This confirms the findings
of questionnaire-based studies, which report minimal long-term decrements to
overall quality of life among stomates.
PMID- 29363021
TI - Pathognomonic Signs for Hepatic Hydatid Disease: Snake Sign and Spin Sign.
PMID- 29363022
TI - Economic Impact in Medicaid Beneficiaries with Schizophrenia and Cardiometabolic
Comorbidities Treated with Once-Monthly Paliperidone Palmitate vs. Oral Atypical
Antipsychotics.
AB - OBJECTIVE: The objective of this study was to compare persistence, costs, and
healthcare resource utilization in patients with schizophrenia and
cardiometabolic comorbidities treated with once-monthly paliperidone palmitate or
an oral atypical antipsychotic. METHODS: Medicaid data from six states (07/2009
03/2015) were used to identify adults with schizophrenia and cardiometabolic
comorbidities initiated on once-monthly paliperidone palmitate or an oral
atypical antipsychotic (index date) on 01/2010 or after. Persistence to index
medication at 12 months (no gap >= 90 days) was compared between patients taking
once-monthly paliperidone palmitate and an oral atypical antipsychotic using Chi
squared tests. The 12-month post-index healthcare costs and healthcare resource
utilization were compared using multivariate ordinary least squares and Poisson
regression, respectively. RESULTS: Selected patients taking once-monthly
paliperidone palmitate (n = 371) were younger (mean age: 45.0 vs. 47.5 years,
standardized difference = 24%) than patients taking oral atypical antipsychotics
(n = 8296). Persistence at 12 months was higher in patients taking once-monthly
paliperidone palmitate (40 vs. 33%, p = 0.006). Adjusted all-cause medical costs
were lower in patients taking once-monthly paliperidone palmitate vs. patients
taking oral atypical antipsychotics (mean monthly cost differences = US $ - 369,
p = 0.004) while all-cause pharmacy costs were higher (mean monthly cost
differences = US $279, p < 0.001), resulting in no significant difference in
total costs (mean monthly cost differences = US $ - 90, p = 0.357). No
significant difference was observed in cardiometabolic comorbidity-related
pharmacy or medical costs. Compared with patients taking oral atypical
antipsychotics, patients taking once-monthly paliperidone palmitate had more
schizophrenia-related outpatient visits (incidence rate ratio = 1.44, p < 0.001)
but fewer cardiometabolic comorbidity-related inpatient admissions (incidence
rate ratio = 0.73, p < 0.001) with shorter lengths of stay (incidence rate ratio
= 0.72, p = 0.020), and fewer cardiometabolic comorbidity-related long-term care
admissions (incidence rate ratio = 0.56, p = 0.016). CONCLUSIONS: Medicaid
beneficiaries with schizophrenia and cardiometabolic comorbidities who were
initiated on once-monthly paliperidone palmitate had similar 12-month total
healthcare costs compared with oral atypical antipsychotics. Cardiometabolic
comorbidity-related utilization of inpatient and long-term care services was
lower in patients taking once-monthly paliperidone palmitate.
PMID- 29363023
TI - 2017 SEOM guidelines: a multidisciplinary approach.
PMID- 29363025
TI - CNS-Targeted Antiretroviral Strategies: When Are They Needed and What to Choose.
AB - PURPOSE OF REVIEW: Neurocognitive disorders are not uncommon in HIV-positive
patients but their pathogenesis is multifactorial and incompletely understood.
After excluding contributing comorbidities, several factors may impair
neurocognition including severe immune suppression, incomplete antiviral
efficacy, drugs' persistent immune activation, vascular abnormalities, and drugs'
neurotoxicity. The effectiveness of targeted antiretroviral strategies on these
risk factors is unknown. RECENT FINDINGS: Recent studies support the idea that
residual cerebrospinal fluid HIV RNA in the setting of plasma viral suppression
is associated with compartmental immune activation but the link to neuronal
damage is debated. Some authors have reported an incomplete antiviral efficacy in
macrophage-derived cells but targeted antiretroviral regimen switches have not
been performed. Additionally, improvements in neurocognition using drugs with
better central nervous system penetration or maraviroc (associated with favorable
immunological properties) have been observed in pilot studies. Trials evaluating
specific interventions for cardiovascular health (including brain white matter
abnormalities) and neurotoxicity of antiretrovirals are warranted. Central
nervous system-targeted antiretroviral strategies are needed in patients with
uncontrolled cerebrospinal HIV replication, and they may be suggested in subjects
with low CD4 nadir, individuals carrying drug-resistant viruses, and those with
compartmental immune activation.
PMID- 29363026
TI - Low-Dose Ponatinib in Intolerant Chronic Myeloid Leukemia Patients: A Safe and
Effective Option.
PMID- 29363024
TI - Cloning, expression, purification and spectrophotometric analysis of lanosterol
14-alpha demethylase from Leishmania braziliensis (LbCYP51).
AB - Leishmaniasis, a neglected tropical disease, is a major cause of morbidity and
mortality worldwide. Of the three main clinical forms, cutaneous leishmaniasis
(CL) is the most common and 40 million people are at risk in the endemic areas.
Currently, the available drugs to fight leishmaniasis have high toxicity and poor
efficiency. Then, it is very important to search for effective and safe drugs
that would target essential enzymes from the parasite, such as lanosterol 14
alpha demethylase (CYP51, EC 1.14.13.70) from Leishmania braziliensis. Because
most drug design efforts have been directed for Leishmania non-braziliensis
species, there is no structural or kinetic data regarding L. braziliensis CYP51.
Herein, we present for the first time molecular biology efforts and purification
protocol to obtain the enzyme LbCYP51. These results lay the ground for future
investigation of drugs against this target.
PMID- 29363027
TI - Pharmacodynamics, Safety, and Tolerability of the NHE3 Inhibitor Tenapanor: Two
Trials in Healthy Volunteers.
AB - BACKGROUND: Tenapanor, a small molecule with minimal systemic availability, is a
first-in-class sodium/hydrogen exchanger 3 (NHE3) inhibitor that acts in the gut.
Here, we evaluate the pharmacodynamics and safety of tenapanor in healthy adults.
METHODS: Two phase I, single-center, randomized, double-blind, placebo-controlled
studies were performed. The first study assessed single-ascending oral tenapanor
doses of 10, 50, 150, 450, and 900 mg (n = 8 per group; six tenapanor, two
placebo) and multiple ascending doses over 7 days of 3, 10, 30, and 100 mg q.d.
(n = 10 per group; eight tenapanor, two placebo). In the second study, different
tenapanor regimens were evaluated over 7 days (n = 15 per group; 12 tenapanor,
three placebo): 15 mg twice daily (b.i.d.), 30 mg once daily (q.d.), 30 mg
b.i.d., 30 mg three times daily (t.i.d.), 60 mg b.i.d., escalating b.i.d. dose
(daily total 30-90 mg), 30 mg b.i.d. with psyllium. RESULTS: Tenapanor produced
generally dose-dependent increases in stool sodium excretion and decreases in
urinary sodium excretion versus placebo; in addition, twice-daily dosing appeared
to have a greater effect on sodium absorption than once-daily dosing with an
equivalent daily dose. Tenapanor softened stool consistency and increased stool
frequency and weight from baseline versus placebo. Tenapanor concentrations were
below the quantification limit (0.5 ng/ml) in 98.5% of 895 plasma samples.
Adverse events were mild or moderate in severity, and were typically
gastrointestinal in nature. There were no clinically relevant changes in serum
electrolytes. CONCLUSIONS: Tenapanor was well tolerated and resulted in reduced
intestinal sodium absorption and softer stool consistency versus placebo.
Systemic exposure to tenapanor was minimal. These results support potential use
of tenapanor in patients who could benefit from modification of gastrointestinal
sodium balance. CLINICALTRIALS. GOV IDENTIFIERS: NCT02819687, NCT02796131.
PMID- 29363029
TI - Cognitive load effects on early visual perceptual processing.
AB - Contrast-based early visual processing has largely been considered to involve
autonomous processes that do not need the support of cognitive resources.
However, as spatial attention is known to modulate early visual perceptual
processing, we explored whether cognitive load could similarly impact contrast
based perception. We used a dual-task paradigm to assess the impact of a
concurrent working memory task on the performance of three different early visual
tasks. The results from Experiment 1 suggest that cognitive load can modulate
early visual processing. No effects of cognitive load were seen in Experiments 2
or 3. Together, the findings provide evidence that under some circumstances
cognitive load effects can penetrate the early stages of visual processing and
that higher cognitive function and early perceptual processing may not be as
independent as was once thought.
PMID- 29363028
TI - Implicit processing during change blindness revealed with mouse-contingent and
gaze-contingent displays.
AB - People often miss salient events that occur right in front of them. This
phenomenon, known as change blindness, reveals the limits of visual awareness.
Here, we investigate the role of implicit processing in change blindness using an
approach that allows partial dissociation of covert and overt attention.
Traditional gaze-contingent paradigms adapt the display in real time according to
current gaze position. We compare such a paradigm with a newly designed mouse
contingent paradigm where the visual display changes according to the real-time
location of a user-controlled mouse cursor, effectively allowing comparison of
change detection with mainly overt attention (gaze-contingent display; Experiment
2) and untethered overt and covert attention (mouse-contingent display;
Experiment 1). We investigate implicit indices of target detection during change
blindness in eye movement and behavioral data, and test whether affective
devaluation of unnoticed targets may contribute to change blindness. The results
show that unnoticed targets are processed implicitly, but that the processing is
shallower than if the target is consciously detected. Additionally, the partial
untethering of covert attention with the mouse-contingent display changes the
pattern of search and leads to faster detection of the changing target. Finally,
although it remains possible that the deployment of covert attention is linked to
implicit processing, the results fall short of establishing a direct connection.
PMID- 29363030
TI - A comparison of selenium concentrations in selected organs of wild boar (Sus
scrofa) from industrialized and non-industrialized regions of Poland.
AB - The aim of this study was to compare selenium concentration in the liver and
kidneys of wild boar inhabiting industrialized and non-industrialized regions of
Poland. Selenium concentrations in organs were determined using
spectrofluorometric method. In all the animals studied, Se concentrations were a
few times lower than in kidneys which may indicate too low content of this
element either in the boar's diet or the presence of a poorly absorbable form of
Se. No statistically significant differences were noted in the mean Se
concentrations in the liver and kidney of wild boar from industrialized and non
industrialized areas. In the case of wild boar, it seems that the level of
selenium in their organs is more dependent on geochemical conditions in the
specific feeding ground than on the scale of regional industrialization, and that
this situation is most likely related to the specificity of wild boar feeding.
PMID- 29363031
TI - Effect of intermittent operation model on the function of soil infiltration
system.
AB - To enhance denitrification in a process of solute infiltration through a soil, a
two-section mixed-medium soil infiltration system (TMSIS) for urban non-point
pollution was developed. The artificial aerobic respiration and nitrification
took place in the upper aerobic section (AES), while grass powders and sawdust
were mixed in the bottom anaerobic section (ANS) to supply organic carbon source
for denitrification bacteria, and the reduction was increased by iron addition in
the ANS. Measured resident concentrations from the bottom of each ANS column were
assumed to represent mean values averaged over the column cross-sectional area.
The TMSIS with hydraulic loading rates (HLR) of 0.32, 0.24, and 0.16 m3 m-2 day-1
and with wetting-drying ratio (RWD) of 1.0 showed remarkable removal efficiencies
for chemical oxygen demand (COD), NH4+-N, and TP, respectively. The hydraulic
loading rate of 0.32 m3 m-2 day-1 was selected as the optimal HLR due to the high
contaminated runoff treatment efficiency. When RWD was 1.0, 0.5, or 0.2 with
hydraulic loading rate of 0.32 m3 m-2 day-1, the TMSIS could treat synthetic
urban runoff contaminants very well. The corresponding effluent water met the
China's national quality standard for class V surface water. The wetting-drying
ratio of 0.5 with hydraulic loading of 0.32 m3 m-2 day-1 was selected as the
optimal operation conditions for the TMSIS. Aerobic respiration and nitrification
mainly took place in the upper AES, in which most of the COD and the NH4+-N were
removed. Mixed sawdust and grass powders used as a carbon source and
heterotrophic denitrification were put at the bottom of the ANS. The developed
TMSIS has the potential to be applied for urban non-point pollution removal.
PMID- 29363032
TI - The pH-dependent toxicity of triclosan to five aquatic organisms (Daphnia magna,
Photobacterium phosphoreum, Danio rerio, Limnodrilus hoffmeisteri, and Carassius
auratus).
AB - Triclosan (TCS) is an antibacterial and antifungal agent widely used in personal
care products, and it has been frequently detected in the aquatic environment. In
the present study, the acute toxicity of TCS to Daphnia magna, Photobacterium
phosphoreum, Danio rerio, and Limnodrilus hoffmeisteri was assessed under
different pH conditions. Generally, TCS was more toxic to the four aquatic
organisms in acidic medium. The LC50 values for D. magna and D. rerio were
smaller among the selected species, suggesting that D. magna and D. rerio were
more sensitive to TCS. In addition, the oxidative stress-inducing potential of
TCS was evaluated in Carassius auratus at three pH values. Changes of superoxide
dismutase (SOD) and catalase (CAT) activity, glutathione (GSH) level, and
malondialdehyde (MDA) content were commonly observed in all TCS exposure groups,
indicating the occurrence of oxidative stress in the liver of C. auratus. The
integrated biomarker response (IBR) index revealed that a high concentration of
TCS induced great oxidative stress in goldfish under acidic condition. This work
supplements the presently available data on the toxicity data of TCS, which would
provide some useful information for the environmental risk assessment of this
compound.
PMID- 29363033
TI - Identification of the driving factors' influences on regional energy-related
carbon emissions in China based on geographical detector method.
AB - To investigate the influences of different factors on spatial heterogeneity of
regional carbon emissions, we firstly studied the spatial-temporal dynamics of
regional energy-related carbon emissions using global Moran's I and Getis-Ord Gi
and applied geographical detector model to explain the spatial heterogeneity of
regional carbon emissions. Some conclusions were drawn. Regional carbon emissions
showed significant global and local spatial autocorrelation. The carbon emissions
were greater in eastern and northern regions than in western and southern
regions. Fixed assets investment and economic output had been the main
contributing factors over the study period, and economic output had been
decreasing its influence. Industrial structure's influence showed a decrease
trend and became smaller in 2015. The results of the interaction detections in
2015 can be divided into two types: enhance and nonlinear, and enhance and
bivariate. The interactive influences between technological level and fixed
assets investment, economic output and technological level, population size and
technological level, and economic output and economic development were greater
than others. Some policy recommendations were proposed.
PMID- 29363034
TI - Multi-sensor temporal assessment of tropospheric nitrogen dioxide column
densities over Pakistan.
AB - Spatial and temporal distributions of tropospheric NO2 vertical column densities
over Pakistan during the period 2002-2014 are discussed. Data products from three
satellite instruments SCIAMACHY, OMI, and GOME-2 are used to prepare a database
of tropospheric NO2 column densities over Pakistan and temporal evolution is also
determined. Plausible NO2 sources in Pakistan are also discussed. The results
show a large NO2 growth over all provinces and the major cities of Pakistan
except the megacity of Karachi. Decline in industrial activities due to energy
crises, worsening law and order situation, terrorist attacks, and political
instability was explored as the main factor for lower NO2 VCDs over Karachi City.
The overall increase can be attributed to the anthropogenic emissions over the
areas with high population, traffic density, and industrial activities. Source
identification revealed that use of fossil fuels by various sectors including
power generation, vehicles, and residential sectors along with agriculture fires
are among significant sources of NO2 emissions in Pakistan. Existing emission
inventories such as EDGARv4.2 and MACCity largely underestimate the true
anthropogenic NOx emissions in Pakistan. This study may provide vital information
to policy makers and regulatory authorities in developing countries, including
Pakistan, in order to devise effective air pollution abatement policies.
PMID- 29363035
TI - Incorporation of corn straw biochar inhibited the re-acidification of four acidic
soils derived from different parent materials.
AB - The effect of corn straw biochar on inhibiting the re-acidification of acid soils
derived from different parent materials due to increased soil pH buffering
capacity (pHBC) was investigated using indoor incubation and simulated
acidification experiments. The incorporation of the biochar increased the pHBC of
all four soils due to the increase in soil cation exchange capacity (CEC). When
5% biochar was incorporated, the pHBC was increased by 62, 27, 32, and 24% for
the Ultisols derived from Tertiary red sandstone, Quaternary red earth, granite,
and the Oxisol derived from basalt, respectively. Ca(OH)2 and the biochar were
added to adjust the soil pH to the same values, and then HNO3 was added to
acidify these amended soils. The results of this simulated acidification
indicated that the decrease in soil pH induced by HNO3 was lower for the
treatments with the biochar added than that of the treatments with Ca(OH)2 added.
Consequently, the biochar could inhibit the re-acidification of the amended acid
soils due to the increased resistance of the soils to acidification when the pH
of amended soil was higher than 5.5. The inhibiting effectiveness of the biochar
on soil re-acidification was greater in the Ultisol derived from Tertiary red
sandstone due to its lower clay and organic matter contents and CEC than the
other three soils. The incorporation of the biochar also decreased the
potentially reactive Al, i.e., exchangeable Al, organically bound Al, and sorbed
hydroxyl Al, compared with the treatments amended with Ca(OH)2. Therefore, the
incorporation of corn straw biochar not only inhibited the re-acidification of
amended acid soils through increasing their resistance to acidification but also
decreased the potential of Al toxicity generated during re-acidification.
PMID- 29363036
TI - Intercalated theophylline-smectite hybrid for pH-mediated delivery.
AB - On the basis of their large specific surface areas, high adsorption and cation
exchange capacities, swelling potential and low toxicity, natural smectite clays
are attractive substrates for the gastric protection of neutral and cationic
drugs. Theophylline is an amphoteric xanthine derivative that is widely used as a
bronchodilator in the treatment of asthma and chronic obstructive pulmonary
disease. This study considers the in vitro uptake and release characteristics of
the binary theophylline-smectite system. The cationic form of theophylline was
readily ion exchanged into smectite clay at pH 1.2 with a maximum uptake of 67 +/
2 mg g-1. Characterisation of the drug-clay hybrid system by powder X-ray
diffraction analysis, Fourier transform infrared spectroscopy, differential
scanning calorimetry and scanning electron microscopy confirmed that the
theophylline had been exclusively intercalated into the clay system in an
amorphous form. The drug remained bound within the clay under simulated gastric
conditions at pH 1.2; and the prolonged release of approximately 40% of the drug
was observed in simulated intestinal fluid at pH 6.8 and 7.4 within a 2-h
timeframe. The incomplete reversibility of the intercalation process was
attributed to chemisorption of the drug within the clay lattice. These findings
indicate that smectite clay is a potentially suitable vehicle for the safe
passage of theophylline into the duodenum. Protection from absorption in the
stomach and subsequent prolonged release in the small intestine are advantageous
in reducing fluctuations in serum concentration which may impact therapeutic
effect and toxicity.
PMID- 29363037
TI - Erratum to: Irreversible phenotypic perturbation and functional impairment of B
cells during HIV-1 infection.
AB - The original version of this article unfortunately contained a mistake. One of
the authors of this article has been misspelled. Xiaoyang Zhang should be Xiaoyan
Zhang. The update is also provided here.
PMID- 29363038
TI - Responses to emerging and re-emerging infectious diseases: One world, One health.
PMID- 29363040
TI - Semaglutide: First Global Approval.
AB - Novo Nordisk has developed a subcutaneous formulation of semaglutide
(Ozempic(r)), a modified human glucagon-like peptide-1 (GLP-1) analogue, for the
treatment of type 2 diabetes mellitus. It has been developed using Novo Nordisk's
proprietary protein-acylation technology, and is administered using an injection
device. Semaglutide lowers blood glucose by stimulating the release of insulin
and also lowers body weight. Once-weekly subcutaneous semaglutide has recently
been approved in the US, Puerto Rico and Canada, and has received a positive
opinion in the EU for the treatment of patients with type 2 diabetes. It will be
launched as the Ozempic(r) Pen, a pre-filled device. Semaglutide is also under
regulatory review in Japan and Switzerland for the treatment of type 2 diabetes.
Clinical development for obesity, non-alcoholic steatohepatitis and non-alcoholic
fatty liver disease is underway worldwide. This article summarizes the milestones
in the development of semaglutide leading to this first approval for type 2
diabetes.
PMID- 29363039
TI - Effects of progesterone on the neonatal brain following hypoxia-ischemia.
AB - Progesterone displays a strong potential for the treatment of neonatal hypoxic
ischemic encephalopathy since it has been shown to be beneficial in the treatment
of the central nervous system injuries in adult animals. Here, we evaluated the
effects of the administration of progesterone (10 mg/kg) in seven-days-old male
Wistar rats submitted to neonatal hypoxia-ischemia (HI). Progesterone was
administered immediately before ischemia and/or 6 and 24 h after the onset of
hypoxia. The body weight of the animals, the volume of brain lesion and the
expression of p-Akt and procaspase-3 in the hippocampus were evaluated. All
animals submitted to HI showed a reduction in the body weight. However, this
reduction was more remarkable in those animals which received progesterone before
surgery. Administration of progesterone was unable to reduce the volume of brain
damage caused by HI. Moreover, no significant differences were observed in the
expression of p-Akt and procaspase-3 in animals submitted to HI and treated with
either progesterone or vehicle. In summary, progesterone did not show a
neuroprotective effect on the volume of brain lesion in neonatal rats submitted
to hypoxia-ischemia. Furthermore, progesterone was unable to modulate p-Akt and
procaspase-3 signaling pathways, which may explain the absence of
neuroprotection. On the other hand, it seems that administration of progesterone
before ischemia exerts some systemic effect, leading to a remarkable reduction in
the body weight.
PMID- 29363041
TI - Correction to: Daratumumab: A Review in Relapsed and/or Refractory Multiple
Myeloma.
AB - The author has alerted us to the following error in Sect. 4.2.2.1, and the
following correction should be noted.
PMID- 29363042
TI - Potential Role of Extracellular Vesicles in the Pathophysiology of Drug
Addiction.
AB - Extracellular vesicles (EVs) are small vesicles secreted by cells and are known
to carry sub-cellular components including microRNA, proteins, and lipids. Due to
their ability to transport cargo between cells, EVs have been identified as
important regulators of various pathophysiological conditions and can therefore
influence treatment outcomes. In particular, the significance of microRNAs in EV
mediated cell-cell communication is well-documented. While the influence of EVs
and the cargo delivered by EVs has been extensively reviewed in other
neurological disorders, the available literature on the potential role of EVs in
the pathophysiology of drug addiction has not been reviewed. Hence, in this
article, the known effects of commonly abused drugs (ethanol, nicotine, opiates,
cocaine, and cannabinoids) on EV secretion have been reviewed. In addition, the
potential role of drugs of abuse in affecting the delivery of EV-packaged
microRNAs, and the subsequent impact on neuronal health and continued drug
dependence, has been discussed.
PMID- 29363045
TI - Percutaneous closure of iatrogenic ventricular septal rupture following septal
branch obstruction during elective coronary angioplasty.
PMID- 29363043
TI - Functional Characterization of Novel Circular RNA Molecule, circzip-2 and Its
Synthesizing Gene zip-2 in C. elegans Model of Parkinson's Disease.
AB - Circular RNAs (circRNAs) are peculiar non-coding RNA molecules which are known to
be present across taxa. Considering the body of evidence that establishes
critical functions of non-coding RNA molecules, we endeavored to study circRNAs
in the context of Parkinson's disease (PD). Employing transgenic C. elegans model
of PD, we used RNase R-mediated cleavage of linear RNA followed by divergent
primer-based amplifications towards identifying circzip-2, a novel circRNA
molecule. We went on to sequence circzip-2 which is synthesized from functionally
important gene zip-2. Studying RNAi-induced knockdown conditions of zip-2, we
observed a reduced aggregation of alpha-synuclein protein along with an enhanced
lifespan of the worms. We further carried out transcriptome analysis of zip-2
silenced worms, which suggested that zip-2 might be functioning via Daf-16
pathway. Further interaction studies revealed that circzip-2 possibly sponges
microRNA molecule miR-60 towards asserting an important role in various processes
associated with PD.
PMID- 29363046
TI - The boxer world heavyweight champion Primo Carnera portrayed by Giacomo Balla.
PMID- 29363044
TI - Reactive Astrocytes in Glioblastoma Multiforme.
AB - Despite the multidisciplinary integration in the therapeutic management of
glioblastoma multiforme (GBM), the prognosis of GBM patients is poor. There is
growing recognition that the cells in the tumor microenvironment play a vital
role in regulating the progression of glioma. Astrocytes are an important
component of the blood-brain barrier (BBB) as well as the tripartite synapse
neural network to promote bidirectional communication with neurons under
physiological conditions. Emerging evidence shows that tumor-associated reactive
astrocytes interact with glioma cells and facilitate the progression, aggression,
and survival of tumors by releasing different cytokines. Communication between
reactive astrocytes and glioma cells is further promoted through ion channels and
ion transporters, which augment the migratory capacity and invasiveness of tumor
cells by modifying H+ and Ca2+ concentrations and stimulating volume changes in
the cell. This in part contributes to the loss of epithelial polarization,
initiating epithelial-mesenchymal transition. Therefore, this review will
summarize the recent findings on the role of reactive astrocytes in the
progression of GBM and in the development of treatment-resistant glioma. In
addition, the involvement of ion channels and transporters in bridging the
interactions between tumor cells and astrocytes and their potential as new
therapeutic anti-tumor targets will be discussed.
PMID- 29363047
TI - New perspectives on the definition and management of polycystic ovary syndrome.
AB - BACKGROUND: There is a growing debate on the opportunity of improving the
understanding in the diagnosis and management of polycystic ovary syndrome
(PCOS). OBJECTIVE: This review article summarizes recent research related to the
definition of polycystic ovary syndrome (PCOS). METHODS: Review of the recent
literature on the topic. RESULTS: New ideas on the definition of
hyperandrogenism, based on new scientific data and clinical perspectives are
presented. (i) In fact, recent studies have pointed out the need to improve the
concept of androgen excess by using a larger androgen profile, rather than simply
measuring the testosterone blood levels. (ii) Due to the poor correlation between
androgen blood levels and the degree of hirsutism, it is proposed that the
definition of hyperandrogenism should be based on the presence of blood androgen
excess and hirsutism, considered separately, because their pathophysiological
mechanisms may differ according to the different phenotypes of PCOS. (iii) The
potential role of obesity in favoring the development of PCOS during adolescence
is also discussed and the concept of "PCOS secondary to obesity" is developed.
(iv) Finally, the need for greater appropriateness in the evaluation of possible
coexistence is highlighted, in patients with PCOS who have fasting or glucose
stimulated very high insulin levels, or severe insulin-resistant states.
CONCLUSIONS: Based on what was discussed in this review, we believe that there
are margins for modifying some of the current criteria that define the various
PCOS phenotypes.
PMID- 29363048
TI - Association of serum betatrophin with fibroblast growth factor-21 in women with
polycystic ovary syndrome.
AB - PURPOSE: Betatrophin and fibroblast growth factor-21 (FGF-21), which are recently
discovered members of hepatokine/adipokine family, have been proposed to be
associated with some metabolic disorders in which insulin resistance plays a
major role. METHODS: We aimed to investigate serum betatrophin and FGF-21
concentrations in women with polycystic ovary syndrome (PCOS). In this cross
sectional study, we recruited 31 women with PCOS and 34 women as healthy
controls. Serum betatrophin level and its relationship with serum FGF-21 level as
well as metabolic parameters were examined. RESULTS: Serum betatrophin level was
significantly higher in women with PCOS? than the control group [1.10 (0.20-4.20)
vs 0.70 (0.20-3.50) ng/ml, p = 0.004], whereas FGF-21 did not differ between the
groups [74.80 (7.80-435.90) vs 119.30 (10.50-443.40) pg/ml, p = 0.13]. Serum
betatrophin correlated positively with LH levels (r = 0.26, p = 0.03). After
controlling BMI, there was a significant positive correlation between betatrophin
and FGF-21 (r = 0.25, p = 0.04). Multivariate regression analysis revealed that
FGF-21 and presence of PCOS were the significant predictors of betatrophin
concentrations (R2 = 0.22, F = 2.56, p = 0.03). CONCLUSIONS: Our results indicate
that betatrophin levels are increased and associated with LH and FGF-21 levels,
but not with insulin resistance, in women with PCOS.
PMID- 29363049
TI - Beta-lactams in continuous infusion for Gram-negative bacilli osteoarticular
infections: an easy method for clinical use.
AB - : Continuous infusion (CI) of beta-lactams could optimize their
pharmacokinetic/pharmacodynamic indices, especially in difficult-to-treat
infections. PURPOSE: To validate an easy-to-use method to guide beta-lactams
dosage in CI (formula). METHODS: A retrospective analysis was conducted of a
prospectively collected cohort (n = 24 patients) with osteoarticular infections
caused by Gram-negative bacilli (GNB) managed with beta-lactams in CI. Beta
lactams dose was calculated using a described formula (daily dose = 24 h * beta
lactam clearance * target "steady-state" concentration) to achieve concentrations
above the MIC. We correlated the predicted concentration (Cpred = daily dose/24 h
* beta-lactam clearance) with the patient's observed concentration (Cobs)
measured by UPLC-MS/MS (Spearman's coefficient). RESULTS: The most frequent
microorganism treated was P. aeruginosa (21 cases; 9 MDR). Beta-lactams in CI
were ceftazidime (n = 14), aztreonam (7), and piperacillin/tazobactam (3), mainly
used in combination (12 with colistin, 5 with ciprofloxacin) and administered
without notable side effects. The plasma Cobs was higher overall than Cpred; the
Spearman correlation between both concentrations was rho = 0.6 (IC 95%: 0.2-0.8)
for all beta-lactams, and rho = 0.8 (IC 95%: 0.4-1) for those treated with
ceftazidime. CONCLUSIONS: The formula may be useful in clinical practice for
planning the initial dosage of beta-lactams in CI, while we await a systematic
therapeutic drug monitoring. The use of beta-lactams in CI was safe.
PMID- 29363050
TI - Effect of Age-Related Factors on the Pharmacokinetics of Lamotrigine and
Potential Implications for Maintenance Dose Optimisation in Future Clinical
Trials.
AB - BACKGROUND AND AIMS: In this study, we evaluate the performance of allometric
concepts to predict the implications of age and size on the pharmacokinetics of
lamotrigine, and assess the dose rationale across different age groups from 0.2
to 91 years. METHODS: An allometrically scaled pharmacokinetic model was
developed using adolescent and adult data, taking into account the effect of
comedications. Model parameters were then used to extrapolate lamotrigine
pharmacokinetics to older adults (> 65 years), children (4-12 years) and infants
and toddlers (0.2-2.0 years). In addition, simulations were performed to identify
the implication of different doses and dosing regimens for each population, so as
to ensure steady-state concentrations within a predefined reference range.
RESULTS: The pharmacokinetics of lamotrigine was best described using a one
compartment model with first-order absorption and elimination. Carbamazepine,
phenytoin, and valproic acid changed systemic clearance (CL) by + 76.5, + 129,
and - 47.4%, respectively. Allometric principles allowed accurate extrapolation
of disposition parameters to older adults and children older than 4 years of age.
A maturation function was required to describe changes in exposure in younger
patients. Compared with adults, a child aged 1.7 years has a 31.5% higher CL,
after correcting for body weight. Patients > 65 years of age showed a decrease in
CL of approximately 15%. CONCLUSION: Population pharmacokinetic models are
usually limited to a subgroup of patients, which may mask the identification of
factors contributing to interindividual variability. The availability of an
integrated model including the whole patient population provides insight into the
role of age-related changes in the disposition of lamotrigine, and potential
implications for maintenance dose optimisation in any future trials. TRIAL
REGISTRATION: According to GlaxoSmithKline's Clinical Trial Register, data from
the GlaxoSmithKline studies LAM100034 and LEP103944, corresponding to
ClinicalTrials.gov identifiers NCT00113165 and NCT00264615, used in this work,
have been used in previous publications (doi:
https://doi.org/10.1212/01.wnl.0000277698.33743.8b ,
https://doi.org/10.1111/j.1528-1167.2007.01274.x ).
PMID- 29363051
TI - Desiderata for digital consent in genomic research.
AB - Herein, we describe the characterization of a Digital Consent (DC) System to
support current ethical-legal issues associated with challenges posed by informed
consent for genomic research. A potential solution to support ongoing interaction
with patients and allow control over how their data and samples are being used in
genomic research can be Digital Consent based. But there are other challenges
that need to be addressed, such as incidental findings when analyzing the results
of genomic tests (not expected). This paper addresses security and privacy
recommendations for the development of precision medicine, and the
interoperability references of Health Information Standardization Organizations
such as HL7 and IHE, as well as recent research in the field of ethics in Genomic
Medicine. As a result of this work, ten key features that need to be further
explored have been identified in order to support the realization of DC in
Genomic Research.
PMID- 29363052
TI - Correction to: Beta2-Agonist Doping Control and Optical Isomer Challenges.
AB - Page 1789, table 1, 'Carmoterol' row: The cell entry in the 'Stereochemistry'
column, which previously read.
PMID- 29363053
TI - Is Platelet-Rich Plasma (PRP) Effective in the Treatment of Acute Muscle
Injuries? A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Muscle lesions account for one-third of sport-related injuries, thus
representing a substantial problem for both players and their teams. The use of
platelet-rich plasma (PRP) injections is rapidly growing in clinical practice,
prompted by an unmet clinical need with a large commercial market. However, after
early reports of positive preliminary experience, higher quality studies recently
questioned the real benefit provided by PRP injections to promote muscle healing
and return to sport. OBJECTIVE: To evaluate the effect of platelet-rich plasma
(PRP) injections on outcomes following acute muscle injuries. DESIGN: Meta
analysis of randomized, controlled trials (RCTs), Level I. DATA SOURCES: PubMed
(MEDLINE), Cochrane (CENTRAL), Web of Science, clinicaltrials.gov, who.int,
isrctn.com, greylit.org, opengrey.eu. ELIGIBILITY CRITERIA: RCTs investigating
the effect of PRP for the treatment of acute muscle injuries against at least one
control group including patients treated with placebo injection or physical
therapy. The outcomes evaluated were time to return to sport, re-injuries,
complications, pain, muscle strength, range of motion (ROM)/flexibility, muscle
function, and imaging. RESULTS: Six studies, involving 374 patients, were
included in the meta-analysis. The time to return to sport evaluated in all six
studies was significantly shorter in patients treated with PRP (mean difference =
- 7.17 days). However, if only the double-blind studies (n = 2) or studies
including only hamstring injuries (n = 3) were considered, non-significant
differences were found. Re-injuries (relative risk = - 0.03) and complications
(relative risk = 0.01) were also similar between the two groups (p > 0.05), nor
were any substantial differences found regarding pain, muscle strength,
ROM/flexibility, muscle function, and imaging. The performance bias was high risk
due to the lack of patient blinding in four studies. The quality of evidence
according to the Grading of Recommendations Assessment, Development and
Evaluation (GRADE) was therefore low or very low. CONCLUSIONS: The promising
biological rationale, the positive preclinical findings, and the successful early
clinical experience of PRP injections are not confirmed by the recent high-level
RCTs. Therefore any benefit in terms of pain, function, return to sport, and
recurrence using PRP injections for the treatment of acute muscle injuries is not
supported. Due to the bias in the studies, the heterogeneity of the findings, and
the limited sample size, the evidence should be considered to be of low or very
low quality.
PMID- 29363054
TI - Nutritional and Environmental Influences on Athlete Health and Performance.
PMID- 29363055
TI - Upper Respiratory Symptoms, Gut Health and Mucosal Immunity in Athletes.
AB - Upper respiratory symptoms remain the most common illness in athletes. Upper
respiratory symptoms during heavy training and competition may impair
performance. Preventing illness is the primary reason for the use of supplements,
such as probiotics and prebiotics, for maintaining or promoting gut health and
immune function. While exercise-induced perturbations in the immune system may
increase susceptibility to illness and infection, growing evidence indicates that
upper respiratory symptoms are related to a breakdown in the homeostatic
regulation of the mucosal immune system of the airways. Balancing protection of
the respiratory tract with normal physiological functioning requires dynamic
orchestration between a wide array of immune parameters. The intestinal
microbiota regulates extra-intestinal immunity via the common mucosal immune
system and new evidence implicates the microbiota of the nose, mouth and
respiratory tract in upper respiratory symptoms. Omics' approaches now facilitate
comprehensive profiling at the molecular and proteomic levels to reveal new
pathways and molecules of immune regulation. New targets may provide for
personalised nutritional and training interventions to maintain athlete health.
PMID- 29363056
TI - Recombinant human maspin inhibits high glucose-induced oxidative stress and
angiogenesis of human retinal microvascular endothelial cells via PI3K/AKT
pathway.
AB - Maspin is known as a tumor suppressor and a potent angiogenesis inhibitor,
however, its effects on proliferative diabetic retinopathy (PDR) have not been
fully elucidated. This study aimed at evaluating the effects of maspin on high
glucose-induced oxidative stress and angiogenesis in human retinal microvascular
endothelial cells (HRMECs). Herein, HRMECs were treated with 0.25, 0.5, or 1 uM
recombinant human maspin in the presence of 30 mM glucose, and their
proliferation, tube formation, and oxidative stress responses were further
detected. Our results revealed that maspin inhibited the high glucose-induced
proliferation, migration, and tube formation of HRMECs. Maspin also decreased
reactive oxygen species, nitric oxide level, and increased glutathione S
transferase activity in HRMECs. Meanwhile, maspin reduced the mRNA and protein
levels of hypoxia-inducible factor-1alpha and vascular endothelial growth factor
in high glucose-stimulated cells in a dose-dependent manner. Additionally, the
high glucose-induced elevation of phosphorylated phosphoinositide-3-kinase (p
PI3K) and phosphorylated AKT was also suppressed by maspin. In summary, our data
suggest that maspin inhibits high glucose-induced proliferation, oxidative
stress, and angiogenesis of HRMECs at least by modulating the PI3K/AKT pathway.
Maspin may be a potential therapeutic agent for the prevention and treatment of
PDR.
PMID- 29363057
TI - Ameliorative effects of supplemental folinic acid on Lamotrigine-induced fetal
malformations in the mouse.
AB - Data from our previous work indicate that Lamotrigine (LTG) is teratogenic in the
mouse. In the present study, we attempted to determine the possible protective
effects of exogenous folate on LTG-induced fetal anomalies in TO mouse.
Experiment I entailed administering 4 mg/kg of folinic acid (FA) and (25 mg/kg)
of LTG intraperitoneally three times on gestation day (GD) 8 to a group of mice;
other groups were a group that received similar volumes of saline, a group that
received LTG and Saline, a group that received FA and saline. Experiment 2
involved administering groups of mice with daily 3 doses FA (or proportionate
volume of saline) on GD 5 through 10 and either 3 doses of saline on GD8, or 3
doses of LTG on GD8. Maternal plasma concentrations of FA, vitamin B12 and
homocysteine were determined an hour after the last injection from one-half of
all animals. The other half were allowed to go to term (GD18) when they were
euthanized and their fetuses were examined for visceral and skeletal
malformations. A high incidence of resorption, abortion, embryolethality,
congenital malformations, and intrauterine growth restriction (IUGR), was
observed in the LTG-treated group. Folic acid and B12 levels were decreased and
homocysteine concentration increased significantly in LTG groups. Mice receiving
LTG with FA had normal levels of folate, Vitamin B12 and homocysteine levels, and
the fetuses had fewer birth defects similar to the controls which were given
saline only. Supplemental FA ameliorated to a great extent the LTG-induced
embryonic resorption and malformations and restored the FA status.
PMID- 29363058
TI - Role of the beta3-adrenergic receptor subtype in catecholamine-induced myocardial
remodeling.
AB - beta3-Adrenoceptors (AR) stimulate cardiac Na+/K+ pump in healthy hearts. beta3
ARs are upregulated by persistent sympathetic hyperactivity; however, their
effect on Na+/K+ ATPase activity and ventricular function in this condition is
still unknown. Here, we investigate preventive effects of additional beta3-AR
activation (BRL) on Na+/K+ ATPase activity and in vivo hemodynamics in a model of
noradrenaline-induced hypertrophy. Rats received NA or NA plus simultaneously
administered BRL in vivo infusion for 14 days; their cardiac function was
investigated by left ventricular pressure-volume analysis. Moreover, fibrosis and
apoptosis were also assessed histologically. NA induced an hypertrophic pattern,
as detected by morphological, histological, and biochemical markers. Additional
BRL exposure reversed the hypertrophic pattern and restored Na+/K+ ATPase
activity. NA treatment increased systolic function and depressed diastolic
function (slowed relaxation). Additional BRL treatment reversed most NA-induced
hemodynamic changes. NA decreased Na+/K+ pump alpha2 subunit expression
selectively, a change also reversed by additional BRL treatment. Increasing beta3
AR stimulation may prevent the consequences of chronic NA exposure on Na+/K+ pump
and in vivo hemodynamics. beta3-AR agonism may thus represent a new therapeutic
strategy for pharmacological modulation of hypertrophy under conditions of
chronically enhanced sympathetic activity.
PMID- 29363059
TI - Berberine activates bitter taste responses of enteroendocrine STC-1 cells.
AB - Glucagon-like peptide-1 (GLP-1) is involved in the regulation of insulin
secretion and glucose homeostasis. GLP-1 release is stimulated when berberine
interacts with a novel G protein family (TAS2Rs) in enteroendocrine cells. In
this study, we used STC-1 cells and examined a marked increase in Ca2+ in
response to various bitter compounds. Ca2+ responses to traditional Chinese
medicine extracts, including berberine, phellodendrine and coptisine, in STC-1
cells were suppressed by the phospholipase C (PLC) inhibitor U-73122, suggesting
the involvement of bitter taste receptors in changing the physiological status of
enteroendocrine cells in a PLC-dependent manner. STC-1 cells showed berberine-up
regulated preproglucagon (GLP-1 precursor) mRNA and GLP-1 secretion. A QPCR
analysis demonstrated that TAS2R38, a subtype of the bitter taste receptor, was
associated with GLP-1 secretion. Berberine-mediated GLP-1 secretion was
attenuated in response to small interfering RNA silencing of TAS2R38. The current
studies demonstrated that Galpha-gustducin co-localized with GLP-1 and Tas2r106
in the STC-1 cells. We further utilized inhibitors of PLC and TRPM5, which are
known to participate in taste signal transduction, to investigate the underlying
pathways mediated in berberine-induced GLP-1 secretion. Berberine-induced GLP-1
release from enteroendocrine cells is modulated in a PLC-dependent manner through
a process involving the activation of bitter taste receptors. Together, our data
demonstrated a berberine-mediated GLP-1 secretion pathway in mouse
enteroendocrine cells that could be of therapeutic relevance to hyperglycemia and
the role of bitter taste receptors in the function of the small intestine.
PMID- 29363061
TI - Social effects on reference frame selection.
AB - The presence of another person in a spatial scene has been shown to induce
spontaneous perspective taking. This investigation presents two experiments
exploring whether the presence of another person affects reference frame
selection when representing object locations in memory. Participants studied
objects from one view and later performed judgments of relative direction, which
tested retrieval of the remembered layout from several imagined perspectives.
Without another person in the scene during learning, participants selected a
reference frame aligned with the studied view. The mere presence of the
experimenter at a different perspective during learning did not affect reference
frame selection. Requiring participants to process object locations from the
experimenter's view during learning led to the selection of a reference frame
aligned with the experimenter. However, the same effect also occurred when
participants processed object locations from the perspective of a wooden box. In
sum, the presence of another person during learning did not affect reference
frame selection, and participants adopted a nonegocentric reference frame whether
the nonegocentric perspective was occupied by a person or an object.
PMID- 29363062
TI - Metabolomics as a Tool to Understand Pathophysiological Processes.
AB - Multiple diseases have a strong metabolic component, and metabolomics as a
powerful phenotyping technology, in combination with orthogonal biological and
clinical approaches, will undoubtedly play a determinant role in accelerating the
understanding of mechanisms that underlie these complex diseases determined by a
set of genetic, lifestyle, and environmental exposure factors. Here, we provide
several examples of valuable findings from metabolomics-led studies in diabetes
and obesity metabolism, neurodegenerative disorders, and cancer metabolism and
offer a longer term vision toward personalized approach to medicine, from
population-based studies to pharmacometabolomics.
PMID- 29363063
TI - Metabolomics in Immunology Research.
AB - There is a growing appreciation that metabolic processes and individual
metabolites can shape the function of immune cells and thereby play important
roles in the outcome of immune responses. In this respect, the use of MS- and NMR
spectroscopy-based platforms to characterize and quantify metabolites in
biological samples has recently yielded important novel insights into how our
immune system functions and has contributed to the identification of biomarkers
for immune-mediated diseases. Here, these recent immunological studies in which
metabolomics has been used and made significant contributions to these fields
will be discussed. In particular the role of metabolomics to the rapidly
advancing field of cellular immunometabolism will be highlighted as well as the
future prospects of such metabolomic tools in immunology.
PMID- 29363060
TI - Inflammation, oxidative stress, and higher expression levels of Nrf2 and NQO1
proteins in the airways of women chronically exposed to biomass fuel smoke.
AB - The study was carried out to examine whether chronic exposure to smoke during
daily household cooking with biomass fuel (BMF) elicits changes in airway
cytology and expressions of Nrf2 (nuclear factor erythroid 2 [NF-E2]-related
factor 2 [Nrf2]), Keap1 (Kelch-like erythroid-cell-derived protein with CNC
homology [ECH]-associated protein 1), and NQO1 (NAD(P)H:quinone oxidoreductase 1)
proteins in the airways. For this, 282 BMF-using women (median age 34 year) and
236 age-matched women who cooked with liquefied petroleum gas (LPG) were
enrolled. Particulate matter with diameters of < 10 um (PM10) and < 2.5 um
(PM2.5) were measured in indoor air with real-time laser photometer. Routine
hematology, sputum cytology, Nrf2, Keap1, NQO1, and generation of reactive oxygen
species (ROS) along with the levels of superoxide dismutase (SOD) and catalase
were measured in both groups. PM10 and PM2.5 levels were significantly higher in
BMF-using households compared to LPG. Compared with LPG users, BMF users had 32%
more leukocytes in circulation and their sputa were 1.4-times more cellular with
significant increase in absolute number of neutrophils, lymphocytes, eosinophils,
and alveolar macrophages, suggesting airway inflammation. ROS generation was 1.5
times higher in blood neutrophils and 34% higher in sputum cells of BMF users
while erythrocyte SOD was 31% lower and plasma catalase was relatively unchanged,
suggesting oxidative stress. In BMF users, Keap1 expression was reduced, the
percentage of AEC with nuclear expression of Nrf2 was two- to three-times more,
and NQO1 level in sputum cell lysate was two-times higher than that of LPG users.
In conclusion, cooking with BMF was associated with Nrf2 activation and elevated
NQO1 protein level in the airways. The changes may be adaptive cellular response
to counteract biomass smoke-elicited oxidative stress and inflammation-related
tissue injury in the airways.
PMID- 29363064
TI - LC-MS-Based Metabolomics of Biofluids Using All-Ion Fragmentation (AIF)
Acquisition.
AB - The field of liquid chromatography-mass spectrometry (LC-MS)-based nontargeted
metabolomics has advanced significantly and can provide information on thousands
of compounds in biological samples. However, compound identification remains a
major challenge, which is crucial in interpreting the biological function of
metabolites. Herein, we present a LC-MS method using the all-ion fragmentation
(AIF) approach in combination with a data processing method using an in-house
spectral library. For the purposes of increasing accuracy in metabolite
annotation, up to four criteria are used: (1) accurate mass, (2) retention time,
(3) MS/MS fragments, and (4) product/precursor ion ratios. The relative standard
deviation between ion ratios of a metabolite in a biofluid vs. its analytical
standard is used as an additional metric for confirming metabolite identity.
Furthermore, we include a scheme to distinguish co-eluting isobaric compounds.
Our method enables database-dependent targeted as well as nontargeted
metabolomics analysis from the same data acquisition, while simultaneously
improving the accuracy in metabolite identification to increase the quality of
the resulting biological information.
PMID- 29363065
TI - Lipid Mediator Metabolomics Via LC-MS/MS Profiling and Analysis.
AB - Solid-phase extraction coupled with liquid chromatography tandem mass
spectrometry provides a robust and sensitive approach for the identification and
quantitation of specialized pro-resolving mediators (lipoxins, resolvins,
protectins, and maresins), their pathway markers and the classic eicosanoids.
Here, we provide a detailed description of the methodologies employed for the
extraction of these mediators from biological systems, setup of the
instrumentation, sample processing, and then the procedures followed for their
identification and quantitation.
PMID- 29363066
TI - UHPSFC/ESI-MS Analysis of Lipids.
AB - This new analytical approach for high-throughput and comprehensive lipidomic
analysis of biological samples using ultrahigh-performance supercritical fluid
chromatography (UHPSFC) with electrospray ionization-mass spectrometry (ESI-MS)
is based on lipid class separation using 1.7 MUm particle bridged ethylene hybrid
silica columns and a gradient of methanol-water-ammonium acetate mixture as a
modifier. The method enables a fast separation of 30 nonpolar and polar lipid
classes within 6-min analysis time covering six main lipid categories including
fatty acyls, glycerolipids, glycerophospholipids, sphingolipids, sterols, and
prenols. Individual lipid species within lipid classes are identified based on
positive- and negative-ion full scan and tandem mass spectra measured with high
mass accuracy and high resolving power. The method is used for the quantitative
analysis of lipid species in biological tissues using internal standards for each
lipid class. This high-throughput, comprehensive, and accurate UHPSFC/ESI-MS
method is suitable for the lipidomic analysis of large sample sets in clinical
research.
PMID- 29363067
TI - LC-MS/MS Analysis of Lipid Oxidation Products in Blood and Tissue Samples.
AB - Oxygenated lipid products of non-cyclooxygenase derivatives, namely, prostanoids
such as, isoprostanes and isofurans, are formed in vivo through lipid
autoxidation. Insofar it has been marked as novel biomarkers of oxidative stress
in the biological systems. Elevations of these oxidized products are associated
with several diseases. This chapter describes the preparation and measurement of
the products, including newly identified F2-dihomo-isoprostanes and dihomo
isofurans, from plasma and tissue samples using the liquid chromatography-tandem
mass spectrometry approach.
PMID- 29363068
TI - Serum Testosterone by Liquid Chromatography Tandem Mass Spectrometry for Routine
Clinical Diagnostics.
AB - In clinical diagnostics, samples containing low testosterone cannot be analyzed
by random access immunoassays normally available at clinical laboratories. For
these samples, sensitive and specific LC-MS/MS-based testosterone methods are
required. An LC-MS/MS-based testosterone assay is described that was developed
and validated for routine clinical application.
PMID- 29363069
TI - LC-MS/MS Analysis of Bile Acids.
AB - Besides their role as lipid solubilizers, bile acids (BAs) are increasingly
appreciated as bioactive molecules. They bind to G-protein-coupled receptors and
nuclear hormone receptors. So they control their own metabolism and act on lipid
and energy metabolism. Here we describe a simple, accurate, and fast liquid
chromatography-tandem mass spectrometry (LC-MS/MS) method for the quantification
of BAs in human plasma/serum.
PMID- 29363070
TI - LC-MS/MS Analysis of Triglycerides in Blood-Derived Samples.
AB - The increasing interest in the analysis of triglyceride (TG) species and the
individual fatty acid (FA) composition requires expeditious and reliable
quantification strategies. The utilization of flow injection analysis (FIA)
coupled to quadrupole tandem mass spectrometry (MS/MS) for the simultaneous
quantitation of TG and identification of FA composition facilitates the
multiplexed verification of various biomarkers from small sample quantities.
Enzymatic methods based on saponification and glycerol analysis are not suited
for the determination of the FA distribution in TGs. This protocol proposes a
procedure for the establishment of a relative quantitation method for middle- to
high-abundance plasma TGs and the corresponding FA composition. Essential topics
as FIA-MS/MS method development as well as sample preparation and validation
strategies are described in detail.
PMID- 29363071
TI - LC-MS/MS Analysis of the Epoxides and Diols Derived from the Endocannabinoid
Arachidonoyl Ethanolamide.
AB - Liquid chromatography-tandem mass spectrometry (LC-MS/MS) is a useful tool to
characterize the behavior of natural lipids within biological matrices. We report
a LC-MS/MS method developed specifically to analyze CYP products of the
arachidonoyl ethanolamide (anandamide, AEA), the epoxyeicosatrienoic acid
ethanolamides (EET-EAs) and their hydrolyzed metabolites, and the
dihydroxyeicosatrienoic acid ethanolamides (DHET-EAs). This method was used to
measure EET-EA biotransformation to DHET-EAs by two human epoxide hydrolases: the
soluble EH (sEH) and the microsomal EH (mEH). In general, sEH and mEH substrate
preference was similar, based on kcat/KM. The 14,15-EET-EA and 11,12-EET-EA were
the most efficiently hydrolyzed, followed by 8,9-EET-EA and 5,6-EET-EA. The
method was also used to detect endogenous levels of these lipids in mouse
tissues, although levels were below the instrumental detection limit (0.1-3.4
nM). Because both AEA and EETs are biologically active, the method described
herein will be invaluable in revealing the role(s) of EET-EAs in vivo.
PMID- 29363072
TI - Sphingolipid Analysis in Clinical Research.
AB - Sphingolipids are the most diverse class of lipids due to the numerous variations
in their structural components. This diversity is also reflected in their
extremely different functions. Sphingolipids are not only constituents of cell
membranes but have also emerged as key signaling molecules involved in a variety
of cellular functions, such as cell growth and differentiation, proliferation,
and apoptotic cell death. Lipidomic analyses in clinical research have identified
pathways and products of sphingolipid metabolism that are altered in several
human pathologies. In this article, we describe how to properly design a
lipidomic experiment in clinical research, how to handle plasma and serum samples
for this purpose, and how to measure sphingolipids using liquid chromatography
mass spectrometry.
PMID- 29363073
TI - Shotgun Lipidomics Approach for Clinical Samples.
AB - Shotgun lipidomics offers fast and reproducible identification and quantification
of lipids in clinical samples. Lipid extraction procedures based on the methyl
tert-butyl protocol are well established for performing shotgun lipidomics in
biomedical research. Here, we describe a shotgun lipidomics workflow that is well
suited for the analysis of clinical samples such as tissue samples, blood plasma,
and peripheral blood mononuclear cells.
PMID- 29363074
TI - Establishing and Performing Targeted Multi-residue Analysis for Lipid Mediators
and Fatty Acids in Small Clinical Plasma Samples.
AB - LC-MS/MS- and GC-MS-based targeted metabolomics is typically conducted by
analyzing and quantifying a cascade of metabolites with methods specifically
developed for the metabolite class. Here we describe an approach for the
development of multi-residue analytical profiles, calibration standards, and
internal standard solutions in support of a fast, simple, and low-cost plasma
sample preparation that captures and quantitates a range of metabolite cascades.
PMID- 29363075
TI - Chemical Isotope Labeling LC-MS for Human Blood Metabolome Analysis.
AB - Blood is a widely used biofluid in discovery metabolomic research to search for
clinical metabolite biomarkers of diseases. Analyzing the entire human blood
metabolome is a major analytical challenge, as blood, after being processed into
serum or plasma, contains thousands of metabolites with diverse chemical and
physical properties as well as a wide range of concentrations. We describe an
enabling method based on high-performance chemical isotope labeling (CIL) liquid
chromatography-mass spectrometry (LC-MS) for in-depth quantification of the
metabolomic differences in comparative blood samples with high accuracy and
precision.
PMID- 29363076
TI - Direct Infusion-Tandem Mass Spectrometry (DI-MS/MS) Analysis of Complex Lipids in
Human Plasma and Serum Using the LipidyzerTM Platform.
AB - Lipids play a key role in the signaling pathways of cancer, cardiovascular,
diabetic, and inflammatory diseases. A major challenge in the analysis of lipids
is the many isobaric interferences present in highly complex samples that
confound identification and accurate quantitation. After obtaining the total
lipid extract from a sample, differential mobility separation has proven to be a
powerful tool for gas-phase fractionation of lipid classes. When combined with
mass spectrometry, this allows the unambiguous identification and thus
quantification of lipid molecular species. These components, sample extraction,
gas-phase separation, and mass spectrometry, form the basis of a novel integrated
quantitative lipid analysis platform.
PMID- 29363077
TI - Exploratory GC/MS-Based Metabolomics of Body Fluids.
AB - GC/MS-based metabolomics is a powerful tool for metabolic phenotyping and
biomarker discovery from body biofluids. In this chapter, we describe an
untargeted metabolomic approach for plasma/serum and fecal water sample
profiling. It describes a multistep procedure, from sample preparation,
oximation/silylation derivatization, and data acquisition using GC/QToF to data
processing consisting in data extraction and identification of metabolites.
PMID- 29363078
TI - GC-MS Analysis of Short-Chain Fatty Acids in Feces, Cecum Content, and Blood
Samples.
AB - Short-chain fatty acids, the end products of fermentation of dietary fibers by
the gut microbiota, have been shown to exert multiple effects on mammalian
metabolism. For the analysis of short-chain fatty acids, gas chromatography-mass
spectrometry is a very powerful and reliable method. Here, we describe a fast,
reliable, and reproducible method for the separation and quantification of short
chain fatty acids in mouse feces, cecum content, and blood samples (i.e., plasma
or serum) using gas chromatography-mass spectrometry. The short-chain fatty acids
analyzed include acetic acid, propionic acid, butyric acid, valeric acid,
hexanoic acid, and heptanoic acid.
PMID- 29363079
TI - GC-MS Analysis of Medium- and Long-Chain Fatty Acids in Blood Samples.
AB - Our body contains a wide variety of fatty acids that differ in chain length, the
degree of unsaturation, and location of the double bonds. As the various fatty
acids play distinct roles in health and disease, methods that can specifically
determine the fatty acid profile are needed for fundamental and clinical studies.
Here we describe a method for the separation and quantification of fatty acids
ranging from 8 to 24 carbon chain lengths in blood samples using gas
chromatography-mass spectrometry following derivatization using pentafluorobenzyl
bromide. This method quantitatively monitors fatty acid composition in a manner
that satisfies the requirements for comprehensiveness, sensitivity, and accuracy.
PMID- 29363080
TI - Analysis of Oxysterols.
AB - Oxysterols are oxygenated derivatives of cholesterol formed in the human body or
ingested in the diet. By modulating the activity of many proteins (for instance,
liver X receptors, oxysterol-binding proteins, some ATP-binding cassette
transporters), oxysterols can affect many cellular functions and influence
various physiological processes (e.g., cholesterol metabolism, membrane fluidity
regulation, intracellular signaling pathways). Due to their crucial role, it is
important to be able to quantify them in pathological conditions. The method
described here permits to measure the content of oxysterol in plasma, cell, or
media using GC-MS.
PMID- 29363081
TI - Analysis of Metabolites from the Tricarboxylic Acid Cycle for Yeast and Bacteria
Samples Using Gas Chromatography Mass Spectrometry.
AB - We here explain step by step the implementation of gas chromatography coupled
with tandem mass spectrometry for the quantitative analysis of intracellular
metabolites from the tricarboxylic acid (TCA) cycle such as citrate, isocitrate,
alpha-ketoglutarate, succinate, malate, and fumarate. Isotope dilution is used to
correct for potential metabolite losses during sample processing, matrix effects,
incomplete derivatization, and liner contamination. All measurements are
performed in selected reaction monitoring (SRM) mode. Standards and samples are
first diluted with a fixed volume of a mixture of fully 13 C-labeled internal
standards and then derivatized to give trimethylsilyl-methoxylamine derivatives
prior GC-MS/MS analysis.
PMID- 29363082
TI - GC-MS Analysis of Lipid Oxidation Products in Blood, Urine, and Tissue Samples.
AB - Oxidant stress has been identified as important in the pathology of many
diseases. Oxidation products of polyunsaturated fatty acids collectively termed
isoprostanes, neuroprostanes, and isofurans are considered the most reliable
measures of in vivo lipid oxidation, and they are widely used to assess oxidant
stress in various diseases. Here we describe the measurement of these lipid
oxidation products using gas chromatography mass spectrometry with electron
capture negative ionization.
PMID- 29363083
TI - Metabolic Profiling of Urine by Capillary Electrophoresis-Mass Spectrometry Using
Non-covalently Coated Capillaries.
AB - In the field of metabolomics, capillary electrophoresis-mass spectrometry (CE-MS)
can be considered a very useful analytical tool for the profiling of polar and
charged metabolites. However, variability of migration time is an important issue
in CE. An elegant way to minimize this problem is the use of non-covalently
coated capillaries that is dynamic coating of the bare fused-silica capillary
with solutions of charged polymers. In this protocol, an improved strategy for
the profiling of cationic metabolites in urine by CE-MS using multilayered non
covalent capillary coatings is presented. Capillaries are coated with a bilayer
of polybrene (PB) and poly(vinyl sulfonate) (PVS) or with a triple layer of PB,
dextran sulfate (DS), and PB. The bilayer- and triple-layer-coated capillaries
have a negative and positive outside layer, respectively. It is shown that the
use of such capillaries provides very repeatable migration times.
PMID- 29363084
TI - CE-MS for the Analysis of Amino Acids.
AB - Amino acids play an important role in clinical analysis. Capillary
electrophoresis-electrospray ionization-mass spectrometry (CE-ESI-MS) has proven
to possess several characteristics that make it a powerful and useful tool for
the analysis of amino acids in clinical studies. Here we present a method for the
separation and quantitative analysis of 27 amino acids in urine based on CE-ESI
MS. The method presents an improved resolution between the isomers Leu, Ile, and
aIle, in comparison to other CE-ESI-MS methods in the literature. This method is
fast, selective, and simple and has improved sensitivity by applying a pH
mediated stacking strategy, showing that it can be successfully used for amino
acid analysis and probably for other small cationic metabolites.
PMID- 29363085
TI - NMR Analysis of Fecal Samples.
AB - Fecal analysis can generate data that is relevant for the exploration of gut
microbiota and their relationship with the host. Nuclear magnetic resonance (NMR)
spectroscopy is an excellent tool for the profiling of fecal extracts as it
enables the simultaneous detection of various metabolites from a broad range of
chemical classes including, among others, short-chain fatty acids, organic acids,
amino acids, bile acids, carbohydrates, amines, and alcohols. Compounds present
at low MUM concentrations can be detected and quantified with a single
measurement. Moreover, NMR-based profiling requires a relatively simple sample
preparation. Here we describe the three main steps of the general workflow for
the NMR-based profiling of feces: sample preparation, NMR data acquisition, and
data analysis.
PMID- 29363086
TI - Quantitative Analysis of Central Energy Metabolism in Cell Culture Samples.
AB - Nuclear magnetic resonance (NMR) is one of the key analytical platforms used in
the analysis of intracellular and extracellular metabolites. Despite the
technological advances that allow for the production of high-quality data, the
sampling procedures of cultured cells are less well standardized. Different cell
lines and culture media composition require adjustments of the protocols to
result meaningful quantitative information. Here we provide the workflow for
obtaining quantitative metabolic data from adherent mammalian cells using NMR
spectroscopy. The robustness of NMR allows for the implementation of the here
described protocol to other cell types with only minor adjustments.
PMID- 29363087
TI - Mass Spectrometry Imaging of Metabolites.
AB - Mass spectrometry imaging (MSI) is a technique which is gaining increasing
interest in biomedical research due to its capacity to visualize molecules in
tissues. First applied to the field of clinical proteomics, its potential for
metabolite imaging in biomedical studies is now being recognized. Here we
describe how to set up experiments for mass spectrometry imaging of metabolites
in clinical tissues and how to tackle most of the obstacles in the subsequent
analysis of the data.
PMID- 29363088
TI - Quality-Assured Biobanking: The Leiden University Medical Center Model.
AB - Prospective or "de novo" biobanking is becoming increasingly popular. Biobanks
are installed to provide large collections of biological materials for future
medical research. Quality assurance of biobank samples is an important aspect of
biobanking. Therefore, it is vital that all samples are collected and processed
in a similar manner according to standardized procedures to ensure high-quality
samples and reduce variability in the analytical process. We describe the
processes of the centralized biobanking facility at the Leiden University Medical
Center (LUMC).
PMID- 29363089
TI - Extracting Knowledge from MS Clinical Metabolomic Data: Processing and Analysis
Strategies.
AB - Assessing potential alterations of metabolic pathways using large-scale
approaches today plays a central role in clinical research. Because several
thousands of mass features can be measured for each sample with separation
techniques hyphenated to mass spectrometry (MS) detection, adapted strategies
should be implemented to detect altered pathways and help to elucidate the
mechanisms of pathologies. These procedures include peak detection, sample
alignment, normalization, statistical analysis, and metabolite annotation.
Interestingly, considerable advances have been made over the last years in terms
of analytics, bioinformatics, and chemometrics to help massive and complex
metabolomic data to be more adequately handled with automated processing and data
analysis workflows. Recent developments and remaining challenges related to MS
signal processing, metabolite annotation, and biomarker discovery based on
statistical models are illustrated in this chapter considering their application
to clinical research.
PMID- 29363090
TI - Elevated Aromatase (CYP19A1) Expression Is Associated with a Poor Survival of
Patients with Estrogen Receptor Positive Breast Cancer.
AB - Genetic variants in CYP19A1, the gene encoding aromatase, have been reported to
be associated with circulating estrogen concentrations, a key risk factor for
breast cancer. The mechanism underlying this association is still unclear; it has
been suggested that some of these variants may alter the expression and/or
activity of aromatase. Here we analyzed the expression of intra-tumoral CYP19A1
messenger RNA (mRNA) and the genotypes of rs10046, a well-characterized single
nucleotide polymorphism in CYP19A1, in 138 breast cancer patients and 15 breast
cancer cell lines. The genotype TT was detected in 36 patients and six cell
lines, genotype CT in 55 patients and five cell lines, and genotype CC in 28
patients and four cell lines. We found no evidence for a significant association
of CYP19A1 levels with rs10046 genotypes, although expression tended to be higher
in tumors and cell lines with the homozygous risk genotype TT. We also found no
evidence for a significant association of rs10046 genotypes with breast cancer
prognosis. In contrast, high CYP19A1 expression was highly significantly
associated with a poor overall, disease-free, and metastasis-free survival in
estrogen receptor-positive but not negative breast cancer patients. Moreover,
CYP19A1 mRNA was significantly elevated in postmenopausal patients and in
patients older than 50 years, and a trend towards a positive correlation with ER
status and ESR1 mRNA expression was observed. These findings highlight the key
role of aromatase in estrogen receptor-positive breast cancer biology.
PMID- 29363092
TI - The tolerability of Potassium Citrate Tablet in patients with intolerance to
Potassium Citrate Powder form.
AB - PURPOSE: To assess the tolerability of Potassium Citrate (KCit) tablet in
patients with kidney stones that were not able to use the powder form of this
drug due to unfavorable salty taste and gastrointestinal complications. MATERIALS
AND METHODS: Twenty-three stone formers, with intolerance to potassium citrate
powder form, which had referred to Labbafinejad stone preventive clinic (2015),
have been included in this study. All of the patients took two Potassium citrate
tablets (10 meq), three times a day for two weeks. Spot urine sample and the 24
hour urine collections were performed before and after KCit therapy. In addition,
a visual analog taste scale was completed to gauge the taste and palatability of
the KCit tablet in comparing with the powder form. RESULTS: All of the patients
claimed that they consumed the tablets as prescribed. The urine pH and the 24
hour citrate and potassium were significantly higher after the treatment. In
addition, the mean visual analog scale score was significantly improved in KCit
therapy with tablet form versus to powder type (good vs. terrible score).
CONCLUSION: Oral tolerance of KCit therapy is improved with the use of Potassium
Citrate tablet, with beneficial effects on 24-hour urine citrate, potassium, and
pH.
PMID- 29363091
TI - Combinatorial Effect of Abiraterone Acetate and NVP-BEZ235 on Prostate Tumor
Progression in Rats.
AB - Use of drug combinations that target different pathways involved in the
development and progression of prostate cancer (PCa) has emerged as an
alternative to overcome the resistance caused by drug monotherapies. The
antiandrogen abiraterone acetate and the PI3K/Akt inhibitor NVP-BEZ235 (BEZ235)
may be suitable options for the prevention of drug resistance and the inhibition
of PCa progression. The aim of the present study was to evaluate whether
abiraterone acetate and BEZ235 achieve superior therapeutic effects to either
drug administered as monotherapy, in the early stages of PCa in an androgen
dependent system. Our study showed that each drug might impair tumor growth by
reducing proliferation and increasing cell death when administered as
monotherapy. However, tumor growth continued to progress with each drug
monotherapy and some important side effects were related to BEZ. Conversely, when
used in combination, the drugs impaired the inflammatory response, decreased
hyperplastic lesions, and blocked tumor progression from premalignant to a
malignant stage. Our data showed that the strategy to block the androgenic and
PI3K/AKT/mTOR pathway is an effective therapeutic option and should be
investigated including distinct PI3K pathway inhibitors.
PMID- 29363093
TI - A prospective 52-week randomized controlled trial of patient-initiated care
consultations for patients with psoriasis.
AB - BACKGROUND: Treatment and care of moderate-to-severe psoriasis require lifelong
consultations with a dermatologist with close monitoring of systemic treatment.
OBJECTIVES: To investigate the effect of patient-initiated care consultation
(PICC) for patients with psoriasis in a dermatology outpatient clinic. METHODS: A
prospective randomized controlled trial with patients on well-controlled systemic
treatment randomized to either (i) the PICC group, where they participated in one
annual consultation with a dermatologist but were able to initiate consultations
when needed; or (ii) routine care, where they participated in a consultation
every 12-16 weeks. The primary outcome was the Dermatology Life Quality Index
(DLQI). Other outcomes were safety, patient adherence and satisfaction with
healthcare assessed at baseline and after 52 weeks. The study was registered with
clinical trials number NCT02382081. RESULTS: In total 150 patients were included,
with 58.0% treated with biologics, 37.3% with methotrexate and 4.7% with
acitretin. At week 52 no statistically significant mean difference between groups
was detected in DLQI (0.28, 95% confidence interval -0.35 to 0.9) or Psoriasis
Area and Severity Index (-0.24, 95% confidence interval -0.84 to 0.36). Patients
in the PICC group requested 63% fewer consultations with a dermatologist: mean
2.5 +/- 0.1 vs. 5.1 +/- 0.6 (P = 0.001). Patient adherence and safety with
treatment monitoring were equal between groups, but the PICC group was
significantly better at attending consultations than the control group (P =
0.003). CONCLUSIONS: PICC offers additional clinical benefits over routine care,
making patients less dependent on clinical visits. The intervention adds no harm
to monitoring systemic treatment, and patients report high quality of life and
satisfaction with healthcare.
PMID- 29363094
TI - A brief comment about predictive models for mortality in intensive care units.
PMID- 29363095
TI - Hydration prevents chronic hyperglycaemic patients from neurological
deterioration post-ischaemic stroke.
AB - OBJECTIVES: To determine whether chronic hyperglycaemia predisposes patients to
dehydration, which may promote neurological deterioration, and to investigate
whether dehydration control improves functional outcome. PATIENTS AND METHODS:
This study included 355 patients hospitalized with acute ischaemic stroke and
diabetes mellitus who fulfilled the glycaemic gap <=0. We used the following cut
offs: (i) no chronic hyperglycaemia (glycated haemoglobin A1c [HbA1c] < 7%) and
(ii) chronic hyperglycaemia (HbA1c >= 7%). The chronic hyperglycaemic patients
were randomly divided into the control group and the hydration group. Hydration
therapy was only initiated in the hydration group. The blood urea nitrogen
(BUN)/creatinine (Cr) ratio was used as an indicator of dehydration. Stroke
severity on admission and discharge was assessed by means of National Institutes
of Health Stroke Scale (NIHSS). RESULTS: The mean baseline BUN/Cr ratios were
higher in the control group and hydration group than in the no chronic
hyperglycaemia group. The mean BUN/Cr ratio decreased from 91.22 +/- 29.95 on the
first day to 77.03 +/- 18.23 on the third day (P < .001) in the hydration group.
On the third day after admission, there was no significant difference in the
BUN/Cr ratio between the hydration group and the no chronic hyperglycaemia group
(P = .831). Moreover, neurological deterioration was highest in the control group
(33.6%, 36/107), followed by the hydration group (10.5%, 11/105) and the no
chronic hyperglycaemia group (5.6%, 8/143). CONCLUSIONS: Chronic hyperglycaemia
was associated with the admission NIHSS score and neurological deterioration
after excluding the effect of stress hyperglycaemia. Furthermore, hydration
therapy may help prevent neurological deterioration.
PMID- 29363097
TI - A good pilot to navigate troubled waters.
PMID- 29363096
TI - Electroclinical findings and long-term outcomes in epileptic patients with inv
dup (15).
AB - OBJECTIVE: To define the electroclinical phenotype and long-term outcomes in a
cohort of patients with inv dup (15) syndrome. MATERIAL AND METHODS: The
electroclinical data of 45 patients (25 males) affected by inv dup (15) and
seizures were retrospectively analysed, and long-term follow-up of epilepsy was
evaluated. RESULTS: Epilepsy onset was marked by generalized seizures in 53% of
patients, epileptic spasms in 51%, focal seizures in 26%, atypical absences in
11% and epileptic falls in 9%. The epileptic syndromes defined were: generalized
epilepsy (26.7%), focal epilepsy (22.3%), epileptic encephalopathy with epileptic
spasms as the only seizure type (17.7%) and Lennox-Gastaut syndrome (33.3%). Drug
resistant epilepsy was detected in 55.5% of patients. There was a significant
higher prevalence of seizure-free patients in those with seizure onset after the
age of 5 years and with focal epilepsy, with respect to those with earlier
epilepsy onset because most of these later developed an epileptic encephalopathy
(69.2% vs 34.4%; P = .03), usually Lennox-Gastaut Syndrome in type. In fact,
among patients with early-onset epilepsy, those presenting with epileptic spasms
as the only seizure type associated with classical hypsarrhythmia achieved
seizure freedom (P < .001) compared to patients with spasms and other seizure
types associated with modified hypsarrhythmia. CONCLUSIONS: Epilepsy in inv dup
(15) leads to a more severe burden of disease. Frequently, these patients show
drug resistance, in particular when epilepsy onset is before the age of five and
features epileptic encephalopathy.
PMID- 29363098
TI - Infants born preterm, stress, and neurodevelopment in the neonatal intensive care
unit: might music have an impact?
AB - AIM: The neonatal intensive care unit (NICU) provides life-saving medical care
for an increasing number of newborn infants each year. NICU care, while
lifesaving, does have attendant consequences which can include repeated
activation of the stress response and reduced maternal interaction, with possible
negative long-term impacts on brain development. Here we present a
neuroscientific framework for considering the impact of music on neurodevelopment
in the NICU of infants born preterm and evaluate current literature on the use of
music with this population to determine what is most reliably known of the
physiological effects of music interventions. METHOD: Using online academic
databases we collected relevant, experimental studies aimed at determining
effects of music listening in infants in the NICU. These articles were evaluated
for methodological rigor, ranking the 10 most experimentally stringent as a
representative sample. RESULTS: The selected literature seems to indicate that
effects are present on the cardio-pulmonary system and behavior of neonates,
although the relative effect size remains unclear. INTERPRETATION: These findings
indicate a need for more standardized longitudinal studies aimed at determining
not only whether NICU music exposure has beneficial effects on the cardio
pulmonary system, but also on the hypothalamic-pituitary-adrenal axis, brain
structures, and cognitive behavioral status of these children as well. WHAT THIS
PAPER ADDS: Provides a neuroscience framework for considering how music might
attenuate stress in neonatal intensive care unit (NICU) infants. Considers how
repeated stress may cause negative neurodevelopmental impacts in infants born
preterm. Posits epigenetics can serve as a mechanistic pathway for music
moderating the stress response.
PMID- 29363099
TI - Hidradenitis suppurativa, a review of pathogenesis, associations and management.
Part 2.
AB - Hidradenitis suppurativa is a chronic, painful, autoinflammatory condition
resulting in nodules, abscesses and sinus tracts. We present an evidence-based
review providing new understanding of the pathogenesis of hidradenitis
suppurativa and associated comorbidities. By the nature of their speciality,
dermatologists are uniquely positioned to investigate and treat patients.
PMID- 29363100
TI - Non-transport emergency medical service missions - a retrospective study based on
medical charts.
AB - BACKGROUND: In Finland, approximately 40% of emergency medical service (EMS)
missions do not result in transport of the patient to a hospital by ambulance,
and there is wide variability in the reasons underlying non-transport decisions.
The aim of this study was to describe the context of these EMS non-transport
missions. METHODS: The data were collected retrospectively between 3 September
and 20 October 2014 by investigating non-transport EMS charts (n = 1154). Event
information and patients' main symptoms were extracted from information found in
EMS charts and quantified using content and statistical analyses. RESULTS:
Patients' need for EMS were explained by various reasons. One-third of the
missions were caused by organ-specific symptoms, whereas in 30.8% of cases the
reason for EMS was unspecified. Sudden onset symptoms were noted for 38.4% of the
cases, whereas in 14.7% of cases the symptoms had persisted for days or weeks
before EMS contact. EMS personnel offered guidance instead of treatment in 79.2%
of the missions. CONCLUSIONS: Non-transport missions represent a significant
daily work load for the EMS. Although most of the symptoms showed acute onset,
the majority of these missions involved only assessment of medical necessity
and/or guidance without any medical treatment. It is questionable whether this
use of the EMS is cost-effective for any healthcare system.
PMID- 29363101
TI - Acute brain lesions on magnetic resonance imaging in relation to neurological
outcome after cardiac arrest.
AB - BACKGROUND: Magnetic resonance imaging (MRI) of the brain including diffusion
weighted imaging (DWI) is reported to have high prognostic accuracy in
unconscious post-cardiac arrest (CA) patients. We documented acute MRI findings
in the brain in both conscious and unconscious post-CA patients treated with
target temperature management (TTM) at 32-34 degrees C for 24 h as well as the
relation to patients' neurological outcome after 6 months. METHODS: A prospective
observational study with MRI was performed regardless of the level of
consciousness in post-CA patients treated with TTM. Neurological outcome was
assessed using the Cerebral Performance Categories scale and dichotomized into
good and poor outcome. RESULTS: Forty-six patients underwent MRI at 3-5 days post
CA. Patients with good outcome had minor, mainly frontal and parietal, lesions.
Acute hypoxic/ischemic lesions on MRI including DWI were more common in patients
with poor outcome (P = 0.007). These lesions affected mostly gray matter (deep or
cortical), with or without involvement of the underlying white matter. Lesions in
the occipital and temporal lobes, deep gray matter and cerebellum showed
strongest associations with poor outcome. Decreased apparent diffusion
coefficient, was more common in patients with poor outcome. CONCLUSIONS:
Extensive acute hypoxic/ischemic MRI lesions in the cortical regions, deep gray
matter and cerebellum detected by visual analysis as well as low apparent
diffusion coefficient values from quantitative measurements were associated with
poor outcome. Patients with good outcome had minor hypoxic/ischemic changes,
mainly in the frontal and parietal lobes.
PMID- 29363102
TI - Mitochondrial DNA Heteroplasmy and Purifying Selection in the Mammalian Female
Germ Line.
AB - Inherited mutations in the mitochondrial (mt)DNA are a major cause of human
disease, with approximately 1 in 5000 people affected by one of the hundreds of
identified pathogenic mtDNA point mutations or deletions. Due to the severe, and
often untreatable, symptoms of many mitochondrial diseases, identifying how these
mutations are inherited from one generation to the next has been an area of
intense research in recent years. Despite large advances in our understanding of
this complex process, many questions remain unanswered, with one of the most
hotly debated being whether or not purifying selection acts against pathogenic
mutations during germline development.
PMID- 29363103
TI - Effect of combined epidural anaesthesia on tumor-infiltrating lymphocytes in lung
adenocarcinoma: a prospective exploratory sub-analysis.
AB - BACKGROUND: Regional anaesthesia may have advantages in preserving immune
function. Tumor-infiltrating lymphocytes (TILs) are considered indicators of
immune response in the tumor microenvironment and used as a prognostic marker in
patients after cancer surgery. This study investigated the effects of combined
epidural anaesthesia on the number of TILs in patients undergoing surgery for
lung adenocarcinoma. METHODS: Patients undergoing radical resection for primary
lung cancer were randomized to receive either combined epidural-general
anaesthesia (Epi-GA) or general anaesthesia (GA) in an ongoing randomized
controlled trial (ChiCTR-TRC-14004136). Excised adenocarcinoma specimens from
patients enrolled between 1 June 2015 and 30 November 2015 were selected for
immunohistochemical staining of CD8 and FOXP3 molecules. The numbers of positive
lymphocytes were counted and expressed as the number of cells per mm2 tumor area.
RESULTS: One hundred and twenty-eight patients were recruited and randomized; 64
patients were included in immunohistochemistry analysis (37 received Epi-GA vs.
27 received GA). The number of CD8+ T cells was higher in the Epi-GA group than
in the GA group (median [interquartile range]: 292.8 [198.0-418.3] vs. 204.7
[131.1-305.8], P = 0.036); whereas the number of FOXP3+ T cells was less in the
Epi-GA group than in the GA group (37.6 [14.7-92.3] vs. 99.8 [68.9-168.3], P <
0.001). CONCLUSIONS: For patients undergoing surgery for lung adenocarcinoma
under general anesthesia, use of epidural anaesthesia increased CD8+ T cells
infiltration but decreased FOXP3+ T cells accumulation in tumor tissues. Epidural
anaesthesia may affect TILs in a manner that preserves immune function.
PMID- 29363104
TI - Bridge flap repair for central nasal dorsum defect.
AB - Surgery of the nose to remove skin cancer often requires the use of local flaps.
We present a defect after the extirpation of a previously incompletely excised
infiltrative squamous cell carcinoma, which we repaired with a Bridge flap, a
bipedicled and subcutaneous islanded flap whose excellent vascularity allows
reliable reconstruction and rapid mobilisation, with dependable results.
PMID- 29363105
TI - Anticoagulation for the initial treatment of venous thromboembolism in people
with cancer.
AB - BACKGROUND: Compared with people without cancer, people with cancer who receive
anticoagulant treatment for venous thromboembolism (VTE) are more likely to
develop recurrent VTE. OBJECTIVES: To compare the efficacy and safety of three
types of parenteral anticoagulants (i.e. fixed-dose low molecular weight heparin
(LMWH), adjusted-dose unfractionated heparin (UFH), and fondaparinux) for the
initial treatment of VTE in people with cancer. SEARCH METHODS: A comprehensive
search included a major electronic search of the following databases: Cochrane
Central Register of Controlled Trials (CENTRAL) (2018, Issue 1), MEDLINE (via
Ovid) and Embase (via Ovid); handsearching of conference proceedings; checking of
references of included studies; use of the 'related citation' feature in PubMed;
and a search for ongoing studies. This update of the systematic review was based
on the findings of a literature search conducted on 14 January 2018. SELECTION
CRITERIA: Randomized controlled trials (RCTs) assessing the benefits and harms of
LMWH, UFH, and fondaparinux in people with cancer and objectively confirmed VTE.
DATA COLLECTION AND ANALYSIS: Using a standardized form, we extracted data in
duplicate on study design, participants, interventions outcomes of interest, and
risk of bias. Outcomes of interested included all-cause mortality, symptomatic
VTE, major bleeding, minor bleeding, postphlebitic syndrome, quality of life, and
thrombocytopenia. We assessed the certainty of evidence for each outcome using
the GRADE approach. MAIN RESULTS: Of 15440 identified citations, 7387 unique
citations, 15 RCTs fulfilled the eligibility criteria. These trials enrolled 1615
participants with cancer and VTE: 13 compared LMWH with UFH enrolling 1025
participants, one compared fondaparinux with UFH and LMWH enrolling 477
participants, and one compared dalteparin with tinzaparin enrolling 113
participants. The meta-analysis of mortality at three months included 418
participants from five studies and that of recurrent VTE included 422
participants from 3 studies. The findings showed that LMWH likely decreases
mortality at three months compared to UFH (risk ratio (RR) 0.66, 95% confidence
interval (CI) 0.40 to 1.10; risk difference (RD) 57 fewer per 1000, 95% CI 101
fewer to 17 more; moderate certainty evidence), but did not rule out a clinically
significant increase or decrease in VTE recurrence (RR 0.69, 95% CI 0.27 to 1.76;
RD 30 fewer per 1000, 95% CI 70 fewer to 73 more; moderate certainty
evidence).The study comparing fondaparinux with heparin (UFH or LMWH) did not
exclude a beneficial or detrimental effect of fondaparinux on mortality at three
months (RR 1.25, 95% CI 0.86 to 1.81; RD 43 more per 1000, 95% CI 24 fewer to 139
more; moderate certainty evidence), recurrent VTE (RR 0.93, 95% CI 0.56 to 1.54;
RD 8 fewer per 1000, 95% CI 52 fewer to 63 more; moderate certainty evidence),
major bleeding (RR 0.82, 95% CI 0.40 to 1.66; RD 12 fewer per 1000, 95% CI 40
fewer to 44 more; moderate certainty evidence), or minor bleeding (RR 1.53, 95%
CI 0.88 to 2.66; RD 42 more per 1000, 95% CI 10 fewer to 132 more; moderate
certainty evidence)The study comparing dalteparin with tinzaparin did not exclude
a beneficial or detrimental effect of dalteparin on mortality (RR 0.86, 95% CI
0.43 to 1.73; RD 33 fewer per 1000, 95% CI 135 fewer to 173 more; low certainty
evidence), recurrent VTE (RR 0.44, 95% CI 0.09 to 2.16; RD 47 fewer per 1000, 95%
CI 77 fewer to 98 more; low certainty evidence), major bleeding (RR 2.19, 95% CI
0.20 to 23.42; RD 20 more per 1000, 95% CI 14 fewer to 380 more; low certainty
evidence), or minor bleeding (RR 0.82, 95% CI 0.30 to 2.21; RD 24 fewer per 1000,
95% CI 95 fewer to 164 more; low certainty evidence). AUTHORS' CONCLUSIONS: LMWH
is possibly superior to UFH in the initial treatment of VTE in people with
cancer. Additional trials focusing on patient-important outcomes will further
inform the questions addressed in this review. The decision for a person with
cancer to start LMWH therapy should balance the benefits and harms and consider
the person's values and preferences.
PMID- 29363106
TI - Factors Associated with Hospital Admission after Outpatient Surgery in the
Veterans Health Administration.
AB - OBJECTIVE: To examine factors associated with 0- to 7-day admission after
outpatient surgery in high-volume specialties: general surgery, orthopedics,
urology, ear/nose/throat, and podiatry. STUDY DESIGN: We calculated rates and
assessed diagnosis codes for 0- to 7-day admission after outpatient surgery for
Centers for Medicare and Medicaid Services (CMS) and Veterans Health
Administration (VA) dually enrolled patients age 65 and older. We also estimated
separate multilevel logistic regression models to compare patient, procedure, and
facility characteristics associated with postoperative admission. DATA
COLLECTION: 2011-2013 surgical encounter data from the VA Corporate Data
Warehouse; geographic data from the Area Health Resources File; CMS enrollment
and hospital admission data. PRINCIPAL FINDINGS: Among 63,585 outpatient
surgeries in 124 facilities, 0- to 7-day admission rates ranged from 5 percent
(podiatry) to 28 percent (urology); nearly 66 percent of the admissions occurred
on the day of surgery. Only 97 admissions were detected in the CMS data (1
percent). Surgical complications were diagnosed in 4 percent of admissions.
Procedure complexity, measured by relative value units or anesthesia risk score,
was associated with admission across all specialties. CONCLUSION: As many as 20
percent of VA outpatient surgeries result in an admission. Complex procedures are
more likely to be followed by admission, but more evidence is required to
determine how many of these reflect potential safety or quality problems.
PMID- 29363107
TI - Identifying co-opted transposable elements using comparative epigenomics.
AB - The human genome gives rise to different epigenomic landscapes that define each
cell type and can be deregulated in disease. Recent efforts by ENCODE, the NIH
Roadmap and the International Human Epigenome Consortium (IHEC) have made
significant advances towards assembling reference epigenomic maps of various
tissues. Notably, these projects have found that approximately 80% of human DNA
was biochemically active in at least one epigenomic assay while only
approximately 10% of the sequence displayed signs of purifying selection. Given
that transposable elements (TEs) make up at least 50% of the human genome and can
be actively transcribed or act as regulatory elements either for their own
purposes or be co-opted for the benefit of their host; we are interested in
exploring their overall contribution to the "functional" genome. Traditional
methods used to identify functional DNA have relied on comparative genomics,
conservation analysis and low throughput validation assays. To discover co-opted
TEs, and distinguish them from noisy genomic elements, we argue that comparative
epigenomic methods will also be important.
PMID- 29363108
TI - Can Exercise Improve Cognitive Symptoms of Alzheimer's Disease?
AB - OBJECTIVES: To examine the effects of exercise training on cognitive function in
individuals at risk of or diagnosed with Alzheimer's disease (AD). DESIGN: Meta
analysis. SETTING: PubMed, Scopus, ClinicalTrials.gov, and ProQuest were searched
from inception until August 1, 2017. PARTICIPANTS: Nineteen studies with 23
interventions including 1,145 subjects with a mean age of 77.0 +/- 7.5 were
included. Most subjects were at risk of AD because they had mild cognitive
impairment (64%) or a parent diagnosed with AD (1%), and 35% presented with AD.
INTERVENTION: Controlled studies that included an exercise-only intervention and
a nondiet, nonexercise control group and reported pre- and post-intervention
cognitive function measurements. MEASUREMENTS: Cognitive function before and
after the intervention and features of the exercise intervention. RESULTS:
Exercise interventions were performed 3.4 +/- 1.4 days per week at moderate
intensity (3.7 +/- 0.6 metabolic equivalents) for 45.2 +/- 17.0 minutes per
session for 18.6 +/- 10.0 weeks and consisted primarily of aerobic exercise
(65%). Overall, there was a modest favorable effect of exercise on cognitive
function (d+ = 0.47, 95% confidence interval (CI) = 0.26-0.68). Within-group
analyses revealed that exercise improved cognitive function (d+w = 0.20, 95% CI
= 0.11-0.28), whereas cognitive function declined in the control group (d+w =
0.18, 95% CI = -0.36 to 0.00). Aerobic exercise had a moderate favorable effect
on cognitive function (d+w = 0.65, 95% CI = 0.35-0.95), but other exercise types
did not (d+w = 0.19, 95% CI = -0.06-0.43). CONCLUSION: Our findings suggest that
exercise training may delay the decline in cognitive function that occurs in
individuals who are at risk of or have AD, with aerobic exercise possibly having
the most favorable effect. Additional randomized controlled clinical trials that
include objective measurements of cognitive function are needed to confirm our
findings.
PMID- 29363109
TI - Inhibition of SLC1A5 sensitizes colorectal cancer to cetuximab.
AB - Cetuximab resistance is a key barrier in treating metastatic colorectal cancer
(mCRC). Targeting of metabolic resources import could resensitize drug-resistant
cancer cells to anticancer treatments. Here we showed that the expression of the
glutamine transporter solute carrier 1 family member 5 (SLC1A5) in clinical CRC
samples of patients resisted to cetuximab was significantly higher than in those
of patients responded to cetuximab. Inhibition of SLC1A5 by shRNA-mediated gene
silencing or pharmacological inhibitor significantly suppressed the growth of
CRC. Moreover, inhibition of SLC1A5 significantly enhanced the inhibitory
efficacy of cetuximab on CRC proliferation both in vitro and in vivo.
Mechanistically, SLC1A5 inhibition facilitated EGFR degradation through the
ubiquitin-proteasome pathway, and decreased the expression of nuclear EGFR, both
of which might have contribution to the improved response to cetuximab. This
study provides the metabolic molecule SLC1A5 as a potential therapeutic target to
increase the efficacy of cetuximab on CRC.
PMID- 29363110
TI - Women's Sexual Health and Aging.
AB - Older women are often sexually active, but physicians caring for older women
rarely address sexual concerns. Although women's desire for sex declines with
age, a majority of older women rate sex as having importance in their lives.
Women identify emotional intimacy as an important reason for engaging in sexual
relationships. Women are less likely than men to have an available spousal or
intimate partner and more likely to have a partner with sexual difficulties of
their own. Up to half of sexually active older women report a distressing sexual
problem, with low desire and problems related to genitourinary syndrome
(vulvovaginal atrophy) being most common. Difficulty with orgasm in older women
is often associated with a partner's erectile dysfunction. Sexually transmitted
infections (STIs) are increasingly prevalent in older women. A minority of older
women report discussing sexual issues with a physician. Most commonly, the
patient initiates discussions. Physicians should ask regularly and proactively
about sexual activity and function. Important interventions include offering
practical advice to common chronic medical conditions and sexual problems that
confront older women; treating vulvovaginal atrophy; and providing STI screening,
prevention strategies, and treatment when appropriate.
PMID- 29363111
TI - Demography and selection shape transcriptomic divergence in field crickets.
AB - Gene flow, demography, and selection can result in similar patterns of genomic
variation and disentangling their effects is key to understanding speciation.
Here, we assess transcriptomic variation to unravel the evolutionary history of
Gryllus rubens and Gryllus texensis, cryptic field cricket species with highly
divergent mating behavior. We infer their demographic history and screen their
transcriptomes for footprints of selection in the context of the inferred
demography. We find strong support for a long history of bidirectional gene flow,
which ceased during the late Pleistocene, and a bottleneck in G. rubens
consistent with a peripatric origin of this species. Importantly, the demographic
history has likely strongly shaped patterns of genetic differentiation (empirical
FST distribution). Concordantly, FST -based selection detection uncovers a large
number of outliers, likely comprising many false positives, echoing recent
theoretical insights. Alternative genetic signatures of positive selection,
informed by the demographic history of the sibling species, highlighted a smaller
set of loci; many of these are candidates for controlling variation in mating
behavior. Our results underscore the importance of demography in shaping overall
patterns of genetic divergence and highlight that examining both demography and
selection facilitates a more complete understanding of genetic divergence during
speciation.
PMID- 29363112
TI - Anatomical network analysis of the musculoskeletal system reveals integration
loss and parcellation boost during the fins-to-limbs transition.
AB - Tetrapods evolved from within the lobe-finned fishes around 370 Ma. The evolution
of limbs from lobe-fins entailed a major reorganization of the skeletal and
muscular anatomy of appendages in early tetrapods. Concurrently, a degree of
similarity between pectoral and pelvic appendages also evolved. Here, we compared
the anatomy of appendages in extant lobe-finned fishes (Latimeria and
Neoceratodus) and anatomically plesiomorphic amphibians (Ambystoma, Salamandra)
and amniotes (Sphenodon) to trace and reconstruct the musculoskeletal changes
that took place during the fins-to-limbs transition. We quantified the anatomy of
appendages using network analysis. First, we built network models-in which nodes
represent bones and muscles, and links represent their anatomical connections-and
then we measured network parameters related to their anatomical integration,
heterogeneity, and modularity. Our results reveal an evolutionary transition
toward less integrated, more modular appendages. We interpret this transition as
a diversification of muscle functions in tetrapods compared to lobe-finned
fishes. Limbs and lobe-fins show also a greater similarity between their pectoral
and pelvic appendages than ray-fins do. These findings on extant species provide
a basis for future quantitative and comprehensive reconstructions of the anatomy
of limbs in early tetrapod fossils, and a way to better understand the fins-to
limbs transition.
PMID- 29363113
TI - Socioeconomic status and diagnosis, treatment, and mortality in men with prostate
cancer. Nationwide population-based study.
AB - Patients with high socioeconomic status (SES) have better cancer outcomes than
patients with low SES. This has also been shown in Sweden, a country with tax
financed health care aiming to provide care on equal terms to all residents. The
association between income and educational level and diagnostics and treatment as
outlined in national guidelines and prostate cancer (Pca) and all-cause mortality
was assessed in 74,643 men by use of data in the National Prostate Cancer
Register of Sweden and a number of other health care registers and demographic
databases. In multivariable logistic regression analysis, men with high income
had higher probability of Pca detected in a health-check-up, top versus bottom
income quartile, odds ratio (OR) 1.60 (95% CI 1.45-1.77) and lower probability of
waiting more than 3 months for prostatectomy, OR 0.77 (0.69-0.86). Men with the
highest incomes also had higher probability of curative treatment for
intermediate and high-risk cancer, OR 1.77 (1.61-1.95) and lower risk of positive
margins, (incomplete resection) at prostatectomy, OR 0.80 (0.71-0.90). Similar,
but weaker associations were observed for educational level. At 6 years of follow
up, Pca mortality was modestly lower for men with high income, which was
statistically significant for localized high-risk and metastatic Pca in men with
no comorbidities. All-cause mortality was less than half in top versus bottom
quartile of income (12% vs. 30%, p < 0.001) among men above age 65. Our findings
underscore the importance of adherence to guidelines to ensure optimal and equal
care for all patients diagnosed with cancer.
PMID- 29363114
TI - Exome sequencing and case-control analyses identify RCC1 as a candidate breast
cancer susceptibility gene.
AB - Breast cancer is a genetic disease but the known genes explain a minority of
cases. To elucidate the molecular basis of breast cancer in the Tunisian
population, we performed exome sequencing on six BRCA1/BRCA2 mutation-negative
patients with familial breast cancer and identified a novel frameshift mutation
in RCC1, encoding the Regulator of Chromosome Condensation 1. Subsequent
genotyping detected the 19-bp deletion in additional 5 out of 153 (3%) breast
cancer patients but in none of 400 female controls (p = 0.0015). The deletion was
enriched in patients with a positive family history (5%, p = 0.0009) and co
segregated with breast cancer in the initial pedigree. The mutant allele was lost
in 4/6 breast tumors from mutation carriers which may be consistent with the
hypothesis that RCC1 dysfunction provides a selective disadvantage at the stage
of tumor progression. In summary, we propose RCC1 as a likely breast cancer
susceptibility gene in the Tunisian population.
PMID- 29363115
TI - Do terrorist attacks affect ethnic discrimination in the labour market? Evidence
from two randomized field experiments.
AB - Terrorist attacks are known to influence public opinion. But do they also change
behaviour? We address this question by comparing the results of two identical
randomized field experiments on ethnic discrimination in hiring that we conducted
in Oslo. The first experiment was conducted before the 2011 terrorist attacks in
Norway; the second experiment was conducted after the attacks. In both
experiments, applicants with a typical Pakistani name were significantly less
likely to get a job interview compared to those with a typical Norwegian name.
But the ethnic gap in call-back rates were very similar in the two experiments.
Thus, Pakistanis in Norway still experienced the same level of discrimination,
despite claims that Norwegians have become more positive about migrants after the
far-right, anti-migrant terrorist attacks of 2011.
PMID- 29363118
TI - Promoting oral health through fluoride: Adopted by the FDI General Assembly:
August 2017, Madrid, Spain.
PMID- 29363117
TI - Serotonin receptor 1A promoter polymorphism, rs6295, modulates human anxiety
levels via altering parasympathetic nervous activity.
AB - OBJECTIVE: The G-allele of the -1019C/G (rs6295) promoter polymorphism of the
serotonin receptor 1A (HTR1A) gene has been implicated in anxiety; however, the
underlying neurophysiological processes are still not fully understood. Recent
evidence indicates that low parasympathetic (vagal) tone is predictive of
anxiety. We thus conducted a structural equation model (SEM) to examine whether
the HTR1A rs6295 variant can affect anxiety by altering parasympathetic nervous
activity. METHOD: A sample of 1141 drug-free healthy Han Chinese was recruited
for HTR1A genotyping. Autonomic nervous function was assessed by short-term
spectral analysis of heart rate variability (HRV). Anxiety and stress levels were
evaluated by the Beck Anxiety Inventory (BAI) and the Perceived Stress Scale
(PSS) respectively. RESULTS: The number of the HTR1A G allele was inversely
correlated with high-frequency power (HF), a parasympathetic index of HRV. The HF
index was negatively associated with BAI scores. Furthermore, the good-fitting
SEM, adjusting for confounding variables (e.g., age and PSS levels), revealed a
significant pathway linking rs6295 variant to BAI scores via HF index modulation.
CONCLUSION: These results are the first to show that HTR1A -1019C/G polymorphism
influences anxiety levels by modulating parasympathetic tone, providing a
neurophysiological insight into the role of HTR1A in human anxiety.
PMID- 29363116
TI - EML4-ALK fusion variant V3 is a high-risk feature conferring accelerated
metastatic spread, early treatment failure and worse overall survival in ALK+ non
small cell lung cancer.
AB - In order to identify anaplastic lymphoma kinase-driven non-small cell lung cancer
(ALK+ NSCLC) patients with a worse outcome, who might require alternative
therapeutic approaches, we retrospectively analyzed all stage IV cases treated at
our institutions with one of the main echinoderm microtubule-associated protein
like 4 (EML4)-ALK fusion variants V1, V2 and V3 as detected by next-generation
sequencing or reverse transcription-polymerase chain reaction (n = 67).
Progression under tyrosine kinase inhibitor (TKI) treatment was evaluated both
according to Response Evaluation Criteria in Solid Tumors (RECIST) and by the
need to change systemic therapy. EML4-ALK fusion variants V1, V2 and V3 were
found in 39%, 10% and 51% of cases, respectively. Patients with V3-driven tumors
had more metastatic sites at diagnosis than cases with the V1 and V2 variants
(mean 3.3 vs. 1.9 and 1.6, p = 0.005), which suggests increased disease
aggressiveness. Furthermore, V3-positive status was associated with earlier
failure after treatment with first and second-generation ALK TKI (median
progression-free survival [PFS] by RECIST in the first line 7.3 vs. 39.3 months,
p = 0.01), platinum-based combination chemotherapy (median PFS 5.4 vs. 15.2
months for the first line, p = 0.008) and cerebral radiotherapy (median brain PFS
6.1 months vs. not reached for cerebral radiotherapy during first-line treatment,
p = 0.028), and with inferior overall survival (39.8 vs. 59.6 months in median, p
= 0.017). Thus, EML4-ALK fusion variant V3 is a high-risk feature for ALK+ NSCLC.
Determination of V3 status should be considered as part of the initial workup for
this entity in order to select patients for more aggressive surveillance and
treatment strategies.
PMID- 29363119
TI - Dental Practice and Third Parties: Adopted by the General Assembly: August 2017,
Madrid, Spain.
PMID- 29363120
TI - Sustainability in Dentistry: Adopted by the FDI General Assembly: August 2017,
Madrid, Spain.
PMID- 29363122
TI - Odontogenic Pain Management: Adopted by the FDI General Assembly: August 2017,
Madrid, Spain.
PMID- 29363121
TI - Lifelong Oral Health: Adopted by the FDI General Assembly: August 2017, Madrid,
Spain.
PMID- 29363123
TI - 2017 FDI policy statements.
PMID- 29363125
TI - Quality in Dentistry: Adopted by the FDI General Assembly: August 2017, Madrid,
Spain.
PMID- 29363124
TI - Continuing Dental Education: Adopted by the FDI General Assembly: August 2017,
Madrid, Spain.
PMID- 29363126
TI - CAD/CAM Dentistry: Adopted by the FDI General Assembly: August 2017, Madrid,
Spain.
PMID- 29363127
TI - Advertising in Dentistry: Adopted by the FDI General Assembly: August 2017,
Madrid, Spain.
PMID- 29363128
TI - Poor family functioning mediates the link between childhood adversity and
adolescent nonsuicidal self-injury.
AB - BACKGROUND: Non-suicidal self-injury (NSSI) is a common harmful behavior during
adolescence. Exposure to childhood family adversity (CFA) is associated with
subsequent emergence of NSSI during adolescence. However, the pathways through
which this early environmental risk may operate are not clear. AIMS: We tested
four alternative hypotheses to explain the association between CFA and adolescent
onset NSSI. METHODS: A community sample of n = 933 fourteen year olds with no
history of NSSI were followed up for 3 years. RESULTS: Poor family functioning at
age 14 mediated the association between CFA before age 5 and subsequent onset of
NSSI between 14 and 17 years. CONCLUSIONS: The findings support the cumulative
suboptimal environmental hazards (proximal family relationships as a mediator)
hypothesis. Improving the family environment at age 14 may mitigate the effects
of CFA on adolescent onset of NSSI.
PMID- 29363129
TI - Developmental patterns and variation among early theropods.
AB - Understanding ontogenetic patterns is important in vertebrate paleontology
because the assessed skeletal maturity of an individual often has implications
for paleobiogeography, species synonymy, paleobiology, and body size evolution of
major clades. Further, for many groups the only means of confidently determining
ontogenetic status of an organism is through the destructive process of
histological sampling. Although the ontogenetic patterns of Late Jurassic and
Cretaceous dinosaurs are better understood, knowledge of the ontogeny of the
earliest dinosaurs is relatively poor because most species-level growth series
known from these groups are small (usually, maximum of n ~ 5) and incomplete. To
investigate the morphological changes that occur during ontogeny in early
dinosaurs, I used ontogenetic sequence analysis (OSA) to reconstruct
developmental sequences of morphological changes in the postcranial ontogeny of
the early theropods Coelophysis bauri and Megapnosaurus rhodesiensis, both of
which are known from large sample sizes (n = 174 and 182, respectively). I found
a large amount of sequence polymorphism (i.e. intraspecific variation in
developmental patterns) in both taxa, and especially in C. bauri, which possesses
this variation in every element analyzed. Megapnosaurus rhodesiensis is similar,
but it possesses no variation in the sequence of development of ontogenetic
characters in the tibia and tarsus. Despite the large amount of variation in
development, many characters occur consistently earlier or later in ontogeny and
could therefore be important morphological features for assessing the relative
maturity of other early theropods. Additionally, there is a phylogenetic signal
to the order in which homologous characters appear in ontogeny, with homologous
characters appearing earlier or later in developmental sequences of early
theropods and the close relatives of dinosaurs, silesaurids. Many of these
morphological features are important characters for the reconstruction of
archosaurian phylogeny (e.g. trochanteric shelf). Because these features vary in
presence or appearance with ontogeny, these characters should be used with
caution when undertaking phylogenetic analyses in these groups, since a specimen
may possess certain character states owing to ontogenetic stage, not evolutionary
relationships.
PMID- 29363130
TI - A new species of Petroleuciscus (Teleostei: Cyprinidae) from the Buyuk Menderes
River, southwestern Anatolia, Turkey.
AB - Petroleuciscus ninae sp. nov. is described from the Buyuk Menderes River
drainage. The new species is distinguished by having a black lateral stripe from
head to base of caudal fin, stripe distinct anteriorly and posteriorly, wider
than eye diameter; numerous black pigments on anal-fin rays; body depth at dorsal
fin origin 27-30% standard length (LS ); head width at posterior margin of eye 16
19% LS ; and eye diameter smaller than snout length. Petroleuciscus ninae is also
distinguished from other species in adjacent waters by having six fixed
diagnostic nucleotide substitutions in the mitochondrial DNA coI barcode region.
PMID- 29363131
TI - Differentiation and classification of thoracolumbar transitional vertebrae.
AB - The literature states that transitional vertebrae at any junction are
characterized by features retained from two adjacent regions in the vertebral
column. Currently, there is no published literature available that describes the
prevalence or morphology of thoracolumbar transitional vertebrae (TLTV). The aim
of this study was to identify the qualitative characteristics of transitional
vertebrae at the thoracolumbar junction and establish a technique to
differentiate the various subtypes that may be found. A selection of vertebral
columns from skeletal remains (n = 35) were evaluated in this study. Vertebrae
were taken based on features that are atypical for vertebrae in each relative
region. The transitional vertebrae were qualitatively identified based on
overlapping thoracic and lumbar features of vertebrae at the thoracolumbar
junction. The following general overlapping characteristics were observed:
aplasia or hypoplasia of the transverse process, irregular orientation on the
superior articular process and atypical mammillary bodies. The results show that
the most frequent location of the transitional vertebrae was in the thoracic
region (f = 23). The second most frequent location was in the lumbar region (f =
10). In two specimens of the selection (f = 2), an additional 13th thoracic
vertebra was present which functioned as a transitional vertebra. This study
concluded that one can accurately identify the characteristics of transitional
vertebrae at the thoracolumbar junction. In addition, the various subtypes can be
differentiated according to the region in the vertebral column the vertebra is
located in and the relative number of vertebral segments in the adjacent regions
of the vertebral column. This provides a qualitative tool for researchers to
differentiate the transitional vertebrae from distinctly different typical
thoracic or lumbar vertebrae at the thoracolumbar junction.
PMID- 29363132
TI - Revealing the 'obscurin': mapping the path to new discovery with the
phosphoproteome.
PMID- 29363133
TI - Effect of food shortage and temperature on age 0+ salmonids: a contribution to
predict the effects of climate change.
AB - Brown trout Salmo trutta alevins were maintained at 8 and 11 degrees C at three
conditions over a 9 day period from yolk sac exhaustion: fed ad libitum, starved
or fed ad libitum after starvation. Whole-body gene expressions for proteins
involved in energy metabolism and the two primary proteolytic pathways were
assessed. This study is the first to show an over-expression of proteasome and
autophagy-related genes in young stages of salmonids, particularly at 11 degrees
C.
PMID- 29363134
TI - The net acid extruders NHE1, NBCn1 and MCT4 promote mammary tumor growth through
distinct but overlapping mechanisms.
AB - High metabolic and proliferative rates in cancer cells lead to production of
large amounts of H+ and CO2 , and as a result, net acid extruding transporters
are essential for the function and survival of cancer cells. We assessed protein
expression of the Na+ /H+ exchanger NHE1, the Na+ - HCO3- cotransporter NBCn1,
and the lactate-H+ cotransporters MCT1 and -4 by immunohistochemical analysis of
a large cohort of breast cancer samples. We found robust expression of these
transporters in 20, 10, 4 and 11% of samples, respectively. NHE1 and NBCn1
expression both correlated positively with progesterone receptor status, NHE1
correlated negatively and NBCn1 positively with HER2 status, whereas MCT4
expression correlated with lymph node status. Stable shRNA-mediated knockdown
(KD) of either NHE1 or NBCn1 in the MDA-MB-231 triple-negative breast cancer
(TNBC) cell line significantly reduced steady-state intracellular pH (pHi ) and
capacity for pHi recovery after an acid load. Importantly, KD of any of the three
transporters reduced in vivo primary tumor growth of MDA-MB-231 xenografts.
However, whereas KD of NBCn1 or MCT4 increased tumor-free survival and decreased
in vitro proliferation rate and colony growth in soft agar, KD of NHE1 did not
have these effects. Moreover, only MCT4 KD reduced Akt kinase activity, PARP and
CD147 expression and cell motility. This work reveals that different types of net
acid extruding transporters, NHE1, NBCn1 and MCT4, are frequently expressed in
patient mammary tumor tissue and demonstrates for the first time that they
promote growth of TNBC human mammary tumors in vivo via distinct but overlapping
mechanisms.
PMID- 29363135
TI - What works in promoting and maintaining diversity in nursing programs.
AB - BACKGROUND: The presence of a registered nurse (RN) workforce that is culturally
and linguistically familiar with underrepresented minorities promotes effective
health care for patients. A lack of diversity in the nursing education pipeline
has led to a lack of diversity among registered nurses. METHODS: This qualitative
descriptive study explored faculty perceptions of factors that attracted and
maintained a diverse nursing student body at three different universities with
large percentages of minority students. Websites were evaluated for diversity and
audio-taped telephone interviews were conducted with nursing faculty using a
semistructured set of open-ended questions. RESULTS: College location, online
courses, community outreach programs, the presence of a diverse faculty and
students, faculty accessibility, and financial aid with low college costs were
identified as common factors in attracting and retaining minority students.
Barriers included the cost for low socioeconomic status students, inadequate
primary and secondary educational preparation, inherent bias in the institution,
competition from other schools, admission policies, and limited diversity in
leadership and faculty. CONCLUSIONS: Commitment to improving and maintaining
diversity in nursing programs requires a sustained faculty effort to make the
programs fit for the minority student.
PMID- 29363136
TI - Effectiveness of supplementary irrigant agitation with the Finisher GF Brush on
the debridement of oval root canals instrumented with the Gentlefile or nickel
titanium rotary instruments.
AB - AIM: To examine the efficacy of a novel supplementary irrigant agitating brush
(Finisher GF Brush, MedicNRG, Kibbutz Afikim, Israel) on the debridement of root
canals prepared with a novel stainless steel rotary instrumentation system
(Gentlefile; MedicNRG), or nickel titanium rotary instruments in oval root
canals. METHODOLOGY: Mandibular premolars (n = 72) were selected and divided
randomly into three experimental groups (n = 24) after microCT scanning: group 1,
canal preparation to rotary NiTi size 20, .04 taper (R20); group 2, rotary NiTi
to size 25, .04 taper (R25) and group 3, Gentlefile size 23, .04 taper (GF).
Specimens were subdivided into two subgroups: subgroup A, syringe-and-needle
irrigation (SNI); subgroup B, Finisher GF Brush (GB). Ten untreated canals served
as controls. Specimens were processed for histological evaluation, and the
remaining pulp tissue (RPT) was measured. Data were analysed using Mann-Whitney
and Kruskal-Wallis tests (P = 0.05). RESULTS: All experimental groups had
significantly less RPT than the control (P < 0.05). Group 3B (GF-GB) had
significantly less RPT than groups 1B (R20-GB) and 2B (R25-GF; P < 0.05). When
irrigated with SNI, there was no significant difference in the RPT between the
three groups (P > 0.05). When instrumented with R20, there was no significant
difference between SNI and GF (P < 0.05) whilst GB had significantly less RPT
than SNI for R25 (P < 0.05). CONCLUSIONS: Supplementary irrigant agitation with
the Finisher GF Brush improved the debridement of canals prepared with Gentlefile
and size 25, .04 taper rotary NiTi. Root canal debridement did not significantly
differ between the instruments when syringe irrigation was used.
PMID- 29363137
TI - A potential role for the silent information regulator 2 homologue 1 (SIRT1) in
periapical periodontitis.
AB - AIM: To investigate the role played by silent information regulator 2 homologue 1
(SIRT1) during angiogenesis of periapical periodontitis. METHODOLOGY: Periapical
granulomas were subjected to dual-colour immunofluorescence imaging and real-time
polymerase chain reactions assaying the expression levels of SIRT1, vascular
endothelial growth factor (VEGF) and VE-cadherin. The association between Ki-67
and SIRT1 expression was also examined. Human umbilical vein endothelial cells
(HUVECs) were treated with a combination of lipopolysaccharide and resveratrol (a
SIRT1 activator) or sirtinol (a SIRT1 inhibitor); and the levels of mRNAs
encoding SIRT1, VEGF and VE-cadherin were determined. HUVEC tube formation was
assayed in the presence of resveratrol or sirtinol. The Mann-Whitney U-test or
the Tukey-Kramer test was used for statistical analysis. RESULTS: Ki-67
expressing cells, including endothelial cells, lay adjacent to SIRT1-expressing
cells in periapical granulomas. In addition, SIRT1-expressing cells were detected
adjacent to VEGF-expressing cells and VEGF- or VE-cadherin-expressing endothelial
cells. SIRT1, VEGF and VE-cadherin mRNA expression levels in periapical
granulomas were significantly higher (P = 0.0054, 0.0090 and 0.0090,
respectively) than those in healthy gingival tissues. HUVECs treated with
resveratrol exhibited significantly higher expression of mRNAs encoding SIRT1,
VEGF and VE-cadherin (P = 0.0019, 0.00005 and 0.0045, respectively) compared with
controls, but sirtinol inhibited such expression. Resveratrol caused HUVECs to
form tube-like structures, whilst sirtinol inhibited this process. CONCLUSIONS:
These findings suggest that SIRT1 may stimulate angiogenesis in periapical
granulomas by triggering the proliferation of endothelial cells and inducing VEGF
and VE-cadherin expression.
PMID- 29363138
TI - Investigation of the HotDog patient warming system: detection of thermal
gradients.
AB - OBJECTIVE: To assess the performance of an active patient-warming device.
MATERIALS AND METHODS: Temperatures of an active patient-warming device (HotDog
system) were measured at various time points using an infrared thermometer. The
study was conducted in two phases: Phase 1 compared temperatures among four
different areas of the warming blanket. Phase 2 compared conditions simulating
different scenarios using a weighted patient simulator. RESULTS: Phase 1: Three
out of four positions on the warming blanket had significantly different
temperature measurements. Phase 2: Temperature output by the warming blanket was
reduced: (1) in the absence of the patient simulator placed across the blanket (
1.9 degrees C, P=0.013); (2) if the patient simulator was placed away from the
blanket sensor (-2.0 degrees C, P=0.009); and (3) if there was fluid between the
patient simulator and warming blanket (-2.2 degrees C, P=0.004). In a majority of
measurements (95%), the set temperature of 43 degrees C on the control unit was
not reached (range, 29.8 to 42.9 degrees C) and 2.3% of measurements were higher
(range, 43.1 to 45.8 degrees C) than the control unit set temperature of 43
degrees C. CLINICAL SIGNIFICANCE: Measured temperatures on the active warming
blanket did not reflect control unit settings. This could result in the potential
for hyperthermic injury, ineffectual heating and uneven heat distribution.
PMID- 29363139
TI - Genetic analysis of the liverwort Marchantia polymorpha reveals that R2R3MYB
activation of flavonoid production in response to abiotic stress is an ancient
character in land plants.
AB - The flavonoid pathway is hypothesized to have evolved during land colonization by
plants c. 450 Myr ago for protection against abiotic stresses. In angiosperms,
R2R3MYB transcription factors are key for environmental regulation of flavonoid
production. However, angiosperm R2R3MYB gene families are larger than those of
basal plants, and it is not known whether the regulatory system is conserved
across land plants. We examined whether R2R3MYBs regulate the flavonoid pathway
in liverworts, one of the earliest diverging land plant lineages. We
characterized MpMyb14 from the liverwort Marchantia polymorpha using genetic
mutagenesis, transgenic overexpression, gene promoter analysis, and
transcriptomic and chemical analysis. MpMyb14 is phylogenetically basal to
characterized angiosperm R2R3MYB flavonoid regulators. Mpmyb14 knockout lines
lost all red pigmentation from the flavonoid riccionidin A, whereas
overexpression conferred production of large amounts of flavones and riccionidin
A, activation of associated biosynthetic genes, and constitutive red
pigmentation. MpMyb14 expression and flavonoid pigmentation were induced by light
and nutrient-deprivation stress in M. polymorpha as for anthocyanins in
angiosperms. MpMyb14 regulates stress-induced flavonoid production in M.
polymorpha, and is essential for red pigmentation. This suggests that R2R3MYB
regulated flavonoid production is a conserved character across land plants which
arose early during land colonization.
PMID- 29363140
TI - Pre-retirement job and the work-to-retirement occupational transition process in
Australia: A review.
AB - BACKGROUND: The transition from work to retirement is a complex process and
unique experience with a relationship existing between work and retirement with
implications for health in later life. This review explored the relationship
between pre-retirement job and participation in the work-to-retirement transition
process in Australia by exploring: (i) factors influencing retirement in relation
to pre-retirement job; (ii) how jobs are classified; (iii) the effect of pre
retirement job (based on categories) on this occupational transition; and (iv)
the potential role for occupational therapy in this occupational transition.
METHOD: An integrative literature review was completed. PRISMA guidelines were
used. Study designs were analysed for methodological quality using the National
Health and Medical Research Council levels of evidence. Thematic analysis
determined retirement factors which were used to compare differences between
jobs. RESULTS: This review included 15 papers. There were two Level III-2, one
Level III-3 and 13 Level IV studies. Factors influencing retirement related to
the stages of work, preparation, transition and retired. White collar and blue
collar was the most common job classification system. Although white collar and
blue collar worker definitions utilised were inconsistent, differences between
the two groups were still determined in all stages. CONCLUSION: Differences in
the work-to-retirement transition process, based on pre-retirement job, are
evident. Understanding differences by job groupings may assist occupational
therapists to understand individualised needs during this occupational transition
and subsequent tailoring of interventions (both individual and group based) to
enable engagement in meaningful occupation in the work-to-retirement occupational
transition to effect active healthy ageing.
PMID- 29363141
TI - Evaluation of tissue changes following intramuscular infiltration of lidocaine in
rainbow trout Oncorhynchus mykiss.
AB - Rainbow trout Oncorhynchus mykiss were infiltrated with either saline or
lidocaine adjacent to the dorsal fin to assess histopathological changes.
Infiltration was done as if it were being used as a local anaesthetic. Tissue
lesions and associated tissue healing were examined over a period of 30 days.
Most changes occurred at the cranial site of where the solution was first
infiltrated. The infiltration of a dose of 10 mg kg-1 of lidocaine appears to
have damaged the skeletal muscle and connective tissues more than a similar
volume of saline, especially during the first 15 days. The primary changes
included haemorrhage, inflammation and muscle degeneration and necrosis. By day
30 post-infiltration inflammatory lesions were either nearly or completely
absent, signs of myofibre regeneration were noted in only one fish. This
experiment shows local anaesthetics and saline can produce localized tissue
damage, especially during the first 2 weeks post infiltration. Care should be
taken to allow the fish to heal for at least 30 days and probably more, no matter
the solution administered, especially if giving repeated injections or
infiltrations at the same site.
PMID- 29363142
TI - Efficacy of the ProTaper retreatment system in removing Thermafil, GuttaCore or
vertically compacted gutta-percha from curved root canals assessed by micro-CT.
AB - AIM: To evaluate ex vivo the efficacy of ProTaper Universal Retreatment files
(Dentsply Sirona, Ballaigues, Switzerland) in removing Thermafil, GuttaCore (both
Dentsply Sirona) or vertically compacted gutta-percha from curved root canals
using micro-CT. METHODOLOGY: Sixty curved molar roots with the same mean canal
curvatures and radii in two directions were prepared using ProFile instruments
(Dentsply Sirona) to size 30 with .04 taper and obturated with either Thermafil,
GuttaCore or vertically compacted gutta-percha and AH Plus (n = 20). Specimens
were retreated using the ProTaper Universal Retreatment files D1, D2 and D3 to
working length, and root canal preparation was completed with ProTaper Next
(Dentsply Sirona) to size *4. Percentages of residual filling material and
dentine removal were assessed using micro-CT imaging. Working time and procedural
errors were recorded. Statistical analysis was performed using Kruskal-Wallis and
Wilcoxon tests. RESULTS: No significant differences between carrier-based and
warm vertical compaction regarding residual filling material (14.2-19.3%) and
dentine removal (2.7-3.2 mm3 ) were detected (P > 0.05). Time to reach working
length was significantly faster for canals filled with GuttaCore than that
observed for Thermafil and warm vertical compaction (P < 0.05). Five lateral
perforations with the D3 file occurred during retreatment, one in the Thermafil
and four in the vertical compaction group. CONCLUSIONS: Remaining filling
material and dentine removal were similar for all canal filling techniques.
Regaining working length was significantly faster for GuttaCore compared with
Thermafil and vertically compacted gutta-percha. Procedural errors occurred
during retreatment of severely curved root canals with the ProTaper Universal
Retreatment files in 5 of 60 canals (8%).
PMID- 29363143
TI - Putting the "Informed" in the informed consent process for implantable
cardioverter-defibrillators: Addressing the needs of the elderly patient.
AB - Implantable cardioverter defibrillator (ICD) management complexities challenge
the ethos of fully informed consent, particularly for the typically multimorbid
elderly patient considering the device for primary prevention. The Heart Rhythm
Society recommends providers include discussion on the potential need for later
device deactivation or nonreplacement at the time of first implant, and to
revisit this at appropriate intervals. The initial consent procedure could meet
this standard by incorporating the future need to discuss further such issues
when the recipient's clinical condition changes to such an extent that
defibrillation would no longer be beneficial. At the time of obtaining consent,
some patients may lack the will or capacity to make medically complex decisions
when it would be necessary for healthcare surrogate decision-makers to contribute
to this process. Ensuring an appropriate level of understanding and response may
be enhanced by the use of information and decision aids. With improved
communication regarding the nuances of ICD therapy, device eligible patients, and
those close to them, will be empowered with a better understanding of the nature,
benefits, and risks of ICD implantation, allowing them to make treatment
decisions consistent with their values.
PMID- 29363145
TI - Can simple tank changes benefit the welfare of laboratory zebrafish Danio rerio?
AB - This study examined the effects of simple changes in the tank environment on the
wellbeing of laboratory-maintained zebrafish Danio rerio. Groups of D. rerio were
either housed in stable environments (where they were maintained in the same
tanks throughout the study) or in environments subject to change (where they were
periodically moved to novel but identical tanks) and the effects of these
treatments on morphometry, reproductive success and aggressive behaviour
assessed. No effect of simple tank changes was found on body condition,
reproductive output or aggression, for the periods of time studied, indicating
that more complex scenarios in housing tank conditions are required for
significant welfare benefits for captive D. rerio.
PMID- 29363144
TI - Pulmonary arterial hypertension reduces energy efficiency of right, but not left,
rat ventricular trabeculae.
AB - KEY POINTS: Pulmonary arterial hypertension (PAH) triggers right ventricle (RV)
hypertrophy and left ventricle (LV) atrophy, which progressively leads to heart
failure. We designed experiments under conditions mimicking those encountered by
the heart in vivo that allowed us to investigate whether consequent structural
and functional remodelling of the ventricles affects their respective energy
efficiencies. We found that peak work output was lower in RV trabeculae from PAH
rats due to reduced extent and velocity of shortening. However, their suprabasal
enthalpy was unaffected due to increased activation heat, resulting in reduced
suprabasal efficiency. There was no effect of PAH on LV suprabasal efficiency. We
conclude that the mechanism underlying the reduced energy efficiency of
hypertrophied RV tissues is attributable to the increased energy cost of Ca2+
cycling, whereas atrophied LV tissues still maintain normal mechano-energetic
performance. ABSTRACT: Pulmonary arterial hypertension (PAH) greatly increases
the afterload on the right ventricle (RV), triggering RV hypertrophy, which
progressively leads to RV failure. In contrast, the disease reduces the passive
filling pressure of the left ventricle (LV), resulting in LV atrophy. We
investigated whether these distinct structural and functional consequences to the
ventricles affect their respective energy efficiencies. We studied trabeculae
isolated from both ventricles of Wistar rats with monocrotaline-induced PAH and
their respective Control groups. Trabeculae were mounted in a calorimeter at 37
degrees C. While contracting at 5 Hz, they were subjected to stress-length work
loops over a wide range of afterloads. They were subsequently required to undergo
a series of isometric contractions at various muscle lengths. In both protocols,
stress production, length change and suprabasal heat output were simultaneously
measured. We found that RV trabeculae from PAH rats generated higher activation
heat, but developed normal active stress. Their peak external work output was
lower due to reduced extent and velocity of shortening. Despite lower peak work
output, suprabasal enthalpy was unaffected, thereby rendering suprabasal
efficiency lower. Crossbridge efficiency, however, was unaffected. In contrast,
LV trabeculae from PAH rats maintained normal mechano-energetic performance.
Pulmonary arterial hypertension reduces the suprabasal energy efficiency of
hypertrophied right ventricular tissues as a consequence of the increased energy
cost of Ca2+ cycling.
PMID- 29363146
TI - Interesting activity of pegylated liposomal doxorubicin in primary refractory and
multirelapsed Hodgkin lymphoma patients: bridge to transplant.
PMID- 29363147
TI - Differences in root canal system configuration in human permanent teeth within
different age groups.
AB - AIM: To analyse the differences in root canal system configuration in patients
belonging to different age groups using cone beam computed tomography (CBCT)
technology. METHODOLOGY: CBCT examinations from a pre-existing database were
accessed. Patients were divided according to age groups: '<=20 years', '21-40
years', '41-60 years' and '>=61 years'. Each group included tooth data regarding
their root canal system configurations according to the Vertucci classification
and its supplementary configurations. Cohen kappa coefficient of agreement was
calculated to evaluate observer reliability. RESULTS: Overall 12 325 teeth from
670 patients were included. Most of the root groups had higher or equal
prevalence of Vertucci type I configurations in the younger groups whilst
presenting a greater tendency for multiple root canal system configurations in
older patients, mainly Vertucci type II in both maxillary and mandibular second
premolars and in the distal root of the mandibular first molar. The Cohen kappa
coefficient of agreement was 89.4 +/- 1.8%. CONCLUSION: Clinicians should be
aware that the root canal system configuration changes over a lifetime. In this
study, the most affected teeth were the second premolars and the distal root of
mandibular first molars.
PMID- 29363148
TI - Association between bacteria occurring in the apical canal system and expression
of bone-resorbing mediators and matrix metalloproteinases in apical
periodontitis.
AB - AIM: To evaluate the association between the presence of selected bacterial
species/groups in the apical root canal and expression of mediators of soft and
bone tissue destruction in apical periodontitis lesions. Relationships between
bacteria and some other features of apical periodontitis were also investigated.
METHODOLOGY: Seventeen freshly extracted teeth with pulp necrosis and apical
periodontitis were included. The apical root segment was sectioned and
cryopulverized; DNA was extracted and evaluated for the presence of 9 bacterial
species/groups using real-time polymerase chain reaction. Lesions were processed
for histopathological and immunohistochemical analyses, which targeted matrix
metalloproteinase-2 (MMP-2) and -9 (MMP-9), receptor activator of NFkappaB
(RANK), RANK ligand (RANKL) and osteoprotegerin (OPG). Associations of the target
bacteria with expression of these mediators, presence of symptoms, lesion size
and histopathological diagnosis were evaluated. Data were analysed using the chi
square, Fisher's exact, Mann-Whitney and Pearson tests. P values lower than 0.05
were considered significant. RESULTS: All pulverized apical root samples were
positive for bacteria. The most prevalent taxa were Actinobacteria (53%),
Streptococcus species (35%), Fusobacterium species and Parvimonas micra (18%).
The target mediators exhibited a high mean expression in the lesions (MMP-2: 82%;
MMP-9: 73%; RANK: 78%; RANKL; 81%; OPG; 83%). Mean RANKL:OPG ratio was
significantly higher in granulomas than cysts (P < 0.05, Mann-Whitney test).
Actinobacteria were associated with granulomas, higher MMP-2 expression, lower
OPG expression, and higher RANKL:OPG ratio (P < 0.05 for all, Fisher's exact test
or Mann-Whitney test). No other significant associations were found. CONCLUSION:
Actinobacteria may play an important role in the active phase of soft and bone
tissue destruction in apical periodontitis.
PMID- 29363149
TI - Prolonged survival after second autologous transplantation and lenalidomide
maintenance for salvage treatment of myeloma patients at first relapse after
prior autograft.
AB - Autologous stem cell transplantation (ASCT) as part of the primary therapy in
multiple myeloma (MM) is standard practice. In contrast, the role of a second
ASCT (ASCT2) and subsequent lenalidomide maintenance for relapsed disease remains
unclear. In this study, we analysed 86 consecutive MM patients with a first
relapse after prior ASCT receiving either a second ASCT or conventional
chemotherapy. After a median follow-up of 37.7 months since first relapse, 54
(62.8%) patients were still alive and 29 (33.7%) without progression. Sixty-one
(71.0%) patients received ASCT2 and had better progression-free survival (PFS)
(30.2 versus 13.0 mo; P = .0262) and overall survival (OS) rates (129.6 versus
33.5 mo; P = .0003) compared with 25 (29.0%) patients with conventional
treatment. Patients relapsing later than 12 months after ASCT1 benefitted from a
second ASCT with better PFS2 (P = .0179) and OS2 (P = .0009). Finally,
lenalidomide maintenance after ASCT2 was associated with longer PFS (41.0 vs 21.6
mo; P = .0034) and better OS (not yet reached vs 129.6 mo; P = .0434) compared
with patients without maintenance. Our data suggest that a second ASCT and
lenalidomide maintenance given at first relapse in MM after prior ASCT are
associated with better survival rates.
PMID- 29363150
TI - The Risk of Preterm Births Among Pregnant Women With Adenomyosis.
AB - OBJECTIVES: Few studies have examined the effect of adenomyosis on pregnancy
outcomes. We aimed to evaluate the risk of preterm birth and low birth weight in
women with adenomyosis diagnosed during pregnancy. METHODS: A computerized
ultrasonography database was used to identify singleton pregnant women with
adenomyosis in the first trimester from January 2010 to December 2011. Only cases
with a known pregnancy outcome were included. We reviewed the medical records and
analyzed pregnancy outcomes according to the presence of adenomyosis and
conception method. RESULTS: Among 11,173 singleton pregnant women, adenomyosis
was detected in 88 (0.8%), and 8316 pregnant women (including 72 with
adenomyosis) were included. The adenomyosis group was associated with
significantly higher rates of preterm birth and low birth weight than the non
adenomyosis group (12.5% versus 4.1%; P < .001; 13.9% versus 3.1%; P < .001,
respectively). In a subgroup analysis according to the conception method,
incidences of preterm birth and low birth weight were not different in the non
adenomyosis group. However, the risks of preterm birth and low birth weight in
the adenomyosis group were significantly higher in pregnant women who conceived
by assisted reproductive technologies than in women who conceived naturally
(28.0% versus 4.3%; P < .01; 28.0% versus 6.4%; P < .05, respectively).
CONCLUSIONS: Ultrasonographic findings suggesting adenomyosis in early pregnancy
were associated with increased risks of preterm delivery and low birth weight in
women who conceived with the use of assisted reproductive technologies but not in
women who conceived spontaneously.
PMID- 29363151
TI - Low-Intensity Pulsed Ultrasound Accelerates Traumatic Vertebral Fracture Healing
by Coupling Proliferation of Type H Microvessels.
AB - OBJECTIVES: Patients with traumatic vertebral fractures often have major
associated postoperative morbidities such as healing failure and kyphosis. Low
intensity pulsed ultrasound (US) has been found to promote bone fracture healing.
The objectives of our study were to determine whether low-intensity pulsed US
could promote traumatic vertebral fracture healing and to explore its inner
mechanisms. METHODS: A rat model of traumatic vertebral fracture was created and
treated with low-intensity pulsed US after surgery. At 4 weeks after surgery,
radiographic, micro-computed tomography, and 3-dimensional reconstruction were
used to assess the radiologic healing status; a histologic analysis was performed
to evaluate the pathologic process and relationship between osteogenesis and type
H microvessels. RESULTS: Well-remodeled trabecular meshworks were found in the
low-intensity pulsed US treatment group compared to the control group. Micro
computed tomography and 3-dimensional reconstruction revealed more and thicker
trabeculae after low-intensity pulsed US treatment. Abundant chondrocytes, a
newly formed bone marrow cavity, trabeculae, and microvessels were formed at the
fracture sites. More osterix-positive osteoblasts were circling the newly formed
bone meshwork and were situated at the interface of chondrocytes in the low
intensity pulsed US treatment group. Type H microvessels were spreading around
the newly formed trabecula, bone marrow cavity, osteoblasts, and interface of
chondrocytes, with a larger mean vascular density in the low-intensity pulsed US
group. CONCLUSIONS: Low-intensity pulsed US could accelerate traumatic vertebral
fracture healing by temporally and spatially increasing chondrogenesis and
osteoblast-induced osteogenesis coupled with angiogenesis of type H microvessels
in a rat model of traumatic vertebral fracture.
PMID- 29363152
TI - Plasma levels of innate immune mediators are associated with liver fibrosis in
low parasite burden Schistosoma mansoni-infected individuals.
AB - In the murine model, it was demonstrated that pro-inflammatory cytokines and
chemokines are essential to the formation and modulation of Schistosoma-induced
granulomatous inflammation. However, the relationship of these immune mediators
and disease severity is hard to be established in naturally infected individuals.
The current study evaluates the association between plasma concentrations of MIF,
sTNF-R1, CCL3, CCL7 and CCL24 and schistosomiasis morbidity in Schistosoma
mansoni-infected patients with a low parasite burden. For this propose, 97 S.
mansoni-infected individuals were subjected to abdominal ultrasound analysis and
clinical examination. Among them, 88 had plasma concentration of immune mediators
estimated by ELISA assay. Multivariate linear regression models were used to
evaluate the relationship between the plasma concentration of immune mediators
and the variables investigated. Although most individuals presented low parasite
burden, over 30% of them showed signs of fibrosis defined by ultrasound
measurements and 2 patients had a severe form of schistosomiasis. No association
between parasite burden and the plasma levels of chemokine/cytokines or disease
severity was observed. There was a positive association between plasma
concentration of CCL4, sTNF-R1, CCL3 and MIF with gall bladder thickness and/or
with portal vein thickness that are liver fibrosis markers. In contrast, no
association was found between CCL7 plasma concentrations with any of the
schistosomiasis morbidity parameters evaluated. The data showed that CCL24,
sTNFR1, MIF and CCL3 can be detected in plasma of S. mansoni-infected individuals
and their concentration would be used as prognostic makers of Schistosoma-induced
liver fibrosis, even in individuals with low parasite burden.
PMID- 29363153
TI - Protective role of melatonin in cardiac ischemia-reperfusion injury: From
pathogenesis to targeted therapy.
AB - Acute myocardial infarction (MI) is a major cause of mortality and disability
worldwide. In patients with MI, the treatment option for reducing acute
myocardial ischemic injury and limiting MI size is timely and effective
myocardial reperfusion using either thombolytic therapy or primary percutaneous
coronary intervention (PCI). However, the procedure of reperfusion itself induces
cardiomyocyte death, known as myocardial reperfusion injury, for which there is
still no effective therapy. Recent evidence has depicted a promising role of
melatonin, which possesses powerful antioxidative and anti-inflammatory
properties, in the prevention of ischemia-reperfusion (IR) injury and the
protection against cardiomyocyte death. A number of reports explored the
mechanism of action behind melatonin-induced beneficial effects against
myocardial IR injury. In this review, we summarize the research progress related
to IR injury and discuss the unique actions of melatonin as a protective agent.
Furthermore, the possible mechanisms responsible for the myocardial benefits of
melatonin against reperfusion injury are listed with the prospect of the use of
melatonin in clinical application.
PMID- 29363154
TI - Nonalcoholic Fatty Liver Is Associated With Further Left Ventricular
Abnormalities in Patients With Type 2 Diabetes Mellitus: A 3-Dimensional Speckle
Tracking Study.
AB - OBJECTIVES: The aim of this study was to detect left ventricular (LV) structure
and function abnormalities in patients with type 2 diabetes mellitus with or
without nonalcoholic fatty liver (NAFL) using 3-dimensional speckle-tracking
echocardiography. METHODS: Eighty patients with type 2 diabetes and a normal LV
ejection fraction (>=55%), including 40 with coexistent NAFL, and 40 age- and sex
matched control participants were recruited. Conventional echocardiography and 3
dimensional speckle-tracking echocardiography were performed, and global
longitudinal strain, global circumferential strain, global area strain, and
global radial strain values were measured. RESULTS: Significant differences in 2
dimensional LV functional patterns were found among the 3 groups (P = .031), and
LV hypertrophy was the most prevalent in patients with diabetes and NAFL. The
patients with diabetes only had significantly lower global longitudinal strain,
global circumferential strain, and global radial strain than the controls (all P
< .05). The patients with diabetes and NAFL had severely lower global
longitudinal strain, global circumferential strain, global area strain, and
global radial strain than the controls (all P < .001), and they also had severely
lower global longitudinal strain, global area strain, and global radial strain
than the patients with diabetes only (all P < 0.001). The hemoglobin A1c level
and NAFL were independently associated with strain values in all patients with
diabetes. The strain values in multiple directions (>=2 of global longitudinal,
global circumferential, global area, and global radial strain) decreased
significantly in the patients with diabetes and moderate and severe NAFL compared
to those with mild NAFL (all P < .05). CONCLUSIONS: Nonalcoholic fatty liver
could aggravate LV hypertrophy and dysfunction in patients with type 2 diabetes.
The combined application of conventional and 3-dimensional speckle-tracking
echocardiography could detect these asymptomatic preclinical abnormalities.
PMID- 29363156
TI - Transcriptional patterns associated with BDCA3 expression on BDCA1+ myeloid
dendritic cells.
AB - Myeloid dendritic cells, including BDCA3hi DCs and BDCA1+ DCs (hereafter dubbed
DC1 and DC2 for clarity), play a pivotal role in the induction and regulation of
immune responses. Interestingly, a fraction of DC2 also express low to
intermediate levels of BDCA3. It is unknown whether BDCA3+ DC2 also share other
traits with DC1 that are absent in BDCA3- DC2 and/or whether BDCA3 expression
renders DC2 functionally distinct from their BDCA3-lacking counterparts. Here, we
used expression analysis on a predefined set of immunology-related genes to
determine divergence between BDCA3-positive and BDCA3-negative DC2 and their
relation to bona fide BDCA3hi DC1. Results showed that mRNA fingerprints of
BDCA3+ DC2 and BDCA3- DC2 are very similar, and clearly distinct from that of
DC1. Differences in mRNA expression, however, were observed between BDCA3+ DC2
and BDCA3- DC2 that pointed toward a more activated status of BDCA3+ DC2. In line
with this, higher steady state maturation marker expression and TLR-induced
maturation marker expression and inflammatory cytokine production by BDCA3+ DC2
were observed. This dataset provides insight into the relationship between
myeloid DC populations and contributes to further understanding of DC
immunobiology.
PMID- 29363157
TI - Population-based study of mantle cell lymphoma: Improvements in survival only
seen in younger patients.
PMID- 29363158
TI - A possible direct action of oxytocin on spermatogenesis and steroidogenesis in
pre-pubertal mouse.
AB - The aim of this study was to evaluate the effects of in vivo and in vitro
treatments of oxytocin (OT) on the testis of pre-pubertal mice. The OT treatment
produced significant changes in the spermatogenic and steroidogenic activity by
increasing expression of OT-receptor in the testis of pre-pubertal mice.
Treatment with OT showed increased proliferation of germ cells as indicated by
increased number of spermatocytes and round spermatids. Dose-dependent increase
in expression of PCNA, Bcl-2 and AR proteins was observed in the testis of OT
treated mice as compared with the control and further supports the role of OT in
germ cell proliferation and survival. The pre-pubertal mice treated with
increasing dose of OT showed significant increase in testosterone synthesis due
to dose-dependent stimulatory effects on 3beta-HSD activity and increased
expression of STAR, LH-receptor (LH-R) and gonadotrophin-releasing hormone
receptor (GnRH-R) proteins in the testis. The in vitro study has confirmed in
vivo finding showing direct action of OT on testicular steroidogenesis. Thus, OT
stimulates testicular spermatogenesis and steroidogenesis by directly acting on
testis in mice.
PMID- 29363159
TI - Effects of a physical activity programme in the school setting on physical
fitness in preschool children.
AB - AIM: The purpose of this study was to examine the effects of a 10-week aerobic
games programme on physical fitness. METHODS: One hundred eleven children, aged 3
to 6 years, participated in this study; 60 children were male (age: 4.28 +/- 0.61
years old), and 51 were female (age 4.59 +/- 0.49 years old). Participants were
randomly assigned to an experimental group (EG; n = 56) and a control group (CG;
n = 55). A fitness test battery previously validated for preschoolers was used.
The children in the EG performed 3 weekly training sessions of physical activity
in a classroom during a 10-week period. Every EG session lasted about 30 min.
RESULTS: There were no significant differences in any variable in the pretest
between groups. In the posttest, the EG achieved better results in horizontal
jump and sprint. In relation to posttest-pretest differences, the EG showed a
greater increase in horizontal jump, sprint, and endurance. CONCLUSION: An
aerobic games programme in the school setting improved physical fitness in
preschool children.
PMID- 29363155
TI - Critical evaluation of causality assessment of herb-drug interactions in
patients.
AB - The aim of this review was to assess the severity of adverse drug reactions
(ADRs) due to herb-drug interactions (HDI) in patients taking herbs and
prescribed medications based on published evidence. Electronic databases of
PubMed, the Cochrane Library, Medline and Scopus were searched for randomized or
nonrandomized clinical studies, case-control and case reports of HDI. The data
were extracted and the causal relationship of ADRs as consequences of HDI
assessed using Horn's drug interaction probability scale or Roussel Uclaf
Causality Assessment Method scoring systems. The mechanism of interaction was
ascertained using Stockley's herbal medicine interaction companion. Forty-nine
case reports and two observational studies with 15 cases of ADRs were recorded.
The majority of the patients were diagnosed with cardiovascular diseases
(30.60%), cancer (22.45%) and renal transplants (16.32%) receiving mostly
warfarin, alkylating agents and cyclosporine, respectively. HDI occurred in
patients resulting in clinical ADRs with different severity. Patients may poorly
respond to therapeutic agents or develop toxicity due to severe HDI, which in
either scenario may increase the cost of treatment and/or lead to or prolong
patient hospitalization. It is warranted to increase patient awareness of the
potential interaction between herbs and prescribed medicines and their
consequences to curb HDI as a potential health problem.
PMID- 29363160
TI - Targeted deletion of c-Met in thymic epithelial cells leads to an autoimmune
phenotype.
AB - Hepatocyte growth factor (HGF) and its receptor c-Met signaling have been
implicated in regulating various types of cells including epithelial cells. We
have previously reported that c-Met is expressed by thymic epithelial cells
(TECs), and that in vivo administration of hybrid cytokines containing IL-7 and
the beta- or alpha-chain of HGF significantly increase the number of TECs. In
order to study the role of c-Met signaling in TECs, we generated conditional
knockout (cKO) mice in which c-Met was specifically deleted in TECs using a Foxn1
Cre transgene. We show here that c-Met deficiency in TECs results in age
progressive reduction in TEC number and reduced number of regulatory T cells.
Consequently, c-Met TEC cKO mice displayed an autoimmune phenotype. Thus, c-Met
signaling in TECs is important for the maintenance of TECs and immune self
tolerance.
PMID- 29363161
TI - Subsets of activated monocytes and markers of inflammation in incipient and
progressed multiple sclerosis.
AB - Multiple sclerosis (MS) is an immune mediated, inflammatory and demyelinating
disease of the central nervous system (CNS). Substantial evidence points toward
monocytes and macrophages playing prominent roles early in disease, mediating
both pro- and anti-inflammatory responses. Monocytes are subdivided into three
subsets depending on the expression of CD14 and CD16, representing different
stages of inflammatory activation. To investigate their involvement in MS,
peripheral blood mononuclear cells from 40 patients with incipient or progressed
MS and 20 healthy controls were characterized ex vivo. In MS samples, we
demonstrate a highly significant increase in nonclassical monocytes
(CD14+CD16++), with a concomitant significant reduction in classical monocytes
(CD14++CD16-) compared with healthy controls. Also, a significant reduction in
the surface expression of CD40, CD163, and CD192 was found, attributable to the
upregulation of the nonclassical monocytes. In addition, significantly increased
levels of human endogenous retrovirus (HERV) envelope (Env) epitopes, encoded by
both HERV-H/F and HERV-W, were specifically found on nonclassical monocytes from
patients with MS; emphasizing their involvement in MS disease. In parallel, serum
and cerebrospinal fluid (CSF) samples were analyzed for soluble biomarkers of
inflammation and neurodegeneration. For sCD163 versus CD163, no significant
correlations were found, whereas highly significant correlations between levels
of soluble neopterine and the intermediate monocyte (CD14++CD16+) population was
found, as were correlations between levels of soluble osteopontin and the HERV
Env expression on nonclassical monocytes. The results from this study emphasize
the relevance of further focus on monocyte subsets, particularly the nonclassical
monocytes in monitoring of inflammatory diseases.
PMID- 29363162
TI - Inflammatory monocytes contribute to the persistence of CXCR3hi CX3CR1lo
circulating and lung-resident memory CD8+ T cells following respiratory virus
infection.
AB - Phenotypically diverse memory CD8+ T cells are present in the lungs that either
re-circulate or reside within the tissue. Understanding the key cellular
interactions that regulate the generation and then persistence of these different
subsets is of great interest. Recently, DNGR-1+ dendritic cell (DC) mediated
priming was reported to control the generation of lung-resident but not
circulating memory cells following respiratory viral infection. Here, we report
an important role for Ly6C+ inflammatory monocytes (IMs) in contributing to the
persistence of memory CD8+ T cells but not their generation. Effector CD8+ T
cells expanded and contracted normally in the absence of IMs, but the memory
compartment declined significantly over time. Quite unexpectedly, this defect was
confined to tissue resident and circulating CXCR3hi CX3CR1lo memory cells but not
CXCR3hi CX3CR1int and CXCR3lo CX3CR1hi subsets. Thus, two developmentally
distinct innate cells orchestrate the generation and persistence of memory T cell
subsets following a respiratory virus infection. See also: News and Commentary by
Lafouresse & Groom.
PMID- 29363164
TI - Superior properties of CellTrace YellowTM as a division tracking dye for human
and murine lymphocytes.
AB - The discovery of cell division tracking properties of 5-(and-6)
carboxyfluorescein diacetate succinimidyl ester (CFSE) by Lyons and Parish in
1994 led to a broad range of new methods and numerous important biological
discoveries. After labeling, CFSE is attached to free amine groups and
intracellular proteins in the cytoplasm and nucleus of a cell, and halves in
fluorescence intensity with each round of cell division, enabling enumeration of
the number of divisions a cell has undergone. A range of popular division
tracking dyes were subsequently developed, including CellTrace Violet (CTV),
making available the green fluorescent channel previously occupied by CFSE. More
recently, CellTrace Yellow (CTY) and CellTrace Far Red (CTFR), each with unique
fluorescence properties, were introduced. In a comparison, we found that the
fluorescence values of both dyes were well separated from autofluorescence, and
enabled a greater number of divisions to be identified than CTV, before this
limit was reached. These new dyes provided clear and well-separated peaks for
both murine and human B lymphocytes, and should find wide application. The range
of excitation/emission spectra available for division tracking dyes now also
facilitates multiplexing, that is, the labeling of cells with different
combinations of dyes to give a unique fluorescence signature, allowing single
cell in vitro and in vivo tracking. The combinatorial possibilities are
significantly increased with these additional dyes.
PMID- 29363163
TI - LncRNA-RP11-714G18.1 suppresses vascular cell migration via directly targeting
LRP2BP.
AB - Atherosclerotic cardiovascular disease is considered as the leading cause of
mortality and morbidity worldwide. Accumulating evidence supports an important
role for long noncoding RNA (lncRNA) in the pathogenesis of atherosclerosis.
Nevertheless, the role of lncRNA in atherosclerosis-associated vascular
dysfunction and the underlying mechanism remain elusive. Here, using microarray
analysis, we identified a novel lncRNA RP11-714G18.1 with significant reduced
expression in human advanced atherosclerotic plaque tissues. We demonstrated in
both human vascular smooth muscle cells (VSMCs) and endothelial cells (ECs) that
RP11-714G18.1 impaired cell migration, reduced the adhesion of ECs to monocytes,
suppressed the neoangiogenesis, decreased apoptosis of VSMCs and promoted nitric
oxide production. Mechanistically, RP11-714G18.1 could directly bind to its
nearby gene LRP2BP and increased the expression of LRP2BP. Moreover, we showed
that RP11-714G18.1 impaired cell migration through LRP2BP-mediated downregulation
of matrix metalloproteinase (MMP)1 in both ECs and VSMCs. In atherosclerotic
patients, the serum levels of LRP2BP were positively correlated with high-density
lipoprotein cholesterol, but negatively correlated with cardiac troponin I. Our
study suggests that RP11-714G18.1 may play an athero-protective role by
inhibiting vascular cell migration via RP11-714G18.1/LRP2BP/MMP1 signaling
pathway, and targeting the pathway may provide new therapeutic approaches for
atherosclerosis.
PMID- 29363165
TI - Lower Bone Density, Impaired Microarchitecture, and Strength Predict Future
Fragility Fracture in Postmenopausal Women: 5-Year Follow-up of the Calgary CaMos
Cohort.
AB - The aim of this prospective study was to use high-resolution peripheral
quantitative computed tomography (HR-pQCT) to determine if baseline skeletal
parameters can predict incident fragility fracture in women and, secondly, to
establish if women that fracture lose bone at a faster rate than those who do not
fracture. Women older than 60 years who experienced a fragility fracture during
the 5-year follow-up period (incident fracture group, n = 22) were compared with
those who did not experience a fragility fracture during the study (n = 127).
After image registration between baseline and follow-up measures, standard and
cortical morphological analyses were conducted. Odds ratios were calculated for
baseline values and annualized percent change of HR-pQCT and finite element
variables. At the radius, baseline HR-pQCT results show women who fractured had
lower total bone mineral density (Tt.BMD; 19%), trabecular bone mineral density
(Tb.BMD; 25%), and trabecular number (Tb.N; 14%), with higher trabecular
separation (Tb.Sp; 19%) than women who did not fracture. At the tibia, women with
incident fracture had lower Tt.BMD (15%), Tb.BMD (12%), cortical thickness
(Ct.Th; 14%), cortical area (Ct.Ar; 12%), and failure load (10%) with higher
total area (Tt.Ar; 7%) and trabecular area (Tb.Ar; 10%) than women who did not
fracture. Odds ratios (ORs) at the radius revealed every SD decrease of Tt.BMD
(OR = 2.1), Tb.BMD (OR = 2.0), and Tb.N (OR = 1.7) was associated with a
significantly increased likelihood of fragility fracture. At the tibia, every SD
decrease in Tt.BMD (OR = 2.1), Tb.BMD (OR = 1.7), Ct.Th (OR = 2.2), Ct.Ar (OR =
1.9), and failure load (OR = 1.7) were associated with a significantly increased
likelihood of fragility fracture. Irrespective of scanning modality, the
annualized percent rate of bone loss was not different between fracture groups.
The results suggest baseline bone density, microarchitecture, and strength rather
than change in these variables are associated with incident fragility fractures
in women older than 60 years. Furthermore, irrespective of fragility fracture
status, women experienced changes in skeletal health at a similar rate. (c) 2017
American Society for Bone and Mineral Research.
PMID- 29363166
TI - Use of social media is associated with short sleep duration in a dose-response
manner in students aged 11 to 20 years.
AB - AIM: This study examined the association between social media and sleep duration
among Canadian students aged 11-20. METHODS: Data from 5242 students were
obtained from the 2015 Ontario Student Drug Use and Health Survey, a province
wide, school-based survey that has been conducted every two years since 1977. We
measured the respondents' sleep duration against the recommended ranges of 9-11 h
per night at 11-13 years of age, 8-10 h at 14-17 and 7-9 h per night for those
aged 18 years or more. RESULTS: Overall, 36.4% of students met or exceeded the
recommended sleep duration and 63.6% slept less than recommended, with 73.4% of
students reporting that they used social media for at least one hour per day.
After adjusting for various covariates, the use of social media was associated
with greater odds of short sleep duration in a dose-response manner (p for linear
trend <0.001). Odds ratios ranged from 1.82 for social media use of at least one
hour per day to 2.98 for at least five hours per day. CONCLUSION: Greater use of
social media was associated with shorter sleep duration in a dose-response
fashion among Canadian students aged 11-20.
PMID- 29363167
TI - Endocytosed soluble cowpox virus protein CPXV012 inhibits antigen cross
presentation in human monocyte-derived dendritic cells.
AB - Viruses may interfere with the MHC class I antigen presentation pathway in order
to avoid CD8+ T cell-mediated immunity. A key target within this pathway is the
peptide transporter TAP. This transporter plays a central role in MHC class I
mediated peptide presentation of endogenous antigens. In addition, TAP plays a
role in antigen cross-presentation of exogenously derived antigens by dendritic
cells (DCs). In this study, a soluble form of the cowpox virus TAP inhibitor
CPXV012 is synthesized for exogenous delivery into the antigen cross-presentation
route of human monocyte-derived (mo)DCs. We show that soluble CPXV012 localizes
to TAP+ compartments that carry internalized antigen and is a potent inhibitor of
antigen cross-presentation. CPXV012 stimulates the prolonged deposition of
antigen fragments in storage compartments of moDCs, as a result of reduced
endosomal acidification and reduced antigen proteolysis when soluble CPXV012 is
present. Thus, a dual function can be proposed for CPXV012: inhibition of TAP
mediated peptide transport and inhibition of endosomal antigen degradation. We
propose this second function for soluble CPXV012 can serve to interfere with
antigen cross-presentation in a peptide transport-independent manner.
PMID- 29363169
TI - Mutation analysis of the EBV-lymphoblastoid cell line cautions their use as
antigen-presenting cells.
AB - Lymphoblastoid cell lines (LCLs) have been widely used as professional antigen
presenting cells (APCs). However, neoantigen-loaded LCLs could induce nonspecific
T-cell response, which could be due to expression of both Epstein-Barr virus
(EBV) antigens and nonsynonymous mutations arising in LCLs. Since the number of
passages could influence mutational characteristics of LCLs, and moreover
extensive proliferation of LCLs in vitro is necessary to activate T cells for
immunotherapy, we comprehensively profiled mutational characteristics by
comparing eight sets of B cells and matched high-passage LCLs using whole-exome
sequencing in order to assess the effect of nonsynonymous mutations arising in
LCLs on nonspecific T-cell response. We found 315 nongermline mutations
(approximately 40mut/subject) randomly distributed across all chromosomes
including 18 mutations in immunoglobulin V and J genes in eight LCLs, of which
137 candidate neoantigens (approximately 17mut/subject) were identified. The
underlying mutational processes linked to EBV-transformed LCLs could be
attributed to activation induced cytidine deaminase gene expression which
contributes to cytosine mutation clusters in LCLs through cytosine deamination.
Pathways significantly enriched by nonsilent mutations of each LCL were totally
different among all LCLs. In conclusion, high-passage LCLs may not be suitable to
serve as APCs due to random nonsilent mutations, particularly for presentation of
neoantigens of low immunogenicity, although further experimental proofs are
needed.
PMID- 29363168
TI - Yeast-insect associations: It takes guts.
AB - Insects interact with microorganisms in several situations, ranging from the
accidental interaction to locate attractive food or the acquisition of essential
nutrients missing in the main food source. Despite a wealth of studies recently
focused on bacteria, the interactions between insects and yeasts have relevant
implications for both of the parties involved. The insect intestine shows several
structural and physiological differences among species, but it is generally a
hostile environment for many microorganisms, selecting against the most sensitive
and at the same time guaranteeing a less competitive environment to resistant
ones. An intensive characterization of the interactions between yeasts and
insects has highlighted their relevance not only for attraction to food but also
for the insect's development and behaviour. Conversely, some yeasts have been
shown to benefit from interactions with insects, in some cases by being carried
among different environments. In addition, the insect intestine may provide a
place to reside for prolonged periods and possibly mate or generate sexual forms
able to mate once back in the external environments. YEA-May-17-0084.R3.
PMID- 29363170
TI - Intestinal overexpression of interleukin (IL)-15 promotes tissue eosinophilia and
goblet cell hyperplasia.
AB - Interleukin (IL)-15 overexpression in eosinophilic gastrointestinal disorders is
reported, but IL-15's role in promoting eosinophilic gastroenteritis is largely
unknown. Therefore, we generated enterocyte-overexpressed IL-15 transgenic mice
using Fabpi promoter. The Fabpi-IL-15 (iIL-15) transgenic mice showed induced IL
15 levels in the jejunum with a marked increase in jejunum eosinophils. However,
no induction of eosinophilia in the blood or any other gastrointestinal segment
was observed. Eosinophilia in the jejunum villus was substantially higher in iIL
15 mice compared to wild-type mice. In addition, goblet cell hyperplasia was also
observed in the jejunum of iIL-15 mice. Furthermore, a significant correlation
between induced IL-15 transcript and the IL-18 transcripts was observed.
Therefore, to further understand the role of IL-18 in IL-15 mice associated
gastrointestinal disorders, we generated iIL-15/IL-18Ralpha-/- mice. Using these
mice, we found that IL-18 has an important role in promoting IL-15-induced
eosinophilia. As intestinal IL-15 overexpression is reported in food intolerance,
we examined OVA intolerance in iIL-15 mice. The OVA-sensitized and challenged iIL
15 mice experienced weight loss, diarrhea and eosinophilia in the jejunum. Taken
together, our findings demonstrate that intestinal IL-15 overexpression induces
IL-18-dependent eosinophilia and immunoglobulins in the intestine that promotes
food allergic responses.
PMID- 29363171
TI - Political populations of large carnivores.
PMID- 29363172
TI - BCG vaccination drives accumulation and effector function of innate lymphoid
cells in murine lungs.
AB - The tuberculosis (TB) vaccine bacille Calmette-Guerin (BCG) prevents disseminated
childhood TB; however, it fails to protect against the more prevalent pulmonary
TB. Limited understanding of the immune response to Mycobacterium tuberculosis,
the causative agent of TB, has hindered development of improved vaccines.
Although memory CD4 T cells are considered the main mediators of protection
against TB, recent studies suggest there are other key subsets that contribute to
antimycobacterial immunity. To that end, innate cells may be involved in the
protective response. In this study, we investigated the primary response of
innate lymphoid cells (ILCs) to BCG exposure. Using a murine model, we showed
that ILCs increased in number in the lungs and lymph nodes in response to BCG
vaccination. Additionally, there was significant production of the
antimycobacterial cytokine IFN-gamma by ILCs. As ILCs are located at mucosal
sites, it was investigated whether mucosal vaccination (intranasal) stimulated an
enhanced response compared to the traditional vaccination approach (intradermal
or subcutaneous). Indeed, in response to intranasal vaccination, the number of
ILCs, and IFN-gamma production in NK cells and ILC1s in the lungs and lymph
nodes, were higher than that provoked through intradermal or subcutaneous
vaccination. This work provides the first evidence that BCG vaccination activates
ILCs, paving the way for future research to elucidate the protective potential of
ILCs against mycobacterial infection. Additionally, the finding that lung ILCs
respond rigorously to mucosal vaccination may have implications for the delivery
of novel TB vaccines.
PMID- 29363173
TI - MAIT cells: an historical and evolutionary perspective.
AB - In humans, MAIT cells represent the most abundant T-cell subset reacting against
bacteria. MAIT cells belong to the evolutionarily conserved family of "preset" T
cells that includes also NKT cells. Both subsets are selected by double positive
thymocytes leading to common features such as PLZF expression. Preset T cells
correspond to subsets prepositioned in specific tissue locations with
preprogrammed versatile effector functions such as antimicrobial functions and
possibly also metabolic control and tissue repair activity. Herein, we recall how
several groups studying human samples discovered MAIT cells as T cells expressing
either a restricted T-cell receptors (TCR) repertoire or homogeneous and singular
phenotypic and functional characteristics. We then highlight the main
evolutionary features of this subset and its restricting element, MR1 (MHC
related protein (1) with a striking coevolution of TRAV1 and MR1. We introduce
another evolutionarily conserved invariant TCRalpha chain coevolving with another
MHC class Ib molecule, called MHX, sharing phylogenetic features with MR1. We
finally discuss the relationship between MAIT cells and other subsets reacting to
microbial antigens or to compounds presented by MR1 in light of confounding
experimental issues.
PMID- 29363174
TI - Automated digital image analysis (TrichoScan) in male patients with androgenetic
alopecia; comparison with manual marking of hairs on trichoscopic images.
PMID- 29363175
TI - Seborrheic keratoses mimicking melanoma unveiled by in vivo reflectance confocal
microscopy.
AB - BACKGROUND: Seborrheic keratoses (SebK) with atypical dermoscopy presentation are
increasingly reported. These lesions do not exhibit typical dermoscopy features
of SebK and sometimes mimic melanoma, thus complicating the differential
diagnosis. Reflectance confocal microscopy (RCM) is a non-invasive tool, which
allows an in vivo imaging of the skin. The study objectives were to evaluate the
agreement between RCM classification and histological diagnoses, and the
reliability of well-known RCM criteria for SebK in the identification of SebK
with atypical dermoscopy presentation. MATERIALS AND METHODS: We retrospectively
analysed at RCM excised lesions presenting in dermoscopy >=1 score at revisited 7
point checklist. The study population consisted of cases showing no melanocytic
RCM findings. Lesions were investigated for distinct non-melanocytic RCM
features, blinded from histopathology diagnoses. Histopathology matching was then
performed before statistical analysis. RESULTS: The study consisted of 117 cases,
classified at RCM as SebK (71 cases), dermatofibroma (18 cases), basal cell
carcinoma (13 cases), squamous cell carcinoma (2 cases), and "non-specific" (13
cases). Overall K strength of agreement at histopathology matching proved 0.76.
Of the 71 cases classified at RCM with SebK, agreement was achieved in 97%.
CONCLUSION: Reflectance confocal microscopy classification proved high agreement
with histopathology for SebK with atypical dermoscopy presentations, allowing an
early differential diagnosis. RCM features in this group of lesions were similar
to those described for typical cases of SebK, and may assist clinician therapy
decision making, whilst avoiding unnecessary excisions.
PMID- 29363176
TI - Differences in Perceptions of and Practices Regarding Treatment of Alcohol Use
Disorders Among VA Primary Care Providers in Urban and Rural Clinics.
AB - BACKGROUND: Effective behavioral and pharmacological treatments are available and
recommended for patients with alcohol use disorders (AUD) but rarely received.
Barriers to receipt and provision of evidence-based AUD treatments delivered by
specialists may be greatest in rural areas. METHODS: A targeted subanalysis of
qualitative interview data collected from primary care providers at 5 Veterans
Affairs clinics was conducted to identify differences in provider perceptions and
practices regarding AUD treatment across urban and rural clinics. Key contacts
were used to recruit 24 providers from 3 "urban" clinics at medical centers and 2
"rural" community-based outpatient clinics. Providers completed 30-minute
semistructured interviews, which were recorded, transcribed, and analyzed using
inductive content analysis. RESULTS: Thirteen urban and 11 rural providers
participated. Urban and rural providers differed regarding referral practices and
in perceptions of availability and utility of specialty addictions treatment.
Urban providers described referral to specialty treatment as standard practice,
while rural providers reported substantial barriers to specialty care access and
infrequent specialty care referral. Urban providers viewed specialty addictions
treatment as accessible and comprehensive, and perceived addictions providers as
"experts" and collaborators, whereas rural providers perceived inadequate support
from the health care system for AUD treatment. Urban providers desired greater
integration with specialty addictions care while rural providers wanted access to
local addictions treatment resources. CONCLUSIONS: Providers in rural settings
view referral to specialty addictions treatment as impractical and resources
inadequate to treat AUD. Additional work is needed to understand the unique needs
of rural clinics and decrease barriers to AUD treatment.
PMID- 29363177
TI - Modeling children's development in gross motor coordination reveals key
modifiable determinants. An allometric approach.
AB - Children change their body size, shape, and gross motor coordination (GMC) as
they grow. Further, GMC is expected to link to changes in children's body size,
physical activity (PA), and physical fitness (PF). The objective was to model GMC
changes in children followed longitudinally and to investigate associations
between these changes and PA and PF levels. A total of 245 children (122 girls)
were observed at 6 years of age and followed annually until 9 years. A sequence
of allometric models was fitted, that is, 1. body mass, stature, and PA; 2.
addition of four PF tests; 3. addition of four more PF tests. In Model 1, changes
in GMC are nonlinear, and body mass (-0.60 +/- 0.07, P < .001) and stature (2.91
+/- 0.35, P < .001) parameter estimates were significant suggesting children with
a more linear body size/shape showed higher GMC performances. Girls tend to
outperform boys across time, and PA was not associated with GMC changes. Model 2
fitted the data better, and the PF tests (handgrip, standing long jump, 50-yard
dash, and shuttle run) were significantly linked to GMC change. In Model 3,
adding the remaining PF tests did not change the order of any factors importance.
The greatest GMC changes were achieved by children whose body size/shape has an
ectomorphic dominance across the years. Considering that leaner and physically
fitter children tended to be more coordinated, physical education should also
focus on PF development in components related to muscular strength, speed,
agility, and aerobic capacity, along with nutritional education to reduce fat
mass.
PMID- 29363178
TI - High-frequency ultrasound-based differentiation between nodular dermal filler
deposits and foreign body granulomas.
AB - BACKGROUND: The number of procedures involving dermal filler injection is still
on the rise. Although their safety is improving, not all complications can be
avoided. The late complications manifesting as nodules or granulomas pose a
particular diagnostic and therapeutic challenge, due to the lack of uniform
standards or guidelines. High-frequency, ultrasound imaging appears to be a
useful method for distinguishing between granulomas and nodular dermal filler
deposits. AIM: The aim of the paper was to evaluate the utility of high frequency
ultrasound imaging for distinguishing between foreign body granulomas and nodular
dermal filler deposits. MATERIAL AND METHODS: Eleven females aged 21-66 years
(mean age of 43.6 years old) who had soft tissue fillers injected were enrolled.
All patients had a high-frequency ultrasound scan of the involved skin area
performed. The shape, margins, area, location and echogenicity of the lesions
were assessed. Additionally, the lesions were evaluated histologically and
photographs were taken. RESULTS: The analysis indicated differences between the
ultrasound image of granulomas and dermal filler deposits. Characteristic
ultrasound features of granulomas include oval shape and blurred, irregular outer
edges. Small hyperechoic areas were seen inside the granulomas. The deposits were
anechogenic, with sharp, regular borders. CONCLUSIONS: High-frequency ultrasound
imaging enables distinguishing between granulomas and nodules-dermal filler
deposits, which form after dermal filler injections.
PMID- 29363179
TI - HLA-DR+ NK cells are mostly characterized by less mature phenotype and high
functional activity.
AB - NK cells change their phenotype and functional characteristics during activation.
In this work, we searched for a relationship of HLA-DR expression with
differentiation stages and functional activity of NK cells ex vivo and stimulated
in vitro with IL-2 challenged with gene modified feeder K562 cells expressing
membrane-bound IL-21 (K562-mbIL21). This stimulation technique has been described
for NK cell expansion in clinical use. We have observed that HLA-DR expression in
freshly isolated circulating NK cells was mostly associated with less
differentiated CD56bright CD57- cells, although in some individuals it could also
be found in terminally differentiated CD57+ cells. Ex vivo HLA-DR+ NK cells
possessed better capacity to produce IFN-gamma in response to cytokine
stimulation compared to their HLA-DR- counterparts. In vitro activation with IL-2
and K562-mbIL21 induces an increase in HLA-DR-positive NK cell proportion, again
mostly among CD56bright CD57- NK cells. This happened in particular due to
appearance of HLA-DR+ expression de novo in HLA-DR-negative cells. Acquired in
vitro HLA-DR expression was associated with NK cell proliferation activity, more
intense cytokine-induced IFN-gamma production, increased degranulation toward
feeder cells, and higher expression of CD86 and NKG2D. Thus, stimulation with IL
2/K562-mbIL21 causes a significant phenotype and functional shift during NK cell
activation and expansion.
PMID- 29363180
TI - Separate roles of IL-6 and oncostatin M in mouse macrophage polarization in vitro
and in vivo.
AB - Arginase-1 (Arg-1)-expressing M2-like macrophages are associated with Th2-skewed
immune responses, allergic airway pathology, ectopic B16 melanoma cancer growth
in murine models, and can be induced by Oncostatin M (OSM) transient
overexpression in vivo. Here, we compare OSM to the gp130-cytokine IL-6 in
mediating macrophage polarization, and find that IL-6 overexpression alone (Ad
vector, AdIL-6) did not induce Arg-1 protein in mouse lungs at day 7, nor ectopic
melanoma tumor growth at day 14, in contrast to overexpression of OSM (AdOSM).
AdOSM elevated levels of IL-4, IL-5 and IL-13 in bronchoalveolar lavage fluid,
whereas AdIL-6 did not. Bone marrow-derived macrophages respond with Arg-1
enzymatic activity to M2 stimuli (IL-4/IL-13), which was further elevated in
combination with IL-6 stimulation; however, OSM or LIF had no detectable activity
in vitro. Arg-1 mRNA expression induced by AdOSM was attenuated in IL-6-/- and
STAT6-/- mice, suggesting requirements for both IL-6 and IL-4/IL-13 signaling in
vivo. Ectopic B16 tumor burden was also reduced in IL-6-/- mice. Thus, OSM
induces Arg-1+ macrophage accumulation indirectly through elevation of Th2
cytokines and IL-6 in vivo, whereas IL-6 acts directly on macrophages but
requires a Th2 microenvironment, demonstrating distinct roles for OSM and IL-6 in
M2 macrophage polarization.
PMID- 29363181
TI - Old Medicaid Is Gone; Expanded Medicaid Is Here to Stay.
PMID- 29363183
TI - A longitudinal study of cognitive trajectories and its factors for Koreans aged
60 and over: A latent growth mixture model.
AB - OBJECTIVE: The purpose of this study is twofold: first, to identify cognitive
trajectories of older Koreans in a population-based longitudinal panel survey
and, second, to investigate the main characteristics of the identified
heterogeneous classes of cognitive trajectories. METHODS: Data came from 2445
cognitively healthy persons aged 60 or older in the 2006 to 2012 Korean
Longitudinal Study of Aging. Using Korean-mini mental status examination (K-MMSE)
as a measure of global cognitive function, the latent growth mixture modeling
approach examined potential heterogeneity of longitudinal changes over the 6
years. RESULTS: This study found that older Koreans reported an average K-MMSE
score of 27 at baseline and experienced a cognitive decline every 2 years by -1.6
(2006-2008) and -1.2 (2008-2010), followed by a slight increase of 0.7 in 2012.
Results from the latent growth mixture modeling analysis indicated that there
were 2 heterogeneous classes of longitudinal changes in the K-MMSE over a period
of 6 years: class 1 with stable cognitive function and class 2 with sharp
cognitive decline over time. The sharp decline was found among those older in age
and with higher level of depression at baseline. On the contrary, being male,
higher education, active social engagement, and regular exercise were main
characteristics of stable cognitive function. CONCLUSION: As the first to examine
cognitive trajectories among older Koreans, this study highlighted heterogeneity
of cognitive trajectories in the population that should be considered for
developing differential intervention strategies aimed at both promoting healthy
brain and delaying/preventing cognitive decline.
PMID- 29363182
TI - Learning and memory performance following acute intranasal insulin administration
in abstinent smokers.
AB - The highest incidence of relapse to smoking occurs within the first 2 weeks of a
cessation attempt. In addition to enhanced nicotine craving, this phase of
smoking cessation is also marked by learning and memory dysfunction. Many smokers
are not able to overcome these symptoms, and they relapse to smoking shortly
after trying to quit. In two clinical studies, we evaluated intranasal insulin
for efficacy in improving learning and memory function during nicotine
withdrawal. Our first study was a crossover evaluation (N = 19) following 20 hr
of smoking abstinence. Study 2 was a parallel design study (N = 50) following 16
hr of abstinence. Intranasal insulin (60 IU) dose was administered in both
studies and cognitive function was measured using California Verbal Learning Test
II. Intranasal insulin did not improve learning over the 5 verbal learning
trials. In addition, intranasal insulin did not improve either short- or long
delay recall in either study. In summary, the one-time administration of
intranasal insulin does not improve verbal learning and memory in smokers.
Whether longer administration schedules may be of benefit should be evaluated in
future studies.
PMID- 29363184
TI - Functional differences in airway dendritic cells determine susceptibility to IgE
sensitization.
AB - Respiratory IgE-sensitization to innocuous antigens increases the risk for
developing diseases such as allergic asthma. Dendritic cells (DC) residing in the
airways orchestrate the immune response following antigen exposure and their
ability to sample and present antigens to naive T cells in airway draining lymph
nodes contributes to allergen-specific IgE-sensitization. In order to
characterize inhaled antigen capture and presentation by DC subtypes in vivo, we
used an adjuvant-free respiratory sensitization model using two genetically
distinct rat strains, one of which is naturally resistant and the other naturally
susceptible to allergic sensitization. Upon multiple exposures to ovalbumin
(OVA), the susceptible strain developed OVA-specific IgE and airway inflammation,
whereas the resistant strain did not. Using fluorescently tagged OVA and flow
cytometry, we demonstrated significant differences in antigen uptake efficiency
and presentation associated with either IgE-sensitization or resistance to
allergen exposures in respective strains. We further identified CD4+ conventional
DC (cDC) as the subset involved in airway antigen sampling in both strains,
however, CD4+ cDC in the susceptible strain were less efficient in OVA sampling
and displayed increased MHC-II expression compared with the resistant strain.
This was associated with generation of an exaggerated Th2 response and a
deficiency of airway regulatory T cells in the susceptible strain. These data
suggest that subsets of cDC are able to induce either sensitization or resistance
to inhaled antigens as determined by genetic background, which may provide an
underlying basis for genetically determined susceptibility to respiratory
allergic sensitization and IgE production in susceptible individuals.
PMID- 29363185
TI - Differential signaling pathways are initiated in macrophages during infection
depending on the intracellular fate of Chlamydia spp.
AB - Chlamydia muridarum and Chlamydia caviae have equivalent growth rates in mouse
epithelial cells but only C. muridarum replicates inside mouse macrophages, while
C. caviae does not. Macrophages infected with C. muridarum or C. caviae were used
to address the hypothesis that the early signaling pathways initiated during
infection depend on the fate of chlamydiae in the host cell. Transmission
electron microscopy of C. muridarum-infected macrophages showed intact chlamydial
elementary bodies and reticulate bodies 2 h postinfection in compact vacuoles.
Conversely, in macrophages infected with C. caviae, chlamydiae were observed in
large phagocytic vacuoles. Furthermore, C. caviae infections failed to develop
into inclusions or produce viable bacteria. Expression of proinflammatory
cytokines TNFalpha, IL-1beta and MMP13 was similar in C. caviae- or C. muridarum
infected macrophages at 3 h postinfection, indicating that chlamydial survival is
not required for initiation of these responses. IL-1beta secretion, dependent on
inflammasome activation, occurred in C. caviae-infected macrophages despite no
chlamydial growth. Conversely, IFNbeta mRNA was observed only in C. muridarum-
but not in C. caviae-infected macrophages. These data demonstrate that
differential signaling events are initiated during a productive versus
nonproductive chlamydial infection in a macrophage.
PMID- 29363186
TI - Integrated decision strategies for skin sensitization hazard.
PMID- 29363187
TI - GPR65 inhibits experimental autoimmune encephalomyelitis through CD4+ T cell
independent mechanisms that include effects on iNKT cells.
AB - The G protein-coupled receptor 65 (GPR65) gene has been genetically associated
with several autoimmune diseases, including multiple sclerosis (MS). GPR65 is
predominantly expressed in lymphoid organs and is activated by extracellular
protons. In this study, we tested whether GPR65 plays a functional role in
demyelinating autoimmune disease. Using a murine model of MS, experimental
autoimmune encephalomyelitis (EAE), we found that Gpr65-deficient mice develop
exacerbated disease. CD4+ helper T cells are key drivers of EAE pathogenesis,
however, Gpr65 deficiency in these cells did not contribute to the observed
exacerbated disease. Instead, Gpr65 expression levels were found to be highest on
invariant natural killer T (iNKT) cells. EAE severity in Gpr65-deficient mice was
normalized in the absence of iNKT cells (CD1d-deficient mice), suggesting that
GPR65 signals in iNKT cells are important for suppressing autoimmune disease.
These findings provide functional support for the genetic association of GPR65
with MS and demonstrate GPR65 signals suppress autoimmune activity in EAE.
PMID- 29363188
TI - Interaction between the sequence of feeding of hay and concentrate, and boiling
of barley on feed intake, the activity of hydrolytic enzymes and fermentation in
the hindgut of Arabian mares.
AB - The interaction between the sequence of feeding of hay and concentrate and the
hydrothermal processing of barley in alleviating concentrate effects on intake,
and hindgut fermentation in horses was tested. Six Arabian mares (4-10 years of
age, 410 +/- 35 kg body weight) were used to evaluate the effects of feeding
sequence (FS) and type of barley (TB) on intake, and faecal volatile fatty acids
(VFA), activities of alpha-amylase (AA: EC 3.2.1.1), carboxymethyl cellulase
(CMCase: EC 3.2.1.4), microcrystalline cellulase (MCCase: EC 3.2.1.91) and
general filter paper degrading activity (FPD). Mares were offered a ration of air
dried alfalfa and concentrate (70:30 as-fed) in four subsequent periods of 14
days including 8 days of adaptation and 6 days of sampling. In each period and
each meal, mares received concentrate either 30 min after (HC) or 30 min before
(CH) alfalfa hay. Barley was either milled or boiled in water. Rectal samples
were grabbed directly from rectum once per period. Mares subjected to CH had
higher dry matter intakes than mares under HC regime. The acetate:propionate
ratio (A:P ratio) in rectal content was higher with CH than HC. The AA activity
was higher under CH than under HC. Mares fed boiled barley had lower rectal
concentrations of VFA and propionate and a higher A:P ratio than mares fed milled
barley. Furthermore, the rectal content showed a higher MCCase activity but a
lower AA activity when mares were fed boiled compared with milled barley.
Interactions between FS and TB were observed with respect to CMCase activity, and
concentrations of propionate and valerate. In conclusion, the present results
suggest that both, feeding concentrate before hay and boiling the barley, might
improve the hindgut environment in Arabian mares, and that the two measures were
mostly additive and sometimes even synergistic.
PMID- 29363189
TI - Synergism of Geometric Construction and Electronic Regulation: 3D Se-(NiCo)Sx
/(OH)x Nanosheets for Highly Efficient Overall Water Splitting.
AB - The exploration of highly efficient electrocatalysts for both oxygen and hydrogen
generation via water splitting is receiving considerable attention in recent
decades. Up till now, Pt-based catalysts still exhibit the best hydrogen
evolution reaction (HER) performance and Ir/Ru-based oxides are identified as the
benchmark for oxygen evolution reaction (OER). However, the high cost and rarity
of these materials extremely hinder their large-scale applications. This paper
describes the construction of the ultrathin defect-enriched 3D Se-(NiCo)Sx /(OH)x
nanosheets for overall water splitting through a facile Se-induced hydrothermal
treatment. Via Se-induced fabrication, highly efficient Se-(NiCo)Sx /(OH)x
nanosheets are successfully fabricated through morphology optimization, defect
engineering, and electronic structure tailoring. The as-prepared hybrids exhibit
relatively low overpotentials of 155 and 103 mV at the current density of 10 mA
cm-2 for OER and HER, respectively. Moreover, an overall water-splitting device
delivers a current density of 10 mA cm-2 for ~66 h without obvious degradation.
PMID- 29363190
TI - Effect of feeding Acacia nilotica pods to sheep on nutrient digestibility,
nitrogen balance, ruminal protozoa and rumen enzymes activity.
AB - This study was conducted to evaluate the effect of supplying two levels of Acacia
nilotica (A. nilotica) pods to rations of sheep on nutrient digestibility,
nitrogen balance and rumen liquor parameters (pH, total protozoa count, protein
concentration and enzymes activity). Twelve mature rams (50 +/- 1.25 kg B.W.)
were distributed into three groups, each with four rams. Animals in group one
were considered as a control which fed a basal diet, consisting of concentrate
mixture and Egyptian clover. The second group and the third one received the same
basal diet with supplying the concentrate mixture by 1.5% and 3.0% of A. nilotica
pods meal respectively. The experiment lasted for 3 weeks. It was found that
supplementation of A. nilotica pods to the concentrate mixture at a rate of 1.5%
and 3.0% significantly improved the total feed intake compared to the control.
The digestibility of dry matter and crude fibre was significantly reduced with A.
nilotica supplements, whereas the digestibility of crude protein was
significantly improved. All of nitrogen intake and N-retained were significantly
increased in rams fed on concentrates with 1.5% and 3.0% A. nilotica pods when
compared to the control. The pH of ruminal fluid was not affected by the dietary
treatments. Nevertheless, the total rumen protozoa count was significantly
decreased in A. nilotica pods supplemented groups. Also, the rumen protein
concentration and the ruminal enzymes activity, especially alpha-amylase,
cellulase and protease, were lower in A. nilotica pods supplemented treatments.
In conclusions, inclusion of low levels of A. nilotica pods (1.5% and 3.0%) in
the concentrates can be used as a natural protein protectant in ruminants by
forming tannin protein complexes in the rumen to maximize the amino acids
available in the lower digestive tract. Also, these levels can increase the
protein digestibility as well as the N-retained in the body .
PMID- 29363191
TI - Investigation of the binding characteristics between ligands and epidermal growth
factor receptor by cell membrane chromatography.
AB - The binding property between a ligand and its receptor is very important for
numerous biological processes. In this study, we developed a high epidermal
growth factor receptor (EGFR)-expression cell membrane chromatography (CMC)
method to investigate the binding characteristics between EGFR and the ligands
gefitinib, erlotinib, canertinib, afatinib, and vandetanib. Competitive binding
analysis using gefitinib as the marker was used to investigate the interactions
that occurred at specific binding sites on EGFR. The ability of displacement was
measured from the HEK293-EGFR/CMC column on the binding sites occupied by
gefitinib for these ligands, which revealed the following order: gefitinib (KD,
8.49 +/- 0.11 * 10-7 M) > erlotinib (KD, 1.07 +/- 0.02 * 10-6 M) > canertinib
(KD, 1.41 +/- 0.07 * 10-6 M) > afatinib (KD, 1.80 +/- 0.12 * 10-6 M) >
vandetanib (KD, 1.99 +/- 0.03 * 10-6 M). This order corresponded with the values
estimated by frontal displacement analysis and the scores obtained with molecular
docking. Furthermore, thermodynamic analysis indicated that the hydrogen bond or
Van der Waals force was the main interaction force in the process of EGFR binding
to all 5 ligands. Overall, these results demonstrate that a CMC method could be
an effective tool to investigate the binding characteristics between ligands and
receptors.
PMID- 29363192
TI - Plasticity effect of rider-horse interaction on genetic evaluations for Show
Jumping discipline in sport horses.
AB - To obtain a sport horse that excels in the highest levels of competition,
breeders must take into account certain genetic and environmental factors that
could influence the sport horse's performance, such as the rider-horse
interaction (RHI). The main aim of this study was to describe this interaction in
a genetic model by modelling it in relation to the horse's age. A total of 31,129
sport results from Spanish Sport Horses were used from a total of 1,101 animals
evaluated, and these were grouped in three age levels and had been ridden by 606
different riders. Only riders who had ridden more than one horse (and vice-versa)
were considered for the analyses. Five linear models with different random
effects were analysed according to the covariates, the homogeneity/heterogeneity
of the RHI and the relevant residual random effects. The model of best fit was
then selected for the genetic evaluation of the animal. In general, models
including the RHI effect (M2, M4 and M5) fitted better than the other models, and
the best fit was obtained for M4 (with heterogeneous residual variance). The
genetic variance increased constantly with age, whereas heritability showed a
response on three intervals. This study revealed the varied evolution of the RHI
with age, showing the different "plastic abilities" of this relationship.
PMID- 29363193
TI - Cell shortening and calcium dynamics in epicardial and endocardial myocytes from
the left ventricle of Goto-Kakizaki type 2 diabetic rats.
AB - NEW FINDINGS: What is the central question of this study? To investigate
haemodynamic dysfunction in the type 2 diabetic Goto-Kakizaki (GK) rat, we
measured shortening and Ca2+ transport in ventricular myocytes from epicardial
(EPI) and endocardial (ENDO) regions. What is the main finding and its
importance? EPI and ENDO GK myocytes displayed similar hypertrophy. Time to peak
(TPK) and time to half (THALF) relaxation were prolonged in EPI GK myocytes. TPK
Ca2+ transient was prolonged and THALF decay of the Ca2+ transient was shortened
in EPI GK myocytes. Amplitude of shortening, Ca2+ transient and sarcoplasmic
reticulum Ca2+ were unaltered in EPI and ENDO myocytes from Goto-Kakizaki
compared with control rats. We demostrated regional differences in shortening and
Ca2+ transport in Goto-Kakizaki rats. ABSTRACT: Diabetic cardiomyopathy is
considered to be one of the major diabetes-associated complications, and the
pathogenesis of cardiac dysfunction is not well understood. The electromechanical
properties of cardiac myocytes vary across the walls of the chambers. The aim of
this study was to investigate shortening and Ca2+ transport in epicardial (EPI)
and endocardial (ENDO) left ventricular myocytes in the Goto-Kakizaki (GK) type 2
diabetic rat heart. Shortening and intracellular Ca2+ transients were measured by
video edge detection and fluorescence photometry. Myocyte surface area was
increased in EPI-GK and ENDO-GK compared with control EPI-CON and ENDO-CON
myocytes. Time to peak shortening was prolonged in EPI-GK compared with EPI-CON
and in ENDO-CON compared with EPI-CON myocytes. Time to half-relaxation of
shortening and time to peak Ca2+ transient were prolonged in EPI-GK compared with
EPI-CON myocytes. Time to half-decay of the Ca2+ transient was prolonged in EPI
CON compared with EPI-GK and in EPI-CON compared with ENDO-CON myocytes. The
amplitude of shortening and the Ca2+ transient were unaltered in EPI-GK and ENDO
GK compared with their respective controls. Sarcoplasmic reticulum Ca2+ and
myofilament sensitivity to Ca2+ were unaltered in EPI-GK and ENDO-GK compared
with their respective controls. Regional differences in Ca2+ signalling in
healthy and diabetic myocytes might account for variation in the dynamics of
myocyte shortening. Further studies will be required to clarify the mechanisms
underlying regional differences in the time course of shortening and the Ca2+
transient in EPI and ENDO myocytes from diabetic and control hearts.
PMID- 29363194
TI - Crystallographic Orientation Dependent Reactive Ion Etching in Single Crystal
Diamond.
AB - Sculpturing desired shapes in single crystal diamond is ever more crucial in the
realization of complex devices for nanophotonics, quantum computing, and quantum
optics. The crystallographic orientation dependent wet etch of single crystalline
silicon in potassium hydroxide (KOH) allows a range of shapes to be formed and
has significant impacts on microelectromechanical systems (MEMS), atomic force
microscopy (AFM), and microfluidics. Here, a crystal direction dependent dry
etching principle in an inductively coupled plasma reactive ion etcher is
presented, which selectively reveals desired crystal planes in monocrystalline
diamond by controlling the etching conditions. Using this principle, monolithic
diamond nanopillars for magnetometry using nitrogen vacancy centers are
fabricated. In these nanopillars, a half-tapering angle up to 21 degrees is
achieved, the highest angle reported in the literature, which leads to a high
photon efficiency and high mechanical strength of the nanopillar. These results
represent the first demonstration of a crystallographic orientation dependent
reactive ion etching principle, which opens a new window for shaping specific
nanostructures which is at the heart of nanotechnology. It is believed that this
principle will prove to be valuable for the structuring and patterning of other
single crystal materials as well.
PMID- 29363195
TI - Is frequency of family meals associated with fruit and vegetable intake among
preschoolers? A logistic regression analysis.
AB - BACKGROUND: The present study aimed to examine the associations between frequency
of family meals and low fruit and vegetable intake in preschool children.
Promoting healthy nutrition early in life is recommended for combating childhood
obesity. Frequency of family meals is associated with fruit and vegetable intake
in school-age children and adolescents; the relationship in young children is
less clear. METHODS: We completed a secondary analysis using data from the Early
Childhood Longitudinal Study-Birth Cohort. Participants included children, born
in the year 2001, to mothers who were >15 years old (n = 8 950). Data were
extracted from structured parent interviews during the year prior to
kindergarten. We used hierarchical logistic regression to describe the
relationships between frequency of family meals and low fruit and vegetable
intake. RESULTS: Frequency of family meals was associated with low fruit and
vegetable intake. The odds of low fruit and vegetable intake were greater for
preschoolers who shared less than three evening family meals per week (odds ratio
= 1.5, beta = 0.376, P < 0.001) than preschoolers who shared the evening meal
with family every night. CONCLUSIONS: Fruit and vegetable intake is related to
frequency of family meals in preschool-age children. Educating parents about the
potential benefits of frequent shared meals may lead to a higher fruit and
vegetable consumption among preschoolers. Future studies should address other
factors that likely contribute to eating patterns during the preschool years.
PMID- 29363196
TI - Regulation of sporangium formation by the orphan response regulator TcrA in the
rare actinomycete Actinoplanes missouriensis.
AB - The rare actinomycete Actinoplanes missouriensis forms terminal sporangia
containing a few hundred flagellated spores, which can swim in aquatic
environments after release from sporangium. However, gene regulation for its
characteristic morphological development is largely unknown. Here, we report the
functional analysis of an orphan response regulator, TcrA, which is encoded next
to the chemotaxis-flagellar gene cluster. The tcrA null (DeltatcrA) mutant formed
sporangium, in which sporulation proceeded. However, many distorted spores were
produced and some spores ectopically germinated in the mutant sporangia. In
addition, spores were hardly released from the mutant sporangia. A comparative
RNA-Seq analysis between the wild-type and DeltatcrA strains showed that TcrA
upregulated the transcription of more than 263 genes, which were integrated into
185 transcriptional units. In silico searches identified a 21-bp direct repeat
sequence, 5'-nnGCA(A/C)CCG-n4 -GCA(A/C)CCGn-3', as the TcrA box, which was
confirmed by electrophoretic mobility shift assays. Finally, we identified 34
transcriptional units as the TcrA regulon. TcrA seems to regulate a few hundred
genes through the transcriptional activation of three FliA-family sigma factor
genes besides its own regulon. We concluded that TcrA is a global transcriptional
activator that controls many aspects of sporangium formation, including flagellar
biogenesis, spore dormancy and sporangium dehiscence.
PMID- 29363197
TI - Defect Effects on TiO2 Nanosheets: Stabilizing Single Atomic Site Au and
Promoting Catalytic Properties.
AB - Isolated single atomic site catalysts have attracted great interest due to their
remarkable catalytic properties. Because of their high surface energy, single
atoms are highly mobile and tend to form aggregate during synthetic and catalytic
processes. Therefore, it is a significant challenge to fabricate isolated single
atomic site catalysts with good stability. Herein, a gentle method to stabilize
single atomic site metal by constructing defects on the surface of supports is
presented. As a proof of concept, single atomic site Au supported on defective
TiO2 nanosheets is prepared and it is discovered that (1) the surface defects on
TiO2 nanosheets can effectively stabilize Au single atomic sites through forming
the Ti-Au-Ti structure; and (2) the Ti-Au-Ti structure can also promote the
catalytic properties through reducing the energy barrier and relieving the
competitive adsorption on isolated Au atomic sites. It is believed that this work
paves a way to design stable and active single atomic site catalysts on oxide
supports.
PMID- 29363198
TI - Multifunctional Photonic Nanomaterials for Diagnostic, Therapeutic, and
Theranostic Applications.
AB - The last decade has seen dramatic progress in the principle, design, and
fabrication of photonic nanomaterials with various optical properties and
functionalities. Light-emitting and light-responsive nanomaterials, such as
semiconductor quantum dots, plasmonic metal nanoparticles, organic carbon, and
polymeric nanomaterials, offer promising approaches to low-cost and effective
diagnostic, therapeutic, and theranostic applications. Reasonable endeavors have
begun to translate some of the promising photonic nanomaterials to the clinic.
Here, current research on the state-of-the-art and emerging photonic
nanomaterials for diverse biomedical applications is reviewed, and the remaining
challenges and future perspectives are discussed.
PMID- 29363199
TI - Diets with a low glycaemic load have favourable effects on prediabetes
progression and regression: a prospective cohort study.
AB - BACKGROUND: To date, no study assessing the associations among glycaemic index
(GI), glycaemic load (GL) and progression to diabetes has focused specifically on
prediabetes. Moreover, the available data on the association between these
variables and regression to normal glucose regulation (NGR) are insufficient.
Therefore, the present study aimed to evaluate the longitudinal associations
among GI, GL and prediabetes outcomes. METHODS: This prospective study included
640 adults aged 40-79 years with prediabetes at baseline. Dietary data were
assessed using a previously validated 3-day food record. The participants were
divided into three groups according to GI and GL tertiles. Outcomes were defined
based on annual oral glucose tolerance test results. RESULTS: During a median of
5 years of follow-up, 127 incident cases of diabetes and 249 incident cases of
NGR were identified. Dietary GL was positively associated with the risk of
developing diabetes and negatively associated with the likelihood of reaching NGR
at least once. Comparing the highest and lowest tertiles of GL, the multivariable
adjusted hazard ratios (95% confidence intervals) were 1.85 (1.07-3.21) for
progression and 0.65 (0.44-0.96) for regression. No association was observed
between GI and prediabetes outcomes in the fully adjusted models. CONCLUSIONS:
Among patients with prediabetes, high dietary GL was positively associated with
diabetes risk. Furthermore, a low-GL diet contributed to an increased incidence
of reaching NGR.
PMID- 29363200
TI - Optogenetically enhanced axon regeneration: motor versus sensory neuron-specific
stimulation.
AB - Brief neuronal activation in injured peripheral nerves is both necessary and
sufficient to enhance motor axon regeneration, and this effect is specific to the
activated motoneurons. It is less clear whether sensory neurons respond in a
similar manner to neuronal activation following peripheral axotomy. Further, it
is unknown to what extent enhancement of axon regeneration with increased
neuronal activity relies on a reflexive interaction within the spinal circuitry.
We used mouse genetics and optical tools to evaluate the precision and
selectivity of system-specific neuronal activation to enhance axon regeneration
in a mixed nerve. We evaluated sensory and motor axon regeneration in two
different mouse models expressing the light-sensitive cation channel,
channelrhodopsin (ChR2). We selectively activated either sensory or motor axons
using light stimulation combined with transection and repair of the sciatic
nerve. Regardless of genotype, the number of ChR2-positive neurons whose axons
had regenerated successfully was greater following system-specific optical
treatment, with no effect on the number of ChR2-negative neurons (whether motor
or sensory neurons). We conclude that acute system-specific neuronal activation
is sufficient to enhance both motor and sensory axon regeneration. This
regeneration-enhancing effect is likely cell autonomous.
PMID- 29363201
TI - Response to "A concern with the clinical consensus guidelines on meticillin
resistant staphylococci," a letter in Veterinary Dermatology 2018; 29: 174.
PMID- 29363202
TI - Achieving Skeletal Diversity in Peptide Macrocycles through The Use of
Heterocyclic Grafts.
AB - Despite their therapeutic potential, peptide macrocycles often suffer from
drawbacks such as low membrane permeability, proteolytic instability, and
conformational lability. As a result, there have been significant efforts to
"depeptidize" amino acid-rich macrocycles through the incorporation of
heterocyclic grafts into their backbones. In this concept article, we summarize
selected recent methodologies that can be used to introduce heterocycles into
cyclic peptides.
PMID- 29363203
TI - N-C Axially Chiral Anilines: Electronic Effect on Barrier to Rotation and A
Remote Proton Brake.
AB - N-Aryl-N-methyl-2-tert-butyl-6-methylaniline derivatives exhibit a rotationally
stable N-C axially chiral structure and the rotational barriers around an N-C
chiral axis increased with the increase in electron-withdrawing character of para
substituent on the aryl group. X-ray crystal structural analysis and the DFT
calculation suggested that the considerable change of the rotational barriers by
the electron effect of para-substituents is due to the disappearance of resonance
stabilization energy caused by the twisting of para-substituted phenyl group in
the transition state. This structural property of the N-C axially chiral anilines
was employed to reveal a new acid-decelerated molecular rotor caused by the
protonation at the remote position (remote proton brake).
PMID- 29363204
TI - Defining a Materials Database for the Design of Copper Binary Alloy Catalysts for
Electrochemical CO2 Conversion.
AB - While Cu electrodes are a versatile material in the electrochemical production of
desired hydrocarbon fuels, Cu binary alloy electrodes are recently proposed to
further tune reaction directionality and, more importantly, overcome the
intrinsic limitation of scaling relations. Despite encouraging empirical
demonstrations of various Cu-based metal alloy systems, the underlying principles
of their outstanding performance are not fully addressed. In particular, possible
phase segregation with concurrent composition changes, which is widely observed
in the field of metallurgy, is not at all considered. Moreover, surface-exposed
metals can easily form oxide species, which is another pivotal factor that
determines overall catalytic properties. Here, the understanding of Cu binary
alloy catalysts for CO2 reduction and recent progress in this field are
discussed. From the viewpoint of the thermodynamic stability of the alloy system
and elemental mixing, possible microstructures and naturally generated surface
oxide species are proposed. These basic principles of material science can help
to predict and understand metal alloy structure and, moreover, act as an
inspiration for the development of new binary alloy catalysts to further improve
CO2 conversion and, ultimately, achieve a carbon-neutral cycle.
PMID- 29363205
TI - Endowing Perovskite Nanocrystals with Circularly Polarized Luminescence.
AB - Perovskite nanocrystals are attracting great interest due to their excellent
photonic properties. Here, through a supramolecular self-assembly approach, the
perovskite nanocrystals (NCs) with a novel circularly polarized luminescence
(CPL) are successfully endowed. It is found that the achiral perovskite NCs can
coassemble with chiral gelator in nonpolar solvents, in which the gelator
molecules modify the surface of the perovskite NCs. Through such cogelation, the
molecular chirality can transfer to the NCs resulting in CPL signals with a
dissymmetric factor (glum ) up to 10-3 . Furthermore, depending on the molecular
chirality of the gelator, the CPL sense can be selected and the mirror-imaged CPL
is obtained. Such gels can be further embedded into the polymer film to
facilitate flexible CPL devices. It is envisaged that this approach will afford a
new insight into the designing of the functional chiroptical materials.
PMID- 29363206
TI - Boryl- and Silyl-Substituted Mixed Sandwich Compounds of Scandium.
AB - An improved, one-pot synthesis of the linear sandwich compound [Sc(eta5 -C5 H5
)(eta8 -C8 H8 )] is presented. The synthetic procedure is amenable to boryl- and
silyl-substituted cyclopentadienyl and cyclooctatetraenyl ligands, thereby
yielding the first functionalized derivatives. We found that the synthesis of the
silyl-substituted mixed sandwich complexes produces higher yields when the ligand
exchange is carried out stepwise, by isolating the intermediate
trimethylsilylated half-sandwich complex [Sc(eta8 -C8 H7 SiMe3 )Cl(THF)]
(THF=tetrahydrofuran). The molecular structures of the parent complex, as well as
of its mono-boryl-substituted derivatives, have been determined by single-crystal
X-ray diffraction. In addition, the optical and electrochemical properties of the
mixed sandwich complexes are reported.
PMID- 29363207
TI - Heterogeneous effects of M-CSF isoforms on the progression of MLL-AF9 leukemia.
AB - Macrophage colony-stimulating factor (M-CSF) regulates both malignant cells and
microenvironmental cells. Its splicing isoforms show functional heterogeneity.
However, their roles on leukemia have not been well established. Here, the
expression of total M-CSF in patients with hematopoietic malignancies was
analyzed. The roles of M-CSF isoforms on the progression of acute myeloid
leukemia (AML) were studied by establishing MLL-AF9-induced mouse AML models with
high level membrane-bound M-CSF (mM-CSF) or soluble M-CSF (sM-CSF). Total M-CSF
was highly expressed in myeloid leukemia patients. Furthermore, mM-CSF but not sM
CSF prolonged the survival of leukemia mice. While sM-CSF was more potent to
promote proliferation and self-renew, mM-CSF was more potent to promote
differentiation. Moreover, isoforms had different effects on leukemia-associated
macrophages (LAMs) though they both increase monocytes/macrophages by growth
promoting and recruitment effects. In addition, mM-CSF promoted specific
phagocytosis of leukemia cells by LAMs. RNA-seq analysis revealed that mM-CSF
enhanced phagocytosis-associated genes and activated oxidative phosphorylation
and metabolism pathway. These results highlight heterogeneous effects of M-CSF
isoforms on AML progression and the mechanisms of mM-CSF, that is, intrinsically
promoting AML cell differentiation and extrinsically enhancing infiltration of
macrophages and phagocytosis by macrophages, which may provide potential clues
for clinical diagnosis and therapy.
PMID- 29363208
TI - Thermal-Responsive Polymers for Enhancing Safety of Electrochemical Storage
Devices.
AB - Thermal runway constitutes the most pressing safety issue in lithium-ion
batteries and supercapacitors of large-scale and high-power density due to risks
of fire or explosion. However, traditional strategies for averting thermal
runaway do not enable the charging-discharging rate to change according to
temperature or the original performance to resume when the device is cooled to
room temperature. To efficiently control thermal runaway, thermal-responsive
polymers provide a feasible and reversible strategy due to their ability to sense
and subsequently act according to a predetermined sequence when triggered by
heat. Herein, recent research progress on the use of thermal-responsive polymers
to enhance the thermal safety of electrochemical storage devices is reviewed.
First, a brief discussion is provided on the methods of preventing thermal
runaway in electrochemical storage devices. Subsequently, a short review is
provided on the different types of thermal-responsive polymers that can
efficiently avoid thermal runaway, such as phase change polymers, polymers with
sol-gel transitions, and polymers with positive temperature coefficients. The
results represent the important development of thermal-responsive polymers toward
the prevention of thermal runaway in next-generation smart electrochemical
storage devices.
PMID- 29363209
TI - A concern with the clinical consensus guidelines on meticillin-resistant
staphylococci.
PMID- 29363210
TI - In vitro antimicrobial activity of narasin against common clinical isolates
associated with canine otitis externa.
AB - BACKGROUND: Antimicrobial resistance and antimicrobial stewardship are of ever
increasing importance in veterinary medicine. Re-purposing of old drugs that are
not used in human medicine is one approach that addresses the emergence of
multidrug resistance in canine skin and ear infections, and can reduce the use of
critically important human antibiotic classes. HYPOTHESIS/OBJECTIVES: To
determine the antimicrobial activity of narasin, a polyether ionophore
conventionally used as a rumen modifier and anticoccidial agent in production
animals, against common clinical isolates of canine otitis externa (OE).
ANIMALS/ISOLATES: Clinical isolates (n = 110) from canine OE were tested,
including 17 meticillin-susceptible Staphylococcus pseudintermedius (MSSP), 13
multidrug-resistant Staphylococcus pseudintermedius (MDRSP), and 20 each of beta
haemolytic Streptococcus spp., Pseudomonas aeruginosa, Proteus mirabilis and
Malassezia pachydermatis. METHODS: Bacterial and yeast isolates were subcultured,
suspended in broth and inoculated into 96-well plates. Organisms were tested
against concentrations of narasin ranging from 0.03 to 128 MUg/mL. Minimal
inhibitory concentrations (MICs) were determined after overnight incubation.
RESULTS: Narasin MICs for staphylococcal and streptococcal isolates ranged from
0.06 to 0.25 MUg/mL; MIC50 and MIC90 values for both organisms were 0.125 MUg/mL.
No MICs were achieved for Pseudomonas or Proteus isolates. There was a weak
antifungal effect against M. pachydermatis isolates (MIC 32 to >128 MUg/mL).
CONCLUSIONS AND CLINICAL RELEVANCE: Narasin was effective against Gram-positive
bacteria and had antifungal activity at higher concentrations against M.
pachydermatis. However, the lack of Gram-negative activity would prevent its use
as a sole antimicrobial agent in cases of canine OE.
PMID- 29363211
TI - Low prevalence of mupirocin resistance in Staphylococcus pseudintermedius
isolates from canine pyoderma in Korea.
AB - BACKGROUND: Mupirocin is a topical antibacterial drug used for the treatment of
staphylococcal infections, including meticillin-resistant Staphylococcus
pseudintermedius (MRSP). The recent emergence of resistance to mupirocin is a
major concern in many countries. OBJECTIVES: This study investigated the
prevalence and genotype of mupirocin-resistant S. pseudintermedius isolated from
pet dogs with pyoderma. SAMPLES: A total of 110 clinical isolates of S.
pseudintermedius were collected from dogs with pyoderma (n = 110) between July
2010 and September 2016. All animals were client-owned dogs. METHODS: Low- and
high-level mupirocin resistance were evaluated with both the broth microdilution
and disk diffusion tests. Mupirocin resistance in S. pseudintermedius isolates
was confirmed by genetic analysis of the ileS-2 and naive ileS genes. RESULTS:
MRSP and meticillin-susceptible S. pseudintermedius were detected in 69 and 41
dogs, respectively. One MRSP strain was highly resistant to mupirocin and
contained the high-level mupirocin resistance gene ileS-2. There were no low
level mupirocin-resistant isolates. CONCLUSION AND CLINICAL IMPORTANCE: Mupirocin
is a useful topical antibacterial for MRSP, but a clinical MRSP isolate that had
not previously been exposed to mupirocin exhibited the high-level mupirocin
resistance in phenotype and genotype. Therefore, continuous monitoring for
mupirocin resistance is important in small animal practice.
PMID- 29363212
TI - Photoredox-Based Actuation of an Artificial Molecular Muscle.
AB - The use of light to actuate materials is advantageous because it represents a
cost-effective and operationally straightforward way to introduce energy into a
stimuli-responsive system. Common strategies for photoinduced actuation of
materials typically rely on light irradiation to isomerize azobenzene or
spiropyran derivatives, or to induce unidirectional rotation of molecular motors
incorporated into a 3D polymer network. Although interest in photoredox catalysis
has risen exponentially in the past decade, there are far fewer examples where
photoinduced electron transfer (PET) processes are employed to actuate materials.
Here, a novel mode of actuation in a series of redox-responsive hydrogels doped
with a visible-light-absorbing ruthenium-based photocatalyst is reported. The
hydrogels are composed primarily of polyethylene glycol and low molar
concentrations of a unimolecular electroactive polyviologen that is activated
through a PET mechanism. The rate and degree of contraction of the hydrogels are
measured over several hours while irradiating with blue light. Likewise, the
change in mechanical properties-determined through oscillatory shear rheology
experiments-is assessed as a function of polyviologen concentration. Finally, an
artificial molecular muscle is fabricated using the best-performing hydrogel
composition, and its ability to perform work, while irradiated, is demonstrated
by lifting a small weight.
PMID- 29363213
TI - Ultrasonographic and computed tomographic features of rice bodies in an Arabian
horse with atlantal bursitis.
AB - A 19-year-old castrated Arabian male horse presented for evaluation of a firm
mass at the dorsal cervical region. Ultrasonography and computed tomography
revealed multiple well defined fusiform structures within the atlantal bursa.
Multiple glossy smooth, white to yellowish, flattened fusiform structures were
removed surgically. These structures were composed of dense fibrin with some
leukocytes and red blood cells. The imaging and histopathological features of
these structures were similar to chronic 'rice bodies' reported in humans with
bursitis or tenosynovitis. This is the first veterinary report describing the
imaging features of 'rice bodies' in a horse with atlantal bursitis.
PMID- 29363214
TI - Radiographic findings and clinical factors in dogs with surgically confirmed or
presumed colonic torsion.
AB - Colonic torsion is a life-threatening condition in dogs and radiographic findings
for this condition have not been well described. The purpose of this
retrospective case series was to describe radiographic findings and clinical
signs in a group of dogs with colonic torsion. Inclusion criteria were dogs
presenting during the period of 2006 and 2016, and that had abdominal radiography
and a surgically confirmed or presumed diagnosis of colonic torsion. For each
dog, clinical data were recorded from medical records and imaging findings were
recorded from retrieved plain radiographs and positive contrast radiographs in
which barium enema was performed. Fourteen dogs met inclusion criteria. Of these,
nine dogs had colonic torsion confirmed at surgery, with five dogs having
surgical confirmation of colonic congestion or mesenteric torsion. Radiographic
findings included segmental distention of the colon (14/14), focal narrowing of
the colon (11/14), displacement of cecum (11/14), displacement of descending
colon (14/14), and mild to no small intestinal distention (14/14). In cases where
barium enema was performed, focal narrowing of the colon and longitudinal
striations that course in a helical pattern were identified, termed the "torsion
sign." Vomiting was the most common clinical sign observed (12/14), followed by
abdominal pain in a small majority of cases (8/14). Severe abdominal pain and
hypovolemic shock were uncommon in the patients reported (3/14). Colonic torsion
should be considered as a differential diagnosis for dogs with radiographic
segmental colonic distention with displacement of the descending colon and cecum.
Barium enema is recommended for more definitive diagnosis.
PMID- 29363215
TI - Anaphylaxis to packaged foods in Australasia.
AB - AIMS: To examine reports of anaphylaxis in Australasia from consumption of
packaged food products with or without precautionary allergen labelling (PAL),
where the known allergen triggers were not a listed ingredient. METHODS: A
questionnaire was sent to all members of the Australasian Society of Clinical
Immunology and Allergy (n = 548). Participants were asked to complete a survey
reporting whether they have had seen any patients over the last 3 months
reporting anaphylaxis following ingestion of a packaged food where the suspected
food allergen was not a listed ingredient. RESULTS: Of the n = 548 members
approached, n = 198 responded (response rate 36.1%).There were 14 reports of
anaphylaxis to packaged foods (where the suspected allergen was not a listed
ingredient), which met the case definition from a total of 198 respondents over
the 9-month period. Of those reactions, 50.0% (confidence interval 95% 21-78)
were reported from foods that did not have a PAL statement, and 50.0% (confidence
interval 95% 21-78) were due to peanuts. CONCLUSION: Anaphylaxis to undeclared
allergens was not rare and did not appear to depend on whether the product was
labelled with precautionary advice. There is currently no reliable labelling
system that can inform food-allergic consumers of safer food choices.
Improvements in the regulation of food labelling with PAL are required.
PMID- 29363216
TI - Diagnostic yield of a targeted gene panel in primary ciliary dyskinesia patients.
AB - We aimed to determine the diagnostic yield of a targeted-exome panel in a cohort
of 74 Dutch primary ciliary dyskinesia (PCD) patients. The panel consisted of 26
PCD-related and 284 candidate genes. To prioritize PCD candidate genes, we
investigated the transcriptome of human airway cells of 12 healthy volunteers
during in vitro ciliogenesis and hypothesized that PCD-related genes show
significant upregulation. We compared gene expression in epithelial precursor
cells grown as collagen monolayer and ciliated cells grown in suspension by RNA
sequencing. All genes reported as PCD causative, except NME8, showed significant
upregulation during in vitro ciliogenesis. We observed 67.6% diagnostic yield
when testing the targeted-exome panel in our cohort. There was relatively high
percentage of DNAI and HYDIN mutations compared to other countries. The latter
may be due to our solution for the problem of the confounding HYDIN2 pseudogene.
Candidate genes included two recently published PCD-related genes DNAJB13 and
PIH1D3; identification of the latter was a direct result of this study. In
conclusion, we demonstrate 67.6% diagnostic yield by targeted exome sequencing in
a Dutch PCD population and present a highly sensitive and moderately specific
approach for identification of PCD-related genes, based on significant
upregulation during in vitro ciliogenesis.
PMID- 29363217
TI - Predicting drug efficacy in chronic low back pain by quantitative sensory tests.
AB - BACKGROUND: Drugs are prescribed for chronic low back pain without knowing in
advance whether a patient will respond to them or not. Quantitative sensory tests
(QST) can discriminate patients according to sensory phenotype, possibly
reflecting underlying mechanisms of pain processing. QST may therefore be a
screening tool to identify potential responders to a certain drug. The aim of
this study was to investigate whether QST can predict analgesic effects of
oxycodone, imipramine and clobazam in chronic low back pain. METHODS: Oxycodone
15 mg (n = 50), imipramine 75 mg (n = 50) and clobazam 20 mg (n = 49) were
compared to active placebo tolterodine 1 mg in a randomized, double-blinded,
crossover fashion. Electrical, pressure and thermal QST were performed at
baseline and after 1 and 2 h. Pain intensity was assessed on a 0-10 numeric
rating scale every 30 min for up to 2 h. The ability of baseline QST to predict
pain reduction after 2 h was analysed using linear mixed models. Genetic variants
of drug-metabolizing enzymes and genes affecting pain sensitivity were examined
as covariables. RESULTS: No predictor of analgesic effect was found for oxycodone
and clobazam. Thermal QST was associated with analgesic effect of imipramine:
patients more sensitive to heat or cold were more likely to experience an effect
of imipramine. Pharmacogenetic variants and pain-related candidate genes were not
associated with drug efficacy. CONCLUSIONS: Thermal QST have the potential to
predict imipramine effect in chronic low back pain. Oxycodone and clobazam
effects could not be predicted by any of the selected QST or genetic variants.
SIGNIFICANCE: Predicting drug efficacy in chronic low back pain remains
difficult. There is some evidence that patients more sensitive to heat and cold
pain respond better to imipramine.
PMID- 29363218
TI - Carers' preferences for the delivery of therapy services for people with
disability in rural Australia: evidence from a discrete choice experiment.
AB - BACKGROUND: The implementation of the Australian National Disability Insurance
Scheme is expected to generate a responsive, person-centred system that will
empower people with disability to choose the services and support they receive.
However, little attention has been paid to examine how users of the National
Disability Insurance Scheme will choose and spend their individual budgets. This
study aimed to determine quantitatively the relative importance that carers of
people with a disability living in rural Australia place on different therapy
service delivery characteristics. METHODS: A stated preference discrete choice
experiment was incorporated into a survey of carers of people with disability
living in rural Australia. Carers chose between therapy delivery services
differing in attributes such as travel time to receive therapy, sector providing
the service (i.e. Government, not-for-profit and private), out-of-pocket costs,
person who delivers the therapy (therapist or other staff) and waiting time.
RESULTS: A total of 133 carers completed the discrete choice experiment. The
majority of respondents cared for a child with a disability (84%); the average
age of the person they cared for was 17 years (SD 14.25). Participants expressed
strong preferences for a short waiting time (0-3 months) to receive therapy
services; services delivered by a therapist, no out-of-pocket cost and travelling
up to 4 h to receive a therapy session (P < 0.05). Sector providing the service
was not statistically significant. CONCLUSION: Carers of people with a disability
in rural Australia exhibited strongest preferences for short waiting times (0-3
months). Therapy services that are delivered by therapy assistants or support
workers will require careful introduction to achieve uptake and acceptability.
PMID- 29363219
TI - Microscopic abnormality classification of cardiac murmurs using ANFIS and HMM.
AB - Auscultation of heart dispenses identification of the cardiac valves. An
electronic stethoscope is used for the acquisition of heart murmurs that is
further classified into normal or abnormal murmurs. The process of heart sound
segmentation involves discrete wavelet transform to obtain individual components
of the heart signal and its separation into systole and diastole intervals. This
research presents a novel scheme to develop a semi-automatic cardiac valve
disorder diagnosis system. Accordingly, features are extracted using wavelet
transform and spectral analysis of input signals. The proposed classification
scheme is the fusion of adaptive-neuro fuzzy inference system (ANFIS) and HMM.
Both classifiers are trained using the extracted features to correctly identify
normal and abnormal heart murmurs. Experimental results thus achieved exhibit
that proposed system furnishes promising classification accuracy with excellent
specificity and sensitivity. However, the proposed system has fewer
classification errors, fewer computations, and lower dimensional feature set to
build an intelligent system for detection and classification of heart murmurs.
PMID- 29363221
TI - Pretreatment with magnesium sulfate attenuates white matter damage by preventing
cell death of developing oligodendrocytes.
AB - AIM: Antenatal maternal administration of magnesium sulfate (MgSO4 ) reduces
cerebral palsy in preterm infants. However, it remains controversial as to
whether it also reduces occurrence of white matter damage, or periventricular
leukomalacia. We assessed the effect of MgSO4 against white matter damage induced
by hypoxic-ischemic insult using a neonatal rat model and culture of
premyelinating oligodendrocytes (pre-OL). METHODS: Rat pups at postnatal day (P)
6 were administered either MgSO4 or vehicle intraperitoneally before hypoxic
ischemic insult (unilateral ligation of the carotid artery followed by 6% oxygen
for 1 h). The population of oligodendrocyte (OL) markers and CD-68-positive
microglia at P11, and TdT-mediated biotin-16-dUTP nick-end labeling (TUNEL)
positive cells at P8 were evaluated in pericallosal white matter. Primary
cultures of mouse pre-OL were subjected to oxygen glucose deprivation condition,
and the lactate dehydrogenase release from culture cells was evaluated to assess
cell viability. RESULTS: Pretreatment with MgSO4 attenuated the loss of OL
markers, such as myelin basic protein and Olig2, in ipsilateral pericallosal
white matter and decreased the number of CD-68-positive microglia and TUNEL
positive cells in vivo. Pretreatment with MgSO4 also inhibited lactate
dehydrogenase release from pre-OL induced by oxygen glucose deprivation in vitro.
CONCLUSION: Pretreatment with MgSO4 attenuates white matter damage by preventing
cell death of pre-OL.
PMID- 29363220
TI - Applying genomics in heart transplantation.
AB - While advances in patient care and immunosuppressive pharmacotherapies have
increased the lifespan of heart allograft recipients, there are still significant
comorbidities post-transplantation and 5-year survival rates are still
significant, at approximately 70%. The last decade has seen massive strides in
genomics and other omics fields, including transcriptomics, with many of these
advances now starting to impact heart transplant clinical care. This review
summarizes a number of the key advances in genomics which are relevant for heart
transplant outcomes, and we highlight the translational potential that such
knowledge may bring to patient care within the next decade.
PMID- 29363222
TI - Obliterative portal venopathy: A histopathologic finding associated with chronic
antibody-mediated rejection in pediatric liver allografts.
AB - The significance of post-transplant HLA DSA and chronic AMR in LT is an emerging
field of study. Although OPV has previously been described as a histopathologic
finding in DSA-positive adult LT recipients, it was not included in the recent
Banff criteria for chronic AMR. Our aim was to describe the association between
OPV and chronic AMR in pediatric LT recipients. A retrospective review of 67
liver biopsies performed between November 2014 and April 2016 in 45 pediatric LT
recipients identified four patients with OPV. Clinical status, liver
biochemistry, the presence of DSA, and available non-HLA antibody testing, as
well as histopathologic features of chronic AMR, were assessed. All four patients
with OPV had class II DSA and histopathologic features of chronic AMR based on
the Banff criteria. Two patients were noted to have non-HLA antibodies. Three
patients are undergoing treatment with IVIG but have persistent DSA. Two patients
have graft failure and are awaiting retransplantation. In conclusion, OPV is a
histopathologic finding associated with chronic AMR in pediatric LT recipients.
Further studies are needed to elucidate whether OPV is reversible and/or amenable
to medical therapy.
PMID- 29363223
TI - The allometric scaling of body mass and height in children and adolescents in
five countries.
AB - OBJECTIVE: The purpose a methodology that excludes values outside of the expected
spectrum for age (VOESA) of height and body mass in the definition of power (p)
to construct an international allometric body mass index (AI) for children and
adolescents. METHODS: Datasets of national surveys including individuals (aged 0
19 years) from five countries (Brazil, South Korea, Mexico, England, and the USA)
were selected. The p was defined for each country, age range, and sex after
exclusion of VOESA for height and weight by log-log linear regression, where beta
represented p. The p was also defined for a pool of five countries, international
p (ip) after exclusion of VOESA using a spline modeling technique (5 knots). The
AI was calculated and Pearson's correlation coefficient (r) was calculated to
investigate the correlation between AI and height. RESULTS: Exclusion of VOESA
decreased the difference of p among countries. Exponent p showed values close to
2 in the first years of life, increased to 3-3.5 between 7 and 11 years for girls
and 8 to 12 years for boys, and decreased to close to 2 near the end of growth
(16 years for girls and 19 years for boys). The use of ip for all countries
decreased r values to near zero while BMI had values near 0.4. CONCLUSIONS:
Exclusion of VOESA contributes to a decreased effect of epidemiological context
among countries when calculating the AI. AI calculated using ip is independent of
height in all countries and reflects physiological growth changes for children
and adolescents.
PMID- 29363224
TI - NKX6.1 hypermethylation predicts the outcome of stage II colorectal cancer
patients undergoing chemotherapy.
AB - Colorectal cancer (CRC) is a common malignancy worldwide. CRC patients in the
same stage often present with dramatically different clinical scenarios. Thus,
robust prognostic biomarkers are urgently needed to guide therapies and improve
treatment outcomes. The NKX6.1 gene has been identified as a hypermethylation
marker in cervical cancer, functioning as a metastasis suppressor by regulating
epithelial-mesenchymal transition. Here, we investigated whether hypermethylation
of NKX6.1 might be a prognostic biomarker for CRC. By analyzing the methylation
and expression of NKX6.1 in CRC tissues and CRC cell lines. We quantitatively
examined the NKX6.1 methylation levels in 151 pairs of CRC tissues by using
methylation-specific polymerase chain reaction analysis and found that NKX6.1 was
hypermethylated in 35 of 151 CRC tissues (23%). NKX6.1 gene expression was
inversely correlated with the DNA methylation level in CRC cell lines in vitro.
Then, we analyzed the association of NKX6.1 methylation with clinical
characteristics of these CRC patients. Our data demonstrated that patients with
NKX6.1 methylation presented poorer 5-year overall survival (P = 0.0167) and
disease-free survival (P = 0.0083) than patients without NKX6.1 methylation after
receiving adjuvant chemotherapy. Most importantly, these data revealed that stage
II CRC patients with NKX6.1 methylation had poorer 5-year disease-free survival
(P = 0.0322) than patients without NKX6.1 methylation after adjuvant
chemotherapy. Our results demonstrate that methylation of NKX6.1 is a novel
prognostic biomarker in CRC and that it may be used as a predictor of the
response to chemotherapy.
PMID- 29363225
TI - Uptake of adjuvant breast cancer treatments recommended by multi-disciplinary
meetings.
AB - BACKGROUND: Adjuvant therapy for breast cancer is routinely discussed and
recommended in multi-disciplinary meetings (MDMs). Current literature explores
how treatments received by patients differ from national guidelines; however, it
does not explore whether treatment is concordant with MDMs. This study provides
an Australian perspective on the uptake of MDM recommendations and reasons for
non-concordance. METHODS: A retrospective cohort study of patients with breast
cancer presented at The Royal Melbourne Hospital MDM in 2010 and 2014 to
investigate the concordance between MDM recommendations and treatment received.
RESULTS: The study group comprised 441 patients (161 from 2010 and 280 from
2014). A total of 375 patients were included in the analyses. Overall, 82% of
patients had perfect concordance between recommended and received treatment for
all modes of adjuvant therapy. Concordance to endocrine therapy was higher for
invasive cancers than ductal carcinoma in situ (97% versus 81%, P < 0.0001).
Concordance to radiotherapy was high and did not differ according to type of
cancer or surgery (ranging from 88 to 91%). Concordance to chemotherapy
recommendations was high overall (92%) and did not vary with nodal status. Women
aged over 65 years were least likely to be recommended for adjuvant therapy but
most likely to concordant with the recommendation. CONCLUSIONS: Uptake of MDM
recommended treatments is high. There is a minority of patients in whom MDM
recommendations are not followed, highlighting that there are extra steps between
recommendations at an MDM and decisions with patients. More attention to this
issue is appropriate, and the reasons for non-concordance warrant further study.
PMID- 29363226
TI - Magnetoencephalographic study of event-related fields and cortical oscillatory
changes during cutaneous warmth processing.
AB - Thermoreception is an important cutaneous sense, which plays a role in the
maintenance of our body temperature and in the detection of potential noxious
heat stimulation. In this study, we investigated event-related fields (ERFs) and
neural oscillatory activities, which were modulated by warmth stimulation. We
developed a warmth stimulator that could elicit a warmth sensation, without pain
or tactile sensation, by using a deep-penetrating 980-nm diode laser. The index
finger of each participant (n = 24) was irradiated with the laser warmth
stimulus, and the cortical responses were measured using magnetoencephalography
(MEG). The ERFs and oscillatory responses had late latencies (~1.3 s and 1.0-1.5
s for ERFs and oscillatory responses, respectively), which could be explained by
a slow conduction velocity of warmth-specific C-fibers. Cortical sources of
warmth-related ERFs were seen in the bilateral primary and secondary
somatosensory cortices (SI and SII), posterior part of the anterior cingulate
cortex (pACC), ipsilateral primary motor, and premotor cortex. Thus, we suggested
that SI, SII, and pACC play a role in processing the warmth sensation. Time
frequency analysis demonstrated the suppression of the alpha (8-13 Hz) and beta
(18-23 Hz) band power in the bilateral sensorimotor cortex. We proposed that the
suppressions in alpha and beta band power are involved in the automatic response
to the input of warmth stimulation and sensorimotor interactions. The delta band
power (1-4 Hz) increased in the frontal, temporal, and cingulate cortices. The
power changes in delta band might be related with the attentional processes
during the warmth stimulation.
PMID- 29363228
TI - Discrepancy of width between actual cutaneous lesion and punch biopsy specimen.
PMID- 29363227
TI - Expression of activating natural killer-cell receptors is a hallmark of the
innate-like T-cell neoplasm in peripheral T-cell lymphomas.
AB - Peripheral T- or natural killer (NK)-cell lymphomas are rare and difficult-to
recognize diseases. It remains arduous to distinguish between NK cell- and
cytotoxic T-lymphocyte-derived lymphomas through routine histological evaluation.
To clarify the cells of origin, we focused on NK-cell receptors and examined the
expression using immunohistochemistry in 22 cases with T- and NK-cell neoplasms
comprising angioimmunoblastic T-cell lymphoma, anaplastic lymphoma kinase (ALK)
positive and -negative anaplastic large-cell lymphomas, extranodal NK/T-cell
lymphoma, nasal type, monomorphic epitheliotropic intestinal T-cell lymphoma,
aggressive NK-cell leukemia, and other peripheral T-cell lymphomas. Inhibitory
receptor leukocyte immunoglobulin-like receptor subfamily B member 1 (LILRB1) was
detected in 14 (64%) cases, whereas activating receptors DNAM1, NKp46, and NKG2D
were expressed in 7 (32%), 9 (41%), and 5 (23%) cases, respectively. Although
LILRB1 was detected regardless of the disease entity, the activating NK-cell
receptors were expressed predominantly in TIA-1-positive neoplasms (DNAM1, 49%;
NKp46, 69%; and NKG2D, 38%). In addition, NKp46 and NKG2D were detected only in
NK-cell neoplasms and cytotoxic T-lymphocyte-derived lymphomas including
monomorphic epitheliotropic intestinal T-cell lymphoma. One Epstein-Barr virus
harboring cytotoxic T-lymphocyte-derived lymphoma mimicking extranodal NK/T-cell
lymphoma, nasal type lacked these NK-cell receptors, indicating different cell
origin from NK and innate-like T cells. Furthermore, NKG2D expression showed a
negative impact on survival among the 22 examined cases, which mainly received
the standard chemotherapy regimen (log-rank test, P = .024). We propose that the
presence of activating NK-cell receptors may provide new insights into
understanding peripheral T-cell lymphomas and characterizing them as innate-like
T-cell neoplasm.
PMID- 29363229
TI - Acupuncture inhibition of methamphetamine-induced behaviors, dopamine release and
hyperthermia in the nucleus accumbens: mediation of group II mGluR.
AB - Methamphetamine (METH) increases metabolic neuronal activity in the mesolimbic
dopamine (DA) system and mediates the reinforcing effect. To explore the
underlying mechanism of acupuncture intervention in reducing METH-induced
behaviors, we investigated the effect of acupuncture on locomotor activity,
ultrasonic vocalizations, extracellular DA release in the nucleus accumbens
(NAcs) using fast-scan cyclic voltammetry and alterations of brain temperature
(an indicator of local brain metabolic activity) produced by METH administration.
When acupuncture was applied to HT7, but not TE4, both locomotor activity and 50
kHz ultrasonic vocalizations were suppressed in METH-treated rats. Acupuncture at
HT7 attenuated the enhancement of electrically stimulated DA release in the NAc
of METH-treated rats. Systemic injection of METH produced a sustained increase in
NAc temperature, which was reversed by the DA D1 receptor antagonist SCH 23390 or
acupuncture at HT7. Acupuncture inhibition of METH-induced NAc temperature was
prevented by pre-treatment with a group II metabotropic glutamate receptors
(mGluR2/3) antagonist EGLU into the NAc or mimicked by injection of an mGluR2/3
agonist DCG-IV into the NAc. These results suggest that acupuncture reduces
extracellular DA release and metabolic neuronal activity in the NAc through
activation of mGluR2/3 and suppresses METH-induced affective states and locomotor
behavior.
PMID- 29363230
TI - Self-management for bipolar disorder and the construction of the ethical self.
AB - The promotion of the self-managing capacities of people has become a marker of
contemporary mental health practice, yet self-management remains a largely
uncontested construct in mental health settings. This discourse analysis based
upon the work of Foucault investigates self-management practices for bipolar
disorder and their action upon how a person with bipolar disorder comes to think
of who they are and how they should live. Using Foucault's framework for
exploring the ethical self and transcripts of interviews with people living with
bipolar disorder, this discourse analysis finds that the discursive practices of
self-management for bipolar disorder are prescribing a restricted regime for
living based upon the quintessential neo-liberal subject using practices that are
focused upon managing an unreliable and problematic self. The article concludes
with the proposition that the problem of bipolar disorder (for which self
management is an answer) is less about the object itself and more about how we
construct the nature of the self. A Maori indigenous ontology is used here as an
example of an alternative discursive resource through which people make sense of
the self and which allows ways of thinking about self-management that engage with
ambivalence and ambiguity rather than restriction and regulation.
PMID- 29363231
TI - Wiring taste receptor cells to the central gustatory system.
AB - Taste receptor cells in the tongue are epithelial in nature and turnover
frequently. Taste receptor cell-associated neurons carrying bitter, sweet, or
sour signals never turnover and are hardwired to specific gustatory centers in
the brain. How can ever-changing bitter or sweet receptors find never-changing
neurons that must match the specificity of the signal? This article reviews a
recent paper published in Nature (Lee, MacPherson, Parada, Zuker, & Ryba, ,
548:330-333) that identified two molecules belonging to the semaphorin axon
guidance family of molecules (SEMA3A and SEMA7A) that help maintain the "labeled
line principle" between peripheral bitter or sweet receptors and their respective
central projection area in the gustatory center.
PMID- 29363232
TI - Relevant obstetric factors associated with fetal heart rate monitoring for
cerebral palsy in pregnant women with hypertensive disorder of pregnancy.
AB - AIM: The study identifies the relevant obstetric factors associated with fetal
heart rate (FHR) monitoring for cerebral palsy (CP) in pregnant women with
hypertensive disorders of pregnancy (HDP). METHODS: The subjects were neonates
with CP (birth weight >= 2000 g, gestational age >= 33 weeks) who were approved
for compensation for CP by the Operating Organization of the Japan Obstetric
Compensation System between 2009 and 2012. After selection of women with
antepartum HDP, obstetric characteristics associated with FHR monitoring were
analyzed. RESULTS: The subjects included 33 neonates with CP whose mothers
suffered from HDP during pregnancy and 450 neonates whose mothers did not develop
HDP. The rates of placental abruption (48.5% vs. 20%; P < 0.001) and light-for
gestational age (12.1% vs. 2.2%; P = 0.011) were significantly higher in women
with HDP than in those without HDP. Regarding FHR pattern analysis, fetal
bradycardia was observed on admission to hospital in 94% of women with placental
abruption. In women without placental abruption, FHR was likely to indicate a
favorable pattern on admission, but became worse with the progression of labor.
CONCLUSION: This is first study to clinically demonstrate FHR patterns in CP
cases in association with HDP. Although antepartum CP is undetectable, pregnant
women with HDP should be placed under strict observation and management to
minimize fetal hypoxic conditions during labor.
PMID- 29363233
TI - Serum microRNA signatures and metabolomics have high diagnostic value in
colorectal cancer using two novel methods.
AB - Recently, many new diagnostic biomarkers have been developed for colorectal
cancer. We chose 2 methods with high diagnostic efficiency, the detection of
serum microRNA and metabolomics based on gas chromatography/mass spectrometry
(GC/MS), and aimed to establish appropriate models. We reviewed the diagnostic
value of all microRNA identified by previous diagnostic tests. We selected
appropriate microRNA to validate their diagnostic efficiency, and determined the
optimal combination. We included 85 patients with colorectal cancer (CRC) and 78
healthy controls (HC) and detected the expression of the microRNA. GC/MS analysis
was conducted, and we used 3 multivariate statistical methods to establish
diagnostic models. The concentrations of carcinoembryonic antigen (CEA) and
carbohydrate antigen 19-9 (CA19-9) were detected for comparison with the novel
models. Ultimately, 62 published studies and 63 microRNA were included in this
review. MiR-21, miR-29a, miR-92a, miR-125b and miR-223 were selected to further
validate their diagnostic value. The serum levels of the 5 microRNA in CRC
patients were significantly higher than those in the HC. The combination of miR
21, miR-29a, miR-92a and miR-125b had the highest area under the curve (AUC) at
0.952, with a sensitivity of 84.7% and a specificity of 98.7%. The GC/MS analysis
exhibited an excellent diagnostic value and the AUC reached 1.0. With regard to
traditional biomarkers, the AUC of CEA and CA19-9 were 0.808 and 0.705,
respectively. The application prospects are good for microRNA and metabolomics as
new diagnostic methods because of their high diagnostic value compared with
traditional biomarkers.
PMID- 29363234
TI - Stepwise Hydride Transfer in a Biological System: Insights into the Reaction
Mechanism of the Light-Dependent Protochlorophyllide Oxidoreductase.
AB - Hydride transfer plays a crucial role in a wide range of biological systems.
However, its mode of action (concerted or stepwise) is still under debate. Light
dependent NADPH: protochlorophyllide oxidoreductase (POR) catalyzes the
stereospecific trans addition of a hydride anion and a proton across the C17 -C18
double bond of protochlorophyllide. Time-resolved absorption and emission
spectroscopy were used to investigate the hydride transfer mechanism in POR.
Apart from excited states of protochlorophyllide, three discrete intermediates
were resolved, consistent with a stepwise mechanism that involves an initial
electron transfer from NADPH. A subsequent proton-coupled electron transfer
followed by a proton transfer yield distinct different intermediates for wild
type and the C226S variant, that is, initial hydride attaches to either C17 or
C18 , but ends in the same chlorophyllide stereoisomer. This work provides the
first evidence of a stepwise hydride transfer in a biological system.
PMID- 29363236
TI - The [U2 F12 ]2- Anion of Sr[U2 F12 ].
AB - The D2h -symmetric dinuclear complex anion [U2 F12 ]2- of pastel green Sr[U2 F12
] shows a hitherto unknown structural feature: The coordination polyhedra around
the U atoms are edge-linked monocapped trigonal prisms, the UV atoms are
therefore seven-coordinated. This leads to a U-U distance of 3.8913(6) A. A weak
UV -UV interaction is observed for the dinuclear [U2 F12 ]2- complex and
described by the antiferromagnetic exchange Jexp of circa -29.9 cm-1 . The
crystalline compound can be easily prepared from SrF2 and beta-UF5 in anhydrous
hydrogen fluoride (aHF) at room temperature. It was studied by means of single
crystal X-ray diffraction, IR, Raman and UV/VIS spectroscopy, magnetic
measurements, and by molecular as well as by solid-state quantum chemical
calculations.
PMID- 29363237
TI - Liver resection for hepatocellular carcinoma: personal experiences in a series of
1330 consecutive cases in China.
AB - BACKGROUND: Liver resection to treat early stage hepatocellular carcinoma (HCC)
is widely practised but surgery for intermediate and advanced stages of HCC is
not included in the treatment algorithm of the Barcelona Clinic Liver Cancer,
which has been adopted in official guidelines; nevertheless, resection beyond
early stages is frequently undertaken and documented. METHODS: Between January
2001 and December 2014, all the HCC patients who underwent liver resection for
the first time by Dr Yiqun Yan and his surgical team were enrolled. Clinical data
were prospectively collected as well as the follow-up results. RESULTS: A total
of 1330 consecutive patients were included in the study, of which 452 (34.0%)
suffered complications after liver resection with a mortality of 0.7%. The
overall survival rates at 1-, 3- and 5-year were 91.2, 63.3 and 36.9%,
respectively, while the disease-free survival rates at 1-, 3- and 5-year were
67.7, 33.7 and 13.8%, respectively. Cases were classified into Barcelona Clinic
Liver Cancer stage A (548 patients, 41.2%), stage B (613 patients, 46.1%) and
stage C (169 patients, 12.7%). The overall survival time at 5-year were 49.8,
32.8 and 10.6%, respectively, in patients with stage A, B and C tumours.
CONCLUSION: Liver resection to treat HCC is safe in patients with preserved liver
function and good functional status. Liver resection should be the first line
therapy in patients with single (regardless of tumour size) and resectable 2-3
tumours as well as vascular tumour thrombus if the tumour thrombus does not
invade the major trunks.
PMID- 29363235
TI - E7777 in Japanese patients with relapsed/refractory peripheral and cutaneous T
cell lymphoma: A phase I study.
AB - E7777, a recombinant cytotoxic fusion protein comprising diphtheria toxin
fragments A and B and human interleukin-2, shares an amino acid sequence with
denileukin diftitox but has improved purity and an increased percentage of active
protein monomer species. A phase I study was carried out to evaluate the
tolerability, safety, pharmacokinetics, and antitumor activity of E7777 in
Japanese patients with relapsed/refractory peripheral and cutaneous T-cell
lymphoma. E7777 (6, 12, and expanded 9 MUg/kg/day) was given to 13 patients by
i.v. infusion on five consecutive days per 21-day cycle. Dose-limiting
toxicities, including increased alanine aminotransferase, hyponatremia (n = 2),
hypokalemia, lymphopenia, fatigue, hypoalbuminemia, rash, and increased lipase (n
= 1), were observed in all three patients in the 12 MUg/kg/day cohort, whereas
two of six patients in the 9 MUg/kg/day cohort showed decreased appetite or
fatigue. The maximum tolerated and recommended dose of E7777 was 9 MUg/kg/day for
five consecutive days per 21-day cycle. The objective response rate was 38%
(5/13) and did not appear to depend on tumor expression of CD25. E7777 was well
tolerated, assuming careful management of adverse events during treatment, and
preliminary but clinically meaningful antitumor activity was observed. Subsequent
studies of E7777 for T-cell lymphomas are warranted. This study was registered
with www.ClinicalTrials.gov (NCT1401530).
PMID- 29363238
TI - Direct Room-Temperature Conversion of Methane into Protonated Formaldehyde: The
Gas-Phase Chemistry of Mercury among the Zinc Triad Oxide Cations.
AB - In thermal reactions of methane with diatomic metal oxides [MO].+ of the zinc
triad (M=Zn, Cd, Hg), protonated formaldehyde [CH2 OH]+ is generated as the major
product only for the [HgO].+ /CH4 couple. Mechanistic insight is provided by high
level quantum-chemical calculations, and relativistic effects are suggested to be
the root cause for the unexpected thermal production of [CH2 OH]+ from [HgO].+
/CH4 .
PMID- 29363239
TI - Nucleophile Promiscuity of Engineered Class II Pyruvate Aldolase YfaU from E.
Coli.
AB - Pyruvate-dependent aldolases exhibit a stringent selectivity for pyruvate,
limiting application of their synthetic potential, which is a drawback shared
with other existing aldolases. Structure-guided rational protein engineering
rendered a 2-keto-3-deoxy-l-rhamnonate aldolase variant, fused with a maltose
binding protein (MBP-YfaU W23V/L216A), capable of efficiently converting larger
pyruvate analogues, for example, those with linear and branched aliphatic chains,
in aldol addition reactions. Combination of these nucleophiles with N-Cbz
alaninal (Cbz=benzyloxycarbonyl) and N-Cbz-prolinal electrophiles gave access to
chiral building blocks, for example, derivatives of (2S,3S,4R)-4-amino-3-hydroxy
2-methylpentanoic acid (68 %, d.r. 90:10) and the enantiomer of dolaproine (33 %,
d.r. 94:6) as well as a collection of unprecedented alpha-amino acid derivatives
of the proline and pyrrolizidine type. Conversions varied between 6-93 % and
diastereomeric ratios from 50:50 to 95:5 depending on the nucleophilic and
electrophilic components.
PMID- 29363240
TI - Magnesium attenuates endothelin-1-induced vasoreactivity and enhances
vasodilatation in mouse pulmonary arteries: Modulation by chronic hypoxic
pulmonary hypertension.
AB - NEW FINDINGS: What is the central question of this study? The central goal of
this study was to elucidate the role of magnesium in the regulation of pulmonary
vascular reactivity in relationship to hypoxic pulmonary hypertension. What is
the main finding and its importance? We found that magnesium is essential for
normal vasoreactivity of the pulmonary artery. Increasing the magnesium
concentration attenuates vasoconstriction and improves vasodilatation via release
of nitric oxide. Pulmonary hypertension is associated with endothelial
dysfunction resulting in the suppression of magnesium modulation of
vasodilatation. These results provide evidence that magnesium is important for
the modulation of pulmonary vascular function. ABSTRACT: Pulmonary hypertension
(PH) is characterized by enhanced vasoreactivity and sustained pulmonary
vasoconstriction, arising from aberrant Ca2+ homeostasis in pulmonary arterial
(PA) smooth muscle cells. In addition to Ca2+ , magnesium, the most abundant
intracellular divalent cation, also plays crucial roles in many cellular
processes that regulate cardiovascular function. Recent findings suggest that
magnesium regulates vascular functions by altering the vascular responses to
vasodilator and vasoactive agonists and affects endothelial function by
modulating endothelium-dependent vasodilatation in hypertension. Administration
of magnesium also decreased pulmonary arterial pressure and improved cardiac
output in animal models of PH. However, the role of magnesium in the regulation
of pulmonary vascular function related to PH has not been studied. In this study,
we examined the effects of magnesium on endothelin-1 (ET-1)-induced
vasoconstriction, ACh-induced vasodilatation and the generation of NO in PAs of
normoxic mice and chronic hypoxia (CH)-treated mice. Our data showed that removal
of extracellular magnesium suppressed vasoreactivity of PAs to both ET-1 and ACh.
A high concentration of magnesium (4.8 mm) inhibited ET-1-induced
vasoconstriction in endothelium-intact or endothelium-disrupted PAs of normoxic
and CH-treated mice, and enhanced the ACh-induced production of NO in PAs of
normoxic mice. Moreover, magnesium enhanced ACh-induced vasodilatation in PAs of
normoxic mice, and the enhancement was completely abolished after exposure to CH.
Hence, in this study we demonstrated that increasing the magnesium concentration
can attenuate the ET-1-induced contractile response and improve vasodilatation
via release of NO from the endothelium. We also demonstrated that chronic
exposure to hypoxia can cause endothelial dysfunction resulting in suppression of
the magnesium-dependent modulation of vasodilatation.
PMID- 29363241
TI - Porphyrin-Based Symmetric Redox-Flow Batteries towards Cold-Climate Energy
Storage.
AB - Electrochemical energy storage with redox-flow batteries (RFBs) under subzero
temperature is of great significance for the use of renewable energy in cold
regions. However, RFBs are generally used above 10 degrees C. Herein we present
non-aqueous organic RFBs based on 5,10,15,20-tetraphenylporphyrin (H2 TPP) as a
bipolar redox-active material (anode: [H2 TPP]2- /H2 TPP, cathode: H2 TPP/[H2
TPP]2+ ) and a Y-zeolite-poly(vinylidene fluoride) (Y-PVDF) ion-selective
membrane with high ionic conductivity as a separator. The constructed RFBs
exhibit a high volumetric capacity of 8.72 Ah L-1 with a high voltage of 2.83 V
and excellent cycling stability (capacity retention exceeding 99.98 % per cycle)
in the temperature range between 20 and -40 degrees C. Our study highlights
principles for the design of RFBs that operate at low temperatures, thus offering
a promising approach to electrochemical energy storage under cold-climate
conditions.
PMID- 29363243
TI - A cohort study assessing the impact of small volume blood tubes on diagnostic
test quality and iatrogenic blood loss in a cohort of adult haematology patients.
AB - BACKGROUND/AIM: To estimate the reduction in blood volume loss and impact on
diagnostic test quality associated with introduction of small volume blood tubes
in a cohort of haematology inpatients compared to a historical comparator group.
METHODS: Prospective cohort study of haematology inpatients admitted to a
tertiary referral hospital in Adelaide. Small volume blood tubes were used in an
intervention cohort admitted between 2012 and 2013 and compared to a control
cohort admitted between 2009 and 2010 where standard volume blood tubes had been
used. The diagnostic test quality, specimen integrity and total reduction in
blood loss associated with small volume blood tubes were estimated. RESULTS:
Small volume blood tubes demonstrated acceptable collinearity on commonly assayed
haematological and biochemical parameters. Small volume tubes were associated
with a 42% reduction in blood volume loss equating to a saving of 8.5 mL per
patient per day or 180 mL of blood loss over a 3-week admission. Small volume
blood tubes were associated with a slight but significantly increased rate of
fibrin contamination of ethylenediaminetetraacetic acid samples (0.2-0.5% of
specimens). CONCLUSION: Small volume blood tubes are associated with a
substantial reduction in total blood volume collected per day in haematology
inpatients. They have similar diagnostic validity and sample integrity to that of
standard volume containers.
PMID- 29363242
TI - The outcome of patients with severe and severe-complicated Clostridium difficile
infection treated with tigecycline combination therapy: a retrospective
observational study.
AB - BACKGROUND: Tigecycline is a third-line therapy for severe Clostridium difficile
infection (CDI) in Australasian guidelines. Differences in strain types make it
difficult to extrapolate international tigecycline efficacy data with combination
or monotherapy to Australian practice, where experience is limited. AIM: To
evaluate the efficacy and adverse effects associated with tigecycline combination
therapy for severe and severe-complicated CDI in an Australian healthcare
setting. METHODS: This was a retrospective observational study at a metropolitan
university-affiliated hospital. All patients between February 2013 and October
2016 treated with adjunctive intravenous tigecycline for >48 h for severe or
severe-complicated CDI were included. Tigecycline was given in addition to oral
vancomycin +/- intravenous metronidazole. The primary outcome was all-cause
mortality at 30 days from start of tigecycline combination therapy. Secondary
outcomes included clinical cure, colectomy, adverse events and recurrence rates.
RESULTS: Thirteen patients with median age of 61 years had severe (n = 9) or
severe-complicated (n = 4) CDI at tigecycline commencement. In 92% of patients,
tigecycline started within 48 h after in-hospital CDI treatment, for median
duration of 9 days. All-cause mortality at 30 days was 8% with no mortality in
severe CDI and 25% (1/4) in patients with severe-complicated fulminant CDI,
comparing favourably with historical rates of 9-38% and 30-80% in similar
respective groups. Clinical cure was achieved in 77% of cases. There were no
colectomies and one attributable tigecycline adverse reaction. CONCLUSIONS:
Tigecycline appears safe and effective as a part of combination therapy in severe
CDI, and may be given earlier and for shorter durations than in current
guidelines.
PMID- 29363244
TI - Stimuli-Responsive Dual-Color Photon Upconversion: A Singlet-to-Triplet
Absorption Sensitizer in a Soft Luminescent Cyclophane.
AB - Reversible emission color switching of triplet-triplet annihilation-based photon
upconversion (TTA-UC) is achieved by employing an Os complex sensitizer with
singlet-to-triplet (S-T) absorption and an asymmetric luminescent cyclophane with
switchable emission characteristics. The cyclophane contains the 9,10
bis(phenylethynyl)anthracene unit as an emitter and can assemble into two
different structures, a stable crystalline phase and a metastable supercooled
nematic phase. The two structures exhibit green and yellow fluorescence,
respectively, and can be accessed by distinct heating/cooling sequences. The
hybridization of the cyclophane with the Os complex allows near-infrared-to
visible TTA-UC. The large anti-Stokes shift is possible by the direct S-T
excitation, which dispenses with the use of a conventional sequence of singlet
singlet absorption and intersystem crossing. The TTA-UC emission color is
successfully switched between green and yellow by thermal stimulation.
PMID- 29363245
TI - The use of natural ingredients in innovative Korean cosmeceuticals.
AB - BACKGROUND: The cosmeceutical industry is an ever-growing and in demand market,
especially in Asia. Korea has been on the forefront of creating the newest
generation and most innovative cosmeceuticals products including ingredients such
as snail secretions, starfish powder, botanical extracts, green tea, and red
ginseng. Given their increasing prevalence in the cosmeceutical industry,
scientists have been conducting investigations into these extracts and their
properties. OBJECTIVE: To summarize the current literature surrounding multiple
natural ingredients found in Korean cosmeceutical products. METHODS: A review of
the literature surrounding natural ingredients found in Korean cosmeceuticals was
conducted using PubMed (U.S. National Library of Medicine). RESULTS: Multiple
natural extracts have been found to have antiaging, antitumor, and
antimelanogenic effects making them useful additives in current cosmeceutical
products. CONCLUSION: With the public's increasing awareness of cosmeceutical
products, it is important for physicians to understand the properties of these
extracts in order to inform patients correctly and ensure patient safety.
PMID- 29363246
TI - Full-thickness neorectal prolapse after transanal transabdominal
proctosigmoidectomy for low rectal cancer: a cohort study.
AB - AIM: Transanal transabdominal proctosigmoidectomy (TATA) with a coloanal
anastomosis is an alternative to abdominoperineal excision of the rectum (APR)
for low rectal cancer. Neorectal prolapse is an unusual complication following
TATA. This study aimed to determine the incidence of neorectal prolapse after
TATA for low rectal cancer. METHOD: This cohort study was conducted in a tertiary
referral colorectal centre. From a prospectively maintained database including
1093 patients treated for rectal cancer between 1984 and 2016 we identified those
who underwent sphincter-preserving surgery. Data regarding the incidence,
management and outcomes of neorectal prolapse were analysed. RESULTS: A total of
409 patients were identified, of whom 185 underwent open surgery and 224 a
minimally invasive surgical procedure (MIS). All received neoadjuvant
chemoradiation. Neorectal prolapse occurred in 4.6% (n = 19) with an incidence of
2.2% in the open and 6.7% in the MIS group (P = 0.023), with no difference
between MIS techniques. There was one recurrence of neorectal prolapse (5.9%).
The incidence of neorectal prolapse was higher in women (9.5%) than men (2.5%) (P
= 0.011). There were no differences in local recurrence rates between the
neorectal prolapse group (5.3%) and our population without prolapse (3.4%) (P =
0.79). CONCLUSION: Neorectal prolapse is a rare occurrence following minimally
invasive sphincter-saving surgical procedures performed for rectal cancer. It
appears to be more frequent in patients who undergo MIS procedures and in women.
PMID- 29363247
TI - A Comparative Analysis of the CO-Reducing Activities of MoFe Proteins Containing
Mo- and V-Nitrogenase Cofactors.
AB - The Mo and V nitrogenases are structurally homologous yet catalytically distinct
in their abilities to reduce CO to hydrocarbons. Here we report a comparative
analysis of the CO-reducing activities of the Mo- and V-nitrogenase cofactors
(i.e., the M and V clusters) upon insertion of the respective cofactor into the
same, cofactor-deficient MoFe protein scaffold. Our data reveal a combined
contribution from the protein environment and cofactor properties to the
reactivity of nitrogenase toward CO, thus laying a foundation for further
mechanistic investigation of the enzymatic CO reduction, while suggesting the
potential of targeting both the protein scaffold and the cofactor species for
nitrogenase-based applications in the future.
PMID- 29363248
TI - A High-Fat Diet Rich in Saturated and Mono-Unsaturated Fatty Acids Induces
Disturbance of Thyroid Lipid Profile and Hypothyroxinemia in Male Rats.
AB - SCOPE: Increasing evidence has shown that the disturbance of lipid metabolism
might make a possible contribution to the pathogenesis of organ dysfunction,
including thyroid, yet it is unknown whether excess intake of dietary fat
interferes in thyroid lipid profile. We investigate the effects of dietary fat
toward the thyroid lipid profile and thyroid function. METHODS AND RESULTS: Male
Sprague-Dawley rats are fed with high-fat diet (HFD) rich in saturated and mono
unsaturated fatty acids or chow diet for 18 weeks. LC-MS analysis of thyroid
shows that total free fatty acids (FFAs) content is significantly higher in HFD
rats. The concentration of highly saturated triglycerides significantly increases
in HFD rats, whereas the polyunsaturated triglyceride significantly decreases,
indicating the decrease in unsaturation in the HFD group. Significant increase of
lysophosphatidylcholines (LPC) is observed in HFD rats. Thyroid function tests
show hypothyroxinemia (total thyroxine [TT4 ] and free thyroxine [FT4 ]) in HFD
rats, and elevated thyrotropin (TSH) concentration. The HFD rats also show
decreased thyroid uptake of iodine. CONCLUSION: Excess intake of dietary fat
induces disturbance of thyroid lipid profile and hypothyroxinemia, indicating
thyroid dysfunction. We speculate that it may provide a new prospect in
understanding the pathogenesis of hypothyroidism.
PMID- 29363249
TI - Pressure dressings in mastoid and middle ear surgery: are they necessary? A
retrospective review of patient outcomes.
AB - BACKGROUND: The application of mastoid pressure dressings following mastoid and
middle ear surgery is widely practised to reduce the risk of haematoma and
seroma. There are a number of minor morbidities associated with use of the
dressings, as well as a financial cost associated with an overnight stay in
hospital or a return appointment for removal of the dressings. The benefit of
having these dressings in situ overnight is questionable. METHODS: A
retrospective review of 133 patients who had their mastoid dressing removed 2 h
after their procedure was undertaken at our Hospital. The patient records were
scanned for procedure-related morbidities, and perioperative data were analysed.
RESULTS: No haematomas or seromas occurred in any of the 133 patients studied.
Minor morbidities associated with prolonged use of mastoid pressure dressings
were avoided. CONCLUSION: Removal of mastoid pressure dressings 2 h following ear
surgery is safe and effective. Furthermore, a mastoid dressing should not be a
factor in the decision as to whether to treat a patient as a day case or
overnight admission.
PMID- 29363251
TI - Structural and luminescence properties of Sm3+ -doped bismuth phosphate glass for
orange-red photonic applications.
AB - In the present study, the effect of bismuth oxide (Bi2 O3 ) content on the
structural and optical properties of 0.5Sm3+ -doped phosphate glass and the
effect of concentration on structural and optical properties of Sm3+ -doped
bismuth phosphate (BiP) glass were studied. Structural characterization was
accomplished using X-ray diffraction (XRD), scanning electron microscopy (SEM)
with energy dispersive spectroscopy (EDS), Fourier transform infrared (FTIR)
spectroscopy and 31 P nuclear magnetic resonance (NMR) spectroscopy. Optical
properties were studied using absorption, photoluminescence and decay
measurements. Using optical absorption spectra, Judd-Ofelt parameters were
derived to determine the local structure and bonding in the vicinity of Sm3+
ions. The emission spectra of Sm3+ -doped BiP glass showed two intense emission
bands, 4 G5/2 ->6 H7/2 (orange) and 4 G5/2 ->6 H9/2 (red) for which the
stimulated emission cross-sections (sigmae ) and branching ratios (beta) were
found to be higher. The quantum efficiencies were also calculated from decay
measurements recorded for the 4 G5/2 level of Sm3+ ions. The suitable combination
of Bi2 O3 (10 mol%) and Sm3+ (0.5 mol%) ions in these glasses acted as an
efficient lasing material and might be suitable for the development of visible
orange-red photonic materials.
PMID- 29363252
TI - Fine Tuning of Antibiotic Activity by a Tailoring Hydroxylase in a Trans-AT
Polyketide Synthase Pathway.
AB - The addition or removal of hydroxy groups modulates the activity of many
pharmacologically active biomolecules. It can be integral to the basic
biosynthetic factory or result from associated tailoring steps. For the anti-MRSA
antibiotic mupirocin, removal of a C8-hydroxy group late in the biosynthetic
pathway gives the active pseudomonic acid A. An extra hydroxylation, at C4,
occurs in the related but more potent antibiotic thiomarinol A. We report here in
vivo and in vitro studies that show that the putative non-haem-iron(II)/alpha
ketoglutaratedependent dioxygenase TmuB, from the thiomarinol cluster, 4
hydroxylates various pseudomonic acids whereas C8-OH, and other substituents
around the tetrahydropyran ring, block enzyme action but not substrate binding.
Molecular modelling suggested a basis for selectivity, but mutation studies had a
limited ability to rationally modify TmuB substrate specificity. 4-Hydroxylation
had opposite effects on the potency of mupirocin and thiomarinol. Thus, TmuB can
be added to the toolbox of polyketide tailoring technologies for the in vivo
generation of new antibiotics in the future.
PMID- 29363250
TI - Osimertinib in patients with epidermal growth factor receptor T790M advanced non
small cell lung cancer selected using cytology samples.
AB - Osimertinib is a potent, irreversible epidermal growth factor receptor (EGFR)
tyrosine kinase inhibitor (TKI) selective for EGFR-TKI sensitizing (EGFRm) and
T790M resistance mutations. The primary objective of the cytology cohort in the
AURA study was to investigate safety and efficacy of osimertinib in pretreated
Japanese patients with EGFR T790M mutation-positive non-small cell lung cancer
(NSCLC), with screening EGFR T790M mutation status determined from cytology
samples. The cytology cohort was included in the Phase I dose expansion component
of the AURA study. Patients were enrolled based on a positive result of T790M by
using cytology samples, and received osimertinib 80 mg in tablet form once daily
until disease progression or until clinical benefit was no longer observed at the
discretion of the investigator. Primary endpoint for efficacy was objective
response rate (ORR) by investigator assessment. Twenty-eight Japanese patients
were enrolled into the cytology cohort. At data cut-off (February 1, 2016), 12
(43%) were on treatment. Investigator-assessed ORR was 75% (95% confidence
interval [CI] 55, 89) and median duration of response was 9.7 months (95% CI 3.8,
not calculable [NC]). Median progression-free survival was 8.3 months (95% CI
4.2, NC) and disease control rate was 96% (95% CI 82, 100). The most common all
causality adverse events were paronychia (46%), dry skin (46%), diarrhea (36%)
and rash (36%). Osimertinib provided clinical benefit with a manageable safety
profile in patients with pretreated EGFR T790M mutation-positive NSCLC whose
screening EGFR T790M mutation-positive status was determined from cytology
samples. (ClinicalTrials.gov number NCT01802632).
PMID- 29363253
TI - Impact of primary care-initiated interventions promoting physical activity on
body mass index: systematic review and meta-analysis.
AB - INTRODUCTION: Physical inactivity is a risk factor for obesity, overweight and
for a number of chronic diseases. Although primary care may be an ideal setting
to encourage people to do more exercise, there are still significant gaps in the
literature on the effectiveness of primary care-initiated policies to promote
physical activity. METHODS: In this paper, we systematically review and meta
analyse the evidence on the impact of primary care-initiated interventions to
promote exercise on the body mass index and on physical activity energy
expenditure levels among people at an increased risk of having potentially
disabling non-communicable diseases (but healthy enough to exercise). RESULTS: We
find that such interventions reduce body mass index by about 0.21 kg m-2 (95%
confidence interval: -0.41 to -0.01) and increase physical activity-related
energy expenditure (based mostly on self-recall) by about 1.77 metabolic
equivalent of task-hours a week (95% confidence interval: 0.58 to 2.95).
DISCUSSION: This study suggests that primary care-initiated interventions
promoting physical activity can be an effective strategy to reduce weight and
increase exercise levels in this population group, although the question remains
about whether such interventions can be cost-effective.
PMID- 29363254
TI - Hedgehog Antagonist Pyrimidine-Indole Hybrid Molecule Inhibits Ciliogenesis
through Microtubule Destabilisation.
AB - One of the crucial regulators of embryonic patterning and tissue development is
the Hedgehog-glioma (Hh-Gli) signalling pathway; its uncontrolled activation has
been implicated in different types of cancer in adult tissues. Primary cilium is
one of the important factors required for the activation of Hh signalling, as it
brings the critical components together for key protein-protein interactions
required for Hh pathway regulation. Most of the synthetic and natural small
molecule modulators of the pathway primarily antagonise Smoothened (Smo) or other
effectors like Hh ligand or Gli. Here, we report a previously described Hh
antagonist, with a pyrimidine-indole hybrid (PIH) core structure, as an inhibitor
of ciliogenesis. The compound is unique in its mode of action, as it shows
perturbation of microtubule dynamics in both cell-based assays and in vivo
systems (zebrafish embryos). Further studies revealed that the probable targets
are alpha-tubulin and its acetylated form, found in the cytoplasm and primary
cilia. PIH also showed axonal defasiculation in developing zebrafish embryos. We
thus propose that PIH antagonises Hh signalling by repressing cilia biogenesis
and disassembling alpha-tubulin from its stabilised form.
PMID- 29363255
TI - Health-related life satisfaction and its influencing factors: A cross-sectional
study in China.
AB - AIM: With the rapid development of science and technology, the pace of life has
accelerated. Health and life satisfaction issues of persons are gaining more
attention. China, Japan, Malaysia, and Taiwan conducted international cooperative
research on health of four regional populations. This research was a part of the
study in mainland China, which aimed to explore health-related life satisfaction
and its influencing factors on large samples in mainland China. METHODS: A random
group of 1404 persons from universities, factories, companies, and elderly
centers in Changchun completed a structured questionnaire. This study centered on
life satisfaction indicators, which included the current whole life, income,
family relationships, peer relationships, relationships with the neighbors,
living environment, personal health, family health, spare time, and housework
share. Other collected data included the Body Mass Index, blood pressure, self
rated health, Breslow's seven health practices, medical treatment within the past
6 months, physical examinations, General Health Questionnaire (GHQ)-12 Scale,
social activities, networking relationships with persons around the community,
social support, and sociodemographic variables. Associations between life
satisfaction, demographics, and health-related variables were analyzed through a
multiway ANOVA. RESULTS: The living environment and income of Chinese persons
were related to their low life satisfaction. The multiway ANOVA showed that the
independent relationship of self-rated health, regular physical examinations, GHQ
12 Scale, trust in the community, communication with the neighbors, education,
and age related with life satisfaction accounting for 20.3% of the variance.
Education and age showed interactive effects on life satisfaction. CONCLUSION:
This study identified seven factors that influenced the life satisfaction of
persons in mainland China. Life satisfaction can be enhanced through
interventions to improve self-rated health, regular physical examinations, mental
health, trust in the community, communication with the neighbors, education, and
improvement in the health service.
PMID- 29363256
TI - The retrosplenial cortex: A memory gateway between the cortical default mode
network and the medial temporal lobe.
AB - The default mode network (DMN) involves interacting cortical areas, including the
posterior cingulate cortex (PCC) and the retrosplenial cortex (RSC), and
subcortical areas, including the medial temporal lobe (MTL). The degree of
functional connectivity (FC) within the DMN, particularly between MTL and medial
parietal subsystems, relates to episodic memory (EM) processes. However, past
resting-state studies investigating the link between posterior DMN-MTL FC and EM
performance yielded inconsistent results, possibly reflecting heterogeneity in
the degree of connectivity between MTL and specific cortical DMN regions. Animal
work suggests that RSC has structural connections to both cortical DMN regions
and MTL, and may thus serve as an intermediate layer that facilitates information
transfer between cortical and subcortical DMNs. We studied 180 healthy old adults
(aged 64-68 years), who underwent comprehensive assessment of EM, along with
resting-state fMRI. We found greater FC between MTL and RSC than between MTL and
the other cortical DMN regions (e.g., PCC), with the only significant association
with EM observed for MTL-RSC FC. Mediational analysis showed that MTL-cortical
DMN connectivity increased with RSC as a mediator. Further analysis using a graph
theoretical approach on DMN nodes revealed the highest betweenness centrality for
RSC, confirming that a high proportion of short paths among DMN regions pass
through RSC. Importantly, the degree of RSC mediation was associated with EM
performance, suggesting that individuals with greater mediation have an EM
advantage. These findings suggest that RSC forms a critical gateway between MTL
and cortical DMN to support EM in older adults.
PMID- 29363257
TI - Rare differential for large bowel obstruction.
PMID- 29363258
TI - ATF3 represses PINK1 gene transcription in lung epithelial cells to control
mitochondrial homeostasis.
AB - PINK1 (PTEN-induced putative kinase 1) is a key regulator of mitochondrial
homeostasis that is relatively depleted in aging lungs and in lung epithelial
cells from patients with idiopathic pulmonary fibrosis (IPF), a disease linked
with aging. Impaired PINK1 expression and accumulation of damaged mitochondria in
lung epithelial cells from fibrotic lungs were associated with the presence of ER
stress. Here, we show that ATF3 (activating transcription factor 3), a member of
the integrated stress response (ISR), negatively regulates transcription of the
PINK1 gene. An ATF3 binding site within the human PINK1 promoter is located in
the first 150 bp upstream of the transcription start site. Induction of ER stress
or overexpression of ATF3 inhibited the activity of the PINK1 promoter.
Importantly, overexpression of ATF3 causes accumulation of depolarized
mitochondria, increased production of mitochondrial ROS, and loss of cell
viability. Furthermore, conditional deletion of ATF3 in type II lung epithelial
cells protects mice from bleomycin-induced lung fibrosis. Finally, we observed
that ATF3 expression increases in the lung with age and, specially, in lung
epithelial cells from IPF lungs. These data provide a unique link between ATF3
and PINK1 expression suggesting that persistent stress, driven by ATF3, can
dysregulate mitochondrial homeostasis by repression of PINK1 mRNA synthesis.
PMID- 29363259
TI - Comparative efficacy of two anti-aging products containing retinyl palmitate in
healthy human volunteers.
AB - BACKGROUND: No study yet described the comparative efficacy of two over-the
counter (OTC) anti-aging products in Asian subjects using the techniques
involving analysis of living skin. AIM: We sought to evaluate the anti-aging
efficacy of two commercial formulations containing retinyl palmitate using a high
resolution UVA video camera. METHOD: Total 11 healthy male volunteers, agreed to
participate in this single-blind split-face design study with the mean age of
25.5 years. Every night, volunteers applied one type of cream on the left side
and other type of cream on the right side of the face, as directed according to
the study design for 60 days. Measurements of the parameters were taken at 0,
1st, 7th, 15th, 30th, and 60th day of study period using noninvasive UVA video
camera Visioscan(r) VC98. Cream applied on right side of the face labeled as "R"
and on the left side as "L." RESULTS: Sixty-day use of the creams showed
significant improvement in SELS parameters of the skin. Percent change in skin
wrinkling (SEw) parameter calculated after 60 days was -6.68% after applying
cream R and -8.27% after applying cream L. CONCLUSION: We concluded that
constituents in both creams have potentially influenced skin surface parameters,
thus indicating that, these creams as a better option to lessen the effects of
aging on facial skin on long-term application.
PMID- 29363260
TI - New adaptive statistical iterative reconstruction ASiR-V: Assessment of noise
performance in comparison to ASiR.
AB - PURPOSE: To assess the noise characteristics of the new adaptive statistical
iterative reconstruction (ASiR-V) in comparison to ASiR. METHODS: A water phantom
was acquired with common clinical scanning parameters, at five different levels
of CTDIvol . Images were reconstructed with different kernels (STD, SOFT, and
BONE), different IR levels (40%, 60%, and 100%) and different slice thickness
(ST) (0.625 and 2.5 mm), both for ASiR-V and ASiR. Noise properties were
investigated and noise power spectrum (NPS) was evaluated. RESULTS: ASiR-V
significantly reduced noise relative to FBP: noise reduction was in the range 23%
60% for a 0.625 mm ST and 12%-64% for the 2.5 mm ST. Above 2 mGy, noise reduction
for ASiR-V had no dependence on dose. Noise reduction for ASIR-V has dependence
on ST, being greater for STD and SOFT kernels at 2.5 mm. For the STD kernel ASiR
V has greater noise reduction for both ST, if compared to ASiR. For the SOFT
kernel, results varies according to dose and ST, while for BONE kernel ASIR-V
shows less noise reduction. NPS for CT Revolution has dose dependent behavior at
lower doses. NPS for ASIR-V and ASiR is similar, showing a shift toward lower
frequencies as the IR level increases for STD and SOFT kernels. The NPS is
different between ASiR-V and ASIR with BONE kernel. NPS for ASiR-V appears to be
ST dependent, having a shift toward lower frequencies for 2.5 mm ST. CONCLUSIONS:
ASiR-V showed greater noise reduction than ASiR for STD and SOFT kernels, while
keeping the same NPS. For the BONE kernel, ASiR-V presents a completely different
behavior, with less noise reduction and modified NPS. Noise properties of the
ASiR-V are dependent on reconstruction slice thickness. The noise properties of
ASiR-V suggest the need for further measurements and efforts to establish new CT
protocols to optimize clinical imaging.
PMID- 29363261
TI - The Oral Bioavailability of 8-Prenylnaringenin from Hops (Humulus Lupulus L.) in
Healthy Women and Men is Significantly Higher than that of its Positional Isomer
6-Prenylnaringenin in a Randomized Crossover Trial.
AB - SCOPE: Prenylated chalcones and flavonoids from hop (Humulus lupulus L.), such as
6-prenylnaringenin (6-PN) and 8-prenylnaringenin (8-PN), are investigated for
their health beneficial and anticancer activities. We, thus, compare the oral
bioavailability and safety of 6-PN and 8-PN in healthy young women and men, and
investigated their effects on peripheral blood mononuclear cells (PBMC). METHODS
AND RESULTS: A double-blind, placebo-controlled, crossover trial is conducted
with 16 healthy volunteers (eight women, eight men) given a single oral dose of
500 mg 6-PN, 8-PN, or placebo in random order. Maximum total concentrations of 6
PN and 8-PN in plasma (Cmax ; 543 and 2834 nmol L-1 ) and their respective area
under the plasma concentration-time curve (AUC; 3635 and 15801 nmol L-1 * h) are
significantly (5.2- and 4.3-fold) higher for 8-PN than for 6-PN (p ? 0.05). PBMC
for ex vivo experiments are isolated from blood sampled before and 6 h after
intake of 6-PN, 8-PN, or placebo. Despite the single-treatment regime and low
blood concentrations, both 6-PN and 8-PN increase the survival of PBMC relative
to control. CONCLUSION: 8-PN is significantly more bioavailable in healthy humans
than its isomer 6-PN. Interestingly, 6-PN, despite being less bioavailable, is
similarly effective as 8-PN in enhancing PBMC viability.
PMID- 29363263
TI - Art, music, story: The evaluation of a person-centred arts in health programme in
an acute care older persons' unit.
AB - AIMS AND OBJECTIVES: To evaluate the impact of an arts in health programme
delivered by a specialised artist within an acute older person's unit.
BACKGROUND: Acute hospitals must meet the increasingly complex needs of older
people who experience multiple comorbidities, often including cognitive
impairment, either directly related to their admission or longer term conditions,
including dementia. A focus on physical illness, efficiency and tasks within an
acute care environment can all divert attention from the psychosocial well-being
of patients. This focus also decreases capacity for person-centred approaches
that acknowledge and value the older person, their life story, relationships and
the care context. The importance of arts for health and wellness, including
responsiveness to individual need, is well established: however, there is little
evidence about its effectiveness for older people in acute hospital settings. We
report on a collaborative arts in health programme on an acute medical ward for
older people. DESIGN: The qualitative study used collaborative enquiry
underpinned by a constructivist approach to evaluate an arts programme that
involved participatory art-making activities, customised music, song and
illustration work, and enlivening the unit environment. METHODS: Data sources
included observation of art activities, semi-structured interviews with patients
and family members, and focus groups with staff. Data were transcribed and
thematically analysed using a line by line approach. RESULTS: The programme had
positive impacts for the environment, patients, families and staff. The
environment exhibited changes as a result of programme outputs; patients and
families were engaged and enjoyed activities that aided recovery from illness;
and staff also enjoyed activities and importantly learnt new ways of working with
patients. CONCLUSIONS: An acute care arts in health programme is a carefully
nuanced programme where the skills of the arts health worker are critical to
success. Utilising such skill, continued focus on person-centeredness and
openness to creativity demonstrated positive impacts for patients, families,
staff and the ward environment. IMPLICATIONS FOR PRACTICE: This study affirms the
contribution of an arts in health program for older persons in an acute care
setting in challenging the dominance of a task based medical model and
emphasising person-centred care and outcomes.
PMID- 29363262
TI - Management of gout and hyperuricemia: Multidisciplinary consensus in Taiwan.
AB - Gout is an inflammatory disease manifested by the deposition of monosodium urate
(MSU) crystals in joints, cartilage, synovial bursa, tendons or soft tissues.
Gout is not a new disease, which was first documented nearly 5,000 years ago. The
prevalence of gout has increased globally in recent years, imposing great disease
burden worldwide. Moreover, gout or hyperuricemia is clearly associated with a
variety of comorbidities, including cardiovascular diseases, chronic kidney
disease, urolithiasis, metabolic syndrome, diabetes mellitus, thyroid
dysfunction, and psoriasis. To prevent acute arthritis attacks and complications,
earlier use of pharmacotherapeutic treatment should be considered, and patients
with hyperuricemia and previous episodes of acute gouty arthritis should receive
long-term urate-lowering treatment. Urate-lowering drugs should be used during
the inter-critical and chronic stages to prevent recurrent gout attacks, which
may elicit gradual resolution of tophi. The goal of urate-lowering therapy should
aim to maintain serum uric acid (sUA) level <6.0 mg/dL. For patients with tophi,
the initial goal can be set at lowering sUA to <5.0 mg/dL to promote tophi
dissolution. The goal of this consensus paper was to improve gout and
hyperuricemia management at a more comprehensive level. The content of this
consensus paper was developed based on local epidemiology and current clinical
practice, as well as consensuses from two multidisciplinary meetings and
recommendations from Taiwan Guideline for the Management of Gout and
Hyperuricemia.
PMID- 29363264
TI - Canine spinal meningiomas and nerve sheath tumours in 34 dogs (2008-2016):
Distribution and long-term outcome based upon histopathology and treatment
modality.
AB - The purpose of this retrospective, multicentre case series was to describe the
outcome following surgery and/or radiation of spinal meningiomas and nerve sheath
tumours (NSTs) based upon treatment modality, with a specific aim to evaluate the
survival times and time to recurrence following treatment for each
histopathological diagnosis. Our hypothesis was that the addition of radiation
therapy modalities to treatment will yield longer time to recurrence of clinical
signs and survival time. Thirty-four dogs met the inclusion criteria of
histopathologically diagnosed extramedullary spinal meningioma or NST. Sixteen
extramedullary spinal meningiomas and 18 NSTs were diagnosed. A diagnosis of
meningioma was associated with a significantly longer survival time compared with
NSTs, with median survival times (MST) of 508 days (95% confidence interval [CI]:
66-881) vs 187 days (95% CI: 76-433; P = .02). Dogs (seven) treated with
stereotactic radiation therapy (SRT) for recurrence after surgery alone or SRT
alone as their initial treatment gained an additional 125 to 346 days survival
time.
PMID- 29363265
TI - Morale, stress and coping strategies of staff working in the emergency
department: A comparison of two different-sized departments.
AB - OBJECTIVE: Clinical staff in EDs are subject to a range of stressors. The
objective of this study was to describe and compare clinical staff perceptions of
their ED's working environment across two different Australian EDs. METHODS: This
was a cross-sectional, descriptive, research design that included distribution of
three survey tools to clinical staff in two Australian EDs in 2016. Descriptive
statistics were reported to characterise workplace stressors, coping styles and
the ED environment. These data were compared by hospital and the employee's
clinical role (nurse or physician). RESULTS: In total, 146 ED nurses and doctors
completed the survey (response rate: 67%). Despite geographical variation, the
staff at the two locations had similar demographic profiles in terms of age, sex
and years of experience. Staff reported moderate levels of workload and self
realisation but low levels of conflict or nervousness in the workplace. Nurses
and physicians reported similar perceptions of the work environment, although
nurses reported slightly higher median levels of workload. Staff rated the death
or sexual abuse of a child as most stressful, followed by workplace violence and
heavy workload. Staff used a large range of coping strategies, and these were
similar across both sites. CONCLUSION: These findings are the first multi-site
and multidisciplinary examinations of Australian ED staff perceptions, improving
our understanding of staff stressors and coping strategies and highlighting
similarities across different EDs. These data support the development and
implementation of strategies to improve ED working environments to help ensure
professional longevity of ED staff.
PMID- 29363266
TI - Association between maternal body mass index and congenital heart defects in
infants: A meta-analysis.
AB - We conducted this meta-analysis to address the open question of a possible
association between maternal body mass index (BMI) and congenital heart defects
(CHDs) in infants. We conducted a comprehensive computerized search of PubMed,
Web of Science, Medline, and Embase databased (January 1980 through August 2017).
We assessed the association between maternal BMI and the risk for congenital
heart defects in their offspring. Study-specific relative risk estimates were
polled according to random-effect or fixed-effect models. From 2567 citations, a
total of 13 case-control studies and 4 cohort studies were selected for a meta
analysis, including more than 1 150 000 cases. The pooled odds radio (OR) of
1.065 (95% confidence interval [CI], 1.021-1.100; P = .001; I2 = 60.1%) indicated
a positive effect of maternal overweight status (BMI 25.0-29.9 kg/m2 ) on the
risk for congenital heart defects in infants. Moreover, we observed a significant
association between maternal obesity (BMI >= 30 kg/m2 ) and congenital heart
defects in their offspring (OR: 1.174; 95% CI, 1.146-1.203, P = 0.161; I2
=25.5%). However, there was little significant evidence of an association between
maternal underweight status (BMI < 18.5 kg/m2 ) and offspring with congenital
heart defects, and the pooled OR was 1.015 (95% CI, 0.980-1.052; P = 0.085; I2
=34.0%). Our meta-analysis provides robust evidence of the positive association
between maternal BMI and the risk for fetal congenital heart defects.
PMID- 29363267
TI - DNA-damage inducible protein 1 is a conserved metacaspase substrate that is
cleaved and further destabilized in yeast under specific metabolic conditions.
AB - Metacaspases, distant relatives of metazoan caspases, have been shown to
participate in programmed cell death in plants and in progression of the cell
cycle and removal of protein aggregates in unicellular eukaryotes. However, since
natural proteolytic substrates have scarcely been identified to date, their roles
in these processes remain unclear. Here, we report that the DNA-damage inducible
protein 1 (Ddi1) represents a conserved protein substrate for metacaspases
belonging to divergent unicellular eukaryotes (trypanosomes and yeasts). We show
that although the recognized cleavage sequence is not identical among the
different model organisms tested, in all of them the proteolysis consequence is
the removal of the ubiquitin-associated domain (UBA) present in the protein. We
also demonstrate that Ddi1 cleavage is tightly regulated in vivo as it only takes
place in yeast when calcium increases but under specific metabolic conditions.
Finally, we show that metacaspase-mediated Ddi1 cleavage reduces the stability of
this protein which can certainly impact on the many functions ascribed for it,
including shuttle to the proteasome, cell cycle control, late secretory pathway
regulation, among others.
PMID- 29363268
TI - Synthesis of Two-Electron Bimetallic Cu-Ag and Cu-Au Clusters by using [Cu13 (S2
CNn Bu2 )6 (C=CPh)4 ]+ as a Template.
AB - Atomically precise Cu-rich bimetallic superatom clusters have been synthesized by
adopting a galvanic exchange strategy. [Cu@Cu12 (S2 CNn Bu2 )6 (C=CPh)4 ][CuCl2 ]
(1) was used as a template to generate compositionally uniform clusters [M@Cu12
(S2 CNn Bu2 )6 (C=CPh)4 ][CuCl2 ], where M=Ag (2), Au (3). Structures of 1, 2 and
3 were determined by single crystal X-ray diffraction and the results were
supported by ESI-MS. The anatomies of clusters 1-3 are very similar, with a
centred cuboctahedral cationic core that is surrounded by six di
butyldithiocarbamate (dtc) and four phenylacetylide ligands. The doped Ag and Au
atoms were found to preferentially occupy the centre of the 13-atom cuboctahedral
core. Experimental and theoretical analyses of the synthesized clusters revealed
that both Ag and Au doping result in significant changes in cluster stability,
optical characteristics and enhancement in luminescence properties.
PMID- 29363270
TI - Staff personhood in dementia care settings: "Do they care about me?"
AB - AIMS AND OBJECTIVES: This article aims to examine RCAs' own experiences of
personhood in dementia care settings. BACKGROUND: Conceptually, person-centred
care entails fostering the personhood of residents and the residential care aides
(RCAs) who provide much of their hands-on care. To date, however, staff
personhood has been overlooked in the empirical literature. DESIGN: The study was
part of a larger focused ethnographic project exploring how the organisational
care environment impedes or facilitates the provision of quality dementia care.
METHODS: Semi-structured interviews with 23 RCAs and more than 230 hours of
participant observation were conducted in two nursing homes with specialised
dementia units in British Columbia, Canada. RESULTS: Two overarching themes,
"personhood undermined-management-staff relations" and "personhood undermined
workplace policies and practices" emerged, illustrating how, despite exposure to
features believed beneficial to their working environment (e.g., favourable
staffing ratios, relatively good remuneration), RCAs encountered repeated
affronts to their personhood. The first theme encompasses the importance of being
known (i.e., as persons and of their job demands) and valued (i.e., appreciated
for their work in non-monetary terms). The second highlights the salience of work
life balance, full-staffing coverage and supportive human resource practices.
CONCLUSIONS: RCAs' experiences reveal how the ongoing search for cost
efficiencies, cost-containment and cost-accountability overshadows their
individuality, indicating a key disconnect between conceptual ideals and
workplace realities. IMPLICATIONS FOR PRACTICE: Organisations are encouraged to
consider creating person-centred management and workplace practices that provide
tangible evidence that RCAs, and their work, matter.
PMID- 29363269
TI - Measuring fibrinolysis: from research to routine diagnostic assays.
AB - Development and standardization of fibrinolysis methods have progressed more
slowly than coagulation testing and routine high-throughput screening tests for
fibrinolysis are still lacking. In laboratory research, a variety of approaches
are available and are applied to understand the regulation of fibrinolysis and
its contribution to the hemostatic balance. Fibrinolysis in normal blood is slow
to develop. For practical purposes plasminogen activators can be added to
clotting plasma, or euglobulin prepared to reduce endogenous inhibitors, but
results are complicated by these manipulations. Observational studies to identify
a 'fibrinolysis deficit' have concluded that excess fibrinolysis inhibitors,
plasminogen activator inhibitor 1 (PAI-1) or thrombin-activatable fibrinolysis
inhibitor (TAFI), zymogen or active enzyme, may be associated with an increased
risk of thrombosis. However, results are not always consistent and problems of
adequate standardization are evident with these inhibitors and also for
measurement of fibrin degradation products (D-dimer). Few methods are available
to investigate fibrinolysis under flow, or in whole blood, but viscoelastic
methods (VMs) such as ROTEM and TEG do permit the contribution of cells, and
importantly platelets, to be explored. VMs are used to diagnose clinical
hyperfibrinolysis, which is associated with high mortality. There is a debate on
the usefulness of VMs as a point-of-care test method, particularly in trauma.
Despite the difficulties of many fibrinolysis methods, research on the
fibrinolysis system, taking in wider interactions with hemostasis proteins, is
progressing so that in future we may have more complete models and better
diagnostic methods and therapeutics.
PMID- 29363272
TI - Microbiota in obesity: interactions with enteroendocrine, immune and central
nervous systems.
AB - Western diets, with high consumption of simple sugars and saturated fats,
contribute to the rise in the prevalence of obesity. It now seems clear that high
fat diets cause obesity, at least in part, by modifying the composition and
function of the microorganisms that colonize in the gastrointestinal tract, the
microbiota. The exact pathways by which intestinal microbiota contribute to
obesity remain largely unknown. High-fat diet-induced alterations in intestinal
microbiota have been suggested to increase energy extraction, intestinal
permeability and systemic inflammation while decreasing the capability to
generate obesity-suppressing short-chain fatty acids. Moreover, by increasing
systemic inflammation, microglial activation and affecting vagal nerve activity,
'obese microbiota' indirectly influence hypothalamic gene expression and promote
overeating. Because the potential of intestinal microbiota to induce obesity has
been recognized, multiple ways to modify its composition and function are being
investigated to provide novel preventive and therapeutic strategies against diet
induced obesity.
PMID- 29363271
TI - From laboratory to point of entry: development and implementation of a loop
mediated isothermal amplification (LAMP)-based genetic identification system to
prevent introduction of quarantine insect species.
AB - BACKGROUND: Rapid genetic on-site identification methods at points of entry, such
as seaports and airports, have the potential to become important tools to prevent
the introduction and spread of economically harmful pest species that are
unintentionally transported by the global trade of plant commodities. This paper
reports the development and evaluation of a loop-mediated isothermal
amplification (LAMP)-based identification system to prevent introduction of the
three most frequently encountered regulated quarantine insect species groups at
Swiss borders, Bemisia tabaci, Thrips palmi and several regulated fruit flies of
the genera Bactrocera and Zeugodacus. RESULTS: The LAMP primers were designed to
target a fragment of the mitochondrial cytochrome c oxidase subunit I gene and
were generated based on publicly available DNA sequences. Laboratory evaluations
analysing 282 insect specimens suspected to be quarantine organisms revealed an
overall test efficiency of 99%. Additional on-site evaluation at a point of entry
using 37 specimens performed by plant health inspectors with minimal laboratory
training resulted in an overall test efficiency of 95%. During both evaluation
rounds, there were no false-positives and the observed false-negatives were
attributable to human-induced manipulation errors. To overcome the possibility of
accidental introduction of pests as a result of rare false-negative results,
samples yielding negative results in the LAMP method were also subjected to DNA
barcoding. CONCLUSION: Our LAMP assays reliably differentiated between the tested
regulated and non-regulated insect species within <1 h. Hence, LAMP assays
represent suitable tools for rapid on-site identification of harmful pests, which
might facilitate an accelerated import control process for plant commodities. (c)
2018 The Authors. Pest Management Science published by John Wiley & Sons Ltd on
behalf of Society of Chemical Industry.
PMID- 29363273
TI - Preparation and evaluation of effect on Escherichia coli and Staphylococcus
aureus of radiolabeled ampicillin-loaded graphene oxide nanoflakes.
AB - Ampicillin is a one of effective antibiotics against Gram-positive and Gram
negative bacteria. This study aimed to label ampicillin-loaded graphene oxide
nanoflake (AMP-GO) with 99m Tc and evaluate of its in vitro binding to
Staphylococcus aureus and Escherichia coli. Firstly, ampicillin was loaded into
graphene oxide nanoflake prepared. AMP-GO was characterized by Fourier transform
infrared spectroscopy (FTIR) and scanning electron microscope (SEM) techniques,
and the amount of loaded ampicillin onto GO was determined by UV-Vis absorption
spectroscopy. AMP and AMP-GO were labeled with 99m Tc using stannous chloride
reducing agent. Labeling efficiency of 99m Tc-AMP-GO was found to be 97.66 +/-
2.06%. 99m Tc-AMP-GO has higher binding efficiencies to both S. aureus and E.
coli than 99m Tc-AMP. 99m Tc-AMP-GO could be promising candidate as agent
infection nuclear imaging. Furthermore, in vivo studies of 99m Tc-AMP-GO with
infected rats are planned to be performed.
PMID- 29363274
TI - In silico identification, synthesis and biological evaluation of novel tetrazole
inhibitors of MurB.
AB - In the context of antibacterial drug discovery resurgence, novel therapeutic
targets and new compounds with alternative mechanisms of action are of paramount
importance. We focused on UDP-N-acetylenolpyruvylglucosamine reductase (i.e.
MurB), an underexploited target enzyme that is involved in early steps of
bacterial peptidoglycan biosynthesis. On the basis of the recently reported
crystal structure of MurB in complex with NADP+ , a pharmacophore model was
generated and used in a virtual screening campaign with combined structure-based
and ligand-based approaches. To explore chemical space around hit compounds,
further similarity search and organic synthesis were employed to obtain several
compounds with micromolar IC50 values on MurB. The best inhibitors in the
reported series of 5-substituted tetrazol-2-yl acetamides were compounds 13, 26
and 30 with IC50 values of 34, 28 and 25 MUm, respectively. None of the reported
compounds possessed in vitro antimicrobial activity against Staphylococcus aureus
and Escherichia coli.
PMID- 29363275
TI - Functional study of a novel missense single-nucleotide variant of NUP107 in two
daughters of Mexican origin with premature ovarian insufficiency.
AB - BACKGROUND: Hypergonadotropic hypogonadism (HH) is a genetically heterogeneous
disorder that usually presents with amenorrhea, atrophic ovaries, and low
estrogen. Most cases of HH are idiopathic and nonsyndromic. Nucleoporin 107
(NUP107), a protein involved in transport between cytoplasm and nucleus with
putative roles in meiosis/mitosis progression, was recently implicated as a cause
of HH. We identified a NUP107 genetic variant in a nonconsanguineous family with
two sisters affected with primary amenorrhea and HH, and generated a mouse model
that carried the human variant. METHODS: We performed a high-resolution X
chromosome microarray and whole exome sequencing on parents and two sisters with
HH to identify pathogenic variants. We generated a mouse model of candidate
NUP107 variant using CRISPR/Cas9. RESULTS: Whole exome sequencing identified a
novel and rare missense variant in the NUP107 gene (c.1063C>T, p.R355C) in both
sisters with HH. In order to determine functional significance of this variant,
we used CRISPR/Cas9 to introduce the human variant into the mouse genome. Mice
with the homolog of the R355C variant, as well as the nine base pairs deletion in
Nup107 had female subfertility. CONCLUSIONS: Our findings indicate that NUP107
R355C variant falls in the category of variant of unknown significance as the
cause of HH and infertility.
PMID- 29363276
TI - "Candidatus Neoehrlichia chilensis" sp. nov.: Molecular detection and
characterization of a novel Anaplasmataceae in wild rodents from Valdivia,
southern Chile.
AB - This study aimed to screen wild rodents from southern Chile, for the presence of
Anaplasmatacea. Spleen samples from 33 wild rodents trapped in Valdivia Province
were screened by conventional PCR (cPCR), targeting the Anaplasmataceae 16S rRNA
gene (16S). Positive samples were further evaluated, targeting a larger 16S
fragment, groEL operon, and gltA gene, followed by sequencing and phylogenetic
analysis. Anaplasmataceae DNA was detected in 15% (five of 33) of the tested
rodents (Abrothrix sp. [four of five] and Mus musculus [one of five]). Analysis
of sequenced products based on the 16S gene revealed high similarity with "Ca.
Neoehrlichia mikurensis," "Ca. Neoehrlichia lotoris" and "Ca. Neoehrlichia
arcana" (97.8%-98.6%). A lower similarity was observed with Candidatus
Neoehrlichia groEL (89.7%-92%) and gltA (79.5%-79.9%) loci. According to the
16SrRNA, groEL and gltA phylogenetic analyses, two closely related genotypes of
"Candidatus Neoehrlichia" spp. from Chile were observed, which clustered together
in a separate clade from other species in this genus. This study suggests the
presence of two genotypes of a novel species of "Candidatus Neoehrlichia,"
proposed as "Candidatus Neoehrlichia chilensis," circulating in rodents from
Chile. This is the first report of "Ca. Neoehrlichia" species in rodents from
America.
PMID- 29363277
TI - Dietary approach to stop hypertension diet and cardiovascular risk factors among
10- to 18-year-old individuals.
AB - BACKGROUND: Current evidence available on the association between Dietary
Approach to Stop Hypertension (DASH) diet and cardiovascular risk in adolescents
is controversial. OBJECTIVE: The aim of the present study was to assess the
association between DASH diet and cardiovascular risk factors after 3 years of
follow-up among Tehranian adolescents. METHODS: A population-based cohort study
was conducted on 628 subjects, aged 10-18 years, selected from among participants
of the Tehran Lipid and Glucose Study. The DASH score was determined on the basis
of eight components using a valid and reliable food-frequency questionnaire at
baseline. Anthropometric and lipid profile data were measured at baseline and
after a 3-year follow-up. RESULTS: Mean (SD) age of the study population (46.6%
men) was 14.1 (2.5) years. After adjustment for potential confounding variables,
including sex, age, physical activity and total calorie intake, the risk of
general obesity (OR = 0.26, 95%CI: 0.15-0.76) and central obesity (OR = 0.32,
95%CI: 0.14-0.84) in the highest quintile of DASH score were significantly lower
than in subjects in the lowest quintile. However, DASH score was not associated
with risk of incident dyslipidaemia in our study (OR = 0.81, 95%CI: 0.30-1.82).
The higher DASH score was inversely associated with 3-year changes in body mass
index and waist circumference during the study period (P for trend <0.05).
However, there was no significant association between the higher score of this
diet with 3-year changes in lipid profiles. CONCLUSION: Adherence to the DASH
diet was associated with decreased risk of central and general obesity, whereas
higher DASH diet score was not associated with risk of dyslipidaemia in
adolescents.
PMID- 29363278
TI - Aromatic Polyimide/Graphene Composite Organic Cathodes for Fast and Sustainable
Lithium-Ion Batteries.
AB - A composite organic cathode material based on aromatic polyimide (PI) and highly
conductive graphene was prepared through a facile in situ polymerization method
for application in lithium-ion batteries. The in situ polymerization generated
intimate contact between PI and electronically conductive graphene, resulting in
conductive composites with highly reversible redox reactions and good structure
stability. The synergistic effect between PI and graphene enabled not only a high
reversible capacity of 232.6 mAh g-1 at a charge-discharge rate of C/10 but also
exceptionally high-rate cycling stability, that is, a high capacity of 108.9 mAh
g-1 at a very high charge-discharge rate of 50C with a capacity retention of 80 %
after 1000 cycles. This improved electrochemical performance resulted from the
combination of stable redox reversibility of PI and high electronic conductivity
of the graphene additive. The graphene-based composite also exhibited much better
performance than composites based on multi-walled carbon nanotubes and the
conductive carbon black C45 in terms of specific capacity and long-term cycling
stability under the same charge-discharge rates.
PMID- 29363279
TI - An Egyptian HPAI H5N1 isolate from clade 2.2.1.2 is highly pathogenic in an
experimentally infected domestic duck breed (Sudani duck).
AB - The highly pathogenic avian influenza (HPAI) H5N1 viruses continue to cause major
problems in poultry and can, although rarely, cause human infection. Being
enzootic in domestic poultry, Egyptian isolates are continuously evolving, and
novel clades vary in their pathogenicity in avian hosts. Considering the
importance of domestic ducks as natural hosts of HPAI H5N1 viruses and their
likelihood of physical contact with other avian hosts and humans, it is of utmost
importance to characterize the pathogenicity of newly emerged HPAI strains in the
domestic duck. The most recently identified Egyptian clade 2.2.1.2 HPAI H5N1
viruses have been isolated from naturally infected pigeons, turkeys and humans.
However, essentially nothing is known about their pathogenicity in domestic
ducks. We therefore characterized the pathogenicity of an Egyptian HPAI H5N1
isolate A/chicken/Faquos/amn12/2011 (clade 2.2.1.2) in Sudani duck, a domestic
duck breed commonly reared in Egypt. While viral transcription (HA mRNA) was
highest in lung, heart and kidney peaking between 40 and 48 hpi, lower levels
were detected in brain. Weight loss of infected ducks started at 16 hpi and
persisted until 120 hpi. The first severe clinical signs were noted by 32 hpi and
peaked in severity at 72 and 96 hpi. Haematological analyses showed a decline in
total leucocytes, granulocytes, platelets and granulocyte/lymphocyte ratio, but
lymphocytosis. Upon necropsy, lesions were obvious in heart, liver, spleen and
pancreas and consisted mainly of necrosis and petechial haemorrhage.
Histologically, lungs were the most severely affected organs, whereas brain only
showed mild neuronal degeneration and gliosis at 48 hpi despite obvious
neurological clinical signs. Taken together, our results provide first evidence
that this HPAI H5N1 isolate (clade 2.2.1.2) is highly pathogenic to Sudani ducks
and highlight the importance of this breed as potential reservoir and
disseminator of HPAI strains from this clade.
PMID- 29363280
TI - Disparities in Total Knee Replacement: Population Losses in Quality-Adjusted Life
Years Due to Differential Offer, Acceptance, and Complication Rates for African
Americans.
AB - OBJECTIVE: Total knee replacement (TKR) is an effective treatment for end-stage
knee osteoarthritis (OA). American racial minorities undergo fewer TKRs than
whites. We estimated quality-adjusted life-years (QALYs) lost for African
American knee OA patients due to differences in TKR offer, acceptance, and
complication rates. METHODS: We used the Osteoarthritis Policy Model, a computer
simulation of knee OA, to predict QALY outcomes for African American and white
knee OA patients with and without TKR. We estimated per-person QALYs gained from
TKR as the difference between QALYs with current TKR use and QALYs when no TKR
was performed. We estimated average, per-person QALY losses in African Americans
as the difference between QALYs gained with white rates of TKR and QALYs gained
with African American rates of TKR. We calculated population-level QALY losses by
multiplying per-person QALY losses by the number of persons with advanced knee
OA. Finally, we estimated QALYs lost specifically due to lower TKR offer and
acceptance rates and higher rates of complications among African American knee OA
patients. RESULTS: African American men and women gain 64,100 QALYs from current
TKR use. With white offer and complications rates, they would gain an additional
72,000 QALYs. Because these additional gains are unrealized, we call this a loss
of 72,000 QALYs. African Americans lose 67,500 QALYs because of lower offer
rates, 15,800 QALYs because of lower acceptance rates, and 2,600 QALYs because of
higher complication rates. CONCLUSION: African Americans lose 72,000 QALYs due to
disparities in TKR offer and complication rates. Programs to decrease disparities
in TKR use are urgently needed.
PMID- 29363281
TI - Detection and genetic characterization of porcine deltacoronavirus in Tibetan
pigs surrounding the Qinghai-Tibet Plateau of China.
AB - Porcine deltacoronavirus (PDCoV) is a recently discovered RNA virus that belongs
to the family Coronaviridae and genus Deltacoronavirus. This virus causes enteric
disease in piglets that is characterized by enteritis and diarrhoea. In our
present investigation, 189 diarrhoeic samples were collected between July 2016
and May 2017 from Tibetan pigs inhabiting in three different provinces
surrounding the Qinghai-Tibet Plateau of China. We then applied the molecular
based method of reverse transcription polymerase chain reactions (RT-PCRs) to
detect the presence of PDCoV in collected samples, and RT-PCR indicated that the
prevalence of PDCoV was 3.70% (7/189) in Tibetan pigs. Four of 7 PDCoV-positive
pigs were monoinfections of PDCoV, three samples were co-infections of PDCoV with
porcine epidemic diarrhoea virus (PEDV), and 52 (27.51%) samples were positive
for PEDV. Four strains with different full-length genomes were identified
(CHN/GS/2016/1, CHN/GS/2016/2, CHN/GS-/2017/1 and CHN/QH/2017/1), and their
genomes were used to analyse the characteristics of PDCoV currently prevalent in
Tibetan pigs. We found a 3-nt insertion in the spike gene in four strains in
Tibetan pigs. Phylogenetic analysis of the complete genome and spike and
nucleocapsid gene sequences revealed that these strains shared ancestors with the
strain CHN-AH-2004, which was found in pigs from the Anhui province of China
mainland. However, PDCoV strains from Tibetan pigs formed different branches
within the same cluster, implying continuous evolution in the field. Our present
findings highlight the importance of epidemiologic surveillance to limit the
spread of PDCoV in livestock at high altitudes in China.
PMID- 29363282
TI - To frame or not to frame? Cone-beam CT-based analysis of head immobilization
devices specific to linac-based stereotactic radiosurgery and radiotherapy.
AB - PURPOSE: Noninvasive frameless systems are increasingly being utilized for head
immobilization in stereotactic radiosurgery (SRS). Knowing the head positioning
reproducibility of frameless systems and their respective ability to limit
intrafractional head motion is important in order to safely perform SRS. The
purpose of this study was to evaluate and compare the intrafractional head motion
of an invasive frame and a series of frameless systems for single fraction SRS
and fractionated/hypofractionated stereotactic radiotherapy (FSRT/HF-SRT).
METHODS: The noninvasive PinPoint system was used on 15 HF-SRT and 21 SRS
patients. Intrafractional motion for these patients was compared to 15 SRS
patients immobilized with Cosman-Roberts-Wells (CRW) frame, and a FSRT population
that respectively included 23, 32, and 15 patients immobilized using Gill-Thomas
Cosman (GTC) frame, Uniframe, and Orfit. All HF-SRT and FSRT patients were
treated using intensity-modulated radiation therapy on a linear accelerator
equipped with cone-beam CT (CBCT) and a robotic couch. SRS patients were treated
using gantry-mounted stereotactic cones. The CBCT image-guidance protocol
included initial setup, pretreatment and post-treatment verification images. The
residual error determined from the post-treatment CBCT was used as a surrogate
for intrafractional head motion during treatment. RESULTS: The mean
intrafractional motion over all fractions with PinPoint was 0.62 +/- 0.33 mm and
0.45 +/- 0.33 mm, respectively, for the HF-SRT and SRS cohort of patients (P
value = 0.266). For CRW, GTC, Orfit, and Uniframe, the mean intrafractional
motions were 0.30 +/- 0.21 mm, 0.54 +/- 0.76 mm, 0.73 +/- 0.49 mm, and 0.76 +/-
0.51 mm, respectively. For CRW, PinPoint, GTC, Orfit, and Uniframe,
intrafractional motion exceeded 1.5 mm in 0%, 0%, 5%, 6%, and 8% of all fractions
treated, respectively. CONCLUSIONS: The noninvasive PinPoint system and the
invasive CRW frame stringently limit cranial intrafractional motion, while the
latter provides superior immobilization. Based on the results of this study, our
clinical practice for malignant tumors has evolved to apply an invasive CRW frame
only for metastases in eloquent locations to minimize normal tissue exposure.
PMID- 29363283
TI - Maintenance interventions for overweight or obesity in children: a systematic
review and meta-analysis.
AB - Childhood obesity is associated with significant health consequences. Although
several intervention programmes for children result in weight loss or
stabilization in the short-term, preventing relapse after treatment remains an
important challenge. This systematic review summarizes the evidence about
maintenance interventions after treatment in childhood obesity. Studies were
identified by searching PubMed, Embase, Cochrane Library, Scopus, Web of Science,
PsycINFO, CINAHL and SocINDEX. The primary outcome measure for this review was
body mass index standard deviation score (BMI-Z-score). Data were pooled using
quality effect models. Eleven studies (1,532 participants, age 2-18 years) were
included, covering a wide range of maintenance approaches. Included studies
varied widely in methodological quality. Pooled analysis showed that the BMI-Z
score of maintenance intervention participants remained stable, whereas control
participants experienced a slight increase. No differences were observed
regarding intensity and duration of therapy. A slight preference for 'face-to
face' versus 'on distance' interventions was shown. In summary, this review shows
that, although there is limited quality data to recommend one maintenance
intervention over another, continued treatment does have a stabilizing effect on
BMI-Z-score. Considering the magnitude of the problem of childhood obesity, this
is an important finding that highlights the need for further research on weight
loss maintenance.
PMID- 29363284
TI - Identification and characterization of lumpy skin disease virus isolated from
cattle in the Republic of North Ossetia-Alania in 2015.
AB - The first notifications of the unknown disease of cattle appeared in September
October 2015 in North Caucasus region of Russia (Republic of North Ossetia
Alania). The clinical signs included watery discharge from eyes, apathy, loss of
appetite, salivation, lameness and nodular skin lesions. Capripoxvirus genome was
detected by real-time PCR in the tissue samples of sick animals. The aetiological
agent was isolated in the primary cell cultures of lamb testis and goat testis,
as well as in the continuous MDBK cell culture. Further sequencing of the GPCR
gene and phylogenetic analysis showed the close genetic relationship of isolated
capripoxvirus with a group of lumpy skin disease virus. Koch's postulates were
fulfilled by the experimental infection of four calves with a suspension of
tissue samples from sick animals.
PMID- 29363285
TI - Modelling the variation in skin-test tuberculin reactions, post-mortem lesion
counts and case pathology in tuberculosis-exposed cattle: Effects of animal
characteristics, histories and co-infection.
AB - Correctly identifying bovine tuberculosis (bTB) in cattle remains a significant
problem in endemic countries. We hypothesized that animal characteristics (sex,
age, breed), histories (herd effects, testing, movement) and potential exposure
to other pathogens (co-infection; BVDV, liver fluke and Mycobacterium avium
reactors) could significantly impact the immune responsiveness detected at skin
testing and the variation in post-mortem pathology (confirmation) in bTB-exposed
cattle. Three model suites were developed using a retrospective observational
data set of 5,698 cattle culled during herd breakdowns in Northern Ireland. A
linear regression model suggested that antemortem tuberculin reaction size
(difference in purified protein derivative avium [PPDa] and bovine [PPDb]
reactions) was significantly positively associated with post-mortem maximum
lesion size and the number of lesions found. This indicated that reaction size
could be considered a predictor of both the extent (number of lesions/tissues)
and the pathological progression of infection (maximum lesion size). Tuberculin
reaction size was related to age class, and younger animals (<2.85 years)
displayed larger reaction sizes than older animals. Tuberculin reaction size was
also associated with breed and animal movement and increased with the time
between the penultimate and disclosing tests. A negative binomial random-effects
model indicated a significant increase in lesion counts for animals with M. avium
reactions (PPDb-PPDa < 0) relative to non-reactors (PPDb-PPDa = 0). Lesion counts
were significantly increased in animals with previous positive severe
interpretation skin-test results. Animals with increased movement histories,
young animals and non-dairy breed animals also had significantly increased lesion
counts. Animals from herds that had BVDV-positive cattle had significantly lower
lesion counts than animals from herds without evidence of BVDV infection.
Restricting the data set to only animals with a bTB visible lesion at slaughter
(n = 2471), an ordinal regression model indicated that liver fluke-infected
animals disclosed smaller lesions, relative to liver fluke-negative animals, and
larger lesions were disclosed in animals with increased movement histories.
PMID- 29363286
TI - Risk factors for occupational Brucella infection in veterinary personnel in
India.
AB - Brucellosis is an important animal and human health issue in developing
countries. This study aimed to identify risk factors associated with occupational
Brucella infection in veterinary personnel in India. Blood samples were collected
from 279 veterinary personnel working in the public sector. Sera were tested with
rose bengal plate test (RBPT), standard tube agglutination test (STAT), and IgG
and IgM ELISAs. Information about participant demographics, risk of exposure and
infection control practices was collected using a self-completed questionnaire.
The outcome measure of Brucella infection was created based on a positive RBPT or
STAT test and a positive IgG ELISA test. Binomial logistic regression analyses
were conducted to evaluate associations between explanatory variables and the
outcome variable. Of the 279 participants, 61 (21.9%), 67 (24.0%), 55 (19.7%) and
150 (53.8%) were positive in RBPT, STAT, IgM and IgG ELISA, respectively.
Compared to a trained veterinarian, veterinary pharmacists and animal handlers
had greater odds of being test positive, suggesting that they were at greater
risk of Brucella infection. Number of years spent working with animals was
associated with greater odds of a person being positive for Brucella infection (p
= .015). Counter-intuitively, those using personal protective equipment (PPE) for
handling sick animals were found to be at greater risk, suggesting that either
the use of PPE is inappropriate-making it ineffective-or that it is reverse
association whereby those experiencing brucellosis symptoms start using PPE.
Brucellosis is a common occupational zoonosis among veterinary personnel in India
with the risk being higher in paraveterinary staff than veterinarians and in
those who have been practicing for a longer period of time. Further
investigations are required to clarify the effectiveness of PPE to reduce
Brucella infection in veterinary personnel in India.
PMID- 29363287
TI - Effect of Lorcaserin Alone and in Combination with Phentermine on Food Cravings
After 12-Week Treatment: A Randomized Substudy.
AB - OBJECTIVE: This study evaluated the effect of lorcaserin 10 mg twice daily (LOR
BID), or with phentermine 15 mg once daily (LOR BID + PHEN QD) and 15 mg twice
daily (LOR BID + PHEN BID), in conjunction with energy restriction on food
cravings. METHODS: Two hundred and thirty-five patients without diabetes but with
obesity or overweight and >= 1 comorbidity received LOR BID, LOR BID + PHEN QD,
or LOR BID + PHEN BID for 12 weeks in a randomized double-blind study. The Food
Craving Inventory (FCI) and the Control of Eating Questionnaire (COEQ) were
administered over 12 weeks. RESULTS: The FCI total score and the subscale scores
reduced from baseline in all groups. The least squares means (95% confidence
intervals) for the total scores were -0.65 (-0.75 to -0.55), -0.75 (-0.84 to
0.65), and -0.84 (-0.95 to -0.74) in the LOR BID, LOR BID + PHEN QD, and LOR BID
+ PHEN BID groups, respectively. Cravings assessed by COEQ reduced from baseline
in all groups. In general, the combination treatments were more effective than
lorcaserin alone. At week 12, except for fruit juice and dairy products, general
and specific cravings reduced in LOR BID + PHEN BID compared with LOR BID (P <
0.05). CONCLUSIONS: Lorcaserin in combination with phentermine improves control
of food cravings during short-term energy restriction.
PMID- 29363288
TI - Isolation and phylogenetic analysis of porcine deltacoronavirus from pigs with
diarrhoea in Hebei province, China.
AB - Porcine deltacoronavirus (PDCoV) is a recently identified coronavirus in the
genus Deltacoronavirus that can cause enteric disease with clinical signs
including diarrhoea, vomiting, dehydration and mortality in neonatal piglets.
Although evidence of the prevalence of PDCoV in China is accumulating, little
published information about Chinese PDCoV isolates is available. In this study,
we investigated the presence of PDCoV in 49 faecal/intestinal samples from
piglets with diarrhoea on different farms in Hebei province. Five samples (10.2%)
were positive for PDCoV, but no coinfection of PDCoV with other enteropathogens
was observed. A PDCoV strain named HB-BD was successfully isolated from the
intestinal contents of a diarrhoeic piglet and serially propagated in swine
testicular (ST) cells for >40 passages. The complete genome of the HB-BD strain
was sequenced and analysed. Genomic analysis showed that the HB-BD strain had a
closer relationship with Chinese strains than those from other countries and was
grouped within the Chinese PDCoV cluster. The results of this study will be
valuable for further research of PDCoV genetic evolution and development of
effective diagnostic reagents, assays and potential vaccines against newly
emerged PDCoV strains.
PMID- 29363289
TI - Clinical trials, culture and language: More than meets the eye?
PMID- 29363290
TI - Brief Report: Cancer Immunotherapy in Patients With Preexisting Rheumatic
Disease: The Mayo Clinic Experience.
AB - OBJECTIVE: To determine the risk of rheumatic disease flare and adverse effects
in patients with preexisting rheumatic disease who were receiving immune
checkpoint inhibitor (ICI) therapy. METHODS: A retrospective medical record
review was performed to identify all patients who received ICI therapy at Mayo
Clinic in Rochester, Minnesota between 2011 and 2016 (~700 patients). Those with
a preexisting rheumatic disease were identified using specific diagnostic codes.
RESULTS: Sixteen patients were identified (81% female, median age 68.5 years).
The most common rheumatic diseases were rheumatoid arthritis (n = 5), polymyalgia
rheumatica (n = 5), Sjogren's syndrome (n = 2), and systemic lupus erythematosus
(n = 2). Seven patients were receiving immunosuppressive therapy or
glucocorticoids for their rheumatic disease at the time of initiation of the ICI.
The primary malignancies were melanoma (n = 10), pulmonary (n = 4), or
hematologic (n = 2). In most cases, ICIs were offered only after failure of
several other therapies. Immune-related adverse effects (IRAEs) occurred in 6
patients, and all were treated successfully with glucocorticoids and
discontinuation of the ICI therapy. There were no significant differences in time
from cancer diagnosis to immunotherapy, duration of immunotherapy, age, or sex
between the patients with and those without IRAEs. CONCLUSION: To our knowledge,
this represents the largest single-center cohort of patients with rheumatic
diseases who were exposed to modern cancer immunotherapy. Only a minority of
these patients experienced a flare of their preexisting rheumatic disease or any
other IRAE.
PMID- 29363291
TI - Flexible nine-channel photodetector probe facilitated intraspinal multisite
transcutaneous photobiomodulation therapy dosimetry in cadaver dogs.
AB - Noninvasive photobiomodulation therapy (PBMT) of spinal cord disease remains
speculative due to the lack of evidence for whether photobiomodulatory
irradiances can be transcutaneously delivered to the spinal cord under a
clinically acceptable PBMT surface irradiation protocol. We developed a flexible
nine-channel photodetection probe for deployment within the spinal canal of a
cadaver dog after hemilaminectomy to measure transcutaneously transmitted PBMT
irradiance at nine sites over an eight-cm spinal canal length. The probe was
built upon a 6.325-mm tubular stem, to the surface of which nine photodiodes were
epoxied at approximately 1 cm apart. The photodiode has a form factor of 4.80
mm*2.10 mm*1.15 mm (length*width*height). Each photodiode was individually
calibrated to deliver 1 V per 7.58 MUW/cm2 continuous irradiance at 850 nm. The
outputs of eight photodiodes were logged concurrently using a data acquisition
module interfacing eight channels of differential analog signals, while the
output of the ninth photodiode was measured by a precision multimeter. This
flexible probe rendered simultaneous intraspinal (nine-site) measurements of
transcutaneous PBMT irradiations at 980 nm in a pilot cadaver dog model. At a
surface continuous irradiance of 3.14 W/cm2 applied off-contact between L1 and
L2, intraspinal irradiances picked up by nine photodiodes had a maximum of 327.48
MUW/cm2 without the skin and 5.68 MUW/cm2 with the skin.
PMID- 29363292
TI - Acute intoxications among Turkish children.
AB - BACKGROUND: The aim of this study was to investigate characteristics, outcomes
and clinical features of acute intoxications among children in Van region of
Turkey. METHODS: All cases with a diagnosis of acute intoxication admitted to the
Pediatric Emergency Department of the Yuzuncu Yil University of Van from June 1,
2010 to November 30, 2011 were evaluated. We retrospectively examined the medical
records for demographical and etiological characteristics of patients below 17
years of age. RESULTS: A total of 239 intoxications were recorded. The majority
of patients were under 5 years of age. Pharmaceutical agents were identified in
137 (57.3%) patients. Antidepressants with a majority of tricyclic
antidepressants were the most common drugs (29.9%). Non-pharmaceutical agents
were identified in 102 (42.6%) patients, 38.2% of which were insecticides
pesticides following by plants (25.4%) and carbon monoxide (17.6%). The majority
(93.8%) of cases were accidental poisonings. Fourteen cases of intoxications
occurred as suicide attempts and 85.7% (N.=12) of those patients were girls. Of
all cases, gastric lavage was performed in 36.4% and activated charcoal
administration in 53%. After hospitalization, only 23 (9.6%) of all patients
received specific treatment besides symptomatic treatment. Specific treatments
involved hemodialysis and antidotes such as biperiden, ethanol, atropine,
neostigmine and pralidoxime. During the study period, one patient died due to
acute intoxication of pesticide. The mortality rate was 0.4%. CONCLUSIONS: Our
study revealed that pharmaceuticals were the most common cause of acute
intoxications. While, insecticides-pesticides and plants were the leading non
pharmaceutic agents. Our data is important because it is one of few original
reports on children with intoxication in Eastern Turkey.
PMID- 29363294
TI - Autism: the solar rainbow spectrum.
PMID- 29363293
TI - Safety of HPV vaccines in the age of nonavalent vaccination.
AB - To date three vaccines against human papilloma virus (HPV) have been licensed: a
bivalent, a quadrivalent and, in 2014, a nonavalent vaccine. Despite the early
implementation of national vaccination programs, in the majority of developed
countries coverage rates remain unsatisfactory. Rumors about vaccine safety have
been one of the principal obstacles for the acceptance of HPV vaccination by the
public. It is therefore of primary importance to provide the public with clear
and up-to-date information about HPV vaccination safety. To this aim, in this
narrative review we will summarize safety data from pre and postlicensure studies
for the three HPV vaccines available with a focus on the safety profile of the
new nonavalent vaccine.
PMID- 29363295
TI - Successful intraosseous therapy using EZ-IO system in a preterm neonate below 2
kg.
PMID- 29363296
TI - Seizures in preterm newborns.
PMID- 29363297
TI - Teratogenicity of systemic isotretinoin.
PMID- 29363298
TI - Long-term survival after glioblastoma resection: hope despite poor prognosis
factors.
AB - BACKGROUND: In spite of aggressive multimodal treatment, survival for patients
with glioblastoma (GBM) remains short. Nevertheless, some patients survive much
longer than expected and become long- term survival patients. The extent of
resection (EoR), Karnofsky Performance Scale (KPS), age and methyl-guanine
methyltransferase gene (MGMT) methylation are well-defined prognostic factors,
but the characteristics of patients with long-term survival (LTS, survival of at
least three years after diagnosis) has not been fully determined yet. That is the
reason why we analyzed the GBM patients with LTS at our center. METHODS: We
retrospectively reviewed all consecutive patients who underwent surgery for GBM
between January 2002 and November 2011, including patients treated with surgical
resection under neuronavigation with or without intraoperative MRI (ioMRI) and
those who had stereotactic biopsy. We identified and further analysed those
patients with LTS. RESULTS: A total of 127 patients underwent surgery for GBM
during the study period. 101 (79.6%) of whom had surgical resection and 26
(20.4%) of whom had stereotactic biopsy. Of the 101 patients who were treated
with surgical resection, 12 had LTS. After two other pathologists reviewed the
patients' cases, they confirmed that 11 (11%) of the 12 patients had a GBM
(female/male ratio 4.5; average age 50 years; pre-op Karnofsky score 82%), and
one patient had an anaplastic glioma. The mean survival in the LTS patients with
confirmed GBM was 74 (36-150) months. Seven of the LTS patients (63.6%) had a
gross total resection (GTR), including two with an additional resection after
ioMRI. Three (27.3%) had a near total resection (NTR: residue <= 5%) and one
(9.1%) had a partial resection. Ten (90.9%) patients had a methylation of MGMT,
only two (18.8%) had an IDH1 mutation, and seven (63.6%) received a full Stupp
protocol. CONCLUSION: Among patients with a GBM who were treated with one or more
resections, 11% had LTS with 90.9% with at least a near total resection (36% with
ioMRI) and a methylated MGMT. 50% of the patients with a second surgery survived
at least two years postoperatively. Those encouraging observations emphasize the
importance of maximizing the resection by using, if possible, an intraoperative
guidance method like ioMRI with an analysis of biomarkers such as MGMT and if
necessary, multiple surgical procedures.
PMID- 29363299
TI - Thoracic and lumbosacral spinal giant schwannoma.
PMID- 29363300
TI - Full Characterization of CO2-Oil Properties On-Chip: Solubility, Diffusivity,
Extraction Pressure, Miscibility, and Contact Angle.
AB - Carbon capture, storage, and utilization technologies target a reduction in net
CO2 emissions to mitigate greenhouse gas effects. The largest such projects
worldwide involve storing CO2 through enhanced oil recovery-a technologically and
economically feasible approach that combines both storage and oil recovery.
Successful implementation relies on detailed measurements of CO2-oil properties
at relevant reservoir conditions (P = 2.0-13.0 MPa and T = 23 and 50 degrees C).
In this paper, we demonstrate a microfluidic method to quantify the comprehensive
suite of mutual properties of a CO2 and crude oil mixture including solubility,
diffusivity, extraction pressure, minimum miscibility pressure (MMP), and contact
angle. The time-lapse oil swelling/extraction in response to CO2 exposure under
stepwise increasing pressure was quantified via fluorescence microscopy, using
the inherent fluorescence property of the oil. The CO2 solubilities and diffusion
coefficients were determined from the swelling process with measurements in
strong agreement with previous results. The CO2-oil MMP was determined from the
subsequent oil extraction process with measurements within 5% of previous values.
In addition, the oil-CO2-silicon contact angle was measured throughout the
process, with contact angle increasing with pressure. In contrast with
conventional methods, which require days and ~500 mL of fluid sample, the
approach here provides a comprehensive suite of measurements, 100-fold faster
with less than 1 MUL of sample, and an opportunity to better inform large-scale
CO2 projects.
PMID- 29363301
TI - Incorporating Trialkylsilylethynyl-Substituted Head-to-Head Bithiophene Unit into
Copolymers for Efficient Non-Fullerene Organic Solar Cells.
AB - Mediating the backbone coplanarity and solubility of oligothiophenes, especially
the head-to-head (HH) disubstituted bithiophene, to achieve an optically and
electronically advantageous building block for organic semiconductor materials is
a vital yet challenging task. On the other hand, exploring polymer solar cells
(PSCs) processed from nonhalogenated solvents is necessary toward their large
scale applications. In this contribution, we develop a HH-type bithiophene
analogue (TIPS-T2) by strategically applying the triisopropylsilylethynyl (TIPS)
scaffold as the side chain. TIPS can serve to narrow optical band gaps, lower the
highest occupied molecular orbital level, reduce intrachain steric hindrance, and
guarantee sufficient solubility of the involving polymers. Upon alternating with
difluorobenzotriazole (FTAZ) or benzodithiophene-4,8-dione (BDD) acceptor units,
two polymers named PT4Si-FTAZ and PT4Si-BDD are synthesized. Encouragingly, non
fullerene PSCs incorporating PT4Si-FTAZ yield a power conversion efficiency of
6.79% when processed from an environment-friendly solvent of trimethylbenzene
because of its promoted backbone planarity, as demonstrated by density functional
theory, higher hole mobility, and superior film morphology. The results indicate
that TIPS-T2 is a promising building block for constructing photovoltaic
polymers, and our findings offer an avenue for the ingenious use of TIPS as
functional side chains.
PMID- 29363302
TI - Highly Exfoliated MWNT-rGO Ink-Wrapped Polyurethane Foam for Piezoresistive
Pressure Sensor Applications.
AB - The fabrication of pressure sensors based on reduced graphene oxide (rGO) as the
sensing material is challenging due to the intrinsic hydrophobic behavior of
graphene oxide inks as well as the agglomeration of graphene oxide flakes after
reduction. Hydrazine (a reducing agent) and a dual-component additive comprising
benzisothiazolinone and methylisothiazolinone in appropriate proportion were used
to synthesize a rGO ink with a hydrophilic nature. Utilizing this hydrophilic rGO
ink mixed with multiwalled carbon nanotubes (MWNTs), a very simple, low-cost
approach is demonstrated for the fabrication of a pressure sensor based on
polyurethane (PU) foam coated with the MWNT-rGO ink (MWNT-rGO@PU foam). The MWNT
rGO@PU foam-based devices are shown to be versatile pressure sensors with the
potential to detect both small-scale and large-scale movements. At low pressure
(below 2.7 kPa, 50% strain), the formation of microcracks that scatter electrical
charges results in a detectable increase in resistance suitable for detecting
small-scale motion. At a higher pressure, the compressive contact of the coated
faces of the PU foam results in a sharp decrease in resistance suitable for
monitoring of large-scale motion. Moreover, these sensors exhibit good
flexibility and reproducibility over 5000 cycles. The versatility of this sensor
has been demonstrated in a wide range of applications, such as speech
recognition, health monitoring, and body motion detection. The significant
advantages of this sensor are that its cost is low, it is easy to fabricate, and
it has a versatility that renders it favorable to health-monitoring applications.
PMID- 29363303
TI - Rapidly Responsive and Flexible Chiral Nematic Cellulose Nanocrystal Composites
as Multifunctional Rewritable Photonic Papers with Eco-Friendly Inks.
AB - Rapidly responsive and flexible photonic papers are manufactured by coassembly of
cellulose nanocrystals (CNCs) and waterborne polyurethane (WPU) latex for fully
taking advantage of the chiral nematic structure of CNCs and the flexibility of
WPU elastomer. The resulting CNC/WPU composite papers exhibit not only tunable
iridescent colors by adjusting the helical pitch size, but also instant optical
responses to water and wet gas, ascribed to the easy chain movement of the
elastomeric WPU that does not restrict the fast water absorption-induced swelling
of CNCs. By choosing water or NaCl aqueous solutions as inks, the colorful
patterns on the CNC/WPU photonic paper can be made temporary, durable, or even
disguisable. In addition, the photonic paper is simultaneously rewritable for all
these three types of patterns, and the disguisable patterns, which are invisible
at normal times and show up under stimuli, exhibit a quick reveal conversion just
by exhaling on the paper. The rewritability, rapid responsibility, easy
fabrication, and the eco-friendly nature of the inks make the flexible photonic
paper/ink combination highly promising in sensors, displays, and photonic
circuits.
PMID- 29363304
TI - Real-Time Visualization of Cysteine Metabolism in Living Cells with Ratiometric
Fluorescence Probes.
AB - Sulfite from cysteine metabolism in living cells plays a crucial role in
improving the water solubility of metabolic xenobiotics for their easier
excretion in urine or bile. However, an imbalance of sulfite in vivo would lead
to oxidative stress or age-related diseases, and an effective strategy for real
time imaging of cysteine metabolism in living cells is still lacking due to its
low metabolite concentration and rapid reaction kinetics. Herein, a cyanine
moiety based ratiometric fluorescence probe was developed for highly selective
and sensitive detection of sulfite in aqueous solution and living cells. The free
probe exhibited an orange emission color, and the fluorescence color would
gradually change to blue once sulfite anions selectively reacted with the
unsaturated carbon double bonds in the probe molecule. This ratiometric
fluorescence manner endowed the probe excellent sensitivity with a detection
limit of 0.78 nM, which was then explored to image the kinetic process of sulfite
release in hepatic BRL cells after incubating with an excess amount of cysteine.
This strategy opens new opportunities for revealing thiol-containing species
metabolism and even quantitatively tracking their distributions in live cells or
organelles.
PMID- 29363305
TI - Fecal Metabolomics of Type 2 Diabetic Rats and Treatment with Gardenia
jasminoides Ellis Based on Mass Spectrometry Technique.
AB - Modern studies have indicated Gardenia jasminoides Ellis (G. jasminoides) showed
positive effect in treating type 2 diabetes mellitus (T2DM). In this study, 60
streptozotocin-induced T2DM rats were divided into four groups: type 2 diabetes
control group, geniposide-treated group, total iridoid glycosides-treated group,
and crude extraction of gardenlae fructus-treated group. The other ten healthy
rats were the healthy control group. During 12 weeks of treatment, rat's feces
samples were collected for the metabolomics study based on mass spectrometry
technique. On the basis of the fecal metabolomics method, 19 potential biomarkers
were screened and their relative intensities in each group were compared. The
results revealed G. jasminoides mainly regulated dysfunctions in phenylalanine
metabolism, tryptophan metabolism, and secondary bile acid biosynthesis pathways
induced by diabetes. The current study provides new insight for metabonomics
methodology toward T2DM, and the results show that feces can preferably reflect
the liver and intestines disorders.
PMID- 29363306
TI - Product Studies and Mechanistic Analysis of the Reaction of Methylglyoxal with
Deoxyguanosine.
AB - Methylglyoxal (MG) is a highly reactive electrophile produced endogenously as a
byproduct of glucose metabolism and protein catabolism and exogenously as a food
contaminant. MG reacts spontaneously with proteins, lipids, and nucleic acids to
form advanced glycation end products (AGEs), modifying or inhibiting their
function. Protein AGEs are associated with pathological complications of
diabetes, cancer, and neurodegenerative diseases, while the physiological impact
of DNA, RNA, and lipid AGE formation is less well explored. Conflicting reports
in the literature on the biologically significant DNA-AGE product distribution
and mechanisms of formation prompted a re-examination of the reaction products of
MG with dG, oligonucleotides, and plasmid DNA under varying conditions of MG:dG
stoichiometry, pH, and reaction time. Major products identified using sequential
mass fragmentation and authentic standards were N2-(1-carboxyethyl)-2'-dG (CEdG),
N2-(1-carboxyethyl)-7-1-hydroxy-2-oxopropyl-dG (MG-CEdG), and 1,N2-(1,2-dihydroxy
2-methyl)ethano-2'-dG (cMG-dG). CEdG and MG-CEdG were observed in all DNA
substrates, although cMG-dG was not detected to any significant extent in
oligomeric or polymeric DNA. Product analyses of reactions under conditions of
diminished water activity as well as results from H218O labeling indicated that
MG hydration equilibria plays an important role in controlling product
distribution. In contrast to previous reports, our data support independent
mechanisms of formation of CEdG and cMG-dG, with the latter kinetic product
undergoing reversible formation under physiological conditions.
PMID- 29363307
TI - Photothermal Nanocomposite Hydrogel Actuator with Electric-Field-Induced Gradient
and Oriented Structure.
AB - Recent research of hydrogel actuators is still not sophisticated enough to meet
the requirement of fast, reversible, complex, and robust reconfiguration. Here,
we present a new kind of poly( N-isopropylacrylamide)/graphene oxide gradient
hydrogel by utilizing direct current electric field to induce gradient and
oriented distribution of graphene oxide into poly( N-isopropylacrylamide)
hydrogel. Upon near-infrared light irradiation, the hydrogel exhibited excellent
comprehensive actuation performance as a result of directional bending
deformation, promising great potential in the application of soft actuators and
optomechanical system.
PMID- 29363308
TI - Supercritical Fluids for Higher Extraction Yields of Lipids from Archeological
Ceramics.
AB - The extraction and study of organic residues from ceramics has been a subject of
interest for the last 50 years in archeology and archeological science. Lipids
are among the best-preserved organic substances in archeological contexts and can
provide information about the diets of ancient populations as well as past
environments. Here, we present a method which demonstrates significantly improved
extraction of lipids from archeological pots by replacing liquid organic solvents
with supercritical fluids. Optimization of the procedure using response surface
methodology (RSM) approach showed that, on our system, optimal conditions for
supercritical extraction of lipids from synthetic fired clay ceramics could be
achieved using carbon dioxide with 16 vol % of cosolvent EtOH-H2O (95:5 v/v) in
90 min at a flow rate of 2.3 mL/min, for a pressure of 30 MPa and a temperature
of 50 degrees C. For all reference and archeological samples included in this
study, lipid yields obtained by supercritical fluid extraction under these
optimal conditions were systematically higher than by conventional solvent
extraction. This study also highlighted a variability of the ratio of unsaturated
versus saturated fatty acids depending on the extraction method. This can have
important implications in the identification of the residue(s). The increased
extraction efficiency provided by supercritical fluids, as well as their
minimally destructive nature, enable new and refined approaches to residue
analysis and dating of archeological ceramics.
PMID- 29363309
TI - Ab Initio Study on Surface Segregation and Anisotropy of Ni-Rich LiNi1-2yCoyMnyO2
(NCM) (y <= 0.1) Cathodes.
AB - Advances in ex situ and in situ (operando) characteristic techniques have
unraveled unprecedented atomic details in the electrochemical reaction of Li-ion
batteries. To bridge the gap between emerging evidences and practical material
development, an elaborate understanding on the electrochemical properties of
cathode materials on the atomic scale is urgently needed. In this work, we
perform comprehensive first-principle calculations within the density functional
theory + U framework on the surface stability, morphology, and elastic anisotropy
of Ni-rich LiNi1-2yCoyMnyO2 (NCM) (y <= 0.1) cathode materials, which are
strongly related to the emerging evidence in the degradation of Li-ion batteries.
On the basis of the surface stability results, the equilibrium particle
morphology is obtained, which is mainly determined by the oxygen chemical
potential. Ni-rich NCM particles are terminated mostly by the (012) and (001)
surfaces for oxygen-poor conditions, whereas the termination corresponds to the
(104) and (001) surfaces for oxygen-rich conditions. Besides, Ni surface
segregation predominantly occurs on the (100), (110), and (104) nonpolar
surfaces, showing a tendency to form a rocksalt NiO domain on the surface because
of severe Li-Ni exchange. The observed elastic anisotropy reveals that an uneven
deformation is more likely to be formed in the particles synthesized under poor
oxygen conditions, leading to crack generation and propagation. Our findings
provide a deep understanding of the surface properties and degradation of Ni-rich
NCM particles, thereby proposing possible solution mechanisms to the factors
affecting degradation, such as synthesis conditions, coating, or novel
nanostructures.
PMID- 29363310
TI - Preparation of 4-Deoxy-L-erythro-5-hexoseulose Uronic Acid (DEH) and Guluronic
Acid Rich Alginate Using a Unique exo-Alginate Lyase from Thalassotalea
crassostreae.
AB - Marine multicellular algae are considered promising crops for the production of
sustainable biofuels and commodity chemicals. However, their commercial
exploitation is currently limited by a lack of appropriate and efficient enzymes
for converting alginate into metabolizable building blocks, such as 4-deoxy-L
erythro-5-hexoseulose uronic acid (DEH). Herein, we report the discovery and
characterization of a unique exo-alginate lyase from the marine bacterium
Thalassotalea crassostreae that possesses excellent catalytic efficiency against
poly-beta-D-mannuronate (poly M) alginate, with a kcat of 135.8 s-1, and a 5-fold
lower kcat of 25 s-1 against poly-alpha-L-guluronate (poly G alginate). We
propose that this preference for poly M is due to a structural feature of the
protein's active site. The mode of action and specificity of this enzyme has made
it possible to design an effective and environmentally friendly process for the
production of DEH and low molecular weight guluronate-enriched alginate.
PMID- 29363311
TI - Label-Free Sensing of Human 8-Oxoguanine DNA Glycosylase Activity with a
Nanopore.
AB - Human 8-oxoguanine DNA glycosylase (hOGG1) plays a significant role in
maintaining the genomic integrity of living organisms for its capability of
repairing DNA lesions. Accurate detection of hOGG1 activity would greatly
facilitate the screening and early diagnosis of diseases. In this work, we report
a nanopore-based sensing strategy to probe the hOGG1 activity by employing the
enzyme-catalytic cleavage reaction of DNA substrate. The hOGG1 specifically
catalyzed the removal of the 8-hydroxyguanine (8-oxoG) and cleaved the DNA
substrates immobilized on magnetic beads, thereby releasing the output DNA which
would quantitatively produce the signature current events when subjected to alpha
hemolysin (alpha-HL) nanopore test. The approach enables the sensitive detection
of hOGG1 activity without the need of any labeling or signal amplification route.
Furthermore, the method can be applied to assay the inhibition of hOGG1 and
evaluate the activity of endogenous hOGG1 in crude cell extracts. Importantly,
since DNAs with specific sequences are the catalytic substrates of a wide variety
of enzymes, the proposed strategy should be universally applicable for probing
the activities of different types of enzymes with nanopore sensors.
PMID- 29363312
TI - Mobility of Aqueous and Colloidal Neptunium Species in Field Lysimeter
Experiments.
AB - Due to its radiotoxicity, long half-life, and potentially high environmental
mobility, neptunium transport is of paramount importance for risk assessment and
safety. Environmental transport of neptunium through field lysimeters at the
Savannah River Site was observed from both oxidized (Np(V)) and reduced (Np(IV))
source materials. While transport from oxidized neptunium sources was expected,
the unexpected transport from reduced neptunium sources spurred further
investigation into transport mechanisms. Partial oxidation of the reduced
neptunium source resulted in significant release and transport into the mobile
aqueous phase, though a reduced colloidal neptunium species appears to have also
been present, enhancing neptunium mobility over shorter distances. These field
and laboratory experiments demonstrate the multiple controls on neptunium vadose
zone transport and chemical behavior, as well as the need for thorough
understanding of radionuclide source terms for long-term risk prediction.
PMID- 29363313
TI - Biomimetic Mineralization Guided One-Pot Preparation of Gold Clusters Anchored
Two-Dimensional MnO2 Nanosheets for Fluorometric/Magnetic Bimodal Sensing.
AB - A novel fluorometric/magnetic bimodal sensor is reported based on gold
nanoclusters (Au NCs)-anchored two-dimensional (2D) MnO2 nanosheets (Au NCs-MnO2)
that are synthesized through a one-pot biomimetic mineralization process. Bovine
serum albumin (BSA) was used as the template to guide the formation and assembly
of the Au NCs-MnO2 under physiological conditions and without use of any strong
oxidizing agent and toxic surfactants as well as organic solvent. The
fluorescence of Au NCs was first quenched by MnO2 nanosheets, while upon H2O2
introduction, the MnO2 nanosheets can be sensitively and selectively reduced to
Mn2+ with enhanced magnetic resonance (MR) signal and rapid recovery of Au NCs
fluorescence simultaneously. This dual-modal strategy can overcome the weakness
of a single-fluorescence detection mode. A linear range of 0.06-2 MUM toward H2O2
was obtained for the fluorescence mode, whereas the MR mode also allowed
detection of H2O2 at a concentration that ranged from 0.01 to 0.2 mM. Benefiting
from the BSA molecule residual on the product surface, the as-prepared Au NCs
MnO2 displays low cytotoxicity and good biocompatibility. Importantly, the
successful application of Au NCs-MnO2 for analysis of H2O2 in biological samples
and cells indicates that the integration of Au NCs fluorescence with Mn2+ MR
response provides a promising bimodal sensing platform for H2O2 in vivo
monitoring.
PMID- 29363315
TI - Real-Space Observation of Skyrmionium in a Ferromagnet-Magnetic Topological
Insulator Heterostructure.
AB - The combination of topological insulators, that is, bulk insulators with gapless,
topologically protected surface states, with magnetic order is a love-hate
relationship that can unlock new quantum states and exotic physical phenomena,
such as the quantum anomalous Hall effect and axion electrodynamics. Moreover,
the unusual coupling between topological insulators and ferromagnets can also
result in the formation of topological spin textures in the ferromagnetic layer.
Skyrmions are topologically protected magnetization swirls that are promising
candidates for spintronics memory carriers. Here, we report on the observation of
skyrmionium in thin ferromagnetic films coupled to a magnetic topological
insulator. The occurrence of skyrmionium, which appears as a soliton composed of
two skyrmions with opposite winding numbers, is tied to the ferromagnetic state
of the topological insulator. Our work presents a new combination of two
important classes of topological materials and may open the door to new
topologically inspired information-storage concepts in the future.
PMID- 29363316
TI - Target Confinement in Small Reaction Volumes Using Microfluidic Technologies: A
Smart Approach for Single-Entity Detection and Analysis.
AB - Over the last decades, the study of cells, nucleic acid molecules, and proteins
has evolved from ensemble measurements to so-called single-entity studies. The
latter offers huge benefits, not only as biological research tools to examine
heterogeneities among individual entities within a population, but also as
biosensing tools for medical diagnostics, which can reach the ultimate
sensitivity by detecting single targets. Whereas various techniques for single
entity detection have been reported, this review focuses on microfluidic systems
that physically confine single targets in small reaction volumes. We categorize
these techniques as droplet-, microchamber-, and nanostructure-based and provide
an overview of their implementation for studying single cells, nucleic acids, and
proteins. We furthermore reflect on the advantages and limitations of these
techniques and highlight future opportunities in the field.
PMID- 29363317
TI - In-situ left-sided bilateral internal thoracic artery: elevated hemidiaphragm.
AB - Background Procurement of the internal thoracic artery risks ipsilateral phrenic
nerve injury and elevated hemidiaphragm. Anatomical variations increase the risk
on the right side. Patients receiving left-sided in-situ right internal thoracic
artery configurations appear to be at greatest risk. Methods From 2014 to 2016,
432 patients undergoing left-sided in-situ bilateral internal thoracic artery
grafting were grouped according to right internal thoracic artery configuration:
retroaortic via transverse sinus (77%) or ante-aortic (23%); targets were the
circumflex and left anterior descending artery territories, respectively.
Elevated hemidiaphragm was assessed by serial chest radiographs and categorized
by side, complete (>=2 intercostal spaces) versus partial, and permanent versus
transient. Results Right elevated hemidiaphragm occurred in 4.2% of patients. The
incidence of radiological complete right elevated hemidiaphragm was 2.8%
(12/432); 8 cases were transient with recovery in 3.5 +/- 0.3 weeks. Permanent
right elevated hemidiaphragm occurred in 0.9% (retroaortic group only). Permanent
left elevated hemidiaphragm occurred in 0.9% and was significantly higher in the
ante-aortic group (3/99 vs. 1/333, p = 0.039). No bilateral hemidiaphragm
elevation was documented. Partial right elevated hemidiaphragm occurred in 1.4%
and was not associated with adverse early or late respiratory outcomes.
Conclusions Despite susceptible right phrenic nerve-internal thoracic artery
anatomy, the incidence of permanent right elevated hemidiaphragm is low and no
higher than left-sided in prone bilateral internal thoracic artery subsets. This
reflects skeletonized internal thoracic artery procurement. Although statistical
significance was not achieved, a retroaortic right internal thoracic artery
configuration may constitute a higher risk of right phrenic nerve injury.
PMID- 29363318
TI - Characterization of single vs. recurrent spontaneous coronary artery dissection.
AB - Background Spontaneous coronary artery dissection is a rare non-atherosclerotic
cause of acute coronary syndromes, often underdiagnosed based on standard
coronary angiography. Moreover, features, presentation, and intravascular imaging
of recurrent spontaneous dissections have not been assessed. Methods Patients
with recurrent spontaneous coronary artery dissection, who were admitted to our
catheterization laboratory over a 10-year period, were identified. Demographic,
clinical, angiographic, and intravascular imaging data were reviewed and
analyzed, comparing patients with a single event with those who had a recurrence.
Results Over the study period, 31 (0.2%, mean age 48.1 +/- 8.8 years, 24 females)
of 10,954 patients who underwent coronary angiography experienced a single
spontaneous coronary artery dissection, and 6 (0.05%, mean age 49.3 +/- 10.1
years, 4 females) experienced recurrent spontaneous coronary artery dissection.
No patient suffered more than 2 dissections. Arterial hypertension ( p = 0.004),
a string sign measuring >15 mm on angiography, and hematoma on intravascular
ultrasound imaging were more frequently observed in patients with recurrent
spontaneous coronary artery dissection. Conclusions Hypertension, length of the
string sign on angiography, and hematoma on intravascular ultrasound imaging
might identify patients at higher risk of recurrent spontaneous coronary artery
dissection despite a lifelong dual antiplatelet regimen.
PMID- 29363314
TI - Life under the Microscope: Single-Molecule Fluorescence Highlights the RNA World.
AB - The emergence of single-molecule (SM) fluorescence techniques has opened up a
vast new toolbox for exploring the molecular basis of life. The ability to
monitor individual biomolecules in real time enables complex, dynamic folding
pathways to be interrogated without the averaging effect of ensemble
measurements. In parallel, modern biology has been revolutionized by our emerging
understanding of the many functions of RNA. In this comprehensive review, we
survey SM fluorescence approaches and discuss how the application of these tools
to RNA and RNA-containing macromolecular complexes in vitro has yielded
significant insights into the underlying biology. Topics covered include the
three-dimensional folding landscapes of a plethora of isolated RNA molecules,
their assembly and interactions in RNA-protein complexes, and the relation of
these properties to their biological functions. In all of these examples, the use
of SM fluorescence methods has revealed critical information beyond the reach of
ensemble averages.
PMID- 29363319
TI - Morphological analysis using geometric parameters for splenic aneurysms.
AB - Background Considering the unique characteristics of splenic artery aneurysms, we
hypothesized that hemodynamic forces could play an important role in splenic
artery aneurysm formation and that splenic artery geometry should be correlated
with aneurysm development. Methods Tortuosity of the splenic artery was evaluated
three-dimensionally by calculating the curvature using software and the original
modeling system. We selected 54 splenic artery aneurysm patients who had
undergone thin-slice computed tomography imaging with contrast. We compared the
splenic artery aneurysm group to non-vascular patients via propensity-score
matching (35 patients in each group). The splenic artery length index, average
curvature, and maximum curvature were analyzed. Results Splenic artery aneurysm
patients tended to have a longer splenic artery and the curvature was more severe
compared to the non-vascular control patients. The average curvature of splenic
artery aneurysm patients was associated with the dilatation rate in female
patients. Conclusion Females with a tortuous splenic artery may have an increased
risk of aneurysm formation.
PMID- 29363320
TI - Outcomes of lung transplant recipients with preoperative atrial fibrillation.
AB - Background Preoperative atrial fibrillation is associated with poor outcomes
after cardiac surgery, but its effect on lung transplantation outcomes remains
unknown. Methods We retrospectively reviewed the charts of 235 patients who
underwent lung transplantation in our institution from 2013 to 2015, analyzing
demographics, length of stay, survival, readmissions, and cardiac events. Mean
recipient age was 59 +/- 11 years, and 142 (60.4%) were men. Patients were
grouped according to pre-transplantation atrial fibrillation status (atrial
fibrillation/no atrial fibrillation). Results The atrial fibrillation group ( n =
38; 16.2%) was significantly older with a longer ischemic time, more
postoperative atrial arrhythmias (73.7% vs. 20.8%, p = 0.01), and a longer median
postoperative length of stay (16 vs. 13 days, p = 0.02). The median total
hospital stay in the first postoperative year was also higher in the atrial
fibrillation group (27 vs. 21 days, p = 0.25). Short-term survival and survival
during follow-up did not differ significantly between groups. Conclusions Lung
transplant recipients with preoperative atrial fibrillation are at increased risk
of adverse cardiovascular outcomes and longer hospital stay. Preoperative atrial
fibrillation may portend adverse events after lung transplantation.
PMID- 29363322
TI - Albuminuria in kidney transplant recipients is associated with increased urinary
serine proteases and activation of the epithelial sodium channel.
AB - Albuminuria predicts adverse renal outcome in kidney transplant recipients. The
present study addressed the hypothesis that albuminuria is associated with
increased urine serine proteases with the ability to activate the epithelial
sodium channel (ENaC) and with greater extracellular volume and higher blood
pressure. In a cross-sectional design, kidney transplant recipients with ( n =
18) and without ( n = 19) albuminuria were included for office blood pressure
measurements, estimation of volume status by bioimpedance, and collection of spot
urine and plasma samples. Urine was analyzed for serine proteases and for the
ability to activate ENaC current in vitro. Urine exosome protein was
immunoblotted for prostasin and gamma-ENaC protein. In the present study, it was
found that, compared with nonalbuminuria (8.8 mg/g creatinine), albuminuric
(1,722 mg/g creatinine) kidney transplant recipients had a higher systolic and
diastolic blood pressure, despite receiving significantly more antihypertensives,
and a greater urinary total plasminogen, active plasmin, active urokinase-type
plasminogen activator, and prostasin protein abundance, which correlated
significantly with u-albumin. Fluid overload correlated with systolic blood
pressure, urinary albumin/creatinine, and plasminogen/creatinine. Urine from
albuminuric kidney transplant recipients evoked a greater amiloride- and
aprotinin-sensitive inward current in single collecting duct cells (murine cell
line M1). gammaENaC subunits at 50 and 75 kDa showed increased abundance in urine
exosomes from albuminuric kidney transplant recipients when compared with
controls. These findings show that albuminuria in kidney transplant recipients is
associated with hypertension, ability of urine to proteolytically activate ENaC
current, and increased abundance of gammaENaC. ENaC activity could contribute to
hypertension and adverse outcome in posttransplant proteinuria.
PMID- 29363321
TI - Comparison of contrast-enhanced ultrasound with MRI in the diagnosis of complex
cystic renal masses: a meta-analysis.
AB - Background The differential diagnosis of cystic renal masses still faces great
challenges. There has been no systematically assessment to compare the value of
contrast-enhanced ultrasound (CEUS) with magnetic resonance imaging (MRI) in the
diagnosis of cystic renal masses. Purpose To perform a meta-analysis to compare
the diagnostic efficacy of CEUS with that of MRI for cystic renal masses.
Material and Methods A systematic search was performed for literature evaluating
the diagnostic performance of CEUS or MRI in cystic renal masses. Quality
assessment of diagnostic studies 2 (QUADAS-2) was used to evaluate the quality of
each study included. The pooled sensitivity, specificity, positive likelihood
ratio, negative likelihood ratio, and the areas under the summary receiver
operating characteristic (AUCs-SROC) curve for CEUS and MRI were calculated,
respectively. Results Seventeen studies with 1142 lesions were included. The
pooled sensitivity, specificity, positive likelihood ratio, and negative
likelihood ratio for CEUS /MRI were 0.95/0.92, 0.84/0.91, 5.62/6.74, and
0.09/0.13, respectively. The AUCs-SROC curves for the two methods were 95.66% and
94.65%. The subgroup analysis indicated that the scanning slice thickness may
influence the diagnostic efficacy of MRI. Conclusion Both CEUS and MRI have good
diagnostic performance for cystic renal masses and can provide the reference for
clinicians. CEUS is more sensitive but less specific than MRI.
PMID- 29363323
TI - The tale of two (distal nephron) cell types.
PMID- 29363324
TI - Chronic nicotine exposure reduces klotho expression and triggers different renal
and hemodynamic responses in klotho-haploinsufficient mice.
AB - The klotho gene, which encodes a single-pass transmembrane protein and a secreted
protein, is expressed predominantly by the distal renal tubules and is related to
calcium phosphorus metabolism, ion channel regulation, intracellular signaling
pathways, and longevity. Klotho deficiency aggravates acute kidney injury and
renal fibrosis. Exposure to nicotine also worsens kidney injury. Here, we
investigated renal Klotho protein expression in a mouse model of chronic (28-day)
nicotine exposure, in which mice received nicotine or vehicle (saccharine) in
drinking water, comparing wild-type (WT) mice, klotho-haploinsufficient ( kl/+)
mice, and their respective controls, in terms of the effects of that exposure.
Nicotine exposure was associated with a significant decline in renal Klotho
expression in WT and kl/+ mice as well as a reduction in the glomerular
filtration rate in WT mice. Although plasma electrolytes were similar among the
groups, fractional excretion of sodium was reduced in both nicotine-exposed
groups. The nicotine-WT mice presented augmented baroreflex sensitivity to
nitroprusside and augmented sympathetic cardiac modulation. However, nicotine-
kl/+ mice presented higher plasma levels of urea and aldosterone together with a
higher alpha-index (spontaneous baroreflex) and higher peripheral sympathetic
modulation, as evaluated by spectral analysis. We can conclude that nicotine
downregulates Klotho expression as well as that renal and autonomic responses to
nicotine exposure are modified in kl/+ mice.
PMID- 29363325
TI - Short-term high-glucose treatment decreased abundance of Orai1 protein through
posttranslational mechanisms in rat mesangial cells.
AB - The short-term effect of high-glucose (HG) treatment on store-operated Ca2+ entry
in mesangial cells (MCs) is not well-known. The aim of the present study was to
determine whether and how HG treatment for a short period altered protein
abundance of Orai1, the channel mediating store-operated Ca2+ entry in MCs. Rat
and human MCs were exposed to HG (25 mM) for 2, 4, 8, and 24 h, and the abundance
of Orai1 protein was significantly decreased at the time points of 8 and 16 h.
Consistently, HG treatment for 8 h significantly reduced store-operated Ca2+
entry in rat MCs. However, HG treatment for the same time periods did not alter
the levels of Orai1 transcript. Cycloheximide, a protein synthesis inhibitor, did
not affect the HG-induced decrease of Orai1 protein, suggesting a
posttranslational mechanism was involved. However, the HG effect on Orai1 protein
was significantly attenuated by MG132 (a ubiquitin-proteasome inhibitor) and
NH4Cl (a lysosomal pathway inhibitor). Furthermore, HG treatment for 8 h
stimulated ubiquitination of Orai1 protein. We further found that polyethylene
glycol-catalase, an antioxidant, significantly blunted the HG-induced reduction
of Orai1 protein. In support of involvement of reactive oxygen species in the HG
effects, hydrogen peroxide (H2O2) itself significantly decreased abundance of
Orai1 protein and increased the level of ubiquitinated Orai1. Taken together,
these results suggest that a short-term HG treatment decreased abundance of Orai1
protein in MCs by promoting the protein degradation through the ubiquitination
proteasome and -lysosome mechanisms. This HG-stimulated posttranslational
mechanism was mediated by H2O2.
PMID- 29363326
TI - WNT signaling is required for peritoneal membrane angiogenesis.
AB - The wingless-type mouse mammary tumor virus integration site family (WNT)
signaling pathway is involved in wound healing and fibrosis. We evaluated the WNT
signaling pathway in peritoneal membrane injury. We assessed WNT1 protein
expression in the peritoneal effluents of 54 stable peritoneal dialysis (PD)
patients and WNT-related gene expression in ex vivo mesothelial cell cultures
from 21 PD patients. In a transforming growth factor-beta (TGF-beta)-mediated
animal model of peritoneal fibrosis, we evaluated regulation of the WNT pathway
and the effect of WNT inhibition on peritoneal fibrosis and angiogenesis. WNT1
and WNT2 gene expression were positively correlated with peritoneal membrane
solute transport in PD patients. In the mouse peritoneum, TGF-beta-induced
peritoneal fibrosis was associated with increased expression of WNT2 and WNT4.
Peritoneal beta-catenin protein was significantly upregulated after infection
with adenovirus expressing TGF-beta (AdTGF-beta) along with elements of the WNT
signaling pathway. Treatment with a beta-catenin inhibitor (ICG-001) in mice with
AdTGF-beta-induced peritoneal fibrosis resulted in attenuation of peritoneal
angiogenesis and reduced vascular endothelial growth factor. Similar results were
also observed with the WNT antagonist Dickkopf-related protein (DKK)-1. In
addition to this, DKK-1 blocked epithelial-mesenchymal transition and increased
levels of the cell adhesion protein E-cadherin. We provide evidence that WNT
signaling is active in the setting of experimental peritoneal fibrosis and WNT1
correlates with patient peritoneal membrane solute transport in PD patients.
Intervention in this pathway is a possible therapy for peritoneal membrane
injury.
PMID- 29363327
TI - Mechanical challenges and cytoskeletal impairments in focal segmental
glomerulosclerosis.
AB - Focal segmental glomerulosclerosis (FSGS) is a histologically defined form of
kidney injury typically mediated by podocyte dysfunction. Podocytes rely on their
intricate actin-based cytoskeleton to maintain the glomerular filtration barrier
in the face of mechanical challenges resulting from pulsatile blood flow and
filtration of this blood flow. This review summarizes the mechanical challenges
faced by podocytes in the form of stretch and shear stress, both of which may
play a role in the progression of podocyte dysfunction and detachment. It also
reviews how podocytes respond to these mechanical challenges in dynamic fashion
through rearranging their cytoskeleton, triggering various biochemical pathways,
and, in some disease states, altering their morphology in the form of foot
process effacement. Furthermore, this review highlights the growing body of
evidence identifying several mutations of important cytoskeleton proteins as
causes of FSGS. Lastly, it synthesizes the above evidence to show that a better
understanding of how these mutations leave podocytes vulnerable to the mechanical
challenges they face is essential to better understanding the mechanisms by which
they lead to disease. The review concludes with future research directions to
fill this gap and some novel techniques with which to pursue these directions.
PMID- 29363329
TI - Retraction Notice.
AB - At the request of the Journal Editor and the Publisher, the following article has
been retracted: Lee I. (2015) The effect of postural control intervention for
congenital muscular torticollis: a randomized controlled trial. Clinical
Rehabilitation 29(8): 795-802. DOI: 10.1177/0269215514555037. This article
demonstrates a high level of unreferenced overlap with the following article:
Rahlin, M. (2005) TAMO Therapy as a Major Component of Physical Therapy
Intervention for an Infant With Congenital Muscular Torticollis: A Case Report.
Paediatric Physical Therapy 17(3):L 209-218. 10.1097/01.pep.0000179176.20035.f0.
PMID- 29363330
TI - Chemical constituents of Geum urbanum L. roots.
AB - A new dehydrodigallic acid derivative (1), along with 19 known compounds,
including 6 phenolic derivatives, 2 steroids and 11 triterpenoids were isolated
from the ethanol extract of the root of Geum urbanum. Herein, there is the first
report of steroid and triterpene in Geum urbanum. The purified metabolites were
characterised by NMR spectroscopic and mass spectrometric analyses. The
identification of the known compounds (2-20) was based on the comparison of their
NMR spectroscopic features with previously published data. The structural
characteristics of compound 1 were elucidated by comprehensive 1D and 2D NMR
spectroscopic methods and acid hydrolysis.
PMID- 29363331
TI - Enalapril decreases rat plasma concentration of TMAO, a gut bacteria-derived
cardiovascular marker.
AB - INTRODUCTION: Increased plasma level of trimethylamine N-oxide (TMAO), a
bacterial metabolite of choline, is associated with an increased cardiovascular
risk. Indoxyl sulfate, a bacterial metabolite of tryptophan, is thought to be
associated with higher mortality in cardiorenal syndrome. We hypothesized that
enalapril, a well-established drug reducing cardiovascular mortality, may affect
the plasma level of gut bacteria-derived metabolites and gut bacteria
composition. MATERIALS AND METHODS: 14-16-week-old Wistar rats were maintained
either on water (controls) or water solution of enalapril for two weeks (5.3 or
12.6 mg/kg b.w.). Blood plasma and urine were analyzed for the concentration of
TMAO and indoxyl sulfate using liquid chromatography coupled with triple
quadrupole mass spectrometry. Gut bacteria composition was analyzed with 16S rRNA
gene sequence analysis. RESULTS: Rats treated with enalapril showed a
significantly lower plasma TMAO level and a trend towards higher 24 h urine
excretion of TMA and TMAO. Plasma indoxyl level was similar between the groups.
There was no significant difference between the groups in gut bacteria
composition. CONCLUSIONS: Enalapril decreases rat plasma TMAO, but does not
affect the plasma level of indoxyl sulfate and gut bacteria composition. The
enalapril-induced decrease in plasma TMAO level may be of therapeutic and
diagnostic importance.
PMID- 29363332
TI - The application of improved abdominal wall punctures technique in
ventriculoperitoneal shunt for hydrocephalus: a retrospective analysis versus
open mini-laparotomy.
AB - PURPOSE: Ventriculoperitoneal shunt (VPS) remains as one of the main treatment
for hydrocephalus. The traditional technique for placing the distal ends of
shunts is via a mini-laparotomy. However, laparotomies are relatively time
consuming. Trocars to penetrate abdominal wall by blind puncture have been used.
Here, we report on the abdominal wall puncture technique, and compare the
possible complications and outcomes with traditional mini-laparotomy. MATERIALS
AND METHODS: We use a 5mm incision at the inverse McBurney point. The abdominal
wall on both sides of the incision point is lifted with two towel clips prior to
puncture to create a potential gap between the abdominal wall and viscera. After
the puncture, a guide wire is inserted followed by a dilator, introducer and the
distal shunt tubing using a Seldinger technique. In this process, the operator
feels resistance give with breakthrough of parietal peritoneal. After the
insertion of introducer, a negative pressure injection test helps confirm whether
the introducer is inside the peritoneum. RESULTS: Operative time is less than
with mini-laparotomies. Postoperative abdominal symptoms are mild. Out of 29
patients there were no puncture related complications. CONCLUSION: The improved
abdominal-wall puncture technique is a simple, fast, economical and effective
method. Patients, who are treated by the method, generally experience rapid
postoperative recoveries.
PMID- 29363328
TI - Molecular physiology and pathophysiology of stromal interaction molecules.
AB - Ca2+ release from the endoplasmic reticulum is an important component of Ca2+
signal transduction that controls numerous physiological processes in eukaryotic
cells. Release of Ca2+ from the endoplasmic reticulum is coupled to the
activation of store-operated Ca2+ entry into cells. Store-operated Ca2+ entry
provides Ca2+ for replenishing depleted endoplasmic reticulum Ca2+ stores and a
Ca2+ signal that regulates Ca2+-dependent intracellular biochemical events.
Central to connecting discharge of endoplasmic reticulum Ca2+ stores following G
protein-coupled receptor activation with the induction of store-operated Ca2+
entry are stromal interaction molecules (STIM1 and STIM2). These highly
homologous endoplasmic reticulum transmembrane proteins function as sensors of
the Ca2+ concentration within the endoplasmic reticulum lumen and activators of
Ca2+ release-activated Ca2+ channels. Emerging evidence indicates that in
addition to their role in Ca2+ release-activated Ca2+ channel gating and store
operated Ca2+ entry, STIM1 and STIM2 regulate other cellular signaling events.
Recent studies have shown that disruption of STIM expression and function is
associated with the pathogenesis of several diseases including autoimmune
disorders, cancer, cardiovascular disease, and myopathies. Here, we provide an
overview of the latest developments in the molecular physiology and
pathophysiology of STIM1 and STIM2. Impact statement Intracellular Ca2+ signaling
is a fundamentally important regulator of cell physiology. Recent studies have
revealed that Ca2+-binding stromal interaction molecules (Stim1 and Stim2)
expressed in the membrane of the endoplasmic reticulum (ER) are essential
components of eukaryote Ca2+ signal transduction that control the activity of ion
channels and other signaling effectors present in the plasma membrane. This
review summarizes the most recent information on the molecular physiology and
pathophysiology of stromal interaction molecules. We anticipate that the work
presented in our review will provide new insights into molecular interactions
that participate in interorganelle signaling crosstalk, cell function, and the
pathogenesis of human diseases.
PMID- 29363333
TI - Poverty and Health Disparities: What Can Public Health Professionals Do?
AB - More than a tenth of the U.S. population (13% = 41 million people) is currently
living in poverty. In this population, the socioeconomic, cultural, and
environmental conditions have detrimental health effects such as higher rates of
chronic diseases, communicable illnesses, health risk behaviors, and premature
mortality. People living in poverty are also deprived of social, psychological,
and political power, leading to continuation of worsening health and chronic
deprivation over generations. The health of individuals living in poverty poses
greater challenges from policy, practice, and research standpoints. Public health
professionals are poised uniquely to be advocates for the marginalized, be the
resource persons for health education, implement health promotion programs, and
conduct research to understand health effects of poverty and design tailored and
targeted public health interventions. In this article, we summarize the
opportunities for public health practice with individuals living in poverty.
PMID- 29363334
TI - Community-Clinical Linkages With Community Health Workers in the United States: A
Scoping Review.
AB - Despite the proliferation of community-clinical linkage (CCL) interventions with
community health workers (CHWs), little is known about the components of these
programs or how linkages are realized. In this scoping review, we synthesize
evidence concerning the role of CHWs in creating and sustaining CCLs aimed at
improving individual health outcomes. Our inclusion criteria included peer
reviewed articles that described a CHW intervention in the United States that
used a CCL model. A total of 2,776 titles and/or abstracts were screened and 47
articles underwent full text review. Two independent reviewers rated the screened
articles based on additional criteria including the CHW connection to community
and evidence of linkage follow up rather than simple referral. For the 11 peer
reviewed articles included in the final review, we describe the CHW's
relationship to the community, training, and role within the intervention,
linkage, and outcomes. We used a standardized framework to determine
commonalities in CHW roles across the interventions. CCLs with CHWs positively
affect the delivery of both clinical care and community resources across a range
of disease areas in a variety of contexts. To identify effective CCL models,
additional information on CHW training, CCL follow-up methods, and the CHW role
in CCLs is recommended.
PMID- 29363335
TI - Novel models for Parkinson's disease and their impact on future drug discovery.
AB - INTRODUCTION: Parkinson's disease is a progressive neurodegenerative disease that
affects millions of elderly individuals worldwide. Despite intensive efforts
dedicated to find a better treatment, the pathogenesis of Parkinson's Disease
remains unknown. In search for a better therapy for the disease, several new in
vivo and in vitro models of Parkinson's disease have been developed in recent
times. Areas covered: The authors provide an outline of the various traditional
models of Parkinson's disease and address those that have been recently
generated. They also discuss the utility of these models for the identification
of drugs of potential therapeutic value for Parkinson's Disease patients. From
the cell based models and the well-known toxin-based animal models, to the recent
genetic models and the increasingly used non-mammalian models, every model is
worthwhile in the search for a better Parkinson's Disease therapy. Expert
opinion: Almost 60 years after its discovery, levodopa is still the gold standard
treatment for Parkinson's Disease patients. It seems unlikely that a single model
can fully recapitulate the complexity of Parkinson's Disease in the same way it
appears improbable that a unique treatment could relieve both the motor and non
motor symptoms of Parkinson's Disease altogether. Therefore treatment will
probably require a combination of therapies.
PMID- 29363336
TI - Involvement of people with dementia in raising awareness and changing attitudes
in a dementia friendly community pilot project.
AB - Low levels of public understanding can contribute to the fear, stigma and social
exclusion associated with living with dementia. Dementia friendly communities aim
to address this by empowering people with dementia and increasing their social
inclusion. As a part of a Community Based Participatory Action Research (CBPAR)
process, a multicomponent dementia friendly community intervention supported:
research; the establishment of a Dementia Advisory Group and Alliance; an
awareness campaign and education in community organisations. Educational events
were co-designed and co-facilitated by people with dementia and their care
partners. To assess project reach and changes in attitudes of community members,
two cross sectional surveys were conducted with adults (aged over 18 years) using
validated scales. Independent samples t-tests compared responses to the surveys
prior to the commencement of the project (2014) and two years later (2016). In
2016, respondents showed increased agreement with the statements: 'People with
dementia participate in a wide variety of activities and interests,' and, 'It is
easy to find out about dementia friendly services or organisations in Kiama'.
Respondents who attended an educational event reported less negative views about
how they themselves would feel if they were diagnosed with dementia compared with
respondents who did not attend an event. CBPAR appears useful to support the
involvement of people with dementia and the engagement of the community to
improve awareness of dementia services. The direct involvement of people living
with dementia as spokespeople and educators was an effective way to improve
positive attitudes and reduce the negative stereotypes associated with living
with dementia. Further research is needed to compare different approaches to the
creation of dementia friendly communities in different locations, and to
establish the extent to which local interventions are useful to complement
efforts to raise awareness of dementia at a national level.
PMID- 29363337
TI - Pharmacokinetic drug evaluation of daclizumab for the treatment of relapsing
remitting multiple sclerosis.
AB - INTRODUCTION: Multiple sclerosis (MS) is a chronic inflammatory demyelinating
disease of the central nervous system. Despite the availability of several
disease-modifying therapies for relapsing MS, there is a need for highly
efficacious targeted therapy with a favorable benefit-risk profile and a high
level of treatment adherence. Daclizumab is a humanized monoclonal antibody
directed against CD25, the alpha subunit of the high-affinity interleukin 2 (IL
2) receptor, that reversibly modulates IL-2 signaling. Areas covered: Daclizumab
blocks the activation and expansion of autoreactive T cells that plays a role in
the immune pathogenesis of MS. As its modulatory effects on the immune system,
daclizumab's potential for use in MS was tested extensively showing a high
efficacy in reducing relapse rate, disability progression and the number and
volume of gadolinium-enhancing lesions on brain magnetic resonance imaging.
Moreover, phase II and III trials showed a favorable pharmacokinetic (PK) profile
with slow clearance, linear pharmacokinetics at doses above 100 mg and high
subcutaneous bioavailability, not influenced by age, sex or other clinical
parameters. Expert opinion: Among the new emerging drugs for MS, daclizumab also,
thanks to a favorable PK profile, may represent an interesting and promising
therapeutic option in the wide MS therapies armamentarium.
PMID- 29363338
TI - Ineffective Health Management in Hemodialysis Patients: Diagnostic Accuracy
Study.
AB - This study analyzed the accuracy of clinical indicators of ineffective health
management in hemodialysis patients. A diagnostic accuracy study was performed in
200 chronic kidney disease patients undergoing hemodialysis during the first half
of 2015. Measures of sensitivity and specificity were calculated using latent
class analysis. Ineffective health management was present in 66.28% of patients.
Accurate clinical indicators included expressed nonadherence to treatment, daily
life choices ineffective to achieve health goals, expression of difficulty with
prescribed regimens, inappropriate use of medicines, lack of expression of
willingness to control the disease, irregular attendance of dialysis sessions,
and infection. Hemodialysis may trigger a set of clinical indicators that predict
the presence of ineffective health management. This knowledge provides evidence
to clinical practices for hemodialysis patients and contributes to the best
clinical performance of nurse practitioners.
PMID- 29363339
TI - Effects of a Nurse-Led, Stage-Matched, Tailored Program for Smoking Cessation in
Health Education Centers: A Prospective, Randomized, Controlled Trial.
AB - The purpose of this study was to examine the effectiveness of a stage-matched
intervention performed at outpatient clinics. Participants were randomly assigned
to an intervention group (IG) or usual care group (UCG). The trial was targeted
on smoking patients with coronary heart disease or diabetes. After completing the
3-month intervention, both groups received a telephone follow-up at 6 months.
This analysis showed that the outcomes of the IG for the 7-day point prevalence
(PP) of abstinence (odds ratio [OR] = 2.00; p = .001) and 30-day PP (OR = 2.27; p
= .004) at 6 months were significantly better than the UCG. Stage of change (OR =
4.06; p < .001) and decreased daily cigarette consumption by 50% at 6 months (OR
= 2.26; p = .019) outcomes also improved significantly. The preliminary results
showed that a nurse-led cessation intervention in clinics may be an effective
approach to help outpatients quit smoking.
PMID- 29363340
TI - HIV status disclosure among postpartum women in rural Tanzania: predictors,
experiences and uptake of a nurse-facilitated disclosure intervention.
AB - HIV status disclosure is a key support strategy to start and maintain HIV care
and treatment and to reduce HIV transmission. We explored the patterns and
correlates of disclosure and described the effectiveness of nurse-facilitated
disclosure among HIV-infected mothers of infants in coastal Tanzania. We enrolled
400 HIV positive women in an observational longitudinal study in 2011,
interviewed them about maternal sociodemographic and economic characteristics,
maternal and child health and history of HIV disclosure experiences and offered
nurse-facilitated HIV disclosure at enrolment or at follow-up 1 month later.
Mothers frequently disclosed their status to husbands and/or female relatives and
experienced predominantly positive reactions. Economically vulnerable women
disclosed more often to elderly female relatives, indicating that Infant and
Young Child Feeding counseling given to HIV positive women should garner the
support of elderly female relatives for implementing appropriate feeding
practices. Nurse-facilitated disclosure was feasible in this low resource setting
and was used by patients to help them with both first-time disclosure and
disclosure to new persons.
PMID- 29363341
TI - Physiotherapy treatment of the diabetic shoulder: health-related quality of life
and measures of shoulder function regarding patients with type 1 diabetes.
AB - PURPOSE: The aim of this study was to investigate how health-related quality of
life (HRQoL) and functional shoulder range of motion are affected among patients
with diabetes with shoulder problems, treated with a specific physiotherapy
programme. A further aim was to investigate how health-related quality of life,
functional shoulder range of motion, pain intensity, and shoulder function
correlate within the group of patients after the treatment period. METHOD: A pre
post treatment design was applied for a study group of ten patients with type 1
diabetes and shoulder problems. The physiotherapy treatment consisted of
exercises promoting enhanced micro-circulation in the shoulder tissues, optimal
shoulder co-ordination, and muscle relaxation. The Short Form-36 (SF-36),
shoulder range of motion measures, the Shoulder Rating Scale - Swedish version,
and pain intensity measures were used. The results regarding SF-36 were compared
with the results of a control group of patients having either type 1 or type 2
diabetes and shoulder problems that did not receive any specific physiotherapy
treatment. RESULTS: As a potential result of physiotherapy training, a
significant change towards higher scores was observed in the physical component
summary (PCS) measure of SF-36. There was a significant improvement regarding PCS
in the study group as compared with the control group. There were negative
correlations between the four aspects of pain intensity and PCS and Shoulder
Rating Scale - Swedish version, respectively, but a positive correlation between
PCS and Shoulder Rating Scale - Swedish version. "Hand-raising" and "hand-behind
back" were significantly improved, and proved to be positively correlated with
Shoulder Rating Scale - Swedish version. CONCLUSIONS: The results of this study
indicate that patients with type 1 diabetes and shoulder problems, treated with a
specific physiotherapy programme, may improve with respect to physical aspects of
health-related quality of life, and partially regain their range of motion in the
shoulder joint. Based on these results, the associated treatment protocol may be
recommended for physiotherapy treatment in such patients. Implications for
Rehabilitation Diabetes is a significant risk factor in the development of
shoulder pain and disability. Health-related quality of life (HRQoL) is affected
in patients with diabetes and shoulder problems. A specific physiotherapy
programme may improve physical aspects of HRQoL in patients with diabetes and
shoulder problems. Specific physiotherapy intervention may also improve range of
motion in the shoulder joint in patients with diabetes and shoulder problems.
PMID- 29363342
TI - The effect of beta glucan on MTX induced testicular damage in rats.
AB - We investigated the histopathological effects of methotrexate (MTX), a
chemotherapeutic agent, and beta glucan (BG), an antioxidant, on rat testis. We
used four groups of Sprague-Dawley male rats: MTX, MTX + BG, BG, and control. The
MTX group was exposed to a single dose of MTX on the first day of experiment. The
MTX + BG group was exposed to a single dose of MTX and BG on the first day of
experiment followed by BG for 4 additional days. The BG group was exposed to BG
for 5 days. The control group was given saline for 5 days. On day five, all
animals were sacrificed and testicular tissue was evaluated for histopathology
and the terminal deoxynucleotidyl transferase (TdT) deoxyuridine triphosphate
nick-end labeling assay (TUNEL) was used to detect apoptosis. The apoptotic index
(AI) and testicular damage increased in the MTX group compared to the other three
groups. Histopathology was reduced in the MTX + BG group compared to the MTX
group. Seminiferous tubule diameter was reduced in the MTX group compared to the
BG group; we found no difference between control and BG groups. The thickness of
th e germinal epithelium was reduced in the MTX group compared to the other
groups. We found no difference in testicular weight among the groups. We compared
body weight before and after the experiment; weights in the MTX and MTX + BG
groups were significantly reduced compared to controls. In the control groups, we
found a statistically significant increase in body weight, whereas there was no
change in the BG group. We found that MTX causes deleterious effects on
testicular tissue and that beta glucan may be protective.
PMID- 29363343
TI - Fevipiprant in the treatment of asthma.
AB - INTRODUCTION: Asthma is common and in many, particularly those with more severe
disease, there remains a substantial unmet need. Success with biologics targeting
eosinophilic inflammation underscore the value of treating inflammation in asthma
beyond corticosteroids. Fevipiprant (QAW039) is an oral treatment for asthma. It
competitively and reversibly antagonises the prostaglandin D2 receptor 2 (DP2)
expressed on inflammatory and structural cells. Areas covered: We reviewed
fevipiprant's mode of action and efficacy against other current and emerging
pharmacological interventions for moderate-to-severe asthma. We undertook a
literature review using the PubMed/Medline database, the U.S. National Library of
Medicine's Clinical Trials website and from manufacturers' press releases with
the search terms: 'QAW039', 'Fevipiprant', 'CRTH2 antagonists', 'DP2', 'DP1',
'monoclonal antibody', 'eosinophil' with 'asthma' plus the names of individual
drugs. Three Phase 2 trials have been conducted and three Phase 3 trials
(NCT02563067, NCT03052517, NCT02555683) are in progress. To date Fevipiprant's
greatest success has been in targeting severe eosinophilic asthma. Expert
opinion: Fevipiprant presents the possibility of a new orally active therapy for
asthma. If successful in phase 3 trials it will have an enormous impact on the
treatment paradigm for asthma and will potentially widen access for pre-biologic
treatment to a larger population.
PMID- 29363344
TI - Association between gestational diabetes and biomarkers: a role in diagnosis.
AB - BACKGROUND: We investigated the association between markers of insulin
resistance, chronic inflammation, and adipokines and GDM. METHODS: In our case
cohort study in Johannesburg we included women with GDM and controls. We tested
the ability of biomarkers to identify women at high risk of GDM. RESULTS: Of the
262 pregnant women, 83 (31.7%) had GDM. Women with GDM were heavier (p = 0.04)
and had more clinical risk factors (p = 0.008). We found a significant difference
in fasting insulin (p < 0.001), adiponectin (p = 0.046), HOMA (p < 0.001) and
QUICKI (p < 0.001). HOMA (AUROC = 0.82) or QUICKI (AUROC = 0.82) improved the
ability of risk factors to identify women at high risk of GDM. CONCLUSIONS:
Insulin sensitivity markers are promising tools to identify women at high risk of
GDM.
PMID- 29363345
TI - Androgenetic alopecia; drug safety and therapeutic strategies.
AB - INTRODUCTION: Androgenetic alopecia (AGA) is a benign condition with variable
psychosocial impact, with some individuals adapting well while others needing
therapeutic support. Although 5alpha-reductase inhibitors like finasteride and
dutasteride have proven effective in ameliorating AGA, their use/selection is
currently a subject of debate. Areas covered: Treatment of AGA with 5alpha
reductase inhibitors lead to variable adverse effects and relatively unstable
results (therapeutic efficacy ending with treatment cessation), so the choice of
optimal therapy is not straightforward. This paper presents a general perspective
regarding AGA based on studies listed in PubMed, to better understand/appreciate
the opportunity for long term use of medication for a biological condition having
non-life threatening implications. Studies focussed on adverse effects suggest
that finasteride should be used with caution in AGA, due to considerable and
persistent side effects induced in some men. In contrast, efficacy data indicate
that dutasteride (a stronger inhibitor) presents superior therapeutic results
compared to finasteride. Expert opinion: This paper argues that finasteride
should be preferred to dutasteride in the treatment of AGA. Thus, finasteride
preserves important physiological roles of dihydrotestosterone (unrelated to AGA)
and, in addition, its adverse effects seem to be (at least in part) predictable.
PMID- 29363346
TI - Pediatric nondura-based clear cell meningioma of the cauda equina: case report
and review of literature.
AB - Although spinal meningiomas are uncommon in children, clear cell meningioma has
been described as the most common variant of spinal meningiomas in the pediatric
age group. 24 cases of pediatric spinal clear call meningioma have been reported
in the literature. We report one case and review the literature regarding
pediatric spinal clear cell meningioma.
PMID- 29363347
TI - Biotransformation of (+)-isofraxinellone by Aspergillus niger and insect
antifeedant activity.
AB - The biotransformation of (+)-isofraxinellone (1) by Aspergillus niger was
investigated. Compound 1 was transformed to only one new compound 2. The
structure of 2 was identified as (-)-(4S)-4-hydroxyisofraxinellone which was
regio- and stereo-selective hydroxylated at the C-4 position by IR, EI-MS 1D and
2D NMR. Absolute configuration of hydroxyl group at the C-4 position was detected
by modified Mosher's method. Antifeedant activity of compounds 1 and 2 against
larvae of Spodoptera litura was assayed. These compounds showed potent
antifeedant activity and ED50 (50% of effective dose) values were 3.91 and 4.43
MUg/cm2, respectively.
PMID- 29363348
TI - Reliability of internal and external load parameters in recreational football
(soccer) for health.
AB - There is limited research focussed around the analysis of internal and external
load parameters during football health programmes. The aim of this study was to
assess the reliability of internal and external load parameters in this activity.
Thrity subjects were enrolled (mean +/- SDs; age = 43 +/- 3 years, weight = 84 +/
14 kg, height = 176 +/- 7 cm, BMI = 27.1 +/- 3, VO2max = 40.7 +/- 3.4 ml.kg.min
1). The football matches (five a-side) took place on an artificial grass outdoor
field (pitch size of 36 * 18.5 m). Participants completed the match (60 min) and
replicated the same match a week later. The analysis took into account several
parameters: heart rate (HR), total distance (TD), high speed running (HSR),
number of accelerations (>2 m.s-2) and metabolic power (MP). We found a good
score of reliability in several parameters: TD (ICC = 0.66), accelerations (ICC =
0.62), mean HR (ICC = 0.82), HSR (ICC = 0.77) and MP (ICC = 0.66). The results
reported in this study revealed good scores of absolute reliability and
small/trivial effect size.
PMID- 29363350
TI - Visual failure and sinus thrombosis following depressed skull fracture:
management with single session lumboperitoneal shunt and sinus decompression
case report.
AB - Elevation of a depressed skull fracture (DSF) overlying a venous sinus is
generally avoided due to risk of sinus injury. Rarely, the sinus may be
compressed by the fractured segment, causing intracranial hypertension (IH) or
encephalopathy and can only be diagnosed with cerebral angiography or MR imaging
techniques. The posterior third of the superior sagittal sinus (SSS) was found
involved in all the reported cases. There is no consensus on its management, but
most patients did not have any sinus thrombosis and improved after elevation of
the compressing DSF alone. There are isolated reports of improvement with serial
lumbar punctures or ventriculoperitoneal shunt. We report for the first time, a
single session lumboperitoneal shunt and DSF elevation for a patient with
thrombosis of the posterior part of SSS due to an overlying DSF, causing florid
papilledema and impending blindness. Elevation of the DSF alone would not have
achieved patency of the thrombosed sinus immediately to save his vision. Hence a
lumboperitoneal shunt was done for immediate relief of IH. This CSF diversion
alone was insufficient to achieve patency of the thrombosed sinus in the presence
of significant external compression by the DSF; even if anticoagulants were used.
Hence we elevated the DSF after craniotomy using a technical modification; by
making a wide gutter circumferentially around the DSF with a high speed drill, to
avoid sinus injury; prior to its elevation. The patient's vision improved in 48
hours and anticoagulants were used till 2 months when sinuses were found normal
on MRI. Serial CT &MR images showing progression to thrombosis and recovery after
decompression are presented. Both CSF diversion and DSF elevation are necessary
when vision is threatened by IH due to sinus thrombosis with an overlying DSF.
PMID- 29363349
TI - Drug metabolism in early infancy: opioids as an illustration.
AB - INTRODUCTION: Drug dosing in infants frequently depends on body weight as a crude
indicator for maturation. Fentanyl (metabolized by Cytochrome P450 3A4) and
morphine (glucuronidated by UDP-glucuronosyltransferase-2B7) served as model
drugs to provide insight in maturation patterns of these enzymes and provide
understanding of the impact of non-maturational factors to optimize dosing in
infants. Areas covered: Systematic searches on metabolism and population
pharmacokinetic (Pop-PK) models for fentanyl and morphine were performed. Pre-
and post-model selection criteria were applied to assess and evaluate the
validity of these models. It was observed that maturational changes have been
rather well investigated, be it with variability in the maturational function
estimates. The same holds true for Pop-PK models, where non-maturational
covariates have also been reported (pharmacogenetics, disease state or external
influences), although less incorporated in the PK models and with limited
knowledge on mechanisms involved. Expert opinion: PK models for fentanyl and
morphine are currently available. Consequently, we suggest that researchers
should not continue to develop new models, but should investigate whether
collected data fit in already existing models and provide additional value
concerning the impact of (non)-maturational factors like drug-drug interactions
or pharmacogenetics.
PMID- 29363351
TI - Mitogen-activated protein kinase (MEK) inhibitors to treat melanoma alone or in
combination with other kinase inhibitors.
AB - INTRODUCTION: Malignant melanoma (MM) is an aggressive disease with a rapidly
rising incidence due to neoplasm of melanocytes. Molecular targeted therapies
have demonstrated lower toxicity and improved overall survival versus
conventional therapies of MM. The revealing of mutations in the BRAF/MEK/ERK
pathway has led to the development of BRAF inhibitors such as vemurafenib and
dabrafenib for the treatment of cutaneous MM. Though, progression of resistance
to these agents has prompted attempts to target downstream proteins in this
pathway. Trametinib, a MEK1/2 inhibitor, was approved in 2013 for the treatment
of BRAF V600E/K mutation-positive unresectable or metastatic cutaneous melanoma
patients. Areas covered: The aim of the current review is to present an update on
the role of MEK in progressive melanomas and summarize latest results of clinical
studies with innovative MEK inhibitors and/or combined approaches with other
kinase inhibitors such as BRAF inhibitors in the treatment of MM. Expert opinion:
Two combined treatments (i.e. trametinib plus dabrafenib and vemurafenib plus
cobimetinib) target two different kinases in the BRAF/MEK/ERK pathway. The
simultaneous prohibition of both MEK and BRAF is associated with more durable
response rate than BRAF monotherapy and can overcome acquired resistance.
PMID- 29363352
TI - Anticoagulant and antiplatelet therapy choices for patients with atrial
fibrillation one year after coronary stenting or acute coronary syndrome.
AB - INTRODUCTION: Guidelines recommend a combined anticoagulant and antiplatelet
approach for patients with atrial fibrillation (AF) after coronary stenting (CS)
or acute coronary syndrome (ACS). Finding the optimal balance of reducing
ischemic risk and minimizing bleeding risk is challenging. Recent trials have
evaluated a variety of regimens for up to one year for AF patients after CS/ACS.
Little empiric evidence exists about the best antithrombotic strategy beyond one
year. Areas covered: In this review two key areas are covered. First, a summary
of the overall risk and benefits of anticoagulant and antiplatelet therapy in
patients with AF and CS or ACS is provided. Second, despite limited empiric
evidence to guide therapeutic decisions for combined anticoagulant and
antiplatelet therapy in patients with AF one year after CS/ACS we provide
guidance for shared patient-physician decision making. Expert opinion: The
evidence is limited. For all patients with AF and stable CAD (>=1 year after CS
or ACS) the risk for thromboembolism, cardiovascular events and bleeding should
be assessed individually. For patients with low bleeding risk and high risk for
cardiovascular events, antiplatelet therapy might be added to anticoagulant
therapy.
PMID- 29363353
TI - Varenicline-Induced Panic Disorder in a Previously Healthy Patient.
PMID- 29363354
TI - Clinical Toxicology of Yew Poisoning.
AB - OBJECTIVES: Yew plant materials contain highly toxic taxine alkaloids. Serious
ingestions can result in life-threatening toxicity. The purpose of this article
is to summarize the literature on the treatment of acute yew poisoning. DATA
SOURCES: PubMed (January 1946 to November 2017) was searched using the search
terms "taxus/po". EMBASE (1980 to November 2017) was searched using the search
terms "taxus/to" and "yew.mp." Web of Science (1945 to November 2017) was
searched using the text words taxus, taxine, and yew. STUDY SELECTION AND DATA
EXTRACTION: Available English language articles involving case reports,
epidemiology, treatment, and outcomes were included. DATA SYNTHESIS: Although not
uncommon, unintentional yew poisoning rarely results in significant morbidity or
mortality. A total of 26 case reports of yew poisoning were evaluated along with
4 case series articles (totaling 22 additional cases). Only 4 of the 48 total
cases (8%) were accidental poisonings, the rest being deliberate ingestions. In
20 patients (42%), it resulted in fatalities. Severe, acute yew poisoning results
in symptomatology largely resistant to pharmacotherapy intervention. CONCLUSIONS:
Most nonintentional ingestions of yew plant constituents are asymptomatic and
require little intervention. Severe poisoning can result in life-threatening
cardiac toxicity and require aggressive supportive care. Therapeutic
interventions, such as sodium bicarbonate, digoxin immune fab, and hemodialysis
that have been utilized in case studies and case series in the literature have
little proven benefit. Extracorporeal life support should be considered in severe
yew poisoning.
PMID- 29363355
TI - Biologic-Induced Infections in Inflammatory Bowel Disease: The TNF-alpha
Antagonists.
AB - OBJECTIVE: To review the mechanism and association of infectious risk among the
tumor-necrosis factor alpha (TNF-alpha) antagonists used in inflammatory bowel
disease. DATA SOURCES: A PubMed literature search was performed using the
following search terms: infliximab, adalimumab, certolizumab, golimumab,
inflammatory bowel disease, crohn's, ulcerative colitis, adverse effects, adverse
events, safety, and infection. STUDY SELECTION AND DATA EXTRACTION: Meta-analyses
and cohort studies with outcomes pertaining to quantitative infectious risk were
reviewed. Case reports and case series describing association between TNF-alpha
inhibitors and infection were also reviewed. DATA SYNTHESIS: A total of 7 recent
meta-analyses of randomized trials demonstrate inconclusive association of
infection with TNF-alpha antagonists. Registry data suggest that medications
carry an independent risk of opportunistic infections. Risk factors for infection
include older age, malnutrition, diabetes, and possibly combination therapy.
Reported infections vary widely but include intracellular and granulomatous
bacteria, viruses, and fungi. CONCLUSION: TNF-alpha antagonists are associated
with an increased risk of opportunistic infection, although this risk has not
been demonstrated conclusively in randomized controlled trials. Knowledge of
concomitant risk factors, mechanism of infectious risk, and available treatment
options can improve patient care in the clinical setting.
PMID- 29363357
TI - Clinical Application of Mathematical Long Bone Ratios to Calculate Appropriate
Donor Limb Lengths in Bilateral Upper Limb Transplantation.
AB - BACKGROUND: Limited methods exist to aid in deciding the appropriate donor limb
lengths in bilateral upper limb amputees qualifying for vascularized composite
allotransplantation. We hypothesized mathematical equations could be created
using long bone length ratios, and applied to radiographs, to approximate the
patient's limb length prior to amputation. METHODS: A data set of 30 skeletons'
unilateral upper limb long bones measured using osteometric board and calipers
was used. Anatomic segment ratios were calculated based on humerus length after
multivariate linear regression analysis. For clinical application testing, 5
cadavers' upper limbs were radiographed. Radiographic bone lengths were then
measured along the long axis of each long bone. These measured radiographic
lengths were then compared with the predicted bone lengths, generated from the
skeleton data set ratios, for each cadaver. RESULTS: The chi-square goodness-of
fit test showed excellent fit ( P < .01) between the predicted and
radiographically measured lengths for the 5 cadavers, and interobserver
measurements showed no statistical difference. Depending on the cadaver, percent
error in total limb length predicted to measure ranged from 0.9% to 2.7%. The
variables to multiply an individual humerus length to calculate a given anatomic
segment thus proved to be effective. CONCLUSIONS: If a bilateral upper limb
amputee has 1 intact humerus, ratios to the humerus length can be reliably
applied to calculate the preamputation limb length based on the patient's
radiographic humerus length. These formulas are indicated for finding the
appropriate limb lengths, and smaller anatomic segments, for donor-recipient
matching in upper limb transplantation.
PMID- 29363356
TI - Sedative Plasma Concentrations and Delirium Risk in Critical Illness.
AB - BACKGROUND: The relationship between plasma concentration of sedatives and
delirium is unknown. OBJECTIVE: We hypothesized that higher plasma concentrations
of lorazepam are associated with increased delirium risk, whereas higher plasma
concentrations of dexmedetomidine are associated with reduced delirium risk.
METHODS: This prospective cohort study was embedded in a double-blind randomized
clinical trial, where ventilated patients received infusions of lorazepam and
dexmedetomidine. Plasma concentrations of these drugs and delirium assessments
were measured at least daily. A multivariable logistic regression model
accounting for repeated measures was used to analyze associations between same
day plasma concentrations of lorazepam and dexmedetomidine (exposures) and the
likelihood of next-day delirium (outcome), adjusting for same-day mental status
(delirium, coma, or normal) and same-day fentanyl doses. RESULTS: This critically
ill cohort (n = 103) had a median age of 60 years (IQR: 48-66) with APACHE II
score of 28 (interquartile range [IQR] = 24-32), where randomization resulted in
assignment to lorazepam (n = 51) or dexmedetomidine (n = 52). After adjusting for
same-day fentanyl dose and mental status, higher plasma concentrations of
lorazepam were associated with increased probability of next-day delirium
(comparing 500 vs 0 ng/mL; odds ratio [OR] = 13.2; 95% CI = 1.4-120.1; P = 0.02).
Plasma concentrations of dexmedetomidine were not associated with next-day
delirium (comparing 1 vs 0 ng/mL; OR = 1.1; 95% CI = 0.9-1.3; P = 0.45).
CONCLUSIONS: In critically ill patients, higher lorazepam plasma concentrations
were associated with delirium, whereas dexmedetomidine plasma concentrations were
not. This implies that the reduced delirium risk seen in patients sedated with
dexmedetomidine may be a result of avoidance of benzodiazepines, rather than a
dose-dependent protective effect of dexmedetomidine.
PMID- 29363358
TI - Analysis of National Institutes of Health Funding in Hand Surgery.
AB - BACKGROUND: Federal research dollars help investigators develop biomedical
therapies for human diseases. Currently, the state of funding in hand surgery is
poorly understood. This study defines the portfolio of National Institutes of
Health (NIH) grants awarded in hand surgery. METHODS: This was a cross-sectional
study of hand surgeons in the US. Faculty members of accredited hand surgery
fellowships and/or members of the American Society for Surgery of the Hand were
queried in the NIH RePORT database for awards obtained during 2005-2015. RESULTS:
Of 2317 hand surgeons queried, only 18 obtained an NIH grant (0.8%). Thirty-eight
unique grants were identified totaling $42 197 375. R01 awards comprised the
majority of funding (78.0%) while K08 awards accounted for 1.1%. The K-to-R
transition rate was zero. The National Institute of Arthritis and Musculoskeletal
and Skin Disease supported the most funding (65.2%), followed by the National
Institute of Neurological Disorders and Stroke (30.8%). There was no
statistically significant difference in NIH funding totals with hand surgeon
characteristics. Funding supported translational (46.0%), basic science (29.6%),
clinical (21.0%), and education-based (3.4%) research. Peripheral nerve (33.3%)
and bone and joint disease (30.1%) received the most research funding.
CONCLUSIONS: Less than 1% of hand surgeons obtain NIH research grants. Of the 2
identified K08 awards, none led to a subsequent R award. Future research should
identify barriers to grant procurement to design effective policies to increase
NIH funding in hand surgery.
PMID- 29363360
TI - Correction to: Zhang et al., Discovery of novel PDE9A inhibitors with antioxidant
activities for treatment of Alzheimer's disease.
PMID- 29363359
TI - Touch Surgery: Analysis and Assessment of Validity of a Hand Surgery Simulation
"App".
AB - BACKGROUND: Surgical educators are increasingly exploring surgical simulation and
other nonclinical teaching adjuncts in the education of trainees. The simulators
range from purpose-built machines to inexpensive smartphone or tablet-based
applications (apps). This study evaluates a free surgery module from one such
app, Touch Surgery, in an effort to evaluate its validity and usefulness in
training for hand surgery procedures across varied levels of surgical experience.
METHODS: Participants were divided into 3 cohorts: fellowship-trained hand
surgeons, orthopedic surgery residents, and medical students. Participants were
trained in the use of the Touch Surgery app. Each participant completed the
Carpal Tunnel Release module 3 times, and participants' score was recorded for
each trial. Participants also completed a customized Likert survey regarding
their opinions on the usefulness and accuracy of the app. Statistical analysis
using a 2-tailed t test and analysis of variance was performed to evaluate for
performance within and between cohorts. RESULTS: All cohorts performed better on
average with each subsequent simulation attempt. For all attempts, the experts
outperformed the novice and intermediate participants, while the intermediate
cohort outperformed the novice cohort. Novice users consistently gave the app
better scores for usefulness as a training tool, and demonstrated more
willingness to use the product. CONCLUSIONS: The study confirms app validity and
usefulness by demonstrating that every cohort's simulator performance improved
with consecutive use, and participants with higher levels of training performed
better. Also, user confidence in this app's veracity and utility increased with
lower levels of training experience.
PMID- 29363361
TI - Influence of the oral status on cardiovascular diseases in an older Italian
population.
AB - Oral diseases have been adversely associated with cardiovascular diseases (CVD),
which are also the most frequent cause of death in older population. The aim of
this study was to investigate the association among oral status indexes and CVD
in patients aged more than 65 years attending in the Oral department of a public
hospital Policlinico Umberto 1 of Rome. The study population consisted of 533
patients. Dental status was detected measuring the Decayed, Missing, and Filled
Teeth (DMFT) and Community Periodontal Index (CPI) indexes. The chi-square test
with a 95% confidence level was used to assess qualitative variables. Odds ratios
(ORs) and stepwise logistic regression were used to calculate risk estimates; the
independent variables: age, gender, DMFT, CPI index, Geriatric Oral Health
Assessment Index (GOHAI) score, and tooth loss were included in the statistical
model. P value <0.05 was considered a statistically significant cut-off. No
differences were found between females and males for DMFT and CPI. GOHAI data
were worst for females. Patients with CVD had less education and oral care ( P <
0.05), and higher CPI index and number of missing teeth ( P < 0.05). Data show
that patients with more than 18 missing teeth have 2.5 times greater risk of CVD.
CVDs are associated with type 2 diabetes mellitus, underweight, and obesity ( P <
0.05). From the findings of this study, it can be confirmed a significant link
between CVD and oral health. A cooperation among geriatrician, cardiologist, and
dentist is suitable to counteract the development of CVD and to early identify
patients at risk of CVD.
PMID- 29363362
TI - Sleep disordered breathing in pregnant women: maternal and fetal risk, treatment
considerations, and future perspectives.
AB - INTRODUCTION: Numerous physiologic and anatomic changes during pregnancy
exacerbate or unmask obstructive sleep apnea in women. Left untreated, upper
airway flow limitation during pregnancy may lead to dire maternal and fetal
health consequences. Areas covered: This review outlines the relationship between
sleep disordered breathing (SDB) and gestational hypertension, preeclampsia, and
gestational diabetes. It also discusses the implications of SDB on fetal and
maternal health and concludes with a review of the emerging literature of
treatment options for SDB in pregnancy and its benefit. Expert commentary:
Providers should screen, recognize, and treat SDB in pregnant women given its
implicated risk on maternal and fetal health. This is particularly true in
preeclampsia, a leading cause of maternal and fetal morbidity and mortality where
SDB has been shown to add risk and severity. It is important to note that
repetitive upper airway flow limitations in pregnancy are associated with surges
in nocturnal blood pressure and poor maternal and fetal outcomes, and may be just
as detrimental as frank apneas/hypopneas. Future large, prospective, randomized
controlled studies on the effects of CPAP are still needed. The epidemiology of
SDB in pregnant women needs to be further studied, as well as highlighting the
need for systematic, long-term follow ups on mother and infant health post
delivery.
PMID- 29363363
TI - Nivolumab for the treatment of urothelial cancers.
AB - INTRODUCTION: Advanced urothelial cancer patients had limited therapeutic options
following failure of platinum-based chemotherapy. The recognition that anti
PD1/PDL1 immune checkpoint inhibitors lead to dramatic and durable responses in a
subset of patients has transformed the therapeutic landscape of these cancers.
Since May 2016, five agents targeting this pathway have been approved by the US
FDA, including the PD-1 inhibitor nivolumab. Areas covered: The purpose of this
paper was to review the safety, activity and efficacy of nivolumab, an anti-PD1
checkpoint inhibitor for the treatment of locally-advanced or metastatic
urothelial cancers. Future therapeutic perspectives were also discussed. Expert
commentary: Nivolumab is one of five anti-PD1/PDL1 checkpoint inhibitors approved
for treatment of advanced urothelial cancers. While durable responses can be
observed, only 15 - 24% of patients are likely to respond. To date, there are no
validated biomarkers, including PDL1 expression, which might accurately identify
patients who are likely to respond. Many different biomarkers are currently under
active investigation. Future direction for therapeutic development is likely to
involve combination therapies with PD1/PDL1 agent as the therapeutic backbone.
PMID- 29363364
TI - Insights into biological activity of ureidoamides with primaquine and amino acid
moieties.
AB - Primaquine (PQ) ureidoamides 5a-f were screened for antimicrobial, biofilm
eradication and antioxidative activities. Susceptibility of the tested microbial
species towards tested compounds showed species- and compound-dependent activity.
N-(diphenylmethyl)-2-[({4-[(6-methoxyquinolin-8-yl)amino]pentyl}carbamoyl)amino]
4-methylpentanamide (5a) and 2-(4-chlorophenyl)-N-(diphenylmethyl)-2-[({4-[(6
methoxyquinolin-8-yl)amino]pentyl}carbamoyl)amino]acetamide (5d) showed
antibacterial activity against S. aureus strains (MIC = 6.5 ug/ml). Further,
compounds 5c and 5d had weak antibacterial activity against Escherichia coli and
Pseudomonas aeruginosa. None of the tested compounds showed a wide spectrum of
antifungal activity. In contrast, most of the compounds exerted strong activity
in a biofilm eradication assay against E. coli, P. aeruginosa and Candida
albicans, comparable to or even higher than gentamycin, amphotericin B or parent
PQ. The most active compounds were 5a and 5b. Tested compounds were inactive
against biofilm formation by C. parapsylosis, Enterococcus faecalis, C.
tropicalis and C. krusei. Compounds 5b-f significantly inhibited lipid
peroxidation (80-99%), whereas compound 5c presented interesting LOX inhibition.
PMID- 29363365
TI - A comparative analysis of immunogenicity and safety of an enterovirus 71 vaccine
between children aged 3-5 years and infants aged 6-35 months.
AB - BACKGROUND: The Sinovac enterovirus 71 (EV71) vaccine has shown good safety,
immunogenicity, and efficacy in infants aged 6-35 months, whom are considered as
the priority of the target population. However, 3-5 years old children accounted
for approximately 30% of HFMD cases and are also worth our attention. METHODS: A
randomized, double-blind, placebo-controlled, batch-to-batch consistency clinical
trial enrolling 1400 participants aged 6-59 months was performed. We pooled the
participants receiving three batches of EV71 vaccine together and then stratified
them into the 6-35 months and 3-5 years. The non-inferiority analysis of the
geometric mean titer (GMT) of EV71 neutralizing antibody post-vaccination was the
primary endpoint. RESULTS: In the vaccine group, the GMT of 242 children aged 3-5
years was 132.72 (95% CI, 110.3-159.6), which was non-inferior to that generated
in 717 infants aged 6-35 months. Following the vaccination, the incidence of
adverse reactions was less frequent in children aged 3-5 years (47.0%) than that
found in infants aged 6-35 months (60.1%) (p = 0.0026). CONCLUSIONS: Our study
indicated that the EV71 vaccine was also safe in children aged 3-5 years, with
non-inferior immunogenicity to that in infants aged 6-35 months.
PMID- 29363366
TI - Anaemia and depletion of iron stores as risk factors for postpartum depression: a
literature review.
AB - PURPOSE: Iron-deficiency and anaemia are common in pregnant and postpartum women
because of increasing iron demand and blood loss. Many women also enter pregnancy
with pre-depleted iron stores. We reviewed the evidence linking anaemia and/or
iron-deficiency to postpartum depression (PPD). METHODS: We identified seventeen
studies in four databases including randomized-controlled trials (RCTs) and
observational studies assessing the impact of anaemia, iron-deficiency and iron
supplementation on the risk of PPD. We extracted data on sample size,
geographical region, obstetrical complications, measures of depression,
haemoglobin, iron levels and intake of iron supplementation and critically
appraised the results from the studies. RESULTS: Eight out of ten studies found
higher risk for PPD (r - 0.19 to -0.43 and ORs 1.70-4.64) in anaemic women. Low
ferritin in the postpartum period but not during pregnancy was associated with
increased risk of PPD. Iron supplementation in the postpartum period decreased
risk of PPD in four out of five studies, whereas it did not protect from PPD if
given during pregnancy. Limitations include study heterogeneity, discrepancy of
prevalence of PPD and usage of a screening tool for evaluation of PPD.
CONCLUSION: Anaemia and/or iron-deficiency may contribute to PPD in at-risk
women. Further studies should elucidate the association between these entities.
PMID- 29363367
TI - The association between Mullerian anomalies and IUGR: a meta-analysis.
AB - BACKGROUND: Published literature regarding the association between Mullerian
anomalies and intrauterine growth restriction (IUGR) is controversial. To date,
no meta-analysis has been performed for assessing the relationship between the
Mullerian anomalies and IUGR. Therefore, the aim of this study was to perform a
meta-analysis by combining data from relevant studies to assessing the
association of between Mullerian anomalies and IUGR. METHODS: A systematic search
was conducted in PubMed, Scopus and Web of Science to identify of all studies
prior to September 2017. Egger's and Begg's tests were carried out to
quantitatively assess publication bias. To estimate the heterogeneity among
studies the Q-statistic test and I-squared (I2) test were used. The random
effects model was conducted to obtain pooled odds ratio (OR) as a measure of the
association between Mullerian anomalies and IUGR. RESULTS: A total of seven
studies were included in this meta-analysis with a sample of 605,005
participants. The pooled overall OR was 1.93 (95% CI: 1.52, 2.34). CONCLUSIONS:
We reported that mullerian anomalies are a risk factor for IUGR. However, further
evidence by larger prospective cohort studies is needed to make conclusive
evidence regarding the association between mullerian anomalies and IUGR.
PMID- 29363369
TI - Combined therapy with epidermal growth factor receptor tyrosine kinase inhibitors
for non-small cell lung cancer.
AB - INTRODUCTION: Epidermal growth factor receptor (EGFR) tyrosine kinase inhibitors
(TKIs) have a pronounced clinical benefit for patients with advanced non-small
cell lung cancer (NSCLC) positive for EGFR activating mutations. Such individuals
inevitably develop resistance to these drugs, however, new treatment strategies
to overcome such resistance are being actively pursued. The clinical benefit of
EGFR-TKIs for patients with locally advanced NSCLC remains to be clarified. Areas
covered: This review summarizes the recent progress in combination treatment with
EGFR-TKIs and either chemotherapy or radiotherapy for patients with NSCLC
positive for EGFR activating mutations. Expert commentary: Combination therapy
with EGFR-TKIs and various other treatment options are under investigation in
clinical studies. Although early studies failed to show a clinical benefit for
such combination therapy because of a lack of patient selection, clinical studies
with patient selection based on EGFR mutation status have shown promising
results. Such combination therapy might eventually replace the current standard
treatment for patients with NSCLC positive for EGFR activating mutations.
PMID- 29363368
TI - Total glucosides of paeony suppresses experimental autoimmune uveitis in
association with inhibition of Th1 and Th2 cell function in mice.
AB - Total glucosides of paeony (TGP) are active components extracted from the roots
of Paeonia lactiflora Pall. In this study, we investigated the role and
mechanisms of TGP in experimental autoimmune uveitis (EAU) model of mice. The
C57BL/6 mice were randomly divided into three groups: sham group, EAU-control
group, and EAU-TGP group. Clinical score of images of the eye fundus were taken
on 7, 14, 21, and 28 days after induction of EAU. The concentrations of
proinflammatory cytokines in intraocular fluid were measured at 14 days after EAU
induction with the use of a multiplex assay system. Flow cytometry was used to
analyze the frequency of CD4+, CD8+, interferon-gamma (IFN-gamma), and CD4+/CD8+
ratio in spleen and lymph nodes. Western blotting was used to measure expressions
of mitogen-activated protein kinase (MAPK) pathway-related proteins in retina.
Clinical scores for uveitis were lower in TGP-treated EAU mice than those without
TGP treatment. Importantly, the concentrations of cytokines induced by T-helper 1
(Th1) and T-helper 2 (Th2) cells in intraocular fluid were reduced in EAU mice
treated with TGP. Furthermore, the frequency of CD4+, IFN-gamma, and CD4+/CD8+
ratio was decreased and the frequency of CD8+ was increased in spleen and lymph
nodes of mice treated with TGP. The anti-inflammatory effects of TGP were
mediated by inhibiting the MAPK signaling pathways. Our results showed that TGP
suppressed uveitis in mice via the inhibition of Th1 and Th2 cell function. Thus,
TGP may be a promising therapeutic strategy for uveitis, as well as other ocular
inflammatory diseases.
PMID- 29363370
TI - Sulfonamide inhibition studies of two beta-carbonic anhydrases from the
ascomycete fungus Sordaria macrospora, CAS1 and CAS2.
AB - The two beta-carbonic anhydrases (CAs, EC 4.2.1.1) recently cloned and purified
from the ascomycete fungus Sordaria macrospora, CAS1 and CAS2, were investigated
for their inhibition with a panel of 39 aromatic, heterocyclic, and aliphatic
sulfonamides and one sulfamate, many of which are clinically used agents. CAS1
was efficiently inhibited by tosylamide, 3-fluorosulfanilamide, and 3
chlorosulfanilamide (KIs in the range of 43.2-79.6 nM), whereas acetazolamide,
methazolamide, topiramate, ethoxzolamide, dorzolamide, and brinzolamide were
medium potency inhibitors (KIs in the range of 360-445 nM). CAS2 was less
sensitive to sulfonamide inhibitors. The best CAS2 inhibitors were 5-amino-1,3,4
thiadiazole-2-sulfonamide (the deacetylated acetazolamide precursor) and 4
hydroxymethyl-benzenesulfonamide, with KIs in the range of 48.1-92.5 nM.
Acetazolamide, dorzolamide, ethoxzolamide, topiramate, sulpiride, indisulam,
celecoxib, and sulthiame were medium potency CAS2 inhibitors (KIs of 143-857 nM).
Many other sulfonamides showed affinities in the high micromolar range or were
ineffective as CAS1/2 inhibitors. Small changes in the structure of the inhibitor
led to important differences of the activity. As these enzymes may show
applications for the removal of anthropically generated polluting gases, finding
modulators of their activity may be crucial for designing environmental-friendly
CO2 capture processes.
PMID- 29363371
TI - The impact of cerclage in twin pregnancies on preterm birth rate before 32 weeks.
AB - PURPOSE: To evaluate whether cerclage in twins reduces the rate of spontaneous
preterm birth <32 weeks when compared to expectant management. METHODS: This is a
retrospective cohort study of twin pregnancies with the following indications for
cerclage from two institutions: history of prior preterm birth, ultrasound
identified short cervix <=2.5 cm, and cervical dilation >=1.0 cm. The "cerclage"
cohort received a cerclage from a single provider at a single institution from
2003-2016. The "no cerclage" group included all patients with similar indications
that were expectantly managed from 2010-2015, at a second institution where
cerclages are routinely not performed in twin pregnancies. The primary outcome
was the rate of spontaneous preterm birth at <32 weeks. Secondary outcomes were
the rates of spontaneous and overall (including medically indicated) preterm
births at <32 weeks, < 34 weeks, and <36 weeks, chorioamnionitis, birth weight,
and neonatal mortality within 30 days of life. We also performed a planned
subgroup analysis stratified by cerclage indication. RESULTS: In all, 135 women
were included in two cohorts: cerclage (n = 96) or no cerclage (n = 39). The
rates of spontaneous preterm birth <32 weeks were 10.4% (n = 10) with cerclage
versus 28.2% (n = 11) without cerclage (OR 0.23, CI 0.08-0.70, p = .017). After
adjusting for cerclage indication, clinical history, age, chorionicity, insurance
type, race, BMI, in-vitro fertilization, and multifetal reduction, there remained
a significant reduction in the cerclage group of spontaneous preterm birth <32
weeks (adjusted odds ratio (aOR) 0.24, CI 0.06-0.90, p = .035), spontaneous
preterm birth <36 weeks (aOR 0.34, CI 0.04-0.81, p = .013) as well as in overall
preterm birth <32 weeks (aOR 0.31, CI 0.1-0.86, p = .018), and overall preterm
birth <36 weeks (aOR 0.37, CI 0.10-0.84, p = .030). When stratified by short
cervix or cervical dilation in the cerclage versus no cerclage groups, there was
a significant decrease in spontaneous preterm birth <32 weeks in the cerclage
group with cervical dilation (11.1 versus 41.2%, p = .01) but not in the cerclage
group with short cervix only, even for cervical length <1.5 cm. Pregnancy latency
was 91 days in the cerclage group versus 57 days in the no cerclage group (p =
.001), with a median gestational age at delivery of 35 versus 32 weeks (p =
.002). There was no increase in chorioamnionitis in the cerclage group.
Furthermore, there was a significant increase in birth weight (median 2278 versus
1665 g, p < .001) and decrease in perinatal death <30 days (1.6 versus 12.9%, p =
.001). CONCLUSIONS: Cerclage in twin pregnancies significantly decreased the rate
of spontaneous preterm birth <32 weeks compared to expectant management. However,
when stratified by cerclage indication, this decrease in primary outcome only
remained significant in the group with cervical dilation.
PMID- 29363372
TI - Synthesis, biological activity and molecular modelling studies of shikimic acid
derivatives as inhibitors of the shikimate dehydrogenase enzyme of Escherichia
coli.
AB - Shikimic acid (SA) pathway is the common route used by bacteria, plants, fungi,
algae, and certain Apicomplexa parasites for the biosynthesis of aromatic amino
acids and other secondary metabolites. As this essential pathway is absent in
mammals designing inhibitors against implied enzymes may lead to the development
of antimicrobial and herbicidal agents harmless to humans. Shikimate
dehydrogenase (SDH) is the fourth enzyme of the SA pathway. In this contribution,
a series of SA amide derivatives were synthesised and evaluated for in vitro SDH
inhibition and antibacterial activity against Escherichia coli. All tested
compounds showed to be mixed type inhibitors; diamide derivatives displayed more
inhibitory activity than synthesised monoamides. Among the evaluated compounds,
molecules called 4a and 4b were the most active derivatives with IC50 588 and 589
uM, respectively. Molecular modelling studies suggested two different binding
modes of monoamide and diamide derivatives to the SDH enzyme of E. coli.
PMID- 29363373
TI - Double-blind randomized placebo-controlled trial to evaluate the efficacy and
safety of short-course low-dose oral prednisolone in pityriasis rosea.
AB - PURPOSE: To evaluate the efficacy and safety of short-course low-dose oral
prednisolone in symptomatic pityriasis rosea (PR) of onset <5 days and compare it
with placebo. MATERIAL AND METHODS: Placebo-controlled randomized double-blind
study design with the treatment group receiving tapering doses of oral
prednisolone over 2 weeks and the control group receiving a placebo. Outcome
measures evaluated were subsidence of patient-perceived pruritus, improvement in
rash quantified by a specific score, adverse effects and relapse at 12 weeks.
RESULTS: The improvement in the pruritus score as well as objective rash score
were much better in the prednisolone-treated group. No adverse effects reported
in either group. The relapse rate at 12 weeks was much higher in the prednisolone
treated group. CONCLUSIONS: Oral corticosteroids, even if used in low-dose and
for a short tapering course should not be the first line of therapy for PR. The
only justified indication may be extensive and highly symptomatic lesions of PR.
PMID- 29363374
TI - Mood state at the beginning of the pregnancy and its influence on obstetric and
perinatal outcomes.
AB - AIM: We designed this study to ascertain the prevalence of depressive disorders
and anxiety at the beginning of the pregnancy, studying possible associated
factors and assessing the influence of mood disorders on perinatal outcomes.
METHODS: A representative sample of 191 pregnant women at first trimester of
their pregnancies completed a questionnaire that included the Whooley's
questions, the Spanish version of the Beck Depression Inventory (BDI), the State
Trait Anxiety Inventory and a series of questions related to health status,
general mood and sociodemographic variables. Later, we prospectively evaluated
the influence of anxiety and depression on the perinatal and obstetric results in
145 of them. RESULTS: More than 20% of pregnant women presented high levels of
anxiety. The mean values of the state and trait anxiety scores were 38.7 (SD 9.8)
and 34.5 (SD 9.5). The mean BDI score was 5.97 (SD 4.9), with 9.5% of
participants achieving scores compatible with depression, (61% mild in, 22.2%
moderate, and 16.6% severe). BDI scores were significantly lower in women who
became pregnant after assisted reproductive techniques. We observed an
association between depression and trait anxiety scores with an infant's low
birth weight. The multivariate analysis showed that the feeling of happiness at
the beginning of pregnancy was the best predictor of foetal weight. CONCLUSION:
The prevalence of emotional disorders in the first trimester of pregnancy is
high, with more than 20% of pregnant women presenting high levels of anxiety, and
more than 9.5% presenting depression. During the first trimester, depression and
anxiety were associated with low birth weight.
PMID- 29363376
TI - Neuroleptic malignant syndrome in pregnancy: case report and literature review.
AB - BACKGROUND: Neuroleptic malignant syndrome (NMS) is a serious complication
associated with the use of drugs that affect dopaminergic system
neurotransmission. The occurrence of NMS during pregnancy or gestation is
considered a life-threatening obstetric emergency. CASE: We are reporting the
first case in Latin America of NMS in one pregnant women with acute psychotic
episode. One day after starting with antipsychotic therapy, she developed a fever
higher than 39.0 degrees C with tachycardia, tachypnea, generalized muscle
rigidity and somnolence, with creatine kinase (CPK) levels evidencing a result of
2800 U/L. She was treated successfully with levetiracetam, biperiden and
quetiapine. DISCUSSION: A search in PubMed, Embase and Ovid from 1988 to 2016
resulted in seven cases reported in either pregnant or puerperal women. In
general, NMS resolves within 3-14 days; most NMS cases reported during pregnancy
have involved the use of haloperidol (5 case reports) which is concordant with
this report. The obstetric results were good in cases reported, only two women
showed signs, among them: hyperemesis gravidarum and preterm delivery. Most of
the pregnant women who had NMS presented other associated comorbidities, being
mostly of infectious origin. In other investigations, it has been affirmed that
NMS can become lethal in adults; however, in our search for pregnant women with
this disease, no associated mortality was found. CONCLUSIONS: NMS is seen
infrequently during pregnancy. The clinical diagnosis requires high suspicion by
the examiner. It is important that obstetricians timely recognize the condition.
PMID- 29363375
TI - Sodium butyrate has anti-proliferative, pro-differentiating, and immunomodulatory
effects in osteosarcoma cells and counteracts the TNFalpha-induced low-grade
inflammation.
AB - Butyrate, an essential factor for colonocytes and regulator in the development of
colon cancer, is partially absorbed by the gut. It influences the proliferation
and differentiation of several cell types including osteoblasts. We evaluated the
effects of different doses of butyrate on differentiation and functionality of
osteosarcoma cells in vitro and the expression of a pro-inflammatory phenotype in
a normal or inflammatory environment. SaOS-2 osteosarcoma cells were induced to
differentiate and contemporarily treated for 24 h, 48 h, or 7 days with sodium
butyrate 10-4, 5 * 10-4, or 10-3 M in the presence or absence of tumor necrosis
factor alpha (TNFalpha) 1 ng/mL, a pro-inflammatory stimulus. Despite the mild
effects on proliferation and alkaline phosphatase activity, butyrate dose- and
time-dependently induced the expression of a differentiated phenotype (RUNX2,
COL1A1 gene expression, and osteopontin gene and protein expression). This was
associated with a partial inhibition of nuclear factor kappa B (NF-kappaB)
activation and the induction of histone deacetylase 1 expression. The net effect
was the expression of an anti-inflammatory phenotype and the increase in the
osteoprotegerin-to-receptor activator of nuclear factor kappa-B ligand (RANKL)
ratio. Moreover, butyrate, especially at the highest dose, counteracted the
effects of the pro-inflammatory stimulus of TNFalpha 1 ng/mL. Butyrate affects
osteosarcoma cell metabolism by anticipating the expression of a differentiated
phenotype and by inducing the expression of anti-inflammatory mediators.
PMID- 29363377
TI - Liver transplantation for hepatic alveolar echinococcosis: literature review and
three new cases.
AB - BACKGROUND: Echinococcosis is a helminthic infection caused by ingestion of the
eggs of the parasitic metacestode of one of four members of the Echinococcus
genus. Among them, hepatic alveolar echinococcosis (HAE) mimics slow growing
liver tumour with subsequent invasion to liver tissues and metastatic
dissemination. Liver transplantation (LT) remains as the only treatment option
when the lesions exceed the resectability limits. We described the first three
cases of HAE who underwent orthotopic LT in Iran. A literature review was also
conducted to summarize experiences of different transplant centres around the
world. METHODS: PubMed, Scopus and Web of Science databases were searched up to
April 2017 using keywords related to echinococcosis, liver and transplantation.
RESULTS: Including this case report, a total of 150 patients underwent LT due to
echinococcosis from 1994 to 2017. The mean age at LT was 38 years (range: 12-71)
and 51% were male. About 95% of the transplantations were performed due to HAE.
Pre- and post-transplant benzimidazole therapy was considered in 69% and 73% of
patients, respectively. Recurrence rate varied between 0% and 60%. The overall 1
, 5- and 10-year survival rates were 60-100%, 67-85% and 49-75%, respectively.
CONCLUSIONS: LT combined with pre- and post-transplant benzimidazole therapy
appears to be feasible and effective for patients with fatal HAE.
PMID- 29363379
TI - Acute exacerbations after decades of non-active chronic multiple sclerosis.
PMID- 29363378
TI - Downregulation of SIRT6 is associated with poor prognosis in patients with non
small cell lung cancer.
AB - Objective To explore the prognostic significance of nicotinamide adenine
dinucleotide (NAD)-dependent protein deacetylase sirtuin-6 (SIRT6), encoded by
the sirtuin 6 ( SIRT6) gene, in a population of Chinese Han patients with non
small cell lung cancer (NSCLC). Methods Cancer tissues and normal lung tissues
(>5 cm adjacent to cancer tissue) were collected from Chinese Han patients with
NSCLC. Expression levels of SIRT6 and histone H3-acetyl K56 ( H3K56), in cancer
and normal lung tissues from patients with NSCLC, were detected by reverse
transcription polymerase chain reaction, Western blot and immunohistochemistry.
Correlations between SIRT6 expression and various clinicopathologic features were
investigated. Results Out of 86 patients included in the study, mRNA and protein
SIRT6 levels were down-regulated in NSCLC tissue versus normal lung tissue, and
SIRT6 levels were inversely correlated with H3K56 levels. Positive rates of SIRT6
were significantly correlated with degree of cell differentiation, TNM stage,
lymph node metastasis, overall survival and metastasis-free survival. Conclusion
Downregulation of SIRT6 expression may promote NSCLC malignancy in the Chinese
Han population. SIRT6 may be a potential therapeutic target in Chinese Han
patients with NSCLC.
PMID- 29363380
TI - Twenty years of FISH-based translocation analysis for retrospective ionizing
radiation biodosimetry.
AB - PURPOSE: The fluorescent in situ hybridization (FISH) technique, which easily
detects reciprocal translocations, is currently used to estimate doses in
retrospective biological dosimetry, after suspected accidental overexposure to
ionizing radiation (IR). This study of 42 cases aimed to verify the
appropriateness of this assay for radiation dose reconstruction, compared to the
dicentric assay, and to evaluate other limitations. MATERIAL AND METHODS: We
labeled chromosomes 2, 4, and 12 by 3-color FISH painting to detect
translocations on lymphocytes of patients with suspected past IR overexposure.
RESULT: Translocation dose estimation showed doses significantly different from 0
Gy in 25 of the 42 cases. The lowest positive dose measured was 0.3 Gy. Several
months after IR exposure, the doses measured by translocation and dicentric
assays are quite similar. For a year, dose estimation by translocation assay
becomes more relevant as dicentric frequency starts to decrease, coming close to
0 for more than a year after the exposure. The persistence of translocations
enabled us to corroborate an overexposure 44 years earlier. Interpretation of the
observed translocation yield requires the knowledge of the patient's other
radiation exposures. A dose assessment by this biomarker is relevant only if the
radiation exposure is confirmed. CONCLUSIONS: This technique is appropriate for
corroborating a former IR exposure of individuals. When the radiation dose is
greater than 1 Gy, the translocations in complex exchanges must be considered.
Another relevant point is the use of an appropriate background yield of
translocations. The dose assessment, however, also depends on exposure to various
genotoxic agents besides IR. If no evidence about the existence of radiation
exposure is available, dose assessment is not useful. For this reason, report
only the translocation frequency and its comparison with the background yield by
age class is preferable.
PMID- 29363381
TI - Clinical outcomes of magnetic activated sperm sorting in infertile men candidate
for ICSI.
AB - Magnetic activated cell sorting (MACS) with annexin V microbeads deselected
apoptotic sperm with externalized phosphatidylserine (PS) residues on their
surface and decrease chance of such sperm to be inseminated. Therefore, the aim
of this study was to evaluate efficiency of MACS procedure in a prospective
randomized trial. Sixty-two semen samples were allocated into two groups and
processed according to: (i) a combination of MACS with density gradient
centrifugation (MACS-DGC) as study group (N = 29); and (ii) DGC alone as a
control group (N = 33). Fertilization, embryo quality, pregnancy and implantation
rates were compared between the two groups. Although, no significant difference
was observed in fertilization rates between the two groups, the percentage of
high-quality embryos, pregnancy and implantation rates was significantly higher
in the MACS-DGC group compared to DGC alone. Therefore, MACS may help to select
the most fertile sperm and improve clinical outcomes of intra-cytoplasmic sperm
injection (ICSI).
PMID- 29363382
TI - Precise Retrograde Supera Stenting of the Ostium (PRESTO) of the Superficial
Femoral Artery for Complex Femoropopliteal Occlusions: The PRESTO Technique.
AB - PURPOSE: To describe a novel technique designed to safely and precisely deploy
the Supera stent accurately at the ostium of the proximal superficial femoral
artery (SFA) without compromising the profunda and common femoral arteries.
TECHNIQUE: After antegrade crossing of the chronic total occlusion (CTO) at the
SFA ostium and accurate predilation of the entire SFA lesion, a retrograde
arterial access is obtained. The Supera stent is navigated in retrograde fashion
to position the first crown to be released just at the SFA ostium. Antegrade
dilation is performed across the retrograde access site to obtain adequate
hemostasis. The technique has been applied successfully in 21 patients (mean age
78.1+/-8.2 years; 13 men) with critical limb ischemia using retrograde Supera
stenting from the proximal anterior tibial artery (n=6), the posterior tibial
artery (n=2), retrograde stent puncture in the mid to distal SFA (n=2), the
native distal SFA/proximal popliteal segment (n=6), and the distal anterior
tibial artery (n=5). No complications were observed. CONCLUSION: Distal
retrograde Supera stent passage and reverse deployment allow precise and safe
Supera stenting at the SFA ostium.
PMID- 29363383
TI - A forensic evaluation of impalement injuries.
AB - Impalement injuries transfix a victim in an inescapable or helpless position. A
study was undertaken at Forensic Science SA from 2002 to 2016 of all impalement
deaths. There were six vehicle accidents (M:F=5:1; age 14-67 years, average age
38.5 years) involving impalements with a tree branch ( n=2; groin and chest), a
metal pipe ( n=2; leg and head), a metal bridge railing (groin) and a metal fence
post (chest). Two cases (both males, aged 47 and 18 years) had slipped on
fences/gates, with impalements of the ankle and groin. A case of suicide (male,
aged 80 years) was impaled through the head on a bolt fixed to the floor. A
homicide case (male, aged 27 years) involved impalement through the chest by a
spear from a spear gun. In certain cases (e.g. with anogenital injuries),
differentiating accidental from inflicted impalement injuries may be difficult.
In equivocal cases, adequate scene evaluations with photographic documentation
are required prior to autopsy, ideally with the object available for examination.
PMID- 29363384
TI - Analysis of dental injuries with clinical implications: A forensic case report.
AB - Dental injuries, especially of the incisors, caused by punches in violent
criminal attacks could be seen in daily forensic casework involving the
identification of injuries to a living body. Sometimes, when there is neither
circumstantial evidence nor information about the surrounding circumstances, it
is difficult to discern the cause of these injuries and the manner in which they
were inflicted. As an example of clinical forensic medicine, we present the case
of a 58-year-old woman whose teeth were injured when fighting with her son-in-law
over household affairs with no witnesses present. The two parties had conflicting
stories about the cause of the woman's injury. The woman claimed that her teeth
were lost while she was being beaten by her son-in-law, and the man argued that
the damage to his mother-in-law's teeth was self-inflicted when she bit his
fingers. The police attending the crime called for a forensic examination.
Forensic practitioners analysed the mechanism of the tooth loss using multi-slice
spiral computed tomography (MSCT) and imaging reconstruction technology. Local
alveolar bone (medial alveolar) fracture and a small area of alveolar bone loss
were found on MSCT. Thus, forensic medical experts speculated that the woman's
lower central and lateral incisors were lost as a result of a violent attack and
were not self-inflicted. Finally, forensic practitioners helped police in
avoiding a miscarriage of justice and wrongful conviction.
PMID- 29363385
TI - Longitudinal personality change associated with cognitive decline in multiple
sclerosis.
AB - We previously reported that personality and cognition were stable over 3 years in
patients with multiple sclerosis (MS). This study examined whether a longer
duration would reveal evidence of emerging personality dysfunction. The NEO Five
Factor Inventory and Brief International Cognitive Assessment for MS was used to
assess personality and cognition, respectively. Patients were classified as "Cog
Stable" or "Cog Decline" based on cognitive deterioration over 5 years.
Extraversion and Conscientiousness declined across pooled groups. Follow-up of a
group by time interaction found that decline in these traits was more evident in
the Cog Decline group, demonstrating a link between personality and cognitive
change.
PMID- 29363386
TI - Increased psoriasis frequency in patients with familial Mediterranean fever.
AB - OBJECTIVE: Familial Mediterranean fever (FMF) is a periodic fever syndrome caused
by MEFV mutations. FMF may be associated with psoriasis in some cases. The
prevalence of psoriasis in the normal Turkish population is 0.42%. We aimed to
investigate the prevalence of psoriasis among FMF patients and their relatives.
METHODS: FMF patients followed at Hacettepe University Adult and Pediatric
Rheumatology Departments between January and August 2016 were included. FMF
patients/their relatives were accepted to have psoriasis if the diagnosis was
made by a dermatologist. RESULTS: A total of 351 FMF patients (177 adults; 174
children) were included. The median (min-max) age of adult and pediatric patients
was 35 (19-63) and 10 (2-18) years, respectively. Thirteen (3.7%) FMF patients
(11 adults, 2 children) had psoriasis. Psoriasis was more common in adult than
pediatric patients (p = 0.02). Psoriasis was present in 22 (12.4%) of adult and 9
(5.2%) of pediatric patients' relatives (p = 0.023). The frequency of psoriasis
in >=1 relatives of FMF patients was found to be 8.8%. Abdominal pain and fever
were significantly higher, and arthralgia, arthritis, pleural chest pain, and
pericarditis were significantly less frequent in the pediatric group than in
adults (p < 0.05). CONCLUSION: Psoriasis was more common in FMF patients than in
the normal population. Thus, FMF patients should be questioned and carefully
examined for psoriasis lesions and psoriasis family history. Prospective
multicenter studies may be important to find the incidence of psoriasis in FMF.
PMID- 29363387
TI - Association between ABO blood types and pain perception.
AB - AIM OF THE STUDY: Pain perception is associated with different phenotypic
characteristics such as sex, eye, and hair color. Hence, it is assumed that ABO
blood type can also affect pain perception. MATERIALS AND METHODS: In order to
investigate this hypothesis, an experimental study with healthy volunteers (18-40
years) was designed. The experimental procedure included a blood type test and
two rounds of pressure pain threshold assessments separated by a cold pressor
test. Pressure pain threshold was assessed bilaterally at the temporalis,
masseter, and deltoid muscles, where the muscle sites were randomized. Cold
pressor test was conducted by immersion of participants' non-dominant hand into
iced water of 1-4 degrees C for 2 min. RESULTS: Thirty-seven healthy volunteers,
distributed in the four blood type groups, completed the study. Participants with
blood type B scored the highest pressure pain thresholds at the examined
craniofacial muscles, while participants with blood type AB tended to score the
lowest. Furthermore, participants with blood type AB displayed the highest
elevation in pressure pain thresholds after cold pressor test. CONCLUSIONS:
Participants with blood type B displayed the lowest mechanical pain sensitivity
and the blood type AB group exhibited the strongest conditioned pain modulation
effect. These findings emphasize the necessity of considering ABO blood types in
future pain research.
PMID- 29363388
TI - Gender Differences in Hospital CEO Compensation: A National Investigation of Not
for-Profit Hospitals.
AB - Gender pay equity is a desirable social value and an important strategy to fill
every organizational stratum with gender-diverse talent to fulfill an
organization's goals and mission. This study used national, large-sample data to
examine gender difference in CEO compensation among not-for-profit hospitals.
Results showed the average unadjusted annual compensation for female CEOs in 2009
was $425,085 compared with $581,121 for male CEOs. With few exceptions, the
difference existed across all types of not-for-profit hospitals. After
controlling for hospital- and area-level characteristics, female CEOs of not-for
profit hospitals earned 22.6% less than male CEOs of not-for-profit hospitals.
This translates into an earnings differential of $132,652 associated with gender.
Explanations and implications of the results are discussed.
PMID- 29363390
TI - Correction to: Lenihan, et al., MDRD-Estimated GFR at One Year Post-Renal
Transplant is a Predictor of Long-Term Graft Function.
PMID- 29363389
TI - Real-World Analysis of Dispensed IUs of Coagulation Factor IX and Resultant
Expenditures in Hemophilia B Patients Receiving Standard Half-Life Versus
Extended Half-Life Products and Those Switching from Standard Half-Life to
Extended Half-Life Products.
AB - BACKGROUND: Hemophilia B requires replacement therapy with factor IX (FIX)
coagulation products to treat and prevent bleeding episodes. A recently
introduced extended half-life (EHL) recombinant FIX replacement product provided
the opportunity to compare the amount of dispensed factor and expenditures for
EHL treatment compared with a standard half-life (SHL) product. OBJECTIVE: To
determine factor international units (IUs) dispensed and expenditures associated
with switching from nonacog alfa, the most commonly used SHL replacement product,
to eftrenonacog alfa, an EHL FIX replacement product. METHODS: Two U.S. claims
databases were analyzed. A large national specialty pharmacy dispensation claims
database was used to identify the number of IUs dispensed and monthly charges for
all patients with hemophilia B from April 2015 to June 2016. Truven Health
MarketScan Research Databases (January 2010-July 2016) were used to identify IUs
and expenditures for patients with claims data for at least 3 months before and
after switching from the SHL to the EHL product. Medians for IUs and expenditures
are presented to accommodate for skewness of data distribution. RESULTS: The
national specialty pharmacy database analysis included 296 patients with moderate
or severe hemophilia B (233 on SHL; 94 on EHL). Median monthly factor dispensed
was 11% lower (2,142 IU) in the EHL versus SHL cohort over the study period,
while individual monthly reductions ranged from 32% to 47% (9,838 IU to 16,514
IU). Using the wholesale acquisition cost, the median per-patient monthly factor
expenditures over the 15-month study period were 94% higher ($23,005) for the EHL
than for the SHL product. Individual median monthly expenditure differences
ranged from 15% ($6,562) to 49% ($19,624). In the Truven database, 14 patients
switched from the SHL to the EHL product. The amount of factor dispensed was
variable; in the 1-year period before and after the switch from the SHL to the
EHL product, mean IUs dispensed decreased by 3,005 IU, while median IUs dispensed
increased by 4,775 IU. Factor replacement expenditures were higher after
switching from the SHL to the EHL product in each of the 3-month periods examined
before versus after the switch. CONCLUSIONS: This analysis of real-world data
showed that switching from the SHL to the EHL product was associated with higher
expenditures. Increased expenditures noted in the first 3 months after switching
may be related to initial stocking up of the EHL product, but expenditures were
sustained throughout the 1-year period of data analysis. Further analysis of
these findings with larger numbers of patients should be explored. DISCLOSURES:
This study was sponsored by Pfizer. Pfizer employees were involved in the study
design; the collection, analysis, and interpretation of data; the review of the
manuscript; and the decision to submit for publication. All authors are employees
of Pfizer. No author received an honorarium or other form of payment related to
the development of this manuscript. All authors participated in the study design,
data interpretation, and manuscript review and revisions and granted approval for
the submission of the manuscript. Alvir, McDonald, and Tortella also participated
in data analysis. Data from this paper were presented in part at the European
Association for Haemophilia and Allied Disorders Annual Meeting, February 1-3,
2017, Paris, France; at the International Society for Pharmacoeconomics and
Outcomes Research Annual Meeting, May 20-24, 2017, Boston, MA; and at the
International Society on Thrombosis and Haemostasis Congress, July 8-13, 2017,
Berlin, Germany.
PMID- 29363391
TI - BRAG1/IQSEC2 as a regulator of small GTPase-dependent trafficking.
AB - Precise trafficking events, such as those that underlie synaptic transmission and
plasticity, require complex regulation. G-protein signaling plays an essential
role in the regulation of membrane and protein trafficking. However, it is not
well understood how small GTPases and their regulatory proteins coordinate such
specific events. Our recent publication focused on a highly abundant synaptic
GEF, BRAG1, whose physiologic relevance was unknown. We find that BRAG1s GEF
activity is required for activity-dependent trafficking of AMPARs. Moreover,
BRAG1 bidirectionally regulates synaptic transmission in a manner independent of
this activity. In addition to the GEF domain, BRAG1 contains several functional
domains whose roles are not yet understood but may mediate protein-protein
interactions and regulatory effects necessary for its role in regulation of AMPAR
trafficking. In this commentary, we explore the potential for BRAG1 to provide
specificity of small GTPase signaling, coordinating activity-dependent activation
of small GTPase activity with signaling and scaffolding molecules involved in
trafficking through its GEF activity and other functional domains.
PMID- 29363393
TI - Safety Climate Among Nontraditional Workers in Construction: Arguing for a Focus
on Construed External Safety Image.
AB - Safety climate, employees' perceptions of work-related safety, 1 has been
promoted as a leading indicator of workplace safety in construction. 2 , 3 While
research has primarily examined internal organizational sources (e.g., manager
attitudes, formal organizational policies) on these perceptions, external sources
of information might be more relevant to construction workers in nontraditional
jobs who work for a limited time and/or have limited interaction with other
employees. This paper argues for the future development of a construed external
safety image scale to measure employees' perceptions about how external groups
view their organization's safety. 4 The construed external safety image would
capture the external sources that nontraditional workers use to assess safety
climate and will allow public health researchers to identify and change dangerous
workplaces while more effectively communicating information about safe workplaces
to workers. The public health relevance of safety climate and construed external
safety image for monitoring and communicating safety to nontraditional workers
require examination.
PMID- 29363392
TI - Evaluation of health-related quality of life in hemolytic uraemic syndrome
patients treated with eculizumab: a systematic evaluation on basis of EMPRO.
AB - BACKGROUND: Hemolytic uraemic syndrome (HUS) is progressive renal failure disease
and determination of their quality of life (QoL) on the basis of patient-reported
outcomes (PROs) are becoming increasingly important in the economic evaluations
for its treatment with eculizumab (ECU). AIM: To perform the systematic
evaluation of QoL in HUS patients treated with ECU on the basis of Evaluating
Measures of Patient Reported Outcomes (EMPRO) tool. MATERIALS AND METHODS: A
systematic review was conducted in PubMed, EMBASE, the Cochrane Library, CINAHL
and Google Scholar till September 2016 by two independent researchers. Each
identified instrument was evaluated for its quality of performance by using the
EMPRO tool for its overall score and seven attribute specific scores (range 0
100, worst to best). RESULTS: Five different PROs instruments were identified
from 10 articles (n = 112) which showed eculizumab significantly improves health
related quality of life (HRQOL) in atypical HUS (aHUS) patients. Amongst five
instruments viz. EuroQol five dimensions questionnaire (EQ-5 D), Functional
Assessment of Chronic Illness Therapy-Fatigue (FACIT-F), Headache Impact Test-6
(HIT-6), 36-Item Short Form Health Survey (SF-36) and Visual Analogue Scale
(VAS), the overall EMPRO score was higher for VAS (73.83) and EQ-5 D (73.81).
Whereas, FACIT-F and HIT- 6 were just able to meet the minimal threshold of EMPRO
scoring (50.24 and 59.09, respectively). CONCLUSIONS: Evidence from present
investigation support that eculizumab significantly improves HRQoL in patients
with aHUS furthermore, EQ-5 D and VAS instrument should be recommended for
assessing HRQoL in them. However, selection of PRO instrument for determination
of QoL in HUS entirely depend upon the study requirements.
PMID- 29363394
TI - Latest developments in the field of stem cell research and regenerative medicine
compiled from publicly available information and press releases from nonacademic
institutions 1 September-30 September 2017.
PMID- 29363395
TI - Psychological determinants of job retention in multiple sclerosis.
AB - BACKGROUND: Maintaining paid work is a key issue for people with multiple
sclerosis (PwMS). Different factors, including psychological attributes, can
influence job retention. Understanding their role should inform potential
interventions to help PwMS retain employment. OBJECTIVES: The aim of this study
was to identify the key factors which improve job retention in an employed cohort
of PwMS. METHODS: This three-year longitudinal study used validated self
completed measures of physical and psychological factors at four time points over
28 months. RESULTS: Of 208 employed PwMS, just over 1 in 10 was no longer working
at the end of the study. Three variables were predictive of continuing
employment; low 'work instability' at baseline increased the odds of job
retention by a factor of 12.76; high levels of self-efficacy by a factor of 4.66
and being less than 50 years of age increased the odds of job retention by a
factor of 3.90. Path analysis demonstrated the mediating role of self-efficacy
between the physical impact of MS and the level of work instability at exit.
CONCLUSION: Screening for work instability and self-efficacy in a clinical
setting followed by appropriate interventions to increase self-efficacy and
reduce work instability could aid job retention in MS.
PMID- 29363397
TI - A community-based intervention to build community harmony in an Indigenous
Guatemalan Mining Town.
AB - The presence of large-scale mining operations poses many threats to communities.
In a rural community in Guatemala, community leaders were motivated to address
divisiveness and local conflict that have been exacerbated since the arrival of a
mining company in the region. Prior research by our team identified spiritual and
cultural strengths as important sources of strength and resilience in the
community. We piloted a community-based intervention centred on spiritual and
cultural practices in the region, to address divisiveness and build community
harmony. One hundred and seventeen participants from over 18 villages in the
municipality participated in the workshops and follow-up focus groups. Community
leaders facilitated the intervention and partnered with the academic researcher
throughout the research process. Overall, community members and facilitators
expressed satisfaction with the workshop. Further, our analysis revealed three
important processes important to the development of community harmony in the
region: (a) mutual recognition and collectivisation; (b) affirmation of ancestral
roots and connections to Mother Earth and (c) inspiring action and momentum
towards solutions. These mechanisms, and the socio-political contexts that
undermine them, have important implications for how global health programmes are
developed and how collective processes for well-being are understood within an
inequitable, conflict-laden world.
PMID- 29363396
TI - Long-term follow-up of pediatric MS patients starting treatment with injectable
first-line agents: A multicentre, Italian, retrospective, observational study.
AB - BACKGROUND: Few data are available on very long-term follow-up of pediatric
multiple sclerosis (MS) patients treated with disease modifying treatments
(DMTs). OBJECTIVES: To present a long-term follow-up of a cohort of Pediatric-MS
patients starting injectable first-line agents. METHODS: Data regarding
treatments, annualized relapse rate (ARR), Expanded Disability Status Scale
(EDSS) score, and serious adverse event were collected. Baseline characteristics
were tested in multivariate analysis to identify predictors of disease evolution.
RESULTS: In total, 97 patients were followed for 12.5 +/- 3.3 years. They started
therapy at 13.9 +/- 2.1 years, 88 with interferons and 9 with copaxone. During
the whole follow-up, 82 patients changed therapy, switching to
immunosuppressors/second-line treatment in 58% of cases. Compared to pre
treatment phase, the ARR was significantly reduced during the first treatment
(from 3.2 +/- 2.6 to 0.7 +/- 1.5, p < 0.001), and it remained low during the
whole follow-up (0.3 +/- 0.2, p < 0.001). At last observation, 40% had disability
worsening, but EDSS score remained <4 in 89%. One patient died at age of 23 years
due to MS. One case of natalizumab-related progressive multifocal encephalopathy
(PML) was recorded. Starting therapy before 12 years of age resulted in a better
course of disease in multivariate analysis. CONCLUSION: Pediatric-MS patients
benefited from interferons/copaxone, but the majority had to switch to more
powerful drugs. Starting therapy before 12 years of age could lead to a more
favorable outcome.
PMID- 29363399
TI - Ocular, otic, nasal, rectal and vaginal formulation innovations and regulatory
considerations.
PMID- 29363398
TI - Differential staining of peripheral nuclear chromatin with Acridine orange
implies an A-form epichromatin conformation of the DNA.
AB - The chromatin observed by conventional electron microscopy under the nuclear
envelope constitutes a single layer of dense 30-35 nm granules, while ~30 nm
fibrils laterally attached to them, form large patches of lamin-associated
domains (LADs). This particular surface "epichromatin" can be discerned by
specific (H2A+H2B+DNA) conformational antibody at the inner nuclear envelope and
around mitotic chromosomes. In order to differentiate the DNA conformation of the
peripheral chromatin we applied an Acridine orange (AO) DNA structural test
involving RNAse treatment and the addition of AO after acid pre-treatment. MCF-7
cells treated in this way revealed yellow/red patches of LADs attached to a thin
green nuclear rim and with mitotic chromosomes outlined in green, topologically
corresponding to epichromatin epitope staining by immunofluorescence.
Differentially from LADs, the epichromatin was unable to provide metachromatic
staining by AO, unless thermally denatured at 94oC. DNA enrichment in GC
stretches has been recently reported for immunoprecipitated ~ 1Kb epichromatin
domains. Together these data suggest that certain epichromatin segments assume
the relatively hydrophobic DNA A-conformation at the nuclear envelope and surface
of mitotic chromosomes, preventing AO side dimerisation. We hypothesize that
epichromatin domains form nucleosome superbeads. Hydrophobic interactions stack
these superbeads and align them at the nuclear envelope, while repulsing the
hydrophilic LADs. The hydrophobicity of epichromatin explains its location at the
surface of mitotic chromosomes and its function in mediating chromosome
attachment to the restituting nuclear envelope during telophase.
PMID- 29363400
TI - Last resting places of the Zambezi Expedition (1858-1864) doctors.
PMID- 29363401
TI - Editorial.
PMID- 29363408
TI - Excellent adsorption performance of dibenzothiophene on functionalized low-cost
activated carbons with different oxidation methods.
AB - Low-cost activated carbon (KAC) was functionalized by HNO3, (NH4)2S2O8 and air
oxidation, respectively, to remove dibenzothiophene (DBT) from model fuel. The
changes in physical and chemical properties of these activated carbons were
characterized by thermal analysis, elemental analysis, nitrogen adsorption
apparatus, Raman spectra, scanning electron microscope and Boehm's titration
method. HNO3 and (NH4)2S2O8 oxidation result in a significant decrease in pore
structure, while air oxidation only causes slight pore reduction due to the re
activation by O2. The oxygen-containing functional groups (OFGs) increase
markedly after oxidative modification, in which (NH4)2S2O8 oxidation is
considered as the most efficient method with respect to the introduction of OFGs.
HNO3 and (NH4)2S2O8 oxidation are more selective to generate carboxyls and
lactones, whereas air oxidation creates more phenols, carbonyls and ethers. The
DBT adsorption capacity follows the order: NAC (HNO3-oxidized KAC) > OAC (air
oxidized KAC) > KAC > SAC ((NH4)2S2O8-oxidized KAC), implying the introduction of
OFGs is beneficial for the DBT adsorption process, especially for selectivity,
but excessive OFGs have a negative effect on the removal of DBT. Thus, to achieve
high DBT adsorption performance, there should be a trade-off between the
micropore volume and the OFGs amount.
PMID- 29363407
TI - Prophylaxis and treatment of HIV-1 infection in pregnancy - Swedish
Recommendations 2017.
AB - Prophylaxis and treatment with antiretroviral drugs have resulted in a very low
rate of mother-to-child transmission (MTCT) of HIV during recent years.
Registration of new antiretroviral drugs, modification of clinical praxis,
updated general treatment guidelines and increasing knowledge about MTCT have
necessitated regular revisions of the recommendations for 'Prophylaxis and
treatment of HIV-1 infection in pregnancy'. The Swedish Reference Group for
Antiviral Therapy (RAV) has updated the recommendations from 2013 at an expert
meeting 19 September 2017. In the new text, current treatment guidelines for non
pregnant are considered. The most important revisions are that: (1) Caesarean
section and infant prophylaxis with three drugs are recommended when maternal HIV
RNA >150 copies/mL (previously >50 copies/mL). The treatment target of
undetectable HIV RNA remains unchanged <50 copies/mL; (2) Obstetric management
and mode of delivery at premature rupture of the membranes and rupture of the
membranes at full term follow the same procedures as in HIV negative women; (3)
Vaginal delivery is recommended to a well-treated woman with HIV RNA <150
copies/mL regardless of gestational age, if no obstetric contraindications are
present; (4) Treatment during pregnancy should begin as soon as possible and
should continue after delivery; (5) Ongoing well-functioning HIV treatment at
pregnancy start should usually be retained; (6) Recommended drugs and drug
combinations have been updated.
PMID- 29363409
TI - Decreasing the immunogenicity of arginine deiminase enzyme via structure-based
computational analysis.
AB - The clinical applications of therapeutic enzymes are often limited due to their
immunogenicity. B-cell epitope removal is an effective approach to solve this
obstacle. The identification of hot spot epitopic residues is a critical step in
the removal of protein B-cell epitope. Hereof, computational approaches are a
suitable alternative to costly and labor-intensive experimental approaches.
Arginine deiminase, a Mycoplasma arginine-catabolizing enzyme, is in the clinical
trial for treating arginine auxotrophic cancers, especially hepatocellular
carcinomas and melanomas through depleting plasma arginine and causing cell
starvation. In this study, arginine deiminase from Mycoplasma hominis (MhADI) was
computationally analyzed for recognizing and locating its immune-reactive
regions. The 3D structure of the bioactive form of MhADI was modeled. The B-cell
epitope mapping of protein was performed using various servers with different
algorithms. Six segments: 31-40, 48-55, 131-140, 196-206, 294-314, and 331-344
were predicted to be the consensus immunogenic regions. The modification of
epitopic hot spot residue was performed to reduce immune-reactiveness. The hot
spot residue was selected considering a high B-cell epitope score, convexity
index, surface accessibility, flexibility, and hydrophilicity. The structure
stability of native and mutant proteins was evaluated through molecular dynamics
simulation. The E304L mutein was suggested as a lower antigenic and stable enzyme
derivative.
PMID- 29363410
TI - Intra-cochlear electrode tip fold-over.
AB - Cochlear implantation has been performed safely for over two decades but still
has various minor and major complications. We report two cases of an unusual
complication of electrode implantation: tip fold-over of the electrode array
within the cochlea. Both cases required undergoing explantation and re
implantation. The frequent use of fine and pre-curved electrodes particularly
with the use of an insertion tool necessitates routine postoperative radiological
evaluation of the electrode array. Our cases demonstrate the benefit of
systematic imaging including the possible use of the Cone Beam CT
intraoperatively.
PMID- 29363411
TI - Cochlear implant: the family's perspective.
AB - OBJECTIVE: To understand the family's experience of a child who uses a cochlear
implant (CI). Specifically, to identify the difficulties, changes, and feelings
entailed by deafness and the use of the CI; the coping strategies; and to
understand the role of the family for the child with a CI. METHOD: Qualitative
research, using Symbolic Interactionism and Straussian Grounded Theory as the
theoretical and methodological frameworks, respectively. Data collection
instrument: semi-structured interview. A total of 9 families (32 individuals)
participated in the study. The children's ages ranged from 6 to 11 years old
(mean = 8.9 years old). RESULTS: Their experience is described in the following
categories: Having to fight for results, Coping with difficult situations,
Recognizing that you are not alone, Learning to overcome, and Having one's life
restored by the implant. CONCLUSION: Cochlear implantation changes the direction
of the child and the family's life by restoring the child's opportunity to hear
and to obtain good results in her personal, social, and academic development.
Even after implantation, the child continues to experience difficulties and
requires the family's mobilization in order to be successful. The family is the
principal actor in the process of the child's rehabilitation.
PMID- 29363412
TI - Depathologising gender diversity in childhood in the process of ICD revision and
reform.
AB - From 2007 on, the World Health Organisation (WHO) has been revising its
diagnostic manual, the International Statistical Classification of Diseases and
Related Health Problems (ICD), with approval of ICD-11 due in 2018. The ICD
revision has prompted debates on diagnostic classifications related to gender
diversity and gender development processes, and specifically on the 'Gender
incongruence of childhood' (GIC) code. These debates have taken place at a time
an emergent trans depathologisation movement is becoming increasingly
international, and regional and international human rights bodies are recognising
gender identity as a source of discrimination. With reference to the history of
diagnostic classification of gender diversity in childhood, this paper conducts a
literature review of academic, activist and institutional documents related to
the current discussion on the merits of retaining or abandoning the GIC code.
Within this broader discussion, the paper reviews in more detail recent
publications arguing for the abandonment of this diagnostic code drawing upon
clinical, bioethical and human rights perspectives. The review indicates that
gender diverse children engaged in exploring their gender identity and expression
do not benefit from diagnosis. Instead they benefit from support from their
families, their schools and from society more broadly.
PMID- 29363413
TI - Introducing Volume 13 of Regenerative Medicine.
PMID- 29363415
TI - No Increased Risk of Posttransplant Lymphoproliferative Disorder Following
Alemtuzumab Induction in Kidney Transplant.
AB - OBJECTIVES: Posttransplant lymphoproliferative disorder is a known complication
of solid-organ transplant. The use of depleting induction agents has demonstrated
varying associations with incidence of posttransplant lymphoproliferative
disorder. Alemtuzumab, a depleting induction agent for kidney transplant
patients, has shown promising results in reducing the risk of acute rejection and
graft loss in the first year. Its unique mechanism of depleting both T-cell and B
cell populations may be beneficial in preventing the occurrence of posttransplant
lymphoproliferative disorder. MATERIALS AND METHODS: We examined the known risk
factors for posttransplant lymphoproliferative disorder in the setting of
alemtuzumab induction to determine whether incidence increases with this
induction agent. We reviewed medical records of all alemtuzumab-induced kidney
transplants from March 2006 to November 2015. RESULTS: Of the 675 transplant
patients who received alemtuzumab induction, 10 developed posttransplant
lymphoproliferative disorder, with a cumulative incidence rate of 1.5%. All
diagnosed patients had several known risk factors associated with posttransplant
lymphoproliferative disorder: 7 with advanced age over 60 years, 5 being
cytomegalovirus-negative recipients, and all 10 donor kidneys being male patients
and Epstein-Barr virus positive before transplant. CONCLUSIONS: The incidence
rate seen in our patient population was within the range of the average in the
United States but far lower than the incidence rates associated with other
induction agents. Alemtuzumab is associated with a lower cumulative incidence
rate of posttransplant lymphoproliferative disorder compared with published
reports of other induction treatments.
PMID- 29363414
TI - Molecular interactions of type I and type II positive allosteric modulators with
the human alpha7 nicotinic acetylcholine receptor: an in silico study.
AB - The binding site locations and structural components for type I and type II
positive allosteric modulators (PAMs) of the alpha7 nicotinic acetylcholine
receptor (nAChR) have not been fully characterized yet. In this regard, homology
models of the human alpha7 nAChR and halpha7/m5-HT3A chimera, built using the
crystal structure of the serotonin type 3A receptor (5-EtaTau3AlphaR), were used
for molecular docking and molecular dynamics simulations to study the molecular
interactions of selected type I (5-hydroxyindol, NS-1738, and LY-2087101) and
type II (PNU-120596, PAM-2, and TBS-516) PAMs. The docking results indicate: (1)
a site located in the extracellular domain (ECD) for type I PAMs such as NS-1738
and LY-2087101, but not for 5-HI; (2) an overlapping site in the ECD
transmembrane domain (TMD) junction for all studied PAMs. Additional docking
results on the halpha7/m5-HT3A chimera supported experimental results indicating
that the ECD site might be relevant for type I PAM activity; and (3) two TMD
sites, an intrasubunit site that recognizes type II PAMs, and an intersubunit
pocket with high specificity for 5-HI (type I PAM). The in silico alpha7TSLMF
mutant results support the view that M1-Ser223 and M3-Ile281 are key residues for
the interaction of PAM-2 and PNU-120596 with the intrasubunit cavity. Our in
silico results are in agreement with experimental data showing that the
intrasubunit cavity is relevant for the activity of type II PAMs, and suggest
that the ECD-TMD junction and intersubunit sites could be significant for the
activity of type I PAMs.
PMID- 29363416
TI - Impact of Functional Hepatic Venous Outflow Obstruction on Perioperative Outcome
After Living-Donor Liver Transplant.
AB - OBJECTIVES: An optimal initial graft function after living-donor liver transplant
depends on optimal graft hemodynamics. Nonmechanical impediments to free hepatic
venous outflow, due to elevated central venous pressure, may obstruct the
"functional" hepatic venous outflow. Here, we evaluated whether central venous
pressure affected early graft function and outcomes in adult living-donor liver
transplant recipients. MATERIALS AND METHODS: This prospective observational
study included 61 living-donor liver transplant recipients without technical
complications who received transplants from August 2013 to November 2014.
Hemodynamic variables were measured preoperatively, at anhepatic phase, 30
minutes postreperfusion, at end of surgery, and during postoperative days 1-5.
RESULTS: Patients with high central venous pressure showed functional hepatic
venous outflow obstruction, which caused delayed recovery of graft function.
Although postoperative central venous pressure was the only identified
independent risk factor for mortality, all 5 deaths in our study group occurred
in those who had high central venous pressure at the anhepatic, postreperfusion,
end of surgery, and postoperative phases. A postoperative central venous pressure
value of ~11 mm Hg was determined to be the cutoff for high-risk mortality, with
area under the curve of 0.859 (sensitivity of 80%, specificity of 68%). Increased
central venous pressure was associated with increased portal venous pressure
(increase of 45%, range, 28%-89%; P = .001). Central venous pressure at end of
surgery (r = 0.45, P <= .001) and at posttransplant time points (r = 0.29, P =
.02) correlated well with portal venous pressure at end of surgery. Other risk
factors for early allograft dysfunction were Model for End-Stage Liver Disease
and cardiac output posttransplant. CONCLUSIONS: High central venous pressure,
modulating portal venous pressure, can result in functional hepatic venous
outflow obstruction, causing delayed graft function recovery and increased risk
of mortality. Maintaining a central venous pressure below 11 mm Hg is beneficial.
PMID- 29363417
TI - Preface.
PMID- 29363418
TI - Editorial: Neonatal Hyperbilirubinemia.
PMID- 29363419
TI - Phased secondary small interfering RNAs in Panaxnotoginseng.
AB - BACKGROUND: Recent results demonstrated that either non-coding or coding genes
generate phased secondary small interfering RNAs (phasiRNAs) guided by specific
miRNAs. Till now, there is no studies for phasiRNAs in Panax notoginseng (Burk.)
F.H. Chen (P. notoginseng), an important traditional Chinese herbal medicinal
plant species. METHODS: Here we performed a genome-wide discovery of phasiRNAs
and its host PHAS loci in P. notoginseng by analyzing small RNA sequencing
profiles. Degradome sequencing profile was used to identify the trigger miRNAs of
these phasiRNAs and potential targets of phasiRNAs. We also used RLM 5'-RACE to
validate some of the identified phasiRNA targets. RESULTS: After analyzing 24
small RNA sequencing profiles of P. notoginseng, 204 and 90 PHAS loci that
encoded 21 and 24 nucleotide (nt) phasiRNAs, respectively, were identified.
Furthermore, we found that phasiRNAs produced from some pentatricopeptide repeat
contain (PPR) genes target another layer of PPR genes as validated by both the
degradome sequencing profile and RLM 5'-RACE analysis. We also found that miR171
with 21 nt triggers the generations of 21 nt phasiRNAs from its conserved
targets. CONCLUSIONS: We validated that some phasiRNAs generated from PPRs and
TASL genes are functional by targeting other PPRs in trans. These results provide
the first set of PHAS loci and phasiRNAs in P. notoginseng, and enhance our
understanding of PHAS in plants.
PMID- 29363420
TI - Biclustering of transcriptome sequencing data reveals human tissue-specific
circular RNAs.
AB - BACKGROUND: Emerging evidence has been experimentally confirmed the tissue
specific expression of circRNAs (circRNAs). Global identification of human tissue
specific circRNAs is crucial for the functionality study, which facilitates the
discovery of circRNAs for potential diagnostic biomarkers. RESULTS: In this
study, circRNA back-splicing junctions were identified from 465 publicly
available transcriptome sequencing samples. The number of reads aligned to these
identified junctions was normalized with the read length and sequence depth for
each sample. We generated 66 models representing enriched circRNAs among human
tissue transcriptome through biclustering algorithm. The result provides
thousands of newly identified human tissue-specific circRNAs. CONCLUSIONS: This
result suggests that expression of circRNAs is not prompted by random splicing
error but serving molecular functional roles. We also identified circRNAs
enriched within circulating system, which, along with identified tissue-specific
circRNAs, can serve as potential diagnostic biomarkers.
PMID- 29363421
TI - Mapping HLA-A2, -A3 and -B7 supertype-restricted T-cell epitopes in the
ebolavirus proteome.
AB - BACKGROUND: Ebolavirus (EBOV) is responsible for one of the most fatal diseases
encountered by mankind. Cellular T-cell responses have been implicated to be
important in providing protection against the virus. Antigenic variation can
result in viral escape from immune recognition. Mapping targets of immune
responses among the sequence of viral proteins is, thus, an important first step
towards understanding the immune responses to viral variants and can aid in the
identification of vaccine targets. Herein, we performed a large-scale, proteome
wide mapping and diversity analyses of putative HLA supertype-restricted T-cell
epitopes of Zaire ebolavirus (ZEBOV), the most pathogenic species among the EBOV
family. METHODS: All publicly available ZEBOV sequences (14,098) for each of the
nine viral proteins were retrieved, removed of irrelevant and duplicate
sequences, and aligned. The overall proteome diversity of the non-redundant
sequences was studied by use of Shannon's entropy. The sequences were predicted,
by use of the NetCTLpan server, for HLA-A2, -A3, and -B7 supertype-restricted
epitopes, which are relevant to African and other ethnicities and provide for
large (~86%) population coverage. The predicted epitopes were mapped to the
alignment of each protein for analyses of antigenic sequence diversity and
relevance to structure and function. The putative epitopes were validated by
comparison with experimentally confirmed epitopes. RESULTS & DISCUSSION: ZEBOV
proteome was generally conserved, with an average entropy of 0.16. The 185 HLA
supertype-restricted T-cell epitopes predicted (82 (A2), 37 (A3) and 66 (B7))
mapped to 125 alignment positions and covered ~24% of the proteome length. Many
of the epitopes showed a propensity to co-localize at select positions of the
alignment. Thirty (30) of the mapped positions were completely conserved and may
be attractive for vaccine design. The remaining (95) positions had one or more
epitopes, with or without non-epitope variants. A significant number (24) of the
putative epitopes matched reported experimentally validated HLA ligands/T-cell
epitopes of A2, A3 and/or B7 supertype representative allele restrictions. The
epitopes generally corresponded to functional motifs/domains and there was no
correlation to localization on the protein 3D structure. These data and the
epitope map provide important insights into the interaction between EBOV and the
host immune system.
PMID- 29363422
TI - Construction of Para rubber tree genome and multi-transcriptome database
accelerates rubber researches.
AB - BACKGROUND: Natural rubber is an economically important material. Currently the
Para rubber tree, Hevea brasiliensis is the main commercial source. Little is
known about rubber biosynthesis at the molecular level. Next-generation
sequencing (NGS) technologies brought draft genomes of three rubber cultivars and
a variety of RNA sequencing (RNA-seq) data. However, no current genome or
transcriptome databases (DB) are organized by gene. RESULTS: A gene-oriented
database is a valuable support for rubber research. Based on our original draft
genome sequence of H. brasiliensis RRIM600, we constructed a rubber tree genome
and transcriptome DB. Our DB provides genome information including gene
functional annotations and multi-transcriptome data of RNA-seq, full-length cDNAs
including PacBio Isoform sequencing (Iso-Seq), ESTs and genome wide transcription
start sites (TSSs) derived from CAGE technology. Using our original and
publically available RNA-seq data, we calculated co-expressed genes for
identifying functionally related gene sets and/or genes regulated by the same
transcription factor (TF). Users can access multi-transcriptome data through both
a gene-oriented web page and a genome browser. For the gene searching system, we
provide keyword search, sequence homology search and gene expression search;
users can also select their expression threshold easily. CONCLUSION: The rubber
genome and transcriptome DB provides rubber tree genome sequence and multi
transcriptomics data. This DB is useful for comprehensive understanding of the
rubber transcriptome. This will assist both industrial and academic researchers
for rubber and economically important close relatives such as R. communis, M.
esculenta and J. curcas. The Rubber Transcriptome DB release 2017.03 is
accessible at http://matsui-lab.riken.jp/rubber/ .
PMID- 29363423
TI - InfAcrOnt: calculating cross-ontology term similarities using information flow by
a random walk.
AB - BACKGROUND: Since the establishment of the first biomedical ontology Gene
Ontology (GO), the number of biomedical ontology has increased dramatically.
Nowadays over 300 ontologies have been built including extensively used Disease
Ontology (DO) and Human Phenotype Ontology (HPO). Because of the advantage of
identifying novel relationships between terms, calculating similarity between
ontology terms is one of the major tasks in this research area. Though
similarities between terms within each ontology have been studied with in silico
methods, term similarities across different ontologies were not investigated as
deeply. The latest method took advantage of gene functional interaction network
(GFIN) to explore such inter-ontology similarities of terms. However, it only
used gene interactions and failed to make full use of the connectivity among gene
nodes of the network. In addition, all existent methods are particularly designed
for GO and their performances on the extended ontology community remain unknown.
RESULTS: We proposed a method InfAcrOnt to infer similarities between terms
across ontologies utilizing the entire GFIN. InfAcrOnt builds a term-gene-gene
network which comprised ontology annotations and GFIN, and acquires similarities
between terms across ontologies through modeling the information flow within the
network by random walk. In our benchmark experiments on sub-ontologies of GO,
InfAcrOnt achieves a high average area under the receiver operating
characteristic curve (AUC) (0.9322 and 0.9309) and low standard deviations
(1.8746e-6 and 3.0977e-6) in both human and yeast benchmark datasets exhibiting
superior performance. Meanwhile, comparisons of InfAcrOnt results and prior
knowledge on pair-wise DO-HPO terms and pair-wise DO-GO terms show high
correlations. CONCLUSIONS: The experiment results show that InfAcrOnt
significantly improves the performance of inferring similarities between terms
across ontologies in benchmark set.
PMID- 29363424
TI - Success: evolutionary and structural properties of amino acids prove effective
for succinylation site prediction.
AB - BACKGROUND: Post-translational modification is considered an important biological
mechanism with critical impact on the diversification of the proteome. Although a
long list of such modifications has been studied, succinylation of lysine
residues has recently attracted the interest of the scientific community. The
experimental detection of succinylation sites is an expensive process, which
consumes a lot of time and resources. Therefore, computational predictors of this
covalent modification have emerged as a last resort to tackling lysine
succinylation. RESULTS: In this paper, we propose a novel computational predictor
called 'Success', which efficiently uses the structural and evolutionary
information of amino acids for predicting succinylation sites. To do this, each
lysine was described as a vector that combined the above information of
surrounding amino acids. We then designed a support vector machine with a radial
basis function kernel for discriminating between succinylated and non
succinylated residues. We finally compared the Success predictor with three state
of-the-art predictors in the literature. As a result, our proposed predictor
showed a significant improvement over the compared predictors in statistical
metrics, such as sensitivity (0.866), accuracy (0.838) and Matthews correlation
coefficient (0.677) on a benchmark dataset. CONCLUSIONS: The proposed predictor
effectively uses the structural and evolutionary information of the amino acids
surrounding a lysine. The bigram feature extraction approach, while retaining the
same number of features, facilitates a better description of lysines. A support
vector machine with a radial basis function kernel was used to discriminate
between modified and unmodified lysines. The aforementioned aspects make the
Success predictor outperform three state-of-the-art predictors in succinylation
detection.
PMID- 29363425
TI - ezTree: an automated pipeline for identifying phylogenetic marker genes and
inferring evolutionary relationships among uncultivated prokaryotic draft
genomes.
AB - BACKGROUND: Inferring phylogenetic trees for newly recovered genomes from
metagenomic samples is very useful in determining the identities of uncultivated
microorganisms. Even though 16S ribosomal RNA small subunit genes have been
established as "gold standard" markers for inferring phylogenetic trees, they
usually cannot be assembled very well in metagenomes due to shared regions among
16S genes. Using single-copy marker genes to build genome trees has become
increasingly popular for uncultivated species. Predefined marker gene sets were
discovered and have been applied in various genomic studies; however these gene
sets might not be adequate for novel, uncultivated, draft, or incomplete genomes.
The automatic identification of marker gene sets among a set of genomes with
different assembly qualities has thus become a very important task for inferring
reliable phylogenetic relationships for microbial populations. RESULTS: A
computational pipeline, ezTree, was developed to automatically identify single
copy marker genes for a group of genomes and build phylogenetic trees from the
marker genes. Testing ezTree on a group of proteobacteria species revealed that
ezTree was highly effective in pinpointing marker genes and constructing reliable
trees for different groups of bacterial genomes. Applying ezTree to genomes that
were recently recovered from metagenomes also showed that ezTree can help
elucidate taxonomic relationships among newly recovered genomes and existing
ones. CONCLUSIONS: The development of ezTree can help scientists build reliable
phylogenetic trees for uncultivated species retrieved from environmental samples.
The uncovered single-copy marker genes may also provide crucial hints for
understanding shared features of a group of microbes. The ezTree pipeline is
freely available at https://github.com/yuwwu/ezTree under a GNU GPLv3 license.
PMID- 29363426
TI - A novel algorithm for finding optimal driver nodes to target control complex
networks and its applications for drug targets identification.
AB - BACKGROUND: The advances in target control of complex networks not only can offer
new insights into the general control dynamics of complex systems, but also be
useful for the practical application in systems biology, such as discovering new
therapeutic targets for disease intervention. In many cases, e.g. drug target
identification in biological networks, we usually require a target control on a
subset of nodes (i.e., disease-associated genes) with minimum cost, and we
further expect that more driver nodes consistent with a certain well-selected
network nodes (i.e., prior-known drug-target genes). RESULTS: Therefore,
motivated by this fact, we pose and address a new and practical problem called as
target control problem with objectives-guided optimization (TCO): how could we
control the interested variables (or targets) of a system with the optional
driver nodes by minimizing the total quantity of drivers and meantime maximizing
the quantity of constrained nodes among those drivers. Here, we design an
efficient algorithm (TCOA) to find the optional driver nodes for controlling
targets in complex networks. We apply our TCOA to several real-world networks,
and the results support that our TCOA can identify more precise driver nodes than
the existing control-fucus approaches. Furthermore, we have applied TCOA to two
bimolecular expert-curate networks. Source code for our TCOA is freely available
from http://sysbio.sibcb.ac.cn/cb/chenlab/software.htm or
https://github.com/WilfongGuo/guoweifeng . CONCLUSIONS: In the previous
theoretical research for the full control, there exists an observation and
conclusion that the driver nodes tend to be low-degree nodes. However, for target
control the biological networks, we find interestingly that the driver nodes tend
to be high-degree nodes, which is more consistent with the biological
experimental observations. Furthermore, our results supply the novel insights
into how we can efficiently target control a complex system, and especially many
evidences on the practical strategic utility of TCOA to incorporate prior drug
information into potential drug-target forecasts. Thus applicably, our method
paves a novel and efficient way to identify the drug targets for leading the
phenotype transitions of underlying biological networks.
PMID- 29363427
TI - GT-WGS: an efficient and economic tool for large-scale WGS analyses based on the
AWS cloud service.
AB - BACKGROUND: Whole-genome sequencing (WGS) plays an increasingly important role in
clinical practice and public health. Due to the big data size, WGS data analysis
is usually compute-intensive and IO-intensive. Currently it usually takes 30 to
40 h to finish a 50* WGS analysis task, which is far from the ideal speed
required by the industry. Furthermore, the high-end infrastructure required by
WGS computing is costly in terms of time and money. In this paper, we aim to
improve the time efficiency of WGS analysis and minimize the cost by elastic
cloud computing. RESULTS: We developed a distributed system, GT-WGS, for large
scale WGS analyses utilizing the Amazon Web Services (AWS). Our system won the
first prize on the Wind and Cloud challenge held by Genomics and Cloud Technology
Alliance conference (GCTA) committee. The system makes full use of the dynamic
pricing mechanism of AWS. We evaluate the performance of GT-WGS with a 55* WGS
dataset (400GB fastq) provided by the GCTA 2017 competition. In the best case, it
only took 18.4 min to finish the analysis and the AWS cost of the whole process
is only 16.5 US dollars. The accuracy of GT-WGS is 99.9% consistent with that of
the Genome Analysis Toolkit (GATK) best practice. We also evaluated the
performance of GT-WGS performance on a real-world dataset provided by the XiangYa
hospital, which consists of 5* whole-genome dataset with 500 samples, and on
average GT-WGS managed to finish one 5* WGS analysis task in 2.4 min at a cost of
$3.6. CONCLUSIONS: WGS is already playing an important role in guiding
therapeutic intervention. However, its application is limited by the time cost
and computing cost. GT-WGS excelled as an efficient and affordable WGS analyses
tool to address this problem. The demo video and supplementary materials of GT
WGS can be accessed at https://github.com/Genetalks/wgs_analysis_demo .
PMID- 29363428
TI - A survey on cellular RNA editing activity in response to Candida albicans
infections.
AB - BACKGROUND: Adenosine-to-Inosine (A-to-I) RNA editing is catalyzed by the
adenosine deaminase acting on RNA (ADAR) family of enzymes, which induces
alterations in mRNA sequence. It has been shown that A-to-I RNA editing events
are of significance in the cell's innate immunity and cellular response to viral
infections. However, whether RNA editing plays a role in cellular response to
microorganism/fungi infection has not been determined. Candida albicans, one of
the most prevalent human pathogenic fungi, usually act as a commensal on skin and
superficial mucosal, but has been found to cause candidiasis in immunosuppression
patients. Previously, we have revealed the up-regulation of A-to-I RNA editing
activity in response to different types of influenza virus infections. The
current work is designed to study the effect of microorganism/fungi infection on
the activity of A-to-I RNA editing in infected hosts. RESULTS: We first detected
and characterized the A-to-I RNA editing events in oral epithelial cells (OKF6)
and primary human umbilical vein endothelial cells (HUVEC), under normal growth
condition or with C. albicans infection. Eighty nine thousand six hundred forty
eight and 60,872 A-to-I editing sites were detected in normal OKF6 and HUVEC
cells, respectively. They were validated against the RNA editing databases,
DARNED, RADAR, and REDIportal with 50, 80, and 80% success rates, respectively.
While over 95% editing sites were detected in Alu regions, among the rest of the
editing sites in non repetitive regions, the majority was located in introns and
UTRs. The distributions of A-to-I editing activity and editing depth were
analyzed during the course of C. albicans infection. While the normalized editing
levels of common editing sites exhibited a significant increase, especially in
Alu regions, no significant change in the expression of ADAR1 or ADAR2 was
observed. Second, we performed further analysis on data from in vivo mouse study
with C. albicans infection. One thousand one hundred thirty three and 955 A-to-I
editing sites were identified in mouse tongue and kidney tissues, respectively.
The number of A-to-I editing events was much smaller than in human epithelial or
endothelial cells, due to the lack of Alu elements in mouse genome. Furthermore,
during the course of C. albicans infection we observed stable level of A-to-I
editing activity in 131 and 190 common editing sites in the mouse tongue and
kidney tissues, and found no significant change in ADAR1 or ADAR2 expression
(with the exception of ADAR2 displaying a significant increase at 12 h after
infection in mouse kidney tissue before returning to normal). CONCLUSIONS: This
work represents the first comprehensive analysis of A-to-I RNA editome in human
epithelial and endothelial cells. C. albicans infection of human epithelial and
endothelial cells led to the up-regulation of A-to-I editing activities, through
a mechanism different from that of viral infections in human hosts. However, the
in vivo mouse model with C. albicans infection did not show significant changes
in A-to-I editing activities in tongue and kidney tissues. The different results
in the mouse model were likely due to the presence of more complex in vivo
environments, e.g. circulation and mixed cell types.
PMID- 29363429
TI - Characteristics of functional enrichment and gene expression level of human
putative transcriptional target genes.
AB - BACKGROUND: Transcriptional target genes show functional enrichment of genes.
However, how many and how significantly transcriptional target genes include
functional enrichments are still unclear. To address these issues, I predicted
human transcriptional target genes using open chromatin regions, ChIP-seq data
and DNA binding sequences of transcription factors in databases, and examined
functional enrichment and gene expression level of putative transcriptional
target genes. RESULTS: Gene Ontology annotations showed four times larger numbers
of functional enrichments in putative transcriptional target genes than gene
expression information alone, independent of transcriptional target genes. To
compare the number of functional enrichments of putative transcriptional target
genes between cells or search conditions, I normalized the number of functional
enrichment by calculating its ratios in the total number of transcriptional
target genes. With this analysis, native putative transcriptional target genes
showed the largest normalized number of functional enrichments, compared with
target genes including 5-60% of randomly selected genes. The normalized number of
functional enrichments was changed according to the criteria of enhancer-promoter
interactions such as distance from transcriptional start sites and orientation of
CTCF-binding sites. Forward-reverse orientation of CTCF-binding sites showed
significantly higher normalized number of functional enrichments than the other
orientations. Journal papers showed that the top five frequent functional
enrichments were related to the cellular functions in the three cell types. The
median expression level of transcriptional target genes changed according to the
criteria of enhancer-promoter assignments (i.e. interactions) and was correlated
with the changes of the normalized number of functional enrichments of
transcriptional target genes. CONCLUSIONS: Human putative transcriptional target
genes showed significant functional enrichments. Functional enrichments were
related to the cellular functions. The normalized number of functional
enrichments of human putative transcriptional target genes changed according to
the criteria of enhancer-promoter assignments and correlated with the median
expression level of the target genes. These analyses and characters of human
putative transcriptional target genes would be useful to examine the criteria of
enhancer-promoter assignments and to predict the novel mechanisms and factors
such as DNA binding proteins and DNA sequences of enhancer-promoter interactions.
PMID- 29363431
TI - PGAP-X: extension on pan-genome analysis pipeline.
AB - BACKGROUND: Since PGAP (pan-genome analysis pipeline) was published in 2012, it
has been widely employed in bacterial genomics research. Though PGAP has
integrated several modules for pan-genomics analysis, how to properly and
effectively interpret and visualize the results data is still a challenge.
RESULT: To well present bacterial genomic characteristics, a novel cross-platform
software was developed, named PGAP-X. Four kinds of data analysis modules were
developed and integrated: whole genome sequences alignment, orthologous genes
clustering, pan-genome profile analysis, and genetic variants analysis. The
results from these analyses can be directly visualized in PGAP-X. The modules for
data visualization in PGAP-X include: comparison of genome structure, gene
distribution by conservation, pan-genome profile curve and variation on genic and
genomic region. Meanwhile, result data produced by other programs with similar
function can be imported to be further analyzed and visualized in PGAP-X. To test
the performance of PGAP-X, we comprehensively analyzed 14 Streptococcus pneumonia
strains and 14 Chlamydia trachomatis. The results show that, S. pneumonia strains
have higher diversity on genome structure and gene contents than C. trachomatis
strains. In addition, S. pneumonia strains might have suffered many evolutionary
events, such genomic rearrangements, frequent horizontal gene transfer,
homologous recombination, and other evolutionary process. CONCLUSION: Briefly,
PGAP-X directly presents the characteristics of bacterial genomic diversity with
different visualization methods, which could help us to intuitively understand
dynamics and evolution in bacterial genomes. The source code and the pre-complied
executable programs are freely available from http://pgapx.ybzhao.com .
PMID- 29363430
TI - A comprehensive study on cellular RNA editing activity in response to infections
with different subtypes of influenza a viruses.
AB - BACKGROUND: RNA editing is an important mechanism that expands the diversity and
complexity of genetic codes. The conversions of adenosine (A) to inosine (I) and
cytosine (C) to uridine (U) are two prominent types of RNA editing in animals.
The roles of RNA editing events have been implicated in important biological
pathways. Cellular RNA editing activity in response to influenza A virus
infection has not been fully characterized in human and avian hosts. This study
was designed as a big data analysis to investigate the role and response of RNA
editing in epithelial cells during the course of infection with various subtypes
of influenza A viruses. RESULTS: Using a bioinformatics pipeline modified from
our previous study, we characterized the profiles of A-to-I and C-to-U RNA
editing events in human epithelial cells during the course of influenza A virus
infection. Our results revealed a striking diversity of A-to-I RNA editing
activities in human epithelial cells in responses to different subtypes of
influenza A viruses. The infection of H1N1 and H3N2 significantly up-regulated
normalized A-to-I RNA editing levels in human epithelial cells, whereas that of
H5N1 did not change it and H7N9 infection significantly down-regulated normalized
A-to-I editing level in A549 cells. Next, the expression levels of ADAR and
APOBEC enzymes responsible for A-to-I and C-to-U RNA editing during the course of
virus infection were examined. The increase of A-to-I RNA editing activities in
infections with some influenza A viruses (H1N1 and H3N2) is linked to the up
regulation of ADAR1 but not ADAR2. Further, the pattern recognition receptors of
human epithelial cells infected with H1N1, H3N2, H5N1 and H7N9 were examined.
Variable responsive changes in gene expression were observed with RIG-I like
receptors and Toll like receptors. Finally, the effect of influenza A virus
infection on cellular RNA editing activity was also analyzed in avian hosts.
CONCLUSION: This work represents the first comprehensive study of cellular RNA
editing activity in response to different influenza A virus infections in human
and avian hosts, highlighting the critical role of RNA editing in innate immune
response and the pathogenicity of different subtypes of influenza A viruses.
PMID- 29363432
TI - A bioinformatics potpourri.
AB - The 16th International Conference on Bioinformatics (InCoB) was held at Tsinghua
University, Shenzhen from September 20 to 22, 2017. The annual conference of the
Asia-Pacific Bioinformatics Network featured six keynotes, two invited talks, a
panel discussion on big data driven bioinformatics and precision medicine, and 66
oral presentations of accepted research articles or posters. Fifty-seven articles
comprising a topic assortment of algorithms, biomolecular networks, cancer and
disease informatics, drug-target interactions and drug efficacy, gene regulation
and expression, imaging, immunoinformatics, metagenomics, next generation
sequencing for genomics and transcriptomics, ontologies, post-translational
modification, and structural bioinformatics are the subject of this editorial for
the InCoB2017 supplement issues in BMC Genomics, BMC Bioinformatics, BMC Systems
Biology and BMC Medical Genomics. New Delhi will be the location of InCoB2018,
scheduled for September 26-28, 2018.
PMID- 29363434
TI - Gorham-Stout disease of the temporal bone involving the temporomandibular joint.
AB - BACKGROUND: Gorham-Stout disease of the skull is a very rare entity. It presents
with gradual bone resorption, and proliferation of lymphoid and vascular channels
within the bony matrix. This is often a diagnosis of exclusion confirmed with
serial imaging and based on radiological evidence. CASE REPORT: A case of Gorham
Stout disease of the temporal bone involving the temporomandibular joint, and
presenting with sensorineural hearing loss and recurrent temporomandibular joint
dislocation, is reported. The findings are presented and the literature on this
condition is reviewed. CONCLUSION: ENT and maxillofacial surgeons should be aware
of this extremely rare cause of temporomandibular joint dislocation and ear
symptoms. Imaging comprising computed tomography and magnetic resonance imaging
is crucial to achieving a diagnosis, which may only become evident after repeated
imaging follow up. Symptomatic treatment is advised, with the option of anti
osteoclastic medication and radiotherapy indicated for advanced cases. Surgery is
only recommended for complications including involvement of neurovascular
structures.
PMID- 29363435
TI - Defect Rates in Touchless Versus Mechanical Hand Hygiene Dispensers.
PMID- 29363436
TI - Factors Associated with the Use of Fecal Microbiota Transplant in Patients with
Recurrent Clostridium difficile Infections.
AB - OBJECTIVE To identify the factors associated with first Clostridium difficile
infection (CDI) that predict fecal microbiota transplantation (FMT) for recurrent
CDI DESIGN We carried out a retrospective single-center cohort study to compare
the clinical characteristics of 200 patients who underwent FMT for recurrent CDI
to 75 patients who did not. SETTING A single academic hospital in the United
States PATIENTS Adult patients RESULTS The time from first to second CDI
correlated to subsequent FMT use. Concomitant inflammatory bowel disease (IBD;
P=.002), use of immunosuppressive therapy (P=.04), and use of metronidazole
within 2 months before the first CDI (P=.02) correlated positively to subsequent
FMT in univariate analysis. The use of oral vancomycin for first CDI was more
common in those who required FMT than those who did not in univariate (P=.02) and
multivariate (P=.03) analyses. In contrast, intravenous vancomycin use within 2
months before the first CDI reduced the risk for FMT in univariate P=.000003) and
multivariate (P=.0001) analyses. Black patients with recurrent CDI were less
likely to receive FMT than white patients (P=.00005). Patients who received FMT
were also less likely to have comorbidities. CONCLUSIONS This study provides
important insights into the factors predictive for FMT in patients with recurrent
CDI and highlights the potential racial and medical characteristics that affect
the access of the patients to FMT. Infect Control Hosp Epidemiol 2018;39:302-306.
PMID- 29363433
TI - Discovery of cell-type specific DNA motif grammar in cis-regulatory elements
using random Forest.
AB - BACKGROUND: It has been observed that many transcription factors (TFs) can bind
to different genomic loci depending on the cell type in which a TF is expressed
in, even though the individual TF usually binds to the same core motif in
different cell types. How a TF can bind to the genome in such a highly cell-type
specific manner, is a critical research question. One hypothesis is that a TF
requires co-binding of different TFs in different cell types. If this is the
case, it may be possible to observe different combinations of TF motifs - a motif
grammar - located at the TF binding sites in different cell types. In this study,
we develop a bioinformatics method to systematically identify DNA motifs in TF
binding sites across multiple cell types based on published ChIP-seq data, and
address two questions: (1) can we build a machine learning classifier to predict
cell-type specificity based on motif combinations alone, and (2) can we extract
meaningful cell-type specific motif grammars from this classifier model. RESULTS:
We present a Random Forest (RF) based approach to build a multi-class classifier
to predict the cell-type specificity of a TF binding site given its motif
content. We applied this RF classifier to two published ChIP-seq datasets of TF
(TCF7L2 and MAX) across multiple cell types. Using cross-validation, we show that
motif combinations alone are indeed predictive of cell types. Furthermore, we
present a rule mining approach to extract the most discriminatory rules in the RF
classifier, thus allowing us to discover the underlying cell-type specific motif
grammar. CONCLUSIONS: Our bioinformatics analysis supports the hypothesis that
combinatorial TF motif patterns are cell-type specific.
PMID- 29363437
TI - Presentation of automated procedural guidance in surgical simulation: results of
two randomised controlled trials.
AB - OBJECTIVE: To investigate the effectiveness and usability of automated procedural
guidance during virtual temporal bone surgery. METHODS: Two randomised controlled
trials were performed to evaluate the effectiveness, for medical students, of two
presentation modalities of automated real-time procedural guidance in virtual
reality simulation: full and step-by-step visual presentation of drillable areas.
Presentation modality effectiveness was determined through a comparison of
participants' dissection quality, evaluated by a blinded otologist, using a
validated assessment scale. RESULTS: While the provision of automated guidance on
procedure improved performance (full presentation, p = 0.03; step-by-step
presentation, p < 0.001), usage of the two different presentation modalities was
vastly different (full presentation, 3.73 per cent; step-by-step presentation,
60.40 per cent). CONCLUSION: Automated procedural guidance in virtual temporal
bone surgery is effective in improving trainee performance. Step-by-step
presentation of procedural guidance was engaging, and therefore more likely to be
used by the participants.
PMID- 29363438
TI - To Be a CLABSI or Not to Be a CLABSI-That is the Question: The Epidemiology of
BSI in a Large ECMO Population.
PMID- 29363439
TI - A morphological and molecular study of adults and metacercariae of Hysteromorpha
triloba (Rudolpi, 1819), Lutz 1931 (Diplostomidae) from the Neotropical region.
AB - Adults of Hysteromorpha triloba (Rudolpi, 1819), Lutz, 1931 inhabit primarily the
intestine of cormorants across the globe, whereas metacercariae have been found
in the body cavity of freshwater fishes of the families Cyprinidae, Ictaluridae,
Ariidae, Pimelodidae and Catostomidae. In this study, adults and metacercariae
identified as H. triloba were collected from the Neotropical cormorant
(Nannopterum brasilianus) and from the Mexican tetra fish (Astyanax mexicanus)
from the Gulf of Mexico and Pacific Ocean slopes in the Neotropical region.
Partial DNA sequences of the mitochondrial gene cytochrome c oxidase subunit I
(cox 1) and the internal transcribed spacers (ITS1, 5.8S and ITS2) of nuclear
ribosomal DNA were generated for both developmental stages, and were compared
with available sequences of H. triloba from the Nearctic region. The genetic
divergence between metacercariae and adults of H. triloba from the Neotropical
and Nearctic region (Canada) associated with the double-crested cormorant
(Nannopterum auritus), ranged from 0 to 5.5% for cox 1 and from 0 to 0.2% for
ITS. Phylogenetic analyses inferred with both molecular markers using maximum
likelihood and Bayesian inference placed the adults and metacercariae in a single
clade, confirming that both stages are conspecific. Our data confirmed that H.
triloba is a widely distributed species across the Americas, parasitizing both
the Neotropical and Nearctic cormorants in Argentina, Brazil, Venezuela, Mexico,
USA and Canada.
PMID- 29363440
TI - Hospital Water Management Programs for Legionella Prevention, Minnesota, 2017.
AB - Infection preventionists at Minnesota hospitals were surveyed to determine
whether they had Legionella water management plans. Of 137 hospitals, 84 (61%)
responded. Among them, 27% hospitals had a water management plan, 21% regularly
sampled for Legionella, and 51% had knowledge of ASHRAE Legionella prevention
standards. Significant changes are needed to protect patients from nosocomial
infection. Infect Control Hosp Epidemiol 2018;39:336-338.
PMID- 29363442
TI - Case Report: Family Cluster of Japanese Spotted Fever.
AB - Spotted fever group rickettsioses are transmitted by several types of arthropods
(including ticks, chiggers, fleas, and lice) and are distributed worldwide.
Japanese spotted fever (JSF) was discovered as an emerging rickettsiosis in 1984.
The annual number of cases has increased 3-fold during the last decade. In Japan,
JSF has been mainly reported in an area with warm climate that borders the
Pacific Ocean. We describe a family/neighborhood cluster of three cases of JSF in
an area of Japan that had previously not been considered endemic.
PMID- 29363441
TI - Severe Strongyloidiasis in Solid Organ Transplant Recipients: Should We
Preventively Treat the Recipient, the Donor, or Both?
AB - Strongyloidiasis is caused by a soil-transmitted helminth that is endemic in
tropical and subtropical countries. The parasite can complete its life cycle
without leaving the host, allowing autoinfection and persistence. The risk of
infection in travelers is low, but the disease may become lethal following
immunosuppression. In case of solid organ transplantation, the risk of donor
transmission has been suspected for several years. However, the management of
live donors in this context has only recently been considered, and no guidelines
exist for the management of deceased donors. To highlight the complexity of
diagnosing, treating, and preventing strongyloidiasis donor transmission, we
describe a case of possible transmission of severe strongyloidiasis to a kidney
transplant recipient with limited travel history. Taking into account the
difficulty of diagnosing chronic strongyloidiasis infection and the increase in
travel and immunosuppressive treatments, we recommend pragmatic management
guidelines to limit the risks of infection.
PMID- 29363443
TI - A Cross-Sectional Study on Intestinal Parasitic Infections in Children in
Suburban Public Primary Schools, Saraburi, the Central Region of Thailand.
AB - Intestinal parasitic infection rate among school-aged children in Thailand has
been decreasing. However, certain intestinal parasites remain problematic in some
regions. This cross-sectional study was conducted between February and September
2016 in three suburban government primary schools (KK, BR, and HK), Saraburi,
Thailand. Stool was collected from 263 asymptomatic subjects (4-15 years old),
using simple direct smear, formalin-ether concentration, Boeck and Drbohlav's
Locke-Egg-Serum (LES) medium culture, and agar plate culture. A self-administered
questionnaire was used to collect data about lifestyle and socioeconomic status.
The overall rate of intestinal parasites was 22.1% (15.6% single infection and
6.5% multiple infections). The helminths involving the digestive system found
were Strongyloides stercoralis (1.5%) and Opisthorchis viverrini (0.4%). For
protozoan infection, the major cause was Blastocystis hominis (17.5%). The other
protozoa included Endolimax nana (4.6%), Entamoeba coli (3.4%), Entamoeba
histolytica/Entamoeba dispar (1.1%), and Giardia intestinalis (0.8%). The
sensitivity for the detection of B. hominis increased with the LES culture
technique. The infection rate of each organism was not significantly different
among the three schools except for B. hominis which showed the highest prevalence
in the HK school (P = 0.001). This was correlated with the questionnaire results
in which the HK school showed the highest risk of drinking contaminated water (P
= 0.004). The present study emphasized the persistent problems of protozoan
infections among suburban school-aged children. Lifestyle was still an important
factor for intestinal parasitic infections among suburban school-aged Thai
children in this study. Health education as well as routine surveillance was
necessary to control the infections.
PMID- 29363445
TI - Diarrhegenic Escherichia coli Replaces Shigella spp. as the Predominant Bacteria
Causing Childhood Diarrhea in Andaman and Nicobar Islands, India.
PMID- 29363444
TI - Escherichia coli Contamination across Multiple Environmental Compartments (Soil,
Hands, Drinking Water, and Handwashing Water) in Urban Harare: Correlations and
Risk Factors.
AB - Escherichia coli pathotypes (i.e., enteropathogenic and enterotoxigenic) have
been identified among the pathogens most responsible for moderate-to-severe
diarrhea in low- and middle-income countries (LMICs). Pathogenic E. coli are
transmitted from infected human or animal feces to new susceptible hosts via
environmental reservoirs such as hands, water, and soil. Commensal E. coli, which
includes nonpathogenic E. coli strains, are widely used as fecal bacteria
indicator, with their presence associated with increased likelihood of enteric
pathogens and/or diarrheal disease. In this study, we investigated E. coli
contamination in environmental reservoirs within households (N = 142) in high
population density communities of Harare, Zimbabwe. We further assessed the
interconnectedness of the environmental compartments by investigating
associations between, and household-level risk factors for, E. coli
contamination. From the data we collected, the source and risk factors for E.
coli contamination are not readily apparent. One notable exception is the
presence of running tap water on the household plot, which is associated with
significantly less E. coli contamination of drinking water, handwashing water,
and hands after handwashing. In addition, E. coli levels on hands after washing
are significantly associated with handwashing water contamination, hand
contamination before washing, and diarrhea incidence. Finally, we observed that
animal ownership increases E. coli contamination in soil, and E. coli in soil are
correlated with contamination on hands before washing. This study highlights the
complexity of E. coli contamination in household environments within LMICs. More,
larger, studies are needed to better identify sources and exposure pathways of E.
coli-and enteric pathogens generally-to identify effective interventions.
PMID- 29363446
TI - Safety and Immunogenicity of a Tetravalent Dengue DNA Vaccine Administered with a
Cationic Lipid-Based Adjuvant in a Phase 1 Clinical Trial.
AB - We conducted an open label, dose escalation Phase 1 clinical trial of a
tetravalent dengue DNA vaccine (TVDV) formulated in Vaxfectin(r) to assess safety
and immunogenicity. A total of 40 dengue- and flavivirus-naive volunteers
received either low-dose (1 mg) TVDV alone (N = 10, group 1), low-dose TVDV (1
mg) formulated in Vaxfectin (N = 10, group 2), or high-dose TVDV (2 mg, group 3)
formulated in Vaxfectin(r) (N = 20). Subjects were immunized intramuscularly with
three doses on a 0-, 30-, 90-day schedule and monitored. Blood samples were
obtained after each immunization and various time points thereafter to assess
anti-dengue antibody and interferon gamma (IFNgamma) T-cell immune responses. The
most common adverse events (AEs) across all groups included mild to moderate pain
and tenderness at the injection site, which typically resolved within 7 days.
Common solicited signs and symptoms included fatigue (42.5%), headache (45%), and
myalgias (47.5%). There were no serious AEs related to the vaccine or study
procedures. No anti-dengue antibody responses were detected in group 1 subjects
who received all three immunizations. There were minimal enzyme-linked
immunosorbent assay and neutralizing antibody responses among groups 2 and 3
subjects who completed the immunization schedule. By contrast, IFNgamma T-cell
responses, regardless of serotype specificity, occurred in 70%, 50%, and 79% of
subjects in groups 1, 2, and 3, respectively. The largest IFNgamma T-cell
responses were among group 3 subjects. We conclude that TVDV was safe and well
tolerated and elicited predominately anti-dengue T-cell IFNgamma responses in a
dose-related fashion.
PMID- 29363448
TI - Measuring User Compliance and Cost Effectiveness of Safe Drinking Water Programs:
A Cluster-Randomized Study of Household Ultraviolet Disinfection in Rural Mexico.
AB - Low adoption and compliance levels for household water treatment and safe storage
(HWTS) technologies have made it challenging for these systems to achieve
measurable health benefits in the developing world. User compliance remains an
inconsistently defined and poorly understood feature of HWTS programs. In this
article, we develop a comprehensive approach to understanding HWTS compliance.
First, our Safe Drinking Water Compliance Framework disaggregates and measures
the components of compliance from initial adoption of the HWTS to exclusive
consumption of treated water. We apply this framework to an ultraviolet (UV)
based safe water system in a cluster-randomized controlled trial in rural Mexico.
Second, we evaluate a no-frills (or "Basic") variant of the program as well as an
improved (or "Enhanced") variant, to test if subtle changes in the user interface
of HWTS programs could improve compliance. Finally, we perform a full-cost
analysis of both variants to assess their cost effectiveness (CE) in achieving
compliance. We define "compliance" strictly as the habit of consuming safe water.
We find that compliance was significantly higher in the groups where the UV
program variants were rolled out than in the control groups. The Enhanced variant
performed better immediately postintervention than the Basic, but compliance (and
thus CE) degraded with time such that no effective difference remained between
the two versions of the program.
PMID- 29363447
TI - Emerging Pyrethroid Resistance among Anopheles arabiensis in Kenya.
AB - Vector control programs, particularly in the form of insecticide-treated bed nets
(ITNs), are essential for achieving malaria elimination goals. Recent reports of
increasing knockdown resistance (kdr) mutation frequencies for Anopheles
arabiensis in Western Kenya heightens the concern on the future effectiveness of
ITNs in Kenya. We examined resistance in An. arabiensis populations across Kenya
through kdr mutations and World Health Organization-recommended bioassays. We
detected two kdr alleles, L1014F and L1014S. Kdr mutations were found in five of
the 11 study sites, with mutation frequencies ranging from 3% to 63%. In two
Western Kenya populations, the kdr L1014F allele frequency was as high as 10%.
The L1014S frequency was highest at Chulaimbo at 55%. Notably, the kdr L1014F
mutation was found to be associated with pyrethroid resistance at Port Victoria,
but kdr mutations were not significantly associated with resistance at Chulaimbo,
which had the highest kdr mutation frequency among all sites. This study
demonstrated the emerging pyrethroid resistance in An. arabiensis and that
pyrethroid resistance may be related to kdr mutations. Resistance monitoring and
management are urgently needed for this species in Kenya where resistance is
emerging and its abundance is becoming predominant. Kdr mutations may serve as a
biomarker for pyrethroid resistance in An. arabiensis.
PMID- 29363449
TI - Genetic Diversity of the Plasmodium falciparum Glutamate-Rich Protein R2 Region
Before and Twelve Years after Introduction of Artemisinin Combination Therapies
among Febrile Children in Nigeria.
AB - The genetic diversity of glutamate-rich protein (GLURP) R2 region in Plasmodium
falciparum isolates collected before and 12 years after the introduction of
artemisinin combination treatment of malaria in Osogbo, Osun State, Nigeria, was
compared in this study. Blood samples were collected on filter paper in 2004 and
2015 from febrile children from ages 1-12 years. The R2 region of the GLURP gene
was genotyped using nested polymerase chain reaction and by nucleotide
sequencing. In all, 12 GLURP alleles were observed in a total of 199 samples
collected in the two study years. The multiplicity of infection (MOI) marginally
increased over the two study years; however, the differences were statistically
insignificant (2004 samples MOI = 1.23 versus 2015 samples MOI = 1.47). Some
alleles were stable in their prevalence, whereas two GLURP alleles, VIII and XI,
showed considerable variability between both years. This variability was
replicated when GLURP sequences from other regions were compared with ours. The
expected heterozygosity (He) values (He = 0.87) were identical for the two
groups. High variability in the rearrangement of the amino acid repeat units in
the R2 region were observed, with the amino acid repeat sequence
DKNEKGQHEIVEVEEILPE more prevalent in both years, compared with the two other
repeat sequences observed in the study. The parasite population characterized in
this study displayed extensive genetic diversity. The detailed genetic profile of
the GLURP R2 region has the potential to help guide further epidemiological
studies aimed toward the rational design of novel chemotherapies that are
antagonistic toward malaria.
PMID- 29363450
TI - Case Report: Chorioamnionitis and Premature Delivery due to Burkholderia
pseudomallei Infection in Pregnancy.
AB - We report a case of placental infection leading to preterm delivery in a mother
diagnosed with septicemia and pneumonia due to Burkholderia pseudomallei in
pregnancy. Placental infection occurred despite prolonged ceftazidime therapy.
PMID- 29363451
TI - Case Report: An Acute Chikungunya Infection and a Recent Secondary Dengue
Infection in a Peripartum Case in Ecuador.
AB - Dengue virus (DENV) and chikungunya virus (CHIKV) are transmitted by the same
mosquito vectors and now co-circulate in many parts of the world; however,
coinfections and serial infections are not often diagnosed or reported. A 38-week
pregnant woman was admitted to the hospital with a diagnosis of suspected DENV
and CHIKV in southern coastal Ecuador. The pregnancy was complicated by mild
polyhydramnios and fetal tachycardia, and a healthy newborn was born. The patient
was positive for a recent secondary DENV infection (Immunoglobulin M and
Immunoglobulin G positive) and an acute CHIKV infection (real-time reverse
transcriptase polymerase chain reaction positive) (Asian genotype). The newborn
was not tested for either virus. This case resulted in a benign clinical course
with a favorable pregnancy outcome.
PMID- 29363452
TI - Reimagining the Research Approach to Tuberculosis?.
AB - Controlling and ultimately ending tuberculosis (TB) as a public health scourge
will require a multifaceted and comprehensive approach involving the
intensification of public health efforts, including scaling-up the delivery of
current diagnostic, preventive, and therapeutic tools. However, a critically
important element in the effort to end TB is an accelerated biomedical research
effort to address the many unanswered questions about the disease process itself
and to develop improved and innovative countermeasures. An intensive effort
toward these research goals will facilitate the achievement of the aspirational
goal of ending TB.
PMID- 29363453
TI - Impacts of Antimalarial Drugs on Plasmodium falciparum Drug Resistance Markers,
Western Kenya, 2003-2015.
AB - Antimalarial drug resistance has threatened global malaria control since
chloroquine (CQ)-resistant Plasmodium falciparum emerged in Asia in the 1950s.
Understanding the impacts of changing antimalarial drug policy on resistance is
critical for resistance management. Plasmodium falciparum isolates were collected
from 2003 to 2015 in western Kenya and analyzed for genetic markers associated
with resistance to CQ (Pfcrt), sulfadoxine-pyrimethamine (SP) (Pfdhfr/Pfdhps),
and artemether-lumefantrine (AL) (PfKelch13/Pfmdr1) antimalarials. In addition,
household antimalarial drug use surveys were administered. Pfcrt 76T prevalence
decreased from 76% to 6% from 2003 to 2015. Pfdhfr/Pfdhps quintuple mutants
decreased from 70% in 2003 to 14% in 2008, but increased to near fixation by
2015. SP "super resistant" alleles Pfdhps 581G and 613S/T were not detected in
the 2015 samples that were assessed. The Pfmdr1 N86-184F-D1246 haplotype
associated with decreased lumefantrine susceptibility increased significantly
from 4% in 2005 to 51% in 2015. No PfKelch13 mutations that have been previously
associated with artemisinin resistance were detected in the study populations.
The increase in Pfdhfr/Pfdhps quintuple mutants that associates with SP
resistance may have resulted from the increased usage of SP for intermittent
preventative therapy in pregnancy (IPTp) and for malaria treatment in the
community. Prevalent Pfdhfr/Pfdhps mutations call for careful monitoring of SP
resistance and effectiveness of the current IPTp program in Kenya. In addition,
the commonly occurring Pfmdr1 N86-184F-D1246 haplotype associated with increased
lumefantrine tolerance calls for surveillance of AL efficacy in Kenya, as well as
consideration for a rotating artemisinin-combination therapy regimen.
PMID- 29363454
TI - Effect of Health Care on Quality of Life among Human Immunodeficiency Virus
Infected Adults With and Without Visceral Leishmaniasis in northwest Ethiopia: A
Longitudinal Follow-Up Study.
AB - There has been a scarcity of data on the effect of health care on the quality of
life (QoL) of human immunodeficiency virus (HIV)- and visceral leishmaniasis (VL)
coinfected patients over time. We sought to assess the change that health care
brings about in the QoL of HIV patients with and without VL and its predictors in
6 months. A total of 465 HIV patients without VL and 125 HIV-VL-coinfected
patients were enrolled in the longitudinal follow-up study from October 2015 to
September 2016. Data on QoL at baseline and in 6 months were collected by trained
nurses through face-to-face interviews using a short Amharic version of World
Health Organization QoL instrument for HIV clients. Multiple linear regressions
were used to assess the predictors of health-related QoL. There was an
improvement in all of the domains of QoL at the sixth month follow-up compared
with the baseline for both groups of patients (P < 0.001). Lack of social support
and income were associated with the low improvement in QoL in most of the domains
in both groups. Compared with patients having severe acute malnutrition, patients
having moderate acute malnutrition and normal nutritional status were better in
most of the QoL domains in both groups of patients. Both antiretroviral and anti
VL treatments showed improvement in all dimensions of QoL. Income, social
support, and nutritional status were the predictors for most of the QoL domains.
PMID- 29363455
TI - Case Report: Iatrogenic Infection from Traditional Treatment of Stingray
Envenomation.
AB - A 47-year-old man was stung on the left ankle by a stingray while on vacation on
the Island of Bubaque, Guinea-Bissau. The affected limb was initially treated
with an attempt to suck out the venom and application of chewed plant root. The
following 3 days, local pain gradually diminished, but then high fever erupted
together with generalized symptoms and intense pain from the ankle. After
initiating antibiotic treatment, the patient was evacuated. Because of sustained
symptoms and fever, the wound was surgically debrided, and culture revealed
infection with oral flora bacteria. Attempts to suck out venom are not
recommended.
PMID- 29363456
TI - Meta-Analyses of Japanese Encephalitis Virus Infection, Dissemination, and
Transmission Rates in Vectors.
AB - The objective of this work was to summarize and quantify Japanese encephalitis
virus (JEV) infection, dissemination, and transmission rates in mosquitoes, using
a meta-analysis approach. Data were obtained from experimental studies, gathered
by means of a systematic review of the literature. Random-effects subgroup meta
analysis models by mosquito species were fitted to estimate pooled estimates and
to calculate the variance between studies for three outcomes of interest: JEV
infection, dissemination, and transmission rates in mosquitoes. To identify
sources of heterogeneity among studies and to assess the association between
different predictors (mosquito species, virus administration route, incubation
period, and diagnostic method) with the outcome JEV infection rate in vectors, we
fitted univariable meta-regression models. Mosquito species and administration
route represented the main sources of heterogeneity associated with JEV infection
rate in vectors. This study provided summary effect size estimates to be used as
reference for other investigators when assessing transmission efficiency of
vectors and explored sources of variability for JEV infection rates in vectors.
Because transmission efficiency, as part of vector competence assessment, is an
important parameter when studying the relative contribution of vectors to JEV
transmission, our findings contribute to further our knowledge, potentially
moving us toward more informed and targeted actions to prevent and control JEV in
both affected and susceptible regions worldwide.
PMID- 29363457
TI - The Effectiveness of Community Bed Net Use on Malaria Parasitemia among Children
Less Than 5 Years Old in Liberia.
AB - In 2013, the under-5 mortality rate in Liberia was 71 deaths per 1,000 live
births, with malaria responsible for 22% of those deaths. One of the primary
existing control tools, long-lasting insecticide-treated bed nets (LLINs), is
thought to be dually effective, acting as a physical barrier but also decreasing
the mosquito population in communities. However, there has been little
investigation into the protective effects of community-wide bed net use above and
beyond the individual level. Using data from the population-representative 2011
Liberia Malaria Indicator Survey, we estimated the association between proportion
of a community using LLINs and malaria in children using multi-level logistic
regression. To investigate the potential effect measure modification of the
relationship by urbanicity, we included an interaction term and calculated
stratum-specific prevalence odds ratios (PORs) for rural and urban communities.
We calculated a POR of malaria for an absolute 10% increase in community bed net
use of 1.13 (95% confidence interval [CI]: 0.91, 1.41) and 0.35 (95% CI: 0.13,
0.92) for rural and urban communities, respectively, indicating a strong, though
imprecise, protective effect within urban communities only. Our results indicate
that bed net use has an indirect protective effect in urban areas, above and
beyond individual use. Little or no such effect of community-wide use is seen in
rural areas, likely because of population density factors. Therefore, although
all control efforts should be multifaceted, promotion of bed net use in urban
areas in particular will likely be a highly effective tool for control.
PMID- 29363458
TI - Case Report: A Case of Colorado Tick Fever Acquired in Southwestern Saskatchewan.
AB - Colorado tick fever virus is transmitted by Dermacentor andersoni ticks. In
Canada, these ticks are found in the southern regions of British Columbia (Rocky
Mountains) and Alberta, as well as southwestern Saskatchewan. Colorado tick fever
should be clinically suspected in patients presenting with a biphasic febrile
illness and leukopenia following tick exposure in the appropriate geographic
area.
PMID- 29363459
TI - cDNA Isolation and Expression of Nicotinamide Adenine Dinucleotide Phosphate
Dependent Cytochrome P450 Reductase Gene in the Chagas Disease Vector Triatoma
infestans.
AB - Pyrethroid resistance has been detected in Triatoma infestans (Hemiptera:
Reduviidae), which was atributed to target site insensitivity and increased
oxidative metabolism of the insecticide by cytochrome P450s. Nicotinamide adenine
dinucleotide phosphate (NADPH) cytochrome P450 reductase (CPR) plays an essential
role in transferring electrons from NADPH to the P450-substrate complex. In this
study, the full length CPR cDNA of T. infestans was isolated and gene expression
was determined by quantitative polymerase chain reaction. The open reading frame
is 2,046 bp long, encoding a protein of 682 amino acids. Amino acid sequence
analysis indicates that the T. infestans CPR and the putative Rhodnius prolixus
and Triatoma dimidiata CPRs present conserved ligand-binding domains. Congruent
with a previous study of our laboratory, in which the expression of three
cytochrome P450 genes (CYP4EM7, CYP3085B1, and CYP3092A6 genes) was induced by
deltamethrin, the levels of T. infestans CPR mRNA were upregulated in the fat
body of fifth instar nymphs after topical application of deltamethrin. Besides,
as it was observed in the CYP4EM7 gene, it was detected overexpression of the CPR
gene in the most resistant strain of T. infestans included in the study. These
results suggest that CPR plays an essential role in P450-mediated resistance of
T. infestans to insecticides.
PMID- 29363460
TI - Detection of Zika Virus Infection in Myanmar.
AB - Zika virus (ZIKV), an emerging mosquito-borne flavivirus, causes a dengue-like
infection that has recently caught global attention. The infection, which also
includes some birth defects, has been documented in the Americas, Pacific
Islands, and some parts of Africa and Asia. There are no published reports on
local ZIKV transmission in Myanmar. In this study, a total of 462 serum samples
from patients and asymptomatic persons were collected in Myanmar from 2004 to
2017. They were analyzed for ZIKV infection by immunoglobulin M capture enzyme
linked immunosorbent assay (ELISA), immunoglobulin G indirect ELISA,
neutralization test, real-time polymerase chain reaction (PCR), and conventional
PCR. Our study confirmed ZIKV infection in 4.9% of patients with clinical dengue
symptoms and in 8.6% of persons who were asymptomatic. This is the first report
on ZIKV infection in Myanmar and it suggests the occurrence of ZIKV infection in
two geographically distinct sites in this country since at least 2006.
PMID- 29363461
TI - Trypanosoma cruzi Infection Does Not Decrease Survival or Reproduction of the
Common Bed Bug, Cimex lectularius.
AB - Although not presently implicated as a vector of human pathogens, the common bed
bug, Cimex lectularius, has been suspected of carrying human pathogens because of
its close association with humans and its obligate hematophagy. Recently, we
characterized the vectorial competence of C. lectularius for the parasite
Trypanosoma cruzi, the causative agent of Chagas disease. We observed that C.
lectularius can acquire T. cruzi infection when fed on T. cruzi-carrying mice,
and subsequently transmit T. cruzi to uninfected mice. This led us to ask why has
C. lectularius not been implicated in the transmission of T. cruzi outside of the
laboratory? We hypothesized that T. cruzi reduces C. lectularius fitness (i.e.,
survival and/or reproduction) as an explanation for why C. lectularius does not
to transmit T. cruzi in natural settings. We tested this hypothesis by comparing
the survival and reproduction of uninfected and T. cruzi-infected C. lectularius.
We observed that T. cruzi had a variable effect on C. lectularius survival and
reproduction. There were negligible differences between treatments in juveniles.
Infected adult females tended to live longer and produce more eggs. However, no
effect was consistent, and infected bugs showed more variation in survival and
reproduction metrics than control bugs. We did not observe any negative effects
of T. cruzi infection on C. lectularius survival or reproduction, suggesting that
decreased fitness in T. cruzi-infected C. lectularius is not why bed bugs have
not been observed to transmit T. cruzi in natural settings.
PMID- 29363462
TI - Seroprevalence of Rift Valley Fever Virus Antibodies in Cattle in Mali, 2005
2014.
AB - Rift Valley fever virus (RVFV) outbreaks have considerable impact on human and
animal health. Here, we are reporting a serosurvey of cattle from all regions of
Mali. These demonstrated that few had been exposed to RVFV from 2005 to 2014.
Recent outbreaks of RVF in Niger and a single human case in Mali provide
justification for further entomological and ecological studies of this virus.
PMID- 29363463
TI - The Psychoexposome: A holistic perspective beyond health and disease.
AB - BACKGROUND: The concept of the exposome has emerged as a new strategy for
studying all environmental exposures throughout an individual’s life and
their impact on human health. Nowadays, electronic devices are available to
collect data about an individual’s geolocation, biological function, or
exposure biomarkers. The appearance of “omic” sciences and advances
in bioinformatics have allowed massive data-gathering and analysis from various
scientific fields. OBJECTIVE: to propose the term Psychoexposome in line with the
concept of the exposome from the field of environmental sciences. METHOD: a
literature review of psychological terms associated with the exposome concept was
carried out and the rationale and benefits of a psychoexposme approach for
psychological sciences is discussed. RESULTS: the terms psychology, psychiatry
and neurological diseases are scarce in the exposome approach. A long tradition
in psychology of performing epidemiological studies and in the study of
multifactorial influences traits places psychologists at an advantageous starting
point for conducting psychoexposome studies. CONCLUSION: psychology may take
advantage from both exposome and omic sciences to create an integrated
psychoexposome approach that may help in deciphering the etiology of
psychological disorders and improving people’s mental health.
PMID- 29363464
TI - Diminished emotional expression in schizophrenia: an interdisciplinary approach
based on behavioral interventions.
AB - BACKGROUND: Negative symptoms represent the main cause of disability in
schizophrenia, having recently been grouped into two general dimensions:
avolition and diminished emotional expression, which includes affective
flattening and alogia. The aim of this study was to explore the response of these
two symptoms to a set of behavioral interventions based on contingency
management, performed in an interdisciplinary context. METHOD: Behaviors of
interest were monitored and evaluations before and after the treatment were
performed on 9 schizophrenic inpatients with persistent negative symptoms. The
program included 12 group double sessions aimed at developing facial expression
and verbal communication, and a nursing care plan to generalize and strengthen
these behaviors synergistically. RESULTS: there were appreciable differences in
facial expression, which were less clear for alogia. The clinical evaluation
using PANSS-N did not find notable differences at group level, but the nursing
assessment using NOC indicators did. CONCLUSIONS: although difficult to modify,
negative symptoms are not insensitive to the influence of behavioral
interventions. Specific psychological interventions that address negative
symptoms as a priority focus of attention and care need to be promoted and
developed, particularly when considering the crucial role of context in their
progression.
PMID- 29363465
TI - Treating anxiety and depression of cancer survivors: Behavioral activation versus
acceptance and commitment therapy.
AB - BACKGROUND: Behavioral activation (BA) and acceptance and commitment therapy
(ACT) are considered particularly useful treatments when dealing with emotional
problems of cancer survivors. The efficacy of these two treatments, applied on a
group basis, were evaluated and compared. METHOD: An analysis was carried out of
pre-post treatment changes in the emotional state and patterns of
activation/avoidance of 52 cancer patients, with anxiety and/or depression,
randomly assigned to three groups (BA/ACT/waiting list control). RESULTS: Both
therapies were superior to no treatment in all the variables evaluated.
Significant differences were found between the two treatments in favor of ACT in
social impairment and avoidance/rumination. CONCLUSIONS: BA and ACT, applied on a
group basis, are efficacious in the treatment of those emotional difficulties
most prevalent in cancer survivors. Results suggest that activation and avoidance
are the mechanisms responsible for the changes.
PMID- 29363466
TI - Peer counselling versus role-playing: Two training methods of therapeutic skills
in clinical psychology.
AB - BACKGROUND: Training programmes for clinical psychologists should include
evidence-based teaching methods that enable trainees to learn therapeutic skills.
Here we compared the perceived utility of role-playing vs. peer counselling. In
peer counselling, one student recounts a personal experience to the other, who
thus has the opportunity to act as the therapist in relation to a real situation.
Given that sharing such personal experiences may provoke discomfort in students,
we also examined this aspect. METHOD: Trainees (n=202) were given both role-play
and peer counselling activities as a way of practising empathy and active
listening. After completing the skills training programme they completed a
questionnaire to assess the extent to which each method had helped them to
develop their self-awareness and to acquire these therapeutic skills. RESULTS: In
general, peer counselling was considered more useful than role-playing for
enhancing self-awareness and personal growth, as well as for learning these
professional skills. Regarding the discomfort experienced by students, our data
suggest that any initial reluctance to share personal experiences is outweighed
by the personal and professional benefits obtained. CONCLUSIONS: Our results
indicate that experiential learning involving emotionally charged situations is
an effective way of teaching therapeutic skills to clinical and health psychology
trainees.
PMID- 29363467
TI - Health-related quality of life among smoking relapsers.
AB - BACKGROUND: Previous studies have shown that smoking is associated with health
related quality of life (HRQoL) impairment. In order to evaluate HRQoL in a
sample of Spanish relapsers, a cross-sectional study was conducted. METHOD: The
sample was made up of 775 smokers who had relapsed after a period of abstinence.
HRQoL was evaluated using the Euro-Qol questionnaire (EQ-5D); through the
descriptive profile, the EQ-5D index and the visual analogue scale (EQ-VAS).
RESULTS: Higher nicotine dependence was related to worse HRQL. According to the
EQ-VAS, higher daily cigarette consumption and more years smoking were related to
worse perceived health. In the EQ-5D those who had quit smoking in the previous
year perceived worse health. Mobility and anxiety / depression are the dimensions
affected by smoking. Those who are more nicotine dependent (OR = 2.29) and have
been smoking for longer (OR = 4.12) are more likely to have mobility problems;
and those who are nicotine dependent (OR = 1.85) and relapsed more than a year
ago (OR = 0.63), are more likely to experience anxiety / depression. CONCLUSIONS:
Nicotine dependence demonstrated a determining effect on HRQOL deterioration in
smokers who have relapsed.
PMID- 29363468
TI - Are previous suicide attempts a risk factor for completed suicide?
AB - BACKGROUND: A previous suicide attempt is a clinically relevant factor for
completed suicide. In this paper people who committed suicide on their first
attempt are compared with those who did so after previous attempts. METHOD: A
review of the Computerised Clinical Histories in the Navarro Health Service
Osasunbidea (2010-2013) in Spain. RESULTS: Of the 166 cases, 31.9% (n = 53)
presented at least one prior attempt. Of these 53, 65.3% modified the method of
suicide. Women presented significantly more attempts (χ2 = 14.3; df = 3; p =
.002). Three sub-samples were identified according to the attempts and diagnoses.
The diagnoses of personality disorders (90.9%; n = 10) and women under 51 years
of age with a diagnosis of affective, anxiety, or substance abuse disorders
(82.4%; n = 14) presented the highest numbers of attempts. People without a
psychiatric diagnosis and with psychotic or organic mental disorders presented
the smallest proportion of attempts (13.2%; n = 10) together with people over 51
years of age diagnosed with affective, anxiety, or substance abuse disorders
(22.5%; n = 9). CONCLUSIONS: Prior attempts are suicide risk factors only in
specific clinical sub-samples. Prevention and intervention programs should
consider these results.
PMID- 29363470
TI - Understanding olympic champions and their achievement goal orientation, dominance
and pursuit and motivational regulations: A case study.
AB - BACKGROUND: The goal was to compare the achievement goal profile and the
motivational regulations of one world-class and two high-level athletes during
their preparation for the Rio Olympic Games. METHOD: Three kayakers from the
Spanish Olympic team participated. One (P1) and two (P2) were high-level
athletes: finalists at the World and European championships, and several national
championships. Participant three (P3) was a world-class athlete: 2 Olympic
medals, 7 World championship medals, 4 European championship medals, and several
national championships. Before and after three training sessions and 4
international competitions (including World and European championships) all
participants completed a questionnaire to assess their achievement goal
orientation, dominance and pursuit, as well as their motivational regulation.
Generalized estimated equations (GEE) analysis was used to assess significant
differences between subjects. RESULTS: P3 had lower performance-approach goal
orientation, stronger mastery-approach goal dominance, lower performance-approach
and performance-avoidance goal pursuit, higher mastery-avoidance goal pursuit and
lower controlled motivation than the other two participants. CONCLUSION: Our
world-class athlete has a strong mastery-approach achievement goal dominant
profile, and a low performance-oriented profile (both approach and avoidance) and
controlled motivation. This profile should be confirmed in similar athletes to
help coaches and their young athletes make appropriate decisions.
PMID- 29363469
TI - Psychoeducational assessment of students with intellectual disability:
professional-action framework analysis.
AB - BACKGROUND: The right to educational inclusion for students with intellectual
disability (SWID) requires the development of good assessment and intervention
practices from holistic perspectives not exclusively focused on the academic
limitations that SWID may present. These practices are settled in Spain, via
regulations drawn up by each Autonomous Community (AC). The variety of existing
regulations demands a critical review of the decisions taken to promote the
inclusion of those students. METHOD: current regulations-in-force in each AC that
regulate attention to diversity (AD) have been were analyzed by using a checklist
that includes the variables that defining each stage of the AD process and the
ways of providing supports that favor the development, learning and participation
of SWID. RESULTS: attention to diversity measures in each AC emphasize
organizational and curricular issues, with no AC following holistic approaches in
both assessment and intervention, but rather neglecting self-determination and
the promotion of quality of life for SWID. CONCLUSIONS: guidelines for the
development of new legal frameworks and professional practices based on the
latest evidence-based models of attention to SWID and on the results are
discussed.
PMID- 29363471
TI - Defensive pessimism, self-esteem and achievement goals: A person-centered
approach.
AB - BACKGROUND: The relationship between defensive pessimism, self-esteem, and
achievement goals is a controversial issue. The main contribution of this
research is the adoption of a person-centered approach to explore the existence
of differentiated profiles of university students, which combine self-esteem and
defensive pessimism. In addition, we analyze whether these profiles differ in
their achievement goals (learning, performance-approach, performance-avoidance,
and work-avoidance). METHOD: 1,028 university students took part in the study.
RESULTS: Four student profiles were identified: (a) HSE/MDP (high self-esteem and
moderate defensive pessimism); (b) LSE/LDP (low self-esteem and low defensive
pessimism); (c) HSE/LDP (high self-esteem and low defensive pessimism); and (d)
LSE/HDP (low self-esteem and high defensive pessimism). These four profiles
differ significantly in their achievement goals. CONCLUSIONS: The use of
defensive pessimism may involve students with either low or high self-esteem,
although the two profiles follow differentiated motivational achievement
trajectories.
PMID- 29363472
TI - Dimensions of parenting styles, social climate, and bullying victims in primary
and secondary education.
AB - BACKGROUND: Bullying as a construct is found to be related to a variety of
individual, parental and academic factors. Familial factors include family
environment, parenting style and parental involvement. The main aim of this study
is to find out how Primary and Secondary Education students perceive parenting
styles and social climate and if there are differences between pupils from these
two educational stages. The study also considers the relationship between these
perceptions of bullying victims and certain peer socio-affective factors. METHOD:
Participants were 847 children and adolescents. School social climate and Family
social climate were both evaluated using the Spanish version of Moos’
Family Social Climate Scale, and Parenting styles were evaluated according to the
Parental Socialization Scale in Adolescence (ESPA29). Roles associated with
bullying, and correlates of social reputation were measured using the Bull-S
questionnaire. RESULTS: There are differences in how primary and secondary
education students perceive parenting styles and family climate. CONCLUSIONS:
Parental factors are related to bullying victimization and socio-affective group
variables (social preference, acceptance or rejection levels, and the number of
friends). The study highlighs risk and protective factors for victimization.
PMID- 29363473
TI - Aggressive behavior in adolescence as a predictor of personal, family, and school
adjustment problems.
AB - BACKGROUND: The goal of the present study was to determine the extent to which
aggressive behavior towards peers predicts greater personal, school, and family
maladjustment in adolescent aggressors of both sexes. METHOD: The sample
consisted of 1510 Spanish adolescents from 12 to 17 years old, who anonymously
and voluntarily completed self-report questionnaires. RESULTS: Binary Logistic
regression analysis showed that, regarding personal adjustment, aggressive
behavior was significantly associated with high scores in depressive
symptomatology, perceived stress and loneliness, and low scores in self-esteem,
life satisfaction and empathy, for both sexes. In the school setting, aggressive
behavior was related to low scores in academic engagement, friends in the
classroom, perception of teacher support, and a positive attitude towards school.
At the family level, significant relationships were observed between aggressive
behavior and high scores in offensive communication and family conflict, and low
scores in open communication with parents, general expressiveness, and family
cohesion. CONCLUSIONS: In cases of peer violence it is necessary to give urgent
attention to all those involved, since maladjustment in aggressors can be
predicted in many levels of intervention.
PMID- 29363474
TI - Evidence supporting need satisfaction and frustration as two distinguishable
constructs.
AB - BACKGROUND: This paper aims to (1) investigate whether psychological need
satisfaction and frustration are distinguishable constructs or part of a single
continuum, and (2) to develop and validate the Spanish version of the Need
Satisfaction and Frustration Scale (NSFS). METHOD: Confirmatory factor analysis
(CFA) and exploratory structural equation models (ESEM) were tested using three
samples ( N total = 959). RESULTS: In all samples, a CFA model specifying
satisfaction and frustration of each psychological need as distinguishable
constructs fit the data better than models specifying them as part of a
continuum, even after including method corrections (CFA) or cross-loadings
(ESEM). Scale score reliabilities were adequate only when the satisfaction and
frustration of each need were treated as distinguishable constructs. CONCLUSIONS:
The paper provides strong support for specifying need satisfaction and
frustration as distinguishable but correlated constructs, as well as adequate
evidence of dimensionality, reliability and criterion validity for the Spanish
version of the NSFS.
PMID- 29363475
TI - Moral emotions associated with prosocial and antisocial behavior in school-aged
children.
AB - BACKGROUND: The present study aims to explore the main effects and interactive
effects of empathy, guilt, shame, pride (authentic and hubristic), and moral
pride, on prosocial and antisocial behavior in children. METHOD: The sample group
comprised 351 children aged between 10 and 14 selected from four schools in the
Basque Country (Spain). Hierarchical multiple regression models were used in the
statistical analyses. RESULTS: Prosocial behavior was found to be predicted by
the additive interaction between empathy and moral pride, by guilt and, to a
lesser extent and negatively, by shame. In relation to antisocial behavior,
children with a strong disposition to guilt scored lower for antisocial behavior,
regardless of their empathy levels. Nevertheless, the combination of low empathy
and low guilt levels was associated with highest antisocial behavior scores. As
regards shame, this emotion was moderately associated with antisocial behavior.
CONCLUSIONS: By exploring interactions the present study provided a more nuanced
view of the emotional factors associated with children´s prosocial and
antisocial behavior.
PMID- 29363476
TI - The effects of work engagement and self-efficacy on personal initiative and
performance.
AB - BACKGROUND: Two popular concepts, work engagement and personal initiative, are
different but related constructs. This study is based on and extends the Frese
and Fay (2001) model of personal initiative (PI) by including work engagement
(WE) and self-efficacy as antecedents of PI, and performance as a consequence.
METHOD: Two studies (study 1, with a cross-sectional design using N = 396
participants from 22 organizations, and study 2, with a longitudinal design
conducted in two waves with N = 118 participants from 15 organizations) test the
hypotheses. RESULTS: Structural equation modeling and the PROCESS SPSS Macro were
used to test the hypothesized mediating role of personal initiative in work
engagement and performance, and the results show the indirect effect of WE on
performance through PI. CONCLUSIONS: The results of these two studies confirmed
our hypotheses: WE and self-efficacy lead to higher PI, which, in turn, leads to
higher performance. In addition to considering WE as an antecedent of PI, the
results lead to considering PI as an antecedent of performance.
PMID- 29363477
TI - Empathy by default: Correlates in the brain at rest.
AB - BACKGROUND: Empathy, defined as the ability to access and respond to the inner
world of another person, is a multidimensional construct involving cognitive,
emotional and self-regulatory mechanisms. Neuroimaging studies report that
empathy recruits brain regions which are part of the social cognition network.
Among the different resting state networks, the Default Mode Network (DMN) may be
of particular interest for the study of empathy since it has been implicated in
social cognition tasks. METHOD: The current study compared the cognitive and
emotional empathy scores, as measured by the Interpersonal Reactivity Index, with
the patterns of activation within the DMN, through the neuroimaging methodology
of resting-state functional magnetic resonance. RESULTS: Results suggest a
significant positive correlation between cognitive empathy and activation of the
bilateral superior medial frontal cortex nodes of the DMN. Contrastingly, a
negative correlation was found between emotional empathy and the same brain
region. CONCLUSIONS: Overall, this data highlights a critical role of the medial
cortical regions of the DMN, specifically its anterior node, for both cognitive
and emotional domains of the empathic process.
PMID- 29363478
TI - Differential Item Functioning: Beyond validity evidence based on internal
structure.
AB - BACKGROUND: In the latest release of the Standards for Educational and
Psychological Testing, Differential Item Functioning (DIF) is considered as
validity evidence based on internal structure. However, there are no indications
of how to design a DIF study as a validation study. In this paper, we propose
relating DIF to all sources of validity evidence, and provide a general
conceptual framework for transforming “typical” DIF studies into
validation studies. METHOD: We perform a comprehensive review of the literature
and make theoretical and practical proposals. RESULTS: The article provides
arguments in favour of addressing DIF detection and interpretation as validation
studies, and suggestions for conducting DIF validation studies. DISCUSSION: The
combination of quantitative and qualitative data within a mixed methods research
perspective, along with planning DIF studies as validation studies, can help
improve the validity of test score interpretations.
PMID- 29363479
TI - Deciding on Null Hypotheses using P-values or Bayesian alternatives: A simulation
study.
AB - BACKGROUND: The p-value is currently one of the key elements for testing
statistical hypothesis despite its critics. Bayesian statistics and Bayes Factors
have been proposed as alternatives to improve the scientific decision making when
testing a hypothesis. This study compares the performance of two Bayes Factor
estimations (the BIC-based Bayes Factor and the Vovk-Sellke p-value calibration)
with the p-value when the null hypothesis holds. METHOD: A million pairs of
independent data sets were simulated. All simulated data came from a normal
population and different sample sizes were considered. Exact p-values for
comparing sample means were recorded for each sample pair as well as Bayesian
alternatives. RESULTS: Bayes factors exhibit better performance than the p-value,
favouring the null hypothesis over the alternative. The BIC-based Bayes Factor is
more accurate than the p-value calibration under the simulation conditions and
this behaviour improves as the sample size grows. CONCLUSIONS: Our results show
that Bayesian factors are good complements for testing a hypothesis. The use of
the Bayesian alternatives we have tested could help researchers avoid claiming
false statistical discoveries. We suggest using classical and Bayesian statistics
together instead of rejecting either of them.
PMID- 29363480
TI - Development and validation of a questionnaire for assessing the characteristics
of diet and physical activity in patients with type 2 diabetes.
AB - BACKGROUND: The aim ofthis study was to explore the psychometric properties of
the Motiva.Diaf-DM2 questionnaire, which assesses adherence to a healthy diet and
physical activity in patients with Type 2 Diabetes (T2D). METHOD: Participants
were 206 patients who attended primary care services, with a mean age of 69.63
years (SD = 11.05), with 39.3% of the participants being women. To assess the
test-retest reliability of the measure, a random subsample (n = 40) of patients
who had another appointment scheduled was selected to fill in the questionnaire
once again two weeks after the initial administration. RESULTS: The reliability
of the scores was found to be appropriate both in terms of internal consistency
(α first factor = .756; α second factor = .821) and temporal
stability (r first factor = .604; r second factor = .638). The structure of the
test is two-dimensional. The scores for the second dimension (adherence to
physical activity) are in agreement with the scores for basic psychological needs
(r physical activity = .281), resilience (r = .216), and glycated haemoglobin (r
= -.182). CONCLUSIONS: The Motiva.Diaf-DM2 test was shown to have the appropriate
relia-bility and validity to assess adherence to a healthy diet and physical
activity in patients diagnosed with T2D.
PMID- 29363481
TI - Adaptation of the Test of Performance Strategies Competition Subscale to Spanish.
AB - BACKGROUND: In recent years, there has been a growing interest in the
conceptualisation and assessment of athletes’ psychological skills and the
study of their impact on sports performance. The aim of this study was to adapt
the Test of Performance Strategies 3 competition subscale to the Spanish context.
METHOD: The items included in the original test in English were translated using
a double-back method, and the test was completed by a sample of 1,003 Spanish
athletes of both sexes. Analyses of the factorial validity, reliability and
invariance of the measurement model were carried out. RESULTS: Favourable
evidence was obtained for a measurement model comprising 36 items grouped in 9
factors, similar to the original model. Model fit was reasonable for both
individual parameters and overall. Reliability rates were satisfactory for the
overall test and for each factor. Evidence was also favourable for sex-based
measurement model invariance. CONCLUSIONS: The adaptation is satisfactory and fit
for use by sports psychology researchers and professionals in assessing the
psychological skills employed by athletes in competition.
PMID- 29363482
TI - Validation of the Child PTSD Symptom Scale (CPSS) in Spanish adolescents.
AB - BACKGROUND: One of the most frequently used instruments to assess posttraumatic
stress in children and adolescents is the Child PTSD Symptom Scale. However,
there has been limited evaluation of its construct validity in the Spanish
language despite Spanish being one of the most widely spoken languages in the
world. OBJECTIVE: To provide data on the psychometric properties of the CPSS in a
sample of Spanish adolescents, to establish the internal consistency of the
measure, and to examine its criterion validity. METHOD: The participants were 339
adolescents (172 boys and 167 girls, mean age 13.95) exposed to peer violence
during the previous year. RESULTS: Confirmatory factor analysis demonstrated a
good fit to the four-factor dysphoria model. The alpha reliabilities for the
overall measure and its subscales were suitable. DISCUSSION: The Spanish version
of the scale has sound psychometric properties with good reliability and
validity. Moreover, it integrates the four-factor structure corresponding to the
dimensions of PTSD described in the DSM-V.
PMID- 29363483
TI - The Spanish version of the Job Crafting Scale.
AB - BACKGROUND: A literature review reveals that there is no measure of job crafting
available in Spanish. This paper presents the translation, adaptation and
validation of a scale to measure job crafting behaviors (i.e. the Spanish Job
Crafting Scale – SJCS; Tims, Bakker, & Derks, 2012). METHODS: The scale was
applied to a sample of 896 employees in Spain (52.26% women and 47.4% men). We
tested the reliability and factorial validity of the 21-item instrument. RESULTS:
After confirmatory factor analysis (CFI=.858, TLI= .838, IFI= .860, RMSEA= .067),
the results show a structure consisting of four factors: Increasing structural
job resources; Decreasing hindering job demands; Increasing social job resources;
Increasing challenging job demands. These four factors demonstrate adequate
reliability and evidence of validity with others scales that refer to Engagement
at Work and Proactivity. CONCLUSION: The questionnaire may be a useful tool for
the assessment of job crafting and for future research in Spanish speaking
countries.
PMID- 29363484
TI - The comparison of the degree of apoptosis in ovaries and fallopian tubes between
two different surgical interventions for tubal ligation: A rat model.
AB - OBJECTIVE: To compare the degree of apoptosis in ovaries and tubal epithelium
observed secondary to tubal ligation either by Pomeroy's method or bipolar
electrocauterization in a rat model. MATERIAL AND METHODS: A total of 24 female
Sprague-Dawley rats were randomly assigned into 3 study groups: control (n=8),
Pomeroy (n=8), and the electrocauterization group (n=8). Apoptotic cells were
detected on the primary, secondary, tertiary follicles of the ovaries, and on the
tubal epithelium using terminal deoxynucleotidyl transferase-mediated
deoxyuridine triphosphate nick end-labeling. The apoptotic index was calculated
for each group by the percentage of the stained cells. RESULTS: The apoptotic
index of tubal epithelium was significantly higher in the bipolar
electrocauterization group compared with the control and Pomeroy groups (3.1+/
0.8 vs. 1.4+/-1.0, p=0.018 and 2.0+/-1.2, p=0.03, respectively) whereas there was
no significant difference between Pomeroy's method and the control group. The
apoptotic index of primary follicles was higher in the bipolar
electrocauterization group compared with the control and Pomeroy's method groups
(3.4+/-0.5 vs. 1.2+/-0.4, p<0.001 and 1.8+/-0.8, p=0.005, respectively), but
there was no significant difference between Pomeroy's method and the control
group. The apoptotic index of secondary and tertiary follicles was similar for
each group. CONCLUSION: Pomeroy's technique, as a permanent contraception method,
is associated with lower apoptotic index on ovary and fallopian tube when
compared with bipolar electrocauterization.
PMID- 29363485
TI - Effect and Mechanism of EGFL7 Downregulation in Human Osteosarcoma Cells on the
Biological Function of Co-cultured HUVEC.
AB - BACKGROUND: Even though epidermal growth factor-like domain 7 is known to be
overexpressed in osteosarcoma and is associated with poor clinical outcome, few
reports are available regarding its mechanism. AIMS: The objective of this study
was to explore the effect and mechanism of downregulating epidermal growth factor
like domain 7 expression in a human osteosarcoma cell line on the biological
function of co-cultured human umbilical vein endothelial cells. STUDY DESIGN:
Cell study. METHODS: In the present study, human osteosarcoma cell lines U2OS,
Saos-2, HOS, and MG63, and normal human osteoblasts were cultured in Dulbecco's
Modified Eagle Medium containing 10% fetal bovine serum and 1x antibiotics at 37
degrees C and 5% CO2 in an incubator. Of the four osteosarcoma cell lines, U2OS
expresses the highest level of epidermal growth factor-like domain 7 mRNA as
determined using quantitative reverse transcription polymerase chain reaction.
With the knockdown of epidermal growth factor-like domain 7 in U2OS and human
umbilical vein endothelial cells by lentivirus, the proliferation and apoptosis
of U2OS and human umbilical vein endothelial cells were investigated using MTT
and flow cytometry assays. After the co-culture of human umbilical vein
endothelial cells and epidermal growth factor-like domain 7-knockdown U2OS, the
in vitro effects on cell proliferation, apoptosis, adhesion, migration, and the
angiogenic ability of human umbilical vein endothelial cells were detected using
MTT, flow cytometry, Transwell, and tube formation assays, respectively. The
expressions of phosphoinositide 3-kinase, phospho-Akt, total Akt, and vascular
endothelial growth factor in human umbilical vein endothelial cells were detected
using western blot assay. RESULTS: Lentivirus with epidermal growth factor-like
domain 7 shRNA could not significantly affect the proliferation and apoptosis of
both U2OS and human umbilical vein endothelial cells, whereas the knockdown of
epidermal growth factor-like domain 7 in U2OS could significantly inhibit the
migration, adhesion, and angiogenic ability of co-cultured human umbilical vein
endothelial cells. In addition, the expressions of phosphoinositide 3-kinase,
phospho-Akt, and vascular endothelial growth factor in human umbilical vein
endothelial cells decreased after co-culturing with epidermal growth factor-like
domain 7-knockdown U2OS. CONCLUSION: Epidermal growth factor-like domain 7
knockdown U2OS cells inhibit the migration, adhesion, and angiogenesis of co
cultured human umbilical vein endothelial cells by diminishing phosphoinositide 3
kinase, Akt signaling pathway activity and vascular endothelial growth factor
expression.
PMID- 29363486
TI - Highlights and future directions from the European Gynecological Oncology
Congress 2017
PMID- 29363488
TI - Passing information to immigration officials undermines patient confidence, MPs
hear.
PMID- 29363489
TI - Cell-cell signaling in blood vessel development and function.
AB - The blood vasculature is an organ pervading all other organs (almost). During
vascular development, cell-cell signaling by extracellular ligands and cell
surface receptors ensure that new vessels sprout into non-vascularized regions
and simultaneously acquire organ-specific specializations and adaptations that
match the local physiological needs. The vessels thereby specialize in their
permeability, molecular transport between blood and tissue, and ability to
regulate blood flow on demand. Over the past decades, we have learnt about the
generic cell-cell signaling mechanisms governing angiogenic sprouting, mural cell
recruitment, and vascular remodeling, and we have obtained the first insights
into signals that induce and maintain vascular organotypicity. However, intra
organ vascular diversity and arterio-venous hierarchies complicate the molecular
characterization of the vasculature's cellular building blocks. Single-cell RNA
sequencing provides a way forward, as it allows elucidation at a genome-wide and
quantitative level of the transcriptional diversity occurring within the same
cell types at different anatomical positions and levels of arterio-venous
hierarchy in the organs. In this Louis-Jeantet Prize Winner: Commentary, I give a
brief overview of vascular development and how recent advances in the field pave
the way for more systematic efforts to explore vascular functions in health and
disease.
PMID- 29363490
TI - Dissecting human antibody responses: useful, basic and surprising findings.
AB - Human memory B cells and plasma cells represent a rich source of antibodies that
have been selected in response to human pathogens. In the last decade, different
methods have been developed to interrogate the human memory repertoire and
isolate monoclonal antibodies. I will discuss how a target-agnostic approach
based on high-throughput screening of antibodies produced by cultured B cells and
plasma cells has not only provided potent and broadly neutralizing antibodies
against a range of pathogens, but has also advanced our understanding of basic
aspects of the immune response, from host-pathogen interaction to the role of
somatic mutations in affinity maturation and in the diversification of the
antibody response. Most surprisingly, this approach has also revealed a new
mechanism of diversification based on templated insertion of non-Ig DNA into
antibody genes that we discovered in the context of the immune response to
malaria infection.
PMID- 29363491
TI - Healthcare costs attributable to congenital cytomegalovirus infection.
AB - OBJECTIVE: Congenital cytomegalovirus infection (cCMV) can cause symptoms at
birth as well as long-term impairment. This study estimates cCMV-related
healthcare costs in the Netherlands in early childhood. DESIGN, SETTING AND
PATIENTS: In a nationwide retrospective cohort study, 156 children with cCMV were
identified by testing 31 484 neonatal dried blood spots for cCMV. Use of
healthcare resources in the first 6 years of life by children with cCMV and a
matched cCMV-negative control group were analysed. Mean costs per child were
calculated by multiplying healthcare resource use by its reference prices.
EXPOSURE: Children with cCMV were compared with cCMV-negative children. MAIN
OUTCOME MEASURES: The average total healthcare costs per child were based on the
average costs for hospital admissions and consultations by healthcare providers.
RESULTS: Mean healthcare costs of children with cCMV (?6113, n=133) were higher
than children without cCMV (?3570, n=274), although statistically not
significant, with a mean difference of ?2544 (95% CI ?-451 to ?5538). The costs
of children with long-term impairment were two times higher in children with cCMV
(?17 205) compared with children without cCMV (?8332). CONCLUSIONS: Children with
cCMV, especially those with long-term impairment and those symptomatic at birth,
accrue higher healthcare costs than cCMV-negative children in the first 6 years
of life, although this is not statistically significant. This economic impact is
of importance in the evaluation of preventive measures against cCMV. TRIAL
REGISTRATION NUMBER: NTR3582.
PMID- 29363492
TI - Hairy tongue in a 1-month-old infant.
PMID- 29363493
TI - Fifteen-minute consultation: Asking questions: the puzzles and problems model.
AB - People are full of useful advice, especially when you make the transition to the
senior doctor grade. We present a very helpful model we have used over some time
to aid us when we have issues.
PMID- 29363494
TI - Learning, activity... and injury? Caring for young athletes through appropriately
designed modified (developmental) sport.
PMID- 29363495
TI - Preventing hamstring injuries in football through enhanced exercise and RTP
strategies.
PMID- 29363496
TI - Hamstring injuries are increasing in men's professional football: every cloud has
a silver lining?
PMID- 29363497
TI - Poor hospital design has an impact on staff, patients, and healthcare.
PMID- 29363498
TI - A Novel In Vitro Experimental System for the Evaluation of Drug Metabolism:
Cofactor-Supplemented Permeabilized Cryopreserved Human Hepatocytes (MetMax
Cryopreserved Human Hepatocytes).
AB - We report here a novel experimental system, cryopreserved MetMax human
hepatocytes (MMHHs), for in vitro drug metabolism studies. MMHHs consist of
cofactor-supplemented permeabilized cryopreserved human hepatocytes. The use
procedures for MMHHs are significantly simplified from that for conventional
cryopreserved human hepatocytes (CCHHs): 1) storage at -80 degrees C instead of
in liquid nitrogen and 2) usage directly after thawing without centrifugation and
microscopic evaluation of cell density and viability and cell density adjustment.
In this study, we compared MMHHs and CCHHs in CYP1A2, CYP2A6, CYP2B6, CYP2C8,
CYP2C9, CYP2D6, CYP2E1, CYP3A4, CYP2J2, monoamine oxidase A, aldehyde oxidase,
flavin-containing monooxygenase, UDP-glucuronyl transferase, SULT, N
acetyltransferase 1, and acetaminophen glutathione (GSH) conjugation activities
based on liquid chromatography-tandem mass spectrometry quantification of
substrate metabolism. MMHHs were prepared from CCHHs consisting of hepatocytes
pooled from 10 individual donors. The drug metabolizing enzyme activities of both
CCHHs and MMHHs were cell concentration and time dependent, with specific
activities of MMHHs ranging from 27.2% (carboxylesterase 2) to 234.2%
(acetaminophen GSH conjugation) of that for CCHHs. As observed in CCHHs,
sequential oxidation and conjugation was observed in MMHHs for coumarin, 7
ethoxycoumarin, and acetaminophen. 7-Hydroxycoumarin conjugation results showed
that metabolic pathways in MMHHs could be selected via the choice of cofactors,
with glucuronidation but not sulfation observed in the presence of UDP-glucuronic
acid and not 3-phosphoadenosine-5-phosphosulfate, and vice versa. Results with
noncytotoxic and cytotoxic concentrations of acetaminophen showed that drug
metabolism was compromised in CCHHs but not in MMHHs. Our results suggest that
the MMHHs system represents a convenient and robust in vitro experimental system
for the evaluation of drug metabolism.
PMID- 29363499
TI - Metabolic Profiling of the Novel Hypoxia-Inducible Factor 2alpha Inhibitor PT2385
In Vivo and In Vitro.
AB - PT2385 is a first-in-class, selective small-molecule inhibitor of hypoxia
inducible factor-2alpha (HIF-2alpha) developed for the treatment of advanced
clear cell renal cell carcinoma. Preclinical results demonstrated that PT2385 has
potent antitumor efficacy in mouse xenograft models of kidney cancer. It also has
activity toward metabolic disease in a mouse model. However, no metabolism data
are currently publically available. It is of great importance to characterize the
metabolism of PT2385 and identify its effect on systemic homeostasis in mice.
High-resolution mass spectrometry-based metabolomics was performed to profile the
biotransformation of PT2385 and PT2385-induced changes in endogenous metabolites.
Liver microsomes and recombinant drug-metabolizing enzymes were used to determine
the mechanism of PT2385 metabolism. Real-time polymerase chain reaction analysis
was employed to investigate the reason for the PT2385-induced bile acid
dysregulation. A total of 12 metabolites of PT2385 was characterized, generated
from hydroxylation (M1, M2), dihydroxylation and desaturation (M3, M4), oxidative
defluorination (M7), glucuronidation (M8), N-acetylcysteine conjugation (M9), and
secondary methylation (M5, M6) and glucuronidation (M10, M11, and M12). CYP2C19
was the major contributor to the formation of M1, M2, and M7, UGT2B17 to M8, and
UGT1A1/3 to M10-M12. The bile acid metabolites taurocholic acid and tauro-beta
muricholic acid were elevated in serum and liver of mice after PT2385 treatment.
Gene expression analysis further revealed that intestinal HIF-2alpha inhibition
by PT2385 treatment upregulated the hepatic expression of CYP7A1, the rate
limiting enzyme in bile acid synthesis. This study provides metabolic data and an
important reference basis for the safety evaluation and rational clinical
application of PT2385.
PMID- 29363501
TI - From cost cutting to conversation: how two CCGs and a hospitals trust turned
financial deficit into communication bonus.
PMID- 29363500
TI - Partnerships for child health: capitalising on links between the sustainable
development goals.
PMID- 29363502
TI - Prevention of bronchopulmonary dysplasia in extremely low gestational age
neonates: current evidence.
AB - Bronchopulmonary dysplasia (BPD) is one of the most frequent complications in
extremely low gestational age neonates, but has remained largely unchanged in
rate. We reviewed data on BPD prevention focusing on recent meta-analyses.
Interventions with proven effectiveness in reducing BPD include the primary use
of non-invasive respiratory support, the application of surfactant without
endotracheal ventilation and the use of volume-targeted ventilation in infants
requiring endotracheal intubation. Following extubation, synchronised nasal
ventilation is more effective than continuous positive airway pressure in
reducing BPD. Pharmacologically, commencing caffeine citrate on postnatal day 1
or 2 seems more effective than a later start. Applying intramuscular vitamin A
for the first 4 weeks reduces BPD, but is expensive and painful and thus not
widely used. Low-dose hydrocortisone for the first 10 days prevents BPD, but was
associated with almost twice as many cases of late-onset sepsis in infants born
at 24-25 weeks' gestation. Inhaled corticosteroids, despite reducing BPD, were
associated with a higher mortality rate. Administering dexamethasone to infants
still requiring mechanical ventilation around postnatal weeks 2-3 may represent
the best trade-off between restricting steroids to infants at risk of BPD while
still affording high efficacy. Finally, identifying infants colonised with
ureaplasma and treating those requiring intubation and mechanical ventilation
with azithromycin is another promising approach to BPD prevention. Further
interventions yet only backed by cohort studies include exclusive breastmilk
feeding and a better prevention of nosocomial infections.
PMID- 29363505
TI - David Oliver: What's wrong with being honest with the public about NHS pressures?
PMID- 29363504
TI - Tinea faciei in a very preterm infant.
PMID- 29363507
TI - FDA to begin releasing clinical study reports in pilot programme.
PMID- 29363508
TI - Drug companies are starting to tackle antimicrobial resistance but could do more,
report shows.
PMID- 29363506
TI - Chk1 and 14-3-3 proteins inhibit atypical E2Fs to prevent a permanent cell cycle
arrest.
AB - The atypical E2Fs, E2F7 and E2F8, act as potent transcriptional repressors of DNA
replication genes providing them with the ability to induce a permanent S-phase
arrest and suppress tumorigenesis. Surprisingly in human cancer, transcript
levels of atypical E2Fs are frequently elevated in proliferating cancer cells,
suggesting that the tumor suppressor functions of atypical E2Fs might be
inhibited through unknown post-translational mechanisms. Here, we show that
atypical E2Fs can be directly phosphorylated by checkpoint kinase 1 (Chk1) to
prevent a permanent cell cycle arrest. We found that 14-3-3 protein isoforms
interact with both E2Fs in a Chk1-dependent manner. Strikingly, Chk1
phosphorylation and 14-3-3-binding did not relocate or degrade atypical E2Fs, but
instead, 14-3-3 is recruited to E2F7/8 target gene promoters to possibly
interfere with transcription. We observed that high levels of 14-3-3 strongly
correlate with upregulated transcription of atypical E2F target genes in human
cancer. Thus, we reveal that Chk1 and 14-3-3 proteins cooperate to inactivate the
transcriptional repressor functions of atypical E2Fs. This mechanism might be of
particular importance to cancer cells, since they are exposed frequently to DNA
damaging therapeutic reagents.
PMID- 29363509
TI - Elephant in the room.
PMID- 29363510
TI - Performance characteristics of rheumatoid factor and anti-cyclic citrullinated
peptide antibody assays may impact ACR/EULAR classification of rheumatoid
arthritis.
AB - OBJECTIVES: Rheumatoid factor (RF) and anti-cyclic citrullinated protein/peptide
antibodies (ACPA) are integrated in the 2010 American College of
Rheumatology/European League Against Rheumatism (ACR/EULAR) classification
criteria for rheumatoid arthritis (RA). The objectives of this study were to
evaluate the technical and diagnostic performance of different RF and ACPA assays
and to evaluate whether differences in performance impact RA classification.
METHODS: Samples from 594 consecutive patients who for the first time consulted a
rheumatologist (44 of whom were diagnosed with RA) and 26 extra newly diagnosed
patients with RA were analysed with six different RF assays (Menarini, Thermo
Fisher, Inova, Roche, Abbott, Euroimmun) and seven different ACPA assays
(Menarini, Thermo Fisher, Inova, Roche, Abbott, Euro Diagnostica, Euroimmun).
RESULTS: We found differences in analytical performance between assays. There was
poor numerical agreement between the different RF and ACPA assays. For all
assays, the likelihood ratio for RA increased with increasing antibody levels.
The areas under the curve of receiver operating characteristic analysis of the RF
(range 0.676-0.709) and ACPA assays (range 0.672-0.769) only differed between
some ACPA assays. Nevertheless, using the cut-off proposed by the manufacturer,
there was a large variation in sensitivity and specificity between assays (mainly
for RF). Consequently, depending on the assay used, a subgroup of patients (13%
for RF, 1% for ACPA and 9% for RF/ACPA) might or might not be classified as RA
according to the 2010 ACR/EULAR criteria. CONCLUSION: Due to poor harmonisation
of RF and ACPA assays and of test result interpretation, RA classification
according to 2010 ACR/EULAR criteria may vary when different assays are used.
PMID- 29363511
TI - Efficacy of infliximab in the treatment of Erdheim-Chester disease.
PMID- 29363512
TI - Response to letter: 'Response to: 'Neuropsychiatric lupus or not? Cerebral
hypoperfusion by perfusion-weighted MRI in normal-appearing white matter in
primary neuropsychiatric lupus erythematosus' by Papadaki et al' by Wallace.
PMID- 29363514
TI - Physician associates: different from but complementary to general practitioners.
PMID- 29363513
TI - Anti-NT5C1A autoantibodies are associated with more severe disease in patients
with juvenile myositis.
AB - OBJECTIVES: Autoantibodies recognising cytosolic 5'-nucleotidase 1A (NT5C1A) are
found in adult patients with myositis and other autoimmune diseases. They are
especially prevalent in adults with inclusion body myositis (IBM), in which they
are associated with more severe weakness and higher mortality. This study was
undertaken to define the prevalence and clinical features associated with anti
NT5C1A autoantibodies in juvenile myositis. METHODS: We screened sera from 380
patients with juvenile myositis, 30 patients with juvenile idiopathic arthritis
(JIA) and 92 healthy control children for anti-NT5C1A autoantibodies. Clinical
characteristics were compared between patients with myositis with and without
anti-NT5C1A autoantibodies. RESULTS: Anti-NT5C1A autoantibodies were present in
102 of 380 (27%) patients with juvenile myositis and in 11 of 92 (12%) healthy
control children (P=0.002) and 27% of children with JIA (P=0.05 vs controls).
Sera of 83 of 307 (27%) patients with juvenile dermatomyositis and 16 of 46 (35%)
patients with juvenile overlap myositis were anti-NT5C1A autoantibody-positive
(P<0.01 vs healthy controls for each), but sera of only 3 of 27 (11%) patients
with juvenile polymyositis were anti-NT5C1A-positive. Patients with juvenile
myositis with and without anti-NT5C1A autoantibodies had similar clinical
phenotypes. However, patients with anti-NT5C1A autoantibody-positive myositis had
greater pulmonary symptoms at diagnosis (P=0.005), more frequent hospitalisations
(P=0.01) and required a larger number of medications (P<0.001). CONCLUSION: Anti
NT5C1A autoantibodies are present in more than one-quarter of children with
juvenile myositis and JIA compared with only 12% of healthy children, suggesting
they are myositis-associated in children. As in adults with IBM, patients with
juvenile myositis with anti-NT5C1A autoantibodies have more severe disease.
PMID- 29363516
TI - The Evolving Role of Intranasal Dexmedetomidine for Pediatric Procedural
Sedation.
PMID- 29363517
TI - Use of Intranasal Dexmedetomidine as a Solo Sedative for MRI of Infants.
AB - BACKGROUND: Dexmedetomidine, a selective alpha-2 receptor agonist, can be
delivered via the intranasal (IN) route and be used for procedural sedation. The
drug's favorable hemodynamic profile and relative ease of application make it a
promising agent for sedation during radiologic procedures, although there are few
studies on its efficacy for MRI studies. METHODS: A retrospective chart review
was performed between June 2014 and December 2016. Outpatients between 1 and 12
months of age who received 4 MUg/kg of IN dexmedetomidine for MRI were included
in the analysis. Our aim with this study was to determine the rate of successful
completion of the sedation procedure without the need for a rescue drug (other
than repeat IN dexmedetomidine). RESULTS: A total of 52 subjects were included in
our study. Median (interquartile range) patient age was 7 (5-8) months. Median
(interquartile range) procedure length was 40 (35-50) minutes. Overall success
rate (including first dose and any rescue dose IN) of dexmedetomidine was 96.2%.
None of the patients had significant adverse effects related to dexmedetomidine.
CONCLUSIONS: IN dexmedetomidine is an effective solo sedative agent for MRI in
infants.
PMID- 29363515
TI - Genome-Wide Screen for New Components of the Drosophila melanogaster Torso
Receptor Tyrosine Kinase Pathway.
AB - Patterning of the Drosophila embryonic termini by the Torso (Tor) receptor
pathway has long served as a valuable paradigm for understanding how receptor
tyrosine kinase signaling is controlled. However, the mechanisms that underpin
the control of Tor signaling remain to be fully understood. In particular, it is
unclear how the Perforin-like protein Torso-like (Tsl) localizes Tor activity to
the embryonic termini. To shed light on this, together with other aspects of Tor
pathway function, we conducted a genome-wide screen to identify new pathway
components that operate downstream of Tsl. Using a set of molecularly defined
chromosomal deficiencies, we screened for suppressors of ligand-dependent Tor
signaling induced by unrestricted Tsl expression. This approach yielded 59
genomic suppressor regions, 11 of which we mapped to the causative gene, and a
further 29 that were mapped to <15 genes. Of the identified genes, six represent
previously unknown regulators of embryonic Tor signaling. These include twins
(tws), which encodes an integral subunit of the protein phosphatase 2A complex,
and alpha-tubulin at 84B (alphaTub84B), a major constituent of the microtubule
network, suggesting that these may play an important part in terminal patterning.
Together, these data comprise a valuable resource for the discovery of new Tor
pathway components. Many of these may also be required for other roles of Tor in
development, such as in the larval prothoracic gland where Tor signaling controls
the initiation of metamorphosis.
PMID- 29363518
TI - Serum biomarkers in periprosthetic joint infections.
AB - OBJECTIVES: The diagnosis of periprosthetic joint infection (PJI) is difficult
and requires a battery of tests and clinical findings. The purpose of this review
is to summarize all current evidence for common and new serum biomarkers utilized
in the diagnosis of PJI. METHODS: We searched two literature databases, using
terms that encompass all hip and knee arthroplasty procedures, as well as PJI and
statistical terms reflecting diagnostic parameters. The findings are summarized
as a narrative review. RESULTS: Erythrocyte sedimentation rate (ESR) and C
reactive protein (CRP) were the two most commonly published serum biomarkers.
Most evidence did not identify other serum biomarkers that are clearly superior
to ESR and CRP. Other serum biomarkers have not demonstrated superior sensitivity
and have failed to replace CRP and ESR as first-line screening tests. D-dimer
appears to be a promising biomarker, but more research is necessary. Factors that
influence serum biomarkers include temporal trends, stage of revision, and
implant-related factors (metallosis). CONCLUSION: Our review helped to identify
factors that can influence serum biomarkers' level changes; the recognition of
such factors can help improve their diagnostic utility. As such, we cannot rely
on ESR and CRP alone for the diagnosis of PJI prior to second-stage
reimplantation, or in metal-on-metal or corrosion cases. The future of serum
biomarkers will likely shift towards using genomics and proteomics to identify
proteins transcribed via messenger RNA in response to infection and sepsis.Cite
this article:Bone Joint Res 2018;7:85-93.
PMID- 29363519
TI - Increased sclerostin associated with stress fracture of the third metacarpal bone
in the Thoroughbred racehorse.
AB - OBJECTIVES: The exact aetiology and pathogenesis of microdamage-induced long bone
fractures remain unknown. These fractures are likely to be the result of
inadequate bone remodelling in response to damage. This study aims to identify an
association of osteocyte apoptosis, the presence of osteocytic osteolysis, and
any alterations in sclerostin expression with a fracture of the third metacarpal
(Mc-III) bone of Thoroughbred racehorses. METHODS: A total of 30 Mc-III bones
were obtained; ten bones were fractured during racing, ten were from the
contralateral limb, and ten were from control horses. Each Mc-III bone was
divided into a fracture site, condyle, condylar groove, and sagittal ridge.
Microcracks and diffuse microdamage were quantified. Apoptotic osteocytes were
measured using TUNEL staining. Cathepsin K, matrix metalloproteinase-13 (MMP-13),
HtrA1, and sclerostin expression were analyzed. RESULTS: In the fracture group,
microdamage was elevated 38.9% (sd 2.6) compared with controls. There was no
difference in the osteocyte number and the percentage of apoptotic cells between
contralateral limb and unraced control; however, there were significantly fewer
apoptotic cells in fractured samples (p < 0.02). Immunohistochemistry showed that
in deep zones of the fractured samples, sclerostin expression was significantly
higher (p < 0.03) than the total number of osteocytes. No increase in cathepsin
K, MMP-13, or HtrA1 was present. CONCLUSION: There is increased microdamage in Mc
III bones that have fractured during racing. In this study, this is not
associated with osteocyte apoptosis or osteocytic osteolysis. The finding of
increased sclerostin in the region of the fracture suggests that this protein may
be playing a key role in the regulation of bone microdamage during stress
adaptation.Cite this article:Bone Joint Res 2018;7:94-102.
PMID- 29363520
TI - Intraoperative femoral fractures: Prevention is better than cure.
PMID- 29363521
TI - The effect of aminoguanidine (AG) and pyridoxamine (PM) on ageing human cortical
bone.
AB - OBJECTIVES: Advanced glycation end-products (AGEs) are a post-translational
modification of collagen that form spontaneously in the skeletal matrix due to
the presence of reducing sugars, such as glucose. The accumulation of AGEs leads
to collagen cross-linking, which adversely affects bone quality and has been
shown to play a major role in fracture risk. Thus, intervening in the formation
and accumulation of AGEs may be a viable means of protecting bone quality.
METHODS: An in vitro model was used to examine the efficacy of two AGE
inhibitors, aminoguanidine (AG) and pyridoxamine (PM), on ageing human cortical
bone. Mid-diaphyseal tibial cortical bone segments were obtained from female
cadavers (n = 20, age range: 57 years to 97 years) and randomly subjected to one
of four treatments: control; glucose only; glucose and AG; or glucose and PM.
Following treatment, each specimen underwent mechanical testing under
physiological conditions via reference point indentation, and AGEs were
quantified by fluorescence. RESULTS: Treatment with AG and PM showed a
significant decrease in AGE content versus control groups, as well as a
significant decrease in the change in indentation distance, a reliable parameter
for analyzing bone strength, via two-way analysis of variance (ANOVA) (p < 0.05).
CONCLUSIONS: The data suggest that AG and PM prevent AGE formation and subsequent
biomechanical degradation in vitro. Modulation of AGEs may help to identify novel
therapeutic targets to mitigate bone quality deterioration, especially
deterioration due to ageing and in AGE-susceptible populations (e.g.
diabetics).Cite this article: Bone Joint Res 2018;7:105-110.
PMID- 29363524
TI - Acquired Resistance of ER-Positive Breast Cancer to Endocrine Treatment Confers
an Adaptive Sensitivity to TRAIL through Posttranslational Downregulation of c
FLIP.
AB - Purpose: One third of ER-positive breast cancer patients who initially respond to
endocrine therapy become resistant to treatment. Such treatment failure is
associated with poor prognosis and remains an area of unmet clinical need. Here,
we identify a specific posttranslational modification that occurs during
endocrine resistance and which results in tumor susceptibility to the apoptosis
inducer TRAIL. This potentially offers a novel stratified approach to targeting
endocrine-resistant breast cancer.Experimental Design: Cell line and primary
derived xenograft models of endocrine resistance were investigated for
susceptibility to TRAIL. Tumor viability, cancer stem cell (CSC) viability
(tumorspheres), tumor growth kinetics, and metastatic burden were assessed.
Western blots for the TRAIL-pathway inhibitor, c-FLIP, and upstream regulators
were performed. Results were confirmed in primary culture of 26 endocrine
resistant and endocrine-naive breast tumors.Results: Breast cancer cell lines
with acquired resistance to tamoxifen (TAMR) or faslodex were more sensitive to
TRAIL than their endocrine-sensitive controls. Moreover, TRAIL eliminated CSC
like activity in TAMR cells, resulting in prolonged remission of xenografts in
vivo In primary culture, TRAIL significantly depleted CSCs in 85% endocrine
resistant, compared with 8% endocrine-naive, tumors, whereas systemic
administration of TRAIL in endocrine-resistant patient-derived xenografts reduced
tumor growth, CSC-like activity, and metastases. Acquired TRAIL sensitivity
correlated with a reduction in intracellular levels of c-FLIP, and an increase in
Jnk-mediated phosphorylation of E3-ligase, ITCH, which degrades c
FLIP.Conclusions: These results identify a novel mechanism of acquired
vulnerability to an extrinsic cell death stimulus, in endocrine-resistant breast
cancers, which has both therapeutic and prognostic potential. Clin Cancer Res;
24(10); 2452-63. (c)2018 AACR.
PMID- 29363522
TI - Experimental and numerical investigation into the influence of loading conditions
in biomechanical testing of locking plate fracture fixation devices.
AB - OBJECTIVES: Secondary fracture healing is strongly influenced by the stiffness of
the bone-fixator system. Biomechanical tests are extensively used to investigate
stiffness and strength of fixation devices. The stiffness values reported in the
literature for locked plating, however, vary by three orders of magnitude. The
aim of this study was to examine the influence that the method of restraint and
load application has on the stiffness produced, the strain distribution within
the bone, and the stresses in the implant for locking plate constructs. METHODS:
Synthetic composite bones were used to evaluate experimentally the influence of
four different methods of loading and restraining specimens, all used in recent
previous studies. Two plate types and three screw arrangements were also
evaluated for each loading scenario. Computational models were also developed and
validated using the experimental tests. RESULTS: The method of loading was found
to affect the gap stiffness strongly (by up to six times) but also the magnitude
of the plate stress and the location and magnitude of strains at the bone-screw
interface. CONCLUSIONS: This study demonstrates that the method of loading is
responsible for much of the difference in reported stiffness values in the
literature. It also shows that previous contradictory findings, such as the
influence of working length and very large differences in failure loads, can be
readily explained by the choice of loading condition.Cite this article:Bone Joint
Res 2018;7:111-120.
PMID- 29363525
TI - Hybrid Capture-Based Genomic Profiling of Circulating Tumor DNA from Patients
with Advanced Cancers of the Gastrointestinal Tract or Anus.
AB - Purpose: Genomic profiling of tumor biopsies from advanced gastrointestinal and
anal cancers is increasingly used to inform treatment. In some cases, tissue
biopsy can be prohibitive, and we sought to investigate whether analysis of blood
derived circulating tumor DNA (ctDNA) may provide a minimally invasive
alternative.Experimental Design: Hybrid capture-based genomic profiling of 62
genes was performed on blood-based ctDNA from 417 patients with gastrointestinal
carcinomas to assess the presence of genomic alterations (GA) and compare with
matched tissue samples.Results: Evidence of ctDNA was detected in 344 of 417
samples (82%), and of these, >=1 reportable GA was detected in 89% (306/344) of
samples. Frequently altered genes were TP53 (72%), KRAS (35%), PIK3CA (14%), BRAF
(8%), and EGFR (7%). In temporally matched ctDNA and tissue samples available
from 25 patients, 86% of alterations detected in tissue were also detected in
ctDNA, including 95% of short variants, but only 50% of amplifications.
Conversely, 63% of alterations detected in ctDNA were also detected in matched
tissue. Examples demonstrating clinical utility are presented.Conclusions:
Genomic profiling of ctDNA detected potentially clinically relevant GAs in a
significant subset of patients with gastrointestinal carcinomas. In these tumor
types, most alterations detected in matched tissue were also detected in ctDNA,
and with the exception of amplifications, ctDNA sequencing routinely detected
additional alterations not found in matched tissue, consistent with tumor
heterogeneity. These results suggest feasibility and utility of ctDNA testing in
advanced gastrointestinal cancers as a complementary approach to tissue testing,
and further investigation is warranted. Clin Cancer Res; 24(8); 1881-90. (c)2018
AACR.
PMID- 29363526
TI - Nitric Oxide Production by Myeloid-Derived Suppressor Cells Plays a Role in
Impairing Fc Receptor-Mediated Natural Killer Cell Function.
AB - Purpose: mAbs are used to treat solid and hematologic malignancies and work in
part through Fc receptors (FcRs) on natural killer cells (NK). However, FcR
mediated functions of NK cells from patients with cancer are significantly
impaired. Identifying the mechanisms of this dysfunction and impaired response to
mAb therapy could lead to combination therapies and enhance mAb
therapy.Experimental Design: Cocultures of autologous NK cells and MDSC from
patients with cancer were used to study the effect of myeloid-derived suppressor
cells (MDSCs) on NK-cell FcR-mediated functions including antibody-dependent
cellular cytotoxicity, cytokine production, and signal transduction in vitro
Mouse breast cancer models were utilized to study the effect of MDSCs on antibody
therapy in vivo and test the efficacy of combination therapies including a mAb
and an MDSC-targeting agent.Results: MDSCs from patients with cancer were found
to significantly inhibit NK-cell FcR-mediated functions including antibody
dependent cellular cytotoxicity, cytokine production, and signal transduction in
a contact-independent manner. In addition, adoptive transfer of MDSCs abolished
the efficacy of mAb therapy in a mouse model of pancreatic cancer. Inhibition of
iNOS restored NK-cell functions and signal transduction. Finally, nonspecific
elimination of MDSCs or inhibition of iNOS in vivo significantly improved the
efficacy of mAb therapy in a mouse model of breast cancer.Conclusions: MDSCs
antagonize NK-cell FcR-mediated function and signal transduction leading to
impaired response to mAb therapy in part through nitric oxide production. Thus,
elimination of MDSCs or inhibition of nitric oxide production offers a strategy
to improve mAb therapy. Clin Cancer Res; 24(8); 1891-904. (c)2018 AACR.
PMID- 29363528
TI - Doctor who failed to curb his abusive behaviour is struck off.
PMID- 29363527
TI - The impact of environmental temperature on the diagnosis of gestational diabetes
mellitus.
AB - OBJECTIVE: To investigate a probable impact of seasons on the diagnosis of GDM,
as well as the specific effect of the environmental temperature on the diagnosis
of this clinical entity. PATIENTS AND METHODS: Two observational studies, one
retrospective and one prospective, were conducted in a referral center. Study A
included retrospectively 7618 pregnant women who underwent a 3-h 100 g OGTT
during the 3rd trimester of gestation. Study B prospectively included 768
pregnant women tested in the 3rd trimester of gestation with a 75 g OGTT.
Temperature was recorded every day at 09:00 h. RESULTS: Retrospective Study A:
GDM prevalence differed significantly by season: winter = 28.1%, summer = 39.2%,
spring = 32.4% and autumn = 32.4% (P < 0.0001). The odds ratio for being
diagnosed with GDM was much higher during summer 1.65 (95% CI: 1.43-1.90), with
spring and autumn following with 1.23 (95% CI: 1.08-1.39) compared to winter.
Glucose levels during OGTT were measured: significantly increased blood glucose
values were observed at 60, 120 and 180 min in summer, which remained significant
after adjustment for age, gestational age, BMI, weight gain during pregnancy and
blood pressure. Prospective Study B: At temperatures above 25 degrees C, the
average glucose 60-min and 120-min levels were increased. The relative risk for
abnormal glucose values at 60 min, when the environmental temperature increased
over 25 degrees C, was 2.2 (1.5-3.3). CONCLUSIONS: GDM prevalence in Greece
presents seasonal variation, with higher risk during summer due to post glucose
load level variations. These variations could be attributed to differences in
environmental temperature.
PMID- 29363529
TI - Clinical features of demyelinating optic neuritis with seropositive myelin
oligodendrocyte glycoprotein antibody in Chinese patients.
AB - BACKGROUND/AIMS: To investigate the clinical features of Chinese patients with
seropositive myelin oligodendrocyte glycoprotein antibody (MOG-Ab) optic neuritis
(ON) and patients with seropositive aquaporin-4 antibody (AQP4-Ab) ON. METHODS:
In this retrospective observational study, sera from patients with demyelinating
ON were tested for MOG-Ab and AQP4-Ab with a cell-based assay. Clinical
characteristics were compared between MOG-Ab-related ON (MOG-ON) and AQP4-Ab
related ON (AQP4-ON), including visual performances, serum autoantibodies and
features on MRI. RESULTS: A total of 109 affected eyes from 65 patients with
demyelinating ON (20 MOG-ON and 45 AQP4-ON) were included. The onset age of MOG
ON was younger than AQP4-ON (MOG-ON: 20.2+/-17.4 years old, AQP4-ON: 35.6+/-15.7
years old, P=0.001). Onset severity was not different between these two groups
(P=0.112), but patients with MOG-ON showed better visual outcomes (P=0.004). Half
of the MOG-ON had a relapsing disease course. Nineteen per cent of patients with
AQP4-ON presented coexisting autoimmune disorders, but there were no coexisting
autoimmune disorders among patients with MOG-ON. Optic nerve head swelling was
more prevalent in patients with MOG-ON (P<0.01). Retrobulbar segment involvement
of the optic nerve were more common in patients with MOG-ON according to our MRI
findings (P<0.01). Patients with MOG-ON showed longitudinally extensive lesion in
30% and chiasm and optic tract involvement in 5%. CONCLUSIONS: MOG-ON is not rare
in Chinese demyelinating patients. It underwent a severe vision loss at onset but
had relatively better visual recovery than patients with AQP4-ON. MOG-ON might
have an unique pathogenesis different from AQP4-ON.
PMID- 29363530
TI - Topical ganciclovir treatment post-Descemet's stripping automated endothelial
keratoplasty for patients with bullous keratopathy induced by cytomegalovirus.
AB - BACKGROUND/AIMS: To investigate the efficacy of topical ganciclovir (GCV) for
preventing disease recurrence and improving the surgical outcome post-Descemet's
stripping automated endothelial keratoplasty (DSAEK) in patients with
cytomegalovirus (CMV) endotheliitis. METHODS: This prospective, non-comparative
case series study involved six eyes of six patients with endothelial
decompensation due to CMV endotheliitis who underwent DSAEK, followed by a
continuous, four to six times daily, topical administration of 0.5% GCV. Patient
demographics, clinical history, and preoperative and postoperative examination
(including any recurrence of CMV endotheliitis post-DSAEK), best corrected visual
acuity (BCVA), intraocular pressure (IOP), graft survival rate and endothelial
cell density (ECD) were examined. RESULTS: No recurrence of CMV endotheliitis was
detected post-DSAEK. The mean follow-up period was 40 months (range, 12-60
months). The mean preoperative BCVA was 1.52+/-0.68 LogMAR (range, 0.52-2.40
LogMAR), yet it had significantly improved to 0.15+/-0.16 LogMAR (range: -0.08 to
0.30 LogMAR) by 1 year postoperative (P<0.01). In all patients, IOP was well
controlled (10-20 mm Hg) postsurgery. The mean preoperative donor ECD was 2692+/
177 cells/mm2, and the mean postoperative ECD was 1974, 1771 and 1174 cells/mm2
for the ECD loss of 26%, 33% and 54% at 6, 12 and 36 months, respectively. No
adverse effects were observed associated with the long-term topical
administration of GCV. CONCLUSION: The continuous topical application of 0.5% GCV
was found to be effective for preventing the recurrence of CMV endotheliitis, and
it provided the optimal mid-term clinical outcomes post-DSAEK in patients with
CMV endotheliitis. TRIAL REGISTRATION NUMBER: UMIN000026746.
PMID- 29363531
TI - Retinal vascular alterations in reticular pseudodrusen with and without outer
retinal atrophy assessed by optical coherence tomography angiography.
AB - PURPOSE: To investigate the intraretinal structural and vascular alterations in
patients featuring reticular pseudodrusen (RPD), RPD with outer retinal atrophy
(ORA), and drusen. DESIGN: Observational cross-sectional study. METHODS: Clinical
practice study including 68 eyes of 57 patients (22 eyes of 17 patients with RPD;
24 eyes of 21 patients with RPD+ORA; 22 eyes of 19 patients with drusen). Each
patient underwent spectral-domain optical coherence tomography (OCT) and OCT
angiography (OCT-A). Measurement of retinal layers' thickness was obtained by the
automated segmentation protocol of the Spectralis OCT (Heidelberg Eye Explorer
V.1.9.10.0). The superficial capillary plexus (SCP) and the deep capillary plexus
(DCP) vessel density, as well as the size of the foveal avascular zone were
calculated on 3*3 OCT-A. Main outcome was to compare vessel density at the SCP
and DCP among the groups and controls. RESULTS: At the SCP, the vessel density
was lower in RPD and RPD+ORA patients with respect to controls (P=0.02 and
P=0.003, respectively). At the DCP, meaningful disparity was found between the
study groups and the healthy subjects in the vessel density (P<0.001, P=0.04 and
P=0.001 for RPD, RDP+ORA and drusen, respectively). The ganglion cell layer (GCL)
was thinner in all patients affected either by RPD, RPD+ORA or drusen compared
with healthy subjects (P=0.02, P=0.03 and P=0.004, respectively). CONCLUSION:
Significant retinal vascular loss is a common feature of patients with non
exudative age-related macular degeneration, more pronounced in those featuring
RPD and RPD+ORA. It is associated with retinal thinning, localised particularly
at the GCL, compared with controls.
PMID- 29363532
TI - Automated diabetic retinopathy detection using optical coherence tomography
angiography: a pilot study.
AB - BACKGROUND: Optical coherence tomography angiography (OCTA) is increasingly being
used to evaluate diabetic retinopathy, but the interpretation of OCTA remains
largely subjective. The purpose of this study was to design a computer-aided
diagnostic (CAD) system to diagnose non-proliferative diabetic retinopathy (NPDR)
in an automated fashion using OCTA images. METHODS: This was a two-centre, cross
sectional study. Adults with type II diabetes mellitus (DMII) were eligible for
inclusion. OCTA scans of the macula were taken, and the five vascular maps
generated per eye were analysed by a novel CAD system. For the purpose of
classification/diagnosis, three different local features-blood vessel density,
blood vessel calibre and the size of the foveal avascular zone (FAZ)-were
segmented from these images and used to train a new, automated classifier.
RESULTS: One hundred and six patients with DMII were included in the study, 23
with no DR and 83 with mild NPDR. When using features of the superficial retinal
map alone, the system demonstrated an accuracy of 80.0% and area under the curve
(AUC) of 76.2%. Using the features of the deep retinal map alone, accuracy was
91.4% and AUC 89.2%. When data from both maps were combined, the presented CAD
system demonstrated overall accuracy of 94.3%, sensitivity of 97.9%, specificity
of 87.0%, area under curve (AUC) of 92.4% and dice similarity coefficient of
95.8%. CONCLUSION: Automated diagnosis of NPDR using OCTA images is feasible and
accurate. Combining this system with OCT data is a plausible next step that would
likely improve its robustness.
PMID- 29363533
TI - Report on the incidence of squamous cell carcinomas affecting the eyelids in
England over a 15-year period (2000-2014).
AB - AIMS: The authors report on trends in the incidence of squamous cell carcinoma
(SCC) affecting the eyelids in England over a 15-year period and identify
associations between demographic factors and SCC risk. METHODS: The National
Cancer Registration and Analysis Service identified all cases of eyelid SCC in
England between 2000 and 2014. The crude and age-standardised rates of eyelid
SCCs in England were calculated. The association of SCC with several known
demographic risk factors was then examined to assess their importance in
periocular cases. RESULTS: Over the 15 years studied, there were 4022 patients in
England diagnosed with a first episode of SCC affecting the eyelids. The age
standardised number of reported cases rose between 2000 and 2014 by a mean of
0.0137 cases per 100 000 population per year (equivalent to a rise in SCC
incidence of approximately 2% per year). The mean age-standardised incidence rate
of SCC during the study period was 0.63 cases per 100 000 population per year.Age
was exponentially correlated with incidence, with an approximate doubling of the
risk for every decade over the age of 60. The relative risk of eyelid SCC in men
compared with women was 1.9. Social deprivation quintile by income was not found
to be associated with risk of SCC. CONCLUSION: The incidence of eyelid SCC in
England is rising. In addition, the age-standardised and population-standardised
rate of SCC is also rising. A higher risk of SCC is strongly correlated with age
and male sex but not with deprivation.
PMID- 29363535
TI - Improved adenoma detection with Endocuff Vision: the ADENOMA randomised
controlled trial.
AB - OBJECTIVE: Low adenoma detection rates (ADR) are linked to increased
postcolonoscopy colorectal cancer rates and reduced cancer survival. Devices to
enhance mucosal visualisation such as Endocuff Vision (EV) may improve ADR. This
multicentre randomised controlled trial compared ADR between EV-assisted
colonoscopy (EAC) and standard colonoscopy (SC). DESIGN: Patients referred
because of symptoms, surveillance or following a positive faecal occult blood
test (FOBt) as part of the Bowel Cancer Screening Programme were recruited from
seven hospitals. ADR, mean adenomas per procedure, size and location of adenomas,
sessile serrated polyps, EV removal rate, caecal intubation rate, procedural
time, patient experience, effect of EV on workload and adverse events were
measured. RESULTS: 1772 patients (57% male, mean age 62 years) were recruited
over 16 months with 45% recruited through screening. EAC increased ADR globally
from 36.2% to 40.9% (P=0.02). The increase was driven by a 10.8% increase in FOBt
positive screening patients (50.9% SC vs 61.7% EAC, P<0.001). EV patients had
higher detection of mean adenomas per procedure, sessile serrated polyps, left
sided, diminutive, small adenomas and cancers (cancer 4.1% vs 2.3%, P=0.02). EV
removal rate was 4.1%. Median intubation was a minute quicker with EAC (P=0.001),
with no difference in caecal intubation rate or withdrawal time. EAC was well
tolerated but caused a minor increase in discomfort on anal intubation in
patients undergoing colonoscopy with no or minimal sedation. There were no
significant EV adverse events. CONCLUSION: EV significantly improved ADR in bowel
cancer screening patients and should be used to improve colonoscopic detection.
TRIAL REGISTRATION NUMBER: NCT 02552017, Results; ISRCTN 11821044, Results.
PMID- 29363536
TI - Cell of origin affects tumour development and phenotype in pancreatic ductal
adenocarcinoma.
AB - OBJECTIVE: Pancreatic ductal adenocarcinoma (PDAC) is a highly aggressive tumour
thought to arise from ductal cells via pancreatic intraepithelial neoplasia
(PanIN) precursor lesions. Modelling of different genetic events in mice suggests
both ductal and acinar cells can give rise to PDAC. However, the impact of
cellular context alone on tumour development and phenotype is unknown. DESIGN: We
examined the contribution of cellular origin to PDAC development by inducing PDAC
associated mutations, KrasG12D expression and Trp53 loss, specifically in ductal
cells (Sox9CreER;KrasLSL-G12D;Trp53flox/flox ('Duct:KPcKO ')) or acinar cells
(Ptf1aCreER;KrasLSL-G12D;Trp53flox/flox ('Acinar:KPcKO ')) in mice. We then
performed a thorough analysis of the resulting histopathological changes.
RESULTS: Both mouse models developed PDAC, but Duct:KPcKO mice developed PDAC
earlier than Acinar:KPcKO mice. Tumour development was more rapid and associated
with high-grade murine PanIN (mPanIN) lesions in Duct:KPcKO mice. In contrast,
Acinar:KPcKO mice exhibited widespread metaplasia and low-grade as well as high
grade mPanINs with delayed progression to PDAC. Acinar-cell-derived tumours also
had a higher prevalence of mucinous glandular features reminiscent of early
mPanIN lesions. CONCLUSION: These findings indicate that ductal cells are primed
to form carcinoma in situ that become invasive PDAC in the presence of oncogenic
Kras and Trp53 deletion, while acinar cells with the same mutations appear to
require a prolonged period of transition or reprogramming to initiate PDAC. Our
findings illustrate that PDAC can develop in multiple ways and the cellular
context in which mutations are acquired has significant impact on precursor
lesion initiation, disease progression and tumour phenotype.
PMID- 29363537
TI - Magnitude and Pattern of Placebo Response in Clinical Trials of Oral
Antihyperglycemic Agents: Data From the U.S. Food and Drug Administration, 1999
2015.
AB - OBJECTIVE: To evaluate the magnitude of placebo response and treatment response
patterns in clinical trials of investigational oral antihyperglycemics over time.
RESEARCH DESIGN AND METHODS: We examined the U.S. Food and Drug Administration
medical and statistical reviews for 19 oral antihyperglycemic agents (23,438
patients, 50 trials, and 96 treatment arms) approved between 1999 and 2015.
Placebo and medication treatment response (HbA1c reduction) and effect sizes were
examined over time (year of approval). Exclusively placebo-controlled and
augmented/adjunctive placebo-controlled trials were analyzed separately, and
differences were compared. Potential effects of trial and patient characteristics
were explored. RESULTS: In more recent trials, augmented placebo-controlled arms
reduced HbA1c by 0.2% on average and more frequently lowered HbA1c from baseline
compared with exclusively placebo-controlled arms (63 vs. 18%; chi2 = 9.93; P =
0.002). In exclusively placebo-controlled trials, placebo response increased
significantly over time (beta = 0.035; R2 = 0.31; P = 0.0013), reaching ~0%
average change in HbA1c, whereas drug response also increased significantly (beta
= 0.017; R2 = 0.076; P = 0.0498). In augmented placebo-controlled trials, placebo
response (beta = 0.33; R2 = 0.407; P < 0.001) showed the same pattern, whereas
the growth in drug response was not significant (R2 = 0.031; P = 0.207). Placebo
response in both groups increased by 0.5% HbA1c reduction over time, whereas
effect sizes remained stable with high success rates (100%; 96 out of 96). Drug
response and effect size were not significantly predicted by patient or trial
characteristics, but follow-up analysis suggested an inverse relationship of
placebo baseline HbA1c with placebo response. CONCLUSIONS: Remarkably, placebo
treated patients with diabetes commonly experienced reduction in HbA1c, more
markedly in augmented compared with exclusively placebo-controlled treatment
arms. Placebo response increased significantly over time without impacting
efficacy outcomes. Nonpharmacologic effects measured in the placebo response
appear stronger when used with active medication than when implemented in
isolation and may be related to the level of HbA1c at baseline.
PMID- 29363534
TI - Natural disease course of Crohn's disease during the first 5 years after
diagnosis in a European population-based inception cohort: an Epi-IBD study.
AB - OBJECTIVE: The Epi-IBD cohort is a prospective population-based inception cohort
of unselected patients with inflammatory bowel disease from 29 European centres
covering a background population of almost 10 million people. The aim of this
study was to assess the 5-year outcome and disease course of patients with
Crohn's disease (CD). DESIGN: Patients were followed up prospectively from the
time of diagnosis, including collection of their clinical data, demographics,
disease activity, medical therapy, surgery, cancers and deaths. Associations
between outcomes and multiple covariates were analysed by Cox regression
analysis. RESULTS: In total, 488 patients were included in the study. During
follow-up, 107 (22%) patients received surgery, while 176 (36%) patients were
hospitalised because of CD. A total of 49 (14%) patients diagnosed with non
stricturing, non-penetrating disease progressed to either stricturing and/or
penetrating disease. These rates did not differ between patients from Western and
Eastern Europe. However, significant geographic differences were noted regarding
treatment: more patients in Western Europe received biological therapy (33%) and
immunomodulators (66%) than did those in Eastern Europe (14% and 54%,
respectively, P<0.01), while more Eastern European patients received 5
aminosalicylates (90% vs 56%, P<0.05). Treatment with immunomodulators reduced
the risk of surgery (HR: 0.4, 95% CI 0.2 to 0.6) and hospitalisation (HR: 0.3,
95% CI 0.2 to 0.5). CONCLUSION: Despite patients being treated early and
frequently with immunomodulators and biological therapy in Western Europe, 5-year
outcomes including surgery and phenotype progression in this cohort were
comparable across Western and Eastern Europe. Differences in treatment strategies
between Western and Eastern European centres did not affect the disease course.
Treatment with immunomodulators reduced the risk of surgery and hospitalisation.
PMID- 29363538
TI - Implementation of a Structured Diabetes Consultation Model to Facilitate a Person
Centered Approach: Results From a Nationwide Dutch Study.
AB - OBJECTIVE: We assessed both from a patient and provider perspective the
usefulness and added value of a consultation model that facilitates person
centered diabetes care. RESEARCH DESIGN AND METHODS: The model consists of 1)
inventory of disease and patient-related factors; 2) setting personal goals; 3)
choosing treatment; and 4) determination of required care. It was implemented in
47 general practices and 6 hospital outpatient clinics. Providers were trained,
and patients were recommended to prepare their visit. All filled out a
questionnaire after every consultation. Differences between primary and secondary
care practices and between physician-led and nurse-led consultations were
analyzed. RESULTS: Seventy-four physicians and thirty-one nurses participated,
reporting on 1,366 consultations with type 2 diabetes patients. According to
providers, the model was applicable in 72.4% (nurses 79.3% vs. physicians 68.5%,
P < 0.001). Physicians more often had a consultation time <25 min (80.4% vs.
56.9%, P < 0.001). According to providers, two of three patients spoke more than
half of the consultation time (outpatient clinics 75.2% vs. general practices
66.6%, P = 0.002; nurses 73.2% vs. physicians 64.4%, P = 0.001). Providers stated
that person-related factors often determined treatment goals. Almost all patients
(94.4%) reported that they made shared decisions; they felt more involved than
before (with physicians 45.1% vs. with nurses 33.6%, P < 0.001) and rated the
consultation 8.6 of 10. After physician-led consultations, 52.5% reported that
the consultation was better than before (nurse visit 33.7%, P < 0.001).
CONCLUSIONS: A consultation model to facilitate person-centered care seems well
applicable and results in more patient involvement, including shared decision
making, and is appreciated by a substantial number of patients.
PMID- 29363539
TI - Nucleic acid based therapies: developing frontier for precision medicine.
PMID- 29363541
TI - Vitamin A-coupled liposomes containing siRNA against HSP47 ameliorate skin
fibrosis in chronic graft-versus-host disease.
AB - Chronic graft-versus-host disease (GVHD) after allogeneic hematopoietic stem cell
transplantation (SCT) is characterized by multiorgan fibrosis and profoundly
affects the quality of life of transplant survivors. Heat shock protein 47
(HSP47), a collagen-specific molecular chaperone, plays a critical role in
collagen synthesis in myofibroblasts. We explored the role of HSP47 in the
fibrotic process of cutaneous chronic GVHD in mice. Immunohistochemical analysis
showed massive fibrosis with elevated amounts of collagen deposits and
accumulation of F4/80+ macrophages, as well as myofibroblasts expressing HSP47
and retinol-binding protein 1 in the skin after allogeneic SCT. Repeated
injection of anti-colony-stimulating factor (CSF-1) receptor-blocking antibodies
significantly reduced HSP47+ myofibroblasts in the skin, indicating a macrophage
dependent accumulation of myofibroblasts. Vitamin A-coupled liposomes carrying
HSP47 small interfering RNA (siRNA) (VA-lip HSP47) delivered HSP47 siRNA to cells
expressing vitamin A receptors and knocked down their HSP47 in vitro.
Intravenously injected VA-lip HSP47 were specifically distributed to skin
fibrotic lesions and did not affect collagen synthesis in healthy skin. VA-lip
HSP47 knocked down HSP47 expression in myofibroblasts and significantly reduced
collagen deposition without inducing systemic immunosuppression. It also
abrogated fibrosis in the salivary glands. These results highlight a cascade of
fibrosis in chronic GVHD; macrophage production of transforming growth factor
beta mediates fibroblast differentiation to HSP47+ myofibroblasts that produce
collagen. VA-lip HSP47 represent a novel strategy to modulate fibrosis in chronic
GVHD by targeting HSP47+ myofibroblasts without inducing immunosuppression.
PMID- 29363542
TI - Ruxolitinib protects skin stem cells and maintains skin homeostasis in murine
graft-versus-host disease.
AB - Graft-versus-host disease (GVHD) is the major complication after allogeneic stem
cell transplantation (SCT). Emerging evidence indicates that GVHD leads to injury
of intestinal stem cells. However, it remains to be investigated whether skin
stem cells could be targeted in skin GVHD. Lgr5+ hair follicle stem cells (HFSCs)
contribute to folliculogenesis and have a multipotent capacity to regenerate all
epithelial cells in repair. We studied the fate of Lgr5+ HFSCs after SCT and
explored the novel treatment to protect Lgr5+ HFSCs against GVHD using murine
models of SCT. We found that GVHD reduced Lgr5+ HFSCs in association with
impaired hair regeneration and wound healing in the skin after SCT. Topical
corticosteroids, a standard of care for a wide range of skin disorders including
GVHD, damaged HFSCs and failed to improve skin homeostasis, despite of their anti
inflammatory effects. In contrast, JAK1/2 inhibitor ruxolitinib significantly
ameliorated skin GVHD, protected Lgr5+ HFSCs, and restored hair regeneration and
wound healing after SCT. We, for the first time, found that GVHD targets Lgr5+
HFSCs and that topical ruxolitinib represents a novel strategy to protect skin
stem cells and maintain skin homeostasis in GVHD.
PMID- 29363540
TI - Remodeling of the malaria parasite and host human red cell by vesicle
amplification that induces artemisinin resistance.
AB - Artemisinin resistance threatens worldwide malaria control and elimination.
Elevation of phosphatidylinositol-3-phosphate (PI3P) can induce resistance in
blood stages of Plasmodium falciparum The parasite unfolded protein response
(UPR) has also been implicated as a proteostatic mechanism that may diminish
artemisinin-induced toxic proteopathy. How PI3P acts and its connection to the
UPR remain unknown, although both are conferred by mutation in P falciparum
Kelch13 (K13), the marker of artemisinin resistance. Here we used
cryoimmunoelectron microscopy to show that K13 concentrates at PI3P
tubules/vesicles of the parasite's endoplasmic reticulum (ER) in infected red
cells. K13 colocalizes and copurifies with the major virulence adhesin PfEMP1.
The PfEMP1-K13 proteome is comprehensively enriched in multiple proteostasis
systems of protein export, quality control, and folding in the ER and cytoplasm
and UPR. Synthetic elevation of PI3P that induces resistance in absence of K13
mutation also yields signatures of proteostasis and clinical resistance. These
findings imply a key role for PI3P-vesicle amplification as a mechanism of
resistance of infected red cells. As validation, the major resistance mutation
K13C580Y quantitatively increased PI3P tubules/vesicles, exporting them
throughout the parasite and the red cell. Chemical inhibitors and fluorescence
microscopy showed that alterations in PfEMP1 export to the red cell and
cytoadherence of infected cells to a host endothelial receptor are features of
multiple K13 mutants. Together these data suggest that amplified PI3P vesicles
disseminate widespread proteostatic capacity that may neutralize artemisinins
toxic proteopathy and implicate a role for the host red cell in artemisinin
resistance. The mechanistic insights generated will have an impact on malaria
drug development.
PMID- 29363543
TI - Antiestrogen Therapy Increases Plasticity and Cancer Stemness of Prolactin
Induced ERalpha+ Mammary Carcinomas.
AB - Although antiestrogen therapies are successful in many patients with estrogen
receptor alpha-positive (ERalpha+) breast cancer, 25% to 40% fail to respond.
Although multiple mechanisms underlie evasion of these treatments, including
tumor heterogeneity and drug-resistant cancer stem cells (CSC), further
investigations have been limited by the paucity of preclinical ERalpha+ tumor
models. Here, we examined a mouse model of prolactin-induced aggressive ERalpha+
breast cancer, which mimics the epidemiologic link between prolactin exposure and
increased risk for metastatic ERalpha+ tumors. Like a subset of ERalpha+ patient
cancers, the prolactin-induced adenocarcinomas contained two major tumor
subpopulations that expressed markers of normal luminal and basal epithelial
cells. CSC activity was distributed equally across these two tumor
subpopulations. Treatment with the selective estrogen receptor downregulator
(SERD), ICI 182,780 (ICI), did not slow tumor growth, but induced adaptive
responses in CSC activity, increased markers of plasticity including target gene
reporters of Wnt/Notch signaling and epithelial-mesenchymal transition, and
increased double-positive (K8/K5) cells. In primary tumorsphere cultures, ICI
stimulated CSC self-renewal and was able to overcome the dependence of self
renewal upon Wnt or Notch signaling individually, but not together. Our findings
demonstrate that treatment of aggressive mixed lineage ERalpha+ breast cancers
with a SERD does not inhibit growth, but rather evokes tumor cell plasticity and
regenerative CSC activity, predicting likely negative impacts on patient tumors
with these characteristics.Significance: This study suggests that treatment of a
subset of ERalpha+ breast cancers with antiestrogen therapies may not only fail
to slow growth but also promote aggressive behavior by evoking tumor cell
plasticity and regenerative CSC activity. Cancer Res; 78(7); 1672-84. (c)2018
AACR.
PMID- 29363545
TI - Downregulation of Membrane Trafficking Proteins and Lactate Conditioning
Determine Loss of Dendritic Cell Function in Lung Cancer.
AB - Restoring antigen presentation for efficient and durable activation of tumor
specific CD8+ T-cell responses is pivotal to immunotherapy, yet the mechanisms
that cause subversion of dendritic cell (DC) functions are not entirely
understood, limiting the development of targeted approaches. In this study, we
show that bona fide DCs resident in lung tumor tissues or DCs exposed to factors
derived from whole lung tumors become refractory to endosomal and cytosolic
sensor stimulation and fail to secrete IL12 and IFNI. Tumor-conditioned DC
exhibited downregulation of the SNARE VAMP3, a regulator of endosomes trafficking
critical for cross-presentation of tumor antigens and DC-mediated tumor
rejection. Dissection of cell-extrinsic suppressive pathways identified lactic
acid in the tumor microenvironment as sufficient to inhibit type-I IFN downstream
of TLR3 and STING. DC conditioning by lactate also impacted adaptive function,
accelerating antigen degradation and impairing cross-presentation. Importantly,
DCs conditioned by lactate failed to prime antitumor responses in vivo These
findings provide a new mechanistic viewpoint to the concept of DC suppression and
hold potential for future therapeutic approaches.Significance: These findings
provide insight into the cell-intrinsic and cell-extrinsic mechanisms that cause
loss of presentation of tumor-specific antigens in lung cancer tissues. Cancer
Res; 78(7); 1685-99. (c)2018 AACR.
PMID- 29363544
TI - Tumor-Stroma IL1beta-IRAK4 Feedforward Circuitry Drives Tumor Fibrosis,
Chemoresistance, and Poor Prognosis in Pancreatic Cancer.
AB - Targeting the desmoplastic stroma of pancreatic ductal adenocarcinoma (PDAC)
holds promise to augment the effect of chemotherapy, but success in the clinic
has thus far been limited. Preclinical mouse models suggest that near-depletion
of cancer-associated fibroblasts (CAF) carries a risk of accelerating PDAC
progression, underscoring the need to concurrently target key signaling
mechanisms that drive the malignant attributes of both CAF and PDAC cells. We
previously reported that inhibition of IL1 receptor-associated kinase 4 (IRAK4)
suppresses NFkappaB activity and promotes response to chemotherapy in PDAC cells.
In this study, we report that CAF in PDAC tumors robustly express activated IRAK4
and NFkappaB. IRAK4 expression in CAF promoted NFkappaB activity, drove tumor
fibrosis, and supported PDAC cell proliferation, survival, and chemoresistance.
Cytokine array analysis of CAF and microarray analysis of PDAC cells identified
IL1beta as a key cytokine that activated IRAK4 in CAF. Targeting IRAK4 or IL1beta
rendered PDAC tumors less fibrotic and more sensitive to gemcitabine. In clinical
specimens of human PDAC, high stromal IL1beta expression associated strongly with
poor overall survival. Together, our studies establish a tumor-stroma IL1beta
IRAK4 feedforward signal that can be therapeutically disrupted to increase
chemotherapeutic efficacy in PDAC.Significance: Targeting the IL1beta-IRAK4
signaling pathway potentiates the effect of chemotherapy in pancreatic cancer.
Cancer Res; 78(7); 1700-12. (c)2018 AACR.
PMID- 29363546
TI - Myeloma Cells Are Activated in Bone Marrow Microenvironment by the CD180/MD-1
Complex, Which Senses Lipopolysaccharide.
AB - Multiple myeloma (MM) cells acquire dormancy and drug resistance via interaction
with bone marrow stroma cells (BMSC) in a hypoxic microenvironment. Elucidating
the mechanisms underlying the regrowth of dormant clones may contribute to
further improvement of the prognosis of MM patients. In this study, we find that
the CD180/MD-1 complex, a noncanonical lipopolysaccharide (LPS) receptor, is
expressed on MM cells but not on normal counterparts, and its abundance is
markedly upregulated under adherent and hypoxic conditions. Bacterial LPS and
anti-CD180 antibody, but not other Toll-like receptor ligands, enhanced the
growth of MM cells via activation of MAP kinases ERK and JNK in positive
correlation with expression levels of CD180. Administration of LPS significantly
increased the number of CD180/CD138 double-positive cells in a murine xenograft
model when MM cells were inoculated with direct attachment to BMSC. Knockdown of
CD180 canceled the LPS response in vitro and in vivo Promoter analyses identified
IKZF1 (Ikaros) as a pivotal transcriptional activator of the CD180 gene. Both
cell adhesion and hypoxia activated transcription of the CD180 gene by increasing
Ikaros expression and its binding to the promoter region. Pharmacological
targeting of Ikaros by the immunomodulatory drug lenalidomide ameliorated the
response of MM cells to LPS in a CD180-dependent manner in vitro and in vivo
Thus, the CD180/MD-1 pathway may represent a novel mechanism of growth regulation
of MM cells in a BM milieu and may be a therapeutic target of preventing the
regrowth of dormant MM cells.Significance: This study describes a novel mechanism
by which myeloma cells are regulated in the bone marrow, where drug resistance
and dormancy can evolve after treatment, with potential therapeutic implications
for treating this often untreatable blood cancer. Cancer Res; 78(7); 1766-78.
(c)2018 AACR.
PMID- 29363547
TI - Keratin 19 Expression in Hepatocellular Carcinoma Is Regulated by Fibroblast
Derived HGF via a MET-ERK1/2-AP1 and SP1 Axis.
AB - Keratin (KRT) 19 is a poor prognostic marker for hepatocellular carcinoma (HCC);
however, regulatory mechanisms underlying its expression remain unclear. We have
previously reported the presence of fibrous tumor stroma in KRT19-positive HCC,
suggesting that cross-talk between cancer-associated fibroblasts (CAF) and tumor
epithelial cells could regulate KRT19 expression. This was investigated in this
study using an in vitro model of paracrine interaction between HCC cell lines
(HepG2, SNU423) and hepatic stellate cells (HSC), a major source of hepatic
myofibroblasts. HSCs upregulated transcription and translation of KRT19 in HCC
cells via paracrine interactions. Mechanistically, hepatocyte growth factor (HGF)
from HSCs activated c-MET and the MEK-ERK1/2 pathway, which upregulated KRT19
expression in HCC cells. Furthermore, AP1 (JUN/FOSL1) and SP1, downstream
transcriptional activators of ERK1/2, activated KRT19 expression in HCC cells. In
clinical specimens of human HCC (n = 339), HGF and KRT19 protein expression
correlated with CAF levels. In addition, HGF or MET protein expression was
associated with FOSL1 and KRT19 expression and was found to be a poor prognostic
factor. Analysis of data from The Cancer Genome Atlas also revealed KRT19
expression was closely associated with CAF and MET-mediated signaling activities.
These results provide insights into the molecular background of KRT19-positive
HCC that display an aggressive phenotype.Significance: These findings reveal
KRT19 expression in hepatocellular carcinoma is regulated by cross-talk between
cancer-associated fibroblasts and HCC cells, illuminating new therapeutic targets
for this aggressive disease. Cancer Res; 78(7); 1619-31. (c)2018 AACR.
PMID- 29363549
TI - Communication about the impending death of patients with cancer to the family: a
nationwide survey.
AB - OBJECTIVES: Explanation about the impending death of imminently dying patients
with cancer is important for their families. However, little is known about how
clinicians explain impending death and how families perceive the explanation. We
aimed to clarify bereaved families' perception of the need for improvements in
the explanation about impending death and to explore the factors contributing to
the need. METHODS: In a nationwide survey of 818 bereaved families of patients
with cancer admitted to inpatient hospices in Japan, we evaluated family
perceived need for improvements in the explanation about impending death and
families' experiences of the explanation. RESULTS: Among all the participants
(n=516, 63%), 35 (6.8%), 123 (24%) and 297 (58%) families felt that
much/considerable, some and no improvements were needed, respectively.
Independent determinants of the need were a younger patient age (OR=0.97; 95% CI
0.95 to 0.99; P=0.009); not receiving an 'explicit explanation about physical
signs of impending death' (OR=0.67; 95% CI 0.51 to 0.88; P=0.004); not receiving
an 'explanation of how long the patient and family could talk' (OR=0.67; 95% CI
0.51 to 0.88; P<0.001); receiving an 'excessive warning of impending death'
(OR=1.45; 95% CI 1.03 to 2.03; P=0.033) and having a feeling of 'uncertainty
caused by vague explanations about future changes' (OR=1.77; 95% CI 1.38 to 2.27;
P<0.001). CONCLUSIONS: Nearly a third of the bereaved families perceived some
need to improve the explanation about impending death. To better help
patients/families prepare for their end-of-life, clinicians should recognise and
explain various impending death signs; find a balance between detailed
explanation and excessive warning and address how long they could talk in the
remaining time.
PMID- 29363548
TI - Fc-Mediated Anomalous Biodistribution of Therapeutic Antibodies in
Immunodeficient Mouse Models.
AB - A critical benchmark in the development of antibody-based therapeutics is
demonstration of efficacy in preclinical mouse models of human disease, many of
which rely on immunodeficient mice. However, relatively little is known about how
the biology of various immunodeficient strains impacts the in vivo fate of these
drugs. Here we used immunoPET radiotracers prepared from humanized, chimeric, and
murine mAbs against four therapeutic oncologic targets to interrogate their
biodistribution in four different strains of immunodeficient mice bearing lung,
prostate, and ovarian cancer xenografts. The immunodeficiency status of the mouse
host as well as both the biological origin and glycosylation of the antibody
contributed significantly to the anomalous biodistribution of therapeutic
monoclonal antibodies in an Fc receptor-dependent manner. These findings may have
important implications for the preclinical evaluation of Fc-containing
therapeutics and highlight a clear need for biodistribution studies in the early
stages of antibody drug development.Significance: Fc/FcgammaR-mediated
immunobiology of the experimental host is a key determinant to preclinical in
vivo tumor targeting and efficacy of therapeutic antibodies. Cancer Res; 78(7);
1820-32. (c)2018 AACR.
PMID- 29363550
TI - Bereaved caregivers of patients with high-grade glioma: a systematic review.
AB - OBJECTIVE: Caregivers to patients with primary malignant brain tumours neglect
their own physical and emotional needs during the disease trajectory. The aim of
the systematic review was to explore how informal caregivers of patients with
primary malignant brain tumour (high-grade glioma (HGG)) experience and manage
their life situation after the death of the patient. METHODS: A systematic
literature search was carried out in December 2016 and revised in September 2017.
The following six databases were searched: PubMed, Cumulative Index of Nursing
and Allied Health Literature, Excerpta Medica database, Scopus, PsycINFO and The
Social Sciences Citation Index. Studies eligible for inclusion met the following
criteria: (1) included bereaved caregivers to patients diagnosed with HGG >=18
years, (2) described the caregiver's perspective at post bereavement (3) had been
peer-reviewed. The papers included were assessed for methodological quality using
the Critical Appraisal Skills Programme. RESULTS: Four qualitative articles,
published from 2004 to 2015, met the eligibility criteria. Bereaved informal
caregivers expressed profound grief and had unmet needs and questions related to
the disease and their role as caregiver. Moreover, they experienced depressive
symptoms and fatigue, resulting in a reduced work capability and social network.
CONCLUSIONS: We conclude that bereaved informal caregivers experience
psychosocial consequences and emotional reactions after bereavement, resulting in
feelings of isolation and loneliness. This review identified limited evidence on
how the bereaved caregivers prefer to be supported, and further study is
warranted. We suggest incorporating systematic information on bereavement in
clinical practice and a cooperation across sectors.
PMID- 29363551
TI - Beyond Genomic Prediction: Combining Different Types of omics Data Can Improve
Prediction of Hybrid Performance in Maize.
AB - The ability to predict the agronomic performance of single-crosses with high
precision is essential for selecting superior candidates for hybrid breeding.
With recent technological advances, thousands of new parent lines, and,
consequently, millions of new hybrid combinations are possible in each breeding
cycle, yet only a few hundred can be produced and phenotyped in multi-environment
yield trials. Well established prediction approaches such as best linear unbiased
prediction (BLUP) using pedigree data and whole-genome prediction using genomic
data are limited in capturing epistasis and interactions occurring within and
among downstream biological strata such as transcriptome and metabolome. Because
mRNA and small RNA (sRNA) sequences are involved in transcriptional,
translational and post-translational processes, we expect them to provide
information influencing several biological strata. However, using sRNA data of
parent lines to predict hybrid performance has not yet been addressed. Here, we
gathered genomic, transcriptomic (mRNA and sRNA) and metabolomic data of parent
lines to evaluate the ability of the data to predict the performance of untested
hybrids for important agronomic traits in grain maize. We found a considerable
interaction for predictive ability between predictor and trait, with mRNA data
being a superior predictor for grain yield and genomic data for grain dry matter
content, while sRNA performed relatively poorly for both traits. Combining mRNA
and genomic data as predictors resulted in high predictive abilities across both
traits and combining other predictors improved prediction over that of the
individual predictors alone. We conclude that downstream "omics" can complement
genomics for hybrid prediction, and, thereby, contribute to more efficient
selection of hybrid candidates.
PMID- 29363552
TI - Probing the Mechanism of Receptor Activity-Modifying Protein Modulation of GPCR
Ligand Selectivity through Rational Design of Potent Adrenomedullin and
Calcitonin Gene-Related Peptide Antagonists.
AB - Binding of the vasodilator peptides adrenomedullin (AM) and calcitonin gene
related peptide (CGRP) to the class B G protein-coupled receptor calcitonin
receptor-like receptor (CLR) is modulated by receptor activity-modifying proteins
(RAMPs). RAMP1 favors CGRP, whereas RAMP2 and RAMP3 favor AM. Crystal structures
of peptide-bound RAMP1/2-CLR extracellular domain (ECD) heterodimers suggested
RAMPs alter ligand preference through direct peptide contacts and allosteric
modulation of CLR. Here, we probed this dual mechanism through rational structure
guided design of AM and CGRP antagonist variants. Variants were characterized for
binding to purified RAMP1/2-CLR ECD and for antagonism of the full-length CGRP
(RAMP1:CLR), AM1 (RAMP2:CLR), and AM2 (RAMP3:CLR) receptors. Short nanomolar
affinity AM(37-52) and CGRP(27-37) variants were obtained through substitutions
including AM S45W/Q50W and CGRP K35W/A36S designed to stabilize their beta-turn.
K46L and Y52F substitutions designed to exploit RAMP allosteric effects and
direct peptide contacts, respectively, yielded AM variants with selectivity for
the CGRP receptor over the AM1 receptor. AM(37-52) S45W/K46L/Q50W/Y52F exhibited
nanomolar potency at the CGRP receptor and micromolar potency at AM1 A 2.8-A
resolution crystal structure of this variant bound to the RAMP1-CLR ECD confirmed
that it bound as designed. CGRP(27-37) N31D/S34P/K35W/A36S exhibited potency and
selectivity comparable to the traditional antagonist CGRP(8-37). Giving this
variant the ability to contact RAMP2 through the F37Y substitution increased
affinity for AM1, but it still preferred the CGRP receptor. These potent peptide
antagonists with altered selectivity inform the development of AM/CGRP-based
pharmacological tools and support the hypothesis that RAMPs alter CLR ligand
selectivity through allosteric effects and direct peptide contacts.
PMID- 29363553
TI - Hematopoietic stem/progenitor cell senescence is associated with altered
expression profiles of cellular memory-involved gene.
AB - To evaluate the contributions of cellular memory mechanisms to hematopoietic
stem/progenitor cell (HSPC) senescence. HSPCs (Lin-CD117+, hereafter referred to
as HSPC) were separated from young (6-week-old) and aged (18-month-old) mice
using Magnetic Activated Cell Sorting (MACS). Cell cycle distribution of HSPCs
was determined using flow cytometry. The mixed colony forming unit (CFU-Mix)
assay was used to study the HSPCs' ability to proliferate. The mRNA expression
levels of cellular memory-implicated PCG family (enhancer of zeste homolog 2
(Ezh2), B lymphoma mo-MLV insertion region 1 (Bmi-1), embryonic ectoderm
development (Eed), melanoma nuclear protein 18 (Mel18), Mph1/polyhomeotic-like
protein 1 (Rae-28)) and Trithorax group (TrxG) family (mixed lineage leukemia
(Mll), thioredoxin (Trx)) were determined by quantitative real-time PCR. We
obtained highly purified populations of mouse HSPCs (Lin-CD117+) (92.2 +/- 4.5%
CD117+). The percentage of HSPCs was significantly higher in older mice compared
with younger control mice and the percentage of SA-beta-galactosidase positive
cells was significantly higher in HSPCs isolated from older mice (P<0.05). The
percentage of HSPCs in G0/G1 was significantly higher in older mice compared with
younger control mice (52.0 compared with 47.1%), indicating increased cell cycle
arrest in senescent HSPCs. The amount of CFU-Mix was significantly decreased in
aged group (13.8 compared with 40.0), indicating a diminished ability to
proliferate in senescent HSPCs. Ezh1, Bmi-1, Eed, Rae-28 gene mRNA expression was
significantly lower in HSPCs from older mice compared to younger controls, while
Mel18 mRNA expression was significantly higher in HSPCs from older mice (P<0.05).
The expression of genes associated with cellular memory is altered in senescent
(Lin- CD117+) HSPCs, which may affect the potential plasticity of aged
hematopoietic stem cells (HSCs) and thereby contribute to senescence-associated
disease processes.
PMID- 29363554
TI - Insight into the molecular mechanism of miR-192 regulating Escherichia coli
resistance in piglets.
AB - MicroRNAs (miRNAs) have important roles in many cellular processes, including
cell proliferation, growth and development, and disease control. Previous study
demonstrated that the expression of two highly homologous miRNAs (miR-192 and miR
215) was up-regulated in weaned piglets with Escherichia coli F18 infection.
However, the potential molecular mechanism of miR-192 in regulating E. coli
infection remains unclear in pigs. In the present study, we analyzed the
relationship between level of miR-192 and degree of E. coli resistance using
transcription activator-like effector nuclease (TALEN), in vitro bacterial
adhesion assays, and target genes research. A TALEN expression vector that
specifically recognizes the pig miR-192 was constructed and then monoclonal
epithelial cells defective in miR-192 were established. We found that miR-192
knockout led to enhance the adhesion ability of the E. coli strains F18ab, F18ac
and K88ac, meanwhile increase the expression of target genes (DLG5 and ALCAM) by
qPCR and Western blotting analysis. The results suggested that miR-192 and its
key target genes (DLG5 and ALCAM) could have a key role in E. coli infection.
Based on our findings, we propose that further investigation of miR-192 function
is likely to lead to insights into the molecular mechanisms of E. coli infection.
PMID- 29363556
TI - A diagnostic conundrum.
PMID- 29363557
TI - Neurosarcoidosis associated with intracerebral haemorrhage: a challenge in
diagnosis and management.
AB - Sarcoidosis is an idiopathic multisystem granulomatous disorder of unknown cause.
Nervous system involvement (central and/or peripheral) is uncommon, developing in
5%-10%. The presenting symptoms are variable, reflecting the level of
involvement, and frequently fluctuate and progress. Diagnosing neurosarcoidosis
in people with previously confirmed systemic disease may be relatively
straightforward, but diagnosing primary neurosarcoidosis is challenging. Managing
neurosarcoidosis is primarily consensus based; corticosteroid is its mainstay,
alongside corticosteroid-sparing agents and emerging novel therapies. We describe
a 39-year-old woman who presented with cranial neuropathy. Serial imaging,
cerebrospinal fluid sampling and tissue biopsy gave a diagnosis of probable
neurosarcoidosis. Her clinical course was complicated by intracerebral
haemorrhage following intravenous corticosteroids for neurological relapse. This
is a very rare complication of neurosarcoidosis; we discuss its possible causes
and suggest ways to reduce its risk.
PMID- 29363555
TI - Conservation, evolution, and regulation of splicing during prefrontal cortex
development in humans, chimpanzees, and macaques.
AB - Changes in splicing are known to affect the function and regulation of genes. We
analyzed splicing events that take place during the postnatal development of the
prefrontal cortex in humans, chimpanzees, and rhesus macaques based on data
obtained from 168 individuals. Our study revealed that among the 38,822
quantified alternative exons, 15% are differentially spliced among species, and
more than 6% splice differently at different ages. Mutations in splicing acceptor
and/or donor sites might explain more than 14% of all splicing differences among
species and up to 64% of high-amplitude differences. A reconstructed trans
regulatory network containing 21 RNA-binding proteins explains a further 4% of
splicing variations within species. While most age-dependent splicing patterns
are conserved among the three species, developmental changes in intron retention
are substantially more pronounced in humans.
PMID- 29363558
TI - Psychosocial job stressors and suicidality: can stress at work lead to suicide?
PMID- 29363559
TI - Sidt2 regulates hepatocellular lipid metabolism through autophagy.
AB - SID1 transmembrane family member 2 (Sidt2) is an integral lysosomal membrane
protein. To investigate its explicit function, we generated a global Sidt2
knockout mouse model (Sidt2-/-). Compared with the littermate controls, Sidt2-/-
mice exhibited a remarkable accumulation of lipid droplets in liver. First, it
was observed that food consumption, hepatocyte fatty acid uptake and de novo
lipogenesis, hepatocyte lipolysis, and TG secretion in the form of very low
density lipoprotein were comparable between Sidt2-/- and WT mice. However, the
hepatic beta-oxidation of fatty acids decreased significantly as revealed by a
low level of serum beta-hydroxybutyrate in the Sidt2-/- mice along with normal
mRNA expression of genes involved in fatty acid oxidation. In addition, the
classical autophagy pathway marker proteins, p62 and LC3-II, increased in liver,
along with compromised autophagic flux in primary hepatocytes, indicating a block
of autophagosome maturation due to Sidt2 deficiency, which was also supported by
electron microscopy image analysis both in livers and in primary hepatocytes from
Sidt2-/- mice. It was concluded that Sidt2 plays an important role in mouse
hepatic lipid homeostasis by regulating autophagy at the terminal stage.
PMID- 29363561
TI - Completion Rate and Safety of Tuberculosis Infection Treatment With Shorter
Regimens.
AB - BACKGROUND: The traditional treatment of tuberculosis (TB) infection (9 months of
daily isoniazid [9H]) is safe but completion rates of <50% are reported. Shorter
regimens (3 months of once-weekly isoniazid and rifapentine [3HP] or 4 months of
daily rifampin [4R]) are associated with improved adherence in adults. METHODS:
This was a retrospective cohort study (2014-2017) of children (0-18 years old)
seen at a children's TB clinic in a low-incidence nation. We compared the
frequency of completion and adverse events (AEs) in children receiving 3HP, 4R,
and 9H; the latter 2 regimens could be administered by families (termed self
administered therapy [SAT]) or as directly observed preventive therapy (DOPT);
3HP was always administered under DOPT. RESULTS: TB infection treatment was
started in 667 children: 283 (42.4%) 3HP, 252 (37.8%) 9H, and 132 (19.8%) 4R.
Only 52% of children receiving 9H via SAT completed therapy. Children receiving
3HP were more likely to complete therapy than the 9H (SAT) group (odds ratio [OR]
27.4, 95% confidence interval [CI]: 11.8-63.7). Multivariate analyses found
receipt of medication under DOPT (OR: 5.72, 95% CI: 3.47-9.43), increasing age
(OR: 1.09, 95% CI: 1.02-1.17), and the absence of any AE (OR: 1.70, 95% CI: 0.26
0.60) to be associated with completing therapy. AEs were more common in the 9H
group (OR: 2.51, 95% CI: 1.48-4.32). Two (0.9%) children receiving 9H developed
hepatotoxicity; no child receiving 3HP or 4R developed hepatotoxicity.
CONCLUSIONS: Shorter regimens are associated with increased completion rates and
fewer AEs than 9H.
PMID- 29363560
TI - Large-scale image-based profiling of single-cell phenotypes in arrayed CRISPR
Cas9 gene perturbation screens.
AB - High-content imaging using automated microscopy and computer vision allows
multivariate profiling of single-cell phenotypes. Here, we present methods for
the application of the CISPR-Cas9 system in large-scale, image-based, gene
perturbation experiments. We show that CRISPR-Cas9-mediated gene perturbation can
be achieved in human tissue culture cells in a timeframe that is compatible with
image-based phenotyping. We developed a pipeline to construct a large-scale
arrayed library of 2,281 sequence-verified CRISPR-Cas9 targeting plasmids and
profiled this library for genes affecting cellular morphology and the subcellular
localization of components of the nuclear pore complex (NPC). We conceived a
machine-learning method that harnesses genetic heterogeneity to score gene
perturbations and identify phenotypically perturbed cells for in-depth
characterization of gene perturbation effects. This approach enables genome-scale
image-based multivariate gene perturbation profiling using CRISPR-Cas9.
PMID- 29363562
TI - The Physician Payments Sunshine Act: Implications and Predictions.
PMID- 29363563
TI - A Connection between Lysine and Serotonin Metabolism in Rice Endosperm.
AB - Cereal endosperms produce a vast array of metabolites, including the essential
amino acid lysine (Lys). Enhanced accumulation of Lys has been achieved via
metabolic engineering in cereals, but the potential connection between metabolic
engineering and Lys fortification is unclear. In mature seeds of engineered High
Free Lysine (HFL) rice (Oryza sativa), the endosperm takes on a characteristic
dark-brown appearance. In this study, we use an integrated metabolomic and
transcriptomic approach combined with functional validation to elucidate the key
metabolites responsible for the dark-brown phenotype. Importantly, we found that
serotonin biosynthesis was elevated dramatically and closely linked with dark
brown endosperm color in HFL rice. A functional connection between serotonin and
endosperm color was confirmed via overexpression of TDC3, a key enzyme of
serotonin biosynthesis. Furthermore, we show that both the jasmonate signaling
pathway and TDC expression were strongly induced in the late stage of endosperm
development of HFL rice, coinciding with serotonin accumulation and dark-brown
pigmentation. We propose a model for the metabolic connection between Lys and
serotonin metabolism in which elevated 2-aminoadipate from Lys catabolism may
play a key role in the connection between the jasmonate signaling pathway,
serotonin accumulation, and the brown phenotype in rice endosperm. Our data
provide a deeper understanding of amino acid metabolism in rice. In addition, the
finding that both Lys and serotonin accumulate in HFL rice grains should promote
efforts to create a nutritionally favorable crop.
PMID- 29363565
TI - Influences: Growing up in Yale Physiology.
PMID- 29363564
TI - A Pectin Methylesterase Inhibitor Enhances Resistance to Verticillium Wilt.
AB - Pectins are major components of the primary plant cell wall, which functions as
the primary barrier against pathogens. Pectin methylesterases (PMEs) catalyze the
demethylesterification of the homogalacturonan domains of pectin in the plant
cell wall. Their activity is regulated by PME inhibitors (PMEIs). Here, we
provide evidence that the pectin methylesterase-inhibiting protein GhPMEI3 from
cotton (Gossypium hirsutum) functions in plant responses to infection by the
fungus Verticillium dahliae GhPMEI3 interacts with PMEs and regulates the
expression of a specific fungal polygalacturonase (VdPG1). Ectopic expression of
GhPMEI3 increased pectin methyl esterification and limited fungal disease in
cotton, while also modulating root elongation. Enzymatic analyses revealed that
GhPMEI3 efficiently inhibited the activity of cotton GhPME2/GhPME31. Experiments
using transgenic Arabidopsis (Arabidopsis thaliana) plants expressing the GhPMEI3
gene under the control of the CaMV 35S promoter revealed that GhPMEI3 inhibits
the endogenous PME activity in vitro. Moreover, the enhanced resistance to V.
dahliae was associated with altered VdPG1 expression. Virus-induced silencing of
GhPMEI3 resulted in increased susceptibility to V. dahliae Further, we
investigated the interaction between GhPMEI3 and GhPME2/GhPME31 using inhibition
assays and molecular docking simulations. The peculiar structural features of
GhPMEI3 were responsible for the formation of a 1:1 stoichiometric complex with
GhPME2/GhPME31. Together, these results suggest that GhPMEI3 enhances resistance
to Verticillium wilt. Moreover, GhPMEI3-GhPMEs interactions would be needed
before drawing the correlation between structure-function and are crucial for
plant development against the ever-evolving fungal pathogens.
PMID- 29363566
TI - The founding of Journal of General Physiology: Membrane permeation and ion
selectivity.
AB - This essay begins with a description of the founding years of Journal of General
Physiology (JGP) and a historical overview of the content of the journal. It then
turns to key conceptual articles published in JGP that advanced the field of
membrane permeation and ion selectivity. Much of this information comes from
reading the online archives of JGP and searches in PubMed.
PMID- 29363567
TI - Disruption of female reproductive function by endotoxins.
AB - Endotoxemia can be caused by obesity, environmental chemical exposure, abiotic
stressors and bacterial infection. Circumstances that deleteriously impact
intestinal barrier integrity can induce endotoxemia, and controlled experiments
have identified negative impacts of lipopolysaccharide (LPS; an endotoxin
mimetic) on folliculogenesis, puberty onset, estrus behavior, ovulation, meiotic
competence, luteal function and ovarian steroidogenesis. In addition, neonatal
LPS exposures have transgenerational female reproductive impacts, raising concern
about early life contacts to this endogenous reproductive toxicant. Aims of this
review are to identify physiological stressors causing endotoxemia, to highlight
potential mechanism(s) by which LPS compromises female reproduction and identify
knowledge gaps regarding how acute and/or metabolic endotoxemia influence(s)
female reproduction.
PMID- 29363568
TI - FKBP51 regulates decidualization through Ser473 dephosphorylation of AKT.
AB - Defective decidualization of human endometrial stromal cells (ESCs) has recently
been highlighted as an underlying cause of implantation failure. FK-506-binding
protein 51 (FKBP51) has been shown to participate in the steroid hormone response
and the protein kinase B (AKT) regulation process, both of which are important
pathways involved in decidualization. The objective of the present study was to
investigate the potential effects and mechanisms of FKBP51 in the regulation of
ESC decidualization. By performing immunohistochemical staining on an endometrial
tissue microarray (TMA) derived from normal females, we found that FKBP51
expression was much higher in the luteal phase than in the follicular phase in
ESCs. Primary ESCs were isolated from patients to build an in vitro
decidualization model through co-culture with medroxyprogesterone acetate (MPA)
and 8-bromoadenosine (cAMP). SC79, a specific AKT activator in various
physiological and pathological conditions, and shRNA-FKBP51 were used to examine
the roles of AKT and FKBP51 in decidualization. The Western blot and RT-PCR
results showed that FKBP51, insulin-like growth factor-binding protein 1 (IGFBP1)
and prolactin (PRL) expression increased in ESCs treated with MPA + cAMP;
meanwhile, the level of p-Ser473 AKT (p-S473 AKT) decreased and forkhead box
protein O1 (FOXO1A) expression increased. Decidualization was inhibited by the
AKT activator SC79 and the transfection of FKBP51-shRNA by affecting protein
synthesis, cell morphology, cell growth and cell cycle. Furthermore, this
inhibition was rescued by FKBP51-cDNA transfection. The results supported that
FKBP51 promotes decidualization by reducing the Ser473 phosphorylation levels in
AKT.
PMID- 29363569
TI - LIFR increases the release of soluble endoglin via the upregulation of MMP14
expression in preeclampsia.
AB - Preeclampsia (PE) is a pregnancy-specific disorder that is the main cause of
maternal and perinatal morbidity and mortality worldwide. Inadequate
trophoblastic invasion and endothelial dysfunction in the placenta are considered
the foundation of the pathogenesis of preeclampsia in which soluble endoglin
(sENG) plays an antiangiogenic role in the development of PE. The leukemia
inhibitory factor receptor (LIFR) has been widely studied and is highly involved
in arterial injury in vivo and in the migration of cancer cells in vitro Here, we
tested the hypothesis that LIFR may be correlated with preeclampsia through its
regulation of the release of sENG. Our data showed that LIFR protein, the
expression of which significantly decreased with the progression of pregnancy,
was located in the syncytiotrophoblast and cytotrophoblast. The LIFR protein
level was increased in pregnancies with preeclampsia compared with normotensive
full-term pregnancies. After the overexpression of LIFR in HTR8/SVneo cells, the
release of sENG as well as the migration and invasion were significantly
enhanced. Moreover, we also observed that LIFR induced the expression of matrix
metalloproteinase14 (MMP14) and that the knockdown or inhibition of MMP14
decreased the release of sENG, as well as increased the LIFR-induced migration
and invasion of HTR8/SVneo cells. These studies demonstrated that LIFR promoted
the release of sENG through MMP14 in vitro, which indicates that LIFR may be
involved in the development of preeclampsia.
PMID- 29363570
TI - Melatonin reduces oxidative damage in mouse granulosa cells via restraining JNK
dependent autophagy.
AB - Oxidative stress-induced granulosa cell (GCs) injury is believed to be a common
trigger for follicular atresia. Emerging evidence indicates that excessive
autophagy occurs in mammalian cells with oxidative damage. N-acetyl-5
methoxytrypamine (melatonin) has been shown to prevent GCs from oxidative injury,
although the exact mechanism remains to be elucidated. Here, we first
demonstrated that the suppression of autophagy through the JNK/BCL-2/BECN1
signaling is engaged in melatonin-mediated GCs protection against oxidative
damage. Melatonin inhibited the loss of GCs viability, formation of GFP-MAP1LC3B
puncta, accumulation of MAP1LC3B-II blots, degradation of SQSTM1 and the
expression of BECN1, which was correlated with impaired activation of JNK during
oxidative stress. On the other hand, blocking of autophagy and/or JNK also
reduced the level of H2O2-induced GCs death, but failed to further restore GCs
viability in the presence of melatonin. Particularly, the suppression of
autophagy provided no additional protective effects when GCs were pretreated with
JNK inhibitor and/or melatonin. Importantly, we found that the enhanced
interaction between BCL-2 and BECN1 might be a responsive mechanism for autophagy
suppression via the melatonin/JNK pathway. Moreover, blocking the downstream
antioxidant system of melatonin using specific inhibitors further confirmed a
direct role of melatonin/JNK/autophagy axis in preserving GCs survival without
scavenging reactive oxygen species (ROS). Taken together, our findings uncover a
novel function of melatonin in preventing GCs from oxidative damage by targeting
JNK-mediated autophagy, which might contribute to develop therapeutic strategies
for patients with ovulation failure-related disorders.
PMID- 29363571
TI - Sheep and farm level factors associated with footrot: a longitudinal repeated
cross-sectional study of sheep on six farms in the UK.
AB - Footrot is an ovine foot disease of infectious origin and a cause of serious
welfare and economic compromise in affected animals and flocks. The development
of footrot in sheep is associated with the infectious agent Dichelobacter
nodosus, which may invade as a primary pathogen, but the risk of disease is
increased following damage to the interdigital skin of the foot. In this study,
we used data from six farms in North Wales collected between June 2012 and
October 2013 to model the dynamic changes of footrot prevalence over time and
investigate the association of footrot with multiple farm, management,
environmental and sheep factors. Footrot prevalence varied widely within and
between farms and overall varied with season with an increase in prevalence shown
in late summer and again in the spring. In addition, sheep were more likely to
have footrot when the flock size was larger, when grazing poached pasture or when
grazing a longer sward, and yearling sheep were less likely to have footrot when
compared with lambs and adult sheep. These data may be helpful for advising
farmers of likely environmental events, risk groups and management practices that
may increase the probability of sheep developing footrot.
PMID- 29363572
TI - Cost distribution of bluetongue surveillance and vaccination programmes in
Austria and Switzerland (2007-2016).
AB - Bluetongue virus (BTV) is an emerging transboundary disease in Europe, which can
cause significant production losses among ruminants. The analysis presented here
assessed the costs of BTV surveillance and vaccination programmes in Austria and
Switzerland between 2007 and 2016. Costs were compared with respect to time, type
of programme, geographical area and who was responsible for payment. The total
costs of the BTV vaccination and surveillance programmes in Austria amounted to
?23.6 million, whereas total costs in Switzerland were ?18.3 million. Our
analysis demonstrates that the costs differed between years and geographical
areas, both within and between the two countries. Average surveillance costs per
animal amounted to approximately ?3.20 in Austria compared with ?1.30 in
Switzerland, whereas the average vaccination costs per animal were ?6.20 in
Austria and ?7.40 in Switzerland. The comparability of the surveillance costs is
somewhat limited, however, due to differences in each nation's surveillance (and
sampling) strategy. Given the importance of the export market for cattle
production, investments in such programmes are more justified for Austria than
for Switzerland. The aim of the retrospective assessment presented here is to
assist veterinary authorities in planning and implementing cost-effective and
efficient control strategies for emerging livestock diseases.
PMID- 29363573
TI - Vesicular nucleotide transporter mediates ATP release and migration in
neutrophils.
AB - Neutrophils migrate to sites infected by pathogenic microorganisms. This
migration is regulated by neutrophil-secreted ATP, which stimulates neutrophils
in an autocrine manner through purinergic receptors on the plasma membrane.
Although previous studies have shown that ATP is released through channels at the
plasma membrane of the neutrophil, it remains unknown whether it is also released
through alternate secretory systems involving vesicular mechanisms. In this
study, we investigated the possible involvement of vesicular nucleotide
transporter (VNUT), a key molecule for vesicular storage and nucleotide release,
in ATP secretion from neutrophils. RT-PCR and Western blotting analysis indicated
that VNUT is expressed in mouse neutrophils. Immunohistochemical analysis
indicated that VNUT mainly colocalized with matrix metalloproteinase-9 (MMP-9), a
marker of tertiary granules, which are secretory organelles. In mouse
neutrophils, ATP release was inhibited by clodronate, which is a potent VNUT
inhibitor. Furthermore, neutrophils from VNUT-/- mice did not release ATP and
exhibited significantly reduced migration in vitro and in vivo These findings
suggest that tertiary granule-localized VNUT is responsible for vesicular ATP
release and subsequent neutrophil migration. Thus, these findings suggest an
additional mechanism through which ATP is released by neutrophils.
PMID- 29363574
TI - Fibroblast growth factor 2 induces proliferation and fibrosis via SNAI1-mediated
activation of CDK2 and ZEB1 in corneal endothelium.
AB - Investigating stimulation of endogenous wound healing in corneal endothelial
cells (CECs) may help address the global shortage of donor corneas by decreasing
the number of transplants performed for blindness because of endothelial
dysfunction. We previously reported that IL-1beta stimulation leads to fibroblast
growth factor (FGF2) expression, enhancing migration and proliferation of
mammalian CECs. However, FGF2 also promotes the endothelial-mesenchymal
transition, which can lead to retrocorneal membrane formation and blindness. This
prompted us to investigate downstream FGF2 signaling targets that could be
manipulated to prevent retrocorneal membrane formation. FGF2 stimulation altered
cell morphology and induced expression of mesenchymal transition marker genes
such as snail family transcriptional repressor 1 (SNAI1), SNAI2, zinc finger E
box-binding homeobox 1 (ZEB1), and ZEB2 This, in turn, induced expression of
fibronectin, vimentin, and type I collagen, and suppressed E-cadherin in CECs in
vitro and ex vivo siRNA-mediated SNAI1 knockdown revealed that SNAI1 induces ZEB1
expression, in turn inducing expression of type I collagen, the major component
of retrocorneal membranes, and of cyclin-dependent kinase 2 (CDK2) and cyclin E1,
promoting cell proliferation. siRNA-mediated knockdown of SNAI1 or ZEB1, but not
of CDK2, inhibited FGF2-dependent expression of fibronectin, vimentin, and type I
collagen and of suppression of E-cadherin expression. We conclude that SNAI1 is a
key regulator of FGF2-dependent mesenchymal transition in human ex vivo corneal
endothelium, with ZEB1 regulating type I collagen expression and CDK2 regulating
cell proliferation. These results suggest that SNAI1 promotes fibrosis and cell
proliferation in human corneal endothelium through ZEB1 and CDK2.
PMID- 29363575
TI - A Darier disease mutation relieves kinetic constraints imposed by the tail of
sarco(endo)plasmic reticulum Ca2+-ATPase 2b.
AB - The sarco(endo)plasmic reticulum Ca2+-ATPase (SERCA) 2b isoform possesses an
extended C terminus (SERCA2b tail) forming an 11th transmembrane (TM) helix,
which slows conformational changes of the Ca2+-pump reaction cycle. Here, we
report that a Darier disease (DD) mutation of SERCA2b that changes a glutamate to
a lysine in the cytoplasmic loop between TM8 and TM9 (E917K) relieves these
kinetic constraints. We analyzed the effects of this mutation on the overall
reaction and the individual partial reactions of the Ca2+ pump compared with the
corresponding mutations of the SERCA2a and SERCA1a isoforms, lacking the SERCA2b
tail. In addition to a reduced affinity for Ca2+, caused by the mutation in all
three isoforms examined, we observed a unique enhancing effect on the turnover
rates of ATPase activity and Ca2+ transport for the SERCA2b E917K mutation. This
relief of kinetic constraints contrasted with inhibitory effects observed for the
corresponding SERCA2a and SERCA1a (E918K) mutations. These observations indicated
that the E917K/E918K mutations affect the rate-limiting conformational change in
isoform-specific ways and that the SERCA2b mutation perturbs the interactions of
TM11 with other SERCA2b regions. Mutational analysis of an arginine in TM7 that
interacts with the glutamate in SERCA1a crystal structures suggested that in
wildtype SERCA2b, the corresponding arginine (Arg-835) may be involved in
mediating the conformational restriction by TM11. Moreover, the E917K mutation
may disturb TM11 through the cytoplasmic loop between TM10 and TM11. In
conclusion, our findings have identified structural elements of importance for
the kinetic constraints imposed by TM11.
PMID- 29363576
TI - The long non-coding RNA Gm10768 activates hepatic gluconeogenesis by sequestering
microRNA-214 in mice.
AB - Overactivated hepatic gluconeogenesis contributes to the pathogenesis of
metabolic disorders, including type 2 diabetes. Precise control of hepatic
gluconeogenesis is thus critical for maintaining whole-body metabolic
homeostasis. Long non-coding RNAs (lncRNAs) have been shown to play key roles in
diseases by regulating diverse biological processes, but the function of lncRNAs
in maintaining normal physiology, particularly glucose homeostasis in the liver,
remains largely unexplored. We identified a novel liver-enriched long non-coding
RNA, Gm10768, and examined its expression patterns under pathophysiological
conditions. We further adopted gain- and loss-of-function strategies to explore
the effect of Gm10768 on hepatic glucose metabolism and the possible molecular
mechanism involved. Our results showed that the expression of Gm10768 was
significantly increased in the liver of fasted mice and was induced by
gluconeogenic hormonal stimuli. Functionally, overexpression of Gm10768 activated
hepatic gluconeogenesis in a cell-autonomous manner. In contrast, depletion of
Gm10768 suppressed hepatic glucose production both in vitro and in vivo
Adenovirus-mediated hepatic knockdown of Gm10768 improved glucose tolerance and
hyperglycemia of diabetic db/db mice. Mechanistically, Gm10768 sequestrated
microRNA-214 (miR-214) to relieve its suppression on activating transcription
factor 4 (ATF4), a positive regulator of hepatic gluconeogenesis. Taken together,
we identified Gm10768 as a new lncRNA activating hepatic gluconeogenesis through
antagonizing miR-214 in mice.
PMID- 29363578
TI - In Silico Screening Identified Novel Small-molecule Antagonists of PAC1 Receptor.
AB - Pituitary adenylate cyclase-activating polypeptide (PACAP) and its receptors are
present in the spinal dorsal horn and dorsal root ganglia, suggesting an
important role of PACAP signaling systems in the modulation of spinal nociceptive
transmission. Previously, we found that intrathecal injection of PACAP or
maxadilan, a selective PACAP type I (PAC1) receptor agonist, induced transient
aversive responses followed by a long-lasting mechanical allodynia in mice,
suggesting that PACAP-PAC1 receptor systems are involved in chronic pain and that
selective PAC1 antagonists may become a new class of analgesics. Although several
PAC1 antagonists, such as PACAP 6-38, have been reported, all of them are peptide
compounds. In the present study, we identified new small-molecule antagonists of
the PAC1 receptor using in silico screening and in vitro/vivo pharmacological
assays. The identified small-molecule compounds, named PA-8 and PA-9, dose
dependently inhibited the phosphorylation of CREB induced by PACAP in PAC1-, but
not VPAC1- or VPAC2-receptor-expressing CHO cells. PA-8 and PA-9 also dose
dependently inhibited PACAP-induced cAMP elevation with an IC50 of 2.0 and 5.6
nM, respectively. In vivo pharmacological assays showed that intrathecal
injection of these compounds blocked the induction of PACAP-induced aversive
responses and mechanical allodynia in mice. In contrast, the compounds when
administered alone exerted neither agonistic nor algesic actions in the in
vitro/vivo assays. The compounds identified in the present study are new and the
first small-molecule antagonists of the PAC1 receptor; they may become seed
compounds for developing novel analgesics.
PMID- 29363577
TI - The arrestin-1 finger loop interacts with two distinct conformations of active
rhodopsin.
AB - Signaling of the prototypical G protein-coupled receptor (GPCR) rhodopsin through
its cognate G protein transducin (Gt) is quenched when arrestin binds to the
activated receptor. Although the overall architecture of the rhodopsin/arrestin
complex is known, many questions regarding its specificity remain unresolved.
Here, using FTIR difference spectroscopy and a dual pH/peptide titration assay,
we show that rhodopsin maintains certain flexibility upon binding the "finger
loop" of visual arrestin (prepared as synthetic peptide ArrFL-1). We found that
two distinct complexes can be stabilized depending on the protonation state of
E3.49 in the conserved (D)ERY motif. Both complexes exhibit different interaction
modes and affinities of ArrFL-1 binding. The plasticity of the receptor within
the rhodopsin/ArrFL-1 complex stands in contrast to the complex with the C
terminus of the Gt alpha-subunit (GalphaCT), which stabilizes only one specific
substate out of the conformational ensemble. However, Gt alpha-subunit binding
and both ArrFL-1-binding modes involve a direct interaction to conserved R3.50,
as determined by site-directed mutagenesis. Our findings highlight the importance
of receptor conformational flexibility and cytoplasmic proton uptake for
modulation of rhodopsin signaling and thereby extend the picture provided by
crystal structures of the rhodopsin/arrestin and rhodopsin/ArrFL-1 complexes.
Furthermore, the two binding modes of ArrFL-1 identified here involve motifs of
conserved amino acids, which indicates that our results may have elucidated a
common modulation mechanism of class A GPCR-G protein/-arrestin signaling.
PMID- 29363579
TI - Repeated Morphine Produces Sensitization to Reward and Tolerance to Antiallodynia
in Male and Female Rats with Chemotherapy-Induced Neuropathy.
AB - Paclitaxel is a cancer chemotherapy drug with adverse effects that include
chemotherapy-induced neuropathic pain (CINP) as well as depression of behavior
and mood. In the clinical setting, opioids are often used concurrently with or
after chemotherapy to treat pain related to the cancer or CINP, but repeated
opioid exposure can also increase the risk of opioid abuse. In this study, male
and female Sprague-Dawley rats were used to test the hypothesis that repeated 3.2
mg/kg doses of morphine would induce tolerance to its antinociceptive effects in
a mechanical sensitivity assay and increased expression of its abuse-related
rewarding effects in an assay of intracranial self-stimulation (ICSS). Three
weeks after four injections of vehicle or 2.0 mg/kg of paclitaxel, the initial
morphine dose-effect curves were determined in both assays. Subsequently, rats
were treated with 3.2 mg/kg per day morphine for 6 days. On the final day of
testing, morphine dose-effect curves were redetermined in both assays. On initial
exposure, morphine produced dose-dependent antiallodynia in the assay of
mechanical sensitivity, but it produced little or no rewarding effects in the
assay of ICSS. After 6 days of repeated treatment, morphine antiallodynia
decreased, and morphine reward increased. Females exhibited greater morphine
reward on initial exposure than males, but repeated morphine eliminated this sex
difference. These results suggest that repeated morphine may produce tolerance to
therapeutically beneficial analgesic effects of morphine but increased
sensitivity to abuse-related rewarding effects of morphine in subjects treated
with paclitaxel.
PMID- 29363580
TI - Deficient Surveillance and Phagocytic Activity of Myeloid Cells Within
Demyelinated Lesions in Aging Mice Visualized by Ex Vivo Live Multiphoton
Imaging.
AB - Aging impairs regenerative processes including remyelination, the synthesis of a
new myelin sheath. Microglia and other infiltrating myeloid cells such as
macrophages are essential for remyelination through mechanisms that include the
clearance of inhibitory molecules within the lesion. Prior studies have shown
that the quantity of myeloid cells and the clearance of inhibitory myelin debris
are deficient in aging, contributing to the decline in remyelination efficiency
with senescence. It is unknown, however, whether the impaired clearance of debris
is simply the result of the reduced number of phagocytes or if the dynamic
activity of myeloid cells within the demyelinating plaque also declines with
aging and this question is relevant to the proper design of therapeutics to
mobilize myeloid cells for repair. Herein, we describe a high-resolution
multiphoton ex vivo live imaging protocol that visualizes individual
myelinated/demyelinated axons and lipid-containing myeloid cells to investigate
the demyelinated lesion of aging female mice. We found that aging lesions have
fewer myeloid cells and that these have reduced phagocytosis of myelin. Although
the myeloid cells are actively migratory within the lesion of young mice and have
protrusions that seem to survey the environment, this motility and surveillance
is significantly reduced in aging mice. Our results emphasize the necessity of
not only increasing the number of phagocytes, but also enhancing their activity
once they are within demyelinated lesions. The high-resolution live imaging of
demyelinated lesions can serve as a platform with which to discover
pharmacological agents that rejuvenate intralesional remodeling that promotes the
repair of plaques.SIGNIFICANCE STATEMENT The repair of myelin after injury
depends on myeloid cells that clear debris and release growth factors. As
organisms age, remyelination becomes less efficient correspondent with fewer
myeloid cells that populate the lesions. It is unknown whether the dynamic
activity of cells within lesions is also altered with age. Herein, using high
resolution multiphoton ex vivo live imaging with several novel features, we
report that myeloid cells within demyelinated lesions of aging mice have reduced
motility, surveillance, and phagocytic activity, suggesting an intralesional
impairment that may contribute to the age-related decline in remyelination
efficiency. Medications to stimulate deficient aging myeloid cells should not
only increase their representation, but also enter into lesions to stimulate
their activity.
PMID- 29363581
TI - Visual Experience Facilitates BDNF-Dependent Adaptive Recruitment of New Neurons
in the Postembryonic Optic Tectum.
AB - Postembryonic brain development is sensitive to environmental input and sensory
experience, but the mechanisms underlying healthy adaptive brain growth are
poorly understood. Here, we tested the importance of visual experience on larval
zebrafish (Danio rerio) postembryonic development of the optic tectum (OT), a
midbrain structure involved in visually guided behavior. We first characterized
postembryonic neurogenic growth in OT, in which new neurons are generated along
the caudal tectal surface and contribute appositionally to anatomical growth.
Restricting visual experience during development by rearing larvae in dim light
impaired OT anatomical and neurogenic growth, specifically by reducing the
survival of new neurons in the medial periventricular gray zone. Neuronal
survival in the OT was reduced only when visual experience was restricted for the
first 5 d following new neuron generation, suggesting that tectal neurons exhibit
an early sensitive period in which visual experience protects these cells from
subsequent neuronal loss. The effect of dim rearing on neuronal survival was
mimicked by treatment with an NMDA receptor antagonist early, but not later, in a
new neuron's life. Both dim rearing and antagonist treatment reduced BDNF
production in the OT, and supplementing larvae with exogenous BDNF during dim
rearing prevented neuronal loss, suggesting that visual experience protects new
tectal neurons through neural activity-dependent BDNF expression. Collectively,
we present evidence for a sensitive period of neurogenic adaptive growth in the
larval zebrafish OT that relies on visual experience-dependent
mechanisms.SIGNIFICANCE STATEMENT Early brain development is shaped by
environmental factors via sensory input; however, this form of experience
dependent neuroplasticity is traditionally studied as structural and functional
changes within preexisting neurons. Here, we found that restricting visual
experience affects development of the larval zebrafish optic tectum, a midbrain
structure involved in visually guided behavior, by limiting the survival of newly
generated neurons. We found that new tectal neurons exhibit a sensitive period
soon after cell birth in which adequate visual experience, likely mediated by
neuronal activity driving BDNF production within the tectum, would protect them
from subsequent neuronal loss over the following week. Collectively, we present
evidence for neurogenic adaptive tectal growth under different environmental
lighting conditions.
PMID- 29363582
TI - Commonalities and Differences in the Substrates Underlying Consolidation of First
and Second-Order Conditioned Fear.
AB - Consolidation of newly formed fear memories requires a series of molecular events
within the basolateral complex of the amygdala (BLA). Once consolidated, new
information can be assimilated into these established associative networks to
form higher-order associations. Much is known about the molecular events involved
in consolidating newly acquired fear memories but little is known about the
events that consolidate a secondary fear memory. Here, we show that, within the
male rat BLA, DNA methylation and gene transcription are crucial for
consolidating both the primary and secondary fear memories. We also show that
consolidation of the primary, but not the secondary, fear memory requires de novo
protein synthesis in the BLA. These findings show that consolidation of a fear
memory and its updating to incorporate new information recruit distinct processes
in the BLA, and suggest that DNA methylation in the BLA is fundamental to
consolidation of both types of conditioned fear.SIGNIFICANCE STATEMENT Our data
provide clear evidence that a different set of mechanisms mediate consolidation
of learning about cues that signal learned sources of danger (i.e., second-order
conditioned fear) compared with those involved in consolidation of learning about
cues that signal innate sources of danger (i.e., first-order conditioned fear).
These findings carry important implications because second-order learning could
underlie aberrant fear-related behaviors (e.g., in anxiety disorders) as a
consequence of neutral secondary cues being integrated into associative fear
networks established through first-order pairings, and thereby becoming potent
conditioned reinforcers and predictors of fear. Therefore, our data suggest that
targeting such second-order conditioned triggers of fear may require
pharmacological intervention different to that typically used for first-order
conditioned cues.
PMID- 29363583
TI - Ephexin1 Is Required for Eph-Mediated Limb Trajectory of Spinal Motor Axons.
AB - The precise assembly of a functional nervous system relies on the guided
migration of axonal growth cones, which is made possible by signals transmitted
to the cytoskeleton by cell surface-expressed guidance receptors. We investigated
the function of ephexin1, a Rho guanine nucleotide exchange factor, as an
essential growth-cone guidance intermediary in the context of spinal lateral
motor column (LMC) motor axon trajectory selection in the limb mesenchyme. Using
in situ mRNA detection, we first show that ephexin1 is expressed in LMC neurons
of chick and mouse embryos at the time of spinal motor axon extension into the
limb. Ephexin1 loss of function and gain of function using in ovo electroporation
in chick LMC neurons, of either sex, perturbed LMC axon trajectory selection,
demonstrating an essential role of ephexin1 in motor axon guidance. In addition,
ephexin1 loss in mice of either sex led to LMC axon trajectory selection errors.
We also show that ephexin1 knockdown attenuates the growth preference of LMC
neurites against ephrins in vitro and Eph receptor-mediated retargeting of LMC
axons in vivo, suggesting that ephexin1 is required in Eph-mediated LMC motor
axon guidance. Finally, both ephexin1 knockdown and ectopic expression of
nonphosphorylatable ephexin1 mutant attenuated the retargeting of LMC axons
caused by Src overexpression, implicating ephexin1 as an Src target in Eph signal
relay in this context. In summary, our findings demonstrate that ephexin1 is
essential for motor axon guidance and suggest an important role in relaying
ephrin:Eph signals that mediate motor axon trajectory selection.SIGNIFICANCE
STATEMENT The proper development of functioning neural circuits requires precise
nerve connections among neurons or between neurons and their muscle targets. The
Eph tyrosine kinase receptors expressed in neurons are important in many contexts
during neural-circuit formation, such as axon outgrowth, axon guidance, and
synaptic formation, and have been suggested to be involved in neurodegenerative
disorders, including amyotrophic lateral sclerosis and Alzheimer's disease. To
dissect the mechanism of Eph signal relay, we studied ephexin1 gain of function
and loss of function and found ephexin1 essential for the development of limb
nerves toward their muscle targets, concluding that it functions as an
intermediary to relay Eph signaling in this context. Our work could thus shed new
light on the molecular mechanisms controlling neuromuscular connectivity during
embryonic development.
PMID- 29363585
TI - Biased signaling by thyroid-stimulating hormone receptor-specific antibodies
determines thyrocyte survival in autoimmunity.
AB - The thyroid-stimulating hormone receptor (TSHR) is a heterotrimeric guanine
nucleotide-binding protein (G protein)-coupled receptor (GPCR). Autoimmune
hyperthyroidism, commonly known as Graves' disease (GD), is caused by stimulating
autoantibodies to the TSHR. We previously described TSHR-specific antibodies
(TSHR-Abs) in GD that recognize linear epitopes in the cleavage region of the
TSHR ectodomain (C-TSHR-Abs) and induce thyroid cell apoptosis instead of
stimulating the TSHR. We found that C-TSHR-Abs entered the cell through clathrin
mediated endocytosis but did not trigger endosomal maturation and failed to
undergo normal vesicular sorting and trafficking. We found that stimulating TSHR
Abs (S-TSHR-Abs) activated Galphas and, to a lesser extent, Galphaq but that C
TSHR-Abs failed to activate any of the G proteins normally activated in response
to TSH. Furthermore, specific inhibition of G proteins in the presence of S-TSHR
mAbs or TSH resulted in a similar failure of endosomal maturation as that caused
by C-TSHR-mAbs. Hence, whereas S-TSHR-mAbs and TSH contributed to normal
vesicular trafficking of TSHR through the activation of major G proteins, the C
TSHR-Abs resulted in GRK2- and beta-arrestin-1-dependent biased signaling, which
is interpreted as a danger signal by the cell. Our observations suggest that the
binding of antibodies to different TSHR epitopes may decrease cell survival.
Antibody-induced cell injury and the response to cell death amplify the loss of
self-tolerance, which most likely helps to perpetuate GPCR-mediated autoimmunity.
PMID- 29363586
TI - Guanabenz inhibits TLR9 signaling through a pathway that is independent of
eIF2alpha dephosphorylation by the GADD34/PP1c complex.
AB - Endoplasmic reticulum (ER) stress triggers or amplifies inflammatory signals and
cytokine production in immune cells. Upon the resolution of ER stress, the
inducible phosphatase 1 cofactor GADD34 promotes the dephosphorylation of the
initiation factor eIF2alpha, thereby enabling protein translation to resume.
Several aminoguanidine compounds, such as guanabenz, perturb the eIF2alpha
phosphorylation-dephosphorylation cycle and protect different cell or tissue
types from protein misfolding and degeneration. We investigated how
pharmacological interference with the eIF2alpha pathway could be beneficial to
treat autoinflammatory diseases dependent on proinflammatory cytokines and type I
interferons (IFNs), the production of which is regulated by GADD34 in dendritic
cells (DCs). In mouse and human DCs and B cells, guanabenz prevented the
activation of Toll-like receptor 9 (TLR9) by CpG oligodeoxynucleotides or DNA
immunoglobulin complexes in endosomes. In vivo, guanabenz protected mice from CpG
oligonucleotide-dependent cytokine shock and decreased autoimmune symptom
severity in a chemically induced model of systemic lupus erythematosus. However,
we found that guanabenz exerted its inhibitory effect independently of GADD34
activity on eIF2alpha and instead decreased the abundance of CH25H, a cholesterol
hydroxylase linked to antiviral immunity. Our results therefore suggest that
guanabenz and similar compounds could be used to treat type I IFN-dependent
pathologies and that CH25H could be a therapeutic target to control these
diseases.
PMID- 29363584
TI - Neurogenesis during Abstinence Is Necessary for Context-Driven Methamphetamine
Related Memory.
AB - Abstinence from methamphetamine addiction enhances proliferation and
differentiation of neural progenitors and increases adult neurogenesis in the
dentate gyrus (DG). We hypothesized that neurogenesis during abstinence
contributes to context-driven drug-seeking behaviors. To test this hypothesis,
the pharmacogenetic rat model (GFAP-TK rats) was used to conditionally and
specifically ablate neurogenesis in the DG. Male GFAP-TK rats were trained to
self-administer methamphetamine or sucrose and were administered the antiviral
drug valganciclovir (Valcyte) to produce apoptosis of actively dividing GFAP type
1 stem-like cells to inhibit neurogenesis during abstinence. Hippocampus tissue
was stained for Ki-67, NeuroD, and DCX to measure levels of neural progenitors
and immature neurons, and was stained for synaptoporin to determine alterations
in mossy fiber tracts. DG-enriched tissue punches were probed for CaMKII to
measure alterations in plasticity-related proteins. Whole-cell patch-clamp
recordings were performed in acute brain slices from methamphetamine naive
(controls) and methamphetamine experienced animals (+/-Valcyte). Spontaneous
EPSCs and intrinsic excitability were recorded from granule cell neurons (GCNs).
Reinstatement of methamphetamine seeking enhanced autophosphorylation of CaMKII,
reduced mossy fiber density, and induced hyperexcitability of GCNs. Inhibition of
neurogenesis during abstinence prevented context-driven methamphetamine seeking,
and these effects correlated with reduced autophosphorylation of CaMKII,
increased mossy fiber density, and reduced the excitability of GCNs. Context
driven sucrose seeking was unaffected. Together, the loss-of-neurogenesis data
demonstrate that neurogenesis during abstinence assists with methamphetamine
context-driven memory in rats, and that neurogenesis during abstinence is
essential for the expression of synaptic proteins and plasticity promoting
context-driven drug memory.SIGNIFICANCE STATEMENT Our work uncovers a mechanistic
relationship between neurogenesis in the dentate gyrus and drug seeking. We
report that the suppression of excessive neurogenesis during abstinence from
methamphetamine addiction by a confirmed phamacogenetic approach blocked context
driven methamphetamine reinstatement and prevented maladaptive changes in
expression and activation of synaptic proteins and basal synaptic function
associated with learning and memory in the dentate gyrus. Our study is the first
to demonstrate an interesting and dysfunctional role of adult hippocampal
neurogenesis during abstinence to drug-seeking behavior in animals self
administering escalating amounts of methamphetamine. Together, these results
support a direct role for the importance of adult neurogenesis during abstinence
in compulsive-like drug reinstatement.
PMID- 29363587
TI - Intracellular cavity of sensor domain controls allosteric gating of TRPA1
channel.
AB - Transient receptor potential ankyrin 1 (TRPA1) is a temperature-sensitive ion
channel activated by various pungent and irritant compounds that can produce pain
in humans. Its activation involves an allosteric mechanism whereby electrophilic
agonists evoke interactions within cytosolic domains and open the channel pore
through an integrated nexus formed by intracellular membrane proximal regions
that are densely packed beneath the lower segment of the S1-S4 sensor domain.
Studies indicate that this part of the channel may contain residues that form a
water-accessible cavity that undergoes changes in solvation during channel
gating. We identified conserved polar residues facing the putative lower crevice
of the sensor domain that were crucial determinants of the electrophilic,
voltage, and calcium sensitivity of the TRPA1 channel. This part of the sensor
may also comprise a domain capable of binding to membrane phosphoinositides
through which gating of the channel is regulated in a state-dependent manner.
PMID- 29363588
TI - Association between parent mental health and paediatric TBI: epidemiological
observations from the 1987 Finnish Birth Cohort.
AB - BACKGROUND: This study examined whether parental mental illness has implications
for child risk for traumatic brain injuries (TBI). METHOD: Data on 60 069 Finnish
children born in 1987 and their parents were examined for demographic and mental
health-related variables in relationship with paediatric TBI. Altogether, 15
variables were derived from the cohort data with ICD-10 F-codes being available
for mental health diagnoses for all parents. Bivariate and multivariate analyses
were carried out using inpatient and outpatient diagnoses of child TBI. RESULTS:
Paternal disorders due to psychoactive substance use (F10-F19) was associated
with an increased inpatient TBI (OR=1.51; CI=1.07 to 2.14). Mood disorders (F30
F39) were associated with higher rates of outpatient TBI (OR=1.42; CI=1.06 to
1.90). Paternal personality and behavioural disorders (F60-F69) were linked with
a twofold increase in risk across both categories of child TBI (OR=2.35; CI=1.41
to 3.90) and (OR=2.29; CI=1.45 to 3.61), respectively. Among the maternal mental
health factors associated with child TBI, schizophrenia and other non-mood
psychotic disorders (F20-F29) were associated with an increase in inpatient
traumatic brain injuries (iTBI) (OR=1.78; 1.22 to 2.59). Mothers having mood
disorders (F30-F39) were more likely to have had a child who experienced an iTBI
(OR=1.64; CI=1.20 to 2.22). Mothers with personality and behavioural disorders
(F60-F69) were also found to have had children with an increased risk for iTBI
(OR=2.30; CI=1.14 to 3.65). CONCLUSION: Taken together, these data should call
attention to methods and strategies designed to augment and support caregiving
environments with modalities that can foster mutually supportive households in
cooperation with parents who have been diagnosed with a mental disorder.
PMID- 29363589
TI - Tackling in physical education rugby: an unnecessary risk?
PMID- 29363590
TI - Exercise programmes to prevent falls among older adults: modelling health gain,
cost-utility and equity impacts.
AB - BACKGROUND: Some falls prevention interventions for the older population appear
cost-effective, but there is uncertainty about others. Therefore, we aimed to
model three types of exercise programme each running for 25 years among 65+ year
olds: (i) a peer-led group-based one; (ii) a home-based one and (iii) a
commercial one. METHODS: An established Markov model for studying falls
prevention in New Zealand (NZ) was adapted to estimate incremental cost
effectiveness ratios (ICERs) in cost per quality-adjusted life-years (QALYs)
gained. Detailed NZ experimental, epidemiological and cost data were used for the
base year 2011. A health system perspective was taken and a discount rate of 3%
applied. Intervention effectiveness estimates came from a Cochrane Review.
RESULTS: The intervention generating the greatest health gain and costing the
least was the home-based exercise programme intervention. Lifetime health gains
were estimated at 47 100 QALYs (95%uncertainty interval (UI) 22 300 to 74 400).
Cost-effectiveness was high (ICER: US$4640 per QALY gained; (95% UI US$996 to 10
500)), and probably more so than a home safety assessment and modification
intervention using the same basic model (ICER: US$6060). The peer-led group-based
exercise programme was estimated to generate 42 000 QALYs with an ICER of
US$9490. The commercially provided group programme was more expensive and less
cost-effective (ICER: US$34 500). Further analyses by sex, age group and
ethnicity (Indigenous Maori and non-Maori) for the peer-led group-intervention
showed similar health gains and cost-effectiveness. CONCLUSIONS: Implementing any
of these three types of exercise programme for falls prevention in older people
could produce considerable health gain, but with the home-based version being
likely to be the most cost-effective.
PMID- 29363592
TI - Pebbled makes ripples: A transcription factor primes glutamatergic but not
cholinergic neurons for degeneration.
PMID- 29363593
TI - STAC proteins associate to the IQ domain of CaV1.2 and inhibit calcium-dependent
inactivation.
AB - The adaptor proteins STAC1, STAC2, and STAC3 represent a newly identified family
of regulators of voltage-gated calcium channel (CaV) trafficking and function.
The skeletal muscle isoform STAC3 is essential for excitation-contraction
coupling and its mutation causes severe muscle disease. Recently, two distinct
molecular domains in STAC3 were identified, necessary for its functional
interaction with CaV1.1: the C1 domain, which recruits STAC proteins to the
calcium channel complex in skeletal muscle triads, and the SH3-1 domain, involved
in excitation-contraction coupling. These interaction sites are conserved in the
three STAC proteins. However, the molecular domain in CaV1 channels interacting
with the STAC C1 domain and the possible role of this interaction in neuronal
CaV1 channels remained unknown. Using CaV1.2/2.1 chimeras expressed in dysgenic
(CaV1.1-/-) myotubes, we identified the amino acids 1,641-1,668 in the C terminus
of CaV1.2 as necessary for association of STAC proteins. This sequence contains
the IQ domain and alanine mutagenesis revealed that the amino acids important for
STAC association overlap with those making contacts with the C-lobe of calcium
calmodulin (Ca/CaM) and mediating calcium-dependent inactivation of CaV1.2.
Indeed, patch-clamp analysis demonstrated that coexpression of either one of the
three STAC proteins with CaV1.2 opposed calcium-dependent inactivation, although
to different degrees, and that substitution of the CaV1.2 IQ domain with that of
CaV2.1, which does not interact with STAC, abolished this effect. These results
suggest that STAC proteins associate with the CaV1.2 C terminus at the IQ domain
and thus inhibit calcium-dependent feedback regulation of CaV1.2 currents.
PMID- 29363594
TI - A virus-targeted plant receptor-like kinase promotes cell-to-cell spread of RNAi.
AB - RNA interference (RNAi) in plants can move from cell to cell, allowing for
systemic spread of an antiviral immune response. How this cell-to-cell spread of
silencing is regulated is currently unknown. Here, we describe that the C4
protein from Tomato yellow leaf curl virus can inhibit the intercellular spread
of RNAi. Using this viral protein as a probe, we have identified the receptor
like kinase (RLK) BARELY ANY MERISTEM 1 (BAM1) as a positive regulator of the
cell-to-cell movement of RNAi, and determined that BAM1 and its closest homolog,
BAM2, play a redundant role in this process. C4 interacts with the intracellular
domain of BAM1 and BAM2 at the plasma membrane and plasmodesmata, the cytoplasmic
connections between plant cells, interfering with the function of these RLKs in
the cell-to-cell spread of RNAi. Our results identify BAM1 as an element required
for the cell-to-cell spread of RNAi and highlight that signaling components have
been coopted to play multiple functions in plants.
PMID- 29363591
TI - Topoisomerases as anticancer targets.
AB - Many cancer type-specific anticancer agents have been developed and significant
advances have been made toward precision medicine in cancer treatment. However,
traditional or nonspecific anticancer drugs are still important for the treatment
of many cancer patients whose cancers either do not respond to or have developed
resistance to cancer-specific anticancer agents. DNA topoisomerases, especially
type IIA topoisomerases, are proved therapeutic targets of anticancer and
antibacterial drugs. Clinically successful topoisomerase-targeting anticancer
drugs act through topoisomerase poisoning, which leads to replication fork arrest
and double-strand break formation. Unfortunately, this unique mode of action is
associated with the development of secondary cancers and cardiotoxicity.
Structures of topoisomerase-drug-DNA ternary complexes have revealed the exact
binding sites and mechanisms of topoisomerase poisons. Recent advances in the
field have suggested a possibility of designing isoform-specific human
topoisomerase II poisons, which may be developed as safer anticancer drugs. It
may also be possible to design catalytic inhibitors of topoisomerases by
targeting certain inactive conformations of these enzymes. Furthermore,
identification of various new bacterial topoisomerase inhibitors and regulatory
proteins may inspire the discovery of novel human topoisomerase inhibitors. Thus,
topoisomerases remain as important therapeutic targets of anticancer agents.
PMID- 29363595
TI - Basal forebrain contributes to default mode network regulation.
AB - The default mode network (DMN) is a collection of cortical brain regions that is
active during states of rest or quiet wakefulness in humans and other mammalian
species. A pertinent characteristic of the DMN is a suppression of local field
potential gamma activity during cognitive task performance as well as during
engagement with external sensory stimuli. Conversely, gamma activity is elevated
in the DMN during rest. Here, we document that the rat basal forebrain (BF)
exhibits the same pattern of responses, namely pronounced gamma oscillations
during quiet wakefulness in the home cage and suppression of this activity during
active exploration of an unfamiliar environment. We show that gamma oscillations
are localized to the BF and that gamma-band activity in the BF has a directional
influence on a hub of the rat DMN, the anterior cingulate cortex, during DMN
dominated brain states. The BF is well known as an ascending, activating,
neuromodulatory system involved in wake-sleep regulation, memory formation, and
regulation of sensory information processing. Our findings suggest a hitherto
undocumented role of the BF as a subcortical node of the DMN, which we speculate
may be important for switching between internally and externally directed brain
states. We discuss potential BF projection circuits that could underlie its role
in DMN regulation and highlight that certain BF nuclei may provide potential
target regions for up- or down-regulation of DMN activity that might prove useful
for treatment of DMN dysfunction in conditions such as epilepsy or major
depressive disorder.
PMID- 29363596
TI - Nitrate modulates stem cell dynamics in Arabidopsis shoot meristems through
cytokinins.
AB - The shoot apical meristem (SAM) is responsible for the generation of all the
aerial parts of plants. Given its critical role, dynamical changes in SAM
activity should play a central role in the adaptation of plant architecture to
the environment. Using quantitative microscopy, grafting experiments, and genetic
perturbations, we connect the plant environment to the SAM by describing the
molecular mechanism by which cytokinins signal the level of nutrient availability
to the SAM. We show that a systemic signal of cytokinin precursors mediates the
adaptation of SAM size and organogenesis rate to the availability of mineral
nutrients by modulating the expression of WUSCHEL, a key regulator of stem cell
homeostasis. In time-lapse experiments, we further show that this mechanism
allows meristems to adapt to rapid changes in nitrate concentration, and thereby
modulate their rate of organ production to the availability of mineral nutrients
within a few days. Our work sheds light on the role of the stem cell regulatory
network by showing that it not only maintains meristem homeostasis but also
allows plants to adapt to rapid changes in the environment.
PMID- 29363597
TI - Ephemeral connections for reaching and grasping.
PMID- 29363598
TI - Focal versus distributed temporal cortex activity for speech sound category
assignment.
AB - Percepts and words can be decoded from distributed neural activity measures.
However, the existence of widespread representations might conflict with the more
classical notions of hierarchical processing and efficient coding, which are
especially relevant in speech processing. Using fMRI and magnetoencephalography
during syllable identification, we show that sensory and decisional activity
colocalize to a restricted part of the posterior superior temporal gyrus (pSTG).
Next, using intracortical recordings, we demonstrate that early and focal neural
activity in this region distinguishes correct from incorrect decisions and can be
machine-decoded to classify syllables. Crucially, significant machine decoding
was possible from neuronal activity sampled across different regions of the
temporal and frontal lobes, despite weak or absent sensory or decision-related
responses. These findings show that speech-sound categorization relies on an
efficient readout of focal pSTG neural activity, while more distributed activity
patterns, although classifiable by machine learning, instead reflect collateral
processes of sensory perception and decision.
PMID- 29363599
TI - Human bone perivascular niche-on-a-chip for studying metastatic colonization.
AB - Eight out of 10 breast cancer patients die within 5 years after the primary tumor
has spread to the bones. Tumor cells disseminated from the breast roam the
vasculature, colonizing perivascular niches around blood capillaries. Slow flows
support the niche maintenance by driving the oxygen, nutrients, and signaling
factors from the blood into the interstitial tissue, while extracellular matrix,
endothelial cells, and mesenchymal stem cells regulate metastatic homing. Here,
we show the feasibility of developing a perfused bone perivascular niche-on-a
chip to investigate the progression and drug resistance of breast cancer cells
colonizing the bone. The model is a functional human triculture with stable
vascular networks within a 3D native bone matrix cultured on a microfluidic chip.
Providing the niche-on-a-chip with controlled flow velocities, shear stresses,
and oxygen gradients, we established a long-lasting, self-assembled vascular
network without supplementation of angiogenic factors. We further show that human
bone marrow-derived mesenchymal stem cells, which have undergone phenotypical
transition toward perivascular cell lineages, support the formation of capillary
like structures lining the vascular lumen. Finally, breast cancer cells exposed
to interstitial flow within the bone perivascular niche-on-a-chip persist in a
slow-proliferative state associated with increased drug resistance. We propose
that the bone perivascular niche-on-a-chip with interstitial flow promotes the
formation of stable vasculature and mediates cancer cell colonization.
PMID- 29363600
TI - Abrupt change of the superconducting gap structure at the nematic critical point
in FeSe1-xSx.
AB - The emergence of the nematic electronic state that breaks rotational symmetry is
one of the most fascinating properties of the iron-based superconductors, and has
relevance to cuprates as well. FeSe has a unique ground state in which
superconductivity coexists with a nematic order without long-range magnetic
ordering, providing a significant opportunity to investigate the role of
nematicity in the superconducting pairing interaction. Here, to reveal how the
superconducting gap evolves with nematicity, we measure the thermal conductivity
and specific heat of FeSe1 - x S x , in which the nematicity is suppressed by
isoelectronic sulfur substitution and a nematic critical point (NCP) appears at
[Formula: see text] We find that, in the whole nematic regime ([Formula: see
text]), the field dependence of two quantities consistently shows two-gap
behavior; one gap is small but highly anisotropic with deep minima or line nodes,
and the other is larger and more isotropic. In stark contrast, in the tetragonal
regime ([Formula: see text]), the larger gap becomes strongly anisotropic,
demonstrating an abrupt change in the superconducting gap structure at the NCP.
Near the NCP, charge fluctuations of [Formula: see text] and [Formula: see text]
orbitals are enhanced equally in the tetragonal side, whereas they develop
differently in the orthorhombic side. Our observation therefore directly implies
that the orbital-dependent nature of the nematic fluctuations has a strong impact
on the superconducting gap structure and hence on the pairing interaction.
PMID- 29363601
TI - Hunter-gatherer populations inform modern ecology.
PMID- 29363602
TI - Evolution of vertical and oblique transmission under fluctuating selection.
AB - The evolution and maintenance of social learning, in competition with individual
learning, under fluctuating selection have been well-studied in the theory of
cultural evolution. Here, we study competition between vertical and oblique
cultural transmission of a dichotomous phenotype under constant, periodically
cycling, and randomly fluctuating selection. Conditions are derived for the
existence of a stable polymorphism in a periodically cycling selection regime.
Under such a selection regime, the fate of a genetic modifier of the rate of
vertical transmission depends on the length of the cycle and the strength of
selection. In general, the evolutionarily stable rate of vertical transmission
differs markedly from the rate that maximizes the geometric mean fitness of the
population. The evolution of rules of transmission has dramatically different
dynamics from the more frequently studied modifiers of recombination, mutation,
or migration.
PMID- 29363604
TI - Reply to Safra et al.: Lack of theoretical rationale and selective analysis does
not imply no strong evidence.
PMID- 29363605
TI - No strong evidence that authoritarian attitudes are driven by a lack of control.
PMID- 29363603
TI - The eardrums move when the eyes move: A multisensory effect on the mechanics of
hearing.
AB - Interactions between sensory pathways such as the visual and auditory systems are
known to occur in the brain, but where they first occur is uncertain. Here, we
show a multimodal interaction evident at the eardrum. Ear canal microphone
measurements in humans (n = 19 ears in 16 subjects) and monkeys (n = 5 ears in
three subjects) performing a saccadic eye movement task to visual targets
indicated that the eardrum moves in conjunction with the eye movement. The
eardrum motion was oscillatory and began as early as 10 ms before saccade onset
in humans or with saccade onset in monkeys. These eardrum movements, which we dub
eye movement-related eardrum oscillations (EMREOs), occurred in the absence of a
sound stimulus. The amplitude and phase of the EMREOs depended on the direction
and horizontal amplitude of the saccade. They lasted throughout the saccade and
well into subsequent periods of steady fixation. We discuss the possibility that
the mechanisms underlying EMREOs create eye movement-related binaural cues that
may aid the brain in evaluating the relationship between visual and auditory
stimulus locations as the eyes move.
PMID- 29363606
TI - Reply to Lund: Where does the gravitostat fit in?
PMID- 29363607
TI - Weighing the evidence for a body mass-regulating gravitostat.
PMID- 29363608
TI - Implementation of a colour-coded universal protocol safety initiative in
Guatemala.
AB - BACKGROUND: Universal protocol implementation can be challenging in low-income or
middle-income countries, particularly when providers work together across
language barriers. The aim of this quality improvement initiative was to test the
implementation of a colour-coded universal protocol in a Guatemalan hospital
staffed by US and Guatemalan providers. METHODS: From 2013 to 2016, a US and
Guatemalan team implemented a universal protocol at a Guatemalan surgical centre
for children undergoing general surgical or urologic procedures. The protocol was
a two-step patient identification and documentation checklist, with the first
step of all chart element verification in the preoperative area, after which a
blue hat was placed on the patient as a visual cue that this was completed. The
second step included checklist confirmation in the operating room prior to the
procedure. We tested protocol implementation over three phases, identifying
implementation barriers and modifying clinical workflow after each phase. We
measured the error rate in documentation or other universal protocol steps at
each phase and made modifications based on iterative analysis. RESULTS: Over the
course of programme implementation, we substantially decreased the rate of errors
in documentation or other universal protocol elements. After the first phase,
30/51 patients (58.8%) had at least one error. By the third phase, only 2/43
patients (4.6%) had any errors. All errors were corrected prior to surgery with
no adverse outcomes. CONCLUSIONS: Care teams of providers from different
countries pose potential challenges with patient safety. Implementation of a
colour-coded universal protocol in this setting can prevent and reduce errors
that could potentially lead to patient harm.
PMID- 29363609
TI - Flavour capsule cigarette use among US adult cigarette smokers.
AB - INTRODUCTION: Flavour capsule cigarettes are a recent product worldwide, and
little is known about the epidemiology of their use. We used data from a
nationally representative survey to understand the sociodemographic
characteristics of flavour capsule cigarette smokers and reasons for flavour
capsule use. METHODS: Data were from the nationally representative, U.S.
Population Assessment of Tobacco and Health survey (2013-2014). Analyses included
7181 adults, aged 18-44 years, who were current or former established (>=100
lifetime cigarettes) cigarette smokers. Participants reported their usual brand
of cigarettes which were classified as non-menthol, menthol or flavour capsule.
Analyses assessed differences in participant demographics, smoking patterns and
reasons for use by product type among those aged 18-24 years. Analyses were
weighted to account for the survey design. RESULTS: Flavour capsule cigarettes
were the usual cigarettes among 9.4% of smokers aged 18-24 years and 6.0%, 3.7%
and <1% of smokers aged 25-34, 35-44 and >=45 years, respectively. Among smokers
aged 18-24 year, a significantly higher percentage of Hispanic smokers (17.3%)
usually used flavour capsule cigarettes versus non-Hispanic white (8.4%, P<0.05)
and non-Hispanic black (3.2%, P<0.05) smokers. Flavour capsule use was associated
with later onset of smoking and lower levels of nicotine dependency and flavour
capsule users placed more emphasis on taste, lower price and pack design than
menthol or non-menthol users. CONCLUSIONS: Flavour capsule cigarettes use is
highest in young adults, particularly Hispanics. Young adult predominance, lower
level of addiction symptoms and emphasis on pack design by flavour capsule users
suggest that these products may be positioned as starter products.
PMID- 29363610
TI - Public misperception that very low nicotine cigarettes are less carcinogenic.
AB - OBJECTIVE: The USA is considering a very low nicotine content (VLNC) cigarette
standard. We sought to characterise the prevalence and correlates of the
incorrect belief that VLNC cigarettes are less carcinogenic than current
cigarettes, as this could reduce motivation to quit. METHODS: Participants were a
nationally representative sample of 650 adult smokers in the USA. In 2015-2016,
before the VLNC proposal became public, these smokers took part in an online
survey. We used multivariate weighted analyses to calculate ORs and percentages
and a chi2 test to examine the association between variables. RESULTS: Overall,
47.1% of smokers believed that smoking VLNC cigarettes for 30 years would be less
likely to cause cancer than smoking current cigarettes. This misperception was
more common among smokers who were aged above 55 (56.6%) and black (57.4%).
Additionally, 23.9% of smokers reported they would be less likely to quit if the
USA adopted a VLNC standard. Thinking that VLNC cigarettes would be less
carcinogenic was associated with smokers reporting they would be less likely to
quit (P<0.01). CONCLUSIONS: Many smokers had the misperception that smoking VLNC
cigarettes is less likely to cause cancer, and some stated that they would be
less likely to quit. A VLNC standard may be more effective if accompanied by a
communication campaign that emphasises the continued dangers of smoking VLNC
cigarettes due to the many toxic chemicals in smoke.
PMID- 29363611
TI - Price elasticity of demand of non-cigarette tobacco products: a systematic review
and meta-analysis.
AB - OBJECTIVE: To systematically review the price elasticity of demand of non
cigarette tobacco products. DATA SOURCES: Medline, Embase, EconLit and the Web of
Science without language or time restrictions. STUDY SELECTION: Two reviewers
screened title and abstracts, then full texts, independently and in duplicate. We
based eligibility criteria on study design (interventional or observational),
population (individuals or communities without geographic restrictions),
intervention (price change) and outcome (change in demand). DATA EXTRACTION: We
abstracted data on study features, outcome measures, statistical approach, and
single best own- and cross-price elasticity estimates with respect to cigarettes.
We conducted a random effects meta-analysis for estimates of similar product,
outcome and country income level. For other studies we reported median
elasticities by product and country income level. DATA SYNTHESIS: We analysed 36
studies from 15 countries yielding 125 elasticity estimates. A 10% price increase
would reduce demand by: 8.3% for cigars (95% CI 2.9 to 13.8), 6.4% for roll your
owns (95% CI 4.3 to 8.4), 5.7% for bidis (95% CI 4.3 to 7.1) and 2.1% for
smokeless tobacco (95% CI -0.6 to 4.8). Median price elasticities for all ten
products were also negative. Results from few studies that examined cross-price
elasticity suggested a positive substitution effect between cigarette and non
cigarette tobacco products. CONCLUSIONS: There is sufficient evidence in support
of the effectiveness of price increases to reduce consumption of non-cigarette
tobacco products as it is for cigarettes. Positive substitutability between
cigarette and non-cigarette tobacco products suggest that tax and price increases
need to be simultaneous and comparable across all tobacco products.
PMID- 29363614
TI - Science and Culture: Painting with invasive pigments.
PMID- 29363612
TI - Spatial Tissue Proteomics Quantifies Inter- and Intratumor Heterogeneity in
Hepatocellular Carcinoma (HCC).
AB - The interpatient variability of tumor proteomes has been investigated on a large
scale but many tumors display also intratumoral heterogeneity regarding
morphological and genetic features. It remains largely unknown to what extent the
local proteome of tumors intrinsically differs. Here, we used hepatocellular
carcinoma as a model system to quantify both inter- and intratumor heterogeneity
across human patient specimens with spatial resolution. We defined proteomic
features that distinguish neoplastic from the directly adjacent nonneoplastic
tissue, such as decreased abundance of NADH dehydrogenase complex I. We then
demonstrated the existence of intratumoral variations in protein abundance that
re-occur across different patient samples, and affect clinically relevant
proteins, even in the absence of obvious morphological differences or genetic
alterations. Our work demonstrates the suitability and the benefits of using mass
spectrometry-based proteomics to analyze diagnostic tumor specimens with spatial
resolution. Data are available via ProteomeXchange with identifier PXD007052.
PMID- 29363616
TI - Novel magnetic-fluorescent bifunctional Janus nanofiber membrane.
AB - Magnetic-fluorescent bifunctional materials have received global attention owing
to their potential in many fields. Herein, we reported a novel magnetic
fluorescent bifunctional Janus nanofiber membrane (NFM) by adding the as-prepared
magnetic CoFe2O4 nanoparticles into the polyacrylonitrile (PAN) side (m-PAN) and
the fluorescent molecules of 1,8-naphthalene anhydride (1,8-NAD) into the
polyvinylpyrrolidone (PVP) side (f-PVP) via electrospinning method. The obtained
m-PAN/f-PVP Janus NFM exhibited excellent magnetic performance and high
fluorescent properties due to the unique structure. Compared with the m-PAN/f-PVP
composite NFM, the Janus NFM showed higher fluorescent performance because the
fluorescent molecules were isolated from the magnetic nanoparticles. In addition,
the Janus NFM not only maintain the good self-supporting state in water but also
realize a directional movement attracted by a magnet. The unique structure of
Janus nanofiber is of great importance and demonstrates great potential
applications.
PMID- 29363613
TI - Effect of increasing the price of sugar-sweetened beverages on alcoholic beverage
purchases: an economic analysis of sales data.
AB - BACKGROUND: Taxing soft-drinks may reduce their purchase, but assessing the
impact on health demands wider consideration on alternative beverage choices.
Effects on alcoholic drinks are of particular concern, as many contain similar or
greater amounts of sugar than soft-drinks and have additional health harms.
Changes in consumption of alcoholic drinks may reinforce or negate the intended
effect of price changes for soft-drinks. METHODS: A partial demand model, adapted
from the Almost Ideal Demand System, was applied to Kantar Worldpanel data from
31 919 households from January 2012 to December 2013, covering drink purchases
for home consumption, providing ~6 million purchases aggregated into 11 groups,
including three levels of soft-drink, three of other non-alcoholic drinks and
five of alcoholic drinks. RESULTS: An increase in the price of high-sugar drinks
leads to an increase in the purchase of lager, an increase in the price of medium
sugar drinks reduces purchases of alcoholic drinks, while an increase in the
price of diet/low-sugar drinks increases purchases of beer, cider and wines.
Overall, the effects of price rises are greatest in the low-income group.
CONCLUSION: Increasing the price of soft-drinks may change purchase patterns for
alcohol. Increasing the price of medium-sugar drinks has the potential to have a
multiplier-effect beneficial to health through reducing alcohol purchases, with
the converse for increases in the price of diet-drinks. Although the reasons for
such associations cannot be explained from this analysis, requiring further
study, the design of fiscal interventions should now consider these wider
potential outcomes.
PMID- 29363617
TI - A fast dual wavelength laser beam fluid-less optical CT scanner for radiotherapy
3D gel dosimetry I: design and development.
AB - Three dimensional dosimetry by optical CT readout of radiosensitive gels or
solids has previously been indicated as a solution for measurement of
radiotherapy 3D dose distributions. The clinical uptake of these dosimetry
methods has been limited, partly due to impracticalities of the optical readout
such as the expertise and labour required for refractive index fluid matching. In
this work a fast laser beam optical CT scanner is described, featuring fluid-less
and dual wavelength operation. A second laser with a different wavelength is used
to provide an alternative reference scan to the commonly used pre-irradiation
scan. Transmission data for both wavelengths is effectively acquired
simultaneously, giving a single scan process. Together with the elimination of
refractive index fluid matching issues, scanning practicality is substantially
improved. Image quality and quantitative accuracy were assessed for both dual and
single wavelength methods. The dual wavelength scan technique gave improvements
in uniformity of reconstructed optical attenuation coefficients in the sample 3D
volume. This was due to a reduction of artefacts caused by scan to scan changes.
Optical attenuation measurement accuracy was similar for both dual and single
wavelength modes of operation. These results established the basis for further
work on dosimetric performance.
PMID- 29363618
TI - Towards miniaturized closed-loop optogenetic stimulation devices.
AB - OBJECTIVE: Electrical brain stimulation provides therapeutic benefits for
patients with drug-resistant neurological disorders. It, however, has restricted
access to cell-type selectivity which limits its treatment effectiveness.
Optogenetics, in contrast, enables precise targeting of a specific cell type
which can address the issue with electrical brain stimulation. It, nonetheless,
disregards real-time brain responses in delivering optimized stimulation to
target cells. Closed-loop optogenetics, on the other hand, senses the difference
between normal and abnormal states of the brain, and modulates stimulation
parameters to achieve the desired stimulation outcome. Current review articles on
closed-loop optogenetics have focused on its theoretical aspects and potential
benefits. A review of the recent progress in miniaturized closed-loop optogenetic
stimulation devices is thus needed. APPROACH: This paper presents a comprehensive
study on the existing miniaturized closed-loop optogenetic stimulation devices
and their internal components. MAIN RESULTS: Hardware components of closed-loop
optogenetic stimulation devices including electrode, light-guiding mechanism,
optical source, neural recorder, and optical stimulator are discussed. Next,
software modules of closed-loop optogenetic stimulation devices including feature
extraction, classification, control, and stimulation parameter modulation are
described. Then, the existing devices are categorized into open-loop and closed
loop groups, and the combined operation of their neural recorder, optical
stimulator, and control approach is discussed. Finally, the challenges in the
design and implementation of closed-loop optogenetic stimulation devices are
presented, suggestions on how to tackle these challenges are given, and future
directions for closed-loop optogenetics are stated. SIGNIFICANCE: A generic
architecture for closed-loop optogenetic stimulation devices involving both
hardware and software perspectives is devised. A comprehensive investigation into
the most current miniaturized and tetherless closed-loop optogenetic stimulation
devices is given. A detailed comparison of the closed-loop optogenetic
stimulation devices is presented.
PMID- 29363619
TI - A fast dual wavelength laser beam fluid-less optical CT scanner for radiotherapy
3D gel dosimetry II: dosimetric performance.
AB - New clinical radiotherapy dosimetry systems need comprehensive demonstration of
measurement quality. Practicality and reliability are other important aspects for
clinical dosimeters. In this work the performance of an optical CT scanner for
true 3D dosimetry is assessed using a radiochromic gel dosimeter. The fluid-less
scanner utilised dual lasers to avoid the necessity for pre-irradiation scans and
give greater robustness of image quality, enhancing practicality. Calibration
methods using both cuvettes and reconstructed volumes were developed. Dosimetric
accuracy was similar for dual and single wavelength measurements, except that
cuvette calibration reliability was reduced for dual wavelength without pre
irradiation scanning. Detailed performance parameters were specified for the
dosimetry system indicating the suitability for clinical use. The most
significant limitations of the system were due to the gel dosimeter rather than
the optical CT scanner. Quality assurance guidelines were developed to maintain
dosimetry system performance in routine use.
PMID- 29363620
TI - Biopolymer-based membranes associated with osteogenic growth peptide for guided
bone regeneration.
AB - Barrier membranes for guided bone regeneration (GBR) mainly promote mechanical
maintenance of bone defect space and induce osteopromotion. Additionally,
biopolymer-based membranes may provide greater bioactivity and biocompatibility
due to their similarity to extracellular matrix (ECM). In this study, biopolymers
based membranes from bacterial cellulose (BC) and collagen (COL) associated with
osteogenic growth peptide (OGP(10-14)) were evaluated to determine in vitro
osteoinductive potential in early osteogenesis; moreover, histological study was
performed to evaluate the BC-COL OGP(10-14) membranes on bone healing after GBR
in noncritical defects in rat femur. The results showed that the BC-COL and BC
COL OGP(10-14) membranes promoted cell proliferation and alkaline phosphatase
activity in osteoblastic cell cultures. However, ECM mineralization was similar
between cultures grown on BC OGP(10-14) and BC-COL OGP(10-14) membranes. In vivo
results showed that all the membranes tested, including the peptide-free BC
membrane, promoted better bone regeneration than control group. Furthermore, the
BC-COL OGP(10-14) membranes induced higher radiographic density in the repaired
bone than the other groups at 1, 4 and 16 weeks. Histomorphometric analyses
revealed that the BC-COL OGP(10-14) induced higher percentage of bone tissue in
the repaired area at 2 and 4 weeks than others membranes. In general, these
biopolymer-based membranes might be potential candidates for bone regeneration
applications.
PMID- 29363621
TI - The fabrication of highly conductive and flexible Ag pattern through baking Ag
nanospheres - nanoplates hybrid ink at a low temperature of 100 degrees C.
AB - With the aim of developing highly conductive ink for flexible electronics on heat
sensitive substrates, Ag nanospheres and nanoplates were mixed to synthesize
hybrid inks. Five kinds of hybrid ink and two types of pure ink were written to
square shape on Epson photo paper using rollerball pens and sintered at a low
temperature (100C). The microstructure, electrical resistivity, surface porosity,
hardness and flexibility of silver patterns were systematically investigated and
compared. It was observed that the optimal mixing ratio of nanospheres and
nanoplates was 1:1, which equipped the directly written pattern with excellent
electrical and mechanical properties. The electrical resistivity was 0.103
MUOmega.m, which was only 6.5 times of bulk silver. The enhancement compared to
pure silver nanospheres or nanoplates based ink was owing to the combined action
of nanospheres and nanoplates. It was a valued way to prepare Ag nanoink with
good performance for printed/written electronics.
PMID- 29363622
TI - Modified cable equation incorporating transverse polarization of neuronal
membranes for accurate coupling of electric fields.
AB - OBJECTIVE: We present a theory and computational methods to incorporate
transverse polarization of neuronal membranes into the cable equation to account
for the secondary electric field generated by the membrane in response to
transverse electric fields. The effect of transverse polarization on nonlinear
neuronal activation thresholds is quantified and discussed in the context of
previous studies using linear membrane models. APPROACH: The response of neuronal
membranes to applied electric fields is derived under two time scales and a
unified solution of transverse polarization is given for spherical and
cylindrical cell geometries. The solution is incorporated into the cable equation
re-derived using an asymptotic model that separates the longitudinal and
transverse dimensions. Two numerical methods are proposed to implement the
modified cable equation. Several common neural stimulation scenarios are tested
using two nonlinear membrane models to compare thresholds of the conventional and
modified cable equations. MAIN RESULTS: The implementations of the modified cable
equation incorporating transverse polarization are validated against previous
results in the literature. The test cases show that transverse polarization has
limited effect on activation thresholds. The transverse field only affects
thresholds of unmyelinated axons for short pulses and in low-gradient field
distributions, whereas myelinated axons are mostly unaffected. SIGNIFICANCE: The
modified cable equation captures the membrane's behavior on different time scales
and models more accurately the coupling between electric fields and neurons. It
addresses the limitations of the conventional cable equation and allows sound
theoretical interpretations. The implementation provides simple methods that are
compatible with current simulation approaches to study the effect of transverse
polarization on nonlinear membranes. The minimal influence by transverse
polarization on axonal activation thresholds for the nonlinear membrane models
indicates that predictions of stronger effects in linear membrane models with a
fixed activation threshold are inaccurate. Thus, the conventional cable equation
works well for most neuroengineering applications, and the presented modeling
approach is well suited to address the exceptions.
PMID- 29363623
TI - A low-cost microwell device for high-resolution imaging of neurite outgrowth in
3D.
AB - OBJECTIVE: Current neuronal cell culture is mostly performed on two-dimensional
(2D) surfaces, which lack many of the important features of the native
environment of neurons, including topographical cues, deformable extracellular
matrix, and spatial isotropy or anisotropy in three dimensions. Although three
dimensional (3D) cell culture systems provide a more physiologically relevant
environment than 2D systems, their popularity is greatly hampered by the lack of
easy-to-make-and-use devices. We aim to develop a widely applicable 3D culture
procedure to facilitate the transition of neuronal cultures from 2D to 3D.
APPROACH: We made a simple microwell device for 3D neuronal cell culture that is
inexpensive, easy to assemble, and fully compatible with commonly used imaging
techniques, including super-resolution microscopy. MAIN RESULTS: We developed a
novel gel mixture to support 3D neurite regeneration of Aplysia bag cell neurons,
a system that has been extensively used for quantitative analysis of growth cone
dynamics in 2D. We found that the morphology and growth pattern of bag cell
growth cones in 3D culture closely resemble the ones of growth cones observed in
vivo. We demonstrated the capability of our device for high-resolution imaging of
cytoskeletal and signaling proteins as well as organelles. SIGNIFICANCE: Neuronal
cell culture has been a valuable tool for neuroscientists to study the behavior
of neurons in a controlled environment. Compared to 2D, neurons cultured in 3D
retain the majority of their native characteristics, while offering higher
accessibility, control, and repeatability. We expect that our microwell device
will facilitate a wider adoption of 3D neuronal cultures to study the mechanisms
of neurite regeneration.
PMID- 29363624
TI - Improving carrier transport in Cu2O thin films by rapid thermal annealing.
AB - Cuprous oxide (Cu2O) is a promising material for large scale photovoltaic
applications. The efficiencies of thin film structures are, however, currently
lower than those for structures based on Cu2O sheets, possibly due to their
poorer transport properties. This study shows that post-deposition rapid thermal
annealing (RTA) of Cu2O films is an effective approach for improving carrier
transport in films prepared by reactive magnetron sputtering. The as-deposited
Cu2O films were poly-crystalline, p-type, with weak near band edge (NBE) emission
in photoluminescence spectra, a grain size of ~100 nm and a hole mobility of 2-18
cm2 V-1 s-1. Subsequent RTA (3 min) at a pressure of 50 Pa and temperatures of
600-1000 degrees C enhanced the NBE by 2-3 orders of magnitude, evidencing
improved crystalline quality and reduction of non-radiative carrier
recombination. Both grain size and hole mobility were increased considerably upon
RTA, reaching values above 1 um and up to 58 cm2 V-1 s-1, respectively, for films
annealed at 900-1000 degrees C. These films also exhibited a resistivity of ~50
200 Omega cm, a hole concentration of ~1015 cm-3 at room temperature, and a
transmittance above 80%.
PMID- 29363626
TI - Response to topical halcinonide following fractional carbon dioxide laser
pretreatment in a pretibial myxedema patient.
PMID- 29363625
TI - Rapid calibration of an intracortical brain-computer interface for people with
tetraplegia.
AB - OBJECTIVE: Brain-computer interfaces (BCIs) can enable individuals with
tetraplegia to communicate and control external devices. Though much progress has
been made in improving the speed and robustness of neural control provided by
intracortical BCIs, little research has been devoted to minimizing the amount of
time spent on decoder calibration. APPROACH: We investigated the amount of time
users needed to calibrate decoders and achieve performance saturation using two
markedly different decoding algorithms: the steady-state Kalman filter, and a
novel technique using Gaussian process regression (GP-DKF). MAIN RESULTS: Three
people with tetraplegia gained rapid closed-loop neural cursor control and peak,
plateaued decoder performance within 3 min of initializing calibration. We also
show that a BCI-naive user (T5) was able to rapidly attain closed-loop neural
cursor control with the GP-DKF using self-selected movement imagery on his first
ever day of closed-loop BCI use, acquiring a target 37 s after initiating
calibration. SIGNIFICANCE: These results demonstrate the potential for an
intracortical BCI to be used immediately after deployment by people with
paralysis, without the need for user learning or extensive system calibration.
PMID- 29363627
TI - Primary cutaneous CD4 positive small/medium T cell lymphoma.
PMID- 29363628
TI - Indications and outcome of tracheostomy in Ilorin, North Central Nigeria: 10
years review.
AB - BACKGROUND: The study aims to highlight common indications as well as outcome of
treatment among patients with tracheostomy in Ilorin, North-Central Nigeria.
METHODS: A review of clinical records of all patients with tracheostomy over a
period of ten years (2002-2011), using the Theatre, Ward, ICU and the emergency
register after approval from the ethical review committee. Data retrieved
included; demographic profile, primary diagnosis, indication for tracheostomy,
surgical technique, hospital admission and care outcome of management. All
information retrieved input and analysed using an SPSS version 17.0 and data
analyzed descriptively. RESULTS: Seventy-six patients had complete data for
analysis, age range from 1-89yrs, and mean age of 41.9yrs. There are 48males and
28 females with M:F ratio of 1.6:1. Majority of the patients were in the 3rd-5th
decade. About 47.4% had temporary tracheostomy. The commonest indication for
tracheostomy is upper airway obstruction secondary to aerodigestive tract tumors
in 60.5%, then trauma in 26.3%. The complications are higher among the under
tens'. Out of the 36 temporary tracheostomy only 18 were successfully
decannulated. The mean hospital stay was 22+/-2days. Overall 15% mortality was
recorded. CONCLUSION: Common reason for tracheostomy is essentially same earlier
documentation in developing countries, common among males, emergency type still
most common, neoplasm, prolonged intubation and trauma are the commonest
indications, its complication is still high among the under tens'. The outcome is
good with 15% mortality due to the primary disease and not from tracheostomy.
PMID- 29363629
TI - The astigmatic effect of pterygium in a Tertiary Hospital in Kano, Nigeria.
AB - AIM: This study aims to determine the effect of pterygium excision on the degree
of corneal induced astigmatism and visual acuity. PATIENTS AND METHODS: This was
a prospective interventional study conducted between September 2012 and June
2013. Consecutive patients with pterygium who met the inclusion criteria were
recruited into the study. They had a basic eye examination, and those with
existing comorbidity were excluded. Selected patients had pterygium excision
using the bare sclera technique with intraoperative application of Mitomycin C.
Detailed pre- and post-operative evaluation and refraction was done. RESULTS:
There were 45 eyes of 33 patients aged 28-75 years. The mean age was 56.12 +
12.38 years. Six eyes had Grade I, 29 eyes had Grade II and 10 eyes had Grade III
pterygium. The mean value for preoperative astigmatism was 2.12 +/- 1.09 diopter
cylinder (DC) while that for postoperative astigmatism was 0.72 +/- 0.50 DC (P =
0.000). Surgery was associated with statistically significant increase in
postoperative visual acuity. (P = 0.000). CONCLUSION: This study has shown that
the degree of pterygium-induced astigmatism reduces significantly following
surgical excision. Pterygium excision was associated with improvement in visual
acuity.
PMID- 29363630
TI - Assessment of some indicators of oxidative stress in nigerian sickle cell anemic
patients.
AB - BACKGROUND: Oxidative stress, the imbalance between the productive of reactive
species and antioxidant defences notably plays an important role in the
pathogenesis of sickle cell disease. Generating the necessary data about
oxidative stress in patients with sickle cell anemia (SCA) would help in
developing cost-effective and accessible treatment interventions of SCA in
resource-poor countries. AIM: The aim of this study is to evaluate the levels
plasma lipid peroxidation product, malondialdehyde (MDA), serum nitric oxide
(NO), and total antioxidant activity (TAOA) as indicators of oxidative stress in
sickle cell individuals. MATERIALS AND METHODS: In this pilot study, 52 patients;
homozygous (Hb SS) sickle cell patients confirmed by cellulose electrophoresis
and 20 age- and sex-matched healthy (Hb AA) controls were subjected to analysis
of NO, MDA, and TAOA. The plasma MDA was measured by a thiobarbituric reaction,
(NO) was evaluated by the method described by Guevara et al. using Griess reagent
and the TAOA of serum was determined by the method of Koracevic et al. RESULTS:
The results showed a statistically significant decrease and increase in baseline
levels of NO and MDA, respectively, in Hb SS group when compared with the control
Hb AA (P = 0.000 and 95% confidence interval [CI] of 0.10-0.18 and -9.67--5.57,
respectively). A slight decrease in the TAOA level between the groups was
observed although not statistically significant (P = 0.15 95% CI was -0.28-2.90).
CONCLUSION: The results showed of imbalance between oxidant and antioxidant
status in patients with SCA. Antioxidant supplementation may be a cheap
assessable intervention for in sickle cell individuals (in the steady state or in
crisis) to prevent further oxidative damage to the erythrocytes.
PMID- 29363631
TI - Human immunodeficiency virus seroprevalence in patients with invasive cervical
cancer in Zaria, North-Western Nigeria.
AB - BACKGROUND: Cervical cancer is the commonest gynecological malignancy in our
environment and is an Acquired Immuno-Deficiency Syndrome (AIDS)-associated
malignancy. Documented data on the Human Immune-deficiency Virus (HIV)
seroprevalence among patients with cervical cancer in our environment are scarce.
OBJECTIVE: The aim of this study is to determine the prevalence of HIV infection
in women with cancer of the cervix. STUDY DESIGN: The work is a descriptive
survey by design, concentrating in frequency of occurrences of prevalence of the
dissease in either cases for a number of years retrospectively carried out at the
Radiotherapy and Oncology Centre of Ahmadu Bello University Teaching Hospital
(ABUTH) Zaria. SETTING: The study was carried out at the Radiotherapy and
Oncology Centre of ABUTH, Zaria. MATERIALS AND METHODS: A 5 years retrospective
review of patients with histologically-proven cancer of the cervix seen in the
Radiotherapy and Oncology Centre, ABUTH, Zaria, North-Western Nigeria was
undertaken. Data such as age, clinical stage of disease and HIV seropositivity at
presentation were retrieved from the case files. Data analysis was done using the
SPSS statistical package version IBM 23 and results presented in frequencies and
percentages and charts for graphical presentation. RESULTS: A total of 1,639
patients seen over a period of 5 years were reviewed. The age range of both
groups of patients was from 28 years to 92 years with a mean age of 50.5 years.
One thousand five hundred and seventy-three of the patients (96%) were
seronegative to the HIV tests while 66 (4%) were seropositive. The age range of
the seropositive patients was 28 - 49 years with a mean age of 38.1 years. Their
peak age at presentation was 30 - 39 years. Similarly, the age range of the
seronegative patients was 30 - 92 years with a peak at 40-49 years. 51 (89.5%) of
the HIV seropositive patients presented with advanced clinical stage disease,
i.e, International Federation of Obstetrics and Gynecology (FIGO) stage 2B and
above. 1,363 (93%) of the HIV seronegative patients presented with FIGO 2B
disease and above, both scenario illustrating the general trend of late
presentation of cancer patients to hospital in our environment. CONCLUSION: The
study shows that the prevalence of HIV infection among cervical cancer patients
is low in Zaria, with earlier age of development of cervical cancer among HIV
seropositive patients compared to HIV seronegative counterparts. Both group of
patients present with cervical cancer at an advanced stage. More studies
therefore needed to be done to identify the predisposing factors to the high
incidence of invasive cervical cancer in our environment and introduction of
cervical cancer screening at an earlier age among HIV seropositive patients.
PMID- 29363632
TI - Current indications for extremity amputations in Maiduguri, North-East Nigeria: A
6-year retrospective review.
AB - OBJECTIVE: To know the the commonest cause for extremity amputation in UMTH in
recent times, following the result of two previous studies citing malignancy and
later trauma, at the University of Maiduguri Teaching Hospital, a tertiary
hospital in North East Nigeria. METHOD: We retrieved folders of all patients who
had extremity amputation from January 2010 to December 2016 in our center. There
were one hundred and sixty three case notes, accounting for one hundred and sixty
five amputations. RESULTS: Of the 165 amputations in 163 patients, 94 (57.0%)
were as a result of this problem. It was also noted that the affected patients
were regular with their medications, bringing into question the efficacy of these
medications. Trauma however remains the second common cause for amputation,
accounting for 22 (13.3%), followed by complications from splints of traditional
bone setters (TBS) which accounted for 20 (12.2%). CONCLUSION: Complicated
diabetes mellitus is the commonest cause oa amputations in recent times in our
center.
PMID- 29363633
TI - Prescribing pattern and antibiotic use for hospitalized children in a Northern
Nigerian Teaching Hospital.
AB - BACKGROUND: Assessment of patterns of drug to detect performance problems and
compliance with standards facilitates objective comparisons and impact
evaluation. Children are at higher risk of consequences of irrational prescribing
and antibiotic misuse. OBJECTIVE: The objective of the study was to evaluate the
prescribing pattern and utilization of antibiotics for children using standard
prescribing indicators and indices of rational drug prescribing. MATERIALS AND
METHODS: This was a retrospective study of prescriptions for pediatric inpatients
at a teaching hospital in Northern Nigeria. Information was obtained from
eligible prescriptions received over 24-month period using a modified WHO
prescribing indicator form. The WHO prescribing indicators and the Index of
Rational Drug Prescribing (IRDP) were used to evaluate prescriptions. Data were
analyzed and presented as proportions, means, tables, and charts, comparing with
WHO standards and with findings of similar studies. RESULTS: There were 3908
eligible prescription orders, with a mean patient age of 3.1 (+/-2.7) years. With
an average of 2.1 drugs per prescription, 66.8% were written with generic names,
whereas a single antibiotic was included among 63% of prescriptions with
antibiotics. Antibiotics and injections were contained in 49.5% and 67.7% of
prescriptions, respectively. Medications were available in the Essential
Medicines List in 95.5% of cases. The IRDP obtained is 2.99, against a standard
of 5. Aminoglycosides, cephalosporins, and penicillins were the most common
choices, whereas ampicillin/cloxacillin was the most common combination.
CONCLUSION: Drug prescribing and antibiotic use were generally inappropriate
compared with ideal standards. Continuous training/retraining on rational drug
use, periodic monitoring, and use of treatment protocols in tertiary hospitals
are recommended.
PMID- 29363634
TI - Evaluation of the cognitive effect of newborn resuscitation training on health
care workers in selected states in Northern Nigeria.
AB - BACKGROUND: Neonatal deaths contribute significantly to slower progress in under
5 mortality reduction. Lack of sufficiently trained birth assistants partly
contributes to early neonatal deaths. Resuscitation training equips frontline
health-care workers (HCWs) with requisite knowledge and skills to prevent birth
asphyxia. OBJECTIVE: The objective of this study was to evaluate the immediate
impact of newborn resuscitation training on cognitive ability of HCWs. MATERIALS
AND METHODS: This is a descriptive observational study using pre- and
posttraining scores obtained by HCWs who were participants in 1-day training on
emergency newborn resuscitation. The Newborn Resuscitation Manual of the UK
Resuscitation Council (2006) was used to train HCWs. The course included
lectures, skill and scenario demonstrations using mannequins, and basic
resuscitation equipment. Cognitive knowledge was evaluated using a pre- and post
training questionnaire. Participants' scores were collated, analyzed, and results
were presented as tables, charts, and descriptive statistics with P < 0.05
considered statistically significant. RESULTS: A total of 293 HCWs completed the
course (81 doctors and 212 nurse/midwives), with variable improvements of mean
posttraining marks over the pretraining scores. Resident doctors obtained
significantly higher mean pre- and post-training marks with lower mean difference
than senior doctors and medical officers. The junior nurses obtained
significantly higher mean pretraining scores compared to the senior nursing
cadre, while the intermediate nursing cadre obtained significantly higher mean
posttraining scores compared to senior nurses. CONCLUSION: Resuscitation training
improved the knowledge of HCWs. Further evaluation could ascertain impacts on
knowledge/skills' retention and neonatal survival. Preservice training and
continuing education for frontline HCWs who conduct deliveries are recommended.
PMID- 29363635
TI - Sparfloxacin-induced nail pigmentation: A case of fixed drug eruption?
AB - Sparfloxacin, a synthetic, second generation, broad spectrum
aminodifluoroquinolone antibiotic, has excellent penetration into respiratory
tissues and has good activity against Gram-positive, Gram-negative, and atypical
bacteria. Although it is indicated for the treatment of adult community-acquired
pneumonia and acute exacerbation of chronic bronchitis, its use has been limited
by phototoxicity which is more common with it than with other quinolones. We
report a case of a 20-year-old Nigerian woman who developed a diffuse blue-black
nail pigmentation of thumb and big toe nails, 2 weeks after completing therapy
with sparfloxacin, and a recurrence of the pigmentation in the same nails 1 year
after taking the drug again. We think this is likely a case of subungual fixed
drug eruption. We briefly discuss the causes and mechanisms of drug-induced nail
pigmentation in general.
PMID- 29363636
TI - Drug-induced oral erythema multiforme: A diagnostic challenge.
AB - Trimethoprim-sulfamethoxazole (cotrimoxazole or TMP/SMX) is commonly used for the
treatment of urinary or lower respiratory tract infections. A comprehensive
review is presented of the world literature on adverse reactions to TMP/SMX since
its first use in 1968, when concern was expressed about possible hematologic
toxicity. The adverse reactions to TMP/SMX occur in approximately 1%-3% of
persons in general population. Here, we report a case of oral erythema multiforme
(EM) secondary to TMP/SMX that presented with oral and lip ulcerations typical of
EM without any skin lesions and highlights the importance of distinguishing them
from other ulcerative disorders involving oral cavity. The patient was treated
symptomatically and given tablet prednisolone. The condition improved with
stoppage of TMP/SMX therapy. It has been reported that primary attacks of oral EM
is confined to the oral mucosa, but the subsequent attacks can produce more
severe forms of EM involving the skin. Hence, it is important to identify for
early diagnosis and management. Although EM is quite common with TMP/SMX, there
are no reports of oral EM appearing after intake of this drug.
PMID- 29363637
TI - Neglected Type III growing skull fracture in a 65-Year-Old female.
PMID- 29363638
TI - Effect of Systolic Cardiac Function on Passive Leg Raising for Predicting Fluid
Responsiveness: A Prospective Observational Study.
AB - BACKGROUND: Passive leg raising (PLR) represents a "self-volume expansion (VE)"
that could predict fluid responsiveness, but the influence of systolic cardiac
function on PLR has seldom been reported. This study aimed to investigate whether
systolic cardiac function, estimated by the global ejection fraction (GEF) from
transpulmonary-thermodilution, could influence the diagnostic value of PLR.
METHODS: This prospective, observational study was carried out in the surgical
Intensive Care Unit of the First Affiliated Hospital of Sun Yat-sen University
from December 2013 to July 2015. Seventy-eight mechanically ventilated patients
considered for VE were prospectively included and divided into a low-GEF (<20%)
and a near-normal-GEF (>=20%) group. Within each group, baseline hemodynamics,
after PLR and after VE (250 ml 5% albumin over 30 min), were recorded. PLR
induced hemodynamic changes (PLR-Delta) were calculated. Fluid responders were
defined by a 15% increase of stroke volume (SV) after VE. RESULTS: Twenty-five
out of 38 patients were responders in the GEF <20% group, compared to 26 out of
40 patients in the GEF >=20% group. The thresholds of PLR-DeltaSV and PLR-Delta
cardiac output (PLR-DeltaCO) for predicting fluid responsiveness were higher in
the GEF >=20% group than in the GEF <20% group (DeltaSV: 12% vs. 8%; DeltaCO: 7%
vs. 6%), with increased sensitivity (DeltaSV: 92% vs. 92%; DeltaCO: 81% vs. 80%)
and specificity (DeltaSV: 86% vs. 70%; DeltaCO: 86% vs. 77%), respectively. PLR
Delta heart rate could predict fluid responsiveness in the GEF >=20% group with a
threshold value of -5% (sensitivity 65%, specificity 93%) but could not in the
GEF <20% group. The pressure index changes were poor predictors. CONCLUSIONS: In
the critically ill patients on mechanical ventilation, the diagnostic value of
PLR for predicting fluid responsiveness depends on cardiac systolic function.
Thus, cardiac systolic function must be considered when using PLR. TRIAL
REGISTRATION: Chinese Clinical Trial Register, ChiCTR-OCH-13004027;
http://www.chictr.org.cn/showproj.aspx?proj=5540.
PMID- 29363639
TI - Evaluation of CRUSADE and ACUITY-HORIZONS Scores for Predicting Long-term Out-of
Hospital Bleeding after Percutaneous Coronary Interventions.
AB - BACKGROUND: There is scanty evidence concerning the ability of Can Rapid Risk
Stratification of Unstable Angina Patients Suppress Adverse Outcomes with Early
Implementation of the ACC/AHA Guidelines (CRUSADE) and Acute Catheterization and
Urgent Intervention Triage Strategy and Harmonizing Outcomes with
Revascularization and Stents in Acute Myocardial Infarction (ACUITY-HORIZONS)
scores to predict out-of-hospital bleeding risk after percutaneous coronary
interventions (PCIs) with drug-eluting stents (DES) in patients receiving dual
antiplatelet therapy. We aimed to assess and compare the long-term prognostic
value of these scores regarding out-of-hospital bleeding risk in such patients.
METHODS: We performed a prospective observational study of 10,724 patients
undergoing PCI between January and December 2013 in Fuwai Hospital, China. All
patients were followed up for 2 years and evaluated through the Fuwai Hospital
Follow-up Center. Major bleeding was defined as Types 2, 3, and 5 according to
Bleeding Academic Research Consortium Definition criteria. RESULTS: During a 2
year follow-up, 245 of 9782 patients (2.5%) had major bleeding (MB). CRUSADE
(21.00 [12.00, 29.75] vs. 18.00 [11.00, 26.00], P < 0.001) and ACUITY-HORIZONS
(9.00 [3.00, 14.00] vs. 6.00 [3.00, 12.00], P < 0.001) risk scores were both
significantly higher in the MB than non-MB groups. Both scores showed a moderate
predictive value for MB in the whole study cohort (area under the receiver
operating characteristics curve [AUROC], 0.565; 95% confidence interval [CI],
0.529-0.601, P = 0.001; AUROC, 0.566; 95% CI, 0.529-0.603, P < 0.001,
respectively) and in the acute coronary syndrome (ACS) subgroup (AUROC: 0.579,
95% CI: 0.531-0.627, P = 0.001; AUROC, 0.591; 95% CI, 0.544-0.638, P < 0.001,
respectively). However, neither score was a significant predictor in the non-ACS
subgroup (P > 0.05). The value of CRUSADE and ACUITY-HORIZONS scores did not
differ significantly (P > 0.05) in the whole cohort, ACS subgroup, or non-ACS
subgroup. CONCLUSIONS: CRUSADE and ACUITY-HORIZONS scores showed statistically
significant but relatively limited long-term prognostic value for out-of-hospital
MB after PCI with DES in a cohort of Chinese patients. The value of CRUSADE and
ACUITY-HORIZONS scores did not differ significantly (P > 0.05) in the whole
cohort, ACS subgroup, or non-ACS subgroup.
PMID- 29363640
TI - Interferon-Gamma Release Assay is Not Appropriate for the Diagnosis of Active
Tuberculosis in High-Burden Tuberculosis Settings: A Retrospective Multicenter
Investigation.
AB - BACKGROUND: Interferon-gamma release assay (IGRA) has been used in latent
tuberculosis (TB) infection and TB diagnosis, but the results from different high
TB-endemic countries are different. The aim of this study was to investigate the
value of IGRA in the diagnosis of active pulmonary TB (PTB) in China. METHODS: We
conducted a large-scale retrospective multicenter investigation to further
evaluate the role of IGRA in the diagnosis of active PTB in high TB-epidemic
populations and the factors affecting the performance of the assay. All patients
who underwent valid T-SPOT.TB assays from December 2012 to November 2015 in six
large-scale specialized TB hospitals in China and met the study criteria were
retrospectively evaluated. Patients were divided into three groups: Group 1,
sputum culture-positive PTB patients, confirmed by positive Mycobacterium
tuberculosis sputum culture; Group 2, sputum culture-negative PTB patients; and
Group 3, non-TB respiratory diseases. The medical records of all patients were
collected. Chi-square tests and Fisher's exact test were used to compare
categorical data. Multivariable logistic analyses were performed to evaluate the
relationship between the results of T-SPOT in TB patients and other factors.
RESULTS: A total of 3082 patients for whom complete information was available
were included in the investigation, including 905 sputum culture-positive PTB
cases, 914 sputum culture-negative PTB cases, and 1263 non-TB respiratory disease
cases. The positive rate of T-SPOT.TB was 93.3% in the culture-positive PTB group
and 86.1% in the culture-negative PTB group. In the non-PTB group, the positive
rate of T-SPOT.TB was 43.6%. The positive rate of T-SPOT.TB in the culture
positive PTB group was significantly higher than that in the culture-negative PTB
group (chi2 = 25.118, P < 0.01), which in turn was significantly higher than that
in the non-TB group (chi2 = 566.116, P < 0.01). The overall results were as
follows: sensitivity, 89.7%; specificity, 56.37%; positive predictive value,
74.75%; negative predictive value, 79.11%; and accuracy, 76.02%. CONCLUSIONS:
High false-positive rates of T-SPOT.TB assays in the non-TB group limit the
usefulness as a single test to diagnose active TB in China. We highly recommend
that IGRAs not be used for the diagnosis of active TB in high-burden TB settings.
PMID- 29363641
TI - Scarring Airway Stenosis in Chinese Adults: Characteristics and Interventional
Bronchoscopy Treatment.
AB - BACKGROUND: Scarring airway stenosis is commonly seen in China as compared to
other developed countries, due to the high prevalence of tuberculosis. Nowadays,
interventional bronchoscopy treatment has been widely used to treat this disease
in China. This study demonstrated the characteristics of scarring airway stenosis
in Chinese adults and retrospectively evaluated the efficacy of interventional
bronchoscopy treatment of this disease. METHODS: Patients with scarring airway
stenosis from 18 tertiary hospitals were enrolled between January 2013 and June
2016. The causes, site, and length of scarring airway stenosis were analyzed, and
the efficacy of the interventional bronchoscopy treatment was evaluated. RESULTS:
The final study cohort consisted of 392 patients. Endotracheobronchial
tuberculosis (EBTB) was the most common cause of scarring airway stenosis
(305/392, 77.8%) in Chinese adults with a high rate of incidence in young women.
The left main bronchus was most susceptible to EBTB, and most posttuberculosis
airway scarring stenosis length was 1.1-2.0 cm. The average clinical success rate
of interventional bronchoscopy treatment for scarring airway stenosis in Chinese
patients is 60.5%. The stent was inserted in 8.7% scarring airway stenosis in
China. CONCLUSIONS: Scarring airway stenosis exhibits specific characteristics in
Chinese patients. Interventional bronchoscopy is a useful and safe treatment
method for the disease.
PMID- 29363642
TI - Anterior Subcutaneous Transposition of the Ulnar Nerve Affects Elbow Range of
Motion: A Mean 13.5 Years of Follow-up.
AB - BACKGROUND: Surgical decompression of the ulnar nerve is effective for cubital
tunnel syndrome. However, deep approaches may result in iatrogenic elbow
stiffness. This long-term study was to evaluate the range of motion (ROM) of the
elbow and functional outcomes after anterior subcutaneous transposition. METHODS:
A total of 115 patients (78 male and 37 female; mean age: 46.6 years) who
underwent anterior subcutaneous transposition of the ulnar nerve between 2001 and
2005 were evaluated retrospectively; mean follow-up was 13.5 years. Elbow ROM was
measured as flexion arc, flexion, and extension preoperatively and at the final
follow-up, and compared via a mixed analysis of variance adjusting for age.
Neuropathy was assessed preoperatively using a modified McGowan neuropathy grade
and postoperatively using modified Wilson-Krout criteria. An ordinal logistic
regression analysis used postoperative modified Wilson-Krout criteria as the
outcome and preoperative factors as predictors. RESULTS: Preoperative McGowan
grades were Grade 1 in 14 patients (12.2%), Grade 2A in 28 (24.3%), Grade 2B in
53 (46.1%), and Grade 3 in 20 (17.4%) patients. Postoperatively, 66 patients
(57.4%) had excellent results, 26 (22.6%) had good results, 16 (13.9%) had fair
results, and 7 (6.1%) had poor results at the final follow-up, as per the Wilson
Krout criteria. There were no complications. Pre- and postoperative elbow ROM was
significantly decreased in patients with previous trauma or surgery of the elbow
compared with those without (P < 0.05). Anterior subcutaneous transposition of
the ulnar nerve did not significantly affect elbow ROM regardless of previous
trauma or surgical history nor preoperative ROM (P > 0.05), after adjusting for
age. Patients with prolonged symptoms prior to surgery and worse neuropathy
tended to have less satisfactory functional outcomes (P < 0.05), after adjusting
for covariates. CONCLUSIONS: Anterior subcutaneous transposition of the ulnar
nerve is an effective and reliable treatment of cubital tunnel syndrome with
satisfactory outcomes and minimal effect on elbow ROM.
PMID- 29363643
TI - Clinical Significance of Pelvic Peritonization in Laparoscopic Dixon Surgery.
AB - BACKGROUND: Pelvic floor peritoneum reconstruction is a key step in various
standard resections for open radical rectal cancer. However, during endoscopic
surgery, most surgeons do not close the pelvic floor peritoneum. This study aims
to evaluate the efficacy of pelvic peritonization during laparoscopic Dixon
surgery using an observational study. METHODS: A total of 189 patients, who
underwent laparoscopic Dixon surgery at Tianjin Union Medical Center, China, were
analyzed retrospectively. All of the cases were divided into two groups according
to the differences of surgical procedure. The 92 patients in Group A (observation
group) underwent pelvic peritonization and the 97 patients in Group B (control
group) did not undergo this procedure. Postoperative complications were observed
in the two groups, compared, and analyzed using the Chi-square or Fisher's exact
test. RESULTS: The incidence of anastomotic leakage was significantly lower in
Group A than in Group B (P = 0.014). A significant difference was found in the
postoperative short-term (P = 0.029) and long-term (P = 0.029) ileus rates
between the two groups, with Group A exhibiting a lower rate than Group B.
Patients in Group A had significantly lower rates of postoperative infections
than those in Group B (chi2 = 7.606, P = 0.006; chi2 = 4.464, P = 0.035).
Patients in Group A had significantly lower rates of deep venous thrombosis than
those in Group B (chi2 = 8.531, P = 0.003). CONCLUSIONS: Pelvic peritonization
effectively reduces postoperative complications, such as anastomotic leakage,
which warrants its increased use in laparoscopic surgery.
PMID- 29363644
TI - Situational Analysis of Low-density Lipoprotein Cholesterol Control and the Use
of Statin Therapy in Diabetes Patients Treated in Community Hospitals in Nanjing,
China.
AB - BACKGROUND: Comprehensive management of diabetes should include management of its
comorbid conditions, especially cardiovascular complications, which are the
leading cause of morbidity and mortality among patients with diabetes.
Dyslipidemia is a comorbid condition of diabetes and a risk factor for
cardiovascular complications. Therefore, lipid level management is a key of
managing patients with diabetes successfully. However, it is not clear that how
well dyslipidemia is managed in patients with diabetes in local Chinese health
care communities. This study aimed to assess how well low-density lipoprotein
cholesterol (LDL-C) was managed in Nanjing community hospitals, China. METHODS:
We reviewed clinical records of 7364 diabetic patients who were treated in eleven
community hospitals in Nanjing from October 2005 to October 2014. Information
regarding LDL-C level, cardiovascular risk factors, and use of lipid-lowering
agents were collected. RESULTS: In patients without history of cardiovascular
disease (CVD), 92.1% had one or more CVD risk factors, and the most common CVD
risk factor was dyslipidemia. The overall average LDL-C level was 2.80 +/- 0.88
mmol/L, which was 2.62 +/- 0.90 mmol/L and 2.82 +/- 0.87 mmol/L in patients with
and without CVD history respectively. Only 38% of all patients met the target
goal and 37.3% of patients who took lipid-lowering agents met target goal.
Overall, 24.5% of all patients were on lipid-lowering medication, and 36.3% of
patients with a CVD history and 20.9% of patients without CVD history took
statins for LDL-C management. The mean statin dosage was 13.9 +/- 8.9 mg.
CONCLUSIONS: Only a small portion of patients achieved target LDL-C level, and
the rate of using statins to control LDL-C was low. Managing LDL-C with statins
in patients with diabetes should be promoted, especially in patients without a
CVD history and with one or more CVD risk factors.
PMID- 29363645
TI - Effects of Repetitive Transcranial Magnetic Stimulation Treatment on Event
Related Potentials in Schizophrenia.
AB - BACKGROUND: Repetitive transcranial magnetic stimulation (rTMS) and event-related
potentials (ERPs) are a noninvasive technique that widely used in
neurophysiological field. Although rTMS has shown clinical utility for a number
of neurological conditions, Recently,there was little understanding of the the
efficacy of rTMS on Schizophrenia(SZ) and the change of ERP between before and
after rTMS treatment. The objective of this study was to investigate the
characteristics of N400, mismatch negativity (MMN), and P300 before and after
treatment with rTMS in SZ. METHODS: One hundred and twenty-seven SZ patients
hospitalized in Shanghai Mental Health Center from March 2015 to July 2017,
divided into two groups (85 patients were recruited as rTMS group and 42 were
recruited as sham rTMS [ShrTMS] group) and 76 normal controls (NCs) who were the
staff and refresher staff in our hospital were recruited at the same time. A
Chinese-made rTMS and a Runjie WJ-1 ERPs instrument were used in the present
experiment. N400 was elicited by congruent and noncongruent Chinese idioms. After
rTMS treatment, N400, P300, and MMN characteristics were compared with those
before treatment and NC group. RESULTS: Compared with NC, the SZ patients
exhibited delays in N400, P300, and MMN latency and decreased N400, P300, and MMN
amplitudes in their frontal area (P < 0.05). After 25 rTMS treatments, N400
amplitudes in the frontal area (elicited by idioms with same phonic and different
shape and meaning and with different phonic, shape, and meaning) were increased
in the SZ patients (P < 0.05). However, there was no significant change in N400
before and after treatment with ShrTMS in SZ patients (P > 0.05). Amplitudes for
MMN and target P300 also increased in SZ patients after rTMS treatment (P <
0.05). CONCLUSIONS: Based on our preliminary findings, we believe that the
combined usage of N400, MMN, and P300 could be a valuable index and an
electrophysiological reference in evaluating the effects of rTMS treatment in SZ
patients.
PMID- 29363646
TI - Comparison between Bilateral and Unilateral Sudden Sensorineural Hearing Loss.
AB - BACKGROUND: Bilateral sudden sensorineural hearing loss (BSSHL) is rare and
assumed to be a different clinical entity compared to unilateral SSHL (USSHL).
This study examined the differences between the idiopathic BSSHL and USSHL.
METHODS: Forty-six sequential BSSHL patients (Se-BSSHL) and 68 simultaneous BSSHL
(Si-BSSHL) were consecutively admitted between June 2008 and December 2015. Two
sets of patients served as control groups: (1) USSHL patients with healthy
contralateral ear and (2) USSHL patients with contralateral preexisting hearing
loss (USSHLwCHL). We retrospectively analyzed differences among four cohorts
using analysis of variance, Kruskal-Wallis test, Welch's t-test, and Chi-square
test as appropriate before and after propensity score matching (PSM) based on
age, gender, and body mass index (BMI). RESULTS: The prevalence of idiopathic
BSSHL was 8.6% (114/1329) among the total SSHL patients. In the total cohort,
USSHL patients tended to be younger, female, and tended to have lower BMI, renal
parameters, and total cholesterol in addition to higher high-density lipoprotein
compared to the other three groups. Most routine blood indicators, some
coagulation markers, and immunoglobulin M (H = 13.4, P = 0.004) were
significantly different among the study groups. After PSM, the major significant
differences were found in audiometric characteristics. Si-BSSHL and Se-BSSHL
patients demonstrated similar hearing thresholds as USSHL but were significantly
better than the USSHLwCHL patients across most frequencies before and after
treatment (H = 30.0, P < 0.001 for initial hearing and H = 12.0, P = 0.007 for
final hearing). Moreover, the BSSHL patients showed different hearing loss
distribution patterns (more descending type, chi2 = 33.8, P = 0.001) with less
hearing gain (H = 17.5, P < 0.001) compared to the USSHL patients. CONCLUSIONS:
Idiopathic BSSHL is a relatively rare subtype of SSHL with a higher rate of
descending audiogram type and inferior hearing outcome rather than being
classified as a completely different disease entity compared to USSHL.
PMID- 29363647
TI - Elevated Levels of Soluble ST2 were Associated with Rheumatoid Arthritis Disease
Activity and Ameliorated Inflammation in Synovial Fibroblasts.
AB - BACKGROUND: Much evidence has demonstrated that interleukin (IL)-33 plays an
important role in rheumatoid arthritis (RA). However, there have been limited
studies about soluble ST2, a receptor for IL-33, in RA. The aims of this study
were to detect the levels of ST2 in the serum and synovial fluid of RA patients
and to reveal the association of these levels with disease activity and the
function of ST2 in RA. METHODS: A total of 56 RA patients and 38 age-matched
healthy controls were enrolled in this study. Synovial fluid samples were
collected from another 30 RA patients and 20 osteoarthritis patients. Serum and
synovial fluid levels of ST2 were measured by ELISA. In addition, the levels of
ST2 in the serum of RA patients before and after therapy were detected. The
function of ST2 in RA was revealed by the results of an in vitro cell assay,
where recombinant ST2 proteins were used to treat peripheral blood mononuclear
cells (PBMCs) and RA synovial fibroblasts (RASFs). RESULTS: Serum-soluble ST2
levels were significantly higher in RA patients (127.14 +/- 61.43 pg/ml) than
those in healthy controls (78.37 +/- 41.93 pg/ml, P < 0.01). Synovial fluid
soluble ST2 levels (41.90 +/- 33.58 pg/ml) were much higher in RA patients than
those in osteoarthritis patients (19.71 +/- 16.72 pg/ml, P < 0.05). RA patients
who received effective therapy for 6 months showed decreased serum-soluble ST2
levels (113.01 +/- 53.90 pg/ml) compared to baseline (139.59 +/- 68.36 pg/ml) (P
= 0.01). RA patients with high disease activity had higher serum-soluble ST2
levels (162.02 +/- 56.78 pg/ml) than those with low disease activity (94.67 +/-
40.27 pg/ml, P = 0.001). Soluble ST2 did not affect IL-1beta, IL-6, IL-8, or
tumor necrosis factor-alpha (TNF-alpha) expression in PBMCs from RA patients.
However, soluble ST2 ameliorated the expressions of IL-33 and IL-1beta but not
that of IL-6, IL-8, or TNF-alpha in resting RASFs. Interestingly, in the RASFs
stimulated by TNF-alpha plus IL-1beta, soluble ST2 showed extensive suppressive
effects on the expression of IL-6, IL-8, and TNF-alpha. CONCLUSION: Elevated
levels of ST2 in the serum and synovial fluid were associated with disease
activity and ameliorated IL-33 expression and IL-33-induced inflammation in
RASFs, suggesting that soluble ST2 might be a potential therapeutic candidate for
RA.
PMID- 29363648
TI - Increased Efficacy of Antivenom Combined with Hyperbaric Oxygen on
Deinagkistrodon acutus Envenomation in Adult Rats.
AB - BACKGROUND: Snakebites are a neglected threat to global human health with a high
morbidity rate. The present study explored the efficacy of antivenom with
hyperbaric oxygen (HBO) intervention on snakebites, which could provide the
experimental basis for clinical adjuvant therapy. METHODS: Male Sprague-Dawley
rats (n = 96) were randomized into four groups: the poison model was established
by injecting Deinagkistrodon acutus (D. acutus) venom (0.8 LD50) via the caudal
vein; the antivenom group was injected immediately with specific antivenom via
the caudal vein after successful establishment of the envenomation model; and the
antivenom + HBO group was exposed to HBO environment for 1 h once at
predetermined periods of 0 h, 4 h, 12 h, and 23 h after antivenin administration.
Each HBO time point had six rats; the control group was left untreated. The rats
in the experimental group were euthanized at the corresponding time points after
HBO therapy, and brain tissue and blood were harvested immediately. Hematoxylin
and eosin (H&E) staining was used to investigate the pathological changes in the
rat brain. Immunohistochemistry (IHC), real-time polymerase chain reaction (PCR),
and Western blotting were used to detect the expression of Nestin mRNA and
protein in the subventricular zone (SVZ) of the brain. The levels of coagulation
function (prothrombin time, activated partial thromboplastin time [APTT], and
fibrinogen) and oxidation/antioxidation index (malondialdehyde [MDA] and
superoxide dismutase [SOD]) were analyzed. Data were analyzed using one-way
analysis of variance. RESULTS: The brain tissue from rats in the poison model was
observed for pathological changes using H&E staining. Tissues showed edema,
decreased cell number, and disordered arrangement in the SVZ in the snake venom
group. The antivenom - HBO intervention significantly alleviated these
observations and was more prominent in the antivenom + HBO group. The serum
levels of SOD and MDA in the snake venom group were increased and the antivenom -
HBO intervention further increased the SOD levels but significantly decreased the
MDA levels; however, this was enhanced within 1 h after HBO administration (MDA:
F = 5.540, P = 0.008, SOD: F = 7.361, P = 0.000). Activated partial
thromboplastin time (APTT) was significantly abnormal after venom administration
but improved after antivenom and was even more significant in the antivenom + HBO
group 5 h after envenomation (F = 25.430, P = 0.000). Only a few nestin-positive
cells were observed in the envenomation model. The expression levels were
significant in the antivenom and antivenom + HBO groups within 1 and 5 h after
envenomation and were more significant in the antivenom + HBO group as determined
by IHC, real-time PCR, and Western blotting (P < 0.05). D. acutus envenomation
has neurotoxic effects in the brain of rats. CONCLUSIONS: Antivenin and HBO,
respectively, induced a neuroprotective effect after D. acutus envenomation by
attenuating brain edema, upregulating nestin expression in SVZ, and improving
coagulopathy and oxidative stress. The intervention efficacy of antivenom with
HBO was maximum within 5 h after envenomation and was more efficacious than
antivenom alone.
PMID- 29363649
TI - Role of the Ca2+-Calcineurin-Nuclear Factor of Activated T cell Pathway in
Mitofusin-2-Mediated Immune Function of Jurkat Cells.
AB - BACKGROUND: Mitofusin-2 (MFN2), a well-known mitochondrial fusion protein, has
been shown to participate in innate immunity, but its role in mediating adaptive
immunity remains poorly characterized. In this study, we explored the potential
role of MFN2 in mediating the immune function of T lymphocytes. METHODS: We
manipulated MFN2 gene expression in Jurkat cells via lentiviral transduction of
MFN2 small interfering RNA (siRNA) or full-length MFN2. After transduction, the
immune response and its underlying mechanism were determined in Jurkat cells. One
way analysis of variance and Student's t-test were performed to determine the
statistical significance between the groups. RESULTS: Overexpression of MFN2
enhanced the immune response of T lymphocytes by upregulating Ca2+ (359.280 +/-
10.130 vs. 266.940 +/- 10.170, P = 0.000), calcineurin (0.513 +/- 0.014 vs. 0.403
+/- 0.020 nmol/L, P = 0.024), and nuclear factor of activated T cells (NFATs)
activation (1.040 +/- 0.086 vs. 0.700 +/- 0.115, P = 0.005), whereas depletion of
MFN2 impaired the immune function of T lymphocytes by downregulating Ca2+
(141.140 +/- 14.670 vs. 267.060 +/- 9.230, P = 0.000), calcineurin (0.054 +/-
0.030 nmol/L vs. 0.404 +/- 0.063 nmol/L, P = 0.000), and NFAT activation (0.500
+/- 0.025 vs. 0.720 +/- 0.061, P = 0.012). Furthermore, upregulated calcineurin
partially reversed the negative effects of MFN2 siRNA on T cell-mediated immunity
evidenced by elevations in T cell proliferation (1.120 +/- 0.048 vs. 0.580 +/-
0.078, P = 0.040), interleukin-2 (IL-2) production (473.300 +/- 24.100 vs.
175.330 +/- 12.900 pg/ml, P = 0.000), and the interferon-gamma/IL-4 ratio (3.080
+/- 0.156 vs. 0.953 +/- 0.093, P = 0.000). Meanwhile, calcineurin activity
inhibitor depleted the positive effects of overexpressed MFN2 on T cells
function. CONCLUSIONS: Our findings suggest that MFN2 may regulate T cell immune
functions primarily through the Ca2+-calcineurin-NFAT pathway. MFN2 may represent
a potential therapeutic target for T cell immune dysfunction-related diseases.
PMID- 29363650
TI - MicroRNA Profiling of Transgenic Mice with Myocardial Overexpression of
Nucleolin.
AB - BACKGROUND: Nucleolin (NCL) is the most abundant RNA-binding protein in the cell
nucleolus and plays an important role in chromatin stability, ribosome assembly,
ribosomal RNA maturation, ribosomal DNA transcription, nucleocytoplasmic
transport, and regulation of RNA stability and translation efficiency. In
addition to its anti-apoptotic properties, the underlying mechanisms associated
with NCL-related roles in different cellular processes remain unclear. In this
study, the effect of NCL on microRNA (miRNA) expression was evaluated by
generating transgenic mice with myocardial overexpression of NCL and by analyzing
microarrays of mature and precursor miRNAs from mice. METHODS: Using
microinjection of alpha-MyHc clone 26-NCL plasmids, we generated transgenic mice
with myocardial overexpression of NCL firstly, and then mature and precursor
miRNAs expression profiles were analyzed in NCL transgenic mice (n = 3) and wild
type (WT) mice (n = 3) by miRNA microarrays. Statistical Package for the Social
Sciences version 16.0 software (SPSS, Inc., Chicago, IL, USA) was used to perform
Student's t-test, and statistical significance was determined at P < 0.05.
RESULTS: Several miRNAs were found to be differentially expressed, of which 11
were upregulated and 4 were downregulated in transgenic mice with myocardial
overexpression of NCL compared to those in WT mice. Several differentially
expressed miRNAs were subsequently confirmed and quantified by real-time
quantitative reverse transcription-polymerase chain reaction. Bioinformatics
analysis was used for the prediction of miRNA targets. Furthermore, in vitro
experiments showed that NCL regulated miR-21 expression following hydrogen
peroxide preconditioning. CONCLUSIONS: Myocardial-protection mechanisms exerted
by NCL might be mediated by the miRNAs identified in this study.
PMID- 29363652
TI - Sustained Ventricular Tachycardia Secondary to Cardiac Metastasis of Lung Cancer.
PMID- 29363651
TI - Rationale and Study Design for a Single-Arm Phase IIa Study Investigating
Feasibility of Preventing Ischemic Cerebrovascular Events in High-Risk Patients
with Acute Non-disabling Ischemic Cerebrovascular Events Using Remote Ischemic
Conditioning.
AB - BACKGROUND: Acute minor ischemic stroke (AMIS) or transient ischemic attack (TIA)
is a common cerebrovascular event with a considerable high recurrence. Prior
research demonstrated the effectiveness of regular long-term remote ischemic
conditioning (RIC) in secondary stroke prevention in patients with intracranial
stenosis. We hypothesized that RIC can serve as an effective adjunctive therapy
to pharmacotherapy in preventing ischemic events in patients with AMIS/TIA. This
study aimed to investigate the feasibility, safety, and preliminary efficacy of
daily RIC in inhibiting cerebrovascular/cardiovascular events after AMIS/TIA.
METHODS: This is a single-arm, open-label, multicenter Phase IIa futility study
with a sample size of 165. Patients with AMIS/TIA receive RIC as an additional
therapy to secondary stroke prevention regimen. RIC consists of five cycles of 5
min inflation (200 mmHg) and 5-min deflation of cuffs on bilateral upper limbs
twice a day for 90 days. The antiplatelet strategy is based on individual
physician's best practice: aspirin alone, clopidogrel alone, or combination of
aspirin and clopidogrel. We will assess the recurrence rate of ischemic
stroke/TIA within 3 months as the primary outcomes. CONCLUSIONS: The data
gathered from the study will be used to determine whether a further large-scale,
multicenter randomized controlled Phase II trial is warranted in patients with
AMIS/TIA. TRIAL REGISTRATION: ClinicalTrials.gov, NCT03004820;
https://www.clinicaltrials.gov/ct2/show/NCT03004820.
PMID- 29363653
TI - Complex Heterozygous Mutation in the T-cell Immune Regulator 1 Gene Associated
with Severe Ocular Characteristics of Osteopetrosis in an Infant.
PMID- 29363654
TI - Severe Mediastinal Abscess after Endobronchial Ultrasound with Transbronchial
Needle Aspiration.
PMID- 29363655
TI - Characteristics of Adult Fractures in Emergency Department in Beijing Jishuitan
Hospital during 2009-2016.
PMID- 29363656
TI - Subsequent Multidrug-Resistant Bacteremia Is a Risk Factor for Short-Term
Mortality of Patients with Ventilator-Associated Pneumonia Caused by
Acinetobacter baumannii in Intensive Care Unit: A Multicenter Experience.
PMID- 29363657
TI - Granulation Tissue-type Hemangioma in the Internal Jugular Vein.
PMID- 29363658
TI - One Eye Suffering from Ocular Decompression Retinopathy after Trabeculectomy in a
Patient with Juvenile Open-Angle Glaucoma.
PMID- 29363659
TI - Retrieval of a Disconnected Left Atrial Appendage Occluder with Endoscopic
Grasping Forceps.
PMID- 29363660
TI - Acute ST-elevation Myocardial Infarction after Upper Gastrointestinal Bleeding: A
Clinical Dilemma of Antiplatelet Therapy.
PMID- 29363661
TI - Splenic Injury: An Unusual Complication of Colonoscopy.
PMID- 29363662
TI - Adrenal Collision Tumor Composed of Adrenocortical Adenoma and Pheochromocytoma.
PMID- 29363663
TI - Metformin Might Inhibit Virus through Increasing Insulin Sensitivity.
PMID- 29363664
TI - Preoperative Diagnosis of Endometriosis.
PMID- 29363665
TI - Evaluation of the longitudinal deformation of the left ventricular myocardium in
subjects with impaired fasting glucose with and without increased glycated
hemoglobin.
AB - OBJECTIVE: Prediabetes comprises a heterogeneous group because of the poor
concordance of its definition. The aim of our study was to evaluate the
longitudinal deformation of the left ventricular (LV) myocardium at the two
opposite ends of the prediabetes spectrum as defined by fasting blood sugar and
glycated hemoglobin (HbA1c). METHODS: Eighty consecutive subjects in a cross
sectional single-center study with impaired fasting glucose (IFG) (100-126 mg/dL)
and without significant epicardial coronary artery stenosis seen on selective
coronary angiography were included in our study and were divided into two groups
based on their HbA1c levels (<5.7% and 5.7%-6.4%). The longitudinal deformation
of the LV myocardium was compared between the two groups using two-dimensional
speckle-tracking echocardiography (2DSTE). RESULTS: The Student t-test, Mann
Whitney U test, or X2 test was used for data analysis, whichever was appropriate.
The systolic strain (-16.1%+/-2.0 vs. -16.8%+/-2.4; p=0.214), systolic strain
rate (-1.3+/-0.2 s-1 vs. -1.4+/-0.2 s-1; p=0.403), and early and late-diastolic
strain rates (1.4+/-0.3 s-1 vs. 1.5+/-0.3 s-1; p=0.456 and 0.9+/-0.1 s-1 vs.
1.0+/-0.2 s-1; p=0.684, respectively) of the LV myocardium were not statistically
different between the IFG subjects with and without increased HbA1c as detected
using 2DSTE. CONCLUSION: The longitudinal deformation of the LV myocardium as
detected using 2DSTE in the subjects without significant epicardial coronary
artery stenosis was not statistically significantly different between the IFG
subjects with and without increased HbA1c.
PMID- 29363666
TI - Serum interleukin-17 levels predict inflammatory activity in patients with
autoimmune hepatitis.
AB - INTRODUCTION The etiology of autoimmune hepatitis (AIH) is unclear, with
molecular mimicry between host and viral/drug antigens being the most plausible
mechanism initiating the immune cascade that induces hepatocyte injury. Finding a
serologic parameter that closely relates to the liver histology would be
beneficial for monitoring AIH activity and optimizing treatment. OBJECTIVES We
studied serum interleukin (IL)-17 levels and IL-17 activators (IL-6 and
transforming growth factor beta1 [TGF-beta1]) in treatment-naive and
immunosuppressed patients with AIH. We also analyzed the relationships between
these cytokines and histological inflammation scores. PATIENTS AND METHODS A
total of 44 patients with confirmed AIH were enrolled to the study (22 treatment
naive patients and 22 patients in clinical remission after at least 3 years of
immunosuppression). Liver biopsies were performed, and the histological grading
of inflammatory activity was performed by a single pathologist. The control group
comprised 30 healthy age- and sex-matched subjects. Serum IL-17, IL-6, and TGF
beta1 levels were measured by a quantitative sandwich enzyme immunoassay. RESULTS
Serum IL-17, IL-6, and TGF-beta1 levels were higher in treatment-naive
patients compared with controls (23.2 pg/ml vs 15.3 pg/ml, P = 0.0001; 5.20 pg/ml
vs 1.42 pg/ml, P = 0.0001; and 40.5 ng/ml vs 30.1 ng/ml, P = 0.04; respectively).
In treatment-naive patients, serum IL-17 negatively correlated with hepatic
inflammation (r = -0.63, P = 0.01). A reduced serum IL-17 concentration
correlated with an increased TGF-beta1 concentration in patients in clinical
remission (r = -0.51, P = 0.03). CONCLUSIONS Serum IL-17 levels may be a
useful parameter for assessing disease activity in patients with AIH.
PMID- 29363667
TI - Curcumol Controls Choriocarcinoma Stem-Like Cells Self-Renewal via Repression of
DNA Methyltransferase (DNMT)- and Histone Deacetylase (HDAC)-Mediated Epigenetic
Regulation.
AB - BACKGROUND Cancer stem cells (CSCs), in choriocarcinoma and other carcinomas,
possess the ability of self-renewal and multilineage differentiation potential.
We previous isolated choriocarcinoma cancer stem-like cells (CSLCs), which hold
the stemness characteristics of CSCs. Epigenetic modifications have emerged as
drivers in tumorigenesis, but the mechanisms of CSCs are largely unknown, and new
drug therapies are needed to break the persistence of CSCs. MATERIAL AND METHODS
Quantitative real-time PCR (qRT-PCR) and Western blot analysis were performed to
detect the expression of DNMTs, HDACs, and stemness-genes. DNMTs and HDACs
silencing and overexpressing lentivirus were transfected into JEG-3 cells to
investigate the epigenetic functions in CSLCs. In vivo expression of curcumol
effects of CSLCs on DNMTs and HDACs were analyzed by immunohistochemistry.
RESULTS Expression of DNMT1, DNMT3b, HDAC1, and HDAC3 were increased in
choriocarcinoma CSLCs. Consistent with the inhibitory effect of 5-AzaC and TSA on
CSLCs, DNMT/HDAC knockdown displayed significant repression of self-renewal in
CSLCs. Curcumol inhibited the stemness ability of CSLCs in vitro and in vivo, and
the inhibitory effect we observed was mediated in part through repressing
activity of DNMTs and HDACs. Importantly, curcumol showed a better effect than
DNMT and HDAC inhibitors combined in eliminating CSLCs. CONCLUSIONS These
findings indicate that DNMT- and HDAC-mediated epigenetic regulation plays an
important role in the biology of choriocarcinoma CSLCs, and curcumol has the
potential to be a new drug to fight CSLCs, warranting further investigation of
epigenetic-based therapies.
PMID- 29363668
TI - Leptin receptor q223r polymorphism influences neutrophil mobilization after
Clostridium difficile infection.
AB - Clostridium difficile is the leading cause of nosocomial infections in the United
States. Clinical disease outcomes after C. difficile infection (CDI) are
dependent on intensity of host inflammatory responses. Specifically, peak
peripheral white blood cell (WBC) count >20 * 109 l-1 is an indicator of adverse
outcomes in CDI patients, and is associated with higher 30-day mortality. We show
that homozygosity for a common single nucleotide polymorphism (Q to R mutation in
leptin receptor that is present in up to 50% of people), significantly increases
the risk of having peak peripheral WBC count >20 * 109 l-1 (odds ratio=5.41;
P=0.0023) in CDI patients. In a murine model of CDI, we demonstrate that mice
homozygous for the same single nucleotide polymorphism (RR mice) have more blood
and tissue leukocytes (specifically neutrophils), exaggerated tissue
inflammation, and higher mortality as compared with control mice, despite similar
pathogen burden. Further, we show that neutrophilia in RR mice is mediated by gut
microbiota-directed expression of CXC chemokine receptor 2 (CXCR2), which
promotes the release of neutrophils from bone marrow reservoir. Overall these
studies provide novel mechanistic insights into the role of human genetic
polymorphisms and gut microbiota in regulating the fundamental biological process
of CDI-induced neutrophilia.
PMID- 29363669
TI - Histamine drives severity of innate inflammation via histamine 4 receptor in
murine experimental colitis.
AB - Ulcerative colitis (UC) patients exhibit elevated histamine, but how histamine
exacerbates disease is unclear as targeting histamine 1 receptor (H1R) or H2R is
clinically ineffective. We hypothesized that histamine functioned instead through
the other colon-expressed histamine receptor, H4R. In humans, UC patient biopsies
exhibited increased H4R RNA and protein expression over control tissue, and
immunohistochemistry showed that H4R was in proximity to immunopathogenic
myeloperoxidase-positive neutrophils. To characterize this association further,
we employed both the oxazolone (Ox)- and dextran sulfate sodium (DSS)-induced
experimental colitis mouse models and also found upregulated H4R expression. Mast
cell (MC)-derived histamine and H4R drove experimental colitis, as H4R-/- mice
had lower symptom scores, neutrophil-recruitment mediators (colonic interleukin-6
(IL-6), CXCL1, CXCL2), and mucosal neutrophil infiltration than wild-type (WT)
mice, as did MC-deficient KitW-sh/W-sh mice reconstituted with histidine
decarboxylase-deficient (HDC-/-) bone marrow-derived MCs compared with WT
reconstituted mice; adaptive responses remained intact. Furthermore, Rag2-/- *
H4R-/- mice had reduced survival, exacerbated colitis, and increased bacterial
translocation than Rag2-/- mice, revealing an innate protective antibacterial
role for H4R. Taken together, colonic MC-derived histamine initiates granulocyte
infiltration into the colonic mucosa through H4R, suggesting alternative
therapeutic targets beyond adaptive immunity for UC.
PMID- 29363670
TI - Noxa/HSP27 complex delays degradation of ubiquitylated IkBalpha in airway
epithelial cells to reduce pulmonary inflammation.
AB - IFN-gamma is known as a pro-inflammatory cytokine, but can also block
inflammation in certain chronic diseases although the underlying mechanisms are
poorly understood. We found that IFN-gamma rapidly induced Noxa expression and
that extent of inflammation by repeated house dust mite exposure was enhanced in
noxa-/- compared with noxa+/+ mice. Noxa expression blocked transforming necrosis
factor alpha (TNF-alpha)-induced nuclear translocation of nuclear factor kappa
light-chain-enhancer of activated B cells (NF-kappaB) and the production of pro
inflammatory cytokines. Noxa did not affect TNF-alpha-induced IkappaBalpha
phosphorylation but the degradation of 48-chain-ubiquitylated IkappaBalpha. The
Cys25 of Noxa was cross-linked with Cys137 of phospho-HSP27 and both proteins
were required for blocking the degradation of ubiquitylated IkappaBalpha. Because
phospho-HSP27 is present in airway epithelial cells and not in fibroblasts or
thymocytes, we generated transgenic mice that inducibly expressed Noxa in airway
epithelia. These mice showed protection from allergen-induced inflammation and
mucous cell metaplasia by blocking nuclear translocation of NF-kappaB. Further,
we identified a Noxa-derived peptide that prolonged degradation of 48-chain
ubiquitylated IkappaBalpha, blocked nuclear translocation of NF-kappaB, and
reduced allergen-induced inflammation in mice. These results suggest that the
anti-inflammatory role of the Noxa protein may be restricted to airway epithelial
cells and the use of Noxa for therapy of chronic lung diseases may be associated
with reduced side effects.
PMID- 29363671
TI - Intestinal epithelial Toll-like receptor 4 prevents metabolic syndrome by
regulating interactions between microbes and intestinal epithelial cells in mice.
AB - Little is known about the pathogenesis of metabolic syndrome, although Toll-like
receptor 4 (TLR4) has been implicated. We investigated whether TLR4 in the
intestinal epithelium regulates metabolic syndrome by coordinating interactions
between the luminal microbiota and host genes that regulate metabolism. Mice
lacking TLR4 in the intestinal epithelium (TLR4DeltaIEC), but not mice lacking
TLR4 in myeloid cells nor mice lacking TLR4 globally, developed metabolic
syndrome; these features were not observed in TLR4DeltaIEC mice given
antibiotics. Metagenomic analysis of the fecal microbiota revealed differences
between TLR4DeltaIEC and wild-type mice, while meta-transcriptome analysis of the
microbiota showed that intestinal TLR4 affected the expression of microbial genes
involved in the metabolism of lipids, amino acids, and nucleotides. Genes
regulated by peroxisome proliferator-activated receptors (PPARs) and the
antimicrobial peptide lysozyme were significantly downregulated in TLR4DeltaIEC
mice, suggesting a mechanism by which intestinal TLR4 could exert its effects on
the microbiota and metabolic syndrome. Supportingly, antibiotics prevented both
downregulation of PPAR genes and the development of metabolic syndrome, while
PPAR agonists prevented development of metabolic syndrome in TLR4DeltaIEC mice.
Thus, intestinal epithelial TLR4 regulates metabolic syndrome through altered
host-bacterial signaling, suggesting that microbial or PPAR-based strategies
might have therapeutic potential for this disease.
PMID- 29363673
TI - Cytoskeleton in high resolution.
PMID- 29363674
TI - Gene expression: AMPK relieves PRC2-mediated silencing.
PMID- 29363675
TI - Transimplant antral lavage as minimally invasive treatment of chronic
rhinosinusitis: Preliminary report of 11 consecutive cases.
AB - OBJECTIVE: The present article reports how a dental implant with an internal port
dental implant valve approach (DIVA) can be utilized as oroantral port to treat
chronic rhinosinusitis (CRS) in a minimally invasive manner. METHOD AND
MATERIALS: Eleven patients (age mean 68.1 years) with CRS were subjected to the
transimplant lavage technique. For three patients the CRS treatment was performed
via previously installed dental implants, and for another eight patients the
implantation procedure was combined with the CRS treatment. The moment the
implant was fully installed, the sinus membrane was punctured via the implant
channel. Upon completion of the pus drainage the sinus was irrigated with normal
saline, followed by the injection of a steroid solution (100 mg hydrocortisone).
RESULTS: Patients began to report symptomatic improvement from the first day
after the first-session procedure. Nine patients had complete relief of most of
their symptoms (nasal obstruction/discharge, anosmia/hyposmia) at day 30. The
follow- up nasal endoscopy demonstrated no evidence of active sinus disease. All
the implants used were found to be well-osseointegrated and still in use for
prosthetic purposes. Clinical and radiologic results showed stability and no
recurrence in the follow-up period. CONCLUSION: The dental implant with an
internal central port and integral dedicated sealing screw may be used for
irrigation, observation, and further treatment of the maxillary sinus in cases of
CRS.
PMID- 29363672
TI - Once and only once: mechanisms of centriole duplication and their deregulation in
disease.
AB - Centrioles are conserved microtubule-based organelles that form the core of the
centrosome and act as templates for the formation of cilia and flagella.
Centrioles have important roles in most microtubule-related processes, including
motility, cell division and cell signalling. To coordinate these diverse cellular
processes, centriole number must be tightly controlled. In cycling cells, one new
centriole is formed next to each pre-existing centriole in every cell cycle.
Advances in imaging, proteomics, structural biology and genome editing have
revealed new insights into centriole biogenesis, how centriole numbers are
controlled and how alterations in these processes contribute to diseases such as
cancer and neurodevelopmental disorders. Moreover, recent work has uncovered the
existence of surveillance pathways that limit the proliferation of cells with
numerical centriole aberrations. Owing to this progress, we now have a better
understanding of the molecular mechanisms governing centriole biogenesis, opening
up new possibilities for targeting these pathways in the context of human
disease.
PMID- 29363676
TI - Diagnosis of aggressive periodontitis: A dilemma?
AB - Periodontitis is one of the leading causes of tooth loss in the adult population.
This disease can be classified into various categories, and one of the most
destructive amongst them is aggressive periodontitis (AgP). The incidence of AgP
is lower than other types of periodontitis. However, it affects young individuals
and can cause severe destruction of tooth-supporting structures including tooth
loss if left untreated. The current classification for diagnosing periodontal
disease was established by the American Academy of Periodontology (AAP) in 1999.
This classification provided strict guidelines to aid in AgP diagnosis. These
include three main factors: systemically healthy individual, rapid loss of
clinical attachment, and familial aggregation. In spite of these specific
guidelines, AgP diagnosis is often missed clinically due to various reasons.
There is still a vast variation in the diagnostic criteria for identifying AgP
and not all practitioners utilize the AAP guidelines for their diagnosis.
Furthermore, the definition of the disease might be changing in the future to
better represent the current understanding of the disease. Since early diagnosis
and prompt treatment is key in treating these patients, it is important to have
calibration in the diagnosis process. This review aims to identify sources of
variation and ambiguity in diagnosing AgP among dental practitioners. For this
purpose, we have conducted an extensive literature search and outlined the
various diagnostic aids for AgP patients reported in the literature.
Understanding and correcting these variations can simplify the diagnostic process
leading to faster treatment of patients affected with AgP. This review also
emphasizes the importance of minimizing the bias in identifying patients with AgP
and highlights the best tools for this purpose.
PMID- 29363677
TI - Decoronation of an ankylosed tooth: Postoperative restoration by means of an
intermediate fixed orthodontic laboratory device.
AB - : Rehabilitation of missing decoronated permanent incisors in growing children
and adolescents is a major challenge. The psychologic impact of the loss of an
incisor is significant due to its immediate influence on facial appearance. Final
restoration is planned only after skeletal growth cessation. Consequently, the
fabrication and installation of an immediate temporary restoration, which is
expected to remain in place for a long period, must meet the patient's esthetic
demands and be durable in the oral environment. Several proposed removable and
fixed restorations have presented significant shortcomings. The purpose of this
report is to suggest a comfortable dental device for restoring the missing
permanent tooth with improved esthetic, functional, and psychologic benefits. An
alternative fixed orthodontic laboratory fabricated appliance for permanent tooth
restoration is described among children with posttraumatic tooth decoronation.
Unlike removable restorative appliances, this fixed device is not dependent on
the child's compliance. Esthetically, no metal part is exposed in the anterior
region and the architecture is more sturdy and stable, with minimum interruption
to the alveolar ridge's vertical development. CASE REPORT: An alternative fixed
orthodontic laboratory appliance is described for intermediate restoration of a
missing permanent tooth. CLINICAL RELEVANCE: A posttrauma intermediate fixed
orthodontic appliance is a beneficial solution from esthetic, psychologic,
functional, and economic perspectives for replacing missing anterior maxillary
teeth. This device may be worn by patients for a long period until final
restoration is indicated.
PMID- 29363678
TI - Effect of bone quality, implant design, and surgical technique on primary implant
stability.
AB - OBJECTIVE: Adaptation of the surgical protocol and implant selection depending on
bone quality has been advocated. It was the goal of this in-vitro study to
quantify the effect of implant geometry and implant site preparation on
micromotion at the implant-bone interface. METHOD AND MATERIALS: A parametric
study was conducted with implants differing in shape, length, and diameter placed
in polyurethane foam material differing in density and structure following
various methods of implant site preparation. Measurement parameters included bone
quality in the cervical and apical area, insertion torque, implant stability, and
implant displacement under load application. Statistical analysis was based on
ANOVA and Pearson's product moment correlation with the level of significance set
at alpha = .05. RESULTS: Compressive testing of bone allowed different bone
densities (P = .000) to be distinguished. Minor changes in bone density caused by
implant site preparation with osteotomes could not be detected (P > .05).
Undersized drilling (P = .001), the presence of a cortical layer (P = .000), and
the use of osteotomes (P = .000) led to a significant increase in implant
insertion torque. Thread cutting reduced insertion torque (P = .000) whereas the
use of short (P = 1.000) and wide diameter (P = .235) implants had no effect.
Implant stability measurements did not always allow for differentiation of
implants varying in shape and placed with different protocols. Increasing bone
density led to a general reduction in maximum implant displacement under loading.
Significant correlations between all parameters were found, with the exception of
residual implant displacement, which only correlated with compressive bone
testing in the cortical (P = .0341) and trabecular (P = .0359) areas. CONCLUSION:
Compressive testing of bone seems to allow the prediction of implant performance.
PMID- 29363679
TI - Cross-sectional study on the prevalence of carotid artery calcifications,
tonsilloliths, calcified submandibular lymph nodes, sialoliths of the
submandibular gland, and idiopathic osteosclerosis using digital panoramic
radiography in a Lower Austrian subpopulation.
AB - OBJECTIVE: Bone and soft tissue calcifications can often be visualized on
panoramic radiographs, thus leading to presumptive diagnoses requiring further
examinations. This cross-sectional study determined the prevalence of suspected
carotid artery calcifications, tonsilloliths, calcified submandibular lymph
nodes, sialoliths of the submandibular glands, and idiopathic osteosclerosis in a
Lower Austrian subpopulation. METHOD AND MATERIALS: Digital panoramic radiographs
(DPR) taken from 1,042 subjects for other clinical purposes between the years
2013 and 2015 were evaluated, using a standardized template. Chi-square tests and
Fisher's exact test were used to evaluate the relationship between the detected
calcifications and patient age as well as sex. RESULTS: Radiographic data from
490 men and 552 women (mean age of 49.2 [range 21 to 87] years) were analyzed.
Two hundred and twenty calcifications were recorded in 198 subjects (19.0%), of
which 100 (50.5%) were female. The mean age of participants with calcifications
was 55.8 [SD 14.1] years. Radiopaque structures included carotid artery
calcifications (5.7%), tonsilloliths (5.7%), calcified submandibular lymph nodes
(3.6%), sialoliths of the submandibular gland (0.9%), and idiopathic
osteosclerosis (5.3%) as presumptive diagnoses. In total, 196 calcifications
(89.1%) were recorded in patients older than 40 years; no gender-dependent
relationship could be revealed. Multiple calcification types were detected in 20
patients (11 women, 9 men). CONCLUSIONS: With a prevalence of 19.0% in the study
population, calcifications observed on DPR are more spread than previously
reported. Thus, with patients of increasing age, DPR should be regarded as an
important tool for basic screening of suspicious calcifications necessitating
further diagnostic assessments.
PMID- 29363680
TI - Assay of serum cholinesterase activity by an amperometric biosensor based on a co
crosslinked choline oxidase/overoxidized polypyrrole bilayer.
AB - Based on choline oxidase immobilized by co-crosslinking on an overoxidised
polypyrrole modified platinum electrode, a novel electrochemical assay for
cholinesterase activity in human serum was developed. The assay was performed by
adding an aliquot of cholinesterase standard solution or serum sample to
phosphate buffer containing choline or thiocholine ester and measuring the
oxidation current of hydrogen peroxide at the rotating modified electrode
polarized at +0.7 V vs. SCE. The influence of some experimental parameters such
as pH of the assay, mass transport at the electrode, type and concentration of
the cholinesterase substrate was studied and optimised. Reversible inhibition of
choline oxidase from cholinesterase substrates was evidenced for the first time,
which increases in the order of acetylcholine, butyrylcholine and s
butyrylthiocholine. Wide linear range, fast response time and appreciable long
term stability were assured for both acethyl- and butyrylcholinesterase assays.
On allowing the polypyrrole layer to efficiently remove interferences from the
electroactive compounds in the sample, the present method revealed to be suitable
for the detection of butyrylcholinesterase in human serum at activities as low as
0.5 U L-1. The validation with a reference spectrophotometric method showed no
significant differences when human serum samples were analysed.
PMID- 29363681
TI - Performance of point-of-care diagnosis of AIDS: label-free one-step-immunoassay
vs. lateral flow assay.
AB - The objective of this study is to develop an accurate, rapid, simple, and label
free assay technology that enables point-of-care diagnosis of AIDS. For this, 3
dimensional (3D) probes to sensitively detect anti-HIV antibodies were designed
and synthesized by genetically presenting a HIV antigen (gp41) on the surface of
engineered human ferritin nanoparticles. The 3D probes also present multi-copies
of the hexa-histidine peptide (H6) on their surface to chemisorb gold ions
(Au3+), which is essential for the generation and self-enhancement of assay
signals. The developed new assay technology (named "one-step-immunoassay")
quickly produced clear optical signals through a simple and convenient one-step
procedure. The diagnostic performance of the one-step-immunoassay was compared
with that of the conventional lateral flow assay (LFA) using 30 AIDS patient and
20 healthy sera. The sensitivity of LFA was only 63% when a single antigen (gp41)
was used but enhanced to 90% when three different antigens (gp41, p24, and gp120)
were used together as the assay probes. In contrast, the one-step-immunoassay
using only gp41 produced strong optical signals within 15 min without causing any
false negative/positive signals, showing 100% sensitivity and 100% specificity
and holding promising potential for clinical point-of-care diagnosis of AIDS.
PMID- 29363682
TI - Therapeutic applications of iron oxide based nanoparticles in cancer: basic
concepts and recent advances.
AB - Nanotechnology has introduced new techniques and phototherapy approaches to
fabricate and utilize nanoparticles for cancer therapy. These phototherapy
approaches, such as photothermal therapy (PTT) and photodynamic therapy (PDT),
hold great promise to overcome the limitations of traditional treatment methods.
In phototherapy, magnetic iron oxide nanoparticles (IONPs) are of paramount
importance due to their wide range of biomedical applications. This review
discusses the basic concepts, various therapy approaches (PTT, PDT, magnetic
hyperthermia therapy (MHT), chemotherapy and immunotherapy), intrinsic
properties, and mechanisms of cell death of IONPs; it also provides a brief
overview of recent developments in IONPs, with focus on their therapeutic
applications. Much attention is devoted to elaborating the various parameters,
intracellular behaviors and limitations of MHT. Bimodal therapies which act alone
or in combination with other modalities are also discussed. The review highlights
some limitations in the explored research areas and suggests future directions to
overcome these limitations.
PMID- 29363683
TI - Naphthalimide derivatives as multifunctional molecules for detecting 5
formylpyrimidine by both PAGE analysis and dot-blot assays.
AB - An azide and hydrazine tethered to a naphthalimide analogue was created to
selectively react with 5-formyluracil in one system and fluorogenically label 5
formylcytosine in another system. A biotin group was also introduced by copper
free click chemistry through selective labelling of the aldehyde present in 5
formylpyrimidine.
PMID- 29363684
TI - Metal-mediated linear self-assembly of porphyrins.
AB - Porphyrin derivatives are highly relevant to biological processes such as light
harvesting and charge separation. Their aromatic electronic structure and their
accessible HOMO-LUMO gap render porphyrins highly attractive for the development
of opto- and electro-active materials. Due to the often difficult covalent
synthesis of multiporphyrins, self-assembly using metal complexation as the
driving force can lead to well defined objects exhibiting a controlled
morphology, which will be required to analyse and understand the electronic
properties of porphyrin wires. This article presents two assembly approaches,
namely by peripheral coordination or by binding to a metal ion in the porphyrin
core, that are efficient and well designed for future developments requiring
interactions with a surface.
PMID- 29363685
TI - Nonthermal optical emission spectrometry for simultaneous and direct
determination of zinc, cadmium and mercury in spray.
AB - A nonthermal optical emission spectrometric (OES) system is developed for the
simultaneous multi-element determination of zinc, cadmium and mercury, with a
dielectric barrier discharge (DBD) microplasma generated on the nozzle of a
pneumatic micronebulizer as the excitation source. After solution nebulization,
zinc, cadmium and mercury are directly atomized and excited in the spray by a DBD
microplasma for performing optical emission. The emission spectra are measured
with a charge-coupled device (CCD) spectrometer. Various important parameters
governing the analytical performance of the nonthermal OES system are
scrutinized, encompassing the conditions of sample solution introduction,
microplasma formation, signal collection and matrix matching for DBD-OES
detection. With the characteristic emission lines of Zn 213.9 nm, Cd 228.8 nm and
Hg 253.7 nm as the analytical lines for quantification, the detection limits for
the simultaneous determination of Zn, Cd and Hg are 22, 1.6, and 10 MUg L-1,
respectively, and the corresponding linear ranges are 70-6000, 5-1000, and 35
2000 MUg L-1, respectively. The accuracy of the present nonthermal OES system is
confirmed by the determination of Zn, Cd and Hg in two certified reference
materials, i.e., CRM 176 (city waste incineration ash) and GBW 08608 (water). The
proposed nonthermal OES system provides a portable instrument for field analysis
in emergency with low cost and low consumption.
PMID- 29363686
TI - A flexible polyaniline-based bioelectronic patch.
AB - Bioelectronic materials based on conjugated polymers are being developed in the
hope to interface with electroresponsive tissues. We have recently demonstrated
that a polyaniline chitosan patch can efficiently electro-couple with cardiac
tissue modulating its electrophysiology. As a promising bioelectronic material
that can be tailored to different types of devices, we investigate here the
impact of varying the synthesis conditions and time of the in situ polymerization
of aniline (An) on the sheet resistance of the bioelectronic patch. The sheet
resistance increases significantly for samples that have either the lowest molar
ratio of oxidant to monomer or the highest molar ratio of dopant to monomer,
while the polymerization time does not have a significant effect on the
electrical properties. Conductive atomic force microscopy reveals that the patch
with the lowest sheet resistance has a connected network of the conductive phase.
In contrast, patches with higher sheet resistances exhibit conductive areas of
lower current signals or isolated conductive islands of high current signals.
Having identified the formulation that results in patches with optimal electrical
properties, we used it to fabricate patches that were implanted in rats for two
weeks. It is shown that the patch retains an electroactive nature, and only mild
inflammation is observed with fibrous tissue encapsulating the patch.
PMID- 29363687
TI - Time-resolved visual detection of heparin by accelerated etching of gold
nanorods.
AB - Plasmonic gold nanorods are promising and sensitive light scattering probes,
which can reach the single particle level. Herein, we present the light
scattering properties of gold nanorods for time-resolved visual detection of
heparin based on the rapid etching of gold nanorods under dark-field microscopy.
PMID- 29363688
TI - Palladium-unleashed proteins: gentle aldehyde decaging for site-selective protein
modification.
AB - Protein bioconjugation frequently makes use of aldehydes as reactive handles,
with methods for their installation being highly valued. Here a new, powerful
strategy to unmask a reactive protein aldehyde is presented. A genetically
encoded caged glyoxyl aldehyde, situated in solvent-accessible locations, can be
rapidly decaged through treatment with just one equivalent of allylpalladium(ii)
chloride dimer at physiological pH. The protein aldehyde can undergo subsequent
oxime ligation for site-selective protein modification. Quick yet mild
conditions, orthogonality and powerful exposed reactivity make this strategy of
great potential in protein modification.
PMID- 29363689
TI - Green synthesis and evaluation of an iron-based metal-organic framework MIL-88B
for efficient decontamination of arsenate from water.
AB - Iron-containing metal-organic frameworks (MOFs) have gradually emerged as
environmentally benign alternatives for reducing the levels of environmental
contamination because of their advantages, such as readily obtained raw materials
with low cost, nontoxic metal source with good biocompatibility, and
distinguished physicochemical features e.g., high porosity, framework
flexibility, and semiconductor properties. In this study, we reported an
innovative strategy for synthesizing an iron-based MOF, MIL-88B, at room
temperature. The novelty of this strategy was the use of ethanol as solvent and
the pretreatment of dry milling with neither the bulk use of a toxic organic
solvent nor the addition of extremely dangerous hydrofluoric acid or strong
alkali. The synthesized MIL-88B(Fe) was evaluated as a sorbent for removing
arsenate in water and it exhibited high adsorption capacity (156.7 mg g-1) at a
low dosage. The removal capacity of trace arsenate on MIL-88B(Fe) was 32.3 mg g-1
at a low equilibrium concentration (6.4 MUg L-1), which satisfied the arsenic
threshold for drinking water. The results of Fourier transform infrared and X-ray
photoelectron spectroscopy indicated that the As(v) molecules bonded with the
oxygen molecules, which were coordinated with FeO clusters in the framework. This
work presented the potential use of the up-scaled MIL-88B as an excellent sorbent
for purifying arsenate-contaminated water.
PMID- 29363690
TI - New complex phosphates Cs3MIIBi(P2O7)2 (MII - Ca, Sr and Pb): synthesis,
characterization, crystal and electronic structure.
AB - Herein, the peculiarities of complex phosphate formation in self-fluxes of Cs-MII
Bi-P-O (MII = Ca, Sr, Ba and Pb) systems with Cs/P = 0.7-1.3 at fixed ratios of
Bi/P = 0.2 and Bi/MII = 1.0 were studied and discussed. Three novel isostructural
diphosphates with the general composition Cs3MIIBi(P2O7)2 (MII = Ca, Sr and Pb)
and the original framework topology were synthesized and characterized via single
crystal and powder X-ray diffraction, SEM, DTA, and FTIR- and UV-VIS
spectroscopy. In addition, electronic structure (DFT) and Voronoi-Dirichlet
polyhedra (VDP) characteristics calculations and crystallochemical analysis were
performed. In the structure of the new compounds, the MIIO7 and BiO6 polyhedra
are connected via common oxygen vertices forming infinite helical-like chains,
which are linked by P2O7 groups into a 3D-framework with pentagonal tunnels,
where the Cs+ cations are located. The structural peculiarities are discussed
considering perspectives for the creation of new luminescent materials. The
dielectric bandgaps, Eg, of the Cs3MIIBi(P2O7)2 crystals reveal an ~0.2 eV low
energy shift in the Ca-Sr-Pb sequence of MII cations, which reveals the
possibility to tune the optical absorbance spectra of the crystals via the
synthesis of solid solutions with various contents of MII cations. The glass
ceramic synthetic approach is also proposed as a convenient method for the
creation of new diphosphates, and the applicability of this method is verified
for Cs3CaBi(P2O7)2.
PMID- 29363691
TI - Photochromism in oxalatoniobates.
AB - Addition of 2,2'-bipyridine (bpy), 1,10-phenanthroline (phen) or 2-aminopyridine
(2-NH2-py) to aqueous solutions of (NH4)[NbO(C2O4)2(H2O)2].3H2O (Nb-Ox) yields
tris-oxalate complexes (bpyH2)(bpyH)[NbO(C2O4)3].2H2O (1),
(phenH)3[NbO(C2O4)3].3H2O (2), and (2-NH2-pyH)3[NbO(C2O4)3].2H2O (3), which were
characterised by XRD, IR and EA. Bipyridinium salt 1 demonstrates remarkable
photoactivity even under irradiation by daylight. The nature of the photoactivity
was studied by diffuse reflectance (DR) spectroscopy, ESR and quantum-chemical
calculations.
PMID- 29363692
TI - Host-guest capability of a three-dimensional heterometallic macrocycle.
AB - A three-dimensional heterometallic coordination macrocycle is found to be capable
of encapsulating planar pyrene (G1), coronene (G4) and non-planar corannulene
(G2) guest molecules in high yields, giving rise to 1 : 1 host-guest complexes.
The bowl-shaped guest corannulene is found to be significantly flattened upon
inclusion within the cavity. However, macrocyclic compounds with larger cavity
sizes, which form 1 : 1 stoichiometry assemblies with a naphthalene bisimide
planar molecule (G3), are more inclined to form infinite sandwich structures.
Furthermore, these heterometallic coordination macrocycles can be destroyed in
the presence of a soft base to form hexanuclear triangular prism complexes. These
structures are unambiguously revealed by single-crystal X-ray analysis.
PMID- 29363693
TI - Concentration dependent supramolecular interconversions of triptycene-based
cubic, prismatic, and tetrahedral structures.
AB - The quantitative, single step, self-assembly of a shape-persistent, three
dimensional C3v-symmetric, triptycene-based tris-terpyridinyl ligand initially
gives a platonic-based cubic architecture, which was unequivocally characterized
by 1D and 2D NMR spectroscopy, mass spectrometry, and single crystal X-ray
structural analysis. The unique metal-ligand binding properties of the Cd2+
analogue of this construct give rise to a concentration-dependent dynamic
equilibrium between cube, prism, and tetrahedron-shaped architectures. Dilution
transforms this cube into two identical tetrahedra through a stable prism-shaped
intermediate; increasing the concentration reverses the process.
PMID- 29363694
TI - Metal-organic frameworks based on octafluorobiphenyl-4,4'-dicarboxylate:
synthesis, crystal structure, and surface functionality.
AB - In contrast to aromatic carboxylates, the coordination polymers based on their
perfluorinated analogues are not numerous. Here we present a series of six Zn(ii)
coordination polymers of different dimensionalities (1D, 2D, and 3D) and
porosities based on octafluorobiphenyl-4,4'-dicarboxylate (oFBPDC2-) and N
containing co-ligands (ur, dabco, and bpy). These complexes are characterized by
single-crystal X-ray diffraction, PXRD, FT-IR, elemental analysis, and TGA. The
metal-organic frameworks [Zn2(CH3CONH2)2(oFBPDC)2] (1) and [Zn2(oFBPDC)2(dabco)]
(4) are shown to be porous with BET surface areas of 470 m2 g-1 and 441 m2 g-1,
respectively. In addition, compound 4 shows selectivity factors of 11.3, 4.9 and
more than 6 for the binary gas mixtures CO2/N2, CO2/CH4 and benzene/cyclohexane,
respectively. The measurements for pressed powders and water droplet give water
contact angles of 136 degrees for 4 and 133 degrees for
(H2bpy)[Zn2(bpy)(oFBPDC)3] (5). Low water uptake indicates that both 4 and 5
belong to highly hydrophobic solids.
PMID- 29363695
TI - A new BODIPY-derived ratiometric senor with internal charge transfer (ICT)
effect: colorimetric/fluorometric sensing of Ag.
AB - With a 4-aminostyryl group introduced at its 3-position, a BODIPY BDP-ODTAC was
derived as a new ratiometric sensor for Ag+ by modifying 4-amino group as a Ag+
chelator, 1-oxa-4,10-dithia-7-azacyclododecane (ODTAC). In addition to the
specific Ag+-induced hypsochromic absorption shift from 606 to 562 nm, this
sensor demonstrated an excitation shift from 600 to 560 nm due to the internal
charge transfer (ICT) effect endowed by the introduced alpha-4-aminostyryl group.
The Ag+-induced recovery and enhancement of the intrinsic local emission band was
also observed. The different sensing behavior of ODTAC-BDP with chelator ODTAC
substituting on the meso-phenyl group infers that the ratiometric sensing
behavior of BDP-ODTAC is correlated to the amino group in ODTAC acting as the
electron donor for the ICT effect. With high Ag+ selectivity over interfering
cations such as Hg2+ and Pb2+, BDP-ODTAC displays a fluorometric limit of
detection (LOD) of ~17 nM (~0.002 ppm), which is distinctly lower than EPA and
WHO standards for drinking water (500 nM, ~0.055 ppm). Moreover, the BDP-ODTAC
doped PVC film shows the Ag+ sensitivity of 1 ppm with a color switch from blue
to purple, providing this sensor the ability to determine Ag+ in totally aqueous
solution sensitively via naked-eye detection.
PMID- 29363696
TI - Catalytic N-Si coupling as a vehicle for silane dehydrocoupling via alpha
silylene elimination.
AB - Exploration of (N3N)ZrNMe2 (1, N3N = N(CH2CH2NSiMe3)33-) as a catalyst for the
cross-dehydrocoupling or heterodehydrocoupling of silanes and amines suggested
silylene reactivity. Further studies of the catalysis and stoichiometric modeling
reactions hint at alpha-silylene elimination as the pivotal mechanistic step,
which expands the 3p elements known to engage in this catalysis and provides a
new strategy for the catalytic generation of low-valent fragments.
PMID- 29363697
TI - A yolk-shell V2O5 structure assembled from ultrathin nanosheets and coralline
shaped carbon as advanced electrodes for a high-performance asymmetric
supercapacitor.
AB - Various V2O5 three-dimensional nanostructures are synthesized using a facile
template-free hydrothermal method and evaluated for use as supercapacitor
electrode materials. As a result, the yolk-shell structure assembled from
ultrathin nanosheets shows the best electrochemical performance, with a specific
capacitance of 704.17 F g-1 at 1.0 A g-1 and a high capacity retention of 89%
over 4000 cycles at 3.0 A g-1. In addition, a continuous three-dimensional porous
coralline-shaped carbon is synthesized from osmanthus and has a large Brunauer
Emmett-Teller surface area of 2840.88 m2 g-1. Then, an asymmetric supercapacitor
is developed using the as-prepared yolk-shell V2O5 as a positive electrode and
the osmanthus derived coralline-shaped carbon as a negative electrode. This
exhibits an energy density of 29.49 W h kg-1 at a power density of 800 W kg-1
with a good cycling performance that retains 90.6% of its initial capacity after
2000 cycles at 3.0 A g-1. Furthermore, two cells in series can easily brightly
light up a light-emitting diode (3 V), further demonstrating the great potential
of the prepared materials for high-performance supercapacitor devices.
PMID- 29363698
TI - Modifying the donor properties of tris(pyridyl)aluminates in lanthanide(ii)
sandwich compounds.
AB - The coordination ability of tris(pyridyl)aluminates can be modified by the steric
and electronic character of substituents at the 6-positions of their pyridyl
rings. Whereas [EtAl(6-Me-2-py)3]- (1) coordinates strongly to lanthanide(ii)
ions [Eu(ii) and Yb(ii)], [EtAl(6-Br-2-py)3]- (2) forms much weaker complexes,
and [EtAl(6-CF3-2-py)3]- does not coordinate at all. The modification of the
donor ability of these ligands is investigated by solid-state studies of the
Ln(ii) sandwich compounds and by competitive coordination studies in solution.
PMID- 29363699
TI - Hierarchical 3D NiFe2O4@MnO2 core-shell nanosheet arrays on Ni foam for high
performance asymmetric supercapacitors.
AB - Hierarchical NiFe2O4@MnO2 core-shell nanosheet arrays (NSAs) were synthesized on
Ni foam as an integrated electrode for supercapacitors, using a facile two-step
hydrothermal method followed by calcination treatment. The NiFe2O4 nanosheets
were designed as the core and ultrathin MnO2 nanoflakes as the shell, creating a
unique three-dimensional (3D) hierarchical electrode on Ni foam. The composite
electrode exhibited remarkable electrochemical performance with a high specific
capacitance of 1391 F g-1 at a current density of 2 mA cm-2 and long cycling
stability at a high current density of 10 mA cm-2 (only 11.4% loss after 3000
cycles). Additionally, an asymmetric supercapacitor (ASC) device was fabricated
with a NiFe2O4@MnO2 composite as the positive electrode material and activated
carbon (AC) as the negative one. The ASC device exhibited a high energy density
(45.2 W h kg-1) at a power density of 174 W kg-1, and an excellent cycling
stability over 3000 cycles with 92.5% capacitance retention. The remarkable
electrochemical performance demonstrated its great potential as a promising
candidate for high-performance supercapacitors.
PMID- 29363700
TI - Optically sensing phospholipid induced coil-helix transitions in the
phosphoinositide-binding motif of gelsolin.
AB - We present a systematic experimental and computational study of phospholipid
induced peptide coil-helix transitions which are relevant in the context of
proteins mediating cytoskeletal rearrangement via membrane binding. We developed
a sensitive Forster resonance energy transfer (FRET) based assay to address
whether coil-helix transitions in phospholipid binding motifs of actin-binding
proteins can be induced by physiologically-relevant concentrations (1-20 MUM) of
phosphatidylinositol-4,5-bisphosphate (PI(4,5)P2) phospholipids. Based on inter
residue distance constraints obtained from Molecular Dynamics (MD) simulations of
a 20 residue peptide (Gel 150-169) from the actin-severing protein gelsolin, we
synthetized and labeled the peptide with a tryptophan donor and IAEDANS acceptor
pair. Upon addition of PI(4,5)P2 micelles and mixed vesicles containing PI(4,5)P2
and phosphatidylcholine to the peptide, we observed a decrease in the tryptophan
emission intensity with increasing concentrations of PI(4,5)P2. The IAEDANS
emission spectra showed a more complex profile exhibiting a blue shift of the
emission peak and non-monotonic changes in the intensity profile with increasing
concentrations of PI(4,5)P2. We showed that the IAEDANS acceptor emission
response is a result of both intrinsic polarity sensitivity of the acceptor in
the vicinity of the membrane surface and fluorescence energy transfer from the
donor. Importantly, the fluorescence lifetime of the donor (tryptophan) showed a
monotonous decrease with increasing mol% of PI(4,5)P2 from 1.13 +/- 0.10 ns in
the absence of phospholipids to 0.25 +/- 0.03 ns in the presence of 100%
PI(4,5)P2 micelles. We also showed a concomitant increase in FRET efficiency with
increasing PI(4,5)P2 levels indicating a PI(4,5)P2 concentration dependent coil
helix transition. Our studies demonstrate that membrane PI(4,5)P2 concentrations
as low as 2.5-5 MUM can trigger helix-coil conformational changes in gelsolin
relevant for triggering regulatory processes in the cell.
PMID- 29363704
TI - Quantitative N-glycoproteomics of milk fat globule membrane in human colostrum
and mature milk reveals changes in protein glycosylation during lactation.
AB - Milk fat globule membrane (MFGM) proteins have recently gained increasing
attention, due to their significant biological function. However, the
glycosylation of proteins in human MFGM during lactation has not been studied in
detail. In this study, through mass spectroscopy-based N-glycoproteomics, we
analyzed protein glycosylation of human MFGM. A total of 912 N-glycosylation
sites on 506 N-glycoproteins were identified in human colostrum and mature milk
MFGM. Among them, 220 N-glycoproteins with 304 N-glycosylation sites were
differentially expressed in colostrum and mature milk MFGM. Gene Ontology (GO)
analysis revealed various biological processes, cellular components, and
molecular functions of the differentially expressed N-glycoproteins.
Specifically, these glycoproteins were involved in biological processes such as
single-organism processes, biological regulation, regulation of biological
processes, response to stimulus and localization; were cellular components in
organelles, membranes, and the extracellular region; and had different molecular
functions such as protein binding, receptor activity, and hydrolase activity.
KEGG pathway analysis suggested that the majority of the differentially expressed
N-glycoproteins were associated with phagosome, cell adhesion molecule and some
disease-related pathways. Our results provide an in-depth understanding of the
quantitative changes in N-glycosylation of proteins in human colostrum and mature
MFGM, and extend our knowledge of the N-glycoproteome and of the distribution of
N-glycosylation sites in human MFGM during lactation, providing insight into the
biological functions of the highlighted glycoproteins.
PMID- 29363708
TI - DBU-promoted carbonylative synthesis of 1,3-oxathiolan-2-ones from propargylic
alcohols with TFBen as the CO source.
AB - A DBU-promoted carbonylative cyclization of propargylic alcohols with sulfur was
developed. Various 1,3-oxathiolan-2-ones were produced in 61-98% yields under
mild conditions in the absence of metal catalysts. TFBen (benzene-1,3,5-triyl
triformate) as an efficient and solid CO surrogate and S8 as an ideal sulfur
source were employed and incorporated.
PMID- 29363709
TI - Stretching and compression of DNA by external forces under nanochannel
confinement.
AB - Mechanical deformation of dsDNA molecules inside square nanochannels is
investigated using simulations based on a coarse-grained model of DNA. The
combined action of confinement and weak external forces is explored in a variety
of confinement regimes, including the transition zone relevant to nanofluidic
experiments. The computed free energy and force profiles are markedly affected by
the channel size. Effective elastic softening of confined DNA molecules relative
to the bulk DNA is observed in the channels of intermediate widths. The extension
of DNA from its bulk equilibrium length in nanofluidic devices is resolved into
contributions from the passive extension due to confinement and from the active
stretching induced by force. Potential implications of the very different energy
costs computed for the two extension modes (extension by confinement takes much
more free energy than stretching by force) for behavior of DNA in nanofluidic
chips are indicated.
PMID- 29363710
TI - Anti-Alzheimers activity and molecular mechanism of albumin-derived peptides
against AChE and BChE.
AB - Alzheimer's disease (AD) is a global health issue affecting millions of elderly
people worldwide. The aim of the present study was to identify novel anti-AD
peptides isolated from albumin. Anti-AD activities of the peptides were evaluated
via inhibitory activities on acetylcholinesterase (AChE) and
butyrylcholinesterase (BChE). Furthermore, the potential molecular mechanisms of
the KLPGF/AChE were investigated by CDOCKER of Discovery studio 2017. The results
revealed that peptide KLPGF could effectively inhibit AChE with an inhibition
rate of 61.23% at a concentration of 50 MUg mL-1. In addition, the peptide KLPGF
came in contact with acylation sites and peripheral anion sites of AChE. The
present study demonstrates that the peptide KLPGF could become a potential
functional food intervention in AD.
PMID- 29363714
TI - Prediction of long-term absence due to sickness in employees: development and
validation of a multifactorial risk score in two cohort studies.
AB - Objectives This study aimed to develop and validate a risk prediction model for
long-term sickness absence. Methods Survey responses on work- and lifestyle
related questions from 65 775 public-sector employees were linked to sickness
absence records to develop a prediction score for medically-certified sickness
absence lasting >9 days and >=90 days. The score was externally validated using
data from an independent population-based cohort of 13 527 employees. For both
sickness absence outcomes, a full model including 46 candidate predictors was
reduced to a parsimonious model using least-absolute-shrinkage-and-selection
operator (LASSO) regression. Predictive performance of the model was evaluated
using C-index and calibration plots. Results Variance explained in >=90-day
sickness absence by the full model was 12.5%. In the parsimonious model, the
predictors included self-rated health (linear and quadratic term), depression,
sex, age (linear and quadratic), socioeconomic position, previous sickness
absences, number of chronic diseases, smoking, shift work, working night shift,
and quadratic terms for body mass index and Jenkins sleep scale. The
discriminative ability of the score was good (C-index 0.74 in internal and 0.73
in external validation). Calibration plots confirmed high correspondence between
the predicted and observed risk. In >9-day sickness absence, the full model
explained 15.2% of the variance explained, but the C-index of the parsimonious
model was poor (<0.65). Conclusions Individuals' risk of a long-term sickness
absence that lasts >=90 days can be estimated using a brief risk score. The
predictive performance of this score is comparable to those for established
multifactorial risk algorithms for cardiovascular disease, such as the Framingham
risk score.
PMID- 29363715
TI - Early Relief of Pruritus in Atopic Dermatitis with Crisaborole Ointment, A Non
steroidal, Phosphodiesterase 4 Inhibitor.
AB - Pruritus occurs in all patients with atopic dermatitis and requires quick relief
to reduce disease exacerbation and improve quality of life. Crisaborole ointment
is a non-steroidal phosphodiesterase 4 inhibitor for the treatment of mild-to
moderate atopic dermatitis. This post hoc analysis explores crisaborole ointment
for early relief of pruritus in patients with mild to moderate atopic dermatitis
from 2 phase III studies. Patients received crisaborole or vehicle twice daily
for 28 days. Pruritus was graded on a 4-point scale of none (0) to severe (3).
Early improvement in pruritus required a score of none (0) or mild (1), with a >=
1-grade improvement from baseline on day 6. Significantly more patients
experienced early improvement in pruritus with crisaborole than with vehicle
(56.6% vs 39.5%; p< 0.001), including at earliest assessment (day 2, 34.3% vs
27.3%; p = 0.013). Crisaborole is a topical treatment option that can rapidly
relieve atopic dermatitis-associated pruritus.
PMID- 29363716
TI - Apoptosis induced by methanol extract of Potentilla discolor in human
mucoepidermoid carcinoma cells through STAT3/PUMA signaling axis.
AB - Potentilla discolor has been used in traditional Chinese medicine for the
treatment of hyperglycemia. However, the potential role of Potentilla discolor
against cancer and its mode of action remain to be fully elucidated. The present
study explored the apoptotic effect of methanol extract of Potentilla discolor
(MEPD) in human mucoepidermoid carcinoma (MEC) cell lines of salivary glands.
MEPD markedly suppressed the growth and induced apoptotic cell death in MC3 and
YD15 cells. MEPD treatment significantly upregulated the expression of PUMA and
reduced STAT3 phosphorylation. Overexpression of STAT3 partially recovered the
growth of MEC cells inhibited by MEPD. In addition, dephosphorylation of STAT3 by
cryptotanshinone (a potent STAT3 inhibitor) was sufficient to inhibit the growth
of MEC cells and induce apoptosis via affecting PUMA protein. These results
suggest that MEPD has a potential anticancer property via the STAT3/PUMA
signaling axis in human MEC cells of salivary gland.
PMID- 29363717
TI - Inhibition of microRNA-19b promotes ovarian granulosa cell proliferation by
targeting IGF-1 in polycystic ovary syndrome.
AB - The purpose of the present study was to investigate the functional role of
microRNA (miR)-19b in polycystic ovary syndrome (PCOS) and try to elucidate its
underlying mechanisms. Expression of miR-19b and insulin-like growth factor 1
(IGF-1) was examined in ovarian cortexes [(from 18 women with PCOS and 10 who did
not have PCOS (non-PCOS)] and KGN cells. Cell proliferation assays (cell
viability and colony formation assay) were performed following overexpression or
inhibition of miR-19b and IGF-1 or following insulin treatment in KGN cells.
Expression levels of the cell cycle-associated protein cyclin D1 and cyclin
dependent kinase (CDK) 1 were analyzed following overexpression or inhibition of
miR-19b and IGF-1. Potential miR-19b targets were identified by bioinformatics.
Luciferase assay, reverse transcription-quantitative polymerase chain reaction
and western blotting were performed to determine whether IGF-1 was a target of
miR-19b. miR-19b expression was significantly decreased in the PCOS ovarian
cortex and KGN cells and its identified target, IGF-1, was upregulated. miR-19b
overexpression inhibited cell proliferation at G2/M phrase. Overexpression of IGF
1 promoted cell viability and colony formation ability in KGN cells. The
expression of cyclin D1 and CDK1 was statistically increased by inhibition of miR
19b and overexpression of IGF-1. High concentrations of insulin decreased levels
of miR-19b, stimulated KGN cell proliferation, and elevated IGF-1 levels.
Inhibition of miR-19b promoted ovarian granulosa cell proliferation by targeting
IGF-1 in PCOS. Insulin decreased the expression levels of miR-19b and stimulated
cell proliferation. The present study suggested that overexpression of miR-19b
may be a potential therapeutic approach for PCOS.
PMID- 29363718
TI - Human placenta mesenchymal stem cells suppress airway inflammation in asthmatic
rats by modulating Notch signaling.
AB - Neurogenic locus notch homolog protein (Notch) signaling mediates intracellular
communication and may regulate cell fate decisions, including cell proliferation,
differentiation, and apoptosis. Mesenchymal stem cells (MSCs) possess
immunomodulatory properties and the potential for use in stem cell replacement
treatments. The aim of the present study was to evaluate the therapeutic effects
of human placenta-deviated MSCs (hPMSCs) in asthma and to investigate the
mechanisms of Notch signaling mediated by transplanted MSCs. A Sprague-Dawley rat
ovalbumin (OVA)-sensitized acute asthma model was established and challenged.
MSCs derived from human placenta (hPMSCs) were transplanted into the asthmatic
rats. Transplantation resulted in reduced Notch-1, Notch-2 and jagged-1, and
increased Notch-3, Notch-4 and delta-like ligand (delta)-4 expression in lung,
blood, and lymph samples. Notch-1, Notch-2, and jagged-1 expression in OVA
treated rats was significantly decreased compared with controls and hPMSC-treated
rats; however, Notch-3, Notch-4 and delta-4 expression was significantly
increased. Serum interferon-gamma significantly increased after hPMSCs
transplantation, whereas interleukin-4 and immunoglobulin E decreased. In OVA
treated rats, Notch-1, Notch-2 and jagged-1 levels were increased in the lymph
compared with the blood, although Notch-4 and delta-4 levels were decreased.
Peribronchial infiltration of cells and goblet cell hyperplasia were markedly
decreased in the OVA + hPMSCs group compared with those in the OVA-treated and
control groups. Alterations in Notch signaling pathway expression were
accompanied by decreased inflammatory cell infiltration, goblet cell hyperplasia
and mucus production in lung tissues. The results of the present study are
consistent with hPMSC suppression of asthma symptoms and inflammation by
regulating the Notch signaling pathway in the rat asthma model.
PMID- 29363719
TI - miR-483-3p regulates acute myocardial infarction by transcriptionally repressing
insulin growth factor 1 expression.
AB - The aim of the present study was to evaluate the functional association between
the expression of miR-483-3p and acute myocardial infarction (AMI) in patients
and in vitro. H9c2 cells were incubated in a vacuum with 5% CO2, 5% H2 and 90% N2
for 2 h, which generated the AMI model in vitro. Reverse transcription
quantitative polymerase chain reaction was used to measure miR-483-3p expression,
and flow cytometry analysis and ELISA analysis were used to analyze apoptosis
rate via caspase-3 and caspase-9 activity kits. B-cell lymphoma 2 (Bcl-2)/Bcl-2
associated X protein (Bax) and transcriptionally suppressed the protein
expression of insulin growth factor 1 (IGF-1) were analyze using western blot
analysis. The results demonstrated that the expression of miR-483-3p in patients
with AMI was increased when compared with the control group. In the in vitro
model, the overexpression of miR-483-3p promoted apoptosis, increased caspase-3
and caspase-9 activity levels, induced the protein expression of Bcl-2/Bax and
IGF-1. Picropodophyllotoxin, an IGF-1 inhibitor, was administered to cells
following the overexpression of miR-483-3p. Administration of
picropodophyllotoxin suppressed IGF-1 protein expression, promoted apoptosis,
increased caspase-3 and caspase-9 activity levels, and induced the protein
expression of Bax/Bcl-2. The results of the present study revealed that miR-483
3p may regulate AMI via the IGF-1 signaling pathway and may support the
restoration of functional performance following AMI.
PMID- 29363720
TI - Antitumor effects of Tubeimoside-1 in NCI-H1299 cells are mediated by microRNA
126-5p-induced inactivation of VEGF-A/VEGFR-2/ERK signaling pathway.
AB - Tubeimoside-1 (TBMS1), a triterpenoid saponin isolated from the tuber of
Bolbostemma paniculatum (Maxim) Franquet, serves an universal suppressive role in
multiple cancer types, including lung cancer. However, the mechanism involved in
non-small cell lung cancer (NSCLC) cells by which TBMS1 elicits its antitumor
effects is not yet comple-tely understood. The present study indicated that 10
umol/l TBMS1 significantly enhanced apoptosis and notably blocked the migration
and invasion of NCI-H1299 cells. These effects were reversed following
transfection with miR-126-5p inhi-bitor into TBMS1-treated NCI-H1299 cells.
Vascular endo-thelial growth factor-A (VEGF-A) is a target gene for miR-126-5p.
Notably, results suggested that the downregulated VEGF-A and VEGFR-2 in TBMS1
treated NCI-H1299 cells were upregulated after inhibiting miR-126-5p, and
overexpression of VEGF-A or VEGFR-2 could significantly reduce apoptosis and
promote the migration and invasion of TBMS1-treated NCI-H1299 cells. Furthermore,
TBMS1 combined with TBHQ (an ERK activator) dramatically suppressed TBMS1-induced
apoptosis and stimulated TBMS1-reduced migration and invasion in NCI-H1299 cells,
suggesting that TBMS1 inhibits the ERK signaling pathway and represses the growth
and metastasis of NCI-H1299 cells. Further study demonstrated that either
inhibiting miR-126-5p or overexpressing VEGF-A and VEGFR-2 in TBMS1-treated NCI
H1299 cells elevated the mRNA expression levels and phosphorylation levels of
MEK1, as well as ERK. To conclude, TBMS1 increases miR-126-5p expression, whereas
overexpressing miR-126-5p inactivates VEGF-A/VEGFR-2/ERK signaling pathway, which
ultimately actuates the pro-apoptotic and anti-metastatic effects in NCI-H1299
cells. Therefore, the present findings provide a theoretical foundation for TBMS1
as a potential candidate in NSCLC treatment.
PMID- 29363721
TI - Paeoniflorin induces G2/M cell cycle arrest and caspase-dependent apoptosis
through the upregulation of Bcl-2 X-associated protein and downregulation of B
cell lymphoma 2 in human osteosarcoma cells.
AB - Paeoniflorin (PF), extracted from the peony root, has been proved to possess
antineoplastic activity in different cancer cell lines. However, it remains
unclear whether PF has an antineoplastic effect against osteosarcoma cells. The
present study investigated the effects and the specific mechanism of PF on
various human osteosarcoma cell lines. Using the multiple methods to detect the
activity of PF on HOS and Saos-2 human osteosarcoma cell lines, including an MTS
assay, flow cytometry, transmission electron microscopy and western blotting, it
was demonstrated that PF induces inhibition of proliferation, G2/M phase cell
cycle arrest and apoptosis in the osteosarcoma cell lines in vitro, and
activation of cleaved-caspase-3 and cleaved-poly (ADPribose) polymerase in a dose
dependent manner. Furthermore, the pro-apoptotic factors Bcl-2 X-associated
protein and BH3 interacting domain death agonist were uregulated, while the anti
apoptotic factors B-cell lymphoma 2 (Bcl-2) and Bcl-2-extra large were
downregulated. In conclusion, these results demonstrated that PF has a promising
therapeutic potential in for osteosarcoma.
PMID- 29363723
TI - Application of antibodies against Borna disease virus phosphoprotein and
nucleoprotein on paraffin sections.
AB - In order to study the application of antibodies against recombinant proteins for
detecting Borna disease virus (BDV) phosphoprotein (p24) and nucleoprotein (p40)
(BDV-p24/p40) on paraffin sections by immunohistochemistry. The purified fusion
p24 and p40 proteins were used for the preparation of polyclonal and monoclonal
anti-p24 and anti-40 antibodies, which were confirmed by ELISA and western
blotting. Paraffin sections were made from BDV-infected Sprague-Dawley (SD) rats
(n=20), PBS-injected SD rats (n=20), normal SD rats (n=20) and normal C57 mice
(n=20). Immunohistochemical staining was performed according to the EnVisionTM
two-step protocol. Heat-mediated antigen retrieval was performed using the
retrieval buffer sodium citrate (1 mM; pH 6.0). All the antibodies against
recombinant proteins exhibited good sensitivity and specificity. There were
significant differences between the BDV-infected group and the BDV-uninfected
group for poly- and monoclonal anti-p24 and -p40 antibodies. These antibodies
against recombinant proteins may be used effectively to detect BDV p24 and p40 in
paraffin sections.
PMID- 29363722
TI - Therapeutic effects of beta-elemene via attenuation of the Wnt/beta-catenin
signaling pathway in cervical cancer cells.
AB - Concurrent radio chemotherapy treatment prolongs the survival rate of patients
with advanced cervical cancer; however, it has adverse side-effects. beta
elemene, an active component of the traditional Chinese medicinal herb Curcuma
zedoaria, is a promising alternative therapeutic drug for the treatment of
advanced cervical cancer. The aim of the present study was to investigate the
antitumor effects of beta-elemene in human cervical cancer SiHa cells and to
determine its underlying therapeutic molecular mechanisms. Cell viability, cell
cycle progression and apoptosis were detected using an MTT assay and flow
cytometry analysis. Furthermore, the levels of cell migration and cell invasion
were investigated using Transwell and wound healing assays. The expression levels
of Cyclin-dependent kinase inhibitor 2B (P15), Cyclin D1, cellular tumor antigen
p53, apoptosis regulator Bcl-2 (Bcl-2), apoptosis regulator BAX (Bax), 72 kDa
type IV collagenase (MMP-2), matrix metalloproteinase-9 (MMP-9), beta-catenin,
transcription factor 7 (TCF7), and Myc proto-oncogene protein (c-Myc) were
analyzed via western blotting. The results revealed that beta-elemene inhibited
the proliferation of SiHa cells in a dose and time-dependent manner.
Administration of beta-elemene induced G1 phase cell-cycle arrest, as
demonstrated by the upregulation of P15 expression and the downregulation of
Cyclin D1 expression. Furthermore, the present study revealed that beta-elemene
induced apoptosis in SiHa cells by enhancing the expression of p53 and Bax, and
suppressing the expression of Bcl-2. In addition, treatment with beta-elemene
inhibited cell migration and invasion via downregulation of MMP-2 and MMP-9
expression levels. Western blotting demonstrated that beta-elemene reduced the
expression levels of beta-catenin and its downstream target molecule TCF7, thus
resulting in reduced levels of their target proteins, including c-Myc, Cyclin D1,
Bax and MMP-2 in cervical cancer cells. The results of the present study
suggested that beta-elemene may inhibit cell proliferation and invasion, in
addition to inducing apoptosis, via attenuation of the Wnt/beta-catenin signaling
pathway in cervical cancer cells.
PMID- 29363724
TI - Effect of bone marrow mesenchymal stem cells on the polarization of macrophages.
AB - Inflammation is a defensive response in the living tissue of the vascular system
that acts against damage factors and involves various types of immune cells,
including macrophages, neutrophils, endothelial cells and other associated immune
molecules. If the release of inflammatory mediators is excessive, systemic
inflammatory response syndrome may develop. Sepsis is the most common
complication of severe burns and is a systemic inflammatory response syndrome
that is caused by infectious factors and is capable of leading to multiple organ
dysfunction and potentially death. Research concerning the mechanism and
treatment of sepsis is crucial. Macrophages are an important type of immune cell
that remove invasive pathogens and are involved in innate and adaptive immune
responses. It has been previously reported that bone marrow mesenchymal stem
cells (BMSCs) affect macrophages by regulating immunity. The present study aimed
to investigate the effect of BMSCs on macrophage polarization in vivo and in
vitro, in addition to the potential therapeutic effect of these cells on
experimental sepsis. BMSCs and peritoneal macrophages were isolated from Sprague
Dawley rats and co-cultured overnight as a mixed culture or Transwell system, and
subsequently stimulated with 100 ng/ml lipopolysaccharide (LPS). After 12 h, the
medium was replaced with normal complete medium for various durations and
supernatants were collected to extract proteins and cells for ELISA, western blot
and flow cytometry analysis to investigate different aspects of macrophages.
Sepsis was induced in Sprague-Dawley rats by injection of LPS (5 mg/kg), followed
by tail vein injection of BMSCs or PBS 1 h later. After 6, 12, 24 and 48 h, lung
tissues were harvested for pathological observation and peritoneal macrophages
were collected for flow cytometry analysis to assess the expression of markers,
including cluster of differentiation (CD)68 (used for gating), CD11c and CD206.
The results demonstrated that, in the culture medium, LPS stimulation increased
the expression of CD11c in macrophages, and the levels of tumor necrosis factor
alpha and inducible nitric oxide synthase were also increased. By contrast, in
macrophages treated with BMSCs directly, the expression of CD11c was reduced
compared with the LPS-stimulated macrophage alone group. However, the secretion
of interleukin-10, transforming growth factor-beta and arginase-1 was increased
in the direct co-culture group, compared with the LPS-stimulated macrophage alone
group. BMSCs reduced the inflammation in lung tissues and inhibited macrophage
expression of CD11c in the rat model of sepsis. The results of the present study
demonstrated that BMSCs co-cultured with macrophages directly inhibited
macrophage differentiation into the M1 phenotype and reduced inflammation in
macrophages stimulated by LPS. In vivo, BMSCs decreased the expression of CD11c
in peritoneal macrophages and reduced the pathological inflammatory response in
the lungs. The findings of the present study demonstrated that BMSCs may reduce
the extent of the systemic inflammatory response, which may contribute to the
development for a novel type of treatment for sepsis in the future.
PMID- 29363725
TI - Low-dose dexamethasone affects osteoblast viability by inducing autophagy via
intracellular ROS.
AB - Glucocorticoids (GCs) are closely associated with the progression of GC-induced
osteoporosis (GIOP) by inhibiting osteoblast viability. However, endogenous GCs
are important for bone development. In addition, previous studies have
demonstrated that GCs could induce autophagy, a cytoprotective process that is
protective against various stressors. In the present study, the aim is to explore
whether osteoblasts exhibited dose-dependent viability in the presence of GCs due
to autophagy. hFOB 1.19 osteoblasts were treated with various doses of
dexamethasone (DEX; 10-8-10-4 M) for 0, 24, 48 and 72 h. The results revealed a
biphasic effect of DEX on the viability of hFOB 1.19 cells; a high dose of DEX
(>=10-6 M) accelerated cell apoptosis, while a low dose of DEX (10-8 M) increased
cell viability. Furthermore, significantly increased autophagy was observed in
the low dose DEX treatment group, as indicated by the expression of the autophagy
associated proteins beclin 1 and microtubule-associated protein light chain 3,
and the detection of autophagosomes. Another finding was that DEX upregulated
intracellular reactive oxygen species (ROS), which was decreased by the autophagy
agonist rapamycin. The increase in autophagy and cell viability associated with
low-dose DEX (10-8 M) was suppressed by the ROS scavenger catalase and the
autophagy inhibitor 3-methyladenine. In conclusion, the results revealed that GCs
affected osteoblast viability in a dose-dependent manner. A low dose of GCs
increased osteoblast viability by inducing autophagy via intracellular ROS. The
results indicate that autophagy may be a novel mechanism by which osteoblasts
survive GC exposure and provide a potential therapeutic target for treating GIOP.
PMID- 29363726
TI - Inhibitory effect of Patrinia on BRL-3A cell apoptosis through the
TLR4/PI3K/AKT/GSK3beta and TLR4/P38/JNK signaling pathways.
AB - The present study investigated the inhibitory effect of Patrinia on
lipopolysaccharide (LPS)-induced apoptosis of rat liver BRL-3A cells. A Cell
Counting Kit-8 assay was performed to measure the effect of Patrinia on BLR-3A
cell activities. A biochemical assay was employed to detect the release of
lactate dehydrogenase (LDH) in BRL-3A cells induced by different doses of LPS.
Based on the release rate of LDH, drug concentrations were set at 0.5, 1 and 2
g/l. Apoptotic morphology of cells was observed via Hoechst 33342 staining and
flow cytometry was performed to detect apoptosis rates. Western blotting was
performed to detect the expression of toll-like receptor 4 (TLR4), protein kinase
B (AKT), phosphorylated (P)-AKTSer473, glycogen synthase kinase 3beta (GSK3beta),
P-GSK3betaSer9, P38, P-P38, c-Jun N-terminal kinase (JNK), P-JNK, B-cell lymphoma
2 (Bcl-2), Bcl-2 associated X protein (Bax) and active-caspase-3 proteins. The
translocation of GSK3beta was observed by immunofluorescence staining. Results
revealed that Patrinia increases cell activities and inhibits apoptosis. The
expression levels of TLR4, P-P38 and P-JNK were reduced, whereas the expression
of P-AKTSer473 and P-GSK3betaSer9 were increased. Patrinia significantly reduced
GSK3beta nuclear translocation induced by LPS, and significantly decreased the
mRNA expression levels of Bax/Bcl-2 and caspase-3 in BRL-3A cells induced by LPS.
In conclusion, Patrinia may significantly reduce apoptosis of BRL-3A induced by
LPS via the TLR4/PI3K/AKT/GSK3beta and TLR4/P38/JNK signaling pathways, providing
evidence for its potential use in liver disease therapy.
PMID- 29363727
TI - Protective effect of SIRT3 on acute lung injury by increasing manganese
superoxide dismutase-mediated antioxidation.
AB - Prolonged exposure to hyperoxia results in acute lung injury (ALI). Pulmonary
damage caused by oxygen toxicity occurs due to the generation of reactive oxygen
species and subsequent formation of more potent oxidants. The present study
demonstrated that sirtuin 3 (SIRT3) may attenuate hyperoxia-induced ALI due to
its potential antioxidative effect. In the present study, a hyperoxia-induced
acute lung injury mouse model, reverse transcription-quantitative polymerase
chain reaction, western blotting, retroviral mediated gene over-expression and
knockdown assays revealed that the expression of SIRT3 in the lung tissue of mice
with hyperoxia-induced ALI was decreased and overexpression of SIRT3 may
significantly reduce hyperoxia-induced ALI, as reflected by decreases in protein
concentration, infiltrated neutrophils in bronchoalveolar lavage (BAL) fluid and
wet/dry ratio of lung tissues. Furthermore, overexpression of SIRT3 increased the
protein levels and enzymatic activity of manganese superoxide dismutase (MnSOD),
and inhibited oxidative stress in the lungs of ALI mice. Additionally, the
current study demonstrated that SIRT3 promoted the expression of MnSOD, and this
regulation was crucial for the protective effect of SIRT3 on hyperoxia-induced
ALI. In summary, the results of the current study indicated that SIRT3
overexpression may effectively ameliorate hyperoxia-induced ALI in mice, which
indicates a potential application for SIRT3-based gene therapy to treat clinical
adult respiratory distress syndrome.
PMID- 29363728
TI - Interleukin-17 induces angiogenesis in vitro via CXCL8 and CCL2 in retinal
pigment epithelium.
AB - Interleukin-17 (IL-17) is a major pro-inflammatory cytokine involved in choroidal
endothelial cell (CEC) angiogenesis. Proteins expressed by the retinal pigment
epithelium (RPE) may contribute to CEC angiogenesis. The ability of IL-17 to
promote proliferation, migration and capillary-like structure formation in CECs
was investigated by stimulating the RPE in vitro. CECs were cultured in a
conditioned medium (CM) with IL-17 (IL-17-CM) or without IL-17 (CM) obtained from
the supernatant of an ARPE-19 cell line. The pro-angiogenic role of IL-17-CM on
CECs was investigated with water-soluble tetrazolium 1 analysis, wound healing
and Matrigel matrix tube formation assays. The expression level of vascular
endothelial growth factor was detected by enzyme-linked immunosorbent assay in
RPE cells treated with or without IL-17. Ras-related C3botulinum toxin substrate
1 (Rac1) and Ras homolog gene family member A (RhoA) activities were analyzed by
pull-down assays. IL-17-CM significantly enhanced tube formation and increased
the migration distance in CECs in comparison with CM. This effect was diminished
by neutralizing C-C motif chemokine 2 (CCL2) and C-X-C motif chemokine ligand 8
(CXCL8) expression in IL-17-CM, with a concomitant downregulation of Rac1 and
RhoA activity in CECs. In conclusion, it was demonstrated that IL-17 mediated the
expression of CCL2 and CXCL8 in RPE cells, resulting in increased migration and
tube formation in human CECs.
PMID- 29363729
TI - (-)-Epigallocatechin-3-gallate modulates peripheral immunity in the MPTP-induced
mouse model of Parkinson's disease.
AB - (-)-Epigallocatechin-3-gallate (EGCG) is the most widely studied catechin in
green tea and has been identified to regulate immune function. The objective of
the present study was to explore the possible application of EGCG in the
treatment of Parkinson's disease (PD) by examining its effects on the peripheral
immune system in the 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP)-induced
PD mouse model. The results demonstrated that EGCG treatment restored the
movement behavior of the mice impaired by MPTP, and protected tyrosine
hydroxylase-positive cells in the substantia nigra pars compacta region from MPTP
toxicity. Flow cytometric analysis indicated that the ratio of CD3+CD4+ to
CD3+CD8+ T lymphocytes in the peripheral blood increased in MPTP-treated mice
following treatment with EGCG, and EGCG reduced expression of inflammatory
factors tumor necrosis factor-alpha and interleukin-6 in serum. The present
findings indicated that EGCG serves neuroprotective effects in an MPTP-induced PD
mice model and may exert this through modulating peripheral immune response.
PMID- 29363731
TI - Ginsenoside 20(S)-Rh2 exerts anti-cancer activity through the Akt/GSK3beta
signaling pathway in human cervical cancer cells.
AB - Ginsenoside 20(S)-Rh2 (GRh2) is a bioactive compound derived from ginseng that is
believed to maintain health in traditional Chinese medicine. Emerging evidence
has suggested that GRh2 exhibits anti-cancer activity. The present study
hypothesized that GRh2 has an anti-cancer function in human cervical cancer
cells. An MTS assay demonstrated that GRh2 attenuated proliferation of HeLa cells
in a dose- and time-dependent manner. In addition, GRh2 inhibited migration and
invasion, as determined by wound healing and transwell invasion assays,
respectively. Furthermore, GRh2 treatment reduced expression of mesenchymal
markers N-cadherin and vimentin as well as epithelial mesenchymal transition
transcriptional factor zinc finger E-box-binding homeobox 1 and snail1, and
increased the protein expression levels of epithelial marker E-cadherin. In
addition, the results revealed that GRh2 prevented activation of the protein
kinase B (Akt)/glycogen synthase kinase (GSK)3beta signaling pathway in HeLa
cells. In conclusion, the results suggested that GRh2 inhibits cervical cancer
cell proliferation by targeting the Akt pathway, and prevents cervical cancer
cell migration and invasion by suppressing the Akt/GSK3beta regulated EMT
process, and therefore, GRh2 may have the potential to be a novel anti-cancer
agent for cervical cancer.
PMID- 29363730
TI - The roles of TRIO and F-actin-binding protein in glioblastoma cells.
AB - TRIO and F-actin-binding protein (TrioBP), which was initially discovered as a
binding partner of Trio and F-actin, is a critical factor associated with hearing
loss in humans. However, the function of TrioBP in cancer has not been
investigated. In the present study, TrioBP expression was indicated to be highly
elevated in U87-MG and U343-MG cells. Furthermore, the TrioBP mRNA expression
level was markedly increased in U87-MG and U251-MG cells compared with that in
cerebral cortex cells, as determined by deep sequencing. Comprehensive analysis
of a public TCGA dataset confirmed that TrioBP expression is elevated in patients
with glioblastoma. In summary, the present data indicate that TrioBP expression
is increased in glioblastoma cell lines and in patients with glioma, suggesting
that TrioBP has potential as a diagnostic marker or therapeutic agent for glioma.
PMID- 29363732
TI - Antagonist targeting microRNA-146a protects against lithium-pilocarpine-induced
status epilepticus in rats by nuclear factor-kappaB pathway.
AB - Previous studies have indicated that nuclear factor-kappaB (NF-kappaB) has an
important role in the pathogenesis of epilepsy. The aim of the present study was
to evaluate the expression of microRNA (miRNA)-146a, phosphorylated (p)-P65/P65,
B-cell lymphoma-2(Bcl-2)/Bcl-2-associated X protein (Bax) and pro-inflammatory
cytokines, such as interleukin (IL)-6, IL-1beta and tumor necrosis factor (TNF
alpha) in the brain tissue of rats with epilepsy. Sprague-Dawley rats were used
to establish the epilepsy model using the lithium-pilocarpine method. The
expression of miR-146a, pro-inflammatory cytokines, P-glycoprotein (P-gp), Bcl
2/Bax and p-P65/P65 were assessed by reverse transcription-semi-quantitative
polymerase chain reaction, enzyme-linked immunosorbent assay and western
blotting, respectively. Hematoxylin and eosin staining was used to determine the
pathology of epilepsy. The current findings revealed that the expression of miR
146a was greater in the model group compared with the control group, and that the
expression of miR-146a reached a maximum at 7 days post-treatment. The expression
levels of IL-1beta, IL-6 and TNF-alpha were significantly reduced in the miR-146a
antagonist group when compared with the model group. Additionally, the expression
levels of P-gp and p-P65/P65 were significantly reduced following the addition of
the miR-146a antagonist, whereas the expression levels of Bcl-2/Bax significantly
increased under the same conditions. Therefore, the NF-kappaB pathway and miR
146a may be potential therapeutic targets in the treatment of epilepsy.
PMID- 29363733
TI - Generation of specific antisera directed against D-amino acids: focus on the
neuroanatomical distribution of D-glutamate and other D-amino acids.
AB - This review updates the findings about the anatomical distribution (using
immunohistochemical techniques) and possible functions of D-glutamate in the
central nervous system of mammals, as well as compares the distribution of D
glutamate with the distribution of the most studied D-amino acids: D-serine and D
aspartate. The protocol used to obtain highly specific antisera directed against
D-amino acids is also reported. Immunoreactivity for D-glutamate was found in
dendrites and cell bodies, but not in nerve fibers. Perikarya containing D
glutamate were found in the mesencephalon and thalamus. The highest density of
cell bodies was found in the dorsal raphe nucleus, the mesencephalic central grey
matter, the superior colliculus, and in the subparafascicular thalamic nucleus.
In comparison with the distribution of immunoreactive cell bodies containing D
serine or D-aspartate, the distribution of D-glutamate-immunoreactive perikarya
is less widespread. Currently, the physiological actions mediated by D-glutamate
in the brain are unknown but the restricted neuroanatomical distribution of this
D-amino acid suggests that D-glutamate could be involved in very specific
physiological mechanisms. In this sense, the possible functional roles of D
glutamate are discussed.
PMID- 29363734
TI - Relationships between morphometrical properties and the texture of an extrusion
expanded snack made from squid mantle (Dosidicus gigas).
AB - : The giant squid (Dosidicus gigas) is a species of commercial interest as a
source of protein, and it can be developed into ready-to-eat food products,
including expanded extrusion snacks (EES). EES are prepared primarily from
starch; however, adding animal protein increases the nutritional contents. The
objective of this study was to evaluate the effect of the protein-carbohydrate
interactions on the physical and morphological characteristics of an EES made of
squid mantle and potato-corn flour. The independent variable was the squid mantle
content (40, 60, 80, and 100%) and two controls (01 = 100% potato, 02 = 100%
corn). The expansion rate (ER) of the sample is significantly minor (p < .5) when
the squid mantle content increases ER = 2.0, 1.8 1.4 to 40, 60, and 80%,
respectively. In samples with more protein, crispness and crunchiness were
reduced, whereas the hardness increased. Digital imaging analysis indicated that
the interaction between protein and starch causes significant morphometric
changes to the fractal dimension (2.665-2.739) and lacunarity (0.61-1.29). The
results showed that it is possible to incorporate up to 60% squid mantle to
prepare EES that possess texture and morphometric characteristics competitive in
reported studies with snacks usually incorporating flours, corn, and wheat in the
formulations. PRACTICAL APPLICATIONS: The giant squid is a very attractive
species because its meat has low caloric intake, high protein content, and is an
important source of omega 3 fatty acids. Despite the desirable qualities of the
squid meat its consumption is very low due to the low diffusion of the properties
of its meat, acid, and ammoniacal flavor, rigid texture that requires prolonged
cooking times and lack of alternatives of consumption. In Mexico, this type of
squid is mainly destined for export as frozen mantle and products with little
added value, which generates little economic benefit. Therefore, the results of
this research may be of interest to the squid processing industry, which demands
new forms of consumption of this marine species to increase their
commercialization and added value.
PMID- 29363735
TI - Graft-versus-host disease-associated hepatic portal venous gas.
PMID- 29363736
TI - Potential influence of concomitant chemotherapy on CXCR4 expression in receptor
directed endoradiotherapy.
PMID- 29363737
TI - Relationship between Mental Health and Burden among Primary Caregivers of
Outpatients with Schizophrenia.
AB - There is growing recognition that caring for a patient with schizophrenia often
results in high levels of perceived burden and poorer overall mental health for
caregivers. A quantitative cross-sectional design and standardized instruments
were used to collect data from 355 primary caregivers of adults in outpatient
care with schizophrenia in China. Structural equation modeling was used to
examine the association between caregiver burden and mental health among primary
caregivers and whether this association is influenced by personality, coping
style, and family functioning, based on a diathesis-stress perspective. Goodness
of-fit indices (chi2 /df = 1.406, GFI = 0.919, CFI = 0.957, etc.) confirmed that
the modified model fit the data well. In line with the diathesis-stress model,
and with this study's hypotheses, we found that caregiver burden was
significantly related to mental health outcomes directly. The final model showed
that personality traits, coping style, and family function influenced the
relationship between caregiver burden and mental health. The neuroticism
personality traits have a direct effect on caregiver burden and family
functioning in this sample. Coping style had a direct effect on the caregiver
burden, and family functioning had a direct effect on the caregiver burden. Our
final model about primary caregivers can be applied clinically to predict mental
health outcomes from caregiver burden.
PMID- 29363739
TI - Assessing complete remission status in incurable follicular lymphomas, to what
purpose?
PMID- 29363738
TI - Aeroallergen sensitization predicts acute chest syndrome in children with sickle
cell anaemia.
AB - Asthma is associated with higher rates of acute chest syndrome (ACS) and vaso
occlusive pain episodes among children with sickle cell anaemia (SCA).
Aeroallergen sensitization is a risk factor for asthma. We hypothesized that
aeroallergen sensitization is associated with an increased incidence of
hospitalizations for ACS and pain. Participants in a multicentre, longitudinal
cohort study, aged 4-18 years with SCA, underwent skin prick testing to ten
aeroallergens. ACS and pain episodes were collected from birth until the end of
the follow-up period. The number of positive skin tests were tested for
associations with prospective rates of ACS and pain. Multivariable models
demonstrated additive effects of having positive skin tests on future rates of
ACS (incidence rate ratio (IRR) for each positive test 1.23, 95% confidence
interval [CI] 1.11-1.36, P < 0.001). Aeroallergen sensitization was not
associated with future pain (IRR 1.14, 95%CI 0.97-1.33, P = 0.11). Our study
demonstrated that children with SCA and aeroallergen sensitization are at
increased risk for future ACS. Future research is needed to determine whether
identification of specific sensitizations and allergen avoidance and treatment
reduce the risk of ACS for children with SCA.
PMID- 29363740
TI - The "escape" model: a versatile mechanism for clonal expansion.
PMID- 29363741
TI - Adapting and Pilot Testing a Parenting Intervention for Homeless Families in
Transitional Housing.
AB - Intervention adaptation is a promising approach for extending the reach of
evidence-based interventions to underserved families. One highly relevant
population in need of services are homeless families. In particular, homeless
families with children constitute more than one third of the total homeless
population in the United States and face several unique challenges to parenting.
The purpose of this study was to adapt and pilot test a parenting intervention
for homeless families in transitional housing. An established adaptation model
was used to guide this process. The systematic adaptation efforts included: (a)
examining the theory of change in the original intervention, (b) identifying
population differences relevant to homeless families in transitional housing, (c)
adapting the content of the intervention, and (d) adapting the evaluation
strategy. Next, a pilot test of the adapted intervention was conducted to examine
implementation feasibility and acceptability. Feasibility data indicate an
intervention spanning several weeks may be difficult to implement in the context
of transitional housing. Yet, acceptability of the adapted intervention among
participants was consistently high. The findings of this pilot work suggest
several implications for informing continued parenting intervention research and
practice with homeless families in transitional housing.
PMID- 29363742
TI - Treatment with bortezomib in dense deposit disease associated with monoclonal
gammopathy of undetermined significance.
PMID- 29363743
TI - Diagnostic approach to pulmonary embolism in pregnancy: are the winds of change
upon us or is it deja vu all over again?
PMID- 29363744
TI - Serum hepcidin potentially identifies iron deficiency in survivors of critical
illness at the time of hospital discharge.
PMID- 29363745
TI - Marital Processes Linking Gender Role Attitudes and Marital Satisfaction Among
Mexican-Origin Couples: Application of an Actor-Partner Interdependence Mediation
Model.
AB - Informed by dyadic approaches and culturally informed, ecological perspectives of
marriage, we applied an actor-partner interdependence mediation model (APIMeM) in
a sample of 120 Mexican-origin couples to examine (a) the associations linking
Mexican immigrant husbands' and wives' gender role attitudes to marital
satisfaction directly and indirectly through marital processes (i.e., warmth and
negativity) and (b) whether the associations between spouses' gender role
attitudes and marital processes were moderated by wives' employment. Although
previous research has identified spouses' gender role attitudes as potential
predictors of spouses' marital satisfaction, no study has examined these links in
a dyadic model that elucidates how gender role attitudes may operate through
processes to shape marital satisfaction and conditions under which associations
may differ. We found that when spouses reported less sex-typed attitudes, their
partners reported feeling more connected to them and more satisfied with the
marriage, regardless of whether wives were employed. Our results suggest that
marital satisfaction was highest for those Mexican-origin couples in which
marital partners were less sex-typed in their attitudes about marital roles to
the extent that partners' attitudinal role flexibility promoted spouses' feelings
of warmth and connection to their partner.
PMID- 29363746
TI - Empirically derived lifespan polytraumatization typologies: A systematic review.
AB - CONTEXT: Polytraumatization classes based on trauma endorsement patterns relate
to distinct clinical outcomes. Person-centered approaches robustly evaluate the
nature, and construct validity of polytraumatization classes. OBJECTIVE: Our
review examined evidence for the nature and construct validity of lifespan
polytraumatization typologies. DATA SOURCES: In September 2016, we searched
Pubmed, PSYCINFO, PSYC ARTICLES, Academic Search Complete, PILPTS, Web of
Science, CINAHL, Medline, PsycEXTRA, and PBSC. Search terms included "latent
profile," "latent class," "latent analysis," "person-centered," "polytrauma,"
"polyvictimization," "traumatization," "lifetime," "cooccurring," "complex,"
"typology," "multidimensional," "sequential," "multiple," "subtype,"
"(re)victimization," "cumulative," "maltreatment," "abuse," and "stressor."
Inclusionary criteria included: peer-reviewed; latent class/latent profile
analyses (LCA/LPA) of lifespan polytrauma classes; adult samples of size greater
than 200; only trauma types as LCA/LPA indicators; mental health correlates of
typologies; and individual-level trauma assessment. Of 1,397 articles, nine met
inclusion criteria. DATA EXTRACTION: Following Preferred Reporting Items for
Systematic Reviews and Meta-Analyses (PRISMA) guidelines, research assistants
completed a secondary reference search, and independently extracted data with
standardized coding forms. RESULTS: Three-class (n = 5) or four-class (n = 4)
solutions were found. Seven studies found a class characterized by higher trauma
endorsement (high-trauma). All studies found a class characterized by lower
trauma endorsement (low-trauma), and predominance of specific traumas (specific
trauma; e.g., childhood maltreatment). High-trauma versus low-trauma classes and
specific-trauma versus low-trauma classes differed on mental health correlates.
CONCLUSION: Evidence supports the prevalence of a high-trauma class experiencing
poorer mental health, and the detrimental impact of aggregated interpersonal and
other traumas. We highlight the clinical importance of addressing
polytraumatization classes, and comprehensively assessing the impact of all
traumas.
PMID- 29363747
TI - Attachment Versus Differentiation: The Contemporary Couple Therapy Debate.
AB - This paper reviews the current debate between differentiation and attachment in
treating couples through exploring the tenets of crucible therapy (Schnarch,
1991) and emotionally focused couple therapy (Johnson, 2004). We provide a review
of the two theories-as well as the two "pure form" example models-and explore the
debate in light of the integrative movement in couple and family therapy (Lebow,
2014). We also examine points of convergence of the two theories and models, and
provide clinicians and researchers with an enhanced understanding of their
divergent positions. Both differentiation and attachment are developmental
theories that highlight the human experience of balancing individuality and
connection in adulthood. The two models converge in terms of metaconcepts that
pervade their respective theories and approach. Both models capitalize on the
depth and importance of the therapeutic relationship, and provide rich case
conceptualization and processes of therapy. However, they substantially differ in
terms of how they view the fundamental aspects of adult development, have vastly
divergent approaches to how a therapist intervenes in the room, and different
ideas of how a healthy couple should function. In light of the deep polarization
of the two models, points of integration-particularly between the broader
theories of attachment and differentiation-are offered for therapists to
consider.
PMID- 29363748
TI - Haematology patients and the risk of transfusion transmitted infection.
AB - A 2014 study by NHS Blood and Transplant indicated that over one quarter of red
cells were transfused to patients with haematological conditions. For platelet
components, the figure is higher. Certain diagnostic groups, such as
haemoglobinopathies, myelodysplastic syndromes and some haemato-oncology
patients, receive multiple transfusion episodes, either over long periods, or
more intensively over shorter periods. Haematology patients account for the
majority of the multi-transfused population. The risk of transfusion-transmitted
infection (TTI) increases with number of donor exposures, and the consequences of
TTI are often more significant in immunosuppressed individuals. Historically, use
of pooled plasma products in patients with clotting disorders resulted in
widespread transmission of hepatitis B virus, hepatitis C virus and human
immunodeficiency virus before effective screening and viral inactivation methods
were introduced.
PMID- 29363749
TI - Effects of washing, soaking and domestic cooking on cadmium, arsenic and lead
bioaccessibilities in rice.
AB - BACKGROUND: The health risk of heavy metals such as cadmium (Cd), arsenic (As)
and lead (Pb) in rice can be assessed by their concentration and
bioaccessibility. In this work, japonica cultivar Xinfeng 2 and indica cultivar T
You 15 were washed, soaked and cooked using three common domestic cooking
methods. The present study investigated the effects of washing, soaking, normal
cooking, high-pressure cooking and microwave cooking on the concentration,
bioaccessibility and health risk of Cd, As and Pb in rice. RESULTS: Washing
significantly reduced concentrations of Cd, As and Pb, and all three types of
cooking reduced bioaccessibilities of these elements. No significant differences
in bioaccessibility were observed among rice prepared with different cooking
methods. Concentrations and bioaccessibilities of Cd, As and Pb highly affected
the values of average daily dose, hazard quotient and lifetime cancer risk. High
concentration and bioaccessibility cause As to pose non-carcinogenic and
carcinogenic health risks to adults and children. Moreover, compared with adults,
children have a high chance of exposure to non-carcinogenic and carcinogenic
health risks. CONCLUSION: Washing and cooking of rice lowered the health risk by
reducing Cd, As and Pb concentrations and bioaccessibilities respectively. (c)
2018 Society of Chemical Industry.
PMID- 29363750
TI - Potential effects of sulforaphane to fight obesity.
AB - Obesity is linked to the onset of many diseases such as diabetes mellitus,
cardiovascular diseases and cancer, among others. The prevalence of obesity
nearly doubled worldwide between 1980 and 2014. Simultaneously, in the last
decade, the effects of sulforaphane as a potential treatment for obesity have
been investigated, with promising results. Fruits and vegetables and their
processed agri-food co-products are good sources of natural health-promoting
compounds. Brassica crops are among the most produced crops in the world and are
a good source of glucoraphanin, which, following hydrolysis, releases
sulforaphane. The Brassicaceae family generates large amounts of co-products with
no intended use, causing negative economic and environmental impact. Valorization
of these co-products could be achieved through their exploitation for the
extraction of bioactive compounds such as sulforaphane. However, the extraction
process still needs further improvement for its economic feasibility. This
article reviews the potential effects of sulforaphane in the treatment of
obesity, linked to the relevance of giving Brassica co-products added value,
which is of key importance for the competitiveness of farmers and the agri-food
industry. (c) 2018 Society of Chemical Industry.
PMID- 29363751
TI - Inactivated antithombin as anticoagulant reversal in a rat model of
cardiopulmonary bypass: a potent and potentially safer alternative to protamine.
AB - Heparin anticoagulation followed by protamine reversal is commonly used in
cardiopulmonary bypass (CPB). As an alternative to protamine, a recombinant
inactive antithrombin (riAT) was designed as an antidote to heparin and was
previously shown to be as potent as protamine in-vitro. In the present study,
riAT was assessed for its ability to neutralize heparin after CPB in a rat model.
After 60 min of CPB under heparin, rats received 5 mg/kg protamine, 37.5 mg/kg
riAT or phosphate buffered saline (PBS) as placebo. Residual anticoagulant
activity was assessed using the activated partial thromboplastin time assay
before, and 10-30 min after reversion. Haemodynamic monitoring was performed and
plasma histamine concentration was also measured. In this model, riAT appeared to
be as efficient as protamine in neutralizing heparin. Ten minutes after
injection, riAT and protamine both decreased heparin activity, to 1.8 +/- 1.3 and
4.5 +/- 1.4 u/ml, respectively (23.1 +/- 5.1 u/ml in placebo group). Furthermore,
evolution of mean carotid arterial pressure, heart rate and plasma histamine
levels was comparable in rats treated with PBS or riAT, while protamine exhibited
haemodynamic side effects and increased histamine plasma concentration. Thus,
riAT could represent an advantage over protamine in CPB because it efficiently
reverses heparin activity without negative effects on haemodynamic parameters and
plasma histamine level.
PMID- 29363752
TI - Ofatumumab is a feasible alternative anti-CD20 therapy in patients intolerant of
rituximab.
PMID- 29363754
TI - Mexican-Origin Parents' Stress and Satisfaction: The Role of Emotional Support.
AB - Guided by a process model of parenting and the integrative model, this study
examined sources of emotional support (i.e., partner, maternal, paternal) as
related to stress and satisfaction resulting from the parenting role in a sample
of Mexican-origin young adult parents who participated in the National
Longitudinal Study of Adolescent to Adult Health (Add Health) during Wave IV.
Participants were male and female parents (26-35 years of age; 59% female; N =
737) who had children and a partner. Results from structural equation modeling
revealed support from mothers as salient; high levels of maternal support were
associated with high levels of parenting satisfaction. Tests of indirect effects
suggested that parenting satisfaction played an intervening role in the link
between maternal support and parenting stress. The pattern of results held across
levels of linguistic acculturation but varied by gender. Understanding the
mechanisms that predict parenting stress and satisfaction within the Mexican
origin population may help in the identification of culturally sensitive
intervention strategies.
PMID- 29363753
TI - Activated leucocyte cell adhesion molecule (ALCAM/CD166) regulates T cell
responses in a murine model of food allergy.
AB - Food allergy is a major public health problem. Studies have shown that long-term
interactions between activated leucocyte cell adhesion molecule (ALCAM/CD166) on
the surface of antigen-presenting cells, and CD6, a co-stimulatory molecule,
influence immune responses. However, there are currently no studies on the
functions of ALCAM in food allergy. Therefore, we aimed to identify the functions
of ALCAM in ovalbumin (OVA)-induced food allergy using ALCAM-deficient mice. Wild
type (WT) and ALCAM-deficient (ALCAM-/- ) mice were sensitized intraperitoneally
and with orally fed OVA. The mice were killed, and parameters related to food
allergy and T helper type 2 (Th2) immune responses were analysed. ALCAM serum
levels increased and mRNA expression decreased in OVA-challenged WT mice. Serum
immunoglobulin (Ig)E levels, Th2 cytokine mRNA and histological injuries were
higher in OVA-challenged WT mice than in control mice, and these were attenuated
in ALCAM-/- mice. T cell proliferation of total cells, CD3+ CD4+ T cells and
activated T cells in immune tissues were diminished in OVA-challenged ALCAM-/-
mice. Proliferation of co-cultured T cells and dendritic cells (DCs) was
decreased by the anti-CD6 antibody. In addition, WT mice sensitized by adoptive
transfer of OVA-pulsed ALCAM-/- BM-derived DCs showed reduced immune responses.
Lastly, serum ALCAM levels were higher in children with food allergy than in
control subjects. In this study, serum levels of ALCAM were elevated in food
allergy-induced WT mice and children with food allergy. Moreover, immune
responses and T cell activation were attenuated in OVA-challenged ALCAM-/- mice.
These results indicate that ALCAM regulates food allergy by affecting T cell
activation.
PMID- 29363755
TI - NQO1*2 polymorphism predicts overall survival in MDS patients.
PMID- 29363756
TI - Structured emulsions as butter substitutes: effects on physicochemical and
sensory attributes of shortbread cookies.
AB - BACKGROUND: Reformulation of foods products to reduce total and saturated fats
while maintaining acceptable structure, texture and mouthfeel poses an important
challenge to the food industry. In this work, the use of structured emulsions
(fibre-induced oil-in-water biphasic systems with reduced total and saturated
fats) is proposed to replace butter in shortbread cookies. RESULTS: Use of
structured emulsions resulted in softer dough that was still workable using a
traditional process. Shortbread cookies containing structured emulsions were
harder and paler than the butter control but had a significantly reduced
saturated fat content. They also received promising scores in the sensory
analysis in terms of texture and overall acceptability, despite the butter
product still being the preferred sample. CONCLUSION: The results of this study
indicated that structured emulsions represent a good solution to produce
nutritionally improved shortbreads. Optimization of the structured emulsion
formulation can provide further improvement of the nutritional, sensory and
physicochemical properties of shortbread cookies. (c) 2018 Society of Chemical
Industry.
PMID- 29363757
TI - How I manage patients with cold agglutinin disease.
AB - Cold agglutinin disease (CAD) is an uncommon autoimmune haemolytic anaemia in
which a well-defined, clonal low-grade lymphoproliferative disorder of the bone
marrow results in erythrocyte destruction mediated by the classical complement
pathway. The pathogenesis, clinical features and diagnostic criteria are
reviewed. Although anaemia is mild in some patients, approximately one-third of
untreated patients have a haemoglobin level of <=80 g/l, and about 50% have been
considered transfusion dependent for shorter or longer periods. Therapy has
improved greatly during the last 15 years. Mild disease can be managed by
avoidance of cold and adequate precautions in specific situations, without drug
therapy. Corticosteroids should not be used to treat CAD. Patients requiring
pharmacological therapy should be considered for prospective trials. Outside
clinical studies, the rituximab-bendamustine combination or rituximab monotherapy
is recommended in the first line, depending on individual patient
characteristics. Second-line options are rituximab-fludarabine in fit patients
or, although less strongly documented, a bortezomib-based regimen. Therapies
targeting the classical complement pathway are promising, and the complement C1s
inhibitor, BIVV009, has shown favourable results in preliminary studies.
PMID- 29363758
TI - The impact of liver steatosis on the ability of serum ferritin levels to be
predictive of liver iron concentration in non-transfusion-dependent thalassaemia
patients.
AB - This study analysed the impact of liver steatosis (LS) on the parameters of iron
overload in 110 patients with non-transfusion dependent thalassaemia (NTDT). LS
was diagnosed by ultrasound. Liver iron concentration (LIC) measurements were
available for 64 patients who underwent a magnetic resonance imaging (MRI) scan.
LS was frequent (35.5%) and was significantly more prevalent in males than in
females (49.0% vs. 24.6%, P = 0.008). Patients with LS had significant higher
levels of alanine aminotransferase (ALT), aspartate aminotransferase (AST),
ALT/AST ratio and ferritin than those without, but LIC values were comparable. An
ALT/AST ratio >0.89 predicted the presence of LS with a sensitivity of 0.872 and
a specificity of 0.901 (P < 0.0001). Ferritin levels correlated with LIC values
(R = 0.558, P < 0.0001) but the correlation was stronger in patients without LS
(R = 0.656, P < 0.0001) than in patients with LS (R = 0.426, P = 0.05). LS is a
frequent issue in NTDT patients and should be suspected in the presence of an
ALT/AST ratio >0.89. Recently, serum ferritin thresholds that predict clinically
relevant LIC for guiding iron chelation therapy when MRI is unavailable have been
determined. Our data show that LS may cause increase in ferritin levels and may
be responsible for anticipating/exceeding chelation treatment in NTDT patients in
the absence of LIC evaluation.
PMID- 29363759
TI - Estimates of global and regional prevalence of neural tube defects for 2015: a
systematic analysis.
AB - Neural tube defects (NTDs) are associated with substantial mortality, morbidity,
disability, and psychological and economic costs. Many are preventable with folic
acid, and access to appropriate services for those affected can improve survival
and quality of life. We used a compartmental model to estimate global and
regional birth prevalence of NTDs (live births, stillbirths, and elective
terminations of pregnancy) and subsequent under-5 mortality. Data were identified
through web-based reviews of birth defect registry databases and systematic
literature reviews. Meta-analyses were undertaken where appropriate. For 2015,
our model estimated 260,100 (uncertainty interval (UI): 213,800-322,000) NTD
affected birth outcomes worldwide (prevalence 18.6 (15.3-23.0)/10,000 live
births). Approximately 50% of cases were elective terminations of pregnancy for
fetal anomalies (UI: 59,300 (47,900-74,500)) or stillbirths (57,800 (UI: 35,000
88,600)). Of NTD-affected live births, 117,900 (~75%) (UI: 105,500-186,600)
resulted in under-5 deaths. Our systematic review showed a paucity of high
quality data in the regions of the world with the highest burden. Despite
knowledge about prevention, NTDs remain highly prevalent worldwide. Lack of
surveillance and incomplete ascertainment of affected pregnancies make NTDs
invisible to policy makers. Improved surveillance of all adverse outcomes is
needed to improve the robustness of total NTD prevalence estimation, evaluate
effectiveness of prevention through folic acid fortification, and improve
outcomes through care and rehabilitation.
PMID- 29363760
TI - A comparison of gantry-mounted x-ray-based real-time target tracking methods.
AB - PURPOSE: Most modern radiotherapy machines are built with a 2D kV imaging system.
Combining this imaging system with a 2D-3D inference method would allow for a
ready-made option for real-time 3D tumor tracking. This work investigates and
compares the accuracy of four existing 2D-3D inference methods using both motion
traces inferred from external surrogates and measured internally from implanted
beacons. METHOD: Tumor motion data from 160 fractions (46 thoracic/abdominal
patients) of Synchrony traces (inferred traces), and 28 fractions (7 lung
patients) of Calypso traces (internal traces) from the LIGHT SABR trial
(NCT02514512) were used in this study. The motion traces were used as the ground
truth. The ground truth trajectories were used in silico to generate 2D positions
projected on the kV detector. These 2D traces were then passed to the 2D-3D
inference methods: interdimensional correlation, Gaussian probability density
function (PDF), arbitrary-shape PDF, and the Kalman filter. The inferred 3D
positions were compared with the ground truth to determine tracking errors. The
relationships between tracking error and motion magnitude, interdimensional
correlation, and breathing periodicity index (BPI) were also investigated.
RESULTS: Larger tracking errors were observed from the Calypso traces, with RMS
and 95th percentile 3D errors of 0.84-1.25 mm and 1.72-2.64 mm, compared to 0.45
0.68 mm and 0.74-1.13 mm from the Synchrony traces. The Gaussian PDF method was
found to be the most accurate, followed by the Kalman filter, the
interdimensional correlation method, and the arbitrary-shape PDF method. Tracking
error was found to strongly and positively correlate with motion magnitude for
both the Synchrony and Calypso traces and for all four methods. Interdimensional
correlation and BPI were found to negatively correlate with tracking error only
for the Synchrony traces. The Synchrony traces exhibited higher interdimensional
correlation than the Calypso traces especially in the anterior-posterior
direction. CONCLUSION: Inferred traces often exhibit higher interdimensional
correlation, which are not true representation of thoracic/abdominal motion and
may underestimate kV-based tracking errors. The use of internal traces acquired
from systems such as Calypso is advised for future kV-based tracking studies. The
Gaussian PDF method is the most accurate 2D-3D inference method for tracking
thoracic/abdominal targets. Motion magnitude has significant impact on 2D-3D
inference error, and should be considered when estimating kV-based tracking
error.
PMID- 29363761
TI - From lesser-known to super vegetables: the growing profile of African traditional
leafy vegetables in promoting food security and wellness.
AB - There are hundreds of traditional leafy vegetables and wild food plants of
horticultural and nutritional significance in Africa. These lesser-known crops
and wild food plants that are highly adapted to harsh growing conditions thrive
with little care and are available when other sources of food fail or are out of
season. They are rich in micronutrients and are often the cheapest sources of
many essential vitamins and minerals in many localities. Many of them are very
important functional foods in African traditional diets and are rich in
nutraceuticals, including polyphenols, tannins, flavonoids and flavonols, that
exert demonstrable antioxidant, free radical scavenging and enzyme inhibition
activities and have antimicrobial properties that provide scientific
justification and possible mechanisms for their use in the management of a wide
range of ailments, including diet-related, non-communicable diseases such as
diabetes, hypertension and cardiovascular diseases. African traditional leafy
vegetables are invaluable in promoting food security and wellness in Africa on
account of their availability and affordability, their great nutritional value,
chemotherapeutic and health-promoting properties and other unique qualities. Long
recognised by the rural populace as quality food items, they are becoming more
popular even with the more affluent urban elites. There is the need to develop
improved management practices for these super vegetables to promote their
cultivation and boost their exploitation for food security and wellness in
Africa. (c) 2018 Society of Chemical Industry.
PMID- 29363762
TI - A comparison of prostate tumor targeting strategies using magnetic resonance
imaging-targeted, transrectal ultrasound-guided fusion biopsy.
AB - PURPOSE: Magnetic resonance imaging (MRI)-targeted, three-dimensional (3D)
transrectal ultrasound (TRUS)-guided prostate biopsy aims to reduce the 21-47%
false-negative rate of clinical two-dimensional (2D) TRUS-guided systematic
biopsy, but continues to yield false-negative results. This may be improved via
needle target optimization, accounting for guidance system errors and image
registration errors. As an initial step toward the goal of optimized prostate
biopsy targeting, we investigated how needle delivery error impacts tumor
sampling probability for two targeting strategies. METHODS: We obtained MRI and
3D TRUS images from 49 patients. A radiologist and radiology resident assessed
these MR images and contoured 81 suspicious regions, yielding tumor surfaces that
were registered to 3D TRUS. The biopsy system's root-mean-squared needle delivery
error (RMSE) and systematic error were modeled using an isotropic 3D Gaussian
distribution. We investigated two different prostate tumor-targeting strategies
using (a) the tumor's centroid and (b) a ring in the lateral-elevational plane.
For each simulation, targets were spaced at equal arc lengths on a ring with
radius equal to the systematic error magnitude. A total of 1000 biopsy
simulations were conducted for each tumor, with RMSE and systematic error
magnitudes ranging from 1 to 6 mm. The difference in median tumor sampling
probability and probability of obtaining a 50% core involvement was determined
for ring vs centroid targeting. RESULTS: Our simulation results indicate that
ring targeting outperformed centroid targeting in situations where systematic
error exceeds RMSE. In these instances, we observed statistically significant
differences showing 1-32% improvement in sampling probability due to ring
targeting. Likewise, we observed statistically significant differences showing 1
39% improvement in 50% core involvement probability due to ring targeting.
CONCLUSIONS: Our results suggest that the optimal targeting scheme for prostate
biopsy depends on the relative levels of systematic and random errors in the
system. Where systematic error dominates, a ring-targeting scheme may yield
improved probability of tumor sampling. The findings presented in this paper may
be used to aid in target selection strategies for clinicians performing targeted
prostate biopsies on any MRI targeted, 3D TRUS-guided biopsy system and could
support earlier diagnosis of prostate cancer while it remains localized to the
gland and curable.
PMID- 29363764
TI - Myasthenic syndromes due to defects in COL13A1 and in the N-linked glycosylation
pathway.
AB - The congenital myasthenic syndromes (CMS) are hereditary disorders of
neuromuscular transmission. The number of cases recognized, at around 1:100,000
in the United Kingdom, is increasing with improved diagnosis. The advent of next
generation sequencing has facilitated the discovery of many genes that harbor CMS
associated mutations. An emerging group of CMS, characterized by a limb-girdle
pattern of muscle weakness, is caused by mutations in genes that encode proteins
involved in the initial steps of the N-linked glycosylation pathway, which is
surprising, since this pathway is found in all mammalian cells. However,
mutations in these genes may also give rise to multisystem disorders (congenital
disorders of glycosylation) or muscle disorders where the myasthenic symptoms
constitute only one component within a wider phenotypic spectrum. We also report
a CMS due to mutations in COL13A1, which encodes an extracellular matrix protein
that is concentrated at the neuromuscular junction and highlights a role for
these extracellular matrix proteins in maintaining synaptic stability that is
independent of the AGRN/MuSK clustering pathway. Knowledge about the
neuromuscular synapse and the different proteins involved in maintaining its
structure as well as function enables us to tailor treatments to the underlying
pathogenic mechanisms.
PMID- 29363763
TI - Oral bisphosphonate use and age-related macular degeneration: retrospective
cohort and nested case-control study.
AB - Our objective here was to determine whether oral bisphosphonate (BP) use is
associated with the incidence of age-related macular degeneration (AMD). We
performed a population-based study using electronic health records from UK
primary care (Clinical Practice Research Datalink). A cohort of 13,974 hip
fracture patients (1999-2013) was used to conduct (1) a propensity score-matched
cohort analysis and (2) a nested case-control analysis. Hip fracture patients
were aged >=50 years without AMD diagnosis before hip fracture date or in the
first year of follow-up. Among 6208 matched patients and during 22,142 person
years of follow-up, 57 (1.8%) and 42 (1.4%) AMD cases occurred in BP users and
non-BP users, respectively. The survival analysis model did not provide
significant evidence of a higher risk of AMD in BP users (subhazard ratio: 1.60;
95% confidence interval (CI): 0.95-2.72; P = 0.08), although there was a
significant increased risk among BP users with high medication possession ratio
(MPR) (top quartile) relative to non-BP users (odds ratio: 5.08, 95% CI: 3.11
8.30; P < 0.001, respectively). Overall, oral BP use was not associated with an
increased risk of AMD in this cohort of hip fracture patients, although the risk
increased significantly with higher MPR. More data are needed to confirm these
findings.
PMID- 29363765
TI - The investment case for folic acid fortification in developing countries.
AB - There is compelling evidence that neural tube defects can be prevented through
mandatory folic acid fortification. Why, then, is an investment case needed? At
the core of the answer to this question is the notion that governments and
individuals have limited resources for which there are many competing claims. An
investment case compares the costs and benefits of folic acid fortification
relative to alternative life-saving investments and informs estimates of the
financing required for implementation. Our best estimate is that the cost per
death averted through mandatory folic acid fortification is $957 and the cost per
disability-adjusted life year is $14.90. Both compare favorably to recommended
life-saving interventions, such as the rotavirus vaccine and insecticide-treated
bed nets. Thus, there is a strong economic argument for mandatory folic acid
fortification. Further improvements to these estimates will require better data
on the costs of implementing fortification and on the costs of improving
compliance where regulations are already in place.
PMID- 29363768
TI - Bitter taste masking of enzyme-treated soy protein in water and bread.
AB - BACKGROUND: Bioactive protein hydrolysates are often very bitter. To overcome
this challenge, xylitol, sucrose, alpha-cyclodextrin, maltodextrin and
combinations of these were tested systematically as bitter-masking agents of an
enzyme-treated soy protein in an aqueous model and in a bread model. Sensory
descriptive analysis was used to reveal the bitter-masking effect of the taste
masking blends on the enzyme-treated soy protein. RESULTS: In water, xylitol,
sucrose and maltodextrin reduced bitterness significantly, whereas alpha
cyclodextrin did not. No significant difference was observed in bitterness
reduction between xylitol and sucrose. Both reduced bitterness significantly more
than maltodextrin. No interactions between the taste-masking agents affecting
bitterness reduction were found. Clearer bitter-masking effects were seen in the
aqueous model compared with the bread model. The bitter-masking effects of alpha
cyclodextrin and maltodextrin were similar between water and bread. The effect of
xylitol and sucrose on bitterness suppression varied between the systems. In
water, bitterness was negatively correlated with sweetness. In bread, bitterness
was negatively correlated with freshness, and maltodextrin significantly reduced
bitterness of the enzyme-treated soy protein and increased freshness. CONCLUSION:
Bitter-masking effects were generally more discernible in the aqueous model
compared with the bread model. (c) 2018 Society of Chemical Industry.
PMID- 29363767
TI - Friendly fire: Longitudinal effects of exposure to violent video games on
aggressive behavior in adolescent friendship dyads.
AB - Research on gaming effects has focused on adolescence, a developmental period in
which peer relationships become increasingly salient. However, the impact of
peers on the effects of violent gaming on adolescents has been understudied. This
study examined whether adolescents' exposure to violent video games predicted
their own and their friend's aggression one year later. Among 705 gaming
adolescents, 141 dyads were identified based on reciprocated best friend
nominations (73.8% male, Mage = 13.98). Actor-Partner Interdependence Models
indicated that adolescent males' (but not females') exposure to violent games
positively predicted the aggression of their best friend 1 year later. This
effect appeared regardless of whether the friends played video games together or
not. The study illustrates the importance of peers in the association between
violent gaming and aggression.
PMID- 29363766
TI - The role of autophagy in the regulation of yeast life span.
AB - The goal of the aging field is to develop novel therapeutic interventions that
extend human health span and reduce the burden of age-related disease. While
organismal aging is a complex, multifactorial process, a popular theory is that
cellular aging is a significant contributor to the progressive decline inherent
to all multicellular organisms. To explore the molecular determinants that drive
cellular aging, as well as how to retard them, researchers have utilized the
highly genetically tractable budding yeast Saccharomyces cerevisiae. Indeed,
every intervention known to extend both cellular and organismal health span was
identified in yeast, underlining the power of this approach. Importantly, a
growing body of work has implicated the process of autophagy as playing a
critical role in the delay of aging. This review summarizes recent reports that
have identified a role for autophagy, or autophagy factors in the extension of
yeast life span. These studies demonstrate (1) that yeast remains an invaluable
tool for the identification and characterization of conserved mechanisms that
promote cellular longevity and are likely to be relevant to humans, and (2) that
the process of autophagy has been implicated in nearly all known longevity
promoting manipulations and thus represents an ideal target for interventions
aimed at improving human health span.
PMID- 29363769
TI - Characterization methods for comprehensive evaluations of shielding materials
used in an MRI.
AB - PURPOSE: In order to integrate electronic devices into a magnetic resonance
imaging (MRI) scanner, shielding of the electronics with respect to the radio
frequency (RF) transmit and receive system of the MRI scanner is required.
Furthermore, MRI uses time-varying low-frequency magnetic fields for spatial
encoding, i.e., the gradient magnetic fields. Time-varying magnetic fields induce
eddy currents in all conductive elements. The eddy currents result in opposing
magnetic fields, which can cause distortions of the magnetic resonance (MR)
image. As shielding of lower frequencies is not feasible in this respect, an
ideal shielding element should be transparent for gradient magnetic fields while
providing a high RF shielding effectiveness. Furthermore, it should offer a low
susceptibility to prevent distortion of the main magnetic field of the MRI. In
this work, we characterize the aforesaid shielding parameters of different
shielding samples. METHODS: We developed a nuclear magnetic resonance (NMR) probe
to measure the magnetic fields to quantify the field distortions time-resolvedly.
The relative distortion was introduced as a proportionality constant relating the
eddy-current-inducing field changes and the field distortions. The relative
distortion was measured in the frequency range from 0 to 10 kHz for all shielding
samples using the NMR probe. We characterized the shielding effectiveness of the
samples in the frequency range from 1 to 150 MHz using a network analyzer. We
conducted all measurements with three different materials, two carbon fiber
composites and copper, each in various thicknesses. RESULTS: The relative
distortion of the magnetic fields induced by the carbon fiber composites samples
was at least a factor of seven lower than the copper sample. A linear dependency
on the sample thickness was measured for the main field distortion, the relative
distortion and the shielding effectiveness. The relative distortion was roughly
independent of the gradient frequency contrary to the shielding effectiveness,
highly depending on the RF frequency. CONCLUSIONS: We presented a very sensitive
method to characterize the distortion of the main field distortion and the
gradient transparency using an NMR probe. We analyzed different shielding
materials regarding the main field distortion, the gradient transparency, and the
shielding effectiveness. From the tested materials, we identified a carbon fiber
composite with the lowest distortion on the MRI.
PMID- 29363770
TI - Assessment of image quality and scatter and leakage radiation of an integrated MR
LINAC system.
AB - PURPOSE: To assess the image quality, scatter, and leakage radiation of an
integrated magnetic resonance linear accelerator (MR-LINAC or MRL) system.
METHODS: A large American College of Radiology (ACR) magnetic resonance imaging
(MRI) accreditation phantom was used to evaluate the MRI capabilities of the
integrated MRL system compared with those of other diagnostic MRI systems.
Multiple sets of T1 and T2/PD images were acquired with the linear accelerator
positioned at various angles and with the radiation beam on and off. Images also
were acquired on three different occasions over a period of about 12 months.
Scatter and leakage radiation were measured with a large (150 cm3 ) ion chamber
recalibrated for MV energy. For scatter measurements, a 25-cm stack of solid
water materials was placed at the isocenter on the patient couch to simulate a
patient. The head leakage was measured at 1 m from the linear accelerator head in
directions determined to produce the maximum leakage. All measurements were
repeated with the magnetic field turned off to study the effects of the magnetic
field. RESULTS: The geometric distortion, slice thickness accuracy, image
uniformity, ghosting ratio, and high-contrast detectability were comparable to
other 1.5 T diagnostic MRI scanners. No observable changes in image quality and
no appreciable differences were found between radiation beam-on and beam-off
images. The measured leakage and scattered radiation changed by less than 5% when
the magnetic field was on compared to measurements with the field off. The beam
stopper leakage was approximately 0.3 R/1000 MU, and because there was no direct
beam imparted on the walls, a vault designed for a modern-day LINAC should have
enough required radiation shielding to house the MRL. CONCLUSIONS: The image
quality generated by the MRI system of the integrated MRL was similar to that of
a diagnostic MRI scanner. Interference from the MV radiation was minimal, and
there was no measurable difference in image quality with the beam on and off.
Scatter radiation and leakage radiation of the MRL system were within the
expected range of a comparable MV-LINAC.
PMID- 29363771
TI - Determining degree of roasting in cocoa beans by artificial neural network (ANN)
based electronic nose system and gas chromatography/mass spectrometry (GC/MS).
AB - BACKGROUND: Roasting is a critical step in chocolate processing, where moisture
content is decreased and unique flavors and texture are developed. The
determination of the degree of roasting in cocoa beans is important to ensure the
quality of chocolate. Determining the degree of roasting relies on human
specialists or sophisticated chemical analyses that are inaccessible to small
manufacturers and farmers. In this study, an electronic nose system was
constructed consisting of an array of gas sensors and used to detect volatiles
emanating from cocoa beans roasted for 0, 20, 30 and 40 min. The several signals
were used to train a three-layer artificial neural network (ANN). Headspace
samples were also analyzed by gas chromatography/mass spectrometry (GC/MS), with
23 select volatiles used to train a separate ANN. RESULTS: Both ANNs were used to
predict the degree of roasting of cocoa beans. The electronic nose had a
prediction accuracy of 94.4% using signals from sensors TGS 813, 826, 822, 830,
830, 2620, 2602 and 2610. In comparison, the GC/MS predicted the degree of
roasting with an accuracy of 95.8%. CONCLUSION: The electronic nose system is
able to predict the extent of roasting, as well as a more sophisticated approach
using GC/MS. (c) 2018 Society of Chemical Industry.
PMID- 29363772
TI - Lessons from animal nutritionists: dietary amino acid requirement studies and
considerations for healthy aging studies.
AB - Dietary restriction (DR) increases median life span and protects against age
related disease. Improved longevity can be achieved by restriction of dietary
energy, protein, or amino acids (AAs), such as methionine (Met). Met requirements
have been defined using methodologies that measure the dose response to Met when
all other dietary variables are held constant and with outcomes focused on
protein turnover. Here, we cover protein and sulfur AA requirements and discuss
the terms "deficient," "optimal," and "excess" and how these need to be
considered. We additionally discuss the effect of methyl-donating compounds on
sulfur AA metabolism and outcomes. We will discuss how the mechanistic target of
rapamycin complex 1 (mTORC1) signaling network regulates protein turnover,
lipogenesis and cell growth, proliferation, differentiation, and metabolism in
response to hormones, AAs, and cellular energy status. Inhibition of mTORC1
signaling with rapamycin or genetic mutation increases median life span in model
organisms, and mTORC1 inhibition may be responsible for some of the life span
extending effects of DR. Finally, we discuss how the sulfur AAs may regulate
aspects of reactive oxygen species (ROS) mitigation. Overall, we suggest that
approaches evaluating AA intake need to consider whole-body protein synthesis and
measures related to tissue-specific and whole-body metabolism that have been
associated with longevity.
PMID- 29363774
TI - Automated mammographic breast density estimation using a fully convolutional
network.
AB - PURPOSE: The purpose of this study was to develop a fully automated algorithm for
mammographic breast density estimation using deep learning. METHOD: Our algorithm
used a fully convolutional network, which is a deep learning framework for image
segmentation, to segment both the breast and the dense fibroglandular areas on
mammographic images. Using the segmented breast and dense areas, our algorithm
computed the breast percent density (PD), which is the faction of dense area in a
breast. Our dataset included full-field digital screening mammograms of 604
women, which included 1208 mediolateral oblique (MLO) and 1208 craniocaudal (CC)
views. We allocated 455, 58, and 91 of 604 women and their exams into training,
testing, and validation datasets, respectively. We established ground truth for
the breast and the dense fibroglandular areas via manual segmentation and
segmentation using a simple thresholding based on BI-RADS density assessments by
radiologists, respectively. Using the mammograms and ground truth, we fine-tuned
a pretrained deep learning network to train the network to segment both the
breast and the fibroglandular areas. Using the validation dataset, we evaluated
the performance of the proposed algorithm against radiologists' BI-RADS density
assessments. Specifically, we conducted a correlation analysis between a BI-RADS
density assessment of a given breast and its corresponding PD estimate by the
proposed algorithm. In addition, we evaluated our algorithm in terms of its
ability to classify the BI-RADS density using PD estimates, and its ability to
provide consistent PD estimates for the left and the right breast and the MLO and
CC views of the same women. To show the effectiveness of our algorithm, we
compared the performance of our algorithm against a state of the art algorithm,
laboratory for individualized breast radiodensity assessment (LIBRA). RESULT: The
PD estimated by our algorithm correlated well with BI-RADS density ratings by
radiologists. Pearson's rho values of our algorithm for CC view, MLO view, and CC
MLO-averaged were 0.81, 0.79, and 0.85, respectively, while those of LIBRA were
0.58, 0.71, and 0.69, respectively. For CC view and CC-MLO averaged cases, the
difference in rho values between the proposed algorithm and LIBRA showed
statistical significance (P < 0.006). In addition, our algorithm provided
reliable PD estimates for the left and the right breast (Pearson's rho > 0.87)
and for the MLO and CC views (Pearson's rho = 0.76). However, LIBRA showed a
lower Pearson's rho value (0.66) for both the left and right breasts for the CC
view. In addition, our algorithm showed an excellent ability to separate each sub
BI-RADS breast density class (statistically significant, p-values = 0.0001 or
less); only one comparison pair, density 1 and density 2 in the CC view, was not
statistically significant (P = 0.54). However, LIBRA failed to separate breasts
in density 1 and 2 for both the CC and MLO views (P > 0.64). CONCLUSION: We have
developed a new deep learning based algorithm for breast density segmentation and
estimation. We showed that the proposed algorithm correlated well with BI-RADS
density assessments by radiologists and outperformed an existing state of the art
algorithm.
PMID- 29363773
TI - Semi-automated pulmonary nodule interval segmentation using the NLST data.
AB - PURPOSE: To study the variability in volume change estimates of pulmonary nodules
due to segmentation approaches used across several algorithms and to evaluate
these effects on the ability to predict nodule malignancy. METHODS: We obtained
100 patient image datasets from the National Lung Screening Trial (NLST) that had
a nodule detected on each of two consecutive low dose computed tomography (LDCT)
scans, with an equal proportion of malignant and benign cases (50 malignant, 50
benign). Information about the nodule location for the cases was provided by a
screen capture with a bounding box and its axial location was indicated. Five
participating quantitative imaging network (QIN) institutions performed nodule
segmentation using their preferred semi-automated algorithms with no manual
correction; teams were allowed to provide additional manually corrected
segmentations (analyzed separately). The teams were asked to provide segmentation
masks for each nodule at both time points. From these masks, the volume was
estimated for the nodule at each time point; the change in volume (absolute and
percent change) across time points was estimated as well. We used the concordance
correlation coefficient (CCC) to compare the similarity of computed nodule
volumes (absolute and percent change) across algorithms. We used Logistic
regression model on the change in volume (absolute change and percent change) of
the nodules to predict the malignancy status, the area under the receiver
operating characteristic curve (AUROC) and confidence intervals were reported.
Because the size of nodules was expected to have a substantial effect on
segmentation variability, analysis of change in volumes was stratified by lesion
size, where lesions were grouped into those with a longest diameter of <8 mm and
those with longest diameter >= 8 mm. RESULTS: We find that segmentation of the
nodules shows substantial variability across algorithms, with the CCC ranging
from 0.56 to 0.95 for change in volume (percent change in volume range was [0.15
to 0.86]) across the nodules. When examining nodules based on their longest
diameter, we find the CCC had higher values for large nodules with a range of
[0.54 to 0.93] among the algorithms, while percent change in volume was [0.3 to
0.95]. Compared to that of smaller nodules which had a range of [-0.0038 to 0.69]
and percent change in volume was [-0.039 to 0.92]. The malignancy prediction
results showed fairly consistent results across the institutions, the AUC using
change in volume ranged from 0.65 to 0.89 (Percent change in volume was 0.64 to
0.86) for entire nodule range. Prediction improves for large nodule range (>= 8
mm) with AUC range 0.75 to 0.90 (percent change in volume was 0.74 to 0.92).
Compared to smaller nodule range (<8 mm) with AUC range 0.57 to 0.78 (percent
change in volume was 0.59 to 0.77). CONCLUSIONS: We find there is a fairly high
concordance in the size measurements for larger nodules (>=8 mm) than the lower
sizes (<8 mm) across algorithms. We find the change in nodule volume (absolute
and percent change) were consistent predictors of malignancy across institutions,
despite using different segmentation algorithms. Using volume change estimates
without corrections shows slightly lower predictability (for two teams).
PMID- 29363775
TI - Toll-like receptors 7 and 9 in myasthenia gravis thymus: amplifiers of
autoimmunity?
AB - Pathogen infections and dysregulated Toll-like receptor (TLR)-mediated innate
immune responses are suspected to play key roles in autoimmunity. Among TLRs,
TLR7 and TLR9 have been implicated in several autoimmune conditions, mainly
because of their ability to promote abnormal B cell activation and survival.
Recently, we provided evidence of Epstein-Barr virus (EBV) persistence and
reactivation in the thymus of myasthenia gravis (MG) patients, suggesting an
involvement of EBV in the intrathymic pathogenesis of the disease. Considerable
data highlight the existence of pathogenic crosstalk among EBV, TLR7, and TLR9:
EBV elicits TLR7/9 signaling, which in turn can enhance B cell dysfunction and
autoimmunity. In this article, after a brief summary of data demonstrating TLR
activation in MG thymus, we provide an overview on the contribution of TLR7 and
TLR9 to autoimmune diseases and discuss our recent findings indicating a pivotal
role for these two receptors, along with EBV, in driving, perpetuating, and/or
amplifying intrathymic B cell dysregulation and autoimmune responses in MG.
Development of therapeutic approaches targeting TLR7 and TLR9 signaling could be
a novel strategy for treating the chronic inflammatory autoimmune process in
myasthenia gravis.
PMID- 29363777
TI - Quantitative genetic methods depending on the nature of the phenotypic trait.
AB - A consequence of the assumptions of the infinitesimal model, one of the most
important theoretical foundations of quantitative genetics, is that phenotypic
traits are predicted to be most often normally distributed (so-called Gaussian
traits). But phenotypic traits, especially those interesting for evolutionary
biology, might be shaped according to very diverse distributions. Here, I show
how quantitative genetics tools have been extended to account for a wider
diversity of phenotypic traits using first the threshold model and then more
recently using generalized linear mixed models. I explore the assumptions behind
these models and how they can be used to study the genetics of non-Gaussian
complex traits. I also comment on three recent methodological advances in
quantitative genetics that widen our ability to study new kinds of traits: the
use of "modular" hierarchical modeling (e.g., to study survival in the context of
capture-recapture approaches for wild populations); the use of aster models to
study a set of traits with conditional relationships (e.g., life-history traits);
and, finally, the study of high-dimensional traits, such as gene expression.
PMID- 29363779
TI - Genetic constraints on adaptation: a theoretical primer for the genomics era.
AB - Genetic constraints are features of inheritance systems that slow or prohibit
adaptation. Several population genetic mechanisms of constraint have received
sustained attention within the field since they were first articulated in the
early 20th century. This attention is now reflected in a rich, and still growing,
theoretical literature on the genetic limits to adaptive change. In turn,
empirical research on constraints has seen a rapid expansion over the last two
decades in response to changing interests of evolutionary biologists, along with
new technologies, expanding data sets, and creative analytical approaches that
blend mathematical modeling with genomics. Indeed, one of the most notable and
exciting features of recent progress in genetic constraints is the close
connection between theoretical and empirical research. In this review, we discuss
five major population genetic contexts of genetic constraint: genetic dominance,
pleiotropy, fitness trade-offs between types of individuals of a population, sign
epistasis, and genetic linkage between loci. For each, we outline historical
antecedents of the theory, specific contexts where constraints manifest, and
their quantitative consequences for adaptation. From each of these theoretical
foundations, we discuss recent empirical approaches for identifying and
characterizing genetic constraints, each grounded and motivated by this theory,
and outline promising areas for future work.
PMID- 29363780
TI - Kangaroo Mother Care in Colombia: A Subaltern Health Innovation against For
profit Biomedicine.
AB - This ethnographic study presents the origins, growth, and collapse of the first
Kangaroo Mother Care (KMC) program, a well-established practice for neonatal care
created in 1978 in Colombia. The WHO and UNICEF praised this zero-cost
revolutionary technique for its promotion of skin-to-skin contact between
premature and low-birth-weight newborns and family members. KMC facilitates early
hospital discharge, brings many clinical and psychological benefits, and
constitutes an excellent alternative to placing babies in incubators. However,
these benefits and political potential against biomedical interventions were
undermined after being relabeled as a "reverse innovation," a business concept
that encourages corporate investments in low-income countries to develop
technologies that can both solve global health problems and boost multinational
corporations profits. In response, I propose "subaltern health innovations" as a
label for KMC that accounts for the power dynamics in global health between
health care initiatives that originate in the Global South and neoliberal
configurations of for-profit biomedicine.
PMID- 29363776
TI - Gene regulatory mechanisms underlying sex differences in brain development and
psychiatric disease.
AB - The sexual differentiation of the mammalian nervous system requires the precise
coordination of the temporal and spatial regulation of gene expression in diverse
cell types. Sex hormones act at multiple developmental time points to specify sex
typical differentiation during embryonic and early development and to coordinate
subsequent responses to gonadal hormones later in life by establishing sex
typical patterns of epigenetic modifications across the genome. Thus, mutations
associated with neuropsychiatric conditions may result in sexually dimorphic
symptoms by acting on different neural substrates or chromatin landscapes in
males and females. Finally, as stress hormone signaling may directly alter the
molecular machinery that interacts with sex hormone receptors to regulate gene
expression, the contribution of chronic stress to the pathogenesis or
presentation of mental illness may be additionally different between the sexes.
Here, we review the mechanisms that contribute to sexual differentiation in the
mammalian nervous system and consider some of the implications of these processes
for sex differences in neuropsychiatric conditions.
PMID- 29363778
TI - Mechanisms Underlying Chronic Binge Alcohol Exposure-Induced Uterine Artery
Dysfunction in Pregnant Rat.
AB - BACKGROUND: A cardinal feature of fetal alcohol syndrome is growth restriction.
Maternal uterine artery adaptations to pregnancy correlate with birthweight and
survival. We hypothesized that gestational binge alcohol exposure impairs
maternal uterine vascular function, affecting endothelial nitric oxide (NO)
mediated vasodilation. METHODS: Pregnant rats grouped as pair-fed control or
binge alcohol exposed received a once-daily, orogastric gavage of isocaloric
maltose-dextrin or alcohol, respectively. On gestational day 20, primary uterine
arteries were isolated, cannulated, and connected to a pressure transducer, and
functional studies were conducted by dual-chamber arteriography. Uterine arteries
maintained at constant intramural pressure (90 mm Hg) were maximally constricted
with thromboxane, and a dose-response for acetylcholine (Ach) was recorded.
RESULTS: The alcohol group exhibited significantly impaired endothelium
dependent, Ach-induced uterine artery relaxation (?~30%). Subsequently, a dose
response was recorded following inhibition of endothelium-derived hyperpolarizing
factor (apamin and TRAM-34) and prostacyclin (indomethacin). Ach-induced
relaxation in the pair-fed control decreased by ~46%, and interestingly,
relaxation in alcohol group further decreased by an additional ~48%,
demonstrating that gestational binge alcohol impairs the NO system in the primary
uterine artery. An endothelium-independent sodium nitroprusside effect was not
observed. Immunoblotting indicated that alcohol decreased the level of
endothelial excitatory P-Ser1177 endothelial NO synthase (eNOS) (p < 0.05) and
total eNOS expression (p < 0.05) compared to both the normal and pair-fed
controls. P-Ser1177 eNOS level was also confirmed by immunofluorescence imaging.
CONCLUSIONS: This is the first study to demonstrate maternal binge alcohol
consumption during pregnancy disrupts uterine artery vascular function via
impairment of the eNOS vasodilatory system.
PMID- 29363781
TI - Advanced Hemophilic Arthropathy: Sensitivity of Soft Tissue Discrimination With
Musculoskeletal Ultrasound.
AB - OBJECTIVES: Point-of-care musculoskeletal ultrasound (US) is increasingly used by
hemophilia providers to guide management; however, pathologic tissue
differentiation with US is uncertain. We sought to determine the extent to which
point-of-care musculoskeletal US can identify and discriminate pathologic soft
tissue changes in hemophilic arthropathy. METHODS: Thirty-six adult patients with
hemophilia A/B were prospectively enrolled. Point-of-care musculoskeletal US
examinations were performed on arthropathic joints (16 knees, 10 ankles, and 10
elbows) using standard views by a musculoskeletal US-trained and certified
hematologist, who recorded abnormal intra-articular soft tissue accumulation.
Within 3 days, magnetic resonance imaging was performed using conventional and
multiecho ultrashort echo time sequences. Soft tissue identification (synovial
proliferation with or without hemosiderin, fat, and/or blood products) was
performed by a musculoskeletal radiologist. Findings obtained with both imaging
modalities were compared and correlated in a blinded fashion. RESULTS: There was
perfect agreement between the modalities on the presence of abnormal soft tissue
(34 of 36 cases). However, musculoskeletal US was unable to discriminate between
coagulated blood, synovium, intrasynovial or extrasynovial fat tissue, or
hemosiderin deposits because of wide variations in echogenicity. CONCLUSIONS:
Musculoskeletal US is valuable for point-of-care imaging to determine the
presence of soft tissue accumulation in discrete areas. However, because of
limitations of musculoskeletal US in discriminating the nature of pathologic soft
tissues and detecting hemosiderin, magnetic resonance imaging will be required if
such discrimination is clinically important.
PMID- 29363782
TI - Osteogenic and osteoclastogenic potential of jaw bone-derived cells-A case study.
AB - Though the stem cell properties of tooth-derived periodontal ligament and
gingival cells have been widely documented, surprisingly little is known about
both the osteogenic and osteoclastogenic differentiation capacities of the more
clinically relevant jaw bone-derived cells. These cells could be considered being
recruited during bone healing such as after tooth extraction, after placing an
implant, or after surgical or traumatic injury. Here, we compared the osteoblast
and osteoclastogenesis features of four consecutive bone outgrowths with
periodontal ligament and gingiva cells. For osteogenesis assay, cells were
cultured in osteogenic medium, whereas in osteoclastogenesis assays, cells were
cultured in the presence of human peripheral blood mononuclear cells (PBMCs) as a
source of osteoclast precursors. After osteogenic stimulus, all six cell types
responded by an increased expression of osteoblast markers RUNX2 and DMP1.
Periodontal ligament cells expressed significantly higher levels of RUNX2
compared to all bone outgrowths. Alkaline phosphatase enzyme levels in
periodontal ligament cells reached earlier and higher peak expression. Mineral
deposits were highest in periodontal ligament, gingiva and the first bone
outgrowth. Osteoclastogenesis revealed a stepwise increase of secreted pro
osteoclastogenesis proteins M-CSF, IL-1beta, and TNF-alpha in the last three
consecutive bone cultures. OPG mRNA showed the opposite: high expression in
periodontal and gingiva cells and the first outgrowth. Osteoclast numbers were
similar between the six cultures, both on bone and on plastic. This first study
reveals that jaw bone outgrowths contain bone remodelling features that are
slightly different from tooth-associated cells.
PMID- 29363783
TI - Long noncoding RNA NEAT1-modualted miR-506 regulates gastric cancer development
through targeting STAT3.
AB - Accumulating evidence has indicated that lncRNA NEAT1 exerts critical roles in
cancers. So far, the detailed biological role and mechanisms of NEAT1 which are
responsible for human gastric cancer (GC) is still largely unknown. Here, we
observed that NEAT1 and STAT3 expression were significantly upregulated in human
gastric cancer cells including BGC823, SGC-7901, AGS, MGC803 and MKN28 cells
compared to normal gastric epithelial cells GES-1 while miR-506 was
downregulated. We inhibited NEAT1 and observed that NEAT1 inhibition was able to
repress the growth, migration and invasion of gastric cancer cells. Reversely,
overexpression of NEAT1 exhibited an increase ability of gastric cancer
progression in BGC823 and SGC-7901 cells. Bioinformatics analysis, dual
luciferase reporter assays, RIP assays and RNA pull-down tests validated the
negative binding correlation between NEAT1 and miR-506. In addition, it was found
that miR-506 can modulate expression of NEAT1 in vitro. STAT3 was predicted as an
mRNA target of miR-506 and miR-506 mimics can suppress STAT3 mRNA expression.
Subsequently, it was observed that downregulation of NEAT1 can restrain gastric
cancer development by decreasing STAT3 which can be reversed by miR-506
inhibitors. Therefore, it was hypothesized in our study that NEAT1 can be
recognized as a ceRNA to modulate STAT3 by sponging miR-506 in gastric cancer. In
conclusion, we implied that NEAT1 can serve as an important biomarker in gastric
cancer diagnosis and treatment. This article is protected by copyright. All
rights reserved.
PMID- 29363784
TI - Nutraceuticals in the management of patients with statin-associated muscle
symptoms, with a note on real-world experience.
AB - There is considerable evidence for the role of low-density lipoprotein
cholesterol (LDL-C) in the development of atherosclerotic cardiovascular disease.
Although statin therapy remains the most frequency prescribed medication to
reduce LDL-C and lower risk of cardiovascular disease, a considerable number of
patients develop muscle-related side affects. This review summarizes recent
literature supporting the role of nutraceuticals as LDL-C-lowering therapy in
statin-intolerant patients, with evidence from our own clinical practices.
PMID- 29363786
TI - Comparative evaluation of five protocols for protein extraction from stony corals
(Scleractinia) for proteomics.
AB - Corals especially the reef-building species are very important to marine
ecosystems. Proteomics has been used for researches on coral diseases, bleaching
and responses to the environment change. A robust and versatile protein
extraction protocol is required for coral proteomics. However, a comparative
evaluation of different protein extraction protocols is still not available for
proteomic analysis of stony corals. In the present study, five protocols were
compared for protein extraction from stony corals. The five protocols were
TRIzol, phenol-based extraction (PBE), trichloroacetic acid (TCA)-acetone, glass
bead-assisted extraction (GBAE) and a commercially available kit. PBE, TRIzol and
the commercial kit were more robust for extracting proteins from stony corals.
The protein extraction efficiency and repeatability, two dimensional
electrophoresis (2-DE) and matrix-assisted laser desorption/ionization time of
flight mass spectrometry (MALDI TOF MS) were employed to evaluate the protocols.
The results indicated that PBE protocol had the better protein extraction
efficiency than the others. Protein extraction coverage varied among the
procedures. Each protocol favored for certain proteins. Therefore, it is very
important for coral proteomic analysis to select a suitable protein protocol upon
the experimental design. In general, PBE protocol can be the first choice for
extracting proteins from stony corals.
PMID- 29363785
TI - Revisiting the genomic hypomethylation hypothesis of aging.
AB - The genomic hypomethylation hypothesis of aging proposes that an overall decrease
in global DNA methylation occurs with age, and it has been argued that the
decrease in global DNA methylation could be an important factor in aging,
resulting in the relaxation of gene expression regulation and abnormal gene
expression. Since it was initially observed that DNA methylation decreased with
age in 1974, 16 articles have been published describing the effect of age on
global DNA methylation in various tissues from rodents and humans. We critically
reviewed the publications on the effect of age on DNA methylation and the
expression of the enzymes involved in DNA methylation to evaluate the validity of
the hypomethylation hypothesis of aging. On the basis of the current scientific
literature, we conclude that a decrease in the global methylation of the genome
occurs in most if not all tissues/cells as an animal ages. However, age-related
changes in DNA methylation in specific regions or at specific sites in the genome
occur even though the global DNA methylation does not change.
PMID- 29363788
TI - Evaluation of the Anterior Talofibular Ligament via Stress Sonography in
Asymptomatic and Symptomatic Populations.
AB - OBJECTIVES: Sonography during externally applied stress has the potential to
identify ligamentous instability, but diagnostic parameters for the most commonly
sprained ankle ligament, the anterior talofibular ligament (ATFL), have not yet
been established. The purpose of this study was to determine normative values of
the change in the length of the ATFL in an asymptomatic population during manual
stress sonography and to compare these values to those in patients with clinical
findings of anterolateral ankle instability. METHODS: Sonography of the ATFL at
rest and with maximally applied manual stress was performed bilaterally in 20
asymptomatic volunteers from each of three 10-year age groups from 20 to 50
years. Data were compared to those for 34 patients retrospectively identified who
underwent stress sonography of the ATFL for clinical signs and symptoms of
chronic anterolateral ankle instability. RESULTS: In the asymptomatic population
(10 men and 10 women), for men, the mean change in ATFL length between stress and
neutral positions was 0.44 mm (95% confidence interval [CI], 0.32-0.57 mm). For
women, it was 0.43 mm (95% CI, 0.31-0.55 mm). The difference in laxity between
sexes was not significant (P = .85). In the symptomatic population, the mean ATFL
length difference between stress and neutral positions was 1.26 mm (95% CI, 0.97
1.55 mm). A t test comparing the mean change in ATFL length showed a
statistically significant increase in laxity in the symptomatic group (P <
.0001). CONCLUSIONS: The normal ATFL shows minimal laxity in both men and women
on stress sonography, with significantly greater laxity among patients with ankle
instability. Given these findings, stress sonography may have an important role
in the imaging diagnosis of anterolateral ankle instability.
PMID- 29363787
TI - A genome-scale DNA methylation study in women with interstitial cystitis/bladder
pain syndrome.
AB - AIMS: To assess the feasibility of using voided urine samples to perform a DNA
methylation study in females with interstitial cystitis/bladder pain syndrome
(IC/BPS) as compared to age- and race-matched controls. A unique methylation
profile could lead to a non-invasive, reproducible, and objective biomarker that
would aid clinicians in the diagnosis of IC/BPS. METHODS: Nineteen IC/BPS
patients and 17 controls were included. IC/BPS patients had an Interstitial
Cystitis Symptom Index score of >8; controls had no bladder symptoms. DNA was
extracted from pelleted urine sediment. Samples with >500 ng of genomic DNA
underwent quantitative DNA methylation assessment using the Illumina Infinium
MethylationEPIC BeadChip. Age- and race-matching was applied prior to analysis.
Linear regression models were used to compare average methylation between IC/BPS
cases and controls at each cytosine guanine dinucleotide site (loci where
methylation can occur). RESULTS: Sixteen participants (eight IC/BPS age- and race
matched to eight controls) had adequate DNA for methylation analysis. The median
age was 43.5 years (interquartile range 33.8, 65.0), the median BMI was 27.1 (IQR
22.7, 31.4), and 14 were Caucasian (87.5%). A total of 688 417 CpG sites were
analyzed. In exploratory pathway analysis utilizing the top 1000 differentially
methylated CpG sites, the mitogen-activated protein kinase (MAPK) pathway was
overrepresented by member genes. CONCLUSIONS: The results demonstrate the
feasibility of using voided urine specimens from women with IC/BPS to perform DNA
methylation assessments. Additionally, the data suggest genes within or
downstream of the MAPK pathway exhibit altered methylation in IC/BPS.
PMID- 29363789
TI - Sertoli cell specific decline in NOR-1 leads to germ cell apoptosis and reduced
fertility.
AB - The somatic component of seminiferous epithelium, the Sertoli cells (Sc) respond
to Follicle stimulating hormone (FSH), and Testosterone (T) to produce factors
which are necessary for germ cell (Gc) survival and differentiation. Infant Sc do
not support spermatogenesis in spite of sufficient hormonal milieu, a situation
similar to that found in male idiopathic infertility. Sc maturation during
pubertal period involves expression of some genes which may be important for
initiation of spermatogenesis. Analysis of differentially expressed genes, one by
one, in infant and pubertal Sc might provide useful information about the
regulation of spermatogenesis. DNA microarray based analysis of mRNA from 5-days
(infant) and 12-days (pubertal) old rat Sc revealed increased expression of Nor-1
by pubertal Sc. NOR-1 is an orphan nuclear receptor involved in maintaining
cellular homeostasis and disease. We generated transgenic mice using shRNA cloned
under Pem (Rhox5) promoter which is activated at puberty in Sc. Such transgenic
mice had reduced Nor-1 expression and increased Tgfbeta1, Tgfbeta3, and Smad3
expression. Moreover, an increase in beta-catenin expression was observed in NOR
1 knockdown testes. High beta-catenin in such transgenic mice was found to be
associated with disruption of Sc maturation characterized by elevated expression
of Anti Mullerian hormone, Cytokeratin 18, and Sox9. This disruption of Sc
maturation resulted in Gc apoptosis. Such NOR-1 knockdown mice showed reduced
sperm count and litter size. We report for the first time that NOR-1 plays a
crucial role in regulating sperm count and male fertility.
PMID- 29363790
TI - Linoleic acid induces an increased response to insulin in MDA-MB-231 breast
cancer cells.
AB - Epidemiological studies and animal models suggest a link between high levels of
dietary fat intake and an increased risk of developing breast cancer.
Hyperinsulinemia is a feature of obesity, diabetes, and metabolic syndrome that
is associated with an increased breast cancer risk. Insulin is a hormone involved
in metabolic regulation of carbohydrate. However, it is also a growth factor that
mediates proliferation and migration. Linoleic acid (LA) is a fatty acid that
induces migration and invasion in breast cancer cells. In the present study, we
demonstrate, for the first time, that treatment with LA increases IR and IGF1R
expression through a Free Fatty Acid Receptor 4 (FFAR4)-, lipooxygenases (LOXs)-,
and SRC-dependent pathway in MDA-MB-231 breast cancer cells, and similarly
induces an increase of IR expression in MCF-7 breast cancer cells. In addition,
insulin induces tyrosine phosphorylation of IR/IGF1R and migration in MDA-MB-231
cells pretreated with LA, whereas it augments the increase in migration in MCF-7
cells pretreated with LA. Pretreatment of MDA-MB-231 cells with LA induces
invasion, proliferation, and increase the MMP-9 secretion induced by insulin. In
summary, our findings demonstrate that treatment with LA induces a higher
response to insulin in breast cancer cells.
PMID- 29363791
TI - Whey protein isolate with improved film properties through cross-linking
catalyzed by small laccase from Streptomyces coelicolor.
AB - BACKGROUND: The effects of small laccase (SLAC) from Streptomyces coelicolor on
the properties of whey protein isolate (WPI) films were studied. RESULTS: WPI was
catalyze by SLAC without phenolic acid assistance. Particle size distribution
results showed that some complexes with higher relative molecular weight formed
in WPI samples treated with SLAC. The content of alpha-helixes decreased while
those of beta-sheets and random coils increased following SLAC treatment
according to circular dichroism results. Fourier transform infrared spectral
analysis suggested that some conformational changes occurred in WPI following
SLAC treatment. Analysis of WPI films prepared by casting after SLAC treatment
indicated that their film properties were all improved, including mechanical
properties, solubility, water vapor, oxygen and carbon dioxide barrier
properties, film color, light transmission, transparency and thermal properties.
Compared with that of the control film, some obvious differences in the
morphology of the WPI films were observed following SLAC treatment. This report
demonstrates that laccase can directly catalyze protein cross-linking, which may
be useful to improve the performance of protein films. CONCLUSION: In this study,
SLAC was applied to WPI edible film during the film-making process. The results
showed that SLAC can catalyze WPI cross-linking without phenolic acid assistance,
and WPI film properties were improved after SLAC treatment. (c) 2018 Society of
Chemical Industry.
PMID- 29363792
TI - The efficacy of botulinum toxin A and sacral neuromodulation in the management of
interstitial cystitis (IC)/bladder pain syndrome (BPS), what do we know? ICI-RS
2017 think thank, Bristol.
AB - AIMS: This manuscript aims to address the evidence availale in the literature on
the efficacy of Botulinum Toxin A (BoNT-A) and sacral neuromodulation (SNM) in
patients suffering from Interstitial Cystitis (IC)/BPS and propose further
research to identify mechanisms of action and establish the clinical efficacy of
either therapy. METHODS: At the International Consultation on Incontinence
Research Society (ICI-RS) in 2017, a panel of Functional Urologists and
Urogynaecologists participated in a Think Tank (TT) discussing the management of
IC/BPS by BoNT-A and SNM, using available data from both PubMed and Medicine
literature searches. RESULTS: The role of BoNT-A and SNM in the treatment of
IC/BPS are discussed and mechanisms of actions are proposed. Despite the
available randomized trial data on the effect of intravesical BoNT-A treatment on
symptoms of IC/BPS, a consistent conclusion of a positive effect cannot be drawn
at the moment, as the published studies are small and heterogeneous in design.
There is substantive evidence for the positive effects of SNM on symptoms of
IC/BPS patients however, during patient selection, it is important to distinguish
the degree and the location of pain in order to tailor the best therapy to the
right patients. CONCLUSIONS: Both intravesical BoNT-A treatment and SNM have been
shown to have positive effects in patients with IC/BPS. However, firm conclusions
cannot yet be drawn. Patient-reported outcomes and quality of life should be
assessed in addition to urinary and pain symptoms. Since current treatments
mainly focus on symptomatic relief, future research should also focus on
clarifying the pathogenic mechanisms involved in IC/BPS.
PMID- 29363793
TI - Signature microRNAs of nuclear Sm complex associated with breast cancer
tumorigenesis.
AB - It is well known that Sm proteins, small nuclear ribonucleoproteins, act as core
spliceosomal factors in alternative splicing of mRNA precursors. MicroRNAs
(miRNAs) can function in alternative splicing by targeting mRNAs of splicing
factors. However, the direct interaction between miRNAs and proteins of splicing
complex in nucleus has not been explored. In this study, the mature miRNAs in
nuclear Sm complex of breast cancer cells and normal breast epithelial cells were
characterized. Small RNA sequencing of immunoprecipitated nuclear Sm complex with
the SmD1-specific antibody identified 123 and 170 mature miRNAs in nuclear Sm
complex of normal breast cells and breast cancer cells, respectively. The results
of Northern blot analysis confirmed the existence of mature miRNAs in Sm complex
and electrophoretic mobility shift assay (EMSA) validated the binding of miRNAs
with proteins of Sm complex. Among the identified miRNAs bound to the Sm complex
in nucleus, 94 miRNAs were significantly upregulated, and 39 miRNAs significantly
downregulated in breast cancer cells compared with normal breast cells,
suggesting that miRNAs in nuclear Sm complex might be associated to tumorigenesis
of breast cancer by regulating Sm complex during alternative splicing of mRNA
precursors. Our study provided novel clues to reveal the regulatory mechanism of
Sm complex in the assembly of spliceosome and contributed novel aspects of miRNAs
to tumorigenesis of breast cancer.
PMID- 29363794
TI - Distinctive inhibition of alkaline phosphatase isozymes by thiazol-2-ylidene
benzamide derivatives: Functional insights into their anticancer role.
AB - In the recent years, the role of alkaline phosphatase (AP) isozymes in the cause
of neoplastic diseases such as breast, liver, renal, and bone cancer has been
confirmed and, thus they represent a novel target for the discovery of anticancer
drugs. In this study different derivatives of thiazol-2-ylidene-benzamide were
evaluated for their potential to inhibit alkaline phosphatase (AP) isozymes.
Their anticancer potential was assessed using human breast cancer (MCF-7), bone
marrow cancer (K-562), and cervical cancer (HeLa) cell lines in comparison to
normal cells from baby hamster kidney BHK-21. The results suggested that in
comparison to other derivatives, compounds 2i, 2e, and 2a showed more sensitivity
towards human tissue non-specific alkaline phosphatase (h-TNAP). Among these, 2"
chloro-N-(3-(4'-fluorophenyl)-4-methylthiazol-2(3H)-ylidene) benzamide (2e) was
found as the most potent and selective inhibitor for h-TNAP with an IC50 value of
0.079 +/- 0.002 MUM. Moreover, a significant correlation was observed between the
enzyme inhibition profile and cytotoxic data. The compounds exhibiting maximum
anticancer potential also induced maximum apoptosis in the respective cell lines.
Furthermore, the DNA interaction studies exhibited the non-covalent mode of
interaction with the herring sperm-DNA. Molecular docking studies also supported
the in vitro inhibitory activity of potent compounds. Our findings suggested that
potent and selective inhibitors might be useful candidates for the treatment or
prevention of those diseases associated with the higher level of AP. Moreover,
the study can be useful for the researcher to explore more molecular mechanisms
of such derivatives and their analogues with the exact findings.
PMID- 29363795
TI - Financial consequences from smoking-related diseases in middle-income countries:
Evidence and lessons from Mexico.
PMID- 29363796
TI - Long-term impacts of hemodialysis on the right ventricle: Assessment via 3
dimensional speckle-tracking echocardiography.
AB - BACKGROUND: Right ventricular (RV) dysfunction is a major cause of death in
patients undergoing maintenance hemodialysis (MHD). We used 3-dimensional speckle
tracking echocardiography (3DSTE) to evaluate long-term impacts of MHD on RV
function. HYPOTHESIS: In this study, RV dysfunction in MHD patients will be
revealed and studied in depth by 3DSTE. METHODS: Echocardiography was performed
on 110 consecutively enrolled individuals: 30 controls and 80 patients with MHD.
Conventional echocardiographic parameters and 3DSTE parameters were obtained and
compared between groups. Univariate and multivariate logistic regression analysis
identified independent predictors of intradialytic hypotension (IDH). RESULTS:
Compared with the control group, RV end-diastolic volume (RVEDV) was markedly
enlarged (46.1 +/- 11.8 mL/m2 vs 42.3 +/- 8.6 mL/m2 ; P = 0.047), whereas RV
ejection fraction (RVEF) was significantly lower in the MHD group (50.6% +/- 5.8%
vs 55.2% +/- 3.7%; P < 0.001). RV global, septal, and lateral wall longitudinal
strains were also decreased in the MHD group (-18.2 +/- 3.6 vs -22.6 +/- 4.3%;
13.1 +/- 3.8 vs -17.5 +/- 5.5%; and -23.4 +/- 4.7 vs -27.7 +/- 4.0%,
respectively; all P < 0.001). RVEF (odds ratio [OR]: 0.72, 95% confidence
interval [CI]: 0.51 to 1.01, P = 0.038) and history of diabetes (OR: 11.14, 95%
CI: 1.16 to 106.71, P = 0.036) were 2 independent predictors of IDH.
Ultrafiltration rate was an independent factor associated with RVEF (beta =
0.01, 95% CI: -0.019 to 0.001, P = 0.039). CONCLUSIONS: RVEF by 3DSTE could be an
important predictor of IDH in MHD patients, and lower ultrafiltration rate was
protective for RVEF. 3DSTE may have potential in RV evaluation and risk
stratification in MHD patients.
PMID- 29363799
TI - Competition in the Health Care Sector: Ten Years Later (Book).
PMID- 29363798
TI - Evolution of Structural DNA Nanotechnology.
AB - The research field entitled structural DNA nanotechnology emerged in the
beginning of the 1980s as the first immobile synthetic nucleic acid junctions
were postulated and demonstrated. Since then, the field has taken huge leaps
toward advanced applications, especially during the past decade. This Progress
Report summarizes how the controllable, custom, and accurate nanostructures have
recently evolved together with powerful design and simulation software.
Simultaneously they have provided a significant expansion of the shape space of
the nanostructures. Today, researchers can select the most suitable fabrication
methods, and design paradigms and software from a variety of options when
creating unique DNA nanoobjects and shapes for a plethora of implementations in
materials science, optics, plasmonics, molecular patterning, and nanomedicine.
PMID- 29363797
TI - Utility of neutralization test for laboratory diagnosis of suspected mumps.
AB - Mumps is an infectious disease caused by mumps virus (MuV), which belongs to the
family Paramyxoviridae and genus Rubulavirus. Typical symptoms of mumps include
fever and swelling of the parotid glands; however, mumps can be asymptomatic.
Mumps is diagnosed by molecular and serological methods (i.e., PCR and Enzyme
Immunoassay [EIA]); however, both methods have pros and cons. This study was
performed to compare the diagnostic utility of a focus reduction neutralization
test (FRNT) to that of MuV-specific commercial IgM and IgG antibody EIA in
patients suspected of having mumps. One hundred-eighty six samples collected
during mumps outbreak in 2012-16 were studied. Samples (n = 80) were tested by
all the three serological assays and showed 70.4%, 83% and 92.5% positivity by
IgM EIA, IgG and FRNT, respectively. In all, 58.8% samples (n = 47) tested
positive in all three assays. Concordance between mumps RT-PCR and IgM EIA was
highest during the first 2-5 days and decreased with increasing time post-onset.
Mumps FRNT results agreed with those of RT-PCR/IgM EIA from the second week
onwards, whereas the results of mumps IgG EIA agreed with those of RT-PCR/IgM EIA
from post-onset days 3-10. These findings suggest the utility of a FRNT for
laboratory diagnosis of mumps in countries whose populations are not immunized
against this infection.
PMID- 29363800
TI - Health Care of the Elderly: An Information Sourcebook (Book).
PMID- 29363802
TI - New Model Management: Griffiths and the N.H.S./Griffiths and the Nurses: A
National Survey of CNAs (Book).
PMID- 29363801
TI - Smoking in pregnancy: smokescreen or risk factor? Towards a materialist analysis.
AB - This paper considers the topic of women's smoking in pregnancy within the general
context of the current health promotion concern about smoking as a public health
issue. Drawing on data from an ongoing research project which is investigating
the interrelationships between'risk', social support and reproductive health, the
paper argues that smoking in pregnancy constitutes an area of women's behaviour
which is linked in systematic ways with aspects of their material and social
position. Consequently, conventional individualist models of smoking behaviour
both fail to explain why pregnant women smoke and are unable adequately to
account for the health consequences of this behaviour. The reason for singling
out smoking in this analysis inheres not in any detrimental health effect
directly attributable to it, but rather to the way in which pregnancy smoking has
been socially constructed as a reprehensible feature of women's life-styles.
PMID- 29363803
TI - Health Care for an Aging Society, Cost-conscious Community Care and Self-Care
Approaches (Book).
PMID- 29363804
TI - Task, Talk, and Text in the Operating Room: A Study in Medical Discourse (vol
XXXIII in Advances in Discourse Processes) (Book).
PMID- 29363805
TI - Social Order/Mental Disorder: Anglo-American Psychiatry in Historical Perspective
(Book).
PMID- 29363806
TI - The Quarterback Speaks to His God (Book).
PMID- 29363807
TI - Nursing the Dying (Book).
PMID- 29363809
TI - Sickness as a dominant symbol in life course transitions: an illustrated
theoretical framework.
AB - The paper examines how sickness as a cultural performance might be analysed as a
dominant symbol within life course transitions. The concept of dominant symbol is
taken from Victor Turner's framework for Symbolic Processual Analysis in ritual
and pilgrimage and is used to interrogate data collected in an ethnographic study
of sickness absence in an English primary school. It is suggested that sickness
played a central part in the construction of meaning around the transition from
primary to secondary school. The performance of sickness seemed to condense
ideological features (especially those of gender and work discipline) and to
express modulations in the meaning of the transition in its different phases. The
concept of dominant symbol (and the related notion of liminality) illuminate
these data but need modification to take account of the unritualised and
fragmented character of many life course transitions in contemporary Western
societies. It is suggested that with modification these concepts might be applied
to the meaning of sickness in other life course transitions.
PMID- 29363810
TI - The Voluntary Impulse. Philanthropy in Modern Britain (Book).
PMID- 29363811
TI - One Foot in Eden: A Sociological Study of the Range of Therapeutic Community
Practice/The Therapeutic Community Movement: Charisma and Routinization (Book).
PMID- 29363812
TI - The Politics of Health in India (Book).
PMID- 29363813
TI - Author.
PMID- 29363815
TI - From Population to People (Book).
PMID- 29363814
TI - Knowledge of shadows: the introduction of X-ray images in medicine.
AB - Ever since the discovery of X-rays in 1895, X-ray imaging has played a large role
in the cognitive and practical organization of medicine. This article analyses
the way X-ray images were introduced and made sense of in medical thinking and
acting around the turn of the century. The implicit assumption in many histories
of radiology is that the specific (diagnostic) message of the X-ray images
resided inside them from the beginning, and that it is obscured either by
technological or epistemological problems. These being solved, it would then be
no problem to see directly what information the image contains. In this article
this assumption is contested. It is argued that the specific content of the
images was shaped by the activities of X-ray workers within the context of
medical developments of the time. This shaping, as it is historically
reconstructed here, consisted of four methods. X-ray workers (be they physicians,
technicians or scientists) experimented with the technology, the images, the
photographic materials and the objects that were X-rayed. They used X-ray images
of dead bodies to compare them with radiographs of living patients. Radiologists
tried to'translate'diagnostic information acquired with other methods into the
shadows of the X-ray images. And finally they compared images with images. The
process of shaping the content and use of X-ray images, of making them represent
reality, took place within specific institutions, and it took a different form in
different countries, but also for different parts of the body. Developments of
institutionalisation and professionalisation of radiology in England and the
Netherlands are presented to provide a small part of the background of this
shaping of knowledge of shadows.
PMID- 29363816
TI - The Social Organization of Death: Medical Discourse and Social Practices in
Belfast (Book).
PMID- 29363817
TI - Brachial Artery Wall Stiffness Assessment by Shear Wave Elastography: A Promising
New Diagnostic Tool for Endothelial Dysfunction Detection.
AB - OBJECTIVES: This study was designed to measure the changes in brachial artery
wall stiffness by shear wave elastography (SWE) and evaluate the accuracy of SWE
changes for detection of endothelial dysfunction. METHODS: Sixty-five consecutive
participants (19 patients with atherosclerosis proven by coronary angiography, 16
healthy young adults, 15 patients with cardiovascular risk factors, and 15
healthy older adults between 50 and 60 years) were prospectively included in this
study. They were examined in the same week by SWE, and flow-mediated dilatation
was evaluated for each patient. RESULTS: The mean flow-mediated dilatation values
+/- 2 SDs after forearm occlusion were 8.54% +/- 1.4% in healthy young adults,
7.61% +/- 1.4% in healthy older adults, 5.83% +/- 0.7% in patients with risk
factors (P < .001), and 3.81% +/- 2.4% in patients with atherosclerosis (P <
.001, with respect to the risk factor group). There was a significant decrease in
stiffness measurements in parallel with the increase in flow-mediated dilatation:
19.9% +/- 6.3% in healthy young adults, 16.3% +/- 5.1% in healthy older adults,
9.8% +/- 5.4% in patients with risk factors (P < .05 with respect to the group
with no risk factors), and 7.8% +/- 6.4% in patients with atherosclerosis (P <
.001 with respect to the healthy older adults). CONCLUSIONS: Shear wave
elastography in combination with flow-mediated dilatation could be a promising,
widely available noninvasive diagnostic tool for detecting endothelial
dysfunction.
PMID- 29363818
TI - Salivary tannin-binding proteins are a pervasive strategy used by the
folivorous/frugivorous black howler monkey.
AB - Dietary tannins can affect protein digestion and absorption, be toxic, and
influence food selection by being astringent and bitter tasting. Animals that
usually ingest tannins may regularly secrete tannin-binding salivary proteins
(TBSPs) to counteract the negative effects of tannins or TBSPs production can be
induced by a tannin-rich diet. In the wild, many primates regularly eat a diet
that contains tannin-rich leaves and unripe fruit and it has been speculated that
they have the physiological ability to cope with dietary tannins; however,
details of their strategy remains unclear. Our research details the salivary
protein composition of wild and zoo-living black howler monkeys (Alouatta pigra)
feeding on natural versus manufactured low-tannin diets, and examines differences
in TBSPs, mainly proline-rich proteins (PRPs), to determine whether production of
these proteins is dependent on the tannin content of their food. We measured the
pH, flow rate, and concentration of total protein and trichloroacetic acid
soluble proteins (an index of PRPs) in saliva. Howler monkeys produced slightly
alkaline saliva that may aid in the binding interaction between tannin and
salivary proteins. We used gel electrophoresis to describe the salivary protein
profile and this analysis along with a tannin-binding assay allowed us to detect
several TBSPs in all individuals. We found no differences in the characteristics
of saliva between wild and zoo-living monkeys. Our results suggest that black
howler monkeys always secrete TBSPs even when fed on foods low in tannins. This
strategy of constantly using this salivary anti-tannin defense enables them to
obtain nutrients from plants that sometimes contain high levels of tannins and
may help immediately to overcome the astringent sensation of their food allowing
howler monkeys to eat tanniferous plants.
PMID- 29363819
TI - Oscillating Chiral-Nematic Fingerprints Wipe Away Dust.
AB - This work presents an approach to create mechanical undulations at a solid
organic coating surface under the influence of an electric field. The coating is
fabricated through polymerization of chiral reactive mesogens aligned in their
fingerprint mode on top of interdigitated electrodes. The fingerprint mode gives
a corrugation of the surface perpendicular to the helix axes. When a lateral
alternating electric field is applied, the order parameter of the helicoidally
packed mesogens is reduced. This simultaneously leads to an inversion of the
fingerprint heights, an overall thickness increase, and a chaotic and fast
surface oscillation. These three effects work in concert stimulating wavy
deformation figures at the coating surface. The process is fast and reversible;
the dynamics of the topographic textures stop immediately when the electric field
is switched off. The continuous generation of surface undulations sustains
transport of species at the coating surface. It removes dust and debris providing
an active dust control.
PMID- 29363820
TI - Flavonoid silybin improves the response to radiotherapy in invasive bladder
cancer.
AB - Conservative treatment for invasive bladder cancer (BC) involves a complete
transurethral tumor resection combined with chemotherapy (CT) and radiotherapy
(RT). The major obstacles of chemo-radiotherapy are the addition of the
toxicities of RT and CT, and the recurrence due to RT and CT resistances. The
flavonoid Silybin (Sb) inhibits pathways involved in cell survival and resistance
mechanisms, therefore the purpose of this paper was to study in vitro and in
vivo, the ability of Sb to improve the response to RT, in two murine BC cell
lines, with different levels of invasiveness, placing emphasis on radio
sensitivity, and pathways involved in radio-resistance and survival. In vitro, Sb
radio-sensitized murine invasive cells through the inhibition of RT-induced NF
kappaB and PI3K pathways, and the increase of oxidative stress, while non
invasive cells did not show to be sensitized. In vivo, Sb improved RT-response
and overall survival in invasive murine tumors. As Sb is already being tested in
clinical trials for other urological cancers and it improves RT-response in
invasive BC, these results could have translational relevance, supporting further
research.
PMID- 29363821
TI - Daily Assessment of Stressful events and Coping in early post-operative recovery
after colorectal cancer surgery.
AB - This study describes the most stressful events and coping strategies used by
patients with colorectal cancer 4-6 weeks after surgery and whether the coping
strategies were considered helpful or not. For the investigation of situational
coping, an exploratory design was used. One hundred and five patients from three
Swedish hospitals were included to fill in the Daily Coping Assessment. Most
stressful event, number and types of pre-defined coping strategies used, and if
the coping strategy used was considered helpful or not, were measured for 5 days.
Of 523 diary entries, 180 reported no stressful events. The most stressful event,
also with worst level of control and expectation, was "Pain," followed by
"Nausea/vomiting." The areas causing most stressful events were "Bowel-related"
and "Surgery and treatment-related problems." Acceptance and Direct action were
the most frequently used coping strategies. There was a wide range of perceived
helpfulness if coping strategies were placed in relation to specific areas of
events. The conclusion was that patients revealed several strategies for coping
with stressful events but needed a higher level of preparedness for what might
come and therefore need to be given appropriate support to cope during the early
recovery phase. Such support is suggested to be person-centred and oriented
towards individually adapting standardised regimens, given the variety of
situations to which the stressful events reported in the study were related.
PMID- 29363822
TI - Defective Metal-Organic Frameworks.
AB - The targeted incorporation of defects into crystalline matter allows for the
manipulation of many properties and has led to relevant discoveries for optimized
and even novel technological applications of materials. It is therefore exciting
to see that defects are now recognized to be similarly useful in tailoring
properties of metal-organic frameworks (MOFs). For instance, heterogeneous
catalysis crucially depends on the number of active catalytic sites as well as on
diffusion limitations. By the incorporation of missing linker and missing node
defects into MOFs, both parameters can be accessed, improving the catalytic
properties. Furthermore, the creation of defects allows for adding properties
such as electronic conductivity, which are inherently absent in the parent MOFs.
Herein, progress of the rapidly evolving field of the past two years is
overviewed, putting a focus on properties that are altered by the incorporation
and even tailoring of defects in MOFs. A brief account is also given on the
emerging quantitative understanding of defects and heterogeneity in MOFs based on
scale-bridging computational modeling and simulations.
PMID- 29363823
TI - Suppressive effects of tenofovir disoproxil fumarate, an antiretroviral prodrug,
on mineralization and type II and type III sodium-dependent phosphate
transporters expression in primary human osteoblasts.
AB - Tenofovir disoproxil fumarate (TDF) is an antiretroviral drug commonly used for
the management of Human Immunodeficiency Virus (HIV) in highly active
antiretroviral therapy (HAART) and of chronic Hepatitis B Virus (HBV) infections.
Long-term TDF-treated subjects present decrease of bone mineral density and
rarely severe osteomalacia. Although these adverse effects have been attributed
to the impaired proximal tubule function, a possible direct involvement of TDF on
osteoblasts should be taken into account. The aim of this study was to evaluate
whether sodium phosphate transporters NPT2A (sodium-dependent phosphate transport
protein 2A), NPT2C (sodium-dependent phosphate transport protein 2C), PIT1
(sodium-dependent phosphate transporter 1), and PIT2 (sodium-dependent phosphate
transporter 2) were expressed in primary human osteoblasts (HOBs), whether their
expression was related to HOBs differentiation and whether TDF could affect
mineralization and gene expression. PIT1 and PIT2 were expressed under
proliferating conditions and increased after induction of mineralization, while
NPT2A and NPT2C were almost undetectable. In HOBs TDF exposure induced a
significant dose-dependent decrease in mineralization. Moreover, TDF caused a
reduction of COL1A1 and of ATF4 expression in differentiated HOBs. In summary,
HOBs do not express NPT2A and NPT2C and do express PIT1 and PIT2, suggesting a
role of these two latter in human osteoblast mineralization. TDF impairs
osteoblast mineralization, confirming a direct negative effect on bone.
Therefore, in clinical practice, bone damage must be suspected and evaluated also
in patients receiving TDF without kidney function alterations.
PMID- 29363824
TI - Comparison of water and air charged transducer catheter pressures in the
evaluation of cystometrogram and voiding pressure studies.
AB - AIMS: Air-charged (AC) and water-perfused (WP) catheters have been evaluated for
differences in measuring pressures for voiding dysfunction. Typically, a two
catheter system was used. We believe that simultaneous pressure measurements with
AC and WP in a single catheter will provide analogous pressures for coughs,
Valsalvas, and maximum pressures in voiding pressure studies (VPS). METHODS: This
IRB approved prospective study included 50 women over age 21. AC dual TDOC
catheters were utilized. The water-filling channel served as the bladder filler
and the water pressure readings. Patients were evaluated with empty bladders and
at volumes of 50-100 mL, 200 mL, and maximum capacity with cough and Valsalva
maneuvers. Comparative analysis was performed on maximum stress peak pressures.
At maximum bladder capacity, VPS was done and maximum voiding pressure was
recorded. RESULTS: Comparing coughs and Valsalva maneuvers pressures, there was
significant increase in variability between AC and WP measurements with less than
50 mL volume (P < 0.001). Significant correlations were observed between AC and
WP measurements for coughs and Valsalvas with bladder volume over 50 mL. Visual
impression showed virtually identical tracings. Cough measurements had an average
difference of 0.25 cmH2 O (+/-8.81) and Valsalva measurements had an average
difference of 3.15 cmH2 O (+/-4.72). Thirty-eight women had usable maximum
voiding pressure measurements and had a strong correlation. CONCLUSIONS:
Cystometrogram and maximum voiding pressure measurements done with either water
or air charged catheters will yield similarly accurate results and are
comparable. Results suggest more variability at low bladder volumes <50 mL.
PMID- 29363825
TI - Atrial flutter in fetus with immune-mediated complete heart block.
PMID- 29363826
TI - Unusual course of hyperreactio luteinalis in twin pregnancy complicated by twin
twin transfusion syndrome.
PMID- 29363827
TI - A novel two-component system modulates quorum sensing and pathogenicity in
Burkholderia cenocepacia.
AB - Quorum sensing (QS) is widely utilized by bacterial pathogens to regulate
biological functions and pathogenicity. Recent evidence has shown that QS is
subject to regulatory cascades, especially two-component systems that often
respond to environmental stimulation. At least two different types of QS systems
regulate pathogenesis in Burkholderia cenocepacia. However, it remains unclear
how this bacterial pathogen controls these QS systems. Here, we demonstrate a
novel two-component system RqpSR (Regulating Quorum sensing and Pathogenicity),
which plays an important role in modulating QS and pathogenesis in B.
cenocepacia. We demonstrate strong protein-protein binding affinity between RqpS
and RqpR. Mutations in rqpS and rqpR exerted overlapping effects on B.
cenocepacia transcriptomes and phenotypes, including motility, biofilm formation
and virulence. In trans expression of rqpR rescued the defective phenotypes in
the rqpS mutant. RqpR controls target gene expression by direct binding to DNA
promoters, including the cis-2-dodecenoic acid (BDSF) and N-acylhomoserine
lactone (AHL) signal synthase gene promoters. These findings suggest that the
RqpSR system strongly modulates physiology by forming a complicated hierarchy
with QS systems. This type of two-component system appears to be widely
distributed and coexists with the BDSF QS system in various bacterial species.
PMID- 29363828
TI - Oriented Nanofibrous Polymer Scaffolds Containing Protein-Loaded Porous Silicon
Generated by Spray Nebulization.
AB - Oriented composite nanofibers consisting of porous silicon nanoparticles (pSiNPs)
embedded in a polycaprolactone or poly(lactide-co-glycolide) matrix are prepared
by spray nebulization from chloroform solutions using an airbrush. The nanofibers
can be oriented by an appropriate positioning of the airbrush nozzle, and they
can direct growth of neurites from rat dorsal root ganglion neurons. When loaded
with the model protein lysozyme, the pSiNPs allow the generation of nanofiber
scaffolds that carry and deliver the protein under physiologic conditions
(phosphate-buffered saline (PBS), at 37 degrees C) for up to 60 d, retaining 75%
of the enzymatic activity over this time period. The mass loading of protein in
the pSiNPs is 36%, and in the resulting polymer/pSiNP scaffolds it is 3.6%. The
use of pSiNPs that display intrinsic photoluminescence (from the quantum-confined
Si nanostructure) allows the polymer/pSiNP composites to be definitively
identified and tracked by time-gated photoluminescence imaging. The remarkable
ability of the pSiNPs to protect the protein payload from denaturation, both
during processing and for the duration of the long-term aqueous release study,
establishes a model for the generation of biodegradable nanofiber scaffolds that
can load and deliver sensitive biologics.
PMID- 29363829
TI - Genome-wide non-invasive prenatal screening for all cytogenetically visible
imbalances.
PMID- 29363830
TI - The Viability of C5-Protonated- and C4,C5-Ditopic Carbanionic Abnormal NHCs: A
New Dimension in NHC Chemistry.
AB - The first C5-protonated abnormal N-heterocyclic carbene (aNHC), PhC{N(2,6-iPr2 C6
H3 )}2 CHC: (4) is readily accessible by C4-deprotonation of [ArC{N(2,6-iPr2 C6
H3 )}2 CHCH]X (3 a-X) (Ar=Ph, X=Br or I) with a base. The aNHC 4 is stable at 298
K in [D8 ]THF solution and has been spectroscopically characterized. The facile
availability of 4 enables the synthesis of a series of main-group compounds as
well as transition-metal complexes featuring a new phosphorus-aNHC hybrid ligand.
Double deprotonation of [ArC{N(2,6-iPr2 C6 H3 )}2 CHCH]X (Ar=Ph, 3 a-X (X=Br or
I); 4-Tol, 3 b-Br; 4-DMP, 3 c-Br; Tol=MeC6 H4 , DMP=Me2 NC6 H4 ) with nBuLi
yields the C4,C5-ditopic carbanionic aNHCs, [ArC{N(2,6-iPr2 C6 H3 )}2 (C:)2
]Li(THF)n (Ar=Ph, 13 a; 4-Tol, 13 b; 4-DMP, 13 c), which on treatment with Ph2
PCl affords cationic vicinal bisphosphine derivatives [ArC{N(2,6-iPr2 C6 H3 )}2
{C(PPh2 }2 ]X (Ar=Ph, 14 a-X, X=Br or I; 4-Tol, 14 b-Br; 4-DMP, 14 c-Br).
PMID- 29363831
TI - Ultrasound diagnosis of endometrial polyps in pregnancy.
PMID- 29363832
TI - White matter microstructural organisation of interhemispheric pathways predicts
different stages of bimanual coordination learning in young and older adults.
AB - The ability to learn new motor skills is crucial for activities of daily living,
especially in older adults. Previous work in younger adults has indicated fast
and slow stages for motor learning that were associated with changes in
functional interactions within and between brain hemispheres. However, the impact
of the structural scaffolds of these functional interactions on different stages
of motor learning remains elusive. Using diffusion-weighted imaging and
probabilistic constrained spherical deconvolution-based tractography, we
reconstructed transcallosal white matter pathways between the left and right
primary motor cortices (M1-M1), left dorsal premotor cortex and right primary
motor cortex (LPMd-RM1) and right dorsal premotor cortex and left primary motor
cortex (RPMd-LM1) in younger and older adults trained in a set of bimanual
coordination tasks. We used fractional anisotropy (FA) to assess microstructural
organisation of the reconstructed white matter pathways. Older adults showed
lower behavioural performance than younger adults and improved their performance
more in the fast but less in the slow stage of learning. Linear mixed models
predicted that individuals with higher FA of M1-M1 pathways improve more in the
fast but less in the slow stage of bimanual learning. Individuals with higher FA
of RPMd-LM1 improve more in the slow but less in the fast stage of bimanual
learning. These predictions did not differ significantly between younger and
older adults suggesting that, in both younger and older adults, the M1-M1 and
RPMd-LM1 pathways are important for the fast and slow stage of bimanual learning,
respectively.
PMID- 29363833
TI - Purine-related metabolites and their converting enzymes are altered in frontal,
parietal and temporal cortex at early stages of Alzheimer's disease pathology.
AB - Adenosine, hypoxanthine, xanthine, guanosine and inosine levels were assessed by
HPLC, and the activity of related enzymes 5'-nucleotidase (5'-NT), adenosine
deaminase (ADA) and purine nucleoside phosphorylase (PNP) measured in frontal
(FC), parietal (PC) and temporal (TC) cortices at different stages of disease
progression in Alzheimer's disease (AD) and in age-matched controls.
Significantly decreased levels of adenosine, guanosine, hypoxanthine and
xanthine, and apparently less inosine, are found in FC from the early stages of
AD; PC and TC show an opposing pattern, as adenosine, guanosine and inosine are
significantly increased at least at determinate stages of AD whereas hypoxanthine
and xanthine levels remain unaltered. 5'-NT is reduced in membranes and cytosol
in FC mainly at early stages but not in PC, and only at advanced stages in
cytosol in TC. ADA activity is decreased in AD when considered as a whole but
increased at early stages in TC. Finally, PNP activity is increased only in TC at
early stages. Purine metabolism alterations occur at early stages of AD
independently of neurofibrillary tangles and beta-amyloid plaques. Alterations
are stage dependent and region dependent, the latter showing opposite patterns in
FC compared with PC and TC. Adenosine is the most affected of the assessed
purines.
PMID- 29363834
TI - Body composition and bone mineral density in breast cancer survivors and non
cancer controls: A 12- to 15-month follow-up.
AB - While prognosis for breast cancer in women has improved, adverse side effects of
treatments may negatively affect body composition and bone mineral density (BMD).
This study assessed body composition and BMD changes in breast cancer survivors
(BCS) (n = 10, 57.9 +/- 5.7 years) and age-matched women (non-cancer, n = 10,
56.5 +/- 4.3 years) over a 12- to 15-month period via dual-energy X-ray
absorptiometry. No differences were observed between groups at baseline except
forearm BMD values were lower in BCS (BCS: 0.462 +/- 0.070 g/cm2 ; Control: 0.539
+/- 0.052 g/cm2 , p = .012). Body fat increased in both groups compared to
baseline (BCS: 38.3-39.6 kg, p = .013; Control: 38.2-39.5 kg, p = .023) at the
follow-up. Significant decreases in BMD at the lumbar spine, femoral neck, total
femur and ulna were observed in both groups. Breast cancer survivors had a
greater decrease in left femoral neck BMD. While BCS demonstrated lower baseline
forearm BMD values and a greater decrease in left femoral neck BMD, both groups
showed an increase in body fat and decrease in forearm BMD. These findings
support the implementation of interventions to improve body composition and BMD
in both BCS and women without cancer.
PMID- 29363835
TI - Growth differentiation factor 15 is associated with left atrial/left atrial
appendage thrombus in patients with nonvalvular atrial fibrillation.
AB - BACKGROUND: There is evidence suggesting that growth differentiation factor 15
(GDF-15) appears to be associated with stroke in patients with atrial
fibrillation (AF). AF-related thromboembolic stroke is predominantly attributed
to the thrombus from the left atrium (LA) or left atrial appendage (LAA).
HYPOTHESIS: GDF-15 is related to LA/LAA thrombus in nonvalvular AF (NVAF)
patients. METHODS: A total of 894 patients with NVAF without anticoagulation
therapy were included in this study. All patients routinely underwent
transesophageal echocardiography for detection of LA/LAA thrombus. GDF-15 was
measured by enzyme-linked immunosorbent assay. Logistic regression models were
used to test for association. RESULTS: LA/LAA thrombus was detected by
transesophageal echocardiography in 69 (7.72%) patients with AF. The GDF-15
levels in the patients with LA/LAA thrombus were significantly higher than those
without LA/LAA thrombus (log10 GDF-15: 2.989 +/- 0.023 ng/L vs 2.831 +/- 0.007
ng/L; P < 0.001). Logistic regression analysis showed that GDF-15 was an
independent risk factor for LA/LAA thrombus (odds ratio [per quarter]: 1.799, 95%
confidence interval: 1.381-2.344, P < 0.001) after adjusting for potential
clinical risk factors. The optimal cutoff point for GDF-15 predicting LA/LAA
thrombus was 809.9 ng/L (sensitivity, 75.3%; specificity, 61.5%), determined by
ROC curve. The area under the curve was 0.709 (95% confidence interval: 0.644
0.770, P < 0.001). CONCLUSIONS: Elevated GDF-15 indicated a significantly
increased risk for LA/LAA thrombus in NVAF patients. Thus, GDF-15 might be a
potentially useful adjunct in discriminating LA/LAA thrombus in NVAF patients.
PMID- 29363836
TI - Role of mitochondrial Ca2+ uniporter in remifentanil-induced postoperative
allodynia.
AB - Opioid-induced hyperalgesia (OIH) and allodynia is a well-known phenomenon and
refers to the pain sensitization in patients after prolonged opioid exposure. OIH
limits the use of opioids in pain control, but the underlying mechanisms are not
fully clear. This study investigated the role of mitochondrial Ca2+ uniporter
(MCU) in remifentanil (a commonly used opioid analgesic)-induced allodynia. Using
a rat model of OIH, we found that incision- and remifentanil-induced mechanical
allodynia were remarkably attenuated by pretreatment with Ru360, a specific MCU
antagonist, suggesting a critical role of MCU in both incision- and opioid
induced allodynia. In addition, imaging studies with Rhod-2 (a mitochondrial Ca2+
dye) in spinal tissues demonstrated increased mitochondrial Ca2+ level in
response to incision and remifentanil infusion, which was attenuated by Ru360.
Western blot and immunohistochemistry showed that pNR [phosphorylated N-methyl-D
aspartate (NMDA) receptor] and pERK (phosphorylated extracellular signal
regulated kinase) are increased during both incision-induced hyperalgesia and
remifentanil-induced hyperalgesia, and again the increases in pNR and pERK were
remarkably attenuated by Ru360. Together, our data demonstrate that MCU plays a
critical role in remifentanil-induced postoperative mechanical allodynia, with
NMDA receptor and ERK as possible downstream effectors. Our findings provide
novel mechanisms for remifentanil-induced mechanical allodynia and encourage
future studies to examine the mitochondrial Ca2+ uniporter as a potential
therapeutic target for prevention of OIH.
PMID- 29363837
TI - A case series of Acceptance and Commitment Therapy (ACT) for reducing symptom
interference in functional neurological disorders.
AB - There is limited high-quality evidence supporting psychological treatments for
functional neurological disorders (FNDs), and what evidence exists suggests that
the impact of such treatments could be improved. One way to increase
effectiveness is to utilize approaches that can have impact across heterogeneous
FND presentations. Acceptance and Commitment Therapy (ACT) targets a
transdiagnostic process called psychological flexibility and is used effectively
to integrate multidisciplinary treatments in other clinical contexts. Here, we
present a consecutive case series (N = 8) of a relatively brief (6 to 10
sessions) ACT intervention, delivered face to face by a clinical psychologist in
an outpatient neuropsychology service. Treatment aimed to reduce symptom
interference and improve mood via improvements in psychological flexibility.
Service users presented with a range of FND symptoms (e.g., syncope, limb
paralysis, and paraesthesia). Following treatment, 5 participants showed reliable
improvements in symptom interference (Work and Social Adjustment Scale), 2 to the
extent of clinical significance; 4 had reliable improvements in mood (Clinical
Outcomes in Routine Evaluation-10), and 2 within the range of clinical
significance. There were no reliable deteriorations in symptom interference or
mood. Marked variation was apparent on the measure of psychological flexibility
(Acceptance and Action Questionnaire II), with 4 reliable improvements, 3 within
the range of clinical significance, and also 2 reliable deteriorations. These
promising results suggest that further investigation of an ACT approach to FND is
warranted. Future studies should include measures of psychological flexibility
with greater comprehensibility.
PMID- 29363838
TI - Nitrogen-Coordinated Single Cobalt Atom Catalysts for Oxygen Reduction in Proton
Exchange Membrane Fuel Cells.
AB - Due to the Fenton reaction, the presence of Fe and peroxide in electrodes
generates free radicals causing serious degradation of the organic ionomer and
the membrane. Pt-free and Fe-free cathode catalysts therefore are urgently needed
for durable and inexpensive proton exchange membrane fuel cells (PEMFCs). Herein,
a high-performance nitrogen-coordinated single Co atom catalyst is derived from
Co-doped metal-organic frameworks (MOFs) through a one-step thermal activation.
Aberration-corrected electron microscopy combined with X-ray absorption
spectroscopy virtually verifies the CoN4 coordination at an atomic level in the
catalysts. Through investigating effects of Co doping contents and thermal
activation temperature, an atomically Co site dispersed catalyst with optimal
chemical and structural properties has achieved respectable activity and
stability for the oxygen reduction reaction (ORR) in challenging acidic media
(e.g., half-wave potential of 0.80 V vs reversible hydrogen electrode (RHE). The
performance is comparable to Fe-based catalysts and 60 mV lower than Pt/C -60 MUg
Pt cm-2 ). Fuel cell tests confirm that catalyst activity and stability can
translate to high-performance cathodes in PEMFCs. The remarkably enhanced ORR
performance is attributed to the presence of well-dispersed CoN4 active sites
embedded in 3D porous MOF-derived carbon particles, omitting any inactive Co
aggregates.
PMID- 29363839
TI - A description of 'Australian Lyme disease' epidemiology and impact: an analysis
of submissions to an Australian senate inquiry.
AB - BACKGROUND: Many Australian patients are diagnosed and treated for the
scientifically and politically controversial diagnosis of an endemic form of
'Australian Lyme Disease'. Patient advocacy led Senator John Madigan to propose
an Australian Senate Inquiry into this illness. AIM: To describe the symptomology
and outcomes of patients diagnosed and treated with Lyme disease in Australia.
METHODS: All public, first-person submissions (n = 698) to the inquiry were
reviewed and responses analysed for epidemiology, symptoms and impact against
structured criteria. RESULTS: The most common symptoms described were fatigue
(62.6%), disordered thinking (51.9%) and sensory disturbance (46.1%). Respondents
reported experiencing symptoms for a median of 10 years and spent a median of $30
000 on diagnosis and treatment. Almost 10% of respondents self-diagnosed after
being exposed to a media report of Australian Lyme disease. CONCLUSIONS: Patients
diagnosed with Lyme disease in Australia display a symptomology similar to
'medically unexplained physical symptoms' syndromes, experience social and
financial harms, and are at risk of nosocomial harms. Negative medical
interactions and the media may contribute to patients seeking alternative and
potentially non-evidence-based diagnoses and treatments.
PMID- 29363840
TI - Silver nanoparticles in sewage sludge: Bioavailability of sulfidized silver to
the terrestrial isopod Porcellio scaber.
AB - Silver nanoparticles (AgNPs) are efficiently converted during the wastewater
treatment process into sparingly soluble Ag sulfides (Ag2 S). In several
countries, sewage sludge is used as a fertilizer in agriculture. The
bioavailability of sulfidized Ag to the terrestrial isopod Porcellio scaber was
investigated. Sewage sludge containing transformed AgNPs was obtained from a
laboratory-scale sewage-treatment plant operated according to Organisation for
Economic Co-operation and Development (OECD) guideline 303a. The results of
transmission electron microscopy with energy dispersive X-ray of sludge samples
suggest that AgNPs were completely transformed to Ag2 S. Adult isopods were
exposed to OECD 207 soil substrate amended with the AgNP spiked sludge for 14 d
(uptake phase) followed by an elimination phase in unspiked soil of equal
duration. Most of the Ag measured in P. scaber at the end of the uptake phase was
found in the hindgut (71%), indicating that only a minor part of the estimated Ag
content was actually assimilated by the isopods with 16.3 and 12.7% found in the
carcass and hepatopancreas, respectively. As a result of this, the Ag content of
the animals dropped following transition to unspiked sludge within 2 d to one
third of the previously measured Ag concentration and remained stable at this
level until the end of the elimination period. The present study shows that Ag2 S
in sewage sludge is bioavailable to the terrestrial isopod P. scaber. Environ
Toxicol Chem 2018;37:1606-1613. (c) 2018 The Authors. Environmental Toxicology
and Chemistry published by Wiley Periodicals, Inc. on behalf of SETAC.
PMID- 29363841
TI - A Combined test using both cell sediment and supernatant cell-free DNA in pleural
effusion shows increased sensitivity in detecting activating EGFR mutation in
lung cancer patients.
AB - INTRODUCTION: The aim of this study was to examine whether a combined test using
both cell sediment and supernatant cytology cell-free DNA (ccfDNA) is more useful
in detecting EGFR mutation than using cell sediment DNA or supernatant ccfDNA
alone in pleural effusion of lung cancer patients. METHODS: A total of 74 lung
adenocarcinoma patients with paired samples between primary tumour and
corresponding metastatic tumour with both cell sediment and supernatant ccfDNA of
pleural effusion cytology were enrolled in this study. Cell sediment and
supernatant ccfDNA were analysed separately for EGFR mutations by polymerase
chain reaction. RESULTS: Out of 45 patients with mutant EGFR in primary tumours,
EGFR mutations were detected in 23 cell sediments of corresponding metastases
(sensitivity; 51.1%) and 20 supernatant ccfDNA corresponding metastases
(sensitivity; 44.4%). By contrast, the combined test detected EGFR mutations in
27 corresponding metastases (sensitivity; 60.0%), and had a higher sensitivity
than the cell sediment or the supernatant ccfDNA alone (P < .05). Out of 45
patients with mutant EGFR, 24, three and 18 were cytologically diagnosed as
positive, atypical or negative, respectively. The detection rate in the combined
test was highest (95.8%) in the positive group, and mutant EGFR was also detected
in four of 18 samples (22.2%) in the negative group. CONCLUSIONS: A combined test
using both cell sediment DNA and supernatant ccfDNA samples increases the
concordance rate of EGFR mutations between primary tumour and corresponding
metastases. Our findings indicate that supernatant ccfDNA is useful even in cases
where the cytological diagnosis is negative.
PMID- 29363842
TI - Resident murine macrophage migration and phagocytosis are modulated by growth
hormone.
AB - Growth hormone (GH) plays a physiological role in the immune system. In
macrophages, GH enhances the production of hydrogen peroxide, superoxide anions,
nitric oxide, cytokines, and chemokines, including interferon-gamma and
macrophage inflammatory protein-1alpha. However, some of the effects of GH
stimulation on the biological functions of macrophages remain to be elucidated.
Herein, we showed that in vivo GH treatment resulted in decreased expression of
VLA-5 and VLA-6 integrins on the macrophage surface, accompanied by a reduction
in macrophage adhesion to extracellular matrix (ECM) ligands, fibronectin, and
laminin. Additionally, a decrease in macrophage adhesion to laminin was observed
when the cells were treated in vitro with GH. In transwell migration assays, GH
treated macrophages showed increased migration after 6 h. Although in vitro GH
treatment did not influence the phagocytic activity of macrophages, when the
treatment was performed in vivo, peritoneal macrophages from GH-treated mice
showed a higher percentage of phagocytosis and higher phagocytic capacity than
cells from control animals. These results led us to analyse the role of insulin
like growth factor-1 (IGF-1), a GH stimulated factor, on macrophage phagocytosis.
We observed an increase in phagocytic activity when J774 murine macrophages were
treated with IGF-1 for 24 h. Our results revealed an important role for GH in
resident macrophage migration and phagocytic activity. Specifically, we
demonstrate that IGF-1 may be the GH stimulated factor that induces macrophage
phagocytosis in vivo.
PMID- 29363843
TI - What does scalp electroencephalogram coherence tell us about long-range cortical
networks?
AB - Long-range interactions between cortical areas are undoubtedly a key to the
computational power of the brain. For healthy human subjects, the premier method
for measuring brain activity on fast timescales is electroencephalography (EEG),
and coherence between EEG signals is often used to assay functional connectivity
between different brain regions. However, the nature of the underlying brain
activity that is reflected in EEG coherence is currently the realm of
speculation, because seldom have EEG signals been recorded simultaneously with
intracranial recordings near cell bodies in multiple brain areas. Here, we take
the early steps towards narrowing this gap in our understanding of EEG coherence
by measuring local field potentials with microelectrode arrays in two brain areas
(extrastriate visual area V4 and dorsolateral prefrontal cortex) simultaneously
with EEG at the nearby scalp in rhesus macaque monkeys. Although we found inter
area coherence at both scales of measurement, we did not find that scalp-level
coherence was reliably related to coherence between brain areas measured
intracranially on a trial-to-trial basis, despite that scalp-level EEG was
related to other important features of neural oscillations, such as trial-to
trial variability in overall amplitudes. This suggests that caution must be
exercised when interpreting EEG coherence effects, and new theories devised about
what aspects of neural activity long-range coherence in the EEG reflects.
PMID- 29363846
TI - Immune responses of human dental pulp stem cells in lipopolysaccharide-induced
microenvironment.
AB - This study aimed to investigate the effect of inflammatory stimuli on dental pulp
stem cells (DPSCs) by assessing their proliferation and expression of genes as
well as proteins in lipopolysaccharide (LPS)-induced microenvironment (iDPSCs).
DPSCs were first characterized for their mesenchymal properties prior to
challenging them with a series of LPS concentrations from 12 to 72 h. Following
to this, their proliferation and inflammatory based genes as well as protein
expression were assessed. iDPSCs had demonstrated significant expression of
mesenchymal markers. Upon exposure to LPS, the viability dropped distinctly with
increasing concentration, as compared to control (P < 0.05). The expression of
pro-inflammatory genes such as interleukin 6, interleukin 8 were augmented with
exposure to LPS (P < 0.05). Similarly, cytokines like tumour necrosis factor
(TNF) alpha and interleukin 1alpha had increased in dose dependant manner upon
LPS exposure (P < 0.05). Our results suggest that LPS concentration between 1 and
2 MUg/mL demonstrated inflammation induction in DPSCs that may simulate inflamed
microenvironment of dental pulp in clinical scenario. Thus, optimizing iDPSCs
secretome profile could be a promising approach to test various regenerative
protocols in inflamed microenvironment.
PMID- 29363845
TI - Non-pharmacological treatment of psychiatric disorders in individuals with
22q11.2 deletion syndrome; a systematic review.
AB - 22q11.2 deletion syndrome (22q11.2DS) is associated with high rates of anxiety
disorders, psychotic disorders, and other psychiatric conditions. In the general
population, psychiatric disorders are treated with proven pharmacological and non
pharmacological therapies, such as cognitive behavioral therapy (CBT). To begin
to assess the feasibility and efficacy of non-pharmacological therapies in
22q11.2DS, we performed a systematic search to identify literature on non
pharmacological interventions for psychiatric disorders in individuals with
22q11.2DS. Of 1,240 individual publications up to mid-2016 initially identified,
11 met inclusion criteria. There were five literature reviews, five publications
reporting original research (two originating from a single study), and one
publication not fitting either category that suggested adaptations to an
intervention without providing scientific evidence. None of the original research
involved direct study of the evidence-based non-pharmacological therapies
available for psychiatric disorders. Rather, these four studies involved computer
based or group interventions aimed at improving neuropsychological deficits that
may be associated with psychiatric disorders. Although the sample sizes were
relatively small (maximum 28 participants in the intervention group), these
reports documented the promising feasibility of these interventions, and
improvements in domains of neuropsychological functioning, including working
memory, attention, and social cognition. The results of this review underline the
need for research into the feasibility and efficacy of non-pharmacological
treatments of psychiatric disorders in individuals with 22q11.2DS to inform
clinical care, using larger samples, and optimally, standard randomized, placebo
controlled, clinical trials methodology.
PMID- 29363844
TI - Neurophysiology underlying influence of stimulus reliability on audiovisual
integration.
AB - We tested the predictions of the dynamic reweighting model (DRM) of audiovisual
(AV) speech integration, which posits that spectrotemporally reliable
(informative) AV speech stimuli induce a reweighting of processing from low-level
to high-level auditory networks. This reweighting decreases sensitivity to
acoustic onsets and in turn increases tolerance to AV onset asynchronies (AVOA).
EEG was recorded while subjects watched videos of a speaker uttering trisyllabic
nonwords that varied in spectrotemporal reliability and asynchrony of the visual
and auditory inputs. Subjects judged the stimuli as in-sync or out-of-sync.
Results showed that subjects exhibited greater AVOA tolerance for non-blurred
than blurred visual speech and for less than more degraded acoustic speech.
Increased AVOA tolerance was reflected in reduced amplitude of the P1-P2 auditory
evoked potentials, a neurophysiological indication of reduced sensitivity to
acoustic onsets and successful AV integration. There was also sustained visual
alpha band (8-14 Hz) suppression (desynchronization) following acoustic speech
onsets for non-blurred vs. blurred visual speech, consistent with continuous
engagement of the visual system as the speech unfolds. The current findings
suggest that increased spectrotemporal reliability of acoustic and visual speech
promotes robust AV integration, partly by suppressing sensitivity to acoustic
onsets, in support of the DRM's reweighting mechanism. Increased visual signal
reliability also sustains the engagement of the visual system with the auditory
system to maintain alignment of information across modalities.
PMID- 29363847
TI - Clinical outcomes of stapled transanal rectal resection for obstructed
defaecation syndrome at 10-year follow-up.
AB - AIM: The long-term efficacy of stapled transanal rectal resection (STARR) for
surgical management of obstructed defaecation syndrome (ODS) has not been
evaluated. Therefore, we investigated the long-term efficacy (> 10 years) of
STARR for treatment of ODS related to rectocele or rectal intussusception and the
factors that predict treatment outcome. METHOD: This study was a retrospective
cohort analysis conducted on prospectively collected data. Seventy-four
consecutive patients who underwent STARR for ODS between January 2005 and
December 2006 in two Italian hospitals were included. RESULTS: Seventy-four
patients [66 women; median age 61 (29-77) years] underwent STARR for ODS. No
serious postoperative complications were recorded. Ten years postoperatively, 60
(81%) patients completed the expected follow-up. Twenty-three patients (38%)
reported persistent perineal pain and 13 (22%) experienced the urge to defaecate.
ODS symptoms recurred in 24 (40%) patients after 10 years. At the 10-year follow
up, 35% of patients were very satisfied and 28% would recommend STARR and undergo
the same procedure again if necessary. In contrast, 21% of patients would not
select STARR again. Previous uro-gynaecological or rectal surgery and high
constipation scores were identified as risk factors for recurrence. CONCLUSIONS:
Stapled transanal rectal resection significantly improves the symptoms of ODS in
the short term. In the long term STARR is less effective, however.
PMID- 29363848
TI - Consensus definition and essential reporting parameters of selective fetal growth
restriction in twin pregnancy: a Delphi procedure.
AB - OBJECTIVES: Twin pregnancies complicated by selective fetal growth restriction
(sFGR) are associated with increased perinatal mortality and morbidity.
Inconsistences in the diagnostic criteria for sFGR employed in existing studies
hinder the ability to compare or combine their findings. It is therefore
challenging to establish robust evidence-based management or monitoring pathways
for these pregnancies. The main aim of this study was to determine, by expert
consensus using a Delphi procedure, the key diagnostic features of and the
essential reporting parameters in sFGR. METHODS: A Delphi process was conducted
among an international panel of experts in sFGR in twin pregnancy. Panel members
were provided with a list of literature-based parameters for diagnosing sFGR and
were asked to rate their importance on a 5-point Likert scale. Parameters were
described as solitary parameters (sufficient to diagnose sFGR, even if all other
parameters are normal) and contributory parameters (those that require other
abnormal parameter(s) to be present for the diagnosis of sFGR). Consensus was
sought to determine the cut-off values for accepted parameters, as well as
parameters used in the monitoring, management and assessment of the outcome of
twin pregnancies complicated by sFGR. The questions were presented in two
separate categories according to chorionicity. RESULTS: A total of 72 experts
were approached, of whom 60 agreed to participate and entered the first round; 48
(80%) completed all four rounds. For sFGR irrespective of chorionicity, one
solitary parameter (estimated fetal weight (EFW) of one of the twins less than
the third centile) was agreed. For monochorionic (MC) twin pregnancy at least two
out of four contributory parameters (EFW less than the 10th centile of one of the
twins, abdominal circumference (AC) of one twin less than the 10th centile, EFW
discordance of 25% or more, and umbilical artery (UA) pulsatility index (PI) of
the smaller twin above the 95th centile) were agreed. For sFGR in dichorionic
(DC) twin pregnancy, at least two out of three contributory parameters (EFW of
one twin less than the 10th centile, EFW discordance of 25% or more, and UA PI of
the smaller twin above the 95th centile) were agreed. CONCLUSION: Consensus-based
diagnostic features of sFGR in both MC and DC twin pregnancies, as well as cut
off values for the parameters involved, were agreed upon by a panel of experts.
Future studies are needed to validate these diagnostic features before they can
be used in clinical trials of interventions.
PMID- 29363849
TI - Impact of Th1/Th2 cytokine polarity induced by invariant NKT cells on the
incidence of pregnancy loss in mice.
AB - OBJECTIVE: This study aimed to investigate the association of Th1/Th2 polarity
induced by CD1d-restricted invariant natural killer T (iNKT) cells with pregnancy
outcome. METHODS: Two types of iNKT cell stimulants with different cytokine
induction properties, alpha-galactosylceramide (AGC; Th1-biased inducer), and a
sphingosine-truncated derivative of AGC (OCH; Th2-biased inducer) were
administered to pregnant mice on day 9.5 post-coitus (pc), and the incidence of
pregnancy loss was evaluated. Serum Th1/Th2 cytokine levels after the iNKT cell
stimulations were assessed. Cytokine production from cultured splenocytes
following iNKT cell activation was analyzed. RESULTS: No fetal loss was observed
after OCH administration, in clear contrast with the high frequency of pregnancy
loss after AGC exposure. High serum levels of IL-4 and IL-10 were detected upon
OCH administration, whereas a temporary surge of IFN-gamma was observed after AGC
administration. In splenocyte cultures, increases in IL-4 and IL-10 were noted
after OCH administration, whereas IL-12 production was enhanced by AGC.
Additionally, AGC-induced pregnancy loss was inhibited by IL-4 administration.
CONCLUSION: The resistance of mouse pregnancy to iNKT cell stimulation by OCH and
the prevention of AGC-induced fetal loss by IL-4 were demonstrated. In pregnancy,
the regulation of Th1/Th2 polarity by iNKT cells is a key to healthy fetal
growth.
PMID- 29363850
TI - Improving image quality of mid-trimester fetal sonography in obese women: role of
ultrasound propagation velocity.
AB - OBJECTIVE: The quality of ultrasound images is impaired in obese patients. All
ultrasound scanners are calibrated for an ultrasound propagation velocity of 1540
m/s, but the propagation in fatty tissue is slower (in the order of 1450 m/s).
The main objective of this study was to evaluate the quality of images obtained
with different ultrasound propagation velocity settings during the mid-trimester
fetal ultrasound examination in obese patients. METHODS: This was a cross
sectional study using image sets of four recommended scanning planes collected
from 32 obese pregnant women during their mid-trimester fetal scan. Each image
set comprised three images obtained successively at three different propagation
velocity settings (1540 m/s, 1480 m/s and 1420 m/s). A panel of 114 experts
assessed the quality of 100 image sets, grading them from A (most acceptable) to
C (least acceptable). Scanning-plane-specific indicators of adiposity (fatty
layer thickness, probe-to-organ distance) were analyzed for each scanning plane.
RESULTS: The experts had a mean of 18.1 +/- 10.2 years of experience. The grade
distribution (A, B, C) differed significantly (P < 0.0001) between the three
propagation velocity settings tested; at the lower speed of 1480 m/s, images were
most often graded A, while at the conventional speed of 1540 m/s, they were most
often graded C. Regardless of the scanning plane, the thicker the fatty layer of
the abdominal wall in a given plane, the lower the preferred speed (P < 0.0001).
CONCLUSION: The construction of images taking into account ultrasound propagation
velocities lower than 1540 m/s can improve significantly the quality of images
obtained during mid-trimester fetal ultrasonography in obese women. Copyright (c)
2018 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 29363852
TI - The analysis of the parameters of 24-hr ECG Holter monitoring in patients with
blood neoplasms undergoing high-dose chemotherapy and stem cell transplantation.
AB - BACKGROUND: Hematopoietic stem cell transplantation (HSCT) is a widely used
procedure in the treatment of malignant diseases, including blood neoplasms and
has increased survival in hematological diseases. The aim of the study was to
analyze parameters of 24-hr ECG monitoring in patients with selected blood
neoplasms in whom the procedure of hematopoietic stem cell transplantation was
performed. METHODS: The study group consisted of 64 adults diagnosed with
hematologic cancer qualified for HSCT with the previous high dose chemotherapy
(HDC). In all patients 24-hr Holter monitoring was carried out twice. First
examination took place prior to the HSCT procedure, and the second after
finishing the procedure of HSCT. RESULTS: The minimal and mean heart rate (HR min
and HR max) from 24-hr ECG recording was statistically significantly higher after
the transplantation in comparison with the first test. The number of premature
ventricular complexes (PVCs) was higher in the test after HSCT. In the second
examination there was significantly higher percentage of premature ventricular
complexes, incidents of tachycardia, and Mobitz type 1 second degree
atrioventricular block. In regression analysis, in a group of patients with blood
neoplasms after HSCT and HDC, administration of cyclophosphamide, fludarabine and
total body irradiation were independent risk factors for electrocardiographic
abnormalities in 24-hr Holter monitoring, that is, the increase in HR min, HR
mean and PVCs. CONCLUSION: In patients with blood neoplasms undergoing HSCT more
electrocardiographic abnormalities may be found after this procedure in
comparison with the 24-hr Holter monitoring before transplantation.
PMID- 29363851
TI - Wild-type p53 enhances endothelial barrier function by mediating RAC1 signalling
and RhoA inhibition.
AB - Inflammation is the major cause of endothelial barrier hyper-permeability,
associated with acute lung injury and acute respiratory distress syndrome. This
study reports that p53 "orchestrates" the defence of vascular endothelium against
LPS, by mediating the opposing actions of Rac1 and RhoA in pulmonary tissues.
Human lung microvascular endothelial cells treated with HSP90 inhibitors
activated both Rac1- and P21-activated kinase, which is an essential element of
vascular barrier function. 17AAG increased the phosphorylation of both LIMK and
cofilin, in contrast to LPS which counteracted those effects. Mouse lung
microvascular endothelial cells exposed to LPS exhibited decreased expression of
phospho-cofilin. 17AAG treatment resulted in reduced levels of active cofilin.
Silencing of cofilin pyridoxal phosphate phosphatase (PDXP) blocked the LPS
induced hyper-permeability, and P53 inhibition reversed the 17AAG-induced PDXP
down-regulation. P190RHOGAP suppression enhanced the LPS-triggered barrier
dysfunction in endothelial monolayers. 17AAG treatment resulted in P190RHOGAP
induction and blocked the LPS-induced pMLC2 up-regulation in wild-type mice.
Pulmonary endothelial cells from "super p53" mice, which carry additional p53-tg
alleles, exhibited a lower response to LPS than the controls. Collectively, our
findings help elucidate the mechanisms by which p53 operates to enhance barrier
function.
PMID- 29363853
TI - Disruption of the methionine cycle and reduced cellular gluthathione levels
underlie potex-potyvirus synergism in Nicotiana benthamiana.
AB - Infection caused by the synergistic interaction of two plant viruses is typically
manifested by severe symptoms and increased accumulation of either virus. In
potex-potyviral synergism, the potyviral RNA silencing suppressor helper
component proteinase (HCPro) is known to enhance the pathogenicity of the
potexvirus counterpart. In line with this, Potato virus X (PVX; genus Potexvirus)
genomic RNA (gRNA) accumulation and gene expression from subgenomic RNA (sgRNA)
are increased in Nicotiana benthamiana by Potato virus A (PVA; genus Potyvirus)
HCPro expression. Recently, we have demonstrated that PVA HCPro interferes with
the host cell methionine cycle by interacting with its key enzymes S-adenosyl-l
methionine synthetase (SAMS) and S-adenosyl-l-homocysteine hydrolase (SAHH). To
study the involvement of methionine cycle enzymes in PVX infection, we knocked
down SAMS and SAHH. Increased PVX sgRNA expression between 3 and 9 days post
infiltration (dpi) and upregulation of (-)-strand gRNA accumulation at 9 dpi were
observed in the SAHH-silenced background. We found that SAMS and SAHH silencing
also caused a significant reduction in glutathione (GSH) concentration,
specifically in PVX-infected plants between 2 and 9 dpi. Interestingly, HCPro
expression in PVX-infected plants caused an even stronger reduction in GSH levels
than did SAMS + SAHH silencing and a similar level of reduction was also achieved
by knocking down GSH synthetase. PVX sgRNA expression was increased in the GSH
synthetase-silenced background. GSH is a major antioxidant of plant cells and
therefore GSH shortage may explain the strong oxidative stress and severe
symptoms observed during potex-potyvirus mixed infection.
PMID- 29363854
TI - Spatiotemporally regulated proteolysis to dissect the role of vegetative proteins
during Bacillus subtilis sporulation: cell-specific requirement of sigmaH and
sigmaA.
AB - Sporulation in Bacillus subtilis is a paradigm of bacterial development, which
involves the interaction between a larger mother cell and a smaller forespore.
The mother cell and the forespore activate different genetic programs, leading to
the production of sporulation-specific proteins. A critical gap in our
understanding of sporulation is how vegetative proteins, made before sporulation
initiation, contribute to spore formation. Here we present a system,
spatiotemporally regulated proteolysis (STRP), which enables the rapid,
developmentally regulated degradation of target proteins, thereby providing a
suitable method to dissect the cell- and developmental stage-specific role of
vegetative proteins. STRP has been used to dissect the role of two major
vegetative sigma factors, sigmaH and sigmaA , during sporulation. The results
suggest that sigmaH is only required in predivisional cells, where it is
essential for sporulation initiation, but that it is dispensable during
subsequent steps of spore formation. However, evidence has been provided that
sigmaA plays different roles in the mother cell, where it replenishes
housekeeping functions, and in the forespore, where it plays an unexpected role
in promoting spore germination and outgrowth. Altogether, the results demonstrate
that STRP has the potential to provide a comprehensive molecular dissection of
every stage of sporulation, germination and outgrowth.
PMID- 29363855
TI - NRP1 haploinsufficiency predisposes to the development of Tetralogy of Fallot.
AB - Tetralogy of Fallot (TOF) is the most common cyanotic congenital heart defect. It
involves anatomical abnormalities that change the normal flow of blood through
the heart resulting in low oxygenation. Although not all of the underlying causes
of TOF are completely understood, the disease has been associated with varying
genetic etiologies including chromosomal abnormalities and Mendelian disorders,
but can also occur as an isolated defect. In this report, we describe a familial
case of TOF associated with a 1.8 Mb deletion of chromosome 10p11. Among the
three genes in the region one is Neuropilin1 (NRP1), a membrane co-receptor of
VEGF that modulates vasculogenesis. Hemizygous levels of NRP1 resulted in a
reduced expression at the transcriptional and protein levels in patient-derived
cells. Reduction of NRP1 also lead to decreased function of its activity as a co
receptor in intermolecular VEGF signaling. These findings support that diminished
levels of NRP1 contribute to the development of TOF, likely through its function
in mediating VEGF signal and vasculogenesis.
PMID- 29363856
TI - The role of nailfold capillary dropout on mortality in systemic sclerosis.
AB - BACKGROUND: Semi-quantitative wide-field nailfold capillaroscopy (NFC) is a
simple technique with proven utility in the early diagnosis of systemic sclerosis
(SSc). Its role in prognosis, however, remains uncertain. AIM: To investigate the
possible utility of NFC in predicting survival. METHODS: Patients with SSc listed
on the South Australian Scleroderma Register (SASR) with prior NFC performed at
Flinders Medical Centre from 1991 to 2015 were included in this study. Baseline
demographic data, diagnosis, scleroderma antibody status and mortality status
were also collected for each patient. RESULTS: The cohort consisted of 99
patients with limited cutaneous SSc, 30 patients with diffuse cutaneous SSc and
23 with an overlap scleroderma syndrome. Fifty-six patients died during the
period of study (censured end June 2015). Patients with diffuse scleroderma had
significantly greater capillary dropout compared with limited and overlap
scleroderma (P < 0.001). In univariate analysis, both capillary dropout scores
(log-rank chi2 = 8.75, P = 0.003) and antibody status (log-rank chi2 = 13.94, P =
0.003) were associated with mortality. ANOVA showed a significant association
between antibody status and capillary dropout (P < 0.001). In Cox regression,
adjustment for capillary dropout attenuated the impact of autoantibody group on
survival. CONCLUSIONS: Nailfold capillary dropout was significantly associated
with mortality and the severity of dropout attenuates survival dictated by
antibody status. Together these observations support the hypothesis that
capillary dropout is on the causal pathway between induction of scleroderma
associated autoantibodies and mortality.
PMID- 29363857
TI - Influence of human acute wound fluid on the antibacterial efficacy of different
antiseptic polyurethane foam dressings: An in vitro analysis.
AB - Treating infected acute and/or chronic wounds still represents a major challenge
in medical care. Various interactions of antiseptic dressings with wound
environments regarding antimicrobial efficacy remain unclear. Therefore, this
work aimed to investigate the influence of human acute wound fluid (AWF) on the
antimicrobial performance of different antiseptic foam dressings in vitro against
typical bacterial wound pathogens. Eight antiseptic polyurethane foam dressings
containing either a silver formulation or a polyhexamethylene-biguanide (PHMB)
were assessed regarding their antimicrobial potency against Staphylococcus
aureus, Escherichia coli, and Pseudomonas aeruginosa using a modified time-kill
assay based on ISO EN 20743. The antiseptic efficacy was evaluated standardly as
well as under the influence of human AWF after 2, 4, 6, and 24 hours. The
specific chemical formulation and concentration of the antiseptic substance
(ionic or nanocrystalline silver, silver sulfadiazine, PHMB 0.1%/0.5%) embedded
within the dressings seemed to play a key role. For certain dressings (two
nanocrystalline and one ionic silver dressing), the antimicrobial efficacy was
significantly reduced under the influence of AWF compared to unchallenged test
series. Unchallenged the efficacy of PHMB was comparable to silver against P.
aeruginosa and even significantly superior against S. aureus and E. coli.
Challenged with AWF the reduction rates for silver adjusted or even exceeded (P.
aeruginosa) those of PHMB. Within a challenging wound environment, especially
some silver formulations demonstrated a reduced bacterial reduction. Regarding
the presented in vitro results, the biomolecular interactions of antiseptic wound
dressings with wound fluid should be part of more extensive investigations,
considering varying factors such as bacterial species and wound
(micro)environment to develop targeted therapeutic regimes for the individual.
PMID- 29363858
TI - Large-Grain Tin-Rich Perovskite Films for Efficient Solar Cells via Metal
Alloying Technique.
AB - Fast research progress on lead halide perovskite solar cells has been achieved in
the past a few years. However, the presence of lead (Pb) in perovskite
composition as a toxic element still remains a major issue for large-scale
deployment. In this work, a novel and facile technique is presented to fabricate
tin (Sn)-rich perovskite film using metal precursors and an alloying technique.
Herein, the perovskite films are formed as a result of the reaction between Sn/Pb
binary alloy metal precursors and methylammonium iodide (MAI) vapor in a chemical
vapor deposition process carried out at 185 degrees C. It is found that in this
approach the Pb/Sn precursors are first converted to (Pb/Sn)I2 and further
reaction with MAI vapor leads to the formation of perovskite films. By using Pb
Sn eutectic alloy, perovskite films with large grain sizes up to 5 um can be
grown directly from liquid phase metal. Consequently, using an alloying technique
and this unique growth mechanism, a less-toxic and efficient perovskite solar
cell with a power conversion efficiency (PCE) of 14.04% is demonstrated, while
pure Sn and Pb perovskite solar cells prepared in this manner yield PCEs of 4.62%
and 14.21%, respectively. It is found that this alloying technique can open up a
new direction to further explore different alloy systems (binary or ternary
alloys) with even lower melting point.
PMID- 29363859
TI - Modern management of T1 rectal cancer by transanal endoscopic microsurgery: a 10
year single-centre experience.
AB - AIM: Minimally invasive, organ-sparing surgery has been used increasingly for
early rectal cancer in recent years. However, local recurrence remains a concern.
This study presents a 10-year single-centre experience of recurrence after local
excision for T1 rectal cancer. METHOD: Data were collected prospectively on all
patients undergoing local excision by transanal endoscopic microsurgery (TEM) in
a single institution. Data covering a 10-year period were analysed. RESULTS: In
all, 192 patients underwent TEM for rectal cancer; 70 of these had T1 tumour in
the TEM specimen and did not have preoperative radiotherapy. Four were managed
with completion surgery following TEM and a further six had radiotherapy; 60
underwent surveillance alone. Local recurrence occurred in six patients; three
underwent salvage surgery. Estimated local recurrence at 3 years was 7.2% for the
surveillance alone group. CONCLUSIONS: Local recurrence rates were lower than
previous studies. Better preoperative assessment, more effective local excision
surgery and postoperative radiotherapy may be contributory factors to a better
than-predicted outcome. Local excision should be offered as part of standard of
care for T1 rectal cancer in the presence of good preoperative selection and
meticulous surveillance.
PMID- 29363860
TI - ACE2-EPC-EXs protect ageing ECs against hypoxia/reoxygenation-induced injury
through the miR-18a/Nox2/ROS pathway.
AB - Oxidative stress is one of the mechanisms of ageing-associated vascular
dysfunction. Angiotensin-converting enzyme 2 (ACE2) and microRNA (miR)-18a have
shown to be down-regulated in ageing cells. Our previous study has shown that
ACE2-primed endothelial progenitor cells (ACE2-EPCs) have protective effects on
endothelial cells (ECs), which might be due to their released exosomes (EXs).
Here, we aimed to investigate whether ACE2-EPC-EXs could attenuate
hypoxia/reoxygenation (H/R)-induced injury in ageing ECs through their carried
miR-18a. Young and angiotensin II-induced ageing ECs were subjected to H/R and co
cultured with vehicle (medium), EPC-EXs, ACE2-EPCs-EXs, ACE2-EPCs-EXs + DX600 or
ACE2-EPCs-EXs with miR-18a deficiency (ACE2-EPCs-EXsanti-miR-18a ). Results
showed (1) ageing ECs displayed increased senescence, apoptosis and ROS
production, but decreased ACE2 and miR-18a expressions and tube formation
ability; (2) under H/R condition, ageing ECs showed higher rate of apoptosis, ROS
overproduction and nitric oxide reduction, up-regulation of Nox2, down-regulation
of ACE2, miR-18a and eNOS, and compromised tube formation ability; (3) compared
with EPC-EXs, ACE2-EPC-EXs had better efficiencies on protecting ECs from H/R
induced changes; (4) The protective effects were less seen in ACE2-EPCs-EXs +
DX600 and ACE2-EPCs-EXsanti-miR-18a groups. These data suggest that ACE-EPCs-EXs
have better protective effects on H/R injury in ageing ECs which could be through
their carried miR-18a and subsequently down-regulating the Nox2/ROS pathway.
PMID- 29363861
TI - Positive autoregulation and repression of transactivation are key regulatory
features of the Candida glabrata Pdr1 transcription factor.
AB - Resistance to azole drugs, the major clinical antifungal compounds, is most
commonly due to gain-of-function (GOF) substitution mutations in a gene called
PDR1 in the fungal pathogen Candida glabrata. PDR1 encodes a zinc cluster
containing transcription factor. GOF forms of Pdr1 drive high level expression of
downstream target gene expression with accompanying azole resistance. PDR1 has
two homologous genes in Saccharomyces cerevisiae, called ScPDR1 and ScPDR3. This
study provides evidence that the PDR1 gene in C. glabrata represents a blend of
the properties found in the two S. cerevisiae genes. We demonstrated that GOF
Pdr1 derivatives are overproduced at the protein level and less stable than the
wild-type protein. Overproduction of wild-type Pdr1 increased target gene
expression but to a lesser extent than GOF derivatives. Site-directed mutagenesis
of Pdr1 binding sites in the PDR1 promoter provided clear demonstration that
autoregulation of PDR1 is required for its normal function. An internal deletion
mutant of Pdr1 lacking its central regulatory domain behaved as a hyperactive
transcription factor that was lethal unless conditionally expressed. A full
understanding of the regulation of Pdr1 will provide a new avenue of interfering
with azole resistance in C. glabrata.
PMID- 29363862
TI - miR-15b-5p facilitates the tumorigenicity by targeting RECK and predicts tumour
recurrence in prostate cancer.
AB - MicroRNAs (miRNAs) have been reported to participate in many biological
behaviours of multiple malignancies. Recent studies have shown that miR-15b-5p
(miR-15b) exhibits dual roles by accelerating or blocking tumour progression.
However, the molecular mechanisms by which miR-15b contributes to prostate cancer
(PCa) are still elusive. Here, miR-15b expression was found significantly up
regulated in PCa in comparison with the normal samples and was positively
correlated with age and Gleason score in patients with PCa. Notably, PCa patients
with miR-15b high expression displayed a higher recurrence rate than those with
miR-15b low expression (P = 0.0058). Knockdown of miR-15b suppressed cell growth
and invasiveness in 22RV1 and PC3 cells, while overexpression of miR-15b reversed
these effects. Then, we validated that RECK acted as a direct target of miR-15b
by dual-luciferase assay and revealed the negative correlation of RECK with miR
15b expression in PCa tissues. Ectopic expression of RECK reduced cell
proliferation and invasive potential and partially abrogated the tumour-promoting
effects caused by miR-15b overexpression. Additionally, miR-15b knockdown
inhibited tumour growth activity in a mouse PCa xenograft model. Taken together,
our findings indicate that miR-15b promotes the progression of PCa cells by
targeting RECK and represents a potential marker for patients with PCa.
PMID- 29363863
TI - Acute hypocalcaemia following denosumab in heart and lung transplant patients
with osteoporosis.
AB - BACKGROUND: Osteoporosis is highly prevalent in the heart and lung transplant
population. Given high rates of concurrent renal impairment, there is increasing
use of denosumab in this population. However, denosumab may be associated with
hypocalcaemia, particularly in patients with chronic kidney disease (CKD). AIM:
To explore the risk of hypocalcaemia in a heart and lung transplant cohort
prescribed denosumab for osteoporosis. METHODS: We performed a retrospective
database review of all surviving heart and lung transplant patients who had
received denosumab for osteoporosis between January 2012 and November 2015. We
assessed the rates of hypocalcaemia in this cohort and collected baseline
clinical data to determine associated factors. RESULTS: Ten patients received
denosumab and had laboratory results available within 3 months of the dose. Of
these, three patients developed severe (grade 4) hypocalcaemia, while two
patients developed mild (grade 1) hypocalcaemia. In comparison to the five
patients who remained normocalcaemic, patients with hypocalcaemia had
significantly lower baseline mean estimated glomerular filtration rate but
similar baseline mean corrected serum calcium. Unexpectedly, patients developing
hypocalcaemia had non-significantly higher levels of 25-hydroxyvitamin D and
lower baseline doses of prednisone. CONCLUSIONS: In heart and lung transplant
patients, denosumab should be used judiciously in patients with advanced renal
disease due to the risk of hypocalcaemia.
PMID- 29363864
TI - SRR intronic variation inhibits expression of its neighbouring SMG6 gene and
protects against temporal lobe epilepsy.
AB - D-serine is a predominant N-methyl-D-aspartate receptor co-agonist with
glutamate, and excessive activation of the receptor plays a substantial role in
epileptic seizures. Serine racemase (SRR) is responsible for transforming L
serine to D-serine. In this study, we aimed to investigate the genetic roles of
SRR and a neighbouring gene, nonsense-mediated mRNA decay factor (SMG6), in
temporal lobe epilepsy (TLE). Here, a total of 496 TLE patients and 528 healthy
individuals were successfully genotyped for three SRR tag single nucleotide
polymorphisms. The frequencies of the GG genotype at rs4523957 T > G were reduced
in the TLE cases in the initial cohort (cohort 1) and were confirmed in the
independent cohort (cohort 2). An analysis of all TLE cases in cohort 1 + 2
revealed that the seizure frequency and drug-resistant incidence were
significantly decreased in carriers of the GG genotype at rs4523957.
Intriguingly, the activity of the SMG6 promoter with the mutant allele at
rs4523957 decreased by 22% in the dual-luciferase assay, and up-regulated
expression of SMG6 was observed in an epilepsy rat model. This study provides the
first demonstration that the GG genotype is a protective marker against TLE. In
particular, variation at rs4523957 likely inhibits SMG6 transcription and plays a
key role against susceptibility to and severity of TLE. The significance of SMG6
hyperfunction in epileptic seizures deserves to be investigated in future
studies.
PMID- 29363870
TI - A putative LysR-type transcriptional regulator PrhO positively regulates the type
III secretion system and contributes to the virulence of Ralstonia solanacearum.
AB - LysR-type transcriptional regulators (LTTRs) are ubiquitous and abundant amongst
bacteria and control a variety of cellular processes. Here, we investigated the
effect of Rsc1880 (a putative LTTR, hereafter designated as PrhO) on the
pathogenicity of Ralstonia solanacearum. Deletion of prhO substantially reduced
the expression of the type III secretion system (T3SS) both in vitro and in
planta, and resulted in significantly impaired virulence in tomato and tobacco
plants. Complementary prhO completely restored the reduced virulence and T3SS
expression to that of the wild-type. Moreover, PrhO-dependent T3SS and virulence
were conserved amongst R. solanacearum species. However, deletion of prhO did not
alter biofilm formation, swimming mobility and in planta growth. The expression
of some type III effectors was significantly reduced in prhO mutants, but the
hypersensitive response was not affected in tobacco leaves. Consistent with the
key regulatory role of HrpB on T3SS, PrhO positively regulated the T3SS through
HrpB. Furthermore, PrhO regulated hrpB expression via two close paralogues, HrpG
and PrhG, which are two-component response regulators and positively regulate
hrpB expression in a parallel manner. However, deletion of prhO did not alter the
expression of phcA, prhJ and prhN, which are also involved in hrpB regulation. In
addition, PrhO was expressed in a cell density-dependent manner, but negatively
repressed by itself. No regulation was observed for HrpB, PhcA and PrhN on prhO
expression. Taken together, we genetically demonstrated that PrhO is a novel
virulence regulator of R. solanacearum, which positively regulates T3SS
expression through HrpG, PrhG and HrpB and contributes to virulence.
PMID- 29363872
TI - Evolutionary analyses of the avirulence effector AvrStb6 in global populations of
Zymoseptoria tritici identify candidate amino acids involved in recognition.
AB - We analysed the population genetic diversity of AvrStb6, the first avirulence
gene cloned from the wheat pathogen Zymoseptoria tritici, using 142 Z. tritici
strains sampled from four wheat fields growing on three continents. Although
AvrStb6 was located in a recombination hotspot, it was found in every strain,
with 71 polymorphic sites that produced 41 distinct DNA haplotypes encoding 30
AvrStb6 protein isoforms. An AvrStb6 homologue was found in the closest known
relative, Z. pseudotritici, but not in three other closely related Zymoseptoria
species, indicating that this gene has emerged in Zymoseptoria quite recently.
Two AvrStb6 homologues with nucleotide similarities greater than 70% were
identified on chromosome 10 in all Z. tritici isolates, suggesting that AvrStb6
belongs to a multigene family of candidate effectors that has expanded recently
through gene duplication. The AvrStb6 sequences exhibited strong evidence for non
neutral evolution, including a large number of non-synonymous mutations, with
significant positive diversifying selection operating on nine of the 82 codons.
It appears that balancing selection is operating across the entire gene in
natural field populations. There was also evidence for co-evolving codons within
the gene that may reflect compensatory mutations associated with the evasion of
recognition by Stb6. Intragenic recombination also appears to have affected the
diversity of AvrStb6.
PMID- 29363871
TI - Effects of d-galactose-induced ageing on the heart and its potential
interventions.
AB - Ageing is a strong independent risk factor for disability, morbidity and
mortality. Post-mitotic cells including those in the heart are a particular risk
to age-related deterioration. As the occurrence of heart disease is increasing
rapidly with an ageing population, knowledge regarding the mechanisms of age
related cardiac susceptibility and possible therapeutic interventions needs to be
acquired to prevent advancing levels of heart disease. To understand more about
the ageing heart, numerous aged animal models are being used to explore the
underlying mechanisms. Due to time-consuming for investigations involving
naturally aged animals, mimetic ageing models are being utilized to assess the
related effects of ageing on disease occurrence. d-galactose is one of the
substances used to instigate ageing in various models, and techniques involving
this have been widely used since 1991. However, the mechanism through which d
galactose induces ageing in the heart remains unclear. The aim of this review was
to comprehensively summarize the current findings from in vitro and in vivo
studies on the effects of d-galactose-induced ageing on the heart, and possible
therapeutic interventions against ageing heart models. From this review, we hope
to provide invaluable information for future studies and based on the findings
from experiments involving animals, we can inform possible therapeutic strategies
for the prevention of age-related heart diseases in clinical settings.
PMID- 29363873
TI - The Long-Term Durability of Multilumen Concentric Percutaneous Spinal Cord
Stimulator Leads.
AB - BACKGROUND: Lead fracture is a well-known complication of cylindrical spinal cord
stimulator leads. In order to reduce this complication, anchor design and
techniques have been modified, but internal lead design has received little
attention. OBJECTIVES: The goal of this study was to study the impact of lead
design by a retrospective determination of the fracture rate of multilumen
concentric (MLC) 8-contact leads. STUDY DESIGN: Retrospective chart review.
SETTING: University teaching hospital. METHODS: A registry of spinal cord
stimulator implants and corresponding billing data were used to identify
patients. Procedural details were reviewed for technique, lead insertion,
anchoring details, manufacturer, and lead longevity from 2002 to 2014. Data
storage was in RedCap data-capturing software (REDCap eData Collection web-based
application). All analyses were performed using SAS 9.3 (SAS Institute, Cary, NC,
U.S.A.). RESULTS: In a near 12"-year time period, 172 patients received 305
permanently implanted 8-contact leads, with an overall fracture rate of 4.3%. Of
these, 191 leads were of the MLC design. No lead fracture was observed in the MLC
leads over an average 4.5 years of follow-up. LIMITATION: Retrospective single
center. CONCLUSION: MLC lead design has the potential to significantly mitigate
lead fracture.
PMID- 29363874
TI - Ultrathin Nitrogen-Doped Carbon Layer Uniformly Supported on Graphene Frameworks
as Ultrahigh-Capacity Anode for Lithium-Ion Full Battery.
AB - The designable structure with 3D structure, ultrathin 2D nanosheets, and
heteroatom doping are considered as highly promising routes to improve the
electrochemical performance of carbon materials as anodes for lithium-ion
batteries. However, it remains a significant challenge to efficiently integrate
3D interconnected porous frameworks with 2D tunable heteroatom-doped ultrathin
carbon layers to further boost the performance. Herein, a novel nanostructure
consisting of a uniform ultrathin N-doped carbon layer in situ coated on a 3D
graphene framework (NC@GF) through solvothermal self-assembly/polymerization and
pyrolysis is reported. The NC@GF with the nanosheets thickness of 4.0 nm and N
content of 4.13 at% exhibits an ultrahigh reversible capacity of 2018 mA h g-1 at
0.5 A g-1 and an ultrafast charge-discharge feature with a remarkable capacity of
340 mA h g-1 at an ultrahigh current density of 40 A g-1 and a superlong cycle
life with a capacity retention of 93% after 10 000 cycles at 40 A g-1 . More
importantly, when coupled with LiFePO4 cathode, the fabricated lithium-ion full
cells also exhibit high capacity and excellent rate and cycling performances,
highlighting the practicability of this NC@GF.
PMID- 29363875
TI - The evolving mystery of why skeletal muscle is spared in seropositive
neuromyelitis optica.
PMID- 29363877
TI - Improving outcomes in recurrent and other new foot ulcers after healed plantar
forefoot diabetic ulcer.
PMID- 29363876
TI - Zotepine-induced convulsion at a low dose in a case of paranoid schizophrenia.
PMID- 29363878
TI - Genetic polymorphisms of GPR126 are functionally associated with PUMC
classifications of adolescent idiopathic scoliosis in a Northern Han population.
AB - GPR126 has been identified to be associated with AIS (Adolescent Idiopathic
Scoliosis) in different populations, but data on the northern Chinese population
are unavailable. Additionally, it is important to know the exact clinical
phenotypes associated with specific genetic polymorphisms. Fourteen SNP (single
nucleotide polymorphism) loci in GPR126 were genotyped in 480 northern Chinese
Han AIS patients and 841 controls. These patients were classified into three
types based on the PUMC classification system. Luciferase assays were used to
investigate their regulation of GPR126 transcription activity. Combined and
stratified genotype-phenotype association analyses were conducted. The alleles
rs225694, rs7774095 and rs2294773 were significantly associated with AIS (P =
0.021, 0.048 and 0.023, respectively). rs225694 and rs7774095 potentially have
regulatory functions for the GRP126 gene. Correlation analysis revealed that
allele A of rs225694 was a risk allele only for PUMC type II AIS (P = 0.036) and
allele G of rs2294773 was a risk allele only for PUMC type I AIS (P = 0.018). In
summary, rs225694, rs7774095 and rs2294773 are significantly associated with
disease in northern Chinese Han AIS patients. The SNPs rs225694 and rs2294773 are
associated with different AIS PUMC classifications.
PMID- 29363879
TI - Co-expression of NF-kappaB-p65 and phosphorylated NF-kappaB-p105 is associated
with poor prognosis in surgically resectable non-small cell lung cancer.
AB - Nuclear factor-kappa B (NF-kappaB) as a prognostic marker remains unclear in non
small cell lung cancer (NSCLC). Here, we studied NF-kappaB-p65 (p65) expression
and phosphorylated NF-kappaB-p105 (p-p105) expression in NSCLC and correlated the
finding with overall survival (OS) and clinicopathological features. A total of
186 archival samples from patients with surgically resectable NSCLC were probed
with p65 and p-p105 (Ser 932). The p65-positive expression and p-p105-positive
expression were defined as distinct nuclear p65 and cytoplasmic p-p105 labelling
in at least 1% of tumour cells, respectively. The positive staining of p65 alone,
p-p105 alone and co-expression of p65 and p-p105 were observed in 61 (32.8%), 90
(48.4%) and 35 (18.8%) patients, respectively. Co-expression of p65 and p-p105
but not of either p65 or p-p105 alone was associated with a poor prognosis.
Patients with co-expression of p65 and p-p105 had a shorter OS than others,
median OS 26.5 months versus 64.1 months, HR 1.85 (95% CI: 1.18-2.91), P = 0.007.
There was no statistically significant association between clinicopathological
characteristics and either p65 or p-p105 alone or co-expression of p65 and p
p105. This indicates that co-expression of p65 and p-p105 was a poor prognostic
factor, and pathologic studies of NF-kappaB expression could include multiple
pathway components in NSCLC.
PMID- 29363880
TI - Habit-tic cough: Presentation and outcome with simple reassurance.
AB - OBJECTIVES: Our therapeutic approach to a habit/tic cough is simple reassurance
in a single consultation. To quality assure our practice, we followed up children
to determine outcomes at least 3 months after diagnosis. DESIGN: Consecutive
children diagnosed over 6 years were studied. Medical records were analyzed
retrospectively and parents answered a scripted verbal survey. RESULTS: Fifty
five patients were diagnosed (median age 9.9 years), with a median cough duration
of 3 months (IQR 2-7.5 months, range up to 3 years). In 51/55 (93%) cases, cough
was absent during sleep. 51/55 (93%) received prior medications with median 3
therapeutic trials, none of which resolved the cough. Follow-up was possible in
39/55 (71%) children after a median duration of 1.9 years. In 32/39 (82%), the
cough had resolved completely (59% within 4 weeks, including 12% on the day), and
it improved in 6/39 (15%). In the 26/39 (67%) parents who said they believed the
diagnosis, there was 96% resolution of the cough, versus the 13/39 (33%) who were
sceptical or disbelieving, when there was only 54% resolution. 7/39 (18%)
children were later diagnosed with a tic disorder, functional symptoms, or a
behavioural/psychiatric disorder. CONCLUSIONS: Habit cough can be diagnosed from
the characteristic history; the crucial question is whether the cough disappears
during sleep. We have shown successful long term outcomes following a single
consultation with simple reassurance, but it is important that the child and
parents believe the explanation. It is not uncommon for subsequent tic disorders
or behavioral issues to emerge.
PMID- 29363881
TI - Exploration of differentially expressed plasma proteins in patients with lung
adenocarcinoma using iTRAQ-coupled 2D LC-MS/MS.
AB - BACKGROUND: Lung adenocarcinoma is characterized by early asymptomatic
progression and metastasis. Appearance of respiratory symptoms usually means the
disease is aggravated. The aim of this study was to identify the protein profile
in plasma of lung adenocarcinoma of stages I-IV, and look for novel diagnostic
biomarkers. METHODS: Isobaric tags for relative and absolute quantification
(iTRAQ) coupled with two dimensional liquid chromatography - tandem mass
spectrometry technology (2D LC-MS/MS) was applied to separate and identify
differential expression of proteins in plasma specimens from 10 healthy
individuals, 10 patients with pneumonia, 7 patients with lung adenocarcinoma of
stages I-II, respectively, and 10 patients with lung adenocarcinoma of stages III
IV, then analyze the functions of the differential expression of proteins by
bioinformatics. RESULTS: ADAMTS-like protein 4, Fibrinogen-like protein 1
precursor, secretoglobin family 3A member 2 and haptoglobin were up-regulated in
patients with lung adenocarcinoma by proteomics analysis, and the expression
levels of SCGB3A2 and Hp by ELISA were consistent. Pathway analysis of identified
differential expression of proteins showed they were mainly involved in
chemokine/p53/TGF-beta signaling pathway. CONCLUSIONS: Plasma SCGB3A2 is a
potential maker for diagnosis of primary pulmonary adenocarcinoma. Abnormal post
translational protein modification may be associated with the progression of lung
cancer.
PMID- 29363882
TI - Baseline fragmented QRS increases the risk of major arrhythmic events in
hypertrophic cardiomyopathy: Systematic review and meta-analysis.
AB - BACKGROUND: Fragmented QRS reflects disturbances in the myocardium predisposing
the heart to ventricular tachyarrhythmias. Recent studies suggest that fragmented
QRS (fQRS) is associated with worse major arrhythmic events in hypertrophic
cardiomyopathy (HCM). However, a systematic review and meta-analysis of the
literature has not been done. We assessed the association between fQRS and major
arrhythmic events in hypertrophic cardiomyopathy by a systematic review of the
literature and a meta-analysis. METHODS: We comprehensively searched the
databases of MEDLINE and EMBASE from inception to May 2017. Included studies were
published prospective or retrospective cohort studies that compared major
arrhythmic events (sustained ventricular tachycardia, sudden cardiac arrest, or
sudden cardiac death) in HCM with fQRS versus non-fQRS. Data from each study were
combined using the random-effects, generic inverse variance method of DerSimonian
and Laird to calculate risk ratios and 95% confidence intervals. RESULTS: Five
studies from January 2013 to May 2017 were included in this meta-analysis
involving 673 subjects with HCM (205 fQRS and 468 non-fQRS). Fragmented QRS was
associated with major arrhythmic events (pooled risk ratio = 7.29, 95% confidence
interval: 4.00-13.29, p < .01, I2 = 0%). CONCLUSION: Baseline fQRS increased
major arrhythmic events up to sevenfold. Our study suggests that fQRS could be an
important tool for risk assessment in patients with HCM.
PMID- 29363883
TI - Older people's perceptions of the quality of oral care in short-term care units:
A cross-sectional study.
AB - BACKGROUND: There is a lack of knowledge about oral care among older people
living in short-term care (STC) units and how the quality of oral care provided
by nursing staff is perceived by the older people. AIM: To (i) describe person
related conditions among older people in STC, (ii) describe and compare
perceptions of the quality of oral care (including perceptions of care received
and the subjective importance of such care), within and between older people who
have the ability to perform oral self-care and those who are dependent on help
with oral care and (iii) examine the relationship between person-related
conditions and the quality of oral care. METHODS: A cross-sectional study was
conducted with 391 older people in STC units in Sweden based on self-reported
questionnaire and clinical assessments. RESULTS: The older people were assessed
as having normal oral health (2%), moderate oral health problems (78%) or severe
oral health problems (20%). When comparing older people's perceptions of quality
of oral care in terms of perceived reality and subjective importance, significant
differences appeared within and between groups. Psychological well-being had a
significant relationship with perception of the quality of oral care (both
perceived reality and subjective importance), and gender and oral health status
had a significant relationship with subjective importance. CONCLUSIONS: Older
people's perceptions of areas for improvement regarding quality of oral care is a
new and important knowledge for nursing staff in STC units. Older people want
personalised information regarding oral health and oral care. Registered Nurses
who take the responsibility in nursing care for older people's oral health may
avoid unnecessary suffering by older people caused by oral health problems.
IMPLICATIONS FOR PRACTICE: Older people's perspective is an important component
for quality work and might lead to improvements in the quality of oral care in
STC.
PMID- 29363884
TI - A widely conserved bacterial cytoskeletal component influences unique helical
shape and motility of the spirochete Leptospira biflexa.
AB - Leptospires and other members of the evolutionarily ancient phylum of
Spirochaetes are bacteria often characterized by long, highly motile spiral- or
wave-shaped cells. Morphology and motility are critical factors in spirochete
physiology, contributing to the ability of these bacteria to successfully
colonize diverse environments. However, the mechanisms conferring the helical
structure of Leptospira spp. have yet to be fully elucidated. We have identified
five Leptospira biflexa bactofilin proteins, a recently characterized protein
family with cytoskeletal properties. These five bactofilins are conserved in all
species of the Leptospiraceae, indicating that these proteins arose early in the
evolution of this family. One member of this protein family, LbbD, confers the
optimal pitch distance in the helical structure of L. biflexa. Mutants lacking
lbbD display a unique compressed helical morphology, a reduced motility and a
decreased ability to tolerate cell wall stressors. The change in the helical
spacing, combined with the motility and cell wall integrity defects, showcases
the intimate relationship and coevolution between shape and motility in these
spirochetes.
PMID- 29363885
TI - Baby-friendly hospital practices are associated with duration of full
breastfeeding in primiparous but not multiparous Iranian women.
AB - There is evidence that the Baby-Friendly Hospital Initiative (BFHI) results in
improved breastfeeding outcomes in Western countries, but little is known of its
impact in Middle-Eastern countries. This study investigated the impact of BFHI
practices on duration of full breastfeeding in a cohort of 700 Iranian mothers
recruited between June 2014 and March 2015 from maternity hospitals in Shiraz and
followed-up prospectively for 6 months. At baseline, mothers self-reported
exposure to 7 of the BFHI Ten Steps to Successful Breastfeeding (Steps 3 to 9).
Data on breastfeeding outcomes were collected at baseline, 1, 3, 4, and 6 months
postpartum. Cox regression analysis was conducted to determine the impact of
individual and cumulative BFHI Steps on the duration of full breastfeeding,
defined as the number of weeks since discharge that an infant received only
breast milk and no complementary formula or food. Mothers reported experiencing
on average 3.9 (SD 1.13, range 1 to 7) Steps, and only 28% of infants were fully
breastfed at 6 months. There was a protective inverse relationship for
primiparous (p for trend = .022) but not multiparous mothers (p for trend =
.069), between the number of Steps a woman was exposed to and the likelihood of
her discontinuing full breastfeeding within 6 months postpartum. Greater exposure
to BFHI practices potentially could increase primiparous mothers' chances of
fully breastfeeding to 6 months. Continual monitoring of the BFHI Steps and
repeated education of healthcare staff are required to ensure that Iranian
mothers receive adequate breastfeeding support.
PMID- 29363888
TI - Decision-making in a bisexual line and a thelytokous Wolbachia-infected line of
Trichogramma dendrolimi Matsumura (Hymenoptera: Trichogrammatidae) regarding
behavior toward their hosts.
AB - BACKGROUND: The use of thelytokous Wolbachia-infected Trichogramma (parasitic
wasps) has long been considered as a way to enhance the efficacy of biocontrol.
However, Wolbachia can affect the host physiology. We compared decision-making
between bisexual and thelytokous Wolbachia-infected lines of Trichogramma
dendrolimi Matsumura regarding behavior toward fresh and old eggs of Corcyra
cephalonica at 25 +/- 1 degrees C and 70 +/- 5% relative humidity. RESULTS: The
behavioral patterns and sequences of the two lines were basically the same. The
durations of various behavioral patterns and values of fitness indicators of the
bisexual line on fresh eggs were generally significantly shorter and better,
respectively, than on old eggs, whereas the thelytokous line behaved similarly
toward the two types of eggs, and differences in most fitness indicators between
fresh and old eggs were not significant. On fresh eggs, the durations of various
behaviors in the bisexual line were generally significantly shorter than in the
thelytokous line and the fitness indicators were generally significantly better.
CONCLUSION: Wolbachia affected the fitness of T. dendrolimi negatively. The
potential of the thelytokous line as a biocontrol agent would not be as good as
that of the bisexual line when decision-making only is considered. Therefore,
further evaluations need to be carried out before the thelytokous line can be
used in practical biocontrol. (c) 2018 Society of Chemical Industry.
PMID- 29363886
TI - Honokiol inhibits in vitro and in vivo growth of oral squamous cell carcinoma
through induction of apoptosis, cell cycle arrest and autophagy.
AB - Honokiol, an active natural product derived from Magnolia officinalis, exerted
anticancer effects through a variety of mechanisms on multiple types of cancers.
In this study, the molecular mechanisms of honokiol in suppressing the human oral
squamous cell carcinoma (OSCC) cells were evaluated. Treatment of two OSCC cell
lines with honokiol resulted in reducing the cell proliferation and arresting the
cell cycle at G1 stage which was correlated with the down-regulation of Cdk2 and
Cdk4 and the up-regulation of cell cycle suppressors, p21 and p27. In addition,
the caspase-dependent programmed cell death was substantially detected, and the
autophagy was induced as the autophagosome formation and autophagic flux
proceeded. Modulation of autophagy by autophagic inducer, rapamycin or
inhibitors, 3-MA or bafilomycin, potentiated the honokiol-mediated anti-OSCC
effects where honokiol exerted multiple actions in suppression of MAPK pathway
and regulation of Akt/mTOR or AMPK pathways. As compared to clinical therapeutic
agent, 5-FU, honokiol exhibited more potent activity against OSCC cells and
synergistically enhanced the cytotoxic effect of 5-FU. Furthermore, orally
administrated honokiol exerted effective antitumour activity in vivo in OSCC
xenografted mice. Thus, this study revealed that honokiol could be a promising
candidate in preventing human OSCCs.
PMID- 29363887
TI - Genome-wide identification of urinary cell-free microRNAs for non-invasive
detection of bladder cancer.
AB - Urinary microRNAs (miRNAs) are emerging as clinically useful tool for early and
non-invasive detection of various types of cancer including bladder cancer (BCA).
In this study, 205 patients with BCA and 99 healthy controls were prospectively
enrolled. Expression profiles of urinary miRNAs were obtained using Affymetrix
miRNA microarrays (2578 miRNAs) and candidate miRNAs further validated in
independent cohorts using qRT-PCR. Whole-genome profiling identified 76 miRNAs
with significantly different concentrations in urine of BCA compared to controls
(P < 0.01). In the training and independent validation phase of the study, miR-31
5p, miR-93-5p and miR-191-5p were confirmed to have significantly higher levels
in urine of patients with BCA in comparison with controls (P < 0.01). We further
established 2-miRNA-based urinary DxScore (miR-93-5p, miR-31-5p) enabling
sensitive BCA detection with AUC being 0.84 and 0.81 in the training and
validation phase, respectively. Moreover, DxScore significantly differed in the
various histopathological subgroups of BCA and decreased post-operatively. In
conclusion, we identified and independently validated cell-free urinary miRNAs as
promising biomarkers enabling non-invasive detection of BCA.
PMID- 29363889
TI - How to undertake a nutritional assessment in adults.
AB - RATIONALE AND KEY POINTS: Nutritional assessment in adults should begin on first
contact with the patient, and is an ongoing process that can take place over
several hours or days. A comprehensive nutritional assessment involves the nurse
examining the patient's physical and psychological state, as well as considering
any social issues that may affect their nutrition. REFLECTIVE ACTIVITY: 'How to'
articles can help update your practice and ensure it remains evidence-based.
Apply this article to your practice. Reflect on and write a short account of.
PMID- 29363890
TI - Implementation of e-portfolios for the professional development of Admiral
Nurses.
AB - Nurses are required to maintain their fitness to practise through continuing
professional development activities, and must demonstrate this by maintaining a
portfolio of evidence that should be available for inspection every three years.
The Nursing and Midwifery Council introduced revalidation in 2016 to demonstrate
that nurses are practising safely and effectively. Nurses, however, are busy
healthcare professionals and, as well as clinical practice, they have other
demands on their time, such as providing evidence for annual appraisals. Admiral
Nurses, specialist dementia nurses who support families living with dementia,
also have a three-tier competency framework designed to demonstrate their
acquired expertise and knowledge in dementia care. To support Admiral Nurses in
managing these activities, the charity Dementia UK gave them access to the
PebblePad e-portfolio system. This article details the implementation and
outcomes of this project.
PMID- 29363891
TI - Symptom management in women undergoing the menopause.
AB - The menopause is a physiological event involving ovarian failure as a result of a
loss of ovarian follicular activity, which leads to oestrogen deficiency,
resulting in permanent cessation of menstruation and loss of reproductive
function. Women undergoing the menopause are seen in a range of healthcare
settings. It is important that all nurses, particularly those practising in
primary care and women's health, have an understanding of the symptoms, treatment
and long-term implications of the menopause on women's health and quality of
life. This article outlines the symptoms of the menopause and the available
treatments for these, including hormone replacement therapy, non-hormonal
treatments and alternative therapies, and discusses how nurses can assist women
undergoing the menopause to improve their health and quality of life during this
often challenging time.
PMID- 29363892
TI - A modified activity protocol for claudication.
AB - BACKGROUND: We propose the use of a monitored step tracking device, the FitBit(r)
to supplant supervised exercise therapy (SET) programs to facilitate improvement
in walking distance in Veteran claudicators. METHODS: In this prospective study,
we enrolled fortynine patients with clinical evidence of lower extremity
claudication in a six month walking program. Each patient was given a FitBit(r)
device to track daily number of steps. Patients were seen in clinic monthly to
collect data and discuss walking goals. Surveys at the beginning and end of each
study assessed patient perception of changes in walking distance as well as
symptoms. The primary outcome was monthly distance walked. Changes over time in
each outcome variables were examined using a random effects mixed model. RESULTS:
In the first month, the adjusted mean number of steps per day was 3492 (95% CI
2661 4322). By month 5, this had increased to 4502 (36365367) (p=.0007). 28
patients used the tracker at least for 1 month, while 21 (43%) did not. Being
bothered by symptoms was the only patient variable that was significantly
associated with use of the FitBit(r). Those who used the tracker tended to be
younger, heavier, more active, and were more likely to have diabetes.
CONCLUSIONS: In the Veteran population, the use of a monitored step tracking
device such as the FitBit(r) is a potentially effective strategy to improve
walking distance in claudicators particularly in those with mild symptoms.
Additional investigation is needed to determine which patients will benefit most
from this walking protocol.
PMID- 29363893
TI - Is management of complex abdominal aortic aneurysms consistent? A questionnaire
based survey.
AB - BACKGROUND: Complex abdominal aortic aneurysm (AAA) is a relatively common
presentation to the vascular specialist. Despite this there is little consensus
on how to manage the often co-morbid group of patients. Recent advances in
endovascular technology have led to the availability of multiple devices, many of
which could be used to treat the same aneurysm. The aim of this study was to
quantify this potential variability across vascular specialists from multiple
countries. METHODS: An online survey was emailed to members of the Vascular
Society for Great Britain and Ireland (VSGBI), the Canadian Society for Vascular
Surgery (CSVS) and the Australian and New Zealand Society for Vascular Surgery
(ANZSVS). The survey presented a vignette of a 63-year-old woman with significant
respiratory co-morbidity and a 54 mm juxtarenal AAA (7 mm neck). There were no
other adverse morphological features for endovascular repair. The survey included
images and questions related to management of the aneurysm. RESULTS: 238
responses were received; 61 from ANZSVS, 65 from CSVS and 112 from VSGBI. VSGBI
specialists were significantly more likely to continue surveillance than both
ANZSVS (odds ratio (OR) 3.41, 95% confidence interval (CI) 1.61-7.65; p<0.001)
and CSVS counterparts (OR 2.61, 95% CI 1.29-5.47; p<0.01). ANZSVS specialists
were significantly more likely to perform an endovascular repair than those from
CSVS (OR 3.28, 95% CI 1.50-7.40; p<0.01) and VSGBI (OR 3.65, 95% CI 1.81-7.59;
p<0.001). CSVS specialists were significantly more likely to manage the aneurysm
with open surgery than colleagues from the VSGBI (OR 6.57, 95% CI 2.58-18.46;
p<0.001) and ANZSVS (OR 7.18, 95% CI 2.22-30.79; p<0.001). CONCLUSIONS:
Significant variation in the management of a juxtarenal AAA between countries was
observed. The same patient would be more likely to have an endovascular repair in
Australia and New Zealand, open surgery in Canada and continuing surveillance in
the UK and Ireland. This variation reflects the lack of long-term evidence and
international consensus on the optimal management of complex AAA.
PMID- 29363894
TI - Lutonix(r) 014 DCB global Below the Knee Registry Study: interim 6-month
outcomes.
AB - BACKGROUND: The most common clinical presentation of peripheral artery disease
(PAD) comprises intermittent claudication (IC), but about one third of patients
will progress to critical limb ischemia (CLI), characterized by rest pain and/or
tissue loss, which is the most severe limb manifestation of PAD. Paclitaxel
coated balloons are well established for the endovascular treatment of
femoropopliteal lesions in patients with IC and CLI. This ongoing multicenter
registry study is exploring the role of the Lutonix(r) 014 drug-coated balloon
(DCB) in the treatment of below-the-knee (BTK) arteries and CLI. METHODS: Three
hundred fourteen (314) patients were enrolled from 26 sites and 12 countries. The
real-world patients represent those undergoing intervention for BTK arteries with
the Lutonix(r) 014 DCB. The study had both, primary safety and primary efficacy
endpoints. The primary safety endpoint was freedom at 30 days from BTK major
adverse limb event (MALE) + perioperative death (POD). Primary efficacy was
freedom from clinically driven target lesion reintervention (fTLR) at 6 months.
Additional secondary endpoints related to safety were reported. RESULTS: The
interim 6 months' results showed freedom from MALE and POD at 30 days of 98.6%
and at 6 months (180 days) of 96.0%. Primary efficacy of fTLR at 6 months (180
days) was 87.9%. Safety related secondary endpoints at 6 months (180 days) showed
freedom from all of the following: all cause death of 91.2%, above ankle
amputation 97.1%, reintervention for thrombosis 95.2%, reintervention for distal
embolization 100.0%, and target vessel revascularization of 88.0%. There were no
unexpected device or drug related events reported. CONCLUSIONS: The interim 6
month outcomes demonstrate the safety and efficacy of the Lutonix(r) 014 DCB for
the treatment of BTK arteries.
PMID- 29363895
TI - Overview of evidence on emergency carotid stenting in patients with acute
ischemic stroke due to tandem occlusions: a systematic review and meta-analysis.
AB - INTRODUCTION: Endovascular intracranial thrombectomy (IT) has established itself
as the standard of care in treating large-vessel anterior circulation acute
ischemic stroke (AIS). However, internal carotid artery (ICA) stenosis/occlusion
hampers distal access and controversy about simultaneous emergency ICA stenting
ensues. The purpose of this review was to evaluate the safety of emergency ICA
stenting in combination with IT for AIS with tandem occlusions. To our knowledge
this is the first meta-analysis to evaluate emergency ICA stenting in tandem
occlusions, combining results from studies with a control group. EVIDENCE
ACQUISITION: A systematic review and meta-analysis was conducted according to the
recommendations of the Preferred Reporting Items for Systematic reviews and Meta-
Analyses (PRISMA) statement. EVIDENCE SYNTHESIS: A total of 649 potentially
relevant articles were initially selected. After reviewing at title or abstract
level, 87 articles were read in full and 23 were included. These studies
recruited 1000 patients, 220 submitted to IT with no emergency ICA stenting and
780 to IT and emergency ICA stenting. Successful revascularization (Thrombolysis
in cerebral infarction scale - TICI>=2b) was achieved in 48.6-100%. Good outcome
(modified Rankin scale - mRS<=2) ranged from 18.2-100%. Symptomatic intracranial
haemorrhage (sICH) ranged from 0-45.7% (overall n=168; 17.2%). Mortality at 90
days ranged from 0-45.4% (overall n=114; 11.7%). Time to recanalization was
significantly longer in the stenting group with an overall mean difference of
1.76 (95% Confidence Interval: 1.59-1.93). CONCLUSIONS: In this meta-analysis
time to recanalization was significantly longer in the emergency ICA stenting
group. There was no benefit from emergency stenting in parameters such as
successful revascularization (TICI>=2b), clinical outcome (mRS<=2) or 90-day
mortality. Data on sICH were scarce. Emergency ICA stenting appears to increase
time to revascularization and increase the risk of complications with no
demonstrated clinical benefit. Furthermore, no prospective, randomized controlled
trials demonstrating relative efficacy and safety of concomitant ICA stenting
have been published to date. Additional studies must be undertaken to define the
role of angioplasty and stenting of the extracranial carotid arteries in the
early management of acute stroke in tandem occlusions. Until then, we recommend
that ICA stenting concommintant to thrombectomy in acute stroke patients should
be avoided.
PMID- 29363896
TI - Usefulness of post mortem computed tomography versus conventional forensic
autopsy of road accident victims (drivers and passengers).
AB - Aim of the study: Deaths of in-vehicle victims (drivers and passengers) of road
accidents represent a significant group of issues addressed by forensic medicine.
Expressing opinions in this regard involves first of all the determination of the
cause of death and the forensic pathologist's participation in the process of
road accident reconstruction through defining the mechanism of bodily harm. The
scope of the opinion as well as its accuracy and degree of detail largely depend
on the scope of forensic autopsy. In this context, techniques that broaden the
capabilities of standard autopsy are of particular importance. This paper
compares the results of post mortem computed tomography (PMCT) of road accident
victims (drivers and passengers) against the results of standard examination in
order to determine the scope to which PMCT significantly enhances autopsy
capabilities. Material and methods: The analysis covers 118 in-vehicle victims
(drivers and passengers) examined from 2012 to 2014. In each case, post-mortem
examination was preceded by PMCT examination using Somatom Emotion 16 (Siemens
AG, Germany). Results: The results are presented in a tabular form. Conclusions:
In most road accident victims (drivers and passengers), post mortem computed
tomography significantly increases the results' degree of detail, particularly
with regard to injuries of bones and gas collections.
PMID- 29363897
TI - New challenges in toxicology of new psychoactive substances exemplified by fatal
cases after UR-144 and UR-144 with pentedrone administration determined by LC-ESI
MS-MS in blood samples.
AB - The topic of this paper relates to the study of cases involving the use of new
psychoactive substances (NPS) from the classes of synthetic cannabinoids and
cathinones, analyzed from multiple viewpoints including clinical and medico-legal
perspectives. The paper investigates three fatal cases in which UR-144 and UR-144
with pentedrone identified in the bodies of victims during post-mortem
examinations were responsible for the tragic consequences and proved to be the
indirect cause of death. The victims were men aged 16, 22 and 40 years who used
drugs, for example they smoked marijuana or its substitutes in the form of
synthetic cannabinoids. In addition, all of them had behavioural problems. On
account of emotional imbalance attributable probably to the presence of UR-144
(in one case) and a mixture of UR-144 and pentedrone (in the other two cases),
two men committed suicide by jumping from a height and hanging, and one man had
fatal accidental poisoning with pentedrone which was used to enhance the effect
of previously used UR-144. The presence of UR-144 and pentedrone in the post
mortem material was analyzed by liquid chromatography-electrospray ionization
tandem mass spectrometry (LC-ESI-MS-MS). The results of toxicological tests were
analyzed with a focus on possible tragic side effects caused by the presence of
UR-144 and UR-144 with pentedrone in the body.
PMID- 29363898
TI - Postmortem identification of spermatozoa on human skin based on fluorescent
monoclonal antibody method.
AB - Identification of semen residues has relevant consequences, especially for what
concerns the ascertainment of possible sexual assault. Forensic scientists
usually focus on the vaginal swab for semen detection despite the importance of
semen deposition on the skin. Postmortem identification of spermatozoa on
putrefied human skin is still under investigation. Sperm Hy-LiterTM is an
antibody technique, used to identify human spermatozoa heads in forensic stains.
This approach has the potential to eliminate spermatozoa visualization problems
in a traditional method. Therefore, the present study aimed to compare between
the traditional method (light microscope and staining via hematoxylin/eosin) and
a fluorescence-based method (by using fluorescent microscope and staining via
Sperm Hy-LiterTM) for postmortem identification of spermatozoa on human skin at
different time intervals. A piece of human skin was divided into three strips;
the first was a negative control while semen was spread on the second and third
skin strips. The first (control) and second groups were stained by
hematoxylin/eosin for light microscopic examinations. The third group was stained
by Sperm Hy-LiterTM then examined under fluorescent microscope. The results
revealed that the spermatozoa identifiability was up to 110 days based on Sperm
Hy-LiterTM and fluorescent microscope, while it was up to 12 days via using
hematoxylin/eosin and light microscope. Further studies are recommended in order
to verify not only the accuracy of the used method on skin of dead victims but
also to evaluate persistence of spermatozoa on different body sites and fabrics.
PMID- 29363899
TI - A child with imperforate anus for twelve years: a case report of neglect and
exploitation.
AB - We report a unique and rare case of child neglect and exploitation and similar
case was not found. An infant, born with an imperforate anus, underwent surgery
for a temporary colostomy on the day of birth. Surgery for reversal of colostomy
with reconstruction was planned at one month of age. However, the guardian did
not ensure that the child attended for this procedure. Subsequently, at the age
of 12 years, the child was presented to the emergency unit with an irreducible
prolapsed colostomy and a life threatening acute abdomen. Emergency laparotomy
and colostomy reversal were performed with anal reconstruction. The child had
features of gross neglect and abuse in the form of deprivation of essential
surgical care, vaccination, and education. He was used for the purpose of begging
for the financial benefit of his guardian and others. This is a case of neglect
and exploitation of a child with imperforate anus and it emphasizes the failures
of the health system to manage and follow-up children with congenital defects
born to families with a poor socio-economic background.
PMID- 29363900
TI - 15th Anniversary of the Molecular Techniques Unit at the Department of Forensic
Medicine at Wroclaw Medical University.
AB - Molecular Techniques Unit at the Department of Forensic Medicine, Wroclaw Medical
University has been operating since December 2003. Soon it will be 15 years since
its establishment. This anniversary become an inspiration to write down the story
of this institution whose origins illustrate the immense changes that have taken
place in forensic genetics. The aim of our work was also to consolidate the
professional achievements of Professor Tadeusz Dobosz, chief of the Unit, one of
the pioneers of introducing DNA testing technology into Polish forensic medicine.
The most important achievements of the Unit include participation in two EU
research projects, the development of a non-destructive method of extraction of
genetic material, research in field of gene therapy and certification of the
Laboratory of the Molecular Techniques Unit by the Polish Accreditation Center
(PCA) confirming compliance with the requirements of the PN-EN ISO/IEC 17025:2005
standard.
PMID- 29363901
TI - Dysregulation of Alzheimer's disease-related genes and proteins following cardiac
arrest.
AB - Cardiac arrest, usually occurring in the aged population, is the most
important cause of high morbidity and death in developed countries. Commonly,
attention, depression, cognitive impairment, spatial memory, short- and long-term
memory, executive functions, decreased quality of life and social participation
are disturbed following circulation arrest. Deficits in cognitive function,
similar to prodromal Alzheimer's disease dementia, following cardiac arrest
remain an area of concern. Recent research has focused on the post-resuscitation
period to identify mechanisms of long-term brain damage and cognitive impairment.
As more patients survive longer periods after cardiac arrest, attention is
focused on interventions that may enhance cognitive and psychosocial perceptions.
Here, we review the new data influencing the cognitive and functional outcome in
the post-resuscitation period..
PMID- 29363902
TI - Robust autophagy in optic nerves of experimental Creutzfeldt-Jakob disease and
Gerstmann-Straussler-Scheinker disease.
AB - We report here autophagy in the optic nerve in experimental Gerstmann
Straussler-Scheinker disease (GSS) (Fujisaki-1) in mice and experimental
Creutzfeldt-Jakob disease (CJD) (Echigo-1) in hamsters. Lesions of both
experimental GSS in mice and experimental CJD in hamsters were practically
indistinguishable. Briefly, they consisted of widespread Wallerian degeneration,
spongiform change and a glial reaction. Numerous axonal swellings were seen. The
latter were filled with numerous mitochondria and lysosomal electron-dense
bodies. Autophagic vacuoles defined as structures bound in double membranes were
readily found in many neuronal processes. The following description is organized
as a sequence; however, the changes were all observed in the same specimens.
First several empty double membrane-bound autophagic vacuoles were seen. In
several of those vacuoles, the inner membrane was separated from the outer
membrane and enclosed cargo. At the final stage, a mixture of empty autophagic
vacuoles and electron-dense lysosomal vesicles was seen. Dystrophic neurites
filled with a mixture of mitochondria, empty autophagic vacuoles and electron
dense lysosomal vesicles were interpreted as the final stage of autophagy. Of
note, several areas were replaced with dense astrocytic gliosis..
PMID- 29363903
TI - What factors determine phenotype of cerebral autosomal dominant arteriopathy with
subcortical infarcts and leukoencephalopathy (CADASIL)? Considerations in the
context of a novel pathogenic R110C mutation in the NOTCH3 gene.
AB - We report patients from a Polish family with cerebral autosomal dominant
arteriopathy with subcortical infarcts and leukoencephalopathy (CADASIL) who
possess a novel heterozygous R110C mutation in exon 3 of the NOTCH3 gene leading
to stereotypical cysteine loss. The proband had only seizure attacks and her
magnetic resonance imaging (MRI) showed very numerous hyperintense foci in the
cerebral white matter in a location characteristic of CADASIL. Distinctive
ultrastructural assessment of vessels from skin-muscle biopsy revealed only mild
degenerative changes but relatively numerous homogeneous deposits of granular
osmiophilic material (GOM). In the other symptomatic family members with the same
mutation ischaemic strokes were present but not epilepsy. In the proband's
affected brother at a similar age, the brain MRI was normal but vessels showed
pronounced degenerative changes and irregular GOM deposits. The present report
not only extends the list of known pathogenic mutations responsible for CADASIL
but also emphasizes clinical and morphologic variability among family members
with the same NOTCH3 mutation, suggesting that probably additional factors, not
only mutations, may influence the disease phenotype..
PMID- 29363904
TI - Levels of selected pro- and anti-inflammatory cytokines in cerebrospinal fluid in
patients with hydrocephalus.
AB - Cytokines are widely known mediators of inflammation accompanying many
neurodegenerative disorders including normal pressure hydrocephalus (NPH). NPH is
caused by impaired cerebrospinal fluid (CSF) absorption and treated by surgical
shunt insertion. The early diagnosis of NPH is difficult because of various
manifestations of the disease. One of the most promising research directions is
biochemical CSF analysis. The aim of this study was to determine the CSF levels
of cytokines. The levels of various cytokines (IL-6, IL-8, IL-12, IL-10 and TNF
alpha) were measured in patients with idiopathic active normal pressure
hydrocephalus, arrested hydrocephalus and hydrocephalus with brain atrophy
compared to controls. Our study showed that the concentrations of IL-6 and IL-8
were significantly elevated in the group with idiopathic active hydrocephalus
compared to control patients. Moreover, we observed that the levels of IL-6 and
IL-8 in the group with idiopathic active hydrocephalus were significantly higher
compared to patients with arrested hydrocephalus and hydrocephalus with brain
atrophy..
PMID- 29363905
TI - [Anti-inflammatory effect and mechanisms of Huangqi glycoprotein in treating
experimental autoimmune encephalomyelitis].
AB - Huangqi glycoprotein (HQGP) is prepared from Astragalus membranaceus by
ammonium sulfate precipitation. It was indicated that HQGP has an
immunoregulatory effect. In this study, we established a chronic experimental
autoimmune encephalomyelitis (EAE) model and observed the therapeutic effect and
possible mechanisms of HQGP (intraperitoneally at 1 mg/kg/day) on EAE. The
results showed that HQGP delayed onset and ameliorated severity of EAE, and
reduced the infiltration and accumulation of pathogenic T cells in the central
nerves system (CNS). HQGP also reduced the production of IL-6, IL-17 and TNF
alpha and increased the level of IL-10. However, the level of IFN-gamma
production was also increased in HQGP-treated mice compared with EAE control
mice. In brain, chemokines such as CCL2 and CCL5 were inhibited in HQGP-treated
EAE compared with control mice. These results demonstrate that HQGP alleviates
the pathogenesis of EAE possibly by suppressing the neuroinflammation and
decreasing the secretion of chemokines and cell adhesion..
PMID- 29363906
TI - Maternal hypoxia increases hippocampal cell susceptibility to ischemia after
middle cerebral artery occlusion in rat offspring.
AB - Introduction: Maternal hypoxia induces an adverse uterine environment
and may induce long-term effects in offspring. This study investigated whether
maternal hypoxia increases hippocampal cell vulnerability and exacerbates
neurological impairments in adult rat offspring following ischemia. Material
and methods: Pregnant Sprague-Dawley rats were randomly assigned to no
maternal hypoxia or maternal hypoxia treatment groups. Adult male rat offspring
were subjected to middle cerebral artery occlusion (MCAO). There were four
groups: maternal + sham (MH + Sham), sham (Sham), maternal hypoxia + MCAO (MH +
MCAO), and MCAO only (MCAO). Neurological deficits were evaluated. Hippocampal
cell damage was observed by hematoxylin and eosin (HE) staining. Cell apoptosis
in the hippocampus was detected by TdT-mediated dUTP-biotin nick-end labeling
(TUNEL) staining. Caspase-3, cytochrome c, Bax, and bcl-2 expression in the
hippocampus was detected by Western blot. Results: More severe hippocampal
cell damage was found in the MH + MCAO group than in the MCAO group.
Additionally, neurological deficits, percentage of TUNEL positive cells, and
expression of caspase-3, cytochrome c, and Bax in the hippocampus were
significantly higher (p < 0.05), whereas bcl-2 expression was significantly lower
(p < 0.05) in the MH + MCAO group compared to the MCAO group. Conclusions:
These findings suggest that maternal hypoxia may exacerbate hippocampal cell
apoptosis in rat offspring after MCAO via alterations in the expression of
cytochrome c, caspase-3, Bax, and bcl-2, which ultimately affects ischemic stroke
prognosis. To our knowledge, this is the first study demonstrating that maternal
hypoxia increases hippocampal cell susceptibility to ischemia in adult rat
offspring. .
PMID- 29363907
TI - Effect of dimethyl fumarate on heme oxygenase-1 expression in experimental
allergic encephalomyelitis in rats.
AB - Multiple sclerosis (MS) is an autoimmunological disease leading to
neurodegeneration. The etiology of the disease remains unknown, which strongly
impedes the development of effective therapy. Most MS treatments focus on
modulating the activity of the immune system. Dimethyl fumarate (DMF) exerts a
broad spectrum of action, such as modulating immune cell differentiation towards
anti-inflammatory subtypes, influencing cytokine production, regulating immune
cell migration into the central nervous system, and activating intracellular
antioxidant mechanisms. It is well established that activation of the nuclear
factor E2 (Nrf2)-dependent pathway, leading to expression of the second-phase
antioxidant enzymes, is influenced by DMF. In our experiments we used female
Lewis rats in an animal model of MS - experimental allergic encephalomyelitis
(EAE). The rats were fed with dimethyl fumarate to test the expression of heme
oxygenase-1 (HO-1), one of the second-phase antioxidant enzymes, at specific time
points of the symptomatic phases of the disease: on the first day of the
occurrence of clinical symptoms (10th day post immunization, DPI); at the peak of
clinical symptoms (14th DPI); and at the end of the relapse (21st DPI). The
results showed that HO-1 expression, at both the mRNA and protein level, is
influenced by DMF administration only at the very beginning of the symptomatic
phase of EAE, and not at the peak of clinical symptoms, nor at the end of the
relapse. This indicates that the regulation of the Nrf2-dependent antioxidant
pathway by DMF occurs at a certain time interval (early EAE/MS) and strongly
underlines the importance of the earliest introduction of the therapy to the
patient. .
PMID- 29363908
TI - Effects of amphetamine administration on neurogenesis in adult rats.
AB - In our study expression of phospho-(Ser-10)-histone H3 (pH3S10), a marker for
the early stage of neurogenesis, and cellular early response genes were
investigated using c-Fos protein as an example of a transcription factor in the
neurogenic process in rats. Neurogenesis in the adult brain is regulated by endo-
and exogenous factors, which influence the proliferation potential of progenitor
cells and accelerate the dendritic development of newborn neurons. D-amphetamine,
a psychoactive substance, is one of the exogenous factors able to influence the
process of neurogenesis. The rats were injected with D-amphetamine at a dose of
1.5 mg/kg/body weight (b.w.) under one administration scheme. Analysis of the
pH3S10 and c-Fos expression levels in the group of D-amphetamine administered
rats provided evidence of enhanced expression of these proteins in the regions of
neurogenesis occurrence in rats. However, conclusions concerning stimulant
effects of amphetamine on neurogenesis should be formulated with great caution,
taking into account amphetamine dosage and the administration scheme. It should
also be remembered that doses of psychoactive substances used in animal models
can be lethal to humans..
PMID- 29363909
TI - Effects of standardized extract of Ferula gummosa root on glutamate-induced
neurotoxicity.
AB - Glutamate is one of the major excitatory neurotransmitters in the central
nervous system. Increasing glutamate leads to neurodegenerative disease.
Nowadays, plant medicine plays a role in the treatment of some disorders. In this
research, we investigated the neuroprotective effect of Ferula gummosa
root extract against glutamate-induced oxidative stress in the rat adrenal
pheochromocytoma (PC12) and mouse neuroblastoma (N2a) cell lines. The cells were
pretreated with extract for 2 h and then exposed to glutamate for 24 h. After 24
h the level of malondialdehyde (MDA), reactive oxygen species (ROS), and
apoptotic cells were determined in both cell lines. Glutamate increased lipid
peroxidation, ROS, and apoptotic cells in both cell lines. The extract
significantly increased the cell viability and decreased the ROS generation under
glutamate-induced oxidative stress in these cells. Also, the extract decreased
the MDA level and apoptotic cells. The results showed that Ferula gummosa
root may have a protective effect on glutamate-induced toxicity, suggesting that
the extract protects neuronal cells from glutamate-induced oxidative stress..
PMID- 29363910
TI - Mast cells as the strength of the inflammatory process.
AB - The inflammatory process is a complex host defence mechanism aimed at the
elimination of deleterious factors disturbing homeostasis. Inflammation consists
of several interdependent stages controlled by a wide range of mediators. Those
include acute phase proteins, heat shock proteins, complement components,
biogenic amines, cytokines, lipid-derived mediators, reactive oxygen species,
nitric oxide, proteolytic enzymes, and kinins. Due to the strategic location in
the body, mast cells play a protective role in the inflammatory process, through
its initiation, amplification, and resolution. Mast cells degranulate and/or
newly produce, and release various mediators classified into three groups:
preformed mediators, de novo synthesised lipid mediators, and newly synthesised
cytokines. Those mediators have an impact on different processes occurring during
inflammation, inter alia, they influence blood vessels leading to dilation,
enhanced adhesion molecule expression, and increased permeability. Furthermore,
mast cell mediators play a pivotal role in inflammatory cell chemotaxis,
degradation of extracellular matrix proteins, impact on stationery cells and
resolution of inflammation. The release of mast cell mediators and their actions
constitute a highly complex and still not fully understood mechanism, which
warrants further studies of the action of mast cells in inflammation. This review
will focus on the current knowledge concerning the broad role of mast cells in
the inflammatory process.
PMID- 29363911
TI - Comparison of clinicopathological features in incidental and nonincidental
papillary thyroid carcinomas in 308 patients.
AB - Incidental papillary thyroid carcinomas (IPTCs) consist of a significant portion
of increasing incidence in papillary thyroid carcinomas. This study investigated
the clinicopathological features of IPTCs from different perspectives and by
comparing nonincidental PTCs (NIPTCs) in patients who underwent total
thyroidectomy and lymph node dissection. Basic results were as follows. IPTC was
present in 27.9% of 308 patients. IPTCs were significantly accompanied by
lymphocytic thyroiditis (LT), particularly, multinodular hyperplasia (MNH). IPTCs
were more common in older patients (51.3 years vs. 47.2 years) and in female
patients. IPTCs significantly differed from NIPTCs in terms of smaller tumour
size, lymphatic vessel invasion (2.6% vs. 97.4%), extrathyroidal extension (4.3%
vs. 95.7%), lymph node metastasis (3.6% vs. 96.4%), multifocality (21.2% vs.
78.8%), bilaterality (5.3% vs. 94.7%), and BRAFV600 mutation (6.7% vs. 93.3%).
Older age, bilaterality, encapsulation, and radioactive iodine (RAI) were
significantly more common in IPTCs > 5 mm than in those <= 5 mm. In conclusion,
IPTCs are more commonly associated with LT and MNH. IPTCs may have a more
favourable prognosis than NIPTCs, and tumour size > 5 mm may predict bilaterality
and need for RAI. Nevertheless, the patient-based clinical approach in IPTCs may
have benefits in the management of IPTCs.
PMID- 29363912
TI - Massively parallel targeted resequencing reveals novel genetic variants
associated with aspergillosis in paediatric patients with haematological
malignancies.
AB - This study aimed to find novel genetic variants of susceptibility to
aspaergillosis in paediatric patients with haematological malignancies. Complete
sequences of fifteen genes of human innate immunity (CCL2, CCR2, CD209, CLEC6A,
CLEC7A and ten TLR genes) were studied in 40 patients diagnosed with
haematological disorders (20 unaffected and 20 affected by aspergillosis). All
samples were sequenced with MiSeq (Illumina) and 454 (Roche Diagnostics)
technologies. Statistical significance of the differences between studied groups
was determined using the two-tailed Fisher's exact test. Sixty variants of
potential importance were identified, the vast majority of which are located in
non-coding parts of the targeted genes. At the threshold of p < 0.000005, one
intergenic (TLR2 rs4585282) and one intronic variant (CLEC6A rs12099687) were
found significant between the case and control groups for genotype and allele
frequencies, respectively. Rs12099687 in CLEC6A was predicted to constitute an
alternative isoform or cryptic splice site, which potentially changes activity of
the Dectin-2 protein. Overall, we assume that the two strongest associations
reported in this study are expected to be reproducible even in the absence of
other evidence, while another twelve associations may be strong enough to justify
additional research in larger cohorts.
PMID- 29363913
TI - Immunohistochemical differentiation between muscularis mucosae and muscularis
propria for improving the staging of bladder cancer in patients undergoing
transurethral resection of bladder tumours.
AB - Microscopic differentiation between muscularis mucosae (MM) and muscularis
propria (MP) of the bladder in the material obtained during transurethral
resection (TUR) remains difficult. The study was aimed at determination of the
usefulness of immunohistochemical staining in this context. Forty-seven TUR
specimens were stained with 5 mouse anti-human antibodies: anti-desmin, anti
filamin, anti-type IV collagen, anti-smoothelin, and anti-vimentin. Slides were
assessed under light microscopy and the intensity of the immune reaction within
MM and MP was evaluated on a four-level visual scale as follows: negative (0) and
weakly (1), moderately (2), or strongly (3) positive. MM was identified in 27
patients (57.4%). The modal values of reaction intensity in MM and MP was 0 and 2
for desmin (p > 0.05), 2 and 2 for filamin (p = 0.01), 2 and 2 for type IV
collagen (p > 0.05), 1 and 2 for smoothelin (p = 0.03), and 2 and 0 for vimentin
(p = 0.02), respectively. Identical intensity within MM and MP was observed in
7.1%, 28.6%, 20%, 30.1%, 5.6%, respectively. Immunohistochemistry can help
differentiate between MM and MP in TUR specimens. As of yet, no single marker can
reliably differentiate between MM and MP; however, a combination of anti-filamin,
anti-smoothelin, and anti-vimentin antibodies may be reasonable for diagnostic
purposes.
PMID- 29363915
TI - Impact of TGF-beta1 expression and -509C>T polymorphism in the TGF-beta1 gene on
the progression and survival of gastric cancer.
AB - The aim of this study was to examine the expression of TGF-beta1 and TGF-beta
receptor type II (RII) and the impact of the -509C>T single nucleotide
polymorphism (SNP) in the gene in relation to clinicopathological factors in
gastric cancer (GC). Using immunohistochemistry we investigated 43 patients with
GC for expression of TGF-beta1 and TGF-beta-RII. Consequently, RFLP-PCR was
performed to analyze the presence of -509C>T polymorphism in the TGF-beta1 gene.
We found that 72.1% of GCs had cytoplasmic TGF-beta1 expression and 27.9% were
negative. The TGF-beta1 receptor type II was expressed on tumor cell membranes in
58.1%. TGF-beta1 positivity in tumor cytoplasm correlated positively with TGF
beta1-RII expression in tumor cytoplasm in 67.4% of cases (?2 = 8.02; p = 0.005).
Also, the results showed that patients with low and moderate tumor
differentiation had TGF-beta1-RII positivity in 53.3% and 81.8% resp. (?2 = 6.58;
p = 0,037). The analysis of genotype distribution of the -509C>T SNP in the
promoter region of TGF-beta1 gene and clinical stage distribution revealed that
among the 32 patients in III-IV clinical stage 53.1% were heterozygous (TC),
34.4% were homozygous for the C-allele and 12.5% were homozygous for the variant
T-allele (?2 = 3.31; p = 0.069). In conclusion the expression of TGF-beta1 was
related to shorter survival time and rapid progression for the GC patients.
Additionally, the variant T-allele of the studied polymorphism was associated
with worse prognosis for GC patients.
PMID- 29363914
TI - Expression of resistin in the liver of patients with non-alcoholic fatty liver
disease.
AB - Adipokines are cytokines that presumably connect the pathologies of metabolic
syndrome. One of the adipokines is resistin, the role of which in insulin
resistance, obesity, and non-alcoholic fatty liver disease (NAFLD) needs to be
determined. Liver biopsy specimens were obtained intraoperatively from 214 obese
patients. Histological assessment was based on NAFLD activity score according to
Kleiner. Statistical analysis involved semi-quantitive immunohistochemistry
assessment of resistin staining and: NAFLD status in obese patients compared with
a non-obese control group, selected clinical data (age, sex, body mass index -
BMI), selected biochemical data, comorbidities (hypertension, type 2 diabetes
mellitus, dyslipidaemia), and metformin treatment in patients with type 2
diabetes mellitus. Resistin expression was observed in the histiocytes of
inflammatory infiltrate, Kupffer cells, and histiocytes surrounding the
hepatocytes with steatosis. There was a positive correlation between the total
expression of resistin and: (1) NAFLD advancement (NAFLD Activity Score- NAS),
(2) AST, ALT, BMI, glucose, insulin, Homeostasis Model Assessment (HOMA), LDH,
GGT, triglycerides (TG), and glycated haemoglobin (HbA1c). Resistin expression
was more intense in patients with type 2 diabetes mellitus and dyslipidaemia and
less intense in the control group. Resistin probably plays a role in the
pathogenesis of hepatic insulin resistance and aggravates pathologic changes in
the liver of patients with NAFLD.
PMID- 29363916
TI - The significance of angiogenesis for predicting optimal therapeutic response in
chronic myeloid leukaemia patients.
AB - In this study the correlation and the prognostic value of the morphometric
parameters of angiogenesis for optimal therapeutic response to tyrosine kinase
inhibitor (TKI) therapy in patients with chronic myeloid leukaemia (CML), i.e.
complete cytogenetic response (CCgR) and major molecular response (MMoR), were
investigated. Microvascular density (MVD) and a number of different size- and
shape-related morphometric parameters of microvessels of bone marrow biopsy from
40 CML patients and 20 controls were examined. Microvessels of bone marrow were
examined by using immunohistochemical staining for CD34 and quantified in the
region of the most intense vascularisation by using image analysis. CML patients
had significantly higher angiogenesis parameters when compared with controls. A
statistically significant correlation was found between some parameters of
angiogenesis and evaluated CCgR and MMoR. For achievement of CCgR, lower values
of MVD, minor axis, area, circularity, and roundness and higher value of aspect
ratio, while for achievement of MMoR only lower values of MVD have been
identified as positive prognostic factors. Besides confirming increased
angiogenesis in CML patients, this study also demonstrated prognostic
significance of the degree of angiogenesis for the clinical outcome and
identified angiogenic predictive factors for achieving optimal response on TKIs
therapy.
PMID- 29363917
TI - Research Directions in European Veterinary Pathology in 2010-2016 based on the
Congresses of the European Society of Veterinary Pathology and the European
College of Veterinary Pathologists.
AB - The objective of this paper is to depict the current research directions in
veterinary pathology in Europe. The analysis was carried out based on the
abstracts and agendas of the annual European Society of Veterinary Pathology
(ESVP) congresses organised together with the European College of Veterinary
Pathologists (ECVP) in 2010-2016. In total, 1444 presentations were evaluated,
including 41 plenary lectures, 319 short oral presentations, and 1081 posters,
and in 2016 also three science slams. It was found that infectious and parasitic
diseases (467 presentations, 32.34%) and oncology (450 presentations, 31.16%)
were the most commonly discussed topics. Organ pathology was also addressed (327
presentations, 22.65%), with the subsequent places taken by research on different
topics (140 presentations, 9.70%) and toxicopathology (67 presentations, 4.64%).
Among the most commonly presented issues, there was a substantial number of
presentations on neurology (129 speeches, 8.93%) and mammary gland diseases (101
presentations, 6.99%). A downward trend was revealed for infectious and parasitic
diseases and for oncology, and a positive trend for organ pathology, the first
and the third being statistically significant.
PMID- 29363918
TI - Intratumoral heterogeneity for inactivating frameshift mutation of CUX1 and SIRT1
genes in gastric and colorectal cancers.
AB - Both CUX1 and SIRT1 are considered tumor suppressor genes (TSGs),
but it is not known whether CUX1 and SIRT1 alterations are
different between high microsatellite instability (MSI-H) and microsatellite
stable MSI (MSS) cancers. We identified frameshift mutations of CUX1 in 4
cases of colorectal cancer (CRC) and of SIRT1 in 1 case of gastric cancer
(GC) and 3 cases of CRC. All of them were found in GC or CRC with MSI-H (3.5% of
MSI-H for each gene), but neither in GC nor CRC with MSS. In addition, we
analyzed intratumoral heterogeneity (ITH) of the CUX1 frameshift mutation
and found that two CRCs (12.5%) harbored regional ITH of the frameshift mutation.
Our data indicate that there exist frameshift mutations of CUX1 and
SIRT1 genes as well as ITH of CUX1 frameshift mutation in MSI-H
cancers, which together might play a role in tumorigenesis of GC and CRC with MSI
H.
PMID- 29363919
TI - EWSR1-fusion-negative, SMARCB1-deficient primary pulmonary myxoid sarcoma.
AB - Primary pulmonary myxoid sarcoma (PPMS) is a recently defined rare neoplasm with
histological and molecular similarity to extraskeletal myxoid chondrosarcoma. To
date, 20 cases have been reported. A 48-year-old man presented with a huge mass
filling the right hemithorax and extending into the tracheobronchial system.
Histological findings were consistent with PPMS. Immunohistochemistry was
positive for vimentin, CD10, and EMA, but other lineage-specific markers were
negative. SMARCB1 (INI1) expression was lost in the tumour cells. FISH analysis
(EWSR1, FUS, NR4A3, and SMARCB1) revealed no
abnormalities. This case suggests SMARCB1 loss as a possible alternative
molecular event driving EWSR1-negative PPMS.
PMID- 29363921
TI - Answer to the Teresa Pusiol comments to the paper "The association of tumor
lymphocyte infiltration with clinico-pathological factor and survival in breast
cancer" by Huszno et al .
PMID- 29363920
TI - Comments on the article "The association of tumour lymphocyte infiltration with
clinico-pathological factors and survival in breast cancer" by Huszno et al.
PMID- 29363922
TI - "Double trouble" - synchronous mantle cell lymphoma and metastatic squamous cell
carcinoma in an inguinal lymph node.
AB - Multiple primary neoplasms may also occur synchronously. Lymphoma may coexist
with second malignant tumor in its primary location or malignant tumor may
metastases to lymphomatous lymph nodes. Most often lymphoid component is a low
grade lymphoma and coexistence of mantle cell lymphoma (MCL) and second malignant
tumor is much rarer. In this report, we describe a case of synchronous squamous
cell carcinoma and mantle cell lymphoma coexisting in an enlarged inguinal lymph
node. To the best of our knowledge, this is the second report of synchronous
metastatic squamous cell carcinoma and MCL in a lymph node.
PMID- 29363923
TI - Tele-monitoring of a home-based exercise program in amyotrophic lateral
sclerosis: a feasibility study.
PMID- 29363924
TI - [Nutritional epigenetics and epigenetic effects of human breast milk].
AB - The article provides an overview of the current literature on nutritional
epigenetics. There are currently actively studied hypothesis that nutrition
especially in early life or in critical periods of the development, may have a
role in modulating gene expression, and, therefore, have later effects on health
in adults. Nutritional epigenetics concerns knowledge about the possible effects
of nutrients on gene expression. Human breast milk is well-known for its ability
in preventing necrotizing enterocolitis, infectious diseases, and also non
communicable diseases, such as obesity and related disorders. This paper
discusses about presumed epigenetic effects of human breast milk and some its
components. While evidence suggests that a direct relationship may exist of some
components of human breast milk with epigenetic changes, the mechanisms involved
are stillunclear.
PMID- 29363925
TI - [Experimental study of influence of lipophilic products of phytogenic origin on
lipid metabolism in rats].
AB - The article presents the results of biochemical evaluation of metabolic effects
of lipophilic products of plant origin among which such oils as linseed, black
nuts and walnuts oils as well as medicine "Phosphogliv" were selected as the most
promising ones. The influence of the studied substances on lipid metabolism in
experiment on male rats (170-220 g body weight) with modeled acute hepatotoxicity
with carbon tetrachloride (that was achieved by subcutaneous injection of 50% oil
solution of carbon tetrachloride - 0.5 ml/100 g of the body mass once a day
during 3 days) has been investigated. Liver function was assessed by
triacylglycerols content in the serum, total, esterified and nonesterified
cholesterol, cholesterol in the lipoproteins of high, low and very low density,
as well as by the nonesterified cholesterol and phospholipids content in the
hemolysate of red blood cells. Carbon tetrachloride hepatotoxic damage was
accompanied by the development of severe hypercholesterolemia associated both
with the increase in total cholesterol and its content in low density
lipoproteins alongside the reducing of the cholesterol concentration in high
density lipoproteins, resulted in secondary dyslipoproteinemia. Inhibition of the
esterification of cholesterol processes as well as the decrease in the
triacylglycerols concentration was observed. It is connected with the
triacylglycerols endogenous synthesis blocking in the liver, resulted from its
toxic damage. It is also confirmed by cholesterol content reducing in the
lipoproteins of very low density. In erythrocytes of rats with CCl4 intoxication
phospholipid content decreased while the amount of nonesterified cholesterol that
is a component of cell membranes, influencing the proteins and lipids diffusion,
which reduces the mobility of the fatty acid residues of phospholipids,
increased. The injection of the black nuts and walnuts oils as well as flax oil
(intragastric injections 0.2 ml daily in the morning before the main feeding from
the 7th to the 30th day of the experiment, n=25 in each group) to rats with liver
failure induced by carbon tetrachloride, contributed to the partial restoration
of liver tissue structure and statistically reliable decrease of lipid
metabolism. Decrease in the total cholesterol content by 17.5% in the group of
animals treated with linseed oil was observed; LDL cholesterol also decreased
under the influence of walnuts and black nuts oils by 36.7 and 40.6%
respectively. The increase in the content of phospholipids in erythrocytes of
rats when administered by the studied lipophilic products has made it possible to
prove the improvement of the cell membranes rheological properties. The results
of the study of the influence of linseed, black nuts and walnuts oils as well as
medicine "Phosphogliv" on animals with hepatotoxicity by CCl4 have proved
positive effect of these lipophilic substances on lipid metabolism.
PMID- 29363926
TI - [The effect of microwaves on the fat component and preserve vitamins in foods].
PMID- 29363927
TI - [Genomic, proteomic and metabolomic predictors of atherosclerosis in obese
patients. Part II].
AB - Currently there is no extensive research of metabolic disorders in obese patients
with atherosclerosis, including the study of genomic, biochemical, immune and
other markers. Therefore, the aim of the study was to identify the genomic,
proteomic and metabolic predictors of atherosclerosis in obese patients. We
evaluated condition of the cardiovascular system of the 100 obese patients aged
18 to 66 years, which were divided in two groups of patients: Group 1 consisted
of 50 obese patients without vascular pathology, 2nd group consisted of 50
patients with obesity, complicated by atherosclerosis. We carried out a study of
the lipid metabolism and analysis of polymorphic alleles epsilon2, epsilon3,
epsilon4 of the ApoE gene by PCR. Our data showed that clinically significant
predictors of atherosclerosis in obese patients are homozygous genotypes
epsilon2/epsilon2 of the ApoE gene, increased blood serum level of triglycerides,
oxidated LDL, interleukin-6, adhesion molecules SICAM, L-FABP and adiponectin
reduction.
PMID- 29363928
TI - Managing patients with oncologic complications in the emergency department
[digest].
AB - As the prevalence of cancer continues to increase in the general population and
improvements in cancer treatment prolong survival, the incidence of patients
presenting to the emergency department with oncologic complications will,
similarly, continue to rise. This issue reviews 3 of the more common
presentations of oncology patients to the emergency department: metastatic spinal
cord compression, tumor lysis syndrome, and febrile neutropenia. Signs and
symptoms of these conditions can be varied and nonspecific, and may be related to
the malignancy itself or to an adverse effect of the cancer treatment. Timely
evidence-based decisions in the emergency department regarding diagnostic
testing, medications, and arrangement of disposition and oncology follow-up can
significantly improve a cancer patient's quality of life. [Points & Pearls is a
digest of Emergency Medicine Practice.].
PMID- 29363929
TI - Best practices in managing child and adolescent behavioral health emergencies
[digest].
AB - Behavioral health emergencies most commonly present as depression, suicidal
behavior, aggression, and severe disorganization. Emergency clinicians should
avoid relying solely on past medical history or previous psychiatric diagnoses
that might prematurely rule out medical pathologies. Treatments for behavioral
health emergencies consist of de-escalation interventions aimed at preventing
agitation, aggression, and harm. This issue reviews medical pathologies and
underlying causes that can result in psychiatric presentations and summarizes
evidence-based practices to evaluate, manage, and refer patients with behavioral
health emergencies. [Points & Pearls is a digest of Pediatric Emergency Medicine
Practice].
PMID- 29363930
TI - [Enrichment of the rats diet with docosahexaenoic acid and astaxanthin:
physiological and biochemical efficiency].
AB - To investigate the effect of enrichment of the rats diet with polyunsaturated
fatty acids (PUFA) omega-3 (220 mg docosahexaenoic acid per 1 kg of animal body
weight per day) and astaxanthin (5 mg/kg body weight) on serum corticosterone
concentration, physical fatigue, anxiety of rats after exhausting the load.
During 30 days the rats of the test group received the diet in which the usual
fat component comprising sunflower oil and lard (1:1) was completely replaced by
the mixture of oils (high oleic sunflower (89%), coconut (6%), and marine oil
from microalgae Schizochytrium sp. (5%) with a high content of docosahexaenoic
acid with the addition of astaxanthin). Ratio of omega-6 and omega-3 PUFA in the
lipid component of the experimental diet was 5.2:1 (n=12) and 135:1 in the diet
of rats in the control group (n=12). DHA enrichment of the diet resulted in a
significant 10-fold increase of the DHA liver content and omega-6 PUFA reducing
(in particular of linoleic acid in 2.7-fold). No significant differences have
been identified between the groups in terms of anxiety, estimated on the elevated
plus maze at the beginning and on 24th day of the experiment. Results of the
exhausting load on a treadmill (25th day) showed a significant reduction in
physical fatigue in rats of the experimental group compared with the control
group of rats: the number of contacts with the electrical grid was 4.2+/-0.9
versus 19.7+/-4.4, fulltime shock was 0.9+/-0.2 versus 3.3+/-0.8 sec.
Significantly lower serum corticosterone concentration took place in the
subjected to exhausting exertion animals receiving lipid module (15.0+/-3.9
ng/ml) compared to control animals (31.0+/-5.4 ng/ml). Thus, modification of the
lipid component of the diet by its enrichment with DHA and astaxanthin led to
decrease of the rat fatigue during exercise training (test treadmill) and prevent
from the serum corticosterone raise, that indicates animal stress adaptation
ability.
PMID- 29363931
TI - [Impact of new fermented dairy product with whey protein hydrolysate on tolerance
and dynamics of atopic dermatitis manifestation in children suffering from cow's
milk protein allergy].
PMID- 29363932
TI - [Estimation of vitamin status of moscow student according to data on vitamins
intake and their levels in blood].
AB - Supply with vitamins C, A, E and B2 of 61 high school students (38 girls and 23
boys, aged 18-22 years, body mass index - 23.0+/-0.6 kg/m2) by means of
determination of blood plasma concentration of ascorbic acid, retinol and
carotenoids, tocopherols and riboflavin has been investigated in the period from
May to September. All students were well supplied with vitamin C (only 2 boys had
a reduced level of ascorbic acid) and vitamin A. Decreased level of carotenoids
was more common in boys (48 versus 24% in girls). 20% of the students were
insufficiently supplied with vitamin E, 38% - with vitamin B2. 39% of students
(50% girls and 22% boys) were adequately provided with all studied vitamins. 5%
of the students had a combined deficiency of 3 vitamins, 20% - 2 vitamins.
Student's sufficiency with vitamins B2, C, A, E, carotenoids did not depend on
the season. Diet intake of vitamins C, A, carotenoids and vitamin B2 has been
calculated basing on the data on the frequency of food consumption during the
previous month. Reduced consumption relatively to the Russia RDA of vitamins V2,
C and A took place in 63, 54 and 46% of the students respectively. The lack of
vitamin B2 in the diet was most pronounced, the value of probabilistic risk
corresponded to the average level in 34% of students. Average probabilistic risk
of inadequate intake of vitamin A was present in 17% of students, vitamin C - 6%.
Coincidence of the results of vitamin C and A status assessment obtained by
calculation of vitamin diet intake and by biochemical methods (concentration of
vitamins in the blood plasma) was 94 and 83%. These methods are interchangeable
if you select the value of the average probability of risk failure intake of
these vitamins as a criterion. Proportion of coinciding results of the estimation
of vitamin B2 status was 56%. Special well-designed studies on larger sample
surveyed are needed for the final output of the interchangeability of methods to
assess riboflavin status.
PMID- 29363934
TI - The ratio of ubiqiunon redox forms in the liver mitochondria under toxic
hepatitis induced on the background of alimentary protein deficiency.
AB - The level of the total ubiqiunon and redox forms CoQ in the rat liver
mitochondria under the conditions of alimentary protein deficiency and toxic
hepatitis, induced on the background protein deficiency has been investigated.
Research has been carried out on 36 white non-linear rats, divided into 4 groups:
1 - rats, maintained on the complete semisynthetic ration; 2 - rats, fed low
protein ration; 3 - rats with acute acetaminophen-induced hepatitis, maintained
on complete ration; 4 - rats with acetaminophen-induced hepatitis, maintained
under the conditions of protein deficiency. The content of total and oxidized
ubiqiunon was determined spectrophotometrically at lambda=275 nm (molar
extinction coefficient 12.25 Mm-1*sm-1). Reduced ubiqiunon content was determined
by the difference between total and oxidized ubiqiunon content. The amount of
tyrosine in the liver was measured in deproteinised by 6% sulfosalicylic acid
extracts of liver tissue on an automated amino acid analyzer. The decrease of the
total ubiqiunon content in liver mitochondria by 35% on the background of 2-fold
decrease of oxidized ubiqiunon and preservation of reduced ubiqiunon amount has
been estimated under the conditions of low-protein diet. Simultaneously the 5
fold decrease of liver content of tyrosine - the ubiqiunon precursor - has been
observed. It has been shown, that under the conditions of acetaminophen-induced
hepatitis the content of total ubiqiunon and its redox forms in the liver
mitochondria of rats fed complete diet didn't change significantly comparing to
control. A decrease of total ubiqiunon by 60% on the background of acute (18
fold) decrease of reduced ubiqiunon in liver mitochondria of rats with hepatitis,
fed low-protein diet, has been observed. Established changes of the content of
redox ubiquinone forms (a key component of the oxidative phosphorylation system
in the liver mitochondria) can be considered as one of the mechanisms of
malfunction of energy biotransformation system under the conditions of toxic
liver injury in animals with protein deficiency.
PMID- 29363933
TI - [Sontamination of baby foods with ochratoxin A].
AB - Mycotoxin ochratoxin A (OTA) is a widespread contaminant of raw cereal grains
with nephrotoxic activity. Sereal-based baby foods (BF) are an important
component of the infant diet. In Russia, the presence of OTA in grainbased BF is
not allowed (<0.5 ng/kg), in the EU maximum limit of toxin in BF is 0.5 ng/kg.
The Joint FAO/WHO Expert Committee on Food Additives (JECFA) set for OTA a
provisional tolerable weekly intake of 100 ng/kg bw; the European Food Safety
Authority (EFSA) - 120 ng/kg bw. The purpose of this study was to investigate the
OTA content in BF (infant cereals and canned food) and assess the relevant risk
to the health of children first year of life. The analysis of OTA was performed
by immunoaffinity column clean-up and high performance liquid chromatography with
fluorescence detection. The limit of detection and limit of quantification for
OTA were 0.10 and 0.50 ng/kg, respectively. The content of OTA in BF was
represented as maximum (Max), mean (M), median (Me) and 90 percentile (90%) of
all samples. The 554 BF samples based on corn, rice, buckwheat, millet, wheat,
oats, barley, and mixtures of cereals were studied. OTA was detected in 32
samples of BF: in 30 of the 312 samples of infant cereals (Max - 4.95 ng/kg; M -
0.09 ng/kg, Me and 90% - 0 ng/kg) and in 2 of the 242 samples of canned food
(0.34 and 0.37 ng/kg). 20 samples of BF were contaminated with OTA above the
maximum limit (>=0.50 ng/kg). BF, exhibited the highest incidence of OTA, were
buckwheat-based (13 of 41 samples, Max - 2.52 ng/kg, M - 0.36 ng/kg, Me - 0
ng/kg, 90% - 1.57 ng/kg) and mixed-grain (12 of 115 samples, Max - 4.95 ng/kg, M
0.10 ng/kg, Me - 0 ng/kg, 90% - 0.14 ng/kg) infant cereals. OTA was also
detected in 2 of 40 samples of oat-based infant cereals (0.19 and 0.60 ng/kg), in
2 of 72 samples of rice-based infant cereals (0.18 and 0.48 ng/kg) and in 1 of 37
samples of wheat-based infant cereals (0.13 ng/kg). None of the 4 samples of corn
based and of the 3 samples of millet-based infant cereals contained OTA.
Calculations showed that for infants the daily ochratoxin A dietary intake did
not exceed 6.8 ng/kg bw. This value of OTA intake is below the levels, proposed
as tolerable intake. Thus, one can conclude that cereal-based BF (infant cereals
and canned food) are moderately contaminated with OTA and there is not a
significant toxicological risk to the health of children of first year of life.
However, identification of BF samples containing OTA above the maximum limit,
demonstrates the need for thorough monitoring of the quality of the products from
the manufacturers and regulatory authorities.
PMID- 29363935
TI - Doxorubicin-Conjugated Heparin-Coated Superparamagnetic Iron Oxide Nanoparticles
for Combined Anticancer Drug Delivery and Magnetic Resonance Imaging.
AB - In this study, superparamagnetic iron oxide (SPIO) nanoparticles (NPs) with an
average size of 10+/-2 nm were coated with doxorubicin (Dox)-conjugated heparin
(DH-SPIO) and were used for targeted anticancer drug delivery, and as a magnetic
resonance imaging (MRI) contrast agent. The DH-SPIO NPs had a mean particle size
of 125+/-10 nm and a zeta potential of -35+/-3 mV. Fourier transform-infrared
spectroscopy, X-ray diffraction spectroscopy, transmission electron microscopy,
vibrating sample magnetometry, and MTT assay were used to investigate the
properties of DH-SPIO NPs. The internalization of DH-SPIO NPs into A549 tumor
cells was examined using fluorescence microscopy and quantified by flow
cytometry. Prussian blue staining, total iron assay, in vitro MRI and
transmission electron microscopy showed that DH-SPIO NPs had high
superparamagnetic clustering effect. In vivo therapy of A549 human lung
carcinoma, DHSPIO NPs displayed a higher efficacy than Dox in inhibiting tumor
growth and prolonging the survival of mice bearing tumors. Meanwhile, the
pathological damage to the cardiac tissue in mice treated with DH-SPIO NPs was
significantly less severe than that of mice treated with free Dox at the same
dosage. These results show that DH-SPIO NPs are promising biomaterials for
combined drug therapy and clinical imaging.
PMID- 29363936
TI - Doxorubicin Hydrochloride-Loaded Mesoporous Silica Nanoparticles Inhibit Non
Small Cell Lung Cancer Metastasis by Suppressing VEGF-Mediated Angiogenesis.
AB - Mesoporous silica nanoparticles (MSNs) are widely used nanoparticles with a pore
rich structure that is suitable for drug delivery. Here, we used MSNs to carry
and deliver doxorubicin hydrochloride (DOX) in vivo to study the features of
DOXloaded MSNs (MSNs@DOX). We used TEM and zeta potential to illustrate that
MSNs@DOX increase apoptosis and decrease metastasis of tumor cells. We used MTT,
flow cytometry, Western blotting, wound healing, and transwell assays, as well as
an in vivo metastasis model to explore the anti-carcinoma efficacy of MSNs@DOX.
Our results showed that DOX was efficiently loaded into MSNs measuring
approximately 88+/-11 nm, which significantly increased the antitumor efficacy of
DOX on lung cancer, both in vitro and in vivo, compared to a regular DOX
treatment. MSNs@DOX markedly induced apoptosis through cytochrome C release and
the caspase family. Furthermore, cell migration and invasion were sharply
inhibited, both in vitro and in vivo. We also found that the enhanced effect of
MSNs@DOX might be due to an increased cellular uptake by tumor cells based on the
enhanced permeability and retention time of the nanoparticles. Matrigel plug
assays and Western blotting assays revealed that the molecular mechanism behind
the anti-metastasis effect might be attributed to the suppression of VEGF
mediated angiogenesis. Our results offer a new perspective on the application of
nanoparticles against metastasis.
PMID- 29363937
TI - Detection of Circulating Tumor Cells Using Microfluidics.
AB - Metastasis is the main cause of death in cancer patients worldwide. During
metastasis, cancer cells detach from the primary tumor and invade distant tissue.
The cells that undergo this process are called circulating tumor cells (CTCs).
Studies show that the number of CTCs in the peripheral blood can predict
progression-free survival and overall survival and can be informative concerning
the efficacy of treatment. Research is now concentrated on developing devices
that can detect CTCs in the blood of cancer patients with improved sensitivity
and specificity that can lead to improved clinical evaluation. This review
focuses on devices that detect and capture CTCs using different cell properties
(surface markers, size, deformability, electrical properties, etc.). We also
discuss the process of tumor cell dissemination, the biology of CTCs, epithelial
mesenchymal transition (EMT), and several challenges and clinical applications of
CTC detection.
PMID- 29363938
TI - Universal mRNA Translation Enhancement with Gold Nanoparticles Conjugated to
Oligonucleotides with a Poly(T) Sequence.
AB - DNA-conjugated gold nanoparticles (AuNPs) have been shown to enhance the
translation of mRNA. However, the specific sequence on the DNA dictates the
specific mRNA to be enhanced. This study describes poly(thymine)-functionalized
AuNPs (AuNP-p(T)DNA) capable of enhancing the translation of any mRNA template
that is incorporated into pcDNA6 vector with bovine growth hormone (BGH)
polyadenylation signal (P(A)). We demonstrated this by incorporating four genes:
green fluorescence protein (GFP), general control nonderepressible 5 (GCN5), cAMP
responsive element binding protein 1 (CREB1), and X-box-binding protein 1-spliced
(XBP-1S) separately into pcDNA6 vector with BGH P(A) before their expression in
HeLa lysate. The addition of AuNP-p(T)DNA to HeLa lysate containing GFP, GCN5,
CREB1, and XBP-1S mRNA increased protein synthesis 1.80, 1.99, 1.95, and 2.20
times, respectively. Similar translation enhancement was also observed in a
multiplex reaction containing the mRNA of three genes together in the lysate.
Complementary p(T)DNA hybridization to the poly(A) tail of the mRNA was critical
as the removal of either p(T)DNA or BGH P(A) in XBP-1S mRNA or the replacement of
p(T)DNA with p(A)DNA reduced the translation back to baseline level. Finally, an
optimum length of 25 nucleotides for the DNA oligomer and a AuNP-p(T)DNA:mRNA
ratio of 0.658 achieved a 3.08-fold translation enhancement. The AuNP-p(T)DNA
nanoconstruct could be incorporated into commercial cell-free protein synthesis
kits as a universal translation enhancer.
PMID- 29363939
TI - Bad Behavior: Improving Reproducibility in Behavior Testing.
AB - Systems neuroscience research is increasingly possible through the use of
integrated molecular and circuit-level analyses. These studies depend on the use
of animal models and, in many cases, molecular and circuit-level analyses.
Associated with genetic, pharmacologic, epigenetic, and other types of
environmental manipulations. We illustrate typical pitfalls resulting from poor
validation of behavior tests. We describe experimental designs and enumerate
controls needed to improve reproducibility in investigating and reporting of
behavioral phenotypes.
PMID- 29363940
TI - N-Myristoylation as a Drug Target in Malaria: Exploring the Role of N
Myristoyltransferase Substrates in the Inhibitor Mode of Action.
AB - Malaria continues to be a significant cause of death and morbidity worldwide, and
there is a need for new antimalarial drugs with novel targets. We have focused as
a potential target for drug development on N-myristoyl transferase (NMT), an
enzyme that acylates a wide range of substrate proteins. The NMT substrates in
Plasmodium falciparum include some proteins that are common to processes in
eukaryotes such as secretory transport and others that are unique to apicomplexan
parasites. Myristoylation facilitates a protein interaction with membranes that
may be strengthened by further lipidation, and the inhibition of NMT results in
incorrect protein localization and the consequent disruption of function. The
diverse roles of NMT substrates mean that NMT inhibition has a pleiotropic and
severe impact on parasite development, growth, and multiplication. To study the
mode of action underlying NMT inhibition, it is important to consider the
function of proteins upstream and downstream of NMT. In this work, we therefore
present our current perspective on the different functions of known NMT
substrates as well as compare the inhibition of cotranslational myristoylation to
the inhibition of known targets upstream of NMT.
PMID- 29363941
TI - Heterologous Production and Purification of a Functional Chloroform Reductive
Dehalogenase.
AB - Reductive dehalogenases (RDases) are key enzymes involved in the respiratory
process of anaerobic organohalide respiring bacteria (ORB). Heterologous
expression of respiratory RDases is desirable for structural and functional
studies; however, there are few reports of successful expression of these
enzymes. Dehalobacter sp. strain UNSWDHB is an ORB, whose preferred electron
acceptor is chloroform. This study describes efforts to express recombinant
reductive dehalogenase (TmrA), derived from UNSW DHB, using the heterologous
hosts Escherichia coli and Bacillus megaterium. Here, we report the recombinant
expression of soluble and functional TmrA, using B. megaterium as an expression
host under a xylose-inducible promoter. Successful incorporation of iron-sulfur
clusters and a corrinoid cofactor was demonstrated using UV-vis spectroscopic
analyses. In vitro dehalogenation of chloroform using purified recombinant TmrA
was demonstrated. This is the first known report of heterologous expression and
purification of a respiratory reductive dehalogenase from an obligate
organohalide respiring bacterium.
PMID- 29363942
TI - Multi-Stimuli-Responsive Fluorescence Switching from a Pyridine-Functionalized
Tetraphenylethene AIEgen.
AB - The discovery of the striking aggregation-induced emission (AIE) phenomenon has
opened a new avenue for smart light-emitting materials. Herein, a new AIE
luminogen (AIEgen), 1,1,2,2-tetrakis(4-((E)-2-(pyridin-2-yl)vinyl)phenyl)ethene
(TP2VPE), has been designed and synthesized by introducing the vinylpyridine
motifs into the tetraphenylethene backbone. The emission spectrum of the new
obtained AIEgen crystalline material can be switched in response to not only
mechanical grinding and hydrostatic compression but also the protonation effect
with excellent reversibility and reproducibility. Single-crystal X-ray structural
analysis disclosed the supramolecular porous channel structure, which provides a
shrinkable volume to maintain the fluorescence emission upon high pressure.
Furthermore, protonation-deprotonation of the pyridine moieties in TP2VPE has a
significant effect on the frontier molecular orbitals as well as very distinctive
emission characteristics upon acid and base stimuli. The dual-response
performance and the ease of its preparation and renewal endow the material with
potential applications in pressure and acid/alkali fluorescence sensing.
PMID- 29363943
TI - Photothermally Amplified Therapeutic Liposomes for Effective Combination
Treatment of Cancer.
AB - Near-infrared photothermal therapy has been investigated extensively with regard
to selective tumor eradication, yet its clinical translation has been limited
because of the absence of FDA-approvable agents with effective phototherapeutic
function and minimal systemic toxicity. In this work, we developed photothermally
amplified therapeutic liposomes in an attempt to synergize chemotherapy and
hyperthermia for effective cancer phototherapy. The anticancer drug cisplatin and
the photothermal agent indocyanine green (ICG) were encapsulated in a
thermosensitive liposomal formulation at the lipid/ICG ratio maximizing the ICG
loading efficiency. These liposomes released cytotoxic cisplatin molecules
selectively via ICG-mediated photothermal stimulation. In phototherapeutic
studies, these liposomes amplified therapeutic effects both in vitro in cancer
cells and in vivo in mouse tumor models significantly over chemotherapy or
photothermal therapy alone. We believe that these photothermally amplified
therapeutic liposomes composed solely of already FDA-approved components
(cisplatin, ICG, and phospholipids) have enormous potential for clinical
translation in treating various tumors compatible with laser irradiation.
PMID- 29363945
TI - Sortase-Mediated High-Throughput Screening Platform for Directed Enzyme
Evolution.
AB - Sortase-catalyzed ligations have emerged as powerful tools for the site-specific
ligation of peptides and proteins in material science and biocatalysis. In this
work, a directed sortase evolution strategy (SortEvolve) has been developed as a
general high-throughput screening (HTS) platform to improve activity of sortase A
(application 1) and to perform directed laccase evolution through a
semipurification process in 96-well microtiter plate (MTP) (application 2). A
semipurification process in polypropylene MTP (PP-MTP) is achieved through the
anchor peptide LCI, which acts as adhesion promoter. To validate the SortEvolve
screening platform for both applications, three site-saturation mutagenesis (SSM)
libraries of sortase A (Sa-SrtA) from Staphylococcus aureus (application 1) and
two SSM libraries of the copper efflux oxidase (CueO laccase) from Escherichia
coli (application 2) were generated at literature reported positions. After
screening and rescreening, an array of Sa-SrtA variants (including the previously
reported P94S, D160N, and D165A) and CueO variants (including the previously
reported D439A and P444A) were identified. Further recombinant Sa-SrtA variant
P94T/D160L/D165Q and CueO variant D439V/P444V were characterized with 22-fold and
103-fold improvements in catalytic efficiency compared with corresponding wild
types, respectively. An important advantage of the SortEvolve screening platform
in comparison to many MTP-based screening systems is that the background noise
was minimized (decreased 20-fold; application 2) due to the employed
semipurification process. In essence, SortEvolve provides a universal surface
functionalized screening platform for sortases and enzymes in which especially
background activity can be minimized to enable successful directed evolution
campaigns.
PMID- 29363944
TI - Structure-Activity Relationship Studies of a Macrocyclic AGRP-Mimetic Scaffold
c[Pro-Arg-Phe-Phe-Asn-Ala-Phe-DPro] Yield Potent and Selective Melanocortin-4
Receptor Antagonists and Melanocortin-5 Receptor Inverse Agonists That Increase
Food Intake in Mice.
AB - The melanocortin system has five receptors, and antagonists of the central
melanocortin receptors (MC3R, MC4R) are postulated to be viable therapeutics for
disorders of negative energy balance such as anorexia, cachexia, and failure to
thrive. Agouti-related protein (AGRP) is an antagonist of the MC3R and an
antagonist/inverse agonist of the MC4R. Biophysical NMR-based structural studies
have demonstrated that the active sequence of this hormone, Arg-Phe-Phe, is
located on an exposed beta-hairpin loop. It has previously been demonstrated that
the macrocyclic octapeptide scaffold c[Pro1-Arg2-Phe3-Phe4-Asn5-Ala6-Phe7-DPro8]
is 16-fold less potent than AGRP at the mouse MC4R (mMC4R). Herein it was
hypothesized that the Phe7 position may be substituted to produce more potent
and/or selective melanocortin receptor antagonist ligands based on this template.
A 10-membered library was synthesized that substituted small (Gly), polar (Ser),
acidic (Asp), basic (Lys), aliphatic (Leu, Nle, and Cha), and aromatic (Trp, Tyr,
hPhe) amino acids to explore potential modifications at the Phe7 position. The
most potent mMC4R antagonist contained a Nle7 substitution, was equipotent to the
lead ligand 200-fold selective for the mMC4R over the mMC3R, and caused a
significant increase in food intake when injected intrathecally into male mice.
Three compounds possessed sigmoidal dose-response inverse agonist curves at the
mMC5R, while the remaining seven decreased cAMP production from basal levels at a
concentration of 100 MUM. These findings will add to the knowledge base toward
the development of potent and selective probes to study the role of the
melanocortin system in diseases of negative energy balance and can be useful in
the design of molecular probes to examine the physiological functions of the
mMC5R.
PMID- 29363946
TI - Silver Nanoclusters Encapsulated into Metal-Organic Frameworks with Enhanced
Fluorescence and Specific Ion Accumulation toward the Microdot Array-Based
Fluorimetric Analysis of Copper in Blood.
AB - Silver nanoclusters (AgNCs) were first coated with bovine serum albumin (BSA) and
then encapsulated into porous metal-organic frameworks of ZIF-8 by the protein
mediated biomineralization process. Unexpectedly, the fluorescence intensities of
the yielded AgNCs-BSA@ZIF-8 nanocomposites were discovered to be continuously
enhanced during each of the BSA coating and ZIF-8 encapsulation steps. Compared
to common AgNCs, greatly improved photostability and storage stability of AgNCs
could also be expected. More importantly, having benefited from the ZIF-8 shells,
the prepared nanocomposites could possess the specific accumulation and sensitive
response to Cu2+ ions, resulting in the rational quenching of their fluorescence
intensities. Moreover, AgNCs-BSA@ZIF-8 nanocomposites were coated onto the
hydrophobic arraying slides toward a microdots array-based fluorimetric method
for the fast and sensitive evaluation of Cu2+ ions. It was discovered that the
developed fluorimetric strategy could ensure the high-throughput analysis of Cu2+
ions in wide pH range, and especially some harsh and high-salt media. It can
allow for the detection of Cu2+ ions in blood with the concentrations ranging
from 4.0 * 10-4 to 160 MUM, thus serving as a new copper detection candidate to
be widely applied in clinical test, food safety, and environmental monitoring
fields.
PMID- 29363947
TI - Probing the Mechanism for Bipolar Resistive Switching in Annealed Graphene Oxide
Thin Films.
AB - The bipolar resistive switching (BRS) between a metallic low resistance state
(LRS) and an insulating high resistance state (HRS) is demonstrated for annealed
graphene oxide (GO) thin film-based device structures with aluminum (Al) as one
of the contact electrodes. An optimal switching of ~104 order is recorded for
Al/GO (200 degrees C)/indium tin oxide (ITO) among the device structures in
metal (M2)/GO (T)/metal (M1) configurations (M1 = Al, Au, or ITO and M2 = Au or
Al), fabricated using GO (T)/metal (M1), annealed at different temperatures, T =
100, 200, 300, and 400 degrees C. The initial Ohmic conduction for electronic
transport and the presence of metal contents through GO thin films in the X-ray
photoelectron spectroscopy support the physical evidence of Al filament formation
between the two electrodes as imaged by the high-resolution transmission electron
microscopy. The speculated mechanism for BRS in repeated voltage sweep cycles is
attributed to the current triggered breaking of metal filaments because of the
combined effect of Joule's heating and Peltier heat generation at LRS -> HRS
transition, and electric field induced migration of metal atoms, leading to the
formation of metal filaments through the GO film at the HRS -> LRS transition.
The higher switching ratio exhibited in the current study could be translated to
engineer simple and low-cost resistive memory devices.
PMID- 29363948
TI - Electrochemical Sensor Coating Based on Electrophoretic Deposition of Au-Doped
Self-Assembled Nanoparticles.
AB - The electrophoretic deposition (EPD) of self-assembled nanoparticles (NPs) on the
surface of an electrode is a new strategy for preparing sensor coating. By simply
changing the deposition conditions, the electrochemical response for an analyte
of deposited NPs-based coating can be controlled. This advantage can decrease the
difference between different batches of sensor coating and ensure the
reproducibility of each sensor. This work investigated the effects of deposition
conditions (including deposition voltage, pH value of suspension, and deposition
time) on the structure and the electrochemical response for l-tryptophan of
sensor coating formed from Au-doped poly(sodium gamma-glutamate) with pendant
dopamine units nanohybrids (Au/gamma-PGA-DA NBs) via the EPD method. The
structure and thickness of the deposited sensor coating were measured by atomic
force microscopy, which demonstrated that the structure and thickness of coating
can be affected by the deposition voltage, the pH value of the suspension, and
the deposition time. The responsive current for l-tryptophan of the deposited
sensor coating were measured by differential pulse voltammetry, which showed that
the responsive current value was affected by the structure and thickness of the
deposited coating. These arguments suggested that a rich design-space for tuning
the electrochemical response for analyte and a source of variability in the
structure of sensor coating can be provided by the deposition conditions. When
Au/gamma-PGA-DA NBs were deposited on the electrode surface and formed a
continuous coating with particle morphology and thinner thickness, the deposited
sensor coating exhibited optimal electrochemical response for l-tryptophan.
PMID- 29363949
TI - Fluorescence Regulation of Copper Nanoclusters via DNA Template Manipulation
toward Design of a High Signal-to-Noise Ratio Biosensor.
AB - Because of bioaccumulation of food chain and disability of biodegradation,
concentration of toxic mercury ions (Hg2+) in the environment dramatically varies
from picomolar to micromolar, indicating the importance of well-performed Hg2+
analytical methods. Herein, reticular DNA is constructed by introducing thymine
(T)-Hg2+-T nodes in poly(T) DNA, and copper nanoclusters (CuNCs) with aggregate
morphology are prepared using this reticular DNA as a template. Intriguingly, the
prepared CuNCs exhibit enhanced fluorescence. Meanwhile, the reticular DNA
reveals evident resistance to enzyme digestion, further clarifying the
fluorescence enhancement of CuNCs. Relying on the dual function of DNA
manipulation, a high signal-to-noise ratio biosensor is designed. This analytical
approach can quantify Hg2+ in a very wide range (50 pM to 500 MUM) with an
ultralow detection limit (16 pM). Besides, depending on the specific interaction
between Hg2+ and reduced l-glutathione (GSH), this biosensor is able to evaluate
the inhibition of GSH toward Hg2+. In addition, pollution of Hg2+ in three lakes
is tested using this method, and the obtained results are in accord with those
from inductively coupled plasma mass spectrometry. In general, this work provides
an alternative way to regulate the properties of DNA-templated nanomaterials and
indicates the applicability of this way by fabricating an advanced biosensor.
PMID- 29363951
TI - Mapping Global Flows of Chemicals: From Fossil Fuel Feedstocks to Chemical
Products.
AB - Chemical products are ubiquitous in modern society. The chemical sector is the
largest industrial energy consumer and the third largest industrial emitter of
carbon dioxide. The current portfolio of mitigation options for the chemical
sector emphasizes upstream "supply side" solutions, whereas downstream mitigation
options, such as material efficiency, are given comparatively short shrift. Key
reasons for this are the scarcity of data on the sector's material flows, and the
highly intertwined nature of its complex supply chains. We provide the most up to
date, comprehensive and transparent data set available publicly, on virgin
production routes in the chemical sector: from fossil fuel feedstocks to chemical
products. We map global mass flows for the year 2013 through a complex network of
transformation processes, and by taking account of secondary reactants and by
products, we maintain a full mass balance throughout. The resulting data set
partially addresses the dearth of publicly available information on the chemical
sector's supply chain, and can be used to prioritise downstream mitigation
options.
PMID- 29363952
TI - Dinuclear Iron(III) and Cobalt(III) Complexes Featuring a Biradical Bridge: Their
Molecular Structures and Magnetic, Spectroscopic, and Redox Properties.
AB - Bis-bidentate ligand H4LB featuring two o-amidophenol noninnocent units was used
to synthesize novel binuclear complexes [(LR)MIII(*LB*)MIII(LR)](ClO4)2, M = Fe
(1) and Co (2, 3), with HLR (R = CH3, Cl) being the facially coordinating
tetradentate coligands. Upon the synthesis, the fully reduced amidophenolate form
of the ligand (LB)4- becomes oxidized, resulting in the formation of a rare
example of a biradical (*LB*)2- bridge connecting two metal ions, as supported by
X-ray crystallography. The electronic structures of the complexes have been
probed by Mossbauer spectroscopy, magnetic susceptibility measurements, and
electron paramagnetic resonance (EPR) spectroscopy. Species 1 contains two high
spin Fe(III) ions (S = 5/2) each coupled strongly antiferromagnetically (|J| >
150 cm-1; H = -2JS1S2) with a semiquinone pi-radical (S = 1/2) form of the
bridging (*LB*)2- ligand. The effective S = 2 spins of each [Fe(III)+R?]
monomeric unit are then weakly ferromagnetically coupled with J = +0.22 cm-1.
Species 2 and 3 reveal very similar electronic structures: the low-spin Co(III)
ion is diamagnetic, which leaves the two-spin carriers at the bridging (*LB*)2-
biradical to display an isotropic EPR signal at g = 1.995 for 2 (1.993 for 3) in
solution at room temperature and in the frozen state with no hyperfine structure.
The weak half-field signal at g = 3.988 for 2 (3.978 for 3) was also observed at
17 K for the spin-forbidden |DeltaMS| = 2 transition due to ferromagnetically
coupled S = 1/2 spins (J = +47 cm-1) of the bridging biradical. The compounds
show rich electrochemistry, displaying two (1) or four (2, 3) one-electron
reversible processes. Normal and differential pulse voltammetry as well as
constant potential coulometry, combined with EPR experiments, confirmed that the
observed electron transfers are all localized at the bridging noninnocent (*LB*)2
ligand.
PMID- 29363954
TI - Sounding Out Dysfunctional Oxygen Metabolism: A Small-Molecule Probe for
Photoacoustic Imaging of Hypoxia.
PMID- 29363953
TI - Iridium(III)-Based Metal-Organic Frameworks as Multiresponsive Luminescent
Sensors for Fe3+, Cr2O72-, and ATP2- in Aqueous Media.
AB - Three iridium(III)-based metal-organic frameworks (MOFs), namely [Cd3{Ir(ppy
COO)3}2(DMF)2(H2O)4].6H2O.2DMF (1), [Cd3{Ir(ppy-COO)3}2(DMA)2(H2O)2].0.5H2O.2DMA
(2), and [Cd3{Ir(ppy-COO)3}2(DEF)2(H2O)2].8H2O.2DEF (3) (ppy-COOH = methyl-3
(pyridin-2-yl)benzoic acid, DMF = N,N-dimethylformamide, DMA = N,N
dimethylacetamide, DEF = N,N-diethylformamide), have been synthesized and
characterized. Single-crystal structural determinations reveal that compounds 1-3
are isostructural, showing a three-dimensional framework structure with (3,6)
connected rtl topologyin whose trimers of {Cd3(COO)6} are cross-linked by Ir(ppy
COO)33-. The structures are completely different from those of other Ir(III)
based MOFs. Compound 1 was selected for a detailed study on sensing properties.
The excellent luminescence as well as good water stability of 1 makes it a highly
selective and sensitive multiresponsive luminescent sensor for Fe3+ and Cr2O72-.
The detection limits are 67.8 and 145.1 ppb, respectively. Compound 1 can also be
used as an optical sensor for selective sensing of adenosine triphosphate (ATP2-)
over adenosine diphosphate (ADP2-) and adenosine monophosphate (AMP2-) in aqueous
solution. This is the first example of iridium(III)-based MOFs for the optical
detection of Fe3+, Cr2O72-, and ATP2-. More interestingly, the luminescent
composite film doped with 1% (w/w) of compound 1, 1@PMMA (PMMA = poly(methyl
methacrylate)), can be successfully prepared, which endows efficient sensitivity
for Fe3+ and Cr2O72- detection and thus provides great potential for future
applications.
PMID- 29363950
TI - Developments in Glycopeptide Antibiotics.
AB - Glycopeptide antibiotics (GPAs) are a key weapon in the fight against drug
resistant bacteria, with vancomycin still a mainstream therapy against serious
Gram-positive infections more than 50 years after it was first introduced. New,
more potent semisynthetic derivatives that have entered the clinic, such as
dalbavancin and oritavancin, have superior pharmacokinetic and target engagement
profiles that enable successful treatment of vancomycin-resistant infections. In
the face of resistance development, with multidrug resistant (MDR) S. pneumoniae
and methicillin-resistant Staphylococcus aureus (MRSA) together causing 20-fold
more infections than all MDR Gram-negative infections combined, further
improvements are desirable to ensure the Gram-positive armamentarium is
adequately maintained for future generations. A range of modified glycopeptides
has been generated in the past decade via total syntheses, semisynthetic
modifications of natural products, or biological engineering. Several of these
have undergone extensive characterization with demonstrated in vivo efficacy,
good PK/PD profiles, and no reported preclinical toxicity; some may be suitable
for formal preclinical development. The natural product monobactam,
cephalosporin, and beta-lactam antibiotics all spawned multiple generations of
commercially and clinically successful semisynthetic derivatives. Similarly, next
generation glycopeptides are now technically well positioned to advance to the
clinic, if sufficient funding and market support returns to antibiotic
development.
PMID- 29363956
TI - Coupling between Nitrogen Fixation and Tetrachlorobiphenyl Dechlorination in a
Rhizobium-Legume Symbiosis.
AB - Legume-rhizobium symbioses have the potential to remediate soils contaminated
with chlorinated organic compounds. Here, the model symbiosis between Medicago
sativa and Sinorhizobium meliloti was used to explore the relationships between
symbiotic nitrogen fixation and transformation of tetrachlorobiphenyl PCB 77
within this association. 45-day-old seedlings in vermiculite were pretreated with
5 mg L-1 PCB 77 for 5 days. In PCB-supplemented nodules, addition of the
nitrogenase enhancer molybdate significantly stimulated dechlorination by 7.2
fold and reduced tissue accumulation of PCB 77 (roots by 96% and nodules by 93%).
Conversely, dechlorination decreased in plants exposed to a nitrogenase inhibitor
(nitrate) or harboring nitrogenase-deficient symbionts (nifA mutant) by 29% and
72%, respectively. A range of dechlorinated products (biphenyl, methylbiphenyls,
hydroxylbiphenyls, and trichlorobiphenyl derivatives) were detected within
nodules and roots under nitrogen-fixing conditions. Levels of nitrogenase-derived
hydrogen and leghemoglobin expression correlated positively with nodular
dechlorination rates, suggesting a more reducing environment promotes PCB
dechlorination. Our findings demonstrate for the first time that symbiotic
nitrogen fixation acts as a driving force for tetrachlorobiphenyl dechlorination.
In turn, this opens new possibilities for using rhizobia to enhance
phytoremediation of halogenated organic compounds.
PMID- 29363955
TI - Synthesis of Stachyobifiose Using Bifidobacterial alpha-Galactosidase Purified
from Recombinant Escherichia coli.
AB - The prebiotic effects of GOS (galactooligosaccharides) are known to depend on the
glycosidic linkages, degree of polymerization (DP), and the monosaccharide
composition. In this study, a novel form of alpha-GOS with a potentially improved
prebiotic effect was synthesized using bifidobacterial alpha-galactosidase (alpha
Gal) purified from recombinant Escherichia coli. The carbohydrate produced was
identified as alpha-d-galactopyranosyl-(1->6)-O-alpha-d-glucopyranosyl-(1->2)
[alpha-d-galactopyranosyl-(1->6)-O-beta-d-fructofuranoside] and was termed
stachyobifiose. Among 17 nonprobiotics, 16 nonprobiotics showed lower growth on
stachyobifiose than beta-GOS. In contrast, among the 16 probiotics, 6 probiotics
showed higher growth on stachyobifiose than beta-GOS. When compared with
raffinose, stachyobifiose was used less by nonprobiotics than raffinose.
Moreover, compared with stachyose, stachyobifiose was used less by Escherichia
coli, Enterobacter cloacae, and Clostridium butyricum. The average amounts of
total short-chain fatty acids (SCFA) produced were in the order of stachyobifiose
> stachyose > raffinose > beta-GOS. Taken together, stachyobifiose is expected to
contribute to beneficial changes of gut microbiota.
PMID- 29363958
TI - You Say You Want Some Resolutions?
PMID- 29363957
TI - Integrative CO2 Capture and Hydrogenation to Methanol with Reusable Catalyst and
Amine: Toward a Carbon Neutral Methanol Economy.
AB - Herein we report an efficient and recyclable system for tandem CO2 capture and
hydrogenation to methanol. After capture in an aqueous amine solution, CO2 is
hydrogenated in high yield to CH3OH (>90%) in a biphasic 2-MTHF/water system,
which also allows for easy separation and recycling of the amine and catalyst for
multiple reaction cycles. Between cycles, the produced methanol can be
conveniently removed in vacuo. Employing this strategy, catalyst Ru-MACHO-BH and
polyamine PEHA were recycled three times with 87% of the methanol producibility
of the first cycle retained, along with 95% of catalyst activity after four
cycles. CO2 from dilute sources such as air can also be converted to CH3OH using
this route. We postulate that the CO2 capture and hydrogenation to methanol
system presented here could be an important step toward the implementation of the
carbon neutral methanol economy concept.
PMID- 29363959
TI - Electrochemistry and Spectroelectrochemistry of Cobalt Porphyrins with pi
Extending and/or Highly Electron-Withdrawing Pyrrole Substituents. In Situ
Electrogeneration of sigma-Bonded Complexes.
AB - A series of cobalt porphyrins with pi-extending or highly electron-withdrawing
beta-pyrrole substituents were investigated as to their electrochemistry,
spectroscopic properties, and reactivity after electroreduction or
electroxidation in nonaqueous media. Each porphyrin, represented as PorCo (where
Por = TPP(NO2)Y2 or TPP(NO2)Y6 and Y = phenyl, phenylethynyl, Br, or CN) was
shown to undergo multiple redox reactions involving the conjugated pi-ring system
or central metal ion which could exist in a Co(III), Co(II), or Co(I) oxidation
state under the application of an applied oxidizing or reducing potential.
Thermodynamic half-wave potentials for the stepwise conversion between each
oxidation state of [PorCo]n (where n ranged from +3 to -3) were measured by
cyclic voltammetry and analyzed as a function of the compound structure and
properties of the electrochemical solvent. UV-visible spectra were obtained for
each oxidized or reduced porphyrin in up to six different oxidation states
ranging from [PorCo]3- to [PorCo]3+ and analyzed as a function of the compound
structure and utilized electrochemical solvent. Chemically or electrochemically
generated Co(I) porphyrins are known to be highly reactive in solutions
containing alkyl or aryl halides, and this property was utilized to in situ
generate a new series of methyl carbon-bonded cobalt(III) porphyrins with the
same pi-extending or highly electron-withdrawing substituents as the initial
Co(II) derivatives. The electrosynthesized carbon-bonded Co(III) porphyrins were
then characterized as to their own electrochemical and spectroscopic properties
after the addition of one, two, or three electrons in nonaqueous media.
PMID- 29363960
TI - Generation and Confinement of Long-Lived N-Oxyl Radical and Its Photocatalysis.
AB - Generation of controllable carbon radical under the assistance of N-oxyl radical
is an efficient method for the activation of C-H bonds in hydrocarbons. We herein
report that irradiation of alpha-Fe2O3 and N-hydroxyphthalimide (NHPI) under 455
nm light generates phthalimide-N-oxyl radical (PINO*), which after being formed
by oxidation with holes, is confined on alpha-Fe2O3 surface. The half-life time
of the confined radical reaches 22 s as measured by in situ electron paramagnetic
resonance (EPR) after the light being turned off. This allows the long-lived N
oxyl radical to abstract the H from C-H bond to form a carbon radical that reacts
with molecular oxygen to form R3C-OO. species, decomposition of which leads to
oxygenated products.
PMID- 29363961
TI - General Strategy for Rapid Production of Low-Dimensional All-Inorganic CsPbBr3
Perovskite Nanocrystals with Controlled Dimensionalities and Sizes.
AB - Currently, all-inorganic CsPbX3 (X = Br, I, Cl) perovskite nanocrystals (NCs) are
shining stars with exciting potential applications in optoelectronic devices such
as solar cells, light-emitting diodes, lasers, and photodetectors, due to their
superior performance in comparison to their organic-inorganic hybrid
counterparts. In the present work, we report a general strategy based on a
microwave technique for the rapid production of low-dimensional all-inorganic
CsPbBr3 perovskite NCs with tunable morphologies within minutes. The effect of
the key parameters such as the introduced ligands, solvents, and PbBr2 precursors
and microwave powers as well as the irradiation times on the production of
perovskite NCs was systematically investigated, which allowed their growth with
tunable dimensionalities and sizes. As a proof of concept, the ratio of OA to OAm
as well as the concentration of PbBr2 precursor played important roles in
triggering the anisotropic growth of the perovskite NCs, favoring their growth
into 1D/2D single-crystalline nanostructures. Meanwhile, their sizes could be
tailored by controlling the microwave powers and irradiation times. The mechanism
for the tunable growth of perovskite NCs is discussed.
PMID- 29363962
TI - Alcohol Solvent Effects in the Synthesis of Co3O4 Metal-Oxide Nanoparticles:
Disproof of a Surface-Ligand Thermodynamic Effect en Route to Alternative Kinetic
and Thermodynamic Explanations.
AB - The synthesis of Co3O4 core nanoparticles from cobalt acetate is explored in
alcohol solvents plus limited water using O2 as oxidant and NH4OH as the base,
all in comparison to controls in water alone employing the otherwise identical
synthetic procedure. Syntheses in EtOH or t-BuOH cosolvents with limited water
yield phase-pure and size-controlled (3 +/- 1 nm) Co3O4-core nanoparticles. In
marked contrast, the synthesis in water alone yields mixed phases of Co3O4 and
beta-Co(OH)2 with a very large particle-size range (14-400 nm). Importantly,
acidic reductive digestion of the Co3O4 particles followed by 1H NMR on the
resultant solution yields no detectable EtOH in nanoparticles prepared in EtOH,
nor any detectable t-BuOH in nanoparticles prepared in t-BuOH (~5% detection
limits for each alcohol), despite the dramatic effect of each alcohol cosolvent
on the resultant cobalt-oxide product. Instead, in both cases HOAc is detected
and quantified, indicative of OAc- as a surface ligand-and not EtO- or t-BuO- as
the surface ligand. The resultant ROH cosolvent-derived particles were
characterized by powder X-ray diffraction, Fourier transform infrared
spectroscopy, high-resolution transmission electron microscopy, plus elemental
analysis to arrive at an approximate, average molecular formula in the case of
the particles prepared in EtOH, {[Co3O4(C2H3O2)]-[(NH4+)0.3(H+0.7)]+.(H2O)}~216.
The key finding is that, because EtOH and t-BuOH have a substantial effect on the
phase- and size-dispersion of the cobalt-oxide nanoparticle product, yet the
intact alcohol does not show up in the final Co3O4 nanoparticle product, the
effect of these alcohols cannot be a surface-ligand thermodynamic effect on the
net nanoparticle formation reaction. A careful search of the literature provided
scattered, but consistent, literature in which anions or other additives have
large effects on metal-oxide nanoparticle formation reactions, yet also do not
show up in the nanoparticle products-that is, where the observed effects are
again not due to binding by that anion or other additive in a surface-ligand
thermodynamic effect on the overall reaction. Alternative hypotheses are provided
as to the origin of ROH solvent effects on metal-oxide nanoparticles.
PMID- 29363963
TI - Superconductivity in Perovskite Ba1-xLnx(Bi0.20Pb0.80)O3-delta (Ln = La, Ce, Pr,
Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu).
AB - Solid solutions Ba1-xLnx(Bi0.20Pb0.80)O3-delta (Ln = La, Ce, Pr, Nd, Sm, Eu, Gd,
Tb, Dy, Ho, Er, Tm, Yb, Lu; 0.00 <= x ? 0.15) have been prepared under 850
degrees C. They all crystallize in space group P1 at room temperature. XPS data
indicate that the valences are 5+ and 3+ for bismuth, 4+ and 2+ for lead, and 3+
or 4+ for lanthanide. Some of them are superconductors. The superconductive
transition temperature Tczero decreases or remains constant with an increase of
Ln in the sample when Ln = La, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu.
However, Tczero first decreases, then increases, and finally decreases when Ln =
Ce, Pr, which is due to the corresponding sample changes from hole-doped to
electron-doped superconductors with an increase of Ce or Pr in the sample.
PMID- 29363964
TI - Redox Potentials for Tetraplatin, Satraplatin, Its Derivatives, and Ascorbic
Acid: A Computational Study.
AB - Redox potentials of the Pt(IV) complexes, such as satraplatin, tetraplatin, and
several others, are determined at the density functional theory (DFT) level (with
B3LYP, omega-B97XD, PBE1PBE, TPSSTPSS, M06-L, M11-L, and MN12-L functionals) and
compared with post-Hartree-Fock methods MP2 and CCSD(T). Calculations are
performed in water solution employing an implicit solvation model. The impact of
replacement of a chloro ligand by a water molecule (hydration in the equatorial
plane of the complexes) is also explored. Furthermore, an influence of solvent pH
on the magnitude of the redox potentials is discussed for such hydrated
complexes. The obtained results are compared with available experimental data
leading to a root-mean-square deviation (RMSD) of ca. 0.23 V, using the CCSD(T)/6
31+G(d)/IEF-PCM/scaled-UAKS level. Distribution of the electron density is
analyzed at the B3LYP/6-311++G(2df,2pd) level. Also, a correlation between
binding energies of axial ligands and the redox potential is demonstrated. Since
the Pt(IV) complexes are considered in the framework of anticancer treatment,
possible reducing agents in bioenvironment are searched. From this reason, the
reduction potential of different protonation states of ascorbic acid is also
presented.
PMID- 29363965
TI - Catalytic Asymmetric Dearomative [3 + 2] Cycloaddition of Electron-Deficient
Indoles with All-Carbon 1,3-Dipoles.
AB - The first catalytic asymmetric dearomative [3 + 2] cycloaddition of 3
nitroindoles with vinylcyclopropanes has been established, which constructed
chiral cyclopenta[b]indoline scaffolds in generally high enantioselectivities (up
to 97% ee). This reaction also represents the first application of all-carbon 1,3
dipoles in catalytic asymmetric dearomative [3 + 2] cycloadditions of 3
nitroindoles. This approach will not only advance the catalytic asymmetric
dearomatization reactions of electron-deficient indoles but also provide an
efficient method for constructing chiral cyclopenta[b]indoline scaffolds.
PMID- 29363967
TI - Novel Phosphodiesterase Inhibitors for Cognitive Improvement in Alzheimer's
Disease.
AB - Alzheimer's disease (AD) is one of the greatest public health challenges.
Phosphodiesterases (PDEs) are a superenzyme family responsible for the hydrolysis
of two second messengers: cyclic adenosine monophosphate (cAMP) and cyclic
guanosine monophosphate (cGMP). Since several PDE subfamilies are highly
expressed in the human brain, the inhibition of PDEs is involved in
neurodegenerative processes by regulating the concentration of cAMP and/or cGMP.
Currently, PDEs are considered as promising targets for the treatment of AD since
many PDE inhibitors have exhibited remarkable cognitive improvement effects in
preclinical studies and over 15 of them have been subjected to clinical trials.
The aim of this review is to summarize the outstanding progress that has been
made by PDE inhibitors as anti-AD agents with encouraging results in preclinical
studies and clinical trials. The binding affinity, pharmacokinetics, underlying
mechanisms, and limitations of these PDE inhibitors in the treatment of AD are
also reviewed and discussed.
PMID- 29363966
TI - Arabinoxylo-Oligosaccharides and Inulin Impact Inter-Individual Variation on
Microbial Metabolism and Composition, Which Immunomodulates Human Cells.
AB - Fecal batch fermentations coupled to cocultures of epithelial cells and
macrophages were used to compare how arabinoxylo-oligosaccharides (AXOS) and
inulin modulate gut microbial activity and composition of three different human
donors and subsequently the epithelial permeability and immune response. Both
inulin and AXOS decreased the pH during incubation (-1.5 pH units), leading to
increased productions of acetate, propionate, and butyrate. Differences in terms
of metabolites production could be linked to specific microbial alterations at
genus level upon inulin/AXOS supplementation (i.e., Bifidobacterium, Bacteroides,
Prevotella and unclassified Erysipelotrichaceae), as shown by 16S-targeted
Illumina sequencing. Both products stimulated gut barrier and immune function
with increases in TEER, NF-KB, IL-10, and IL-6. Ingredients with different
structures selectively modulate the microbiota of a specific donor leading to
differential changes at metabolic level. The extent of this effect is donor
specific and is linked to a final specific modulation of the host's immune
system.
PMID- 29363968
TI - Partial Photochemical Oxidation Was a Dominant Fate of Deepwater Horizon Surface
Oil.
AB - Following the Deepwater Horizon (DWH) blowout in 2010, oil floated on the Gulf of
Mexico for over 100 days. In the aftermath of the blowout, substantial
accumulation of partially oxidized surface oil was reported, but the pathways
that formed these oxidized residues are poorly constrained. Here we provide five
quantitative lines of evidence demonstrating that oxidation by sunlight largely
accounts for the partially oxidized surface oil. First, residence time on the
sunlit sea surface, where photochemical reactions occur, was the strongest
predictor of partial oxidation. Second, two-thirds of the partial oxidation from
2010 to 2016 occurred in less than 10 days on the sunlit sea surface, prior to
coastal deposition. Third, multiple diagnostic biodegradation indices, including
octadecane to phytane, suggest that partial oxidation of oil on the sunlit sea
surface was largely driven by an abiotic process. Fourth, in the laboratory, the
dominant photochemical oxidation pathway of DWH oil was partial oxidation to
oxygenated residues rather than complete oxidation to CO2. Fifth, estimates of
partial photo-oxidation calculated with photochemical rate modeling overlap with
observed oxidation. We suggest that photo-oxidation of surface oil has
fundamental implications for the response approach, damage assessment, and
ecosystem restoration in the aftermath of an oil spill, and that oil fate models
for the DWH spill should be modified to accurately reflect the role of sunlight.
PMID- 29363969
TI - Total Synthesis of Pyrophen and Campyrones A-C.
AB - The first total syntheses of the natural products pyrophen and campyrones A-C,
isolated from the fungus Aspergillus niger, have been achieved in six steps
starting from commercially available N-Boc amino acids. Key steps in this
sequence include a vinylogous Claisen condensation to achieve fragment coupling
and a dioxinone thermolysis/cyclization cascade to form the alpha-pyrone ring.
The route described herein afforded the natural products in 15-25% overall yield,
furnishing sufficient material for testing in biological assays.
PMID- 29363970
TI - Choose Your Poison-Space-Use Strategy Influences Pollutant Exposure in Barents
Sea Polar Bears.
AB - Variation in space-use is common within mammal populations. In polar bears, Ursus
maritimus, some individuals follow the sea ice (offshore bears) whereas others
remain nearshore yearlong (coastal bears). We studied pollutant exposure in
relation to space-use patterns (offshore vs coastal) in adult female polar bears
from the Barents Sea equipped with satellite collars (2000-2014, n = 152). First,
we examined the differences in home range (HR) size and position, body condition,
and diet proxies (nitrogen and carbon stable isotopes, n = 116) between offshore
and coastal space-use. Second, we investigated how HR, space-use, body condition,
and diet were related to plasma concentrations of polychlorinated biphenyls
(PCBs), organochlorine pesticides (OCPs) ( n = 113), perfluoroalkyl substances
(PFASs; n = 92), and hydroxylated-PCBs ( n = 109). Offshore females were in
better condition and had a more specialized diet than did coastal females. PCBs,
OCPs, and hydroxylated-PCB concentrations were not related to space-use strategy,
yet PCB concentrations increased with increasing latitude, and hydroxylated-PCB
concentrations were positively related to HR size. PFAS concentrations were 30
35% higher in offshore bears compared to coastal bears and also increased
eastward. On the basis of the results we conclude that space-use of Barents Sea
female polar bears influences their pollutant exposure, in particular plasma
concentrations of PFAS.
PMID- 29363971
TI - Design and Syntheses of Highly Potent Teixobactin Analogues against
Staphylococcus aureus, Methicillin-Resistant Staphylococcus aureus (MRSA), and
Vancomycin-Resistant Enterococci (VRE) in Vitro and in Vivo.
AB - The cyclic depsipeptide, teixobactin, kills a number of Gram-positive bacteria,
including methicillin-resistant Staphylococcus aureus (MRSA), and Mycobacterium
tuberculosis without detectable resistance. To date, teixobactin is the only
molecule in its class that has shown in vivo antibacterial efficacy. In this
work, we designed and synthesized 10 new in vivo ready teixobactin analogues.
These analogues showed highly potent antibacterial activities against
Staphylococcus aureus, MRSA, and vancomycin-resistant enterococci (VRE) in vitro.
One analogue, d-Arg4-Leu10-teixobactin, 2, was found to be noncytotoxic in vitro
and in vivo. Moreover, topical instillation of peptide 2 in a mouse model of S.
aureus keratitis decreased the bacterial bioburden (>99.0% reduction) and corneal
edema significantly as compared to untreated mouse corneas. Collectively, our
results have established the high therapeutic potential of a teixobactin analogue
in attenuating bacterial infections and associated severities in vivo.
PMID- 29363972
TI - Dynamic Fingering in Adhered Lipid Membranes.
AB - Artificial lipid membranes incorporating proteins have frequently been used as
models for the dynamic organization of biological structures in living cells as
well as in the development of biology-inspired technologies. We report here on
the experimental demonstration and characterization of a pattern-forming process
that occurs in a lipid bilayer membrane adhered via biotin-avidin binding to a
second lipid membrane that is supported by a solid substrate. Adhesion regions
are roughly circular with a diameter of about 25 MUm. Using confocal fluorescence
microscopy, we record time series of dynamic fingering patterns that grow in the
upper lipid membrane and intermembrane biotin-avidin bonds. The fingers are
micrometer-scale elongated pores that grow from the edge of an already-stabilized
hole. Finger growth is saltatory on the scale of tens of seconds. We find that as
the fingers grow and the density of adhesion proteins increases, the rate of
finger growth decreases exponentially and the width of newly formed fingers
decreases linearly. We show that these findings are consistent with a
thermodynamic description of dynamic pore formation and stabilization.
PMID- 29363973
TI - Synthesis of 4-Difluoromethylquinolines by NHC-Catalyzed Umpolung of Imines.
AB - The N-heterocyclic carbene (NHC)-catalyzed umpolung of aldimines for the
synthesis of 4-difluoromethylquinoline derivatives is reported. In the presence
of NHCs, the intramolecular cyclization of aldimines bearing a moderately
electron-poor double bond due to the presence of the -CF3 group likely proceeds
via the intermediacy of the aza-Breslow intermediate. The key to the success of
this aza-Stetter type transformation is the NHC generated from the bicyclic
triazolium salt using DBU as the base.
PMID- 29363974
TI - Construction of C(sp2)-X (X = Br, Cl) Bonds through a Copper-Catalyzed Atom
Transfer Radical Process: Application for the 1,4-Difunctionalization of
Isoquinolinium Salts.
AB - A highly efficient Cu-catalyzed 1,4-difunctionalization of isoquinolinium salts
was developed with ether and X- (X = Br, Cl) as the halogen source under mild
conditions. This transformation involves the combination of oxidative coupling
and copper-catalyzed halogen atom-transfer radical processes. This method not
only provides an efficient way to prepare various substituted azaarenes but also
achieves the selective construction of C(sp2)-X (X = Br, Cl) bonds from a halogen
anion and nucleophilic carbon atom via a free-radical process.
PMID- 29363975
TI - Pulmonary Delivery of Ceftazidime for the Treatment of Melioidosis in a Murine
Model.
AB - Burkholderia pseudomallei, the etiological agent responsible for melioidosis,
exhibits a great public health toll in its endemic regions. The elevation of B.
pseudomallei to a Tier I select agent underscores the urgent need for effective
therapeutics and preventatives. The current treatment regimen for melioidosis is
suboptimal, requiring an intensive phase of intravenous antibiotic followed by
months of oral antibiotics. Inhaled antibiotics are a promising avenue to pursue
for pulmonary diseases, including melioidosis, since this mode of delivery mimics
the likely exposure route and can provide high drug doses directly to the
infected tissue. Ceftazidime was delivered via a nose-only system to BALB/c mice
challenged with B. pseudomallei. Mice treated with nebulized ceftazidime became
symptomatic but survived until study end, which was comparable to those treated
intraperitoneally. Upon necropsy, bacteria remained within the spleens of the
majority of the experimental animals. The effectiveness of nebulized ceftazidime
warrants additional studies to improve the treatment regimen and to test as a
prophylactic therapy against B. pseudomallei.
PMID- 29363976
TI - Correction to Synthetic Study on Pactamycin: Stereoselective Synthesis of the
Cyclopentane Core Framework.
PMID- 29363977
TI - Enantioselective Organocatalytic 1,6-Addition of Azlactones to para-Quinone
Methides: An Access to alpha,alpha-Disubstituted and beta,beta-Diaryl-alpha-amino
acid Esters.
AB - This work describes the first enantioselective 1,6-additions of azlactones to
para-quinone methides. In the presence of a chiral phosphoric acid, 1,6-adducts
were obtained in high yields (up to 96%) with excellent diastereoselectivities
and enantioselectivities (all >20:1 diastereoselectivity ratio (dr), up to 99%
enantiomeric excess (ee)). Importantly, the method offers a facile synthetic
approach, not only to enantiopure alpha,alpha-disubstituted alpha-amino acid
esters, but also to unnatural enantioenriched beta,beta-diaryl-alpha-amino acid
esters bearing adjacent tertiary and quaternary stereogenic centers.
PMID- 29363978
TI - UGT74AN1, a Permissive Glycosyltransferase from Asclepias curassavica for the
Regiospecific Steroid 3-O-Glycosylation.
AB - A permissive steroid glycosyltransferase (UGT74AN1) from Asclepias curassavica
exhibited robust capabilities for the regiospecific C3 glycosylation of
cardiotonic steroids and C21 steroid precursors, and unprecedented promiscuity
toward 53 structurally diverse natural and unnatural compounds to form O-, N-,
and S-glycosides, along with the catalytic reversibility for a one-pot
transglycosylation reaction. These findings highlight UGT74AN1 as the first
regiospecific catalyst for cardiotonic steroid C3 glycosylation and exhibit
significant potential for glycosylation of diverse bioactive molecules in drug
discovery.
PMID- 29363980
TI - Chemoselective Synthesis of Structurally Diverse 3,4-Dihydroquinazoline-2(1H)
thiones and 4H-Benzo[d][1,3]thiazines.
AB - An efficient, mild, and substrate/catalyst-controlled chemoselective reaction of
o-isothiocyanato-(E)-cinnamaldehyde with amines has been established, producing
three types of six-membered heterocycles: 2-(4H-benzo[d][1,3]thiazin-4
yl)acetaldehydes, 2-(2-thioxo-1,2,3,4-tetrahydroquinazolin-4-yl)acetaldehydes,
and (E)-4-(2-methoxyvinyl)-4H-benzo[d][1,3]thiazines. The reaction scopes were
quite broad and excellent yield was achieved. This method is extremely efficient
and practical and can be conducted on a gram-scale with slightly inferior
reactivity under catalyst-free conditions at low cost, making it an ideal
alternative to existing methods.
PMID- 29363979
TI - Dynamic Control of Particle Deposition in Evaporating Droplets by an External
Point Source of Vapor.
AB - The deposition of particles on a surface by an evaporating sessile droplet is
important for phenomena as diverse as printing, thin-film deposition, and self
assembly. The shape of the final deposit depends on the flows within the droplet
during evaporation. These flows are typically determined at the onset of the
process by the intrinsic physical, chemical, and geometrical properties of the
droplet and its environment. Here, we demonstrate deterministic emergence and
real-time control of Marangoni flows within the evaporating droplet by an
external point source of vapor. By varying the source location, we can modulate
these flows in space and time to pattern colloids on surfaces in a controllable
manner.
PMID- 29363981
TI - Bioinspired Synthesis of Juglorubin from Juglomycin C.
AB - In this paper, the synthesis of juglorubin, a natural red dye, from juglomycin C,
a plausible biogenetic precursor, is reported. Sequential intermolecular and
intramolecular Michael additions of juglomycin C, oxidation, and skeletal
transformation proceeded in phosphate buffer to afford an undehydrated derivative
of juglorubin. Subsequent dehydration of the secondary alcohol afforded
juglorubin. The one-pot synthesis of juglorubin from juglomycin C was also
achieved. The photophysical properties of synthetic juglorubin and its
derivatives were evaluated.
PMID- 29363982
TI - Exciton Localization on Ru-Based Photosensitizers Induced by Binding to Lipid
Membranes.
AB - The characterization of electronic properties of metal complexes embedded in
membrane environments is of paramount importance to develop efficient
photosensitizers in optogenetic applications. Molecular dynamics and QM/MM
simulations together with quantitative wave function analysis reveal a
directional electronic redistribution of the exciton formed upon excitation of
[Ru(bpy)2(bpy-C17)]2+ when going from water to a lipid bilayer, despite the fact
that the media influence neither the metal-to-ligand charge-transfer character
nor the excitation energy of the absorption spectra. When the photosensitizer is
embedded into the DOPC lipid membrane, exciton population is mainly located in
the bypyridyl sites proximal to the positively charged surface of the bilayer due
to electrostatic interactions. This behavior shows that the electronic structure
of metal complexes can be controlled through the binding to external species,
underscoring the crucial role of the environment in directing the electronic flow
upon excitation and thus helping rational tuning of optogenetic agents.
PMID- 29363983
TI - Redox-Neutral alpha-C-H Functionalization of Pyrrolidin-3-ol.
AB - A redox-neutral alpha-C-H oxygenation of commercially available pyrrolidin-3-ol
with a monoprotected p-quinone generated an N-aryliminium ion intermediate, which
reacted in situ with boronic acid nucleophiles to produce a series of cis-2
substituted pyrrolidin-3-ols. With this strategy, 8-epi-(-)-lentiginosine was
synthesized from (3R,4R)-pyrrolidine-3,4-diol in three steps.
PMID- 29363984
TI - Iridium-Catalyzed Highly Enantioselective Transfer Hydrogenation of Aryl N
Heteroaryl Ketones with N-Oxide as a Removable ortho-Substituent.
AB - A highly enantioselective transfer hydrogenation of non-ortho-substituted aryl N
heteroaryl ketones, using readily available chiral diamine-derived iridium
complex (S,S)-1f as a catalyst and sodium formate as a hydrogen source in a
mixture of H2O/i-PrOH (v/v = 1:1) under ambient conditions, is described. The
chiral aryl N-heteroaryl methanols were obtained with up to 98.2% ee by
introducing an N-oxide as a removable ortho-substituent. In contrast, no more
than 15.1% ee was observed in the absence of an N-oxide moiety. Furthermore, the
practical utility of this protocol was also demonstrated by gram-scale asymmetric
synthesis of bepotastine besilate in 51% total yield and 99.9% ee.
PMID- 29363985
TI - Pulmonary hypertension and exercise training: a synopsis on the more recent
evidences.
AB - The benefits of exercise training in virtually all humans, including those with a
clinically stable chronic disease are numerous. The potential value lies in the
fact that functional capacity is oftentimes significantly compromised. Exercise
training not only play a role in reversing some of the pathophysiologic processes
associated with chronic diseases but also improves clinical trajectory. Given the
significant pathologic consequences associated with pulmonary hypertension and
its implications for deteriorating right ventricular function as well as the
perceived potential for a precipitous and possibly critical drop in cardiac
output during periods of physical exertion, exercise training was historically
not recommended for these patients. More recently, a promising body of literature
demonstrating the safety and efficacy of exercise training (with benefit on
exercise capacity, peak oxygen consumption and quality of life) in pulmonary
hypertension patients has emerged, but the conclusion about the effects of
exercise training were non-exhaustive and therefore there is still a lack of
knowledge regarding exercise training for these patients. Thus, we aim to
ascertain the current effectiveness of exercise rehabilitation for pulmonary
hypertension by performing a brief overview on the latest currently available
evidences in such an "at a glance" synopsis addressed to summarize/quantify the
more recent existing body of literature. KEY MESSAGES Exercise training was
historically not recommended in pulmonary hypertension. Recently, exercise
training safety-efficacy in pulmonary hypertension has emerged. Exercise training
should be recommended in addition to optimal medical therapy.
PMID- 29363986
TI - Prevalence of Parent-Reported ADHD Diagnosis and Associated Treatment Among U.S.
Children and Adolescents, 2016.
AB - The purpose of this study is to estimate the national prevalence of parent
reported attention deficit/hyperactivity disorder (ADHD) diagnosis and treatment
among U.S. children 2-17 years of age using the 2016 National Survey of
Children's Health (NSCH). The NSCH is a nationally representative, cross
sectional survey of parents regarding their children's health that underwent a
redesign before the 2016 data collection. It included indicators of lifetime
receipt of an ADHD diagnosis by a health care provider, whether the child
currently had ADHD, and receipt of medication and behavioral treatment for ADHD.
Weighted prevalence estimates were calculated overall and by demographic and
clinical subgroups (n = 45,736). In 2016, an estimated 6.1 million U.S. children
2-17 years of age (9.4%) had ever received an ADHD diagnosis. Of these, 5.4
million currently had ADHD, which was 89.4% of children ever diagnosed with ADHD
and 8.4% of all U.S. children 2-17 years of age. Of children with current ADHD,
almost two thirds (62.0%) were taking medication and slightly less than half
(46.7%) had received behavioral treatment for ADHD in the past year; nearly one
fourth (23.0%) had received neither treatment. Similar to estimates from previous
surveys, there is a large population of U.S. children and adolescents who have
been diagnosed with ADHD by a health care provider. Many, but not all, of these
children received treatment that appears to be consistent with professional
guidelines, though the survey questions are limited in detail about specific
treatment types received. The redesigned NSCH can be used to annually monitor
diagnosis and treatment patterns for this highly prevalent and high-impact
neurodevelopmental disorder.
PMID- 29363987
TI - Association of possible sleep bruxism in children with different chronotype
profiles and sleep characteristics.
AB - Sleep bruxism (SB) in children has been associated with several sleep
characteristics, which may alter their sleep pattern. This change affects the
internal biological clock and consequently the chronotype profile. The aim of
this study was to evaluate the existence of an association between possible SB in
children with specific chronotype profiles and sleep characteristics. The study
included 207 parents/guardians of children aged between 3 and 12 years who were
waiting for their children's dental treatment at the Pediatric Dentistry Clinic
of the Federal University of Rio de Janeiro, Brazil. A questionnaire on the socio
demographic characteristics of parents and children as well as on the features of
the children's sleep was applied. In addition, the CIRENS scale (Circadian Energy
Scale) was completed by the parents to identify the children's chronotype. A chi
squared test was used to determine the association between possible SB, the
chronotype, and sleep characteristics. A multiple logistic regression model was
implemented to observe the influence of chronotype, age, and other independent
variables on the possible SB. The logistic regression model demonstrated that
nocturnal agitation (p = 0.009; OR = 3.42) and nightmares (p = 0.045; OR = 3.24)
were associated with possible SB in children. Although no significant association
(p = 0 .089) between the chronotype profile and possible SB was observed in the 3
to 5 years age group, a proportional difference was observed between the
chronotype categories in this age group-12.5% of children with SB had a morning
type, while 26.4% had an intermediate type and 47.8% an evening type compared to
those without possible SB. Nocturnal agitation and nightmares were associated
with possible SB. In addition, young children with an evening chronotype had a
tendency toward possible SB.
PMID- 29363988
TI - Effects of 12-week brisk walking training on exercise blood pressure in elderly
patients with essential hypertension: a pilot study.
AB - BACKGROUND: Essential hypertension (EP) is characterized by blood pressure (BP)
elevations, which often lead to target organ damage and cardiovascular illness.
The following study investigates whether aerobic exercise programs with different
intensities could reduce the magnitude of BP rise. METHODS: Patients with
essential hypertension were recruited from the Baoshan Community Health Service
Center. A total of 46 patients were finally selected and randomly assigned into
two groups: control group (CON) included patients who did not participate in
exercise intervention training; treatment group (TRG) included patients who
participated in 12-week brisk walking training (60-min of brisk walking, three
times a week for a total of 12 weeks). 3-minute step tests of low and high
intensity were conducted pre- and post-intervention. To compare the effects of
exercise intervention, 23 subjects with normal blood pressure (NBP) who did not
participate in 12-week brisk walking training, were recruited. RESULTS: After 12
weeks of brisk walking, SBP of TRG during resting, low and high-intensity
exercise was significantly reduced by 8.3mmHg, 15.6mmHg, and 22.6mmHg,
respectively; while HR of TRG's during resting, low and high intensity was
significantly reduced by 3.6beats/minute, 8.7beats/minute and 11.3beats/minute,
respectively. Meanwhile, after 12 weeks of brisk walking, TRG's steps per day,
[Formula: see text]o2max, moderate physical activity time and physical activity
energy expenditure significantly increased by 6000 steps, 2.4 ml/kg/m, 40 minutes
and 113 kcal, respectively. At the same time, TRG's body fat rate and sedentary
time significantly reduced by 2% and 60 minutes per day. CONCLUSION: Brisk
walking can reduce the magnitude of BP rise during exercise of different
intensities and may be reduced the risk of acute cardiovascular incidents in
elderly patients with essential hypertension. ABBREVIATIONS: EP: Essential
hypertension; BP: blood pressure; CON: control group; TRG: treatment group; NBP:
normal blood pressure; PA: physical activity.
PMID- 29363989
TI - The effect of history of severe mental illness on mortality in colorectal cancer
cases: a register-based cohort study.
AB - BACKGROUND: While the link between mental illness and cancer survival is well
established, few studies have focused on colorectal cancer. We examined outcomes
of colorectal cancer among persons with a history of severe mental illness (SMI).
MATERIAL AND METHODS: We identified patients with their first colorectal cancer
diagnosis in 1990-2013 (n = 41,708) from the Finnish Cancer Registry, hospital
admissions due to SMI preceding cancer diagnosis (n = 2382) from the Hospital
Discharge Register and deaths from the Causes of Death statistics. Cox regression
models were used to study the impact on SMI to mortality differences. RESULTS: We
found excess colorectal cancer mortality among persons with a history of
psychosis and with substance use disorder. When controlling for age, comorbidity,
stage at presentation and treatment, excess mortality risk among men with a
history of psychosis was 1.72 (1.46-2.04) and women 1.37 (1.20-1.57). Among men
with substance use disorder, the excess risk was 1.22 (1.09-1.37). CONCLUSION:
Understanding factors contributing to excess mortality among persons with a
history of psychosis or substance use requires more detailed clinical studies and
studies of care processes among these vulnerable patient groups. Collaboration
between patients, mental health care and oncological teams is needed to improve
outcomes of care.
PMID- 29363991
TI - Recent applications of multivariate data analysis methods in the authentication
of rice and the most analyzed parameters: A review.
AB - Rice is one of the most important staple foods around the world. Authentication
of rice is one of the most addressed concerns in the present literature, which
includes recognition of its geographical origin and variety, certification of
organic rice and many other issues. Good results have been achieved by
multivariate data analysis and data mining techniques when combined with specific
parameters for ascertaining authenticity and many other useful characteristics of
rice, such as quality, yield and others. This paper brings a review of the recent
research projects on discrimination and authentication of rice using multivariate
data analysis and data mining techniques. We found that data obtained from image
processing, molecular and atomic spectroscopy, elemental fingerprinting, genetic
markers, molecular content and others are promising sources of information
regarding geographical origin, variety and other aspects of rice, being widely
used combined with multivariate data analysis techniques. Principal component
analysis and linear discriminant analysis are the preferred methods, but several
other data classification techniques such as support vector machines, artificial
neural networks and others are also frequently present in some studies and show
high performance for discrimination of rice.
PMID- 29363990
TI - Multicenter double-blind randomized controlled trial to evaluate the
effectiveness and safety of bortezomib as a treatment for refractory systemic
lupus erythematosus.
AB - OBJECTIVES: The objective of this study is to evaluate the efficacy and safety of
bortezomib for treating systemic lupus erythematosus (SLE), in patients whose
disease activity could not be controlled. METHODS: Fourteen SLE patients with
persistent disease activity were selected, who required prednisolone doses of >10
mg/d despite concomitant immunosuppressive therapy. Patients were randomly
administered either bortezomib or a placebo, eight times. The primary and
secondary end-points were a change in anti-dsDNA antibody titer at week 24 and
the SLE Responder Index (SRI), respectively. RESULTS: In the bortezomib group,
four out of eight patients discontinued the trial; three others failed to
complete the minimum protocol treatment due to adverse reactions. The changes in
anti-dsDNA antibody titers at week 24 were 4.24% and -1.96%, for the bortezomib
and placebo groups, respectively, disconfirming bortezomib's efficacy. In
contrast, the corresponding SRI at week 12 was 75% and 40%. CONCLUSIONS: As
bortezomib therapy for SLE is associated with many adverse reactions, treatment
indications should be selected carefully, and protocols should aim to prevent
these occurrences. Although the change in anti-dsDNA antibody titer did not
support the efficacy of bortezomib as a treatment for SLE, high SRI in the
treatment group suggests bortezomib may utilize mechanisms other than inhibition
of anti-dsDNA antibody production.
PMID- 29363992
TI - New Approach to Cryopreservation of Primary Noncultivated Human Umbilical Vein
Endothelium in Biobanking.
AB - It is widely accepted that endothelial dysfunction (ED) is a common feature and a
risk factor for cardiovascular diseases and metabolic disorders. Cultures of
human umbilical vein endothelial cells (HUVECs) are routinely used in cell-based
models to study in vitro molecular and cellular mechanisms of development of
different aspects of ED. The methods of the HUVEC extraction and expansion are
well developed and standardized. However, when large collections of samples are
needed for certain projects, or when samples from a rare population of patients
should be collected for future experimental use, HUVEC samples should be
transferred to a biobank to be saved in liquid nitrogen for a long period of time
until the required collection is completed. This scenario is not always
convenient since it requires a lot of effort, a large quantity of expensive
culture reagents with limited expiration periods, and sometimes special
facilities and well-trained cell biologists among the biobank staff. In this
project, we evaluated a method of HUVEC cryopreservation, where the stage of cell
culturing and expansion before the transfer of samples to the biobank is
eliminated. A total of 55 samples of umbilical cord (UC) were obtained from women
immediately after delivery. A primary endothelium pellet derived from 17 UC
samples was isolated, frozen, and placed in long-term storage in a liquid
nitrogen freezer. Other samples were used to obtain HUVEC cultures. We have
demonstrated that cryopreservation of primary endothelium pellets from UC veins
without culturing and expansion steps does not affect the physiological features
of HUVECs. This new approach would improve the efficiency of biobanking
logistics, especially in the case of banking of large collections of endothelial
samples.
PMID- 29363994
TI - Improving combination cancer therapy: the CombiPlex(r) development platform.
AB - Current combination therapy approaches assume that better outcomes are achieved
by combining drugs at their maximally tolerated doses. However, administration of
individual agents cannot consistently deliver synergistic drug ratios to tumor
cells due to differences in pharmacokinetics of the individual drugs. Further,
the toxicity of combination regimens often necessitates administration of
suboptimal dosages. Delivery technologies, such as the CombiPlex(r) platform, can
enable efficient and sustained delivery of combination treatments at a
synergistic ratio. The CombiPlex platform determines synergistic drug ratios in
vitro and identifies an appropriate nanoscale carrier to maintain that ratio in
vivo and enhance its delivery to tumor cells. CPX-351, a dual-drug liposomal
encapsulation of cytarabine and daunorubicin, is the first clinical proof-of
concept example of the CombiPlex platform.
PMID- 29363993
TI - The Contribution of Social Media to Body Dissatisfaction, Eating Disorder
Symptoms, and Anabolic Steroid Use Among Sexual Minority Men.
AB - Social media has been associated with body dissatisfaction and eating disorder
symptoms among young women and adolescent girls. However, despite notable
evidence of susceptibility to body image pressures, it remains unknown whether
these associations generalize to sexual minority men. A nationwide sample of
2,733 sexual minority men completed an online survey advertised to Australian and
New Zealand users of a popular dating app. Participants answered questions about
how frequently they used 11 different social media platforms in addition to
questions about their dating app use, body image, eating disorder symptoms, and
anabolic steroids. Facebook, Youtube, Instagram, and Snapchat were the most
frequently used social media platforms. A pattern of small-sized and positive
associations emerged between social media use and body dissatisfaction, eating
disorder symptoms, and thoughts about using anabolic steroids. Facebook,
Instagram, and Snapchat evidenced the strongest associations. The associations of
social media use with both muscularity dissatisfaction and eating disorder
symptoms were stronger for image-centric social media platforms (e.g., Instagram)
than nonimage-centric platforms (e.g., Wordpress); no differences were observed
for body fat dissatisfaction, height dissatisfaction, or thoughts about using
anabolic steroids. Previously documented associations of social media use with
body dissatisfaction and related variables among women and girls appear to
generalize to sexual minority men. Social media platforms that more centrally
involve imagery may be of greater concern than nonimage-centric platforms.
Additional research with sexual minority men is needed to elucidate the
distinctions between adaptive and maladaptive social media use in the context of
body dissatisfaction, eating disorders, and anabolic steroid use.
PMID- 29363995
TI - Heart failure with improved ejection fraction: Is a newcomer in the family
important?
PMID- 29363996
TI - Polymorphisms of F2, PROC, PROZ, and F13A1 Genes are Associated With Recurrent
Spontaneous Abortion in Chinese Han Women.
AB - Mutations of hemostasis/coagulation-related genes have been speculated to cause
recurrent spontaneous abortion (RSA). This study investigated the genetic
association between the polymorphisms of factor V (F5), factor II (F2),
antithrombin (SERPINC1), protein C (PROC), protein S (PROS1), protein Z (PROZ),
factor XIII (F13A1), and carboxypeptidase B2 (CPB2) genes and RSA. The 426
patients with RSA and 444 controls were recruited in this study, and single
nucleotide polymorphisms (SNPs) were analyzed by using SNPscan technology.
Genotype and allele frequencies of rs3136520 in F2, rs3024731 in PROZ, and
rs1050782 in F13A1 showed statistically significant differences between the 2
groups. TT genotype of rs3136520 ( P = .031, odds ratio [OR] = 0.986, 95%
confidence interval [CI] = 0.976-0.997) and AA genotype of rs2069906 in PROC ( P
= .021, OR = 0.114, 95% CI = 0.014-0.902) in their recessive models and AG + GG
variants of rs1050782 ( P = .007, OR = 0.681, 95% CI = 0.516-0.899) in the
dominant model might be associated with the reduced risk of RSA. AT + TT variants
of rs3024731 ( P = .010, OR = 1.479, 95% CI = 1.098-1.994) may increase disease
susceptibility in dominant model. Haplotype analysis of rs3024731 and rs3024735
in PROZ displayed that the AA and TG haplotype were inclined to decrease and
increase the risk of RSA, respectively. These results suggested that rs3136520,
rs2069906, rs3024731, and rs1050782 may have a significant association with the
genetic susceptibility of RSA in Chinese Han women.
PMID- 29363997
TI - Utilizing Fibrin-Alginate and Matrigel-Alginate for Mouse Follicle Development in
Three-Dimensional Culture Systems.
AB - In vitro culture of ovarian follicles is a new technique in reproductive
technology, which helps in understanding the process of folliculogenesis. The in
vitro culture of follicles could be carried out using three-dimensional (3D)
natural scaffolds that mimic the ovarian tissue stroma. Selection of the right
matrix and culture media in these scaffolds could increase the survival and
maturation of the follicles. In this work, the applicability of matrigel-alginate
(MA) and fibrin-alginate (FA) 3D scaffolds for folliculogenesis was assessed. The
ovaries of 13-day-old Naval Medical Research Institute (NMRI) mice were isolated
and distributed into control and vitrification groups. Preantral follicles (mean
diameter: 120-140 MUm) were mechanically isolated from control and vitrified
warmed ovaries, encapsulated in MA or FA scaffold and cultured for 12 days.
Follicle survival, growth, maturation, and quantitative expression of oocyte
maturation genes (Gdf9, Bmp15, Fgf8, KitL, Kit, and Amh) and proteins (GDF9 and
BMP15) were assessed. Survival rate of culture preantral follicles in control
groups was found to be significantly higher than vitrified follicles. Antrum
formation was similar in all groups. Follicle diameters were significantly
increased in all groups during culture period. A decreasing pattern of gene
expression was seen for all genes in all groups. This trend was verified through
evaluation of protein expression, during which there was strong staining in
antral follicles from all groups in the last day of in vitro culture. The better
survival and maturation rate of follicles in the MA compared to FA scaffold
indicates that the MA matrix, being rich in extracellular matrix components,
could mimic the ovarian condition better and presents a good environment for
follicle development.
PMID- 29363998
TI - Comparative Evaluation of Biological Performance, Biosecurity, and Availability
of Cellulose-Based Absorbable Hemostats.
AB - Hemorrhage remains a leading cause of death after trauma, and developing a
hemostat with excellent performance and good biosecurity is an extremely active
area of research and commercial product development. Although oxidized
regenerated cellulose (ORC) has been developed to address these problems, it is
not always efficient and its biosecurity is not perfect. We aimed to refine ORC
via a simple and mild neutralization method. The prepared neutralized oxidized
regenerated cellulose (NORC) showed a superior gel property due to its chemical
structure. The biological performance of both ORC and NORC was systematically
evaluated; the results showed that ORC would induce erythema and edema in the
irritation test, whereas NORC did not cause any adverse inflammation, indicating
NORC had desirable biocompatibility. We further demonstrated that NORC confirmed
to the toxicity requirements of International Organization for Standardization
(ISO) standards; however, ORC showed an unacceptable cytotoxicity. The rabbit
hepatic defect model stated that NORC exhibited better ability of hemostasis,
which was attributed to its significant gel performance in physiological
environment.
PMID- 29364000
TI - ISBER Best Practice-Based Education: ISBER-Canadian Tissue Repository Network
Introduction to Biobanking.
PMID- 29363999
TI - All-Cause, Stroke/Systemic Embolism-, and Major Bleeding-Related Health-Care
Costs Among Elderly Patients With Nonvalvular Atrial Fibrillation Treated With
Oral Anticoagulants.
AB - In this study, all-cause, stroke/systemic embolism (SE)-related, and major
bleeding (MB)-related health-care costs among elderly patients with nonvalvular
atrial fibrillation (NVAF) initiating treatment with different oral
anticoagulants (OACs) were compared. Patients >=65 years of age initiating OACs,
including apixaban, rivaroxaban, dabigatran, and warfarin, were identified from
the Humana Research Database between January 1, 2013, and September 30, 2015.
Propensity score matching was used to separately match the different OAC cohorts
with the apixaban cohort. All-cause health-care costs and stroke/SE-related and
MB-related medical costs per patient per month (PPPM) were compared using
generalized linear or 2-part regression models. Compared to apixaban, rivaroxaban
was associated with significantly higher all-cause health-care costs (US$2234 vs
US$1846 PPPM, P < .001) and MB-related medical costs (US$106 vs US$47 PPPM, P <
.001), dabigatran was associated with significantly higher all-cause health-care
costs (US$1980 vs US$1801 PPPM, P = .007), and warfarin was associated with
significantly higher all-cause health-care costs (US$2386 vs US$1929 PPPM, P <
.001), stroke/SE-related medical costs (US$42 vs US$18 PPPM, P < .001), and MB
related medical costs (US$132 vs US$51 PPPM, P < .001). Among elderly patients
with NVAF, other OACs were associated with higher all-cause health-care costs
than apixaban.
PMID- 29364001
TI - Perceived personality change after traumatic brain injury II: comparing
participant and informant perspectives.
AB - OBJECTIVE: The objective of this paper was to correlate informant personality
change (PC) judgements following moderate-severe traumatic brain injury with
quantitative neurobehavioural measures and to contrast the neurobehavioural
correlates of informant and participant judgements of PC. PARTICIPANTS: Informant
participant pairs were recruited from a medico-legal clinic passing effort tests
(N = 31) and a National Health Service clinic (N = 40). MEASURES: Participants
were assessed on Wechsler tests of general ability, tests of executive
functioning (Zoo Map and Fluency) and emotional distress (Beck Depression
Inventory-FastScreen, Hospital Anxiety and Depression Scale and State-Trait Anger
Expression Inventory-II). Informants' expressed emotion towards participants was
assessed with the family questionnaire. Both completed the DEX, the Frontal and
Social Behavior Questionnaire and PC ratings. RESULTS: The correlates of
participant and informant ratings of participant PC partially overlapped. For
example, participant self-reported PC was associated with self-reported
dysexecutive symptoms and emotional distress. In contrast, informant report of
participant PC was associated with lower perceived emotional recognition and
empathy, informant report of dysexecutive symptoms and high informant expressed
emotion. CONCLUSIONS: It is argued that whilst researchers aim to exhaustively
quantify specific neurobehavioural changes and their clusters, partially
overlapping subsets of these changes evoke the PC judgements of participants and
informants. The clinical implications of this are briefly considered.
PMID- 29364002
TI - Cross-sectional multicentre study on the cohort of all the French junior
lecturers in general practice.
AB - BACKGROUND: General practice became an academic discipline quite recently in many
western countries. In France, junior lecturer work is specified in a three-part
mandate: medical work in general practice, teaching in the university, and
research. Since 2007, 130 junior lecturers have been appointed in general
practice. The aim of the creation of junior lecturer status was to align general
practice with other specialties and to develop research and education in primary
care. OBJECTIVES: To describe the healthcare, teaching and research undertaken by
junior lecturers in general practice, practising in October 2014. METHODS: A
cross-sectional multicentre study using an online self-administered questionnaire
on the cohort composed of all the junior lecturers in general practice with open
questions and the qualitative analysis of written verbatim accounts. RESULTS: Of
the 95 junior lecturers practising at the date of the study, 75 (79%) responded;
average age 32 years; gender ratio (F/M) 2.4:1. They spent five, two and three
half-days per week respectively in healthcare, teaching and research. The
healthcare activity was predominantly carried out in the community (73%). Thirty
nine per cent worked as part of a multi-professional team taking on 50
consultations per week. Most of the educational work involved lecturing and
mentoring students specializing in general practice (median 86 hours per year).
Research output increased during the fellowship. Research topics were varied and
relevant to the disciplinary field. CONCLUSION: During the fellowship, the
balancing, and even the reinforcement, of healthcare and research contributions
were accompanied by a significant investment in educational provision.
PMID- 29364003
TI - Endocardial Changes in Nonvalvular Atrial Fibrillation Without Atrial Thrombus
Thrombomodulin and Tissue Factor Pathway Inhibitor.
AB - Atrial fibrillation (AF) is a well-known cause for thromboembolism. Although
blood stasis in the left atrium and hypercoagulable state of the blood have been
emphasized as important mechanisms, limited attention has been paid to the
endocardial changes in maintaining the balance of local coagulation, which may
also contribute to the thrombus formation in AF. In the present study, left
atrial appendage samples were obtained at heart surgery from nonvalvular AF and
non-AF patients without atrial thrombus. Immunohistochemistry for endocardial
markers including thrombomodulin (TM) and tissue factor pathway inhibitor (TFPI)
was performed and semiquantitatively graded. In immunohistochemistry analysis,
decreased expression of TM was found in patients with nonvalvular AF compared
with those without AF ( P < .001). There was no difference in TFPI expression
between the 2 groups ( P = .213). Patients with TM score of 0 or 1 seemed to have
larger left atrial diameter (LAD) than those with TM score of 2 or 3 (44.0 (7.9)
vs 40.6 (3.9); P = .009), while no relationship between LAD and TFPI expression
was found (43.4 (7.0) vs 42.9 (7.8); P = .485). In conclusion, TM expression in
the atrial endocardium is decreased in nonvalvular AF without atrial thrombus,
while TFPI expression is not. Downregulated TM expression might be associated
with enlarged LAD.
PMID- 29364005
TI - Purulent myositis of the thigh as a presentation of perforated low rectal cancer.
AB - Purulent myositis is an acute, intramuscular bacterial infection involving
abscess formation most commonly affecting the quadriceps, hamstring and gluteal
muscles. We present a case of extensive purulent myositis of the thigh and lower
leg caused by bowel perforation below the peritoneal reflection secondary to
rectal cancer. Cases of lower limb and perineal purulent myositis should raise
suspicion of rectal perforation and should prompt investigations to exclude
rectal malignancy.
PMID- 29364004
TI - Motorcyclists and pillion passengers with open lower-limb fractures: a study
using TARN data 2007-2014.
AB - Introduction We aimed to identify population demographics of motorcyclists and
pillion passengers with isolated open lower-limb fractures, to ascertain the
impact of the revised 2009 British Orthopaedic Association/British Association of
Plastic Reconstructive and Aesthetic Surgeons joint standards for the management
of open fractures of the lower limb (BOAST 4), in terms of time to skeletal
stabilisation and soft-tissue coverage, and to observe any impact on patient
movement. Methods Retrospective cohort data was collected by the Trauma Audit and
Research Network (TARN). A longitudinal analysis was performed between two
timeframes in England (pre-and post-BOAST 4 revision): 2007-2009 and 2010-2014.
Results A total of 1564 motorcyclists and 64 pillion passengers were identified.
Of these, 93% (1521/1628) were male. The median age for males was 30.5 years and
36.7 years for females. There was a statistically significant difference in the
number of patients who underwent skeletal stabilisation (49% vs 65%, P < 0.0001),
the time from injury to skeletal stabilisation (7.33 hours vs 14.3 hours, P <
0.0001) and the proportion receiving soft-tissue coverage (26% vs 43%, P <
0.0001). There was no difference in the time from injury to soft-tissue coverage
(62.3 hours vs 63.7 hours, P = 0.726). The number of patients taken directly to a
major trauma centre (or its equivalent) increased between the two timeframes
(12.5% vs, 41%, P < 0.001). Conclusions Since the 2009 BOAST 4 revision, there
has been no difference in the time taken from injury to soft-tissue coverage but
the time from injury to skeletal stabilisation is longer. There has also been an
increase in patient movement to centres offering joint orthopaedic and plastic
care.
PMID- 29364006
TI - Association of pretreatment neutrophil-lymphocyte ratio and outcome in emergency
colorectal cancer care.
AB - Introduction The association between the neutrophil-lymphocyte ratio (NLR) and
outcome in elective colorectal cancer surgery is well established; the
relationship between NLR and the emergency colorectal cancer patient is, as yet,
unexplored. This paper evaluates the predictive quality of the NLR for outcome in
the emergency colorectal cancer patient. Materials and Methods A total of 187
consecutive patients who underwent emergency surgery for colorectal cancer were
included in the study. NLR was calculated from the haematological tests done on
admission. Receiver operating characteristic analyses were used to determine the
most suitable cut-off for NLR. Outcomes were assessed by mortality at 30 and 90
days using stepwise Cox proportional hazards regression. Results An NLR cut-off
of 5 was found to have the highest sensitivity and specificity. At 30 days, age
and time from admission to surgery were associated with increased mortality; a
high NLR was associated with an increased risk of mortality in univariate but not
multivariate analysis. At 90 days, age, NLR, time from admission to surgery and
nodal status were all significantly associated with increased mortality on
multivariate analysis. Conclusions Pre-operative NLR is a cheap, easily performed
and useful clinical tool to aid prediction of outcome in the emergency colorectal
cancer patient.
PMID- 29364007
TI - Complication rates of external ventricular drain insertion by surgeons of
different experience.
AB - Introduction Insertion of external ventricular drain (EVD) is a widely accepted,
routinely performed procedure for treatment of hydrocephalus and raised
intracranial pressure. The purpose of this study was to investigate whether a
surgeon's experience affects the associated complication rate. Methods This
retrospective study included all adult patients undergoing EVD insertion at a
single centre between July 2013 and June 2015. Medical records were retrieved to
obtain details on patient demographics, surgical indication, risk factors for
infection and use of anticoagulants or antiplatelets. Surgeon experience,
operative time, intraoperative antibiotic prophylaxis, need for revision surgery
and EVD associated infection were examined. Information on catheter tip position
and radiological evidence of intracranial haemorrhage was obtained from
postoperative imaging. Results A total of 89 patients were included in the study.
The overall infection, haemorrhage and revision rates were 4.8%, 7.8% and 13.0%
respectively, with no significant difference among surgeons of different
experience. The mean operating time for patients who developed an infection was
22 minutes while for those without an infection, it was 33 minutes (p=0.474).
Anticoagulation/antiplatelet use did not appear to increase the rate of
haemorrhage. The infection rate did not correlate with known risk factors (eg
diabetes and steroids), operation start time (daytime vs out of hours) or
duration of surgery although intraoperative (single dose) antibiotic prophylaxis
seemed to reduce the infection rate. There was also a correlation between longer
duration of catheterisation and increased risk of infection. Conclusions This is
the first study demonstrating there is no significant difference in complication
rates between surgeons of different experience. EVD insertion is a core
neurosurgical skill and junior trainees should be trained to perform it.
PMID- 29364008
TI - Computed tomography as a first-line investigation for elderly patients admitted
to a surgical assessment unit.
AB - Background Increases in life expectancy has meant that a higher proportion of
patients presenting to surgical assessment units are now elderly. Abdominal
computed tomography (CT) can provide early and accurate diagnosis in the elderly,
even in the presence of incomplete clinical and biological findings. The aim of
this study was to investigate the use of early CT imaging in elderly patients
presenting directly to the surgical assessment unit. Materials and methods All
consecutive patients aged 65 years and over admitted directly to the surgical
assessment unit between January 2017 and April 2017 were identified. Data were
collected on demographics, laboratory investigations, radiological investigations
and hospital admission. The primary outcome measure was overall length of stay.
Results A total of 200 consecutive patients were identified and included over a
six-month period. This comprised 110 women and 90 men with a median age of 78
years (range 64-98 years). A total of 83 patients underwent CT on admission to
the surgical assessment unit. White cell count (WCC) and C-reactive protein (CRP)
results were significantly higher in patients undergoing CT (P = 0.001). Median
length of stay for patients undergoing CT was 5 days (range 1-19 days). This was
significantly lower than those patients not receiving CT imaging, at 6 days
(range 1-105 days; P = 0.034). Discussion CT should be considered as a first-line
investigation when elderly patients with an acute abdomen are admitted to
surgical assessment units. Early CT can accelerate hospital discharge and
decrease overall length of hospital stay.
PMID- 29364009
TI - Laparoscopic aproach in post-traumatic chylous ascites.
AB - Chylous ascites is a rare condition little reported in literature, with even more
exceptional traumatic origin. Its production mechanism is not known exactly but
has been attributed to hyperflexion or hyperextension of the spine leading to a
rupture of lymph ducts. We present a case of post-traumatic chyloperitoneum in a
young patient after abdominal blunt trauma. Conservative treatment should be the
first therapeutic option, especially if the origin is traumatic, reserving more
aggressive possibilities for cases that do not respond after 15 days, if debt is
greater than 1.5 litres/day in adult patients or in those with metabolic
complications. A laparoscopic approach is indicated to confirm the diagnosis and
to rule out other associated injuries that may require other surgical procedures.
PMID- 29364010
TI - The Patient Concerns Inventory integrated as part of routine head and neck cancer
follow-up consultations: frequency, case-mix, and items initiated by the patient.
AB - Introduction The National Institute for Health and Care Excellence guidance
Improving Supportive and Palliative Care for Adults with Cancer (2004) and the
Cancer Reform Strategy (2007) support the premise that assessment and discussion
of patients' needs for physical, social, psychological, and spiritual wellbeing
should be undertaken during oncology follow-up. We report the use of the Patient
Concerns Inventory in a routine head and neck cancer clinic setting over a seven
year period, summarising the number of available clinics, the number of patients
completing the inventory within a clinic, the range of clinical characteristics
and the concerns they wanted to discuss. Methods The data were analysed from
oncology follow-up clinics between 1 August 2007 and 10 December 2014. Audit
approval was given by the Clinical Audit Department, University Hospital Aintree.
Results There were 386 patients with 1198 inventories completed at 220 clinics,
median 6 (range 4-7) per clinic. The most common concerns raised by patients
across all the clinic consultations were dry mouth (34%), fear of recurrence
(33%), sore mouth (26%), dental health (25%), chewing (22%) and fatigue/tiredness
(21%). Conclusions The incorporation of the Patient Concerns Inventory as part of
routine oncology clinics allows for a more patient initiated and focused
consultation available to the majority of patients throughout their follow-up.
The inventory allows for greater opportunity to provide holistic targeted
multiprofessional intervention and support.
PMID- 29364011
TI - Outcomes of surgery in patients aged >=90 years in the general surgical setting.
AB - Introduction An increasing proportion of the population is living into their
nineties and beyond. These high risk patients are now presenting more frequently
to both elective and emergency surgical services. There is limited research
looking at outcomes of general surgical procedures in nonagenarians and
centenarians to guide surgeons assessing these cases. Methods A retrospective
analysis was conducted of all patients aged >=90 years undergoing elective and
emergency general surgical procedures at a tertiary care facility between 2009
and 2015. Vascular, breast and endocrine procedures were excluded. Patient
demographics and characteristics were collated. Primary outcomes were 30-day and
90-day mortality rates. The impact of ASA (American Society of Anesthesiologists)
grade, operation severity and emergency presentation was assessed using
multivariate analysis. Results Overall, 161 patients (58 elective, 103 emergency)
were identified for inclusion in the study. The mean patient age was 92.8 years
(range: 90-106 years). The 90-day mortality rates were 5.2% and 19.4% for
elective and emergency procedures respectively (p=0.013). The median survival was
29 and 19 months respectively (p=0.001). Emergency and major gastrointestinal
operations were associated with a significant increase in mortality. Patients
undergoing emergency major colonic or upper gastrointestinal surgery had a 90-day
mortality rate of 53.8%. Conclusions The risk for patients aged over 90 years
having an elective procedure differs significantly in the short term from those
having emergency surgery. In selected cases, elective surgery carries an
acceptable mortality risk. Emergency surgery is associated with a significantly
increased risk of death, particularly after major gastrointestinal resections.
PMID- 29364012
TI - Ileocecal intussusception extending to left colon due to endometriosis.
AB - Bowel involvement in endometriosis is uncommon and is most frequently located in
the sigmoid colon and the rectum. We present a case in a 37-year-old woman of a
cecal endometrioid mass complicated with an ileocolic intussusception which
extended beyond the splenic colon flexure. Careful manual extraction allowed a
reduction of the intussusceptum, followed by an oncological right hemicolectomy.
The patient suffered postoperative ileus, which was spontaneously solved.
Intussusception is infrequent in the adult population and usually involves the
small bowel. The great majority of ileocolic intussusceptions have a malignant
origin (cecal adenocarcinoma). An endometriotic mass located at the cecum as the
lead point for ileocolic intussusception is an extremely rare presentation. On
reviewing the literature, we found only 13 reports with no other cases extending
beyond the splenic flexure, as occurred in our patient.
PMID- 29364013
TI - Introduction into the NHS of magnetic sphincter augmentation: an innovative
surgical therapy for reflux - results and challenges.
AB - Introduction Gastro-oesophageal reflux disease (GORD) is a common, chronic
debilitating condition. Surgical management traditionally involves
fundoplication. Magnetic sphincter augmentation (MSA) is a new definitive
treatment. We describe our experience of introducing this innovative therapy into
NHS practice and report the early clinical outcomes. Methods MSA was introduced
into NHS practice following successful acceptance of a cost-effective business
plan and close observation of National Institute for Health and Care Excellence
(NICE) recommendations for new procedures, including a carefully planned
prospective data collection over a two-year follow-up period. Results Forty-seven
patients underwent MSA over the 40-month period. Reflux health-related quality of
life (GERD-HRQL) was significantly improved after the procedure and maintained at
one- and two-year (P < 0.0001) follow-up. Drug dependency went from 100% at
baseline to 2.6% and 8.7% after one and two years. High levels of patient
satisfaction were reported. There were no adverse events. Conclusions MSA is
highly effective in the treatment of uncomplicated GORD, with durable results and
an excellent safety profile. This laparoscopic, minimally invasive procedure
provides a good alternative for patients where surgical anatomy is unaltered. Our
experience demonstrates that innovative technology can be incorporated into NHS
practice with an acceptable business plan and compliance with NICE
recommendations.
PMID- 29364014
TI - Lidocaine analgesia for removal of vacuum-assisted closure dressings.
PMID- 29364015
TI - Comparison of defecatory function after laparoscopic total colectomy and
ileorectal anastomosis versus a traditional open approach.
AB - Introduction Total colectomy and ileorectal anastomosis can result in significant
defecatory frequency and poor bowel function. The aim of this study was to assess
whether a laparoscopic approach is associated with any improvement in this
regard. Methods A single institution retrospective review was undertaken of
patients undergoing elective total colectomy and ileorectal anastomosis between
2000 and 2011. Those undergoing emergency surgery and paediatric surgery were
excluded. The primary outcome measure was satisfactory defecatory function after
surgery. Results Forty-nine patients (24 male, 25 female) were included in the
study. The median age was 48 years (range: 20-83 years). Laparoscopic total
colectomy (LTC) was performed in 20 patients and open total colectomy (OTC) in 29
patients. Indications for surgery were slow colonic transit (n=17), colorectal
cancer (CRC) (n=17), CRC with hereditary colorectal cancer syndrome (n=8),
inflammatory bowel disease (n=4) and diverticular disease (n=3). In the LTC
group, 85% had a satisfactory defecatory frequency of 1-6 motions per day
compared with 45% in the OTC cohort (p=0.006). There was no statistically
significant difference in bowel frequency related to primary pathology (benign vs
cancer surgery, p=1.0). Postoperative complications for both groups included
relaparotomy (n=3), anastomotic leak (n=2), small bowel obstruction (n=2),
postoperative bleeding (n=1) and pneumonia (n=1). Conclusions This study
indicates that long-term defecatory function is better following LTC than
following OTC and ileorectal anastomosis. The mechanism for this improvement is
unclear but it may relate to the underlying reason for surgery or possibly to
reduced small bowel handling leading to fewer adhesions after laparoscopic
surgery.
PMID- 29364016
TI - Impact of laparoscopic approach in emergency major abdominal surgery: single
centre analysis of 748 consecutive cases.
AB - BackgroundAcute abdominal pathology requiring emergency laparotomy is a common
surgical presentation. Despite its widespread implementation in other surgical
procedures, laparoscopy, rather than laparotomy, is sparingly used in major
emergency surgery. This study reports outcomes and impact of rising use of
laparoscopy for a single high-volume district general hospital.MethodsData were
retrieved from the prospective National Emergency Laparotomy Audit database for a
30-month period. Patient, procedural, and in-hospital outcome data were collated.
Temporal trends were assessed and regression analysis conducted for clinical
outcomes.ResultsA total of 748 consecutive cases were recorded. There was an
increasing use of laparoscopy over the study period, with 49% of cases attempted
laparoscopically in the final six-month interval. Patients treated
laparoscopically were at reduced risk of mortality (odds ratio 0.114, 95%
confidence interval 0.024 to 0.550) and experienced reduced length of intensive
care stay (regression coefficient -1.571, 95% confidence interval -2.625 to
0.517) in multivariate adjusted analysis.ConclusionsLaparoscopy is safe and
feasible in a large proportion of cases. It is associated with improved outcomes
versus laparotomy.
PMID- 29364017
TI - VRAM steal syndrome - a unique cause of flap necrosis in chest wall
reconstruction.
AB - The pedicled vertical rectus abdominis myocutaneous (VRAM) flap is a robust flap,
which is considered to be a 'workhorse' regional option for chest wall
reconstruction. We describe a previously unreported complication of partial flap
loss due to 'steal syndrome', whereby arterial supply was diverted away from the
flap due to dialysis from an ipsilateral arteriovenous fistula.
PMID- 29364018
TI - Renal pseudoaneurysm: a rare and potentially fatal complication following
ureteroscopy and laser fragmentation of stones.
AB - Ureteroscopy and laser fragmentation of stones is a commonly used method to treat
ureteric and renal calculi. We report the exceedingly rare finding of a renal
pseudoaneurysm in an interpolar renal artery following ureteroscopy and laser
stone fragmentation, which was successfully managed with angioembolisation.
PMID- 29364019
TI - NICE guidance on sepsis is of limited value in postoperative colorectal patients:
the scores that cry 'wolf!'
AB - Background and aims Late recognition of sepsis and consequent death remains a
problem. To address this, the National Institute for Health and Care Excellence
has published updated guidance recommending the use of the Quick Sequential Organ
Failure Assessment (Q-SOFA) score when assessing patients at risk of sepsis
following the publication of the Third International Consensus Definitions for
Sepsis and Septic Shock. The trauma from major surgery produces a systemic
inflammatory response syndrome (SIRS) postoperatively as part of its natural
history, which may falsely trigger scoring systems. We aimed to assess the
accuracy of Q-SOFA and SIRS criteria as recommended scores for early detection of
sepsis and septic complications in the first 48hrs after colorectal cancer
surgery. Methods We reviewed all elective major colorectal operations in a single
centre during a 12-month period from prospectively maintained electronic records.
Results One hundred and thirty nine patients were included in this study. In all,
29 patients developed postoperative infective complications in hospital. Nineteen
patients triggered on SIRS without developing infective complications, while 42
patients triggered on Q-SOFA with no infective complications. The area under the
ROC curve was 0.52 for Q-SOFA and 0.67 for SIRS. Discussion Q-SOFA appears to
perform little better than a coin toss at identifying postoperative sepsis after
colorectal cancer resection and is inferior to the SIRS criteria. More work is
required to assess whether a combination of scoring criteria, biochemical markers
and automated tools could increase accurate detection of postoperative infection
and trigger early intervention.
PMID- 29364020
TI - Drape crimping: a novel technique for retracting skin flaps.
PMID- 29364021
TI - A tale of three stents: aortic stenting prior to oesophagectomy after oesophageal
stents.
AB - The use of endoluminal stents to treat anastomotic leaks post oesophagogastric
resection remains controversial. While some advocate stents to expedite recovery,
others advise caution due to the risk of major morbidity and mortality. We
describe a case of anastomotic leak following total gastrectomy for
adenocarcinoma treated with a self-expanding metallic stent. Complications with
the initial stent were treated with a further stent, which compromised the
function of the oesophagus and eroded into the aorta, necessitating a colonic
reconstruction and endovascular aortic stenting.
PMID- 29364022
TI - Micromanaging the gut: unravelling the regulatory pathways that mediate the
intestinal adaptive response.
AB - Short bowel syndrome occurs following the loss of a large portion of functional
intestine and is associated with high morbidity and mortality. The intestine
exhibits pronounced diurnal rhythms in glucose absorption and mounts a profound
proliferative response following massive small bowel resection. Understanding the
molecular pathways that underpin this could yield novel treatment options. Two in
vivo models were employed using the nocturnally active Sprague Dawley(r) rat,
namely daytime feeding and massive small bowel resection. Glucose absorption
exhibited a 24-hour periodicity in the gut and peaked during maximal nutrient
delivery, mediated by rhythms in the glucose transporter sodium glucose co
transporter 1 (SGLT1). Feeding during the day shifted the peak in the circadian
clock gene PER1 and SGLT1. RNA interference and luciferase assays demonstrated
that PER1 transcriptionally regulates SGLT1, linking for the first time clock
genes and intestinal glucose absorption. Intestinal proliferation also exhibited
diurnal rhythmicity, with peak absorptive surface area occurring during maximal
nutrient availability. mir-16 is diurnally expressed in intestinal crypts,
exhibiting minimal expression during maximal nutritional availability. mir-16
overexpression increased apoptosis and arrested proliferation in vitro. mir-125a
was upregulated in intestinal crypts following 80% small bowel resection, and
induced apoptosis and growth arrest upon overexpression in vitro. This work
provides novel insights into the role of circadian clock genes, intestinal
transporters and microRNAs in regulating intestinal absorption and proliferation
and is the first demonstration of a role for microRNAs in these adaptive
phenomena. Modulation of these pathways may represent a new therapeutic option
for the management of short bowel syndrome.
PMID- 29364023
TI - Snapping Biceps Femoris: clinical demonstration and operative technique.
AB - Snapping biceps femoris syndrome has been reported in the literature in a handful
of case reports but not yet with a demonstration of clinical examination and
intraoperative findings. We present an educational clinical video of snapping
biceps femoris with intraoperative demonstration of findings and surgical repair.
PMID- 29364024
TI - Clinician modifiable factors associated with better quality of life in children
with acquired brain injury undergoing rehabilitation.
AB - OBJECTIVES: To identify clinician-modifiable factors related to quality of life
(QOL) in children with acquired brain injury (ABI). PARTICIPANTS AND METHODS:
Thirty-nine children attending an ABI rehabilitation program (5-18 years) were
assessed using the Personality Inventory for Children-2, Vineland Adaptive
Behavior Scale-2, Handicap-Related Problems for Parents Inventory and Children's
Assessment of Participation and Enjoyment. The Pediatric Quality of Life
Inventory was completed by children and parents six months later. RESULTS:
Children with lower levels of internalising and externalising behaviours, health
and social skill problems, and higher family functioning had significantly higher
levels of total QOL (child and parent rated) (r = -.47 to -.79). In addition,
children with higher levels of adaptive behaviour had significantly higher parent
rated total QOL (r = .46). Measures of mother's stressors had moderate but not
statistically significant relationships with the child's total QOL (r = -.31 to
.35). There were moderate and statistically significant relationships between
measures of participation in physical activities and total QOL as rated by
children (r = .42-.48) but not parents (r = .11-.30). CONCLUSIONS: These findings
suggest potential targets to be investigated in future clinical research in
rehabilitation following ABI in children to optimise QOL.
PMID- 29364025
TI - The Utilization of Interval Throwing Programs in the Physical Therapy Setting - A
Cross-Sectional Survey.
AB - CONTEXT: Interval throwing programs (ITP) have long been used in the physical
therapy setting to aid in the safe and efficacious return to sport for an
overhead athlete. However, the overall utilization and variation of interval
throwing programs are unknown in the physical therapy setting leading to
potential inconsistencies in treatment paradigms. OBJECTIVE: To determine if
differences in practice patterns exist among active physical therapists of
various experience levels with regards to years of experience and advanced
certifications. DESIGN: Cross-sectional survey study. PARTICIPANTS: 133 licensed
physical therapists consented to participate in an online-based survey.
Experience groups were delineated based on years of practice (0-1, 1-5, 6-15,
15+) and possession of advanced certification. MAIN OUTCOME MEASURES: For ranking
based data, a generalized linear mixed-model was repeated across criteria
response with a Bonferroni Post-Hoc adjustment for pairwise comparisons made
within and between groups (alpha < 0.05). For degree-based questions, chi-square
analysis was used to compare response frequencies for options provided within
each question. RESULTS: A 76.7% response-rate was achieved with 102 out of the
133 consenting individuals completed the survey. Significant differences (p<0.05)
were found with responses to both ranking-based and degree-based questions.
However, across all groups, physical therapists agreed that throwing mechanics
and customized ITP implementation were important for a successful return to
throwing. CONCLUSIONS: There are inherent differences in ITP prescription among
physical therapists with dissimilar experience levels. The possession of advanced
certifications and years of practice seem to play a role in how interval programs
are prescribed to overhead throwing athletes. This study helps to identify
differences in current physical therapy approaches towards the later stages of
rehabilitation for throwing athletes. Further research should identify areas of
improvement in physical therapist education as well as appropriate ITP
prescription parameters to optimize care and treatment for this patient
population.
PMID- 29364026
TI - Effects of a 4-Week Intrinsic Foot Muscle Exercise Program on Motor Function: A
Preliminary Randomized Control Trial.
AB - CONTEXT: Intrinsic foot muscle (IFM) exercises are utilized clinically in the
treatment of foot and ankle conditions. However, the effectiveness of training on
IFM motor function is unknown. OBJECTIVE: To study the effects of a 4-week IFM
exercise program on motor function, perceived difficulty, and IFM motor
activation measured using ultrasound imaging (USI) during three IFM exercises.
DESIGN: Single-blinded randomized control trial. SETTING: Laboratory.
PARTICIPANTS: 24 healthy, recreationally-active young adults without history of
ankle-foot injury who have never performed IFM exercises participated (12 males,
12 females; mean age=21.5+/-4.8 years; BMI=23.5+/-2.9 kg/m2) Intervention:
Following randomization, participants allocated to the intervention group
received a 4-week progressive home IFM exercise program performed daily.
Participants in the control group did not receive any intervention. MAIN OUTCOME
MEASURES: Clinician-assessed motor performance (4-point scale: 0=does not
initiate movement, 3=performs exercise in standard pattern), participant
perceived difficulty (5 point Likert scale: 1=very easy, 5=very difficult), and
USI motor activation measures [Formula: see text] of the abductor hallucis
(AbdH), flexor digitorum brevis (FDB), quadratus plantae (QP), and flexor
hallucis brevis (FHB) were assessed during a toe-spread-out, hallux-extension,
and lesser-toe-extension exercise. RESULTS: The intervention group demonstrated
significant improvement in motor performance in the toe-spread-out exercise
(pre=1.9+/-0.5, post=2.6+/-0.5, p=.008) and less perceived difficulty in the toe
spread-out (pre=3.1+/-1.3, post=2.3+/-1.2, p=.01), hallux-extension (pre=3.2+/
1.5, post=2.0+/-1.2, p=.005), and lesser-toe-extension (pre=1.9+/-0.7, post=1.2+/
0.4, p=.03) exercises. Both groups demonstrated increased USI motor activation in
the AbdH during the toe-spread-out exercise (intervention: pre=1.07+/-.06,
post=1.11+/-.08; control: pre=1.08+/-.06, post=1.11+/-.06, p=.05). No other
significant main effects or group by time interactions were observed. CONCLUSION:
A 4-week IFM exercise intervention resulted in improved motor performance and
decreased perceived difficulty when performing the exercises, but not changes in
USI measures of IFM activation compared to a control group.
PMID- 29364027
TI - Effects of Kinesiology Taping on Scapular Reposition Accuracy, Kinematics, and
Muscle Activity in Athletes With Shoulder Impingement Syndrome: A Randomized
Controlled Study.
AB - CONTEXT: Scapular proprioception is a key concern in managing shoulder
impingement syndrome (SIS). However, no study has examined the effect of elastic
taping on scapular proprioception performance. OBJECTIVE: To investigate the
immediate effect of kinesiology taping (KT) on scapular reposition accuracy,
kinematics, and muscle activation in individuals with SIS. DESIGN: Randomized
controlled study. SETTING: Musculoskeletal laboratory, National Yang-Ming
University, Taiwan. PARTICIPANTS: Thirty overhead athletes with SIS.
INTERVENTIONS: KT or placebo taping over the upper and lower trapezius muscles.
MAIN OUTCOME MEASURES: The primary outcome measures were scapular joint position
sense, measured as the reposition errors, in the direction of scapular elevation
and protraction. The secondary outcomes were scapular kinematics and muscle
activity of the upper trapezius, lower trapezius, and serratus anterior during
arm elevation in the scapular plane (scaption). RESULTS: Compared with placebo
taping, KT significantly decreased the reposition errors of upward/downward
rotation (P = .04) and anterior/posterior tilt (P = .04) during scapular
protraction. KT also improved scapular kinematics (significant group by taping
effect for posterior tilt, P = .03) during scaption. Kinesiology and placebo
tapings had a similar effect on upper trapezius muscle activation (significant
taping effect, P = .003) during scaption. CONCLUSIONS: Our study identified the
positive effects of KT on scapular joint position sense and movement control.
Future studies with a longer period of follow-up and clinical measurement might
help to clarify the clinical effect and mechanisms of elastic taping in
individuals with SIS.
PMID- 29364028
TI - A Comparison of Bilateral and Unilateral Drop Jumping Tasks in the Assessment of
Vertical Stiffness.
AB - This study sought to compare vertical stiffness during bilateral and unilateral
drop jumping. Specifically, the intersession reliabilities and force-deformation
profiles associated with each task were to be examined. On 3 occasions, following
familiarization, 14 healthy males (age: 22 [2] y; height: 1.77 [0.08] m; and body
mass: 73.5 [8.0] kg) performed 3 bilateral, left leg and right leg drop jumps.
All jumps were performed from a drop height of 0.18 m on to a dual force plate
system. Vertical stiffness was calculated as the ratio of peak ground reaction
force (GRF) to the peak center of mass (COM) displacement. Unilateral drop
jumping was associated with higher GRF and greater COM displacement (both Ps <
.001), but vertical stiffness was not different between tasks when considering
individual limbs (P = .98). A coefficient of variation of 14.6% was observed for
bilateral vertical stiffness during bilateral drop jumping; values of 6.7% and
7.6% were observed for left and right limb vertical stiffness during unilateral
drop jumping. These findings suggest that unilateral drop jumps may exhibit
greater reliability than bilateral drop jumps while eliciting similar vertical
stiffness. It is also apparent that higher GRFs during unilateral drop jumping
are mitigated by increased COM displacement.
PMID- 29364029
TI - Remediation of water and wastewater by using engineered nanomaterials: A review.
AB - Nanotechnology is currently a fast-rising socioeconomic and political knowledge
based technology owing to the unique characteristics of its engineered
nanomaterials. This branch of technology is useful for water and wastewater
remediation. Many scientists and researchers have been conducting different
studies and experiments on the applications of engineered nanomaterials at the
local to international level. This review mainly aims to provide a current
overview of existing knowledge on engineered nanomaterials and their applications
in water and wastewater remediation. Furthermore, the present risks and
challenges of nanotechnology are examined.
PMID- 29364030
TI - Hydraulic and hydrological aspects of an evapotranspiration-constructed wetland
combined system for household greywater treatment.
AB - Constructed wetlands systems demand preliminary and primary treatment to remove
solids present in greywater (GW) to avoid or reduce clogging processes. The
current paper aims to assess hydraulic and hydrological behavior in an improved
constructed wetland system, which has a built-in anaerobic digestion chamber
(AnC), GW is distributed to the evapotranspiration and treatment tank (CEvaT),
combined with a subsurface horizontal flow constructed wetland (SSHF-CW). The
results show that both the plants present in the units and the AnC improve
hydraulic and volumetric efficiency, decrease short-circuiting and improve mixing
conditions in the system. Moreover, the hydraulic conductivity measured on-site
indicates that the presence of plants in the system and the flow distribution
pattern provided by the AnC might reduce clogging in the SSHF-CW. It is observed
that rainfall enables salt elimination, thus increasing evapotranspiration (ET),
which promotes effluent reduction and enables the system to have zero discharge
when reuse is unfeasible.
PMID- 29364031
TI - Hereditary cataracts in Russian Blue cats.
AB - OBJECTIVES: The purpose of this study was to investigate the prevalence of
cataracts in the Russian Blue breed of cats in Sweden, and to describe the
clinical appearance of this presumed inherited form of cataract. METHODS: A total
of 66 Russian Blue cats were examined in Sweden, between March and October 2014,
using standard examination techniques. The examined cats were between 3 months
and 14 years of age. Pedigrees were collected from all examined cats for genetic
studies. RESULTS: Mild-to-severe forms of mainly bilateral cataracts were
observed in 22/66 examined Russian Blue cats of both sexes. Two affected cats
were <1 year of age. The most frequently observed appearance of a cataract was a
small triangular, Y-shaped or circular opacity at the border of the posterior
nucleus and the anterior part of the posterior cortex, which caused no observable
visual impairment. More extended forms were observed in 6/22 cats, with
involvement of both the nucleus and either the entire cortex or parts of the
posterior and/or anterior cortex. Visual impairment or blindness was observed in
the latter six cases. Pedigree analyses indicated a simple autosomal recessive
mode of inheritance for the defect, although a dominant mode with incomplete
penetrance could not be excluded. CONCLUSIONS AND RELEVANCE: This study indicates
that the Russian Blue breed of cat is affected by hereditary cataracts. The high
prevalence in young cats and the characteristic location of the most frequently
observed defect in the study suggest an early onset type of cataract. The
breeders should be aware of this defect and have their cats examined by a
veterinary ophthalmologist before breeding of an individual Russian Blue cat is
considered.
PMID- 29364032
TI - Feline primary erythrocytosis: a multicentre case series of 18 cats.
AB - CASE SERIES SUMMARY: A retrospective multicentre case series of feline primary
erythrocytosis (PE) was evaluated. The aim was to gain better understanding of
disease presentation and progression to guide management and prognostication.
Case records were assessed for evidence of increased packed cell volume (PCV;
>48%), sufficient investigation to rule out relative and secondary
erythrocytosis, and follow-up data for at least 12 months or until death.
Eighteen cats were included in the case series. No significant trends in
signalment were noted. Seizures and mentation changes were the most common
presenting signs (both n = 10). Median PCV was 70% (median total protein
concentration of 76 g/l) with no other consistent haematological changes. Sixteen
cats survived to discharge. Phlebotomy was performed initially in 15/16 surviving
animals and performed after discharge in 10/16. Hydroxyurea was the most common
adjunctive therapy, used in 10/16 cats. Of the 16 patients surviving to
discharge, 14 patients were still alive at the conclusion of the study (survival
time >17 months post-discharge), with the two non-survivors having lived for 5
years or more after diagnosis. PCV, when stabilised, did not correlate with
resolution of clinical signs. RELEVANCE AND NOVEL INFORMATION: In contrast to
perceptions, feline PE was generally well managed via a combination of phlebotomy
and medical therapy, with evidence of prolonged survival times. The use of
hydroxyurea enabled cessation or repeat phlebotomies.
PMID- 29364033
TI - The Effects of Cupping on Hamstring Flexibility in Collegiate Soccer Players.
AB - CONTEXT: Collegiate soccer players suffer hamstring injuries due to inflexibility
and repetitive motions involving intense hamstring lengthening and contraction
during sport. Although a popular intervention for muscular injury, there exists
limited evidence of the effects of therapeutic cupping on hamstring flexibility.
OBJECTIVE: To determine the effect of cupping therapy on hamstring flexibility in
collegiate soccer players. DESIGN: Cohort design. SETTING: Athletic training
clinic. PATIENTS: Twenty-five, asymptomatic, NCAA Division III soccer players (10
males, 15 females) (age = 19.4 +/- 1.30 years, height = 175.1 +/- 8.2 cm, mass =
69.5 +/- 6.6 kg). INTERVENTION(S): A 7-minute therapeutic cupping treatment was
delivered to the treatment group. Four 2-inch cups were fixed atop trigger point
locations within the hamstring muscle bellies of participants' dominant legs.
Control group participants received no intervention between pre- and post-test
measurements. MAIN OUTCOME MEASURES: Pretest and posttest measurements of
hamstring flexibility, using a Passive Straight Leg Raise (PSLR), were performed
on both groups. PSLR measurements were conducted by blinded examiners using a
digital inclinometer. An independent samples t-test was used to analyze changes
in hamstring flexibility from pre- to post-treatment with p-values set a priori
at 0.05. RESULTS: An independent samples t-test demonstrated no significant
difference in change in hamstring flexibility between participants in the
treatment group and those in the control group (t23 = -.961, p = .35).
CONCLUSIONS: The findings of this study demonstrated no statistically significant
changes in hamstring flexibility following a cupping treatment.
PMID- 29364034
TI - Medial Unloader Braces and Lateral Heel Wedges Do Not Alter Gait Biomechanics in
Healthy Young Adults.
AB - CONTEXT: Orthotic devices such as medial unloader knee braces and lateral heel
wedges may limit cartilage loading following trauma or surgical repair. However,
little is known regarding their effects on gait biomechanics in young, healthy
individuals who are at risk of cartilage injury during physical activity due to
greater athletic exposure compared to older adults. OBJECTIVE: Determine the
effect of medial unloader braces and lateral heel wedges on lower extremity
kinematics and kinetics in healthy, young adults. DESIGN: Cross-sectional cross
over design. SETTING: Laboratory setting. PATIENTS: Healthy, young adults who
were recreationally activity (30 min/day for 3 days/week) between 18-35 years old
who were free from orthopedic injury for at least 6 months and no history of
lower extremity orthopedic surgery. INTERVENTIONS: All subjects completed normal
over ground walking with a medial unloader brace at two different tension
settings and a lateral heel wedge for a total of four separate walking
conditions. MAIN OUTCOME MEASURES: Frontal plane knee angle at heelstrike, peak
varus angle, peak internal knee valgus moment, and frontal plane angular impulse
were compared across conditions. RESULTS: The medial unloader brace at 50% (-2.04
+/- 3.53 degrees ) and 100% (-1.80 +/- 3.63 degrees ) maximum load placed the
knee in a significantly more valgus orientation at heelstrike compared to the
lateral heel wedge condition (-0.05 +/- 2.85 degrees ). However this difference
has minimal clinical relevance. Neither of the orthotic devices altered knee
kinematics or kinetics relative to the control condition. CONCLUSIONS: Though
effective in older adults and individuals with varus knee alignment, medial
unloader braces and lateral heel wedges do not influence gait biomechanics in
young, healthy individuals.
PMID- 29364036
TI - Six Sessions of Anterior-to-Posterior Ankle Joint Mobilizations Improve Patient
Reported Outcomes in Patients With Chronic Ankle Instability: A Critically
Appraised Topic.
AB - Clinical Scenario: Chronic ankle instability (CAI) is a complex musculoskeletal
condition that results in sensorimotor and mechanical alterations. Manual
therapies, such as ankle joint mobilizations, are known to improve clinician
oriented outcomes like dorsiflexion range of motion, but their impact on patient
reported outcomes remains less clear. Focused Clinical Question: Do anterior-to
posterior ankle joint mobilizations improve patient-reported outcomes in patients
with chronic ankle instability? Summary of Key Findings: Three studies (2
randomized controlled trials and 1 prospective cohort) quantified the effect of
at least 2 weeks of anterior-to-posterior ankle joint mobilizations on improving
patient-reported outcomes immediately after the intervention and at a follow-up
assessment. All 3 studies demonstrated significant improvements in at least 1
patient-reported outcome immediately after the intervention and at the follow-up
assessment. Clinical Bottom Line: At least 2 weeks of ankle joint mobilization
improves patient-reported outcomes in patients with chronic ankle instability,
and these benefits are retained for at least a week following the termination of
the intervention. Strength of Recommendation: Strength of recommendation is grade
A due to consistent good-quality patient-oriented evidence.
PMID- 29364035
TI - Regulator of G protein signaling-12 modulates the dopamine transporter in ventral
striatum and locomotor responses to psychostimulants.
AB - Regulators of G protein signaling are proteins that accelerate the termination of
effector stimulation after G protein-coupled receptor activation. Many regulators
of G protein signaling proteins are highly expressed in the brain and therefore
considered potential drug discovery targets for central nervous system
pathologies; for example, here we show that RGS12 is highly expressed in
microdissected mouse ventral striatum. Given a role for the ventral striatum in
psychostimulant-induced locomotor activity, we tested whether Rgs12 genetic
ablation affected behavioral responses to amphetamine and cocaine. RGS12 loss
significantly decreased hyperlocomotion to lower doses of both amphetamine and
cocaine; however, other outcomes of administration (sensitization and conditioned
place preference) were unaffected, suggesting that RGS12 does not function in
support of the rewarding properties of these psychostimulants. To test whether
observed response changes upon RGS12 loss were caused by changes to dopamine
transporter expression and/or function, we prepared crude membranes from the
brains of wild-type and RGS12-null mice and measured dopamine transporter
selective [3H]WIN 35428 binding, revealing an increase in dopamine transporter
levels in the ventral-but not dorsal-striatum of RGS12-null mice. To address
dopamine transporter function, we prepared striatal synaptosomes and measured
[3H]dopamine uptake. Consistent with increased [3H]WIN 35428 binding, dopamine
transporter-specific [3H]dopamine uptake in RGS12-null ventral striatal
synaptosomes was found to be increased. Decreased amphetamine-induced locomotor
activity and increased [3H]WIN 35428 binding were recapitulated with an
independent RGS12-null mouse strain. Thus, we propose that RGS12 regulates
dopamine transporter expression and function in the ventral striatum, affecting
amphetamine- and cocaine-induced increases in dopamine levels that specifically
elicit acute hyperlocomotor responses.
PMID- 29364037
TI - Comparisons of Segment Coordination: An Investigation of Vector Coding.
AB - The development of a methodology to assess movement coordination has provided
gait researchers a tool to assess movement organization. A challenge in analyzing
movement coordination using vector coding lies within the inherent circularity of
data garnered from this technique. Therefore, the purpose of this investigation
was to determine if accurate group comparisons can be made with varying
techniques of vector coding analyses. Thigh-shank coordination was analyzed using
a modified vector coding technique on data from 2 groups of runners. Movement
coordination was compared between groups using 3 techniques: (1) linear average
completed with compressed data (0 degrees -180 degrees ) and noncompressed data
(0 degrees -360 degrees ), (2) coordination phase binning analysis; and (3) a
circular statistics analysis. Circular statistics (inferential) analysis provided
a rigorous comparison of average movement coordination between groups. In
addition, the binning analysis provided a metric for detecting even small
differences in the time spent with a particular coordination pattern between
groups. However, the linear analysis provided erroneous group comparisons.
Furthermore, with compressed data, linear analysis led to misclassification of
coordination patterns. While data compression may be attractive as a means of
simplifying statistical analysis of inherently circular data, recommendations are
to use circular statistics and binning methods on noncompressed data.
PMID- 29364038
TI - Effects of Subsensory Noise and Fatigue on Knee Landing and Cross-over Cutting
Biomechanics in Male Athletes.
AB - The objective of this study was to examine the effects of subsensory noise and
fatigue on knee biomechanics during the athletic task of landing followed by
cross-over cutting. A total of 32 healthy male athletes participated in the
study. They were evenly divided into 2 groups: no fatigue group and fatigue
group. Fatigue was induced to the lower extremity by a repetitive squatting
exercise in the fatigue group. Subsensory noise was generated by linear miniature
vibrators bilaterally placed around the knee joints. During data collection, the
participants were instructed to perform landing followed by cross-over cutting in
both the subsensory on and off conditions. Dependent variables were selected to
assess knee biomechanics in the phases of landing and cross-over cutting,
separately. Results showed that fatigue resulted in larger knee flexion during
landing and larger knee internal rotation during cross-over cutting. Subsensory
noise was found to reduce knee rotation impulse during cross-over cutting. These
findings suggest that cross-over cutting is more dangerous than landing in the
fatigue condition, and subsensory noise may lead to changes in knee biomechanics
consistent with reduced risk of anterior cruciate ligament injuries, but the
changes may be task-specific.
PMID- 29364039
TI - Neurophysiological correlate of emotional regulation in cognitive and motor
deficits in Tourette's syndrome.
AB - OBJECTIVES: The present study investigated the role of different emotions in the
expression of cognitive and motor control abilities of children having Tourette's
syndrome (TS) compared to healthy controls. METHODS: The electroencephalography
activity of 33 children (mean age in final sample: TS (n = 10) = 10.5 +/- 2.3;
control (n = 10) = 10.1 +/- 2.9) was recorded during a visual task consisting of
four emotional face cues (anger, happiness, neutral and sadness) followed by a
target in congruent or incongruent position with emotional cue. The participants
were asked to indicate the target location. RESULTS: The TS patients showed a
shorter latency of the P1 and N170 only for anger cues compared to controls. In
addition, sLORETA results showed an increased activation in the left occipital
area and a decreased activation in the left amygdala, temporal and cingulate for
anger cues in TS patients. Coherently, TS patients showed a lower accuracy of
response only with anger cue and severity of tics resulted correlated with the
event-related potentials data and behavioural responses linked to anger cue.
CONCLUSIONS: These results suggest that children with TS process emotions (in
particular the anger) differently from the controls, and that its regulation
seems to have an important role in the cognitive and motor deficits in TS.
PMID- 29364040
TI - Comparison of Upper Trapezius and Infraspinatus Myofascial Trigger Point Therapy
by Dry Needling in Overhead Athletes With Unilateral Shoulder Impingement
Syndrome.
AB - CONTEXT: Chronic musculoskeletal disorders in the shoulder joint are often
associated with myofascial trigger points (MTrPs), particularly in the upper
trapezius (UT) muscle. Dry needling (DN) is a treatment of choice for myofascial
pain syndrome. However, local lesions and severe postneedle soreness sometimes
hamper the direct application of DN in the UT. Therefore, finding an alternative
point of treatment seems useful in this regard. OBJECTIVE: To compare the
efficacy of UT versus infraspinatus (ISP) DN on pain and disability of subjects
with shoulder pain. The authors hypothesized that ISP DN could be as effective as
the direct application of DN in UT MTrP. DESIGN: Single-blind randomized clinical
trial. SETTING: Sports medicine physical therapy clinic. PARTICIPANTS: A total of
40 overhead athletes (age = 36 [16] y; 20 females and 20 males) with unilateral
shoulder impingement syndrome were randomly assigned to the UT DN (n = 21) and
ISP DN (n = 19) groups. INTERVENTION: An acupuncture needle was directly inserted
into the trigger point of UT muscle in the UT DN group and of ISP muscle in the
ISP DN group. DN was applied in 3 sessions (2-day interval between each sessions)
for each group. MAIN OUTCOME MEASURES: Pain intensity (visual analog scale), pain
pressure threshold, and disability in the arm, shoulder, and hand were assessed
before and after the interventions. RESULTS: Pain and disability decreased
significantly in both groups (P < .001) and pain pressure threshold increased
significantly only in the ISP group (P = .02). However, none of the outcome
measures showed a significant intergroup difference after treatments (P > .05).
CONCLUSIONS: Application of DN for active MTrPs in the ISP can be as effective as
direct DN of active MTrPs in the UT in improving pain and disability in athletes
with shoulder pain, and may be preferred due to greater patient comfort in
comparison with direct UT needling.
PMID- 29364041
TI - Residual Force Enhancement in Humans: A Systematic Review.
AB - A systematic literature search was conducted to review the evidence of residual
force enhancement (RFE) in vivo human muscle. The search, adhered to the PRISMA
statement, of CINAHL, EBSCO, Embase, MEDLINE, and Scopus (inception-July 2017)
was conducted. Full-text English articles that assessed at least 1 measure of RFE
in vivo voluntarily contracted human skeletal muscle were selected. The
methodologies of included articles were assessed against the Downs and Black
checklist. Twenty-four studies were included (N = 424). Pooled Downs and Black
scores ranked "fair" ([Formula: see text] [2.26]). RFE was observed in all
muscles tested. Joint range of motion varied from 15 degrees to 60 degrees .
Contraction intensities ranged from 10% to >95% maximum. Although transient force
enhancement during the stretch phase may change with angular velocity, RFE in the
subsequent isometric phase is independent of velocity. The magnitude of RFE was
influenced by smaller stretch amplitudes and greatest at joint angles indicative
of longer muscle lengths. Contraction and activation intensity influenced RFE,
particularly during the initial isometric contraction phase of a poststretch
isometric contraction. RFE resulted in increased torque production, reduced
muscular activation, and enhanced torque production when the neuromuscular system
is weakened seen in an aged population.
PMID- 29364042
TI - Correlation among Y Balance Test-Lower Quarter Composite Scores, Hip
Musculoskeletal Characteristics, and Pitching Kinematics in NCAA Division I
Baseball Pitchers.
AB - CONTEXT: Numerous studies have reported kinematic data on baseball pitchers using
3D motion analysis, but no studies to date have correlated this data with
clinical outcome measures. OBJECTIVE: To examine the relationship among Y Balance
Test-Lower Quarter (YBT-LQ) composite scores, musculoskeletal characteristics of
the hip and pitching kinematics in NCAA Division I baseball pitchers. DESIGN:
Cross-sectional. SETTING: 3D motion analysis laboratory. PARTICIPANTS: 19 healthy
male collegiate baseball pitchers. MAIN OUTCOME MEASURES: Internal and external
hip passive range of motion (PROM); hip abduction strength; YBT-LQ composite
scores; kinematic variables of the pitching motion. RESULTS: Stride length
demonstrated a moderate positive correlation with dominant limb YBT-LQ composite
score (r=0.524, p=0.018) and non-dominant limb YBT-LQ composite score (r=0.550,
p=0.012), and a weak positive correlation with normalized time to maximal humerus
velocity (r=0.458, p=0.043). Stride length had a moderate negative correlation
with normalized time to maximal thorax velocity (r= -0.522, p=0.018) and dominant
hip TRM (r= -0.660, p=0.002), and had a strong negative correlation with
normalized time from SFC to maximal knee flexion (r= -0.722, p<0.001). Dominant
limb YBT-LQ composite score had a weak negative correlation with hip abduction
strength difference (r= -0.459, p=0.042) and normalized time to maximal thorax
velocity (r= -0.468, p=0.037), as well as a moderate negative correlation with
dominant hip TRM (r= -0.160, p=0.004). Non-dominant limb YBT-LQ composite score
demonstrated a weak negative correlation with normalized time to maximal thorax
velocity (r= -0.450, p=0.046) and had a moderate negative correlation with
dominant hip TRM (r= -0.668, p=0.001). Hip abduction strength difference
demonstrated a weak positive correlation with dominant hip TRM (r=0.482,
p=0.032). Dominant hip TRM had a moderate positive correlation with normalized
time to maximal thorax velocity (r=0.484, p=0.031). There were no other
significant relationships between the remaining variables. CONCLUSIONS: YBT-LQ is
a clinical measure which can be used to correlate with hip musculoskeletal
characteristics and pitching kinematics in NCAA Division I pitchers.
PMID- 29364043
TI - Lower Leg Morphology and Stretch-Shortening Cycle Performance of Dancers.
AB - Greater levels of bone ultimate fracture load, bone stress-strain index, muscle
cross-sectional area, and maximal voluntary isometric plantarflexion (MVIP)
strength of the lower leg may be adaptations from chronic exposure to stretch
shortening cycle (SSC) actions. Dancers, a population that habitually performs
SSC movements primarily about the ankle joint, may serve as a novel population to
gain broader understanding of SSC function. A total of 10 female collegiate
dancers and 10 untrained controls underwent peripheral quantitative computed
tomography scans of both lower legs and performed MVIPs, countermovement hops,
and drop hops at 20, 30, and 40 cm on a custom-made inclined sled. Dancers had
greater right and left ultimate fracture load values and significantly (P <= .05)
greater left leg stress-strain index than controls. Dancers had significantly
larger right and left muscle cross-sectional area and MVIP values and hopped
significantly higher during all hopping conditions in comparison with controls.
Average force-time and power-time curves revealed significantly greater relative
force and power measurements during the concentric phase for all hopping
conditions in dancers when compared with controls. This investigation provides
evidence that dance may be a stimulus for positive muscle and bone adaptations,
strength levels, and enhanced SSC capabilities.
PMID- 29364045
TI - Isolated Infraspinatus Atrophy Secondary to Suprascapular Nerve Neuropathy
Results in Altered Shoulder Muscles Activity.
AB - CONTEXT: Isolated infraspinatus atrophy (IIA) is a common condition among
overhead-activity athletes, which affects the hitting shoulder and is caused by
suprascapular nerve injury. The loss of infraspinatus function could lead to
altered activity of the glenohumeral and scapulothoracic muscles and compromise
the optimal shoulder function. OBJECTIVE: To assess the surface electromyographic
(sEMG) activity patterns, relationships, and response latencies of relevant
shoulder girdle muscles in professional volleyball players with IIA and in
healthy control players. DESIGN: Cross-sectional study. SETTING: Research
laboratory. PATIENTS OR OTHER PARTICIPANTS: Twenty-four male professional
volleyball players (12 players with diagnosed IIA and 12 healthy players)
recruited from local volleyball teams. INTERVENTION(S): sEMG activity of
anterior, middle and posterior deltoid, upper, middle and lower trapezius, and
serratus anterior was recorded and evaluated during a movement of shoulder
abduction in the scapular plane, monitored with an optoelectronic motion capture
system. MAIN OUTCOME MEASURE(S): sEMG activity, relationships, and response
latencies of the selected muscles were analyzed with ANOVA models, to highlight
statistical differences within and between groups. RESULTS: Athletes with IIA
demonstrated significant higher deltoid and trapezius muscles activity, and lower
serratus anterior activity, compared with the contralateral shoulder and with
healthy athletes. The shoulder with IIA also showed a higher activity ratios
between the upper trapezius and the other scapulothoracic muscles, in addition to
anticipated activation of the upper trapezius and delayed activation of the
serratus anterior, with regard to the onset of shoulder movement. CONCLUSIONS:
The present study highlighted altered shoulder muscle activity levels,
scapulothoracic muscles imbalances, and abnormal scapulothoracic recruitment
patterns in the hitting shoulder of professional volleyball players with IIA,
secondary to suprascapular nerve neuropathy. Such shoulder girdle muscles
impairments may compromise the optimal scapulhoumeral rhythm and function,
increasing the risk of acute and overuse shoulder injuries.
PMID- 29364046
TI - Reliability of Hip Rotation Range of Motion in Supine and Seated Positions.
AB - CONTEXT: Hip rotation range of motion (ROM) is commonly assessed in individuals
with lower extremity or spine pathology. It remains unknown which hip rotation
ROM testing position is most reliable. OBJECTIVE: To compare interrater and
intrarater reliabilities between hip internal rotation (IR) and external rotation
(ER) ROM in supine and seated positions. STUDY DESIGN: Controlled laboratory
study. SETTING: University research laboratory. PARTICIPANTS: A total of 19
participants (11 females and 8 males; age = 23.5 [1.2] y; height = 173.2 [8.6]
cm; and mass = 69.2 [13.4] kg) without hip, knee, low back, or sacroiliac pain
within the preceding 3 months or history of hip or low back surgery were
recruited. INTERVENTIONS: Three testers obtained measures during 2 testing
sessions. Passive supine and seated hip IR and ER ROM were performed with the hip
and knee flexed to 90 degrees . MAIN OUTCOME MEASURES: The primary outcome
measures were hip IR and ER ROM in supine and seated positions (in degrees).
Interrater and intrarater reliabilities were calculated using intraclass
correlation coefficients (ICCs). Minimal detectable change was calculated.
Differences between supine and seated hip IR and ER ROM values were assessed
using paired t tests (significance level was .05). RESULTS: Supine hip IR and ER
ROM interrater and intrarater reliabilities were excellent (ICC = .75-.91).
Seated hip IR ROM interrater and intrarater reliabilities were good (ICC = .64
.71). Seated hip ER ROM interrater reliability was good (ICC = .65), and
intrarater reliabilities were good to excellent (ICC = .65-.82). Minimal
detectable change values for supine and seated hip IR and ER ROM ranged from 6.1
degrees to 8.6 degrees . There were significant differences between supine and
seated positions for hip IR and ER ROM (41.6 degrees vs 44.5 degrees ; P < .01
and 53.0 degrees vs 44.2 degrees ; P < .01, respectively). CONCLUSION: Supine
hip rotation had higher interrater and intrarater reliabilities. Hip IR and ER
ROM values differed significantly between supine and seated positions and should
not be used interchangeably.
PMID- 29364044
TI - Linc-RAM is required for FGF2 function in regulating myogenic cell
differentiation.
AB - Myogenic differentiation of skeletal muscle stem cells, also known satellite
cells, is tightly orchestrated by extrinsic and intrinsic regulators. Basic
fibroblast growth factor (FGF2) is well documented to be implicated in satellite
cell self-renewal and differentiation by repressing MyoD. We recently identified
a MyoD-regulated and skeletal muscle-specifically expressed long non-coding RNA
Linc-RAM which enhances myogenic differentiation by facilitating MyoD/Baf60c/Brg1
complex assembly. Herein, we investigated the transcriptional regulation and
intracellular signaling pathway in mediating Linc-RAM gene expression during
muscle cell differentiation. Firstly, we demonstrate Linc-RAM is negatively
regulated by FGF2 via Ras/Raf/Mek/Erk signaling pathway in muscle cells.
Overexpression of MyoD significantly attenuates repression of Linc-RAM promoter
activities in C2C12 cells treated with FGF2. Knockout of MyoD abolishes FGF2
mediated repression of Linc-RAM gene transcription in satellite cells sorted from
skeletal muscle of MyoD-/-;Pax7-nGFP mice, suggesting inhibition of MyoD is
required for FGF2-mediated expression of Linc-RAM. For the functional
significance, we show that overexpression of Linc-RAM rescues FGF2-induced
inhibition of C2C12 cell differentiation, indicating inhibition of Linc-RAM is
required for FGF2-mediated suppression of myogenic differentiation. Consistently,
we are able to further corroborate the requirement of Linc-RAM inhibition for
FGF2-modulated repression of myogenic differentiation by using an ex vivo
cultured single fiber system and satellite cells sorted from Linc-RAM-/-;Pax7
nGFP knockout mice. Collectively, the present study not only reveals the
intracellular signaling in FGF2-mediated Linc-RAM gene expression but also
demonstrate the functional significance of Linc-RAM in FGF2-mediated muscle cell
differentiation.
PMID- 29364048
TI - Effect of Sex and Level of Activity on Lower Extremity Strength, Functional
Performance and Limb Symmetry.
AB - CONTEXT: Strength, functional performance and limb symmetry are common objective
clinical assessments used by clinicians to guide safe return to physical activity
following injury. Population-specific unilateral limb outcomes or estimates of
limb symmetry of these assessments should be established. OBJECTIVE: To compare
lower extremity strength, functional performance and limb symmetry in healthy
participants based on sex and level of activity. DESIGN: Descriptive laboratory
study Setting: Laboratory Participants: 117 healthy participants (72 males/45
females, mass=73.67+/-13.60kg, height=1.76+/-0.12m, age=21.44+/-2.92 years)
without history of injury within 6 months were included. INTERVENTIONS:
Participants completed isokinetic concentric and isometric knee extension and
flexion strength tasks at 90 degrees /s, 180 degrees /s and 90 degrees
respectively and four hop tasks(single, crossover, triple, 6m timed) during one
session. Groups were separated by sex(male, female) and activity level(athlete,
non-athlete). Participants rostered on National Collegiate Athletic
Association(NCAA) Division I(DI) teams were considered athletes and non-NCAA DI
healthy, uninjured participants were considered non-athletes. MAIN OUTCOME
MEASURES: Limb Symmetry Index(LSI), maximal voluntary isometric contraction(MVIC,
Nm/kg), peak torque(Nm/kg), average power(Nm/s), distance(m) and time hopped(s)
were assessed. LSI was calculated by dividing the lower limb outcome by the
higher limb outcome of the non-dominant or dominant limb. Group differences were
assessed through Mann Whitney U tests and Cohen's d effect sizes for all
comparisons. RESULTS: LSI differences did not exist between groups. Mean LSIs for
all participants ranged between 83.52+/-12.54% and 96.16+/-3.82%. On average,
males were stronger (Range d=0.63-1.54), hopped farther (Range d=1.52-1.63) and
faster (Range d=1.67-1.68) than females. On average, some strength differences
existed between athletes and non-athletes but athletes hopped farther (Range
d=0.71-0.82) and faster (Range d=(0.87-0.88) than non-athletes. CONCLUSIONS:
Unilateral limb strength and functional performance outcomes differ between sex
and activity level, but not limb symmetry. These differences may be important for
a clinician's understanding of normative values of common return to play
assessment tasks.
PMID- 29364047
TI - 27-Deoxyactein prevents 2,3,7,8-tetrachlorodibenzo-p-dioxin-induced cellular
damage in MC3T3-E1 osteoblastic cells.
AB - 2,3,7,8-Tetrachlorodibenzo-p-dioxin (TCDD) is a well-known environmental
contaminant that exerts its toxicity through a variety of signaling mechanisms.
The present study evaluated the effects of 27-deoxyactein, one of the major
constituents isolated from Cimicifuga racemosa, on TCDD-induced toxicity in
osteoblastic MC3T3-E1 cells. TCDD reduced cell survival, markedly increased
apoptosis, and enhanced autophagy activity. However, pre-treatment with 27
deoxyactein attenuated all TCDD-induced effects and significantly decreased
intracellular calcium (Ca2+) concentrations, the collapse of the mitochondrial
membrane potential (MMP), the level of reactive oxygen species (ROS), and
cardiolipin peroxidation compared to the TCDD-treated controls. Additionally,
TCDD-induced increases in the levels of aryl hydrocarbon receptor (AhR),
cytochrome P450 1A1 (CYP1A1), and extracellular signal-regulated kinase (ERK)
were significantly inhibited by 27-deoxyactein. The mRNA levels of superoxide
dismutase (SOD), ERK1, and nuclear factor kappa B (NF-kappaB) were also
effectively restored by pre-treatment with 27-deoxyactein. Furthermore, 27
deoxyactein significantly increased the expressions of genes associated with
osteoblast differentiation, including alkaline phosphatase (ALP), osteocalcin,
bone sialoprotein (BSP), and osterix. Taken together, the present findings
demonstrate the preventive effects of 27-deoxyactein on TCDD-induced damage in
osteoblasts.
PMID- 29364049
TI - Acute effects of hexavalent chromium on the performance and microbial community
of activated sludge in aerobiotic reactors.
AB - This study investigated the acute effects of hexavalent chromium (Cr(VI)) shock
load at 2.5, 6 and 25 mg/L on the performance and bacterial community structures
in aerobiotic activated sludge reactors. The results showed that eight-day Cr(VI)
toxicity made the removal rates of COD and NH3-N to obviously decrease in all
reactors. Furthermore, the higher the Cr(VI) concentration was, the more severe
the influence on the whole system would be. The effect of Cr(VI) on NH3-N removal
was more serious than that on COD and the function of nitrification was harder to
recover. The specific oxygen uptake rate (SOUR) values dropped by 73%, 68% and
31% at 2.5, 6 and 25 mg Cr(VI)/L, respectively. The data of SOUR showed that
though the concentration of Cr(VI) was low, the whole respiratory activity of
bacteria was much affected. The relative abundance change of genus between the
initial stage and the last showed that the bacterial community structure changed
significantly. Comparing with the initial stage, the phyla of Proteobacteria,
Acidobacteria and Planctomycetes were markedly reduced at the end stage. The
genera of Ferruginibacter, Coxiella and Rhodanobacter were also markedly reduced.
Although the performance of activated sludge can be restored at the end, the
whole respiratory activity of bacteria was still at a low level according to the
data of SOUR.
PMID- 29364050
TI - Estimates of Achilles Tendon Moment Arm Length at Different Ankle Joint Angles:
Effect of Passive Moment.
AB - The length of a muscle's moment arm can be estimated non-invasively using
ultrasound, and the tendon excursion method. The main assumption with the tendon
excursion method, however, is that the force acting on the tendon during passive
rotation is constant. However, passive force changes through the range of motion,
and moment arm is underestimated. We attempted to account for passive force on
the measurement of Achilles tendon moment arm using the tendon excursion method
in 8 male and female runners. Tendon excursion was measured using ultrasound
while the ankle was passively rotated at 0.17 rad*s-1. Moment arm was calculated
at 5 degrees intervals as the ratio of tendon displacement to joint rotation
from 70 degrees to 115 degrees . Passive moment (MP) was measured by a
dynamometer. The displacement attributable to MP was calculated by monitoring
tendon displacement during a ramp isometric maximum contraction. MP was 5.7+/-2.1
Nm at 70 degrees and decreased exponentially from 70 degrees -90 degrees .
degrees . This resulted in MP-corrected moment arms that were significantly
larger than uncorrected moment arms at joint angles where MP was present.
Further, MP-corrected moment arms did not change with ankle angle, which was not
the case for uncorrected moment arms.
PMID- 29364051
TI - Prognostic Impact of Serum Albumin Concentration for Neurologically Favorable
Outcome in Patients Treated with Targeted Temperature Management After Out-of
Hospital Cardiac Arrest: A Multicenter Prospective Study.
AB - To assess whether serum albumin concentration measured upon hospital arrival was
useful as an early prognostic biomarker for neurologically favorable outcome in
out-of-hospital cardiac arrest (OHCA) patients treated with target temperature
management (TTM). This prospective, multicenter observational study (The CRITICAL
Study) carried out between July 1, 2012 and December 31, 2014 in Osaka
Prefecture, Japan involving 13 critical care medical centers (CCMCs) and one non
CCMC with an emergency department. This study included patients >=18 years of age
who underwent an OHCA, for whom resuscitation was attempted by Emergency Medical
Services personnel and were then transported to participating institutions, and
who were then treated with TTM. Based on the serum albumin concentration upon
hospital arrival, involved patients were divided into four quartiles (Q1-Q4)
defined as Q1 (<3.0 g/dL), Q2 (>=3.0, <3.4 g/dL), Q3 (>=3.4, <3.8 g/dL), and Q4
(>=3.8 g/dL). The primary outcome of this study was 1-month survival with
neurologically favorable outcome defined by cerebral performance category 1 or 2.
During the study period, a total of 327 were eligible for our analysis. The
overall proportion of neurologically favorable outcome was 33.0% (108/327). The
Q4 group had the highest proportion of neurologically favorable outcome (52.5%
[48/91]), followed by Q3 (34.5% [30/87]), Q2 (27.3% [21/77]), and Q1 (12.5%
[9/72]). The multivariable logistic regression analysis demonstrated that the
proportion of neurologically favorable outcome was significantly higher in the Q4
group than that in the Q1 group (adjusted odds ratio 10.39; 95% confidence
interval 3.36-32.17). The adjusted proportion of neurologically favorable outcome
increased in a stepwise fashion across increasing quartiles (p < 0.001). In this
study, higher serum albumin concentration upon hospital arrival had a positive
association with neurologically favorable outcome after OHCA in a dose-dependent
manner.
PMID- 29364052
TI - Adsorption of Pb2+ from aqueous solutions using Fe-Mn binary oxides-loaded
biochar: kinetics, isotherm and thermodynamic studies.
AB - This study evaluated the removal of Pb2+ in aqueous solution using Fe-Mn binary
oxides-loaded biochar (BFMs). The characteristics of BFM were obtained using a
scanning electron microscopy, an energy dispersive spectrometer (EDS), Brunauer
Emmett-Teller, a X-ray diffraction (XRD), and a X-ray photoelectron spectroscopy
(XPS). The effects of pH, adsorbent dose, contact time, initial Pb2+
concentration, and temperature in the batch sorption experiments were
investigated. Adsorption was evaluated by adsorption kinetics, isotherm models,
and thermodynamics. With the initial Pb2+ concentration of 200 mg/L, pH 4, and
298.15 K, the optimum adsorption of BFM was obtained at a reaction time of 300
min, adsorbent dose of 2 g/L, and maximum adsorption capacity of 113.715 mg/g.
Furthermore, the kinetics was best fitted to the pseudo-second-order model,
whereas the adsorption equilibrium was best described by the Langmuir isotherm
model. This result indicated that Pb2+ was adsorbed onto BFM by chemical
interactions through the monolayer. The adsorption was spontaneous (DeltaG < 0)
and endothermic (DeltaH > 0).
PMID- 29364053
TI - Modelling the mechanical strength development of treated fine sediments: a
statistical approach.
AB - Sediments valorization (recycling) has revealed limitations due to different
restrains and practical difficulties. When it comes to different recovery
methods, the possibility of valuing diverse types of sediments still needs to be
defined. Using a statistical approach, the present study aims to quantitatively
estimate the mechanical resistance of stabilized sediments. A database that
included 22 fine sediments is selected and assembled from the literature. These
sediments were treated with distinct types and quantities of additives (fillers
and/or binders). The present study includes two parts. On one hand, using
multivariate linear regression tool of XLstat software, an analytical model that
highlights the effects of various parameters influencing the mechanical
resistance of treated sediments after 28 days is obtained. This model showed that
organic matter content and plasticity index are the most significant factors of
sediments characteristics, while cement is the best mechanical strength booster.
On the other hand, the evolution of treated sediments mechanical resistance over
time is modelled by an exponential relationship using a least square regression
method. Both models showed acceptable accuracies compared to a panel of selected
experimental values.
PMID- 29364054
TI - Optimization of UV/H2O2 and ozone wastewater treatment by the experimental design
methodology.
AB - The objective of this study was to optimize UV/H2O2 and ozonation systems by
means of an experimental design using as a response the efficiency of the
operational conditions to remove the methylene blue (MB) dye. Two classes of
experimental planning were used: the Doehlert matrix (DM) and the central
composite design (CCD). The most important variables for each process were
hydrogen peroxide concentration, the ratio of illuminated volume/total volume of
the reactor and recirculation flow rate for UV/H2O2, and ozone flow rate,
consumed ozone and MB concentration for the ozonation. The DM was more efficient
in optimizing the systems, since it used a smaller number of experiments and
achieved similar results when compared to the CCD. After optimization, the
disinfection efficiency of the systems was tested with secondary effluent
evaluating the inactivation of microbiological indicators, Escherichia coli and
total coliforms, using the optimized and the worst condition previously obtained
with MB removal as response. The inactivation efficiencies in the optimum
conditions were about 99%, allowing an efficient disinfection as well as the
production of an effluent with quality to be reused according to specific
legislations, while at the worse conditions, the inactivation did not reach
standards for reuse.
PMID- 29364055
TI - Location-based prospective memory.
AB - This study explores location-based prospective memory. People often have to
remember to do things when in a particular location, such as buying tissues the
next time they are in the supermarket. For event cognition theory, location is
important for structuring events. However, because event cognition has not been
used to examine prospective memory, the question remains of how multiple events
will influence prospective memory performance. In our experiments, people
delivered messages from store to store in a virtual shopping mall as an ongoing
task. The prospective tasks were to do certain activities in certain stores. For
Experiment 1, each trial involved one prospective memory task to be done in a
single location at one of three delays. The virtual environment and location cues
were effective for prospective memory, and performance was unaffected by delay.
For Experiment 2, each trial involved two prospective memory tasks, given in
either one or two instruction locations, and to be done in either one or two
store locations. There was improved performance when people received instructions
from two locations and did both tasks in one location relative to other
combinations. This demonstrates that location-based event structure influences
how well people perform on prospective memory tasks.
PMID- 29364056
TI - Positivity bias in past and future episodic thinking: Relationship with anxiety,
depression, and retrieval-induced forgetting.
AB - Positivity biases in autobiographical memory and episodic future thinking are
considered important in mental wellbeing and are reduced in anxiety and
depression. The inhibitory processes underlying retrieval-induced forgetting
(RIF) have been proposed to contribute to these biases. This investigation found
reduced positivity in past and future thinking to be associated with reduced
memory specificity alongside greater levels of anxiety, depression, and
rumination. Most notably, however, RIF was found to significantly predict memory
valence. This indicates that RIF may be important in maintaining such biases,
facilitating the forgetting of negative memories when a positive item is actively
retrieved.
PMID- 29364057
TI - Gas-phase elemental mercury removal using ammonium chloride impregnated sargassum
chars.
AB - In this article, pyrolyzed bio-chars derived from a kind of macroalgae,
sargassum, were modified by ammonium chloride (NH4Cl) impregnation, and were
applied to remove Hg0 from flue gas. The characteristics of sorbents were
investigated by the Brunauer-Emmett-Teller, X-ray photoelectron spectroscopy,
scanning electron microscopy and ultimate and proximate analysis. The key
parameters (e.g. loading value, reaction temperature and concentration of O2, NO,
SO2 and water vapor), kinetics analysis and reaction mechanism of Hg0 removal
were investigated. The results show that increasing loading value, reaction
temperature, O2 concentration and NO concentration enhance Hg0 removal. The
increase in SO2 concentration or water vapor concentration has a dual effect on
Hg0 removal. The C-Cl groups and C=O groups play an important role in the process
of Hg0 removal. The Hg0 removal process of modified samples meets the pseudo
second-order kinetic model.
PMID- 29364058
TI - Landfill leachate treatment by coagulation/flocculation combined with
microelectrolysis-Fenton processes.
AB - Landfill leachate was pretreated by chemical flocculation with polyaluminum
chloride (PAC) as a flocculant, and subsequently purified by the
microelectrolysis-Fenton (MEF) process. Response surface methodology was employed
to optimize the MEF process, and the optimal conditions were initial pH 3.20,
H2O2 concentration 3.57 g/L, and Fe-C dosage 104.52 g/L. The PAC coagulation
combined with MEF processes obtained a superior decontamination performance, and
the predicted chemical oxygen demand (COD) and humic acids (HA) removal were
respectively 90.27% and 93.79%. The strong fluorescence peak at 425 nm and the
trapping experiment showed that [Formula: see text] was generated during MEF,
which had a strong oxidation ability to degrade organic recalcitrant pollutants.
The ultraviolet-visible spectra and three-dimensional excitation-emission
matrices spectra (3D-EEMs) indicated that PAC coagulation could preferentially
remove protein-like substances, while the MEF process was effective in
destructing organic recalcitrant pollutants, especially humic-like and fulvic
like substances.
PMID- 29364059
TI - Controlled synthesis of Bi2O3/TiO2 catalysts with mixed alcohols for the
photocatalytic oxidation of HCHO.
AB - Bi2O3/TiO2 photocatalysts were prepared by a hydrothermal method. The
photocatalysts were applied to the catalytic oxidation of indoor formaldehyde
vapors under irradiation by an light-emitting diode energy-saving lamp. The
characterization methods including Brunauer-Emmett-Teller, X-ay diffraction, UV
vis spectra, scanning electron microscopy, Transmission electron microscopy and X
ray photoelectron spectroscopy analysis were used to investigate the crystalline
structure, morphology, specific surface area and porosity. The effects of the
preparation conditions, including the type of alcohols, molar ratio and
calcination temperature, on the morphology, structure and crystalline phase of
the catalyst were also investigated. The results reveal that the morphology could
be controlled by using different types of alcohols, especially mixed alcohols.
The morphology played a key role in determining the photodegradation efficiency
of formaldehyde. According to the experimental results, the Bi2O3/TiO2 catalysts
with amorphous particles showed the highest activity. The presence of anatase
TiO2 and Bi4(TiO4)3 with a heterojunction structure was the main reason for the
high activity, and they were beneficial for increasing the separation of the
photogenerated electrons and holes and decreasing their recombination through
electron transformations.
PMID- 29364061
TI - On the perceptual aesthetics of interactive objects.
AB - This study examined the aesthetics of interactive objects (IOs), which are three
dimensional physical artefacts that exhibit autonomous behaviour when handled.
The aim of the research was threefold: first, to investigate whether aesthetic
preference for distinctive objects' structures emerges in compound stimulation;
second, to explore whether there exists aesthetic preference for distinctive
objects' behaviours; and, finally, to test whether there exists aesthetic
preference for specific combinations of objects' structures and behaviours. The
following variables were systematically manipulated: (a) IOs' contour (rounded vs
angular), (b) IOs' size (small vs large), (c) IOs' surface texture (rough vs
smooth), and (d) IOs' behaviour (lighting, sounding, vibrating, and quiescent).
Results show that behaviour was the dominant factor: it influenced aesthetics
more than any other characteristic; vibrating IOs were preferred over lighting
and sounding IOs, supporting the importance of haptic processing in aesthetics.
Results did not confirm the size and smoothness effects previously reported in
vision and touch, respectively, which suggests that the aesthetic preference that
emerges in isolated conditions may be different in compound stimulation. Results
corroborate the smooth curvature effect. We suggest that behavior may be an
aesthetic primitive.
PMID- 29364063
TI - Impact of carbohydrate mouth rinsing on time to exhaustion during Ramadan: A
randomized controlled trial in Jordanian men.
AB - Mouth rinsing using a carbohydrate (CHO) solution has been suggested to improve
physical performance in fasting participants. This study examined the effects of
CHO mouth rinsing during Ramadan fasting on running time to exhaustion and on
peak treadmill speed (Vpeak). In a counterbalanced crossover design, 18 sub-elite
male runners (Age: 21 +/- 2 years, Weight: 68.1 +/- 5.7 kg, VO2max: 55.4 +/- 4.8
ml/kg/min) who observed Ramadan completed a familiarization trial and three
experimental trials. The three trials included rinsing and expectorating a 25 mL
bolus of either a 7.5% sucrose solution (CHO), a flavour and taste matched
placebo solution (PLA) for 10 s, or no rinse (CON). The treatments were performed
prior to an incremental treadmill test to exhaustion. Three-day dietary and
exercise records were obtained on two occasions and analysed. Anthropometric
characteristics were obtained and recorded for all participants. A main effect
for mouth rinse on peak velocity (Vpeak) (CHO: 17.6 +/- 1.5 km/h; PLA: 17.1 +/-
1.4 km/h; CON: 16.7 +/- 1.2 km/h; P < .001, etap2 = 0.49) and time to exhaustion
(CHO: 1282.0 +/- 121.3 s; PLA: 1258.1 +/- 113.4 s; CON: 1228.7 +/- 98.5 s; P =
.002, etap2 = 0.41) was detected, with CHO significantly higher than PLA (P <
.05) and CON (P < .05). Oxygen consumption, heart rate, respiratory exchange
ratio, and rating of perceived exertion were not significantly different between
treatments or trials (P > .05). Energy availability from dietary analysis, body
weight, and fat-free mass did not change during the last two weeks of Ramadan (P
> .05). This study concludes that carbohydrate mouth rinsing improves running
time to exhaustion and peak treadmill speed under Ramadan fasting conditions.
PMID- 29364062
TI - Water-filled training tubes increase core muscle activation and somatosensory
control of balance during squat.
AB - This study examined trunk muscle activation, balance and proprioception while
squatting with a water-filled training tube (WT) and a traditional barbell (BB),
with either closed (CE) or open eyes (OE). Eighteen male elite Gaelic footballers
performed an isometric squat under the following conditions: BB-OE, BB-CE, WT-OE
and WT-CE. The activity of rectus abdominis (RA), external oblique (EO) and
multifidus (MF) was measured using electromyography, along with sway of the
centre of pressure (CoP) using a force platform. Only the EO and the MF muscles
exhibited an increased activity with WT (p < 0.01). In the medio-lateral
direction both the velocity and range of the CoP increased significantly with WT
(p < 0.01). Interestingly, the range of the CoP for the WT-CE condition was
significantly lower than WT-OE (p < 0.05, d = 0.44), whilst the velocity of the
CoP was marginally reduced (d = 0.29). WT elicited a greater level core muscle
activation and created a greater challenge to postural stability when compared to
a BB. It appears that WT does not benefit from vision but emphasises the
somatosensory control of balance. The use of WT may be beneficial in those sports
requiring development of somatosensory/proprioceptive contribution to balance
control.
PMID- 29364064
TI - Uranium oxide catalysts: environmental applications for treatment of chlorinated
organic waste from nuclear industry.
AB - Huge amounts of nuclear waste, including depleted uranium, significantly
contribute to the adverse environmental situation throughout the world. An
approach to the effective use of uranium oxides in catalysts for the deep
oxidation of chlorine-containing hydrocarbons is suggested. Investigation of the
catalytic activity of the synthesized supported uranium oxide catalysts doped
with Cr, Mn and Co transition metals in the chlorobenzene oxidation showed that
these catalysts are comparable with conventional commercial ones. Physicochemical
properties of the catalysts were studied by X-ray diffraction, temperature
programmed reduction with hydrogen (H2-TPR), and Fourier transform infrared
spectroscopy. The higher activity of Mn- and Co-containing uranium oxide
catalysts in the H2-TPR and oxidation of chlorobenzene in comparison with non
uranium catalysts may be related to the formation of a new disperse phase
represented by uranates. The study of chlorobenzene adsorption revealed that the
surface oxygen is involved in the catalytic process.
PMID- 29364065
TI - Validation of Electronic Activity Monitor Devices During Treadmill Walking.
AB - INTRODUCTION: The purpose of this study was to assess the validity of the step
count measurement of commercial electronic activity monitor devices. Two popular
models, Fitbit Charge HR and Mi Band 2, were selected for treadmill walking in a
single session. MATERIALS AND METHODS: Thirty healthy volunteers walked at five
predetermined speeds (0.90, 1.12, 1.33, 1.54, and 1.78 m/s) on a treadmill with
both Fitbit Charge HR and Mi Band 2 worn on their dominant hand's wrist.
Observers counted the steps, with the aid of taped video, which was taken as the
criterion measure for steps. The validity of the electronic activity devices was
assessed by (1) Paired sample t test with the criterion measures and (2)
Pearson's correlation coefficients and the corresponding p-values were calculated
to compare the output of devices with manual step count. In addition, Bland
Altman plots were constructed to visually inspect the data and to assess
agreement with the criterion measures. RESULTS: There were no significant
differences in step measurement between Fitbit Charge HR and Mi Band 2 with the
criterion measures. Besides, there was a very strong agreement between step count
measurements obtained using the Fitbit Charge HR (r = 0.99) and the Mi Band 2 (r
= 0.99), at five predetermined speeds while comparing with the observed step
counts. CONCLUSION: Both Fitbit Charge HR and Mi Band 2 provided accurate step
count measurement in the treadmill walking test.
PMID- 29364066
TI - Physical Training and Upper Limb Strength of people with paraplegia: A Systematic
Review.
AB - CONTEXT: Physical training improves the strength of upper limbs, contributing
directly to the performance of activities of daily life (ADL), confirming one
more time that the strengthened muscle is imperative for a rapid rehabilitation.
OBJECTIVE: investigate the scientific implications of the impact of physical
training on the strength of the upper limbs of people with paraplegias. EVIDENCE
ACQUISITION: the search strategy with truncations and Boolean operator was
defined as: (spinal cord inju* OR traumatic myelopat* OR paraplegi*) AND
(physical exercise OR strength training OR resisted training) AND (upper limb* OR
arm OR armrest), for all of the databases. Were included experimental and quasi
experimental studies, published in the English language and with the complete
text available, with at least one physical exercise that worked with the strength
of the upper limbs. Two independent evaluators extracted from each article data
on study characteristics (publishing year, country of origin and study design),
of the subjects (gender and age), and of the disability (Level of Lesion and
Cause). EVIDENCE SYNTHESIS: Seven articles were included in the systematic
revision. The procedure used the most for measuring the maximum strength was the
1 Repetition Maximum test, followed by isokinetic dynamometer and Quantitative
Muscle Testing System (QMT). Furthermore, the variables most commonly associated
in the included studies were pain in the shoulder, cardiorespiratory capacity and
functionality, respectively. The results showed that all of the variables
improved because of the training. CONCLUSIONS: The training improved the
strength, the functionality and reduces the pain on the shoulder of the people
with paraplegia.
PMID- 29364067
TI - Effect of experimental muscle pain on the acquisition and retention of locomotor
adaptation: different motor strategies for a similar performance.
AB - As individuals with musculoskeletal disorders often experience motor impairments,
contemporary rehabilitation relies heavily on the use of motor learning
principles. However, motor impairments are often associated with pain. Although
there is substantial evidence that muscle pain interferes with motor control,
much less is known on its impact on motor learning. The objective of the present
study was to assess the effects of muscle pain on locomotor learning. Two groups
(Pain and Control) of healthy participants performed a locomotor adaptation task
(robotized ankle-foot orthosis perturbing ankle movements during swing) on two
consecutive days. On day 1 (acquisition), hypertonic saline was injected in the
tibialis anterior (TA) muscle of the Pain group participants, while Control group
participants were pain free. All participants were pain free on day 2
(retention). Changes in movement errors caused by the perturbation were assessed
as an indicator of motor performance. Detailed analysis of kinematic and
electromyographic data provided information about motor strategies. No between
group differences were observed on motor performance measured during the
acquisition and retention phases. However, Pain group participants had a residual
movement error later in the swing phase and smaller early TA activation than
Control group participants, thereby suggesting a reduction in the use of
anticipatory motor strategies to overcome the perturbation. Muscle pain did not
interfere with global motor performance during locomotor adaptation. The
different motor strategies used in the presence of muscle pain may reflect a
diminished ability to anticipate the consequences of a perturbation. NEW &
NOTEWORTHY This study shows that experimental muscle pain does not influence
global motor performance during the acquisition or next-day retention phases of
locomotor learning. This contrasts with previous results obtained with cutaneous
pain, emphasizing the risk of directly extrapolating from one pain modality to
another. Muscle pain affected motor strategies used when performing the task,
however: it reduced the ability to use increased feedforward control to overcome
the force field.
PMID- 29364068
TI - Methods for chair restraint and training of the common marmoset on oculomotor
tasks.
AB - The oculomotor system is the most thoroughly understood sensorimotor system in
the brain, due in large part to electrophysiological studies carried out in
macaque monkeys trained to perform oculomotor tasks. A disadvantage of the
macaque model is that many cortical oculomotor areas of interest lie within
sulci, making high-density array and laminar recordings impractical. Many
techniques of molecular biology developed in rodents, such as optogenetic
manipulation of neuronal subtypes, are also limited in this species. The common
marmoset ( Callithrix jacchus) possesses a smooth cortex, allowing easy access to
frontoparietal oculomotor areas, and may bridge the gap between systems
neuroscience in macaques and molecular techniques. Techniques for restraint,
training, and neural recording in these animals have been well developed in
auditory neuroscience. Those for oculomotor neuroscience, however, remain at a
relatively early stage. In this article we provide details of a custom-designed
restraint chair for marmosets, a combination head restraint/recording chamber
allowing access to cortical oculomotor areas and providing stability suitable for
eye movement and neural recordings, as well as a training protocol for oculomotor
tasks. We additionally report the results of a psychophysical study in marmosets
trained to perform a saccade task using these methods, showing that, as in rhesus
and humans, marmosets exhibit a "gap effect," a decrease in reaction time when
the fixation stimulus is removed before the onset of a visual saccade target.
These results are the first evidence of this effect in marmosets and support the
common marmoset model for neurophysiological investigations of oculomotor
control. NEW & NOTEWORTHY The ability to carry out neuronal recordings in
behaving primates has provided a wealth of information regarding the neural
circuits underlying the control of eye movements. Such studies require restraint
of the animal within a primate chair, head fixation, methods of acclimating the
animals to this restraint, and the use of operant conditioning methods for
training on oculomotor tasks. In contrast to the macaque model, relatively few
studies have reported in detail methods for use in the common marmoset. In this
report we detail custom-designed equipment and methods by which we have used to
successfully train head-restrained marmosets to perform basic oculomotor tasks.
PMID- 29364069
TI - The signature of undetected change: an exploratory electrotomographic
investigation of gradual change blindness.
AB - Neuroimaging-based investigations of change blindness, a phenomenon in which
seemingly obvious changes in visual scenes fail to be detected, have
significantly advanced our understanding of visual awareness. The vast majority
of prior investigations, however, utilize paradigms involving visual disruptions
(e.g., intervening blank screens, saccadic movements, "mudsplashes"), making it
difficult to isolate neural responses toward visual changes cleanly. To address
this issue in this present study, high-density EEG data (256 channel) were
collected from 25 participants using a paradigm in which visual changes were
progressively introduced into detailed real-world scenes without the use of
visual disruption. Oscillatory activity associated with undetected changes was
contrasted with activity linked to their absence using standardized low
resolution brain electromagnetic tomography (sLORETA). Although an insufficient
number of detections were present to allow for analysis of actual change
detection, increased beta-2 activity in the right inferior parietal lobule
(rIPL), a region repeatedly associated with change blindness in disruption
paradigms, followed by increased theta activity in the right superior temporal
gyrus (rSTG) was noted in undetected visual change responses relative to the
absence of change. We propose the rIPL beta-2 activity to be associated with
orienting attention toward visual changes, with the subsequent rise in rSTG theta
activity being potentially linked with updating preconscious perceptual memory
representations. NEW & NOTEWORTHY This study represents the first neuroimaging
based investigation of gradual change blindness, a visual phenomenon that has
significant potential to shed light on the processes underlying visual detection
and conscious perception. The use of gradual change materials is reflective of
real-world visual phenomena and allows for cleaner isolation of signals
associated with the neural registration of change relative to the use of abrupt
change transients.
PMID- 29364070
TI - Swimming rhythm generation in the caudal hindbrain of the lamprey.
AB - The spinal cord has been well established as the site of generation of the
locomotor rhythm in vertebrates, but studies have suggested that the caudal
hindbrain in larval fish and amphibians can also generate locomotor rhythms.
Here, we investigated whether the caudal hindbrain of the adult lamprey (
Petromyzon marinus and Ichthyomyzon unicuspis) has the ability to generate the
swimming rhythm. The hindbrain-spinal cord transition zone of the lamprey
contains a bilateral column of somatic motoneurons that project via the spino
occipital (S-O) nerves to several muscles of the head. In the brainstem-spinal
cord-muscle preparation, these muscles were found to burst and contract
rhythmically with a left-right alternation when swimming activity was evoked with
a brief electrical stimulation of the spinal cord. In the absence of muscles, the
isolated brainstem-spinal cord preparation also produced alternating left-right
bursts in S-O nerves (i.e., fictive swimming), and the S-O nerve bursts preceded
the bursts occurring in the first ipsilateral spinal ventral root. After physical
isolation of the S-O region using transverse cuts of the nervous system, the S-O
nerves still exhibited rhythmic bursting with left-right alternation when
glutamate was added to the bathing solution. We conclude that the S-O region of
the lamprey contains a swimming rhythm generator that produces the leading motor
nerve bursts of each swimming cycle, which then propagate down the spinal cord to
produce forward swimming. The S-O region of the hindbrain-spinal cord transition
zone may play a role in regulating speed, turning, and head orientation during
swimming in lamprey. NEW & NOTEWORTHY Although it has been well established that
locomotor rhythm generation occurs in the spinal cord of vertebrates, it was
unknown whether the hindbrain of the adult vertebrate nervous system can also
generate the locomotor rhythm. Here, we show that the isolated hindbrain-spinal
cord transition zone of adult lamprey can generate the swimming rhythm. In
addition, the swimming bursts of the hindbrain lead the bursts occurring in the
first segment of the spinal cord.
PMID- 29364071
TI - Regulation of Eag by Ca2+/calmodulin controls presynaptic excitability in
Drosophila.
AB - Drosophila ether-a-go-go ( eag) is the founding member of a large family of
voltage-gated K+ channels, the KCNH family, which includes Kv10, 11, and 12.
Concurrent binding of calcium/calmodulin (Ca2+/CaM) to NH2- and COOH-terminal
sites inhibits mammalian EAG1 channels at submicromolar Ca2+ concentrations,
likely by causing pore constriction. Although the Drosophila EAG channel was
believed to be Ca2+-insensitive (Schonherr R, Lober K, Heinemann SH. EMBO J 19:
3263-3271, 2000.), both the NH2- and COOH-terminal sites are conserved. In this
study we show that Drosophila EAG is inhibited by high Ca2+ concentrations that
are only present at plasma membrane Ca2+ channel microdomains. To test the role
of this regulation in vivo, we engineered mutations that block CaM-binding to the
major COOH-terminal site of the endogenous eag locus, disrupting Ca2+-dependent
inhibition. eag CaMBD mutants have reduced evoked release from larval motor
neuron presynaptic terminals and show decreased Ca2+ influx in stimulated adult
projection neuron presynaptic terminals, consistent with an increase in K+
conductance. These results are predicted by a conductance-based multicompartment
model of the presynaptic terminal in which some fraction of EAG is localized to
the Ca2+ channel microdomains that control neurotransmitter release. The
reduction of release in the larval neuromuscular junction drives a compensatory
increase in motor neuron somatic excitability. This misregulation of synaptic and
somatic excitability has consequences for systems-level processes and leads to
defects in associative memory formation in adults. NEW & NOTEWORTHY Regulation of
excitability is critical to tuning the nervous system for complex behaviors. We
demonstrate in this article that the EAG family of voltage-gated K+ channels
exhibit conserved gating by Ca2+/CaM. Disruption of this inhibition in Drosophila
results in decreased evoked neurotransmitter release due to truncated Ca2+ influx
in presynaptic terminals. In adults, disrupted Ca2+ dynamics cripples memory
formation. These data demonstrate that the biophysical details of channels have
important implications for cell function and behavior.
PMID- 29364072
TI - The antihelminthic moxidectin enhances tonic GABA currents in rodent hippocampal
pyramidal neurons.
AB - Macrocyclic lactones (MLs) are commonly used treatments for parasitic worm and
insect infections in humans, livestock, and companion animals. MLs target the
invertebrate glutamate-activated chloride channel that is not present in
vertebrates. MLs are not entirely inert in vertebrates, though; they have been
reported to have activity in heterologous expression systems consisting of ligand
gated ion channels that are present in the mammalian central nervous system
(CNS). However, these compounds are typically not able to reach significant
concentrations in the CNS because of the activity of the blood-brain barrier P
glycoprotein extrusion system. Despite this, these compounds are able to reach
low levels in the CNS that may be useful in the design of novel "designer" ligand
receptor systems that can be used to directly investigate neuronal control of
behavior in mammals and have potential for use in treating human neurological
diseases. To determine whether MLs might affect neurons in intact brains, we
investigated the activity of the ML moxidectin (MOX) at native GABA receptors.
Specifically, we recorded tonic and phasic miniature inhibitory postsynaptic
currents (mIPSCs) in ex vivo brain slices. Our data show that MOX potentiated
tonic GABA currents in a dose-dependent manner but had no concomitant effects on
phasic GABA currents (i.e., MOX had no effect on the amplitude, frequency, or
decay kinetics of mIPSCs). These studies indicate that behavioral experiments
that implement a ML-based novel ligand-receptor system should take care to
control for potential effects of the ML on native tonic GABA receptors. NEW &
NOTEWORTHY We have identified a novel mechanism of action in the mammalian
central nervous system for the antihelminthic moxidectin, commonly prescribed to
animals worldwide and currently being evaluated for use in humans. Specifically,
moxidectin applied to rodent brain slices selectively enhanced the tonic GABA
conductance of hippocampal pyramidal neurons.
PMID- 29364074
TI - Non-gait-specific intervention for the rehabilitation of walking after SCI: role
of the arms.
AB - Arm movements modulate leg activity and improve gait efficiency; however, current
rehabilitation interventions focus on improving walking through gait-specific
training and do not actively involve the arms. The goal of this project was to
assess the effect of a rehabilitation strategy involving simultaneous arm and leg
cycling on improving walking after incomplete spinal cord injury (iSCI). We
investigated the effect of 1) non-gait-specific training and 2) active arm
involvement during training on changes in over ground walking capacity.
Participants with iSCI were assigned to simultaneous arm-leg cycling (A&L) or
legs only cycling (Leg) training paradigms, and cycling movements were assisted
with electrical stimulation. Overground walking speed significantly increased by
0.092 +/- 0.022 m/s in the Leg group and 0.27 +/- 0.072m/s in the A&L group after
training. Whereas the increases in the Leg group were similar to those seen after
current locomotor training strategies, increases in the A&L group were
significantly larger than those in the Leg group. Walking distance also
significantly increased by 32.12 +/- 8.74 m in the Leg and 91.58 +/- 36.24 m in
the A&L group. Muscle strength, sensation, and balance improved in both groups;
however, the A&L group had significant improvements in most gait measures and had
more regulated joint kinematics and muscle activity after training compared with
the Leg group. We conclude that electrical stimulation-assisted cycling training
can produce significant improvements in walking after SCI. Furthermore, active
arm involvement during training can produce greater improvements in walking
performance. This strategy may also be effective in people with other neural
disorders or diseases. NEW & NOTEWORTHY This work challenges concepts of task
specific training for the rehabilitation of walking and encourages coordinated
training of the arms and legs after spinal cord injury. Cycling of the legs
produced significant improvements in walking that were similar in magnitude to
those reported with gait-specific training. Moreover, active engagement of the
arms simultaneously with the legs generated nearly double the improvements
obtained by leg training only. The cervico-lumbar networks are critical for the
improvement of walking.
PMID- 29364075
TI - Psychoanalysis and the Challenges of Disciplinary Exportation: Notes from the
African "Periphery" on the Method/Theory Distinction.
AB - This paper briefly reports on the current expansion of opportunities for clinical
education in psychoanalysis in southern Africa, various regions of Asia, and
Iran. It is a preliminary reconsideration of whether the disciplinary export of
psychoanalysis is another egregious exercise in neo-colonialism, as contrasted
with its possibly liberatory significance. The author argues that much of the
discussion around the universality of many theoretical propositions needs to be
reformulated. For example, the controversies over the Oedipus complex have
typically been articulated in terms of the effects of specific social
arrangements, familial structures, and styles of maternal and paternal
functioning, rather than in terms of the universal-but with much cultural
variation in its implementation-incest taboo. It is argued that dissemination of
the psychoanalytic method (and the four coordinates that follow from it) may be
desirable, but in ways the export of the hermeneutic assumptions of certain
theoretical models is not.
PMID- 29364073
TI - Amplitude modulation coding in awake mice and squirrel monkeys.
AB - Both mice and primates are used to model the human auditory system. The primate
order possesses unique cortical specializations that govern auditory processing.
Given the power of molecular and genetic tools available in the mouse model, it
is essential to understand the similarities and differences in auditory cortical
processing between mice and primates. To address this issue, we directly compared
temporal encoding properties of neurons in the auditory cortex of awake mice and
awake squirrel monkeys (SQMs). Stimuli were drawn from a sinusoidal amplitude
modulation (SAM) paradigm, which has been used previously both to characterize
temporal precision and to model the envelopes of natural sounds. Neural responses
were analyzed with linear template-based decoders. In both species, spike timing
information supported better modulation frequency discrimination than rate
information, and multiunit responses generally supported more accurate
discrimination than single-unit responses from the same site. However, cortical
responses in SQMs supported better discrimination overall, reflecting superior
temporal precision and greater rate modulation relative to the spontaneous
baseline and suggesting that spiking activity in mouse cortex was less strictly
regimented by incoming acoustic information. The quantitative differences we
observed between SQM and mouse cortex support the idea that SQMs offer advantages
for modeling precise responses to fast envelope dynamics relevant to human
auditory processing. Nevertheless, our results indicate that cortical temporal
processing is qualitatively similar in mice and SQMs and thus recommend the mouse
model for mechanistic questions, such as development and circuit function, where
its substantial methodological advantages can be exploited. NEW & NOTEWORTHY To
understand the advantages of different model organisms, it is necessary to
directly compare sensory responses across species. Contrasting temporal
processing in auditory cortex of awake squirrel monkeys and mice, with
parametrically matched amplitude-modulated tone stimuli, reveals a similar role
of timing information in stimulus encoding. However, disparities in response
precision and strength suggest that anatomical and biophysical differences
between squirrel monkeys and mice produce quantitative but not qualitative
differences in processing strategy.
PMID- 29364076
TI - Studium and Punctum in Psychoanalytic Writing: Reading Case Studies Through
Roland Barthes.
AB - This paper focuses on the link between Roland Barthes's reflection on photography
and the essential characteristics of psychoanalytic case studies. The case study,
like the photograph, seeks to take hold of something nearly intangible. It
attempts to capture in time, space, and language something whose dynamic presence
remains elusive. The attempt to capture this object often strips it of its
essence. Case studies may be accurate on their face while giving us the
unpleasant sense that they have "deadened" their object in the process. This
paper attempts to clarify what is dropped from the picture that the
psychoanalytic writing is trying to take. The relation between the "cultural
context" (the Studium) and the freedom to puncture and undermine this context in
psychoanalytic writing is discussed through a fresh reading of Georges Perec's
"W, or the Memory of Childhood" and through clinical vignettes by Ronald Britton
and Michael Eigen.
PMID- 29364077
TI - Einstein, Time, and the Unconscious.
AB - This paper discusses how we interpret and experience time and how such
experiences affect our understanding of the topographical as well as the
structural models. Following the thought of both ancient Hindu teachings (the
Upanishads) and contemporary findings from quantum mechanics, the paper frames
the discussion within a unitary experience of both mind and everyday experience.
The function and role of clinical interpretations are also discussed. Following
the tradition articulated in Roy Schaffer's action language model as well as
insights from existentialism, the paper offers a deeper appreciation of
individual agency and its role in self-understanding and personal growth.
PMID- 29364078
TI - Equivalence in Freud's Primary Process.
AB - Freud's concept of the primary process, when confined to the selection of objects
for the formation of dreams or symptoms, depends strongly on the concept of
association, which in turn depends strongly on the logic of pars pro toto. An
examination of how Freud saw the primary process selecting objects leads to the
identification of an equivalence relationship as governing his model. This
results in a logical understanding of how the primary process works in forming
associations and choosing candidate objects for dreams and symptoms. The
relationship of this result to the work of Matte Blanco is also discussed, and
the insights of this paper are applied to defining Freud's concept of a complex.
PMID- 29364080
TI - From Continuity to Contiguity: A Response to the Fraught Temporality of Gender.
AB - This paper examines current psychoanalytic engagements with the use of hormone
blockers in transsexual children and the underlying premises concerning our
understanding of the child's process of coming into his or her gendered self.
Rather than taking sides in the debate, I explore how the "hormones question"
becomes entangled in a series of misreadings and displacements through which the
child's request could potentially be missed. In examining psychoanalytic
conceptualizations of the trans child's agency, autonomy, and future and the
relation between the natal body and gender, I ask, how is psychoanalytic
discourse implicated in the very dilemmas it attempts to elucidate? Specifically,
the essay examines critically the psychoanalytic use of continuity, authenticity,
and alignment as implicit ideals, interrogates the focus on mourning as
therapeutic horizon, and proposes that we conceive of gender as a good-enough
placeholder with the potential to carry us from the ideal of continuity to an
ethos of contiguity.
PMID- 29364082
TI - Eros and Thanatos: A Nondualistic Interpretation: The Dynamic of Drives in
Personal and Civilizational Development From Freud to Marcuse.
AB - The Freudian theory of drives gave prominence to the idea that there is an
inherent principle of entropy, a tendency for dissolution of life, referred to as
the Death drive, or Thanatos. Freud recognized a counterbalancing tendency for
sustaining life, known as the Life drive, or Eros. The psychoanalytical
expounding of the struggle of Eros and Thanatos in the context of the
civilizational process sparked the philosophical critique of civilization.
Although Freud tended to consider repression an indispensable dimension of this
process, the author proposes in this paper that Herbert Marcuse's political
critique took Freud's metapsychology further philosophically, suggesting a
nondualistic interpretation of Freud's position.
PMID- 29364083
TI - Effectiveness of hand cooling and a cooling jacket on post-exercise cooling rates
in hyperthermic athletes.
AB - This study compared the effects of a hand cooling glove (~16 degrees C water
temperature; subatmospheric pressure of -40 mmHg) and a cooling jacket (CJ) on
post-exercise cooling rates (gastrointestinal core temperature, Tc; skin
temperature, Tsk) and cognitive performance (the Stroop Colour-Word test). Twelve
male athletes performed four trials (within subjects, counterbalanced design)
involving cycling at a workload equivalent to 75% ?O2max in heat (35.7 +/- 0.2
degrees C, 49.2 +/- 2.6% RH) until a Tc of 39 degrees C or exhaustion occurred. A
30-min cooling period (in 22.3 +/- 0.3 degrees C, 42.1 +/- 3.6% RH) followed,
where participants adopted either one-hand cooling (1H), two-hand cooling (2H),
wore a CJ or no cooling (NC). No significant differences were seen in Tc and Tsk
cooling rates between trials; however, moderate effect sizes (d = 0.50-0.76)
suggested Tc cooling rates to be faster for 1H, 2H and CJ compared to NC after 5
min; 1H and CJ compared to NC after 10 min and for CJ to be faster than 2H at 25
30 min. Reaction times on the cognitive test were similar between all trials
after the 30 min cooling/no-cooling period (p > .05). In conclusion, Tc cooling
rates were faster with 1H and CJ during the first 10 min compared to NC, with
minimal benefit associated with 2H cooling. Reaction time responses were not
impacted by the use of the glove(s) or CJ.
PMID- 29364084
TI - Measured and perceived indices of fluid balance in professional athletes. The use
and impact of hydration assessment strategies.
AB - BACKGROUND: To determine athletes perceived and measured indices of fluid balance
during training and the influence of hydration strategy use on these parameters.
METHODS: Thirty-three professional rugby union players completed a 120 minute
training session in hot conditions (35 degrees C, 40% relative humidity). Pre
training hydration status, sweat loss, fluid intake and changes in body mass (BM)
were obtained. The use of hydration assessment techniques and players perceptions
of fluid intake and sweat loss were obtained via a questionnaire. RESULTS: The
majority of players (78%) used urine colour to determine pre-training hydration
status but the use of hydration assessment techniques did not influence pre
training hydration status (1.025 +/- 0.005 vs. 1.023 +/- 0.013 g.ml-1, P = .811).
Players underestimated sweat loss (73 +/- 17%) to a greater extent than fluid
intake (37 +/- 28%) which resulted in players perceiving they were in positive
fluid balance (0.5 +/- 0.8% BM) rather than the measured negative fluid balance (
1.0 +/- 0.7% BM). Forty-eight percent of players used hydration monitoring
strategies during exercise but no player used changes in BM to help guide fluid
replacement. CONCLUSION: Players have difficulty perceiving fluid intake and
sweat loss during training. However, the use of hydration monitoring techniques
did not affect fluid balance before or during training.
PMID- 29364085
TI - Characterization of Diffuse Intrinsic Pontine Glioma Radiosensitivity using
Synchrotron Microbeam Radiotherapy and Conventional Radiation Therapy In Vitro.
AB - Synchrotron microbeam radiation therapy is a promising preclinical radiotherapy
modality that has been proposed as an alternative to conventional radiation
therapy for diseases such as diffuse intrinsic pontine glioma (DIPG), a
devastating pediatric tumor of the brainstem. The primary goal of this study was
to characterize and compare the radiosensitivity of two DIPG cell lines (SF7761
and JHH-DIPG-1) to microbeam and conventional radiation. We hypothesized that
these DIPG cell lines would exhibit differential responses to each radiation
modality. Single cell suspensions were exposed to microbeam (112, 250, 560, 1,180
Gy peak dose) or conventional (2, 4, 6 and 8 Gy) radiation to produce clonogenic
cell-survival curves. Apoptosis induction and the cell cycle were also analyzed
five days postirradiation using flow cytometry. JHH-DIPG-1 cells displayed
greater radioresistance than SF7761 to both microbeam and conventional radiation,
with higher colony formation and increased accumulation of G2/M-phase cells.
Apoptosis was significantly increased in SF7761 cells compared to JHH-DIPG-1
after microbeam irradiation, demonstrating cell-line specific differential
radiosensitivity to microbeam radiation. Additionally, biologically equivalent
doses to microbeam and conventional radiation were calculated based on clonogenic
survival, furthering our understanding of the response of cancer cells to these
two radiotherapy modalities.
PMID- 29364086
TI - The inositol-1,2-cyclic phosphate moiety of the cross-reacting determinant,
carbohydrate chains, and proteinaceous components are all responsible for the
cross-reactivity of trypanosome variant surface glycoproteins.
AB - Salivarian trypanosomes evade the host immune system by continually swapping
their protective variant surface glycoprotein (VSG) coat. Given that VSGs from
various trypanosome stocks exhibited cross-reactivity (Camargo et al., Vet.
Parasitol. 207, 17-33, 2015), we analyzed here which components are the antigenic
determinants for this cross-reaction. Soluble forms of VSGs were purified from
four Venezuelan animal trypanosome isolates: TeAp-N/D1, TeAp-ElFrio01, TeAp
Mantecal01, and TeGu-Terecay323. By using the VSG soluble form from TeAp-N/D1, we
found that neither the inositol-1,2-cyclic phosphate moiety of the cross-reacting
determinant nor the carbohydrate chains were exclusively responsible for its
cross-reactivity. Then, all four purified glycoproteins were digested with papain
and the resulting peptides were separated by high-performance liquid
chromatography. Dot blot evaluation of the fractions using sera from trypanosome
infected animals yielded peptides that possessed cross-reaction activity,
demonstrating for the first time that proteinaceous epitopes are also responsible
for the cross-reactivity of trypanosome VSGs.
PMID- 29364087
TI - A saga about the origin of a journal named CRANIO: The first 3 years.
PMID- 29364088
TI - Human craniofacial evolution: A cause for obstructive sleep apnea.
PMID- 29364089
TI - Performance of middle-aged and elderly European minority and majority populations
on a Cross-Cultural Neuropsychological Test Battery (CNTB).
AB - OBJECTIVE: The aim of this study was to examine test performance on a cross
cultural neuropsychological test battery for assessment of middle-aged and
elderly ethnic minority and majority populations in western Europe, and to
present preliminary normative data. METHOD: The study was a cross-sectional multi
center study. Tests in the European Cross-Cultural Neuropsychological Test
Battery (CNTB) cover several cognitive domains, including global cognitive
function, memory, executive functions, and visuospatial functions. RESULTS: A
total of 330 participants were included: 14 Moroccan, 45 Pakistani/Indian
Punjabi, 41 Polish, 66 Turkish, and 19 former Yugoslavian minority participants,
and 145 western European majority participants. Significant differences between
ethnic groups were found on most CNTB measures. However, ethnic groups differed
greatly in demographic characteristics and differences in test scores were mainly
related to educational differences, explaining an average of 15% of the variance.
Preliminary multicultural CNTB normative data dichotomized by education and age
were constructed using overlapping cells. Applying this normative data across the
whole sample resulted in an acceptable number of participants scoring in the
impaired range across all ethnic groups. Factor analyses found the CNTB to have a
stable and clinically meaningful factor structure. CONCLUSIONS: The CNTB
represents the first European joint effort to establish neuropsychological
measures appropriate for ethnic minority populations in western Europe. The CNTB
can be applied in approximately 60 min, covers several cognitive domains, and
appears appropriate for assessment of the targeted populations. However, due to
the small sample size in some ethnic groups further studies are needed replicate
and support this.
PMID- 29364090
TI - Serum concentrations of Cyclophilin A in patients with Nonalcoholic Fatty Liver
Disease.
AB - AIM: Nonalcoholic fatty liver disease (NAFLD) is one of the most common causes of
chronic liver disease and its incidence is rising worldwide. Cyclophilin A (CyPA)
is a protein, which is secreted under the presence of oxidative stress and
hyperglycemia, and it plays role in proinflammatory signal reduction. In this
study we investigated serum levels of CyPA in patients with biopsy proven NAFLD
and examined their association with clinical and histological phenotypes.
METHODS: In this study, we identified serum levels of CyPA in patients with NAFLD
(n=52) and healthy controls without evidence of any liver disease (n=44). The
levels of CyPA were measured by enzyme-linked immunosorbent assay and were
compared between two study groups. Furthermore, serum levels of CyPA were
assessed in relation to the clinical characteristics of the study participants.
RESULTS: Serum levels of CyPA were significantly higher in patients with NAFLD
(3,8+/-2,6 ug/ml, P=0.03) compared to healthy controls (2,8+/-1,8 ug/ml).
Moreover, concentrations of CyPA were 2,8+/-1,8, 3,4+/-2,3, and 4,2+/-2,9 ug/ml
in control group, non-diabetic and diabetic NAFLD patients, respectively. The
difference between the groups was statistically significant (P=0.04). There was
significant correlation between the serum concentrations of CyPA and glucose
levels (P=0.01), but there was no significant correlation with other clinical and
histologic parameters. CONCLUSIONS: Our data suggest that CyPA levels are
elevated in patients with NAFLD, especially in patients with diabetes. (Acta
gastroenterol. belg., 2017, 80, 3-7).
PMID- 29364091
TI - Observation of combined/optimized therapy of Lamivudine and Adefovir Dipivoxyl
for hepatitis B-induced decompensated cirrhosis with baseline HBV DNA>1,000
IU/mL.
AB - OBJECTIVE: This study aimed to observe and compare the efficacy and safety of the
combined therapy and two different optimized therapies of lamivudine (LAM) and
adefovir dipivoxil (ADV), as well as entecavir (ETV) monotherapy in patients with
hepatitis B-induced decompensated cirrhosis. Method : A total of 127 patients
with decompensated cirrhosis were divided into four groups, and each group
received different doses of regimens: initial combination of LAM and ADV, ADV add
on therapies with previous 12-week LAM, ADV add-on therapies with previous 24
week LAM, and ETV monotherapy. RESULTS: At the end of the treatment, the level of
alanine amino-transferase (ALT), albumin (ALB) and total bilirubin (TBIL) in the
combination therapy group and 12-week optimized therapy group were significantly
improved. For the 24-week optimized therapy group, only ALT levels revealed a
significant improvement. There were no obvious differences in the normalization
rate of ALT, negative conversion rate of HBV DNA and HBeAg, as well as
improvement in Child-Pugh scores among the combination therapy group, 12-week
optimized therapy group, and ETV monotherapy group. However, the difference among
these three groups and the 24-week optimized therapy group were significant.
Differences were not observed in the HBeAg seroconversion between each group.
Differences in blood urea nitrogen, serum creatinine, creatine kinase, or other
serious adverse effects were not observed in each group at the end of the 96-week
treatment. CONCLUSION: Combination therapy and early ADV addition were the
preferred approaches in the antiviral strategy for the treatment of hepatitis B
induced decompensated cirrhosis.
PMID- 29364092
TI - Nodular Regenerative Hyperplasia in HIV-positive patients : a case series and
review of the literature.
AB - Nodular regenerative hyperplasia (NRH) is a well-described condition that leads
to non-cirrhotic portal hypertension and is histologically characterised by a
nodular transformation of the liver without fibrosis. It seems to be a
consequence of obliterative portal venopathy of small hepatic veins. Its precise
aetiology remains to be clearly determined. NRH was reported to occur in HIV
positive patients ten years ago. In this article, three consecutive clinical
cases of HIV-related NRH were identified in a high volume reference centre of HIV
positive patients and are presented. Clinical, diagnostic aspects and strategies
for management of this under-diagnosed medical condition in the HIV population
are also developed.
PMID- 29364093
TI - The role of insulin - like growth factor - 1 on steatohepatitis.
AB - PURPOSE: Recent studies have revealed that growth hormone and STAT5 were related
to hepatosteatosis in mice. Loss of signal transducer and activator of
transcription factor-5 leads to hepatosteatosis and impaired liver regeneration.
We aimed to investigate the role of IGF-1 in steatosis with normal (SNLFT) and
disturbed liver function tests (SDLFT) in humans. METHODS: We included 272 NAFLD
patients and 110 age, sex and body mass index (BMI)-matched healty controls. We
measured routine blood biochemistry and complete blood count, IGF-1, insulin, c
peptide, ferritin, hsCRP, ESR and HOMA-IR. We subdivided NAFLD patients into
SNLFT and SDLFT subgroups. RESULTS: ge, sex and BMI were similar between NAFLD
and controls. IGF-1 levels were significantly lower in NAFLD patients (120,6+/
48,2) than controls (148,9+/-53,8), (<0,0001). IGF-1 levels were also lower in
SDLFT subgroup (93,4+/-27,8) than SNLFT subgroup (123,1+/-49,0), (p:0,032). Waist
circumference, fasting blood glucose, HbA1c, uric acid, hsCRP, AST, ALT, GGT,
WBC, hemoglobin, hematocrit, ferritin, insulin, c-peptid and HOMA-IR measurements
were significantly higher in NAFLD patients than controls (for all values:
p<0,0001).Cholesterol (p:0,026), triglycerides (p<0,0001), ESR (p:0,006) were
significantly higher in NAFLD patients than controls. HDL-chelesterol levels were
significantly lower (p:0,002) in NAFLD patients than controls. CONCLUSION: This
study supported previous findings of experi-mental studies in that, IGF-1 levels
were lower in SNLFT and SDLFT. Growth hormone-IGF-1 system may be involved in the
pathogenesis of NAFLD.
PMID- 29364094
TI - Very low rates of Helicobacter pylori infection in organ transplant recipients
presenting with peptic ulcer disease.
AB - BACKGROUND: Leading causative factors of peptic ulcer disease (PUD) in the
general population are infection with Helicobacter pylori (HP) and exposure to
non-steroidal anti-inflammatory drugs (NSAID). We hypothesized that this may be
different in transplant recipients given increased exposure of immunosuppressive
and anti-microbial drugs. METHODS: We performed a retrospective single center
analysis of all patients presenting with PUD to the endoscopy unit at a tertiary
care and transplant center in Germany between 2006 and 2013. PUD was diagnosed by
upper endoscopy. HP was identified by biopsy and histology. Organ transplant
recipients were compared to non-transplant recipients (control group). RESULTS:
66 patients with PUD were identified in the study period. 12% (44/366) had
previously received an organ transplant. 7% (3/44) of transplant recipients were
found to be positive for HP compared to 25% (81/322) in the control group
(p=0.007). Even when excluding patients taking proton-pump-inhibitors (PPI) from
the analysis rates were similar with 30% (65/214) of the ulcers being HP positive
in the control group compared to 14% (1/7) in transplant recipients (p=0.006).
Furthermore, in the transplant recipient group rates of being in intensive care,
concurrent PPI and concurrent antibiotic medication were significantly higher
than in the control group. CONCLUSION: Organ transplant recipients with PUD have
lower rates of Helicobacter pylori positivity compared to the general population.
PMID- 29364095
TI - Immunohistochemical expression of CDX2, CK7, HER2 and HER4 in periampullary
adenocarcinoma : implications for clinicopathology and patient outcomes.
AB - Background: Periampullary carcinomas originate from the pancreatic head, the
ampulla, the distal bile duct, or the duodenum. The expression of CK7 and CDX2
has been used in the classification of periampullary carcinomas. There is
prognostic value of human epidermal growth factor receptor (HER) 2 and HER 4,
which have been linked to poor prognosis in several types of tumors, such as
breast and gastric carcinomas. We aimed to evaluate the expression and prognostic
value of CDX2, CK7, HER 2, and HER4 in periampullary adenocarcinoma. Patients and
Methods: We retrospectively selected 98 patients who had undergone
pancreatoduodenectomy for periampullary adenocarcinoma at our pathology
department. The tumor location, pathological subtype, involvement of vessels and
lymph nodes, perineural invasion, clinical follow-up, and tumorstage were noted.
Immunohistochemistry was performed for CK7, CDX2, HER2, and HER4. Results: CDX2
staining was predictive of perineural invasion. Additionally, there was a
significant association between the overexpression of HER2 and HER4 and the
presence of perineural invasion. HER4 was significantly positive in patients with
the pancreatobiliary subtype compared with patients with the intestinal subtype.
Patients with the pancreatobiliary subtype, lymph node involvement, and advanced
pT and UICC stages had significantly lower median survival. Conclusion: Our
findings suggest that only pancreatobiliary subtype, lymph node involvement and
advanced pT and UICC stages were independent predictors of short survival, but
the ampulla tumor location predicted a significantly better survival time. The
immunohistochemical expression of CDX2, CK7, HER4, and HER2, vessel involvement,
and perineural invasion were not associated with the survival of patients with
periampullary adenocarcinoma.
PMID- 29364096
TI - The efficiency of Levofloxacin Containing Sequential Therapy with or without
Bismuth, in Helicobacter Pylori Eradication, in Non-ulcer Dyspepsia.
AB - INTRODUCTION: The aim of this study is to determine the efficiency of
levofloxacin containing sequential therapy with or without bismuth in
Helicobacter pylori (Hp) eradication in nonulcer dyspepsia. MATERIALS AND
METHODS: One hundred and ninety Hp-positive patients with the pre-diagnosis of
nonulcer dyspepsia were included in this study. Patients were randomized into 2
groups and 95 individuals were included in each group. The first group was
administered levofloxacin containing sequential therapy with bismuth, whereas the
second group was administered only levofloxacin containing sequential therapy.
Rates of therapy discontinuation and eradication success were compared between
the 2 groups. Furthermore, symptomatic healing rates were com-pared between
patients in whom Hp eradication was achieved and in whom it was not achieved.
RESULTS: Ninety-one patients from each group applied for follow-up after
treatment. It was found that 7/91(7.6%) patients from the first group and
5/91(5.4%) patients from the second group did not complete the therapy (p >0.05).
In patients who completed therapy, Hp eradication was achieved in 72 out of the
84 patients (85.2%) from the first group and 71 out of 86 patients (82.6%) from
the second group (p > 0.05). In addition, symptomatic healing occurred in 125 out
of 143 patients (87.4%) in whom Hp was eradicated and 12 out of 27 (44.4%)
patients in whom Hp was not eradicated (p < 0.001). CONCLUSION: Levofloxacin
containing sequential therapy for 14 days is quite effective and well-tolerated
choice for Hp eradication. However, adding bismuth to sequential therapy does not
significantly improve Hp eradication success rates. Therefore, Hp eradication is
beneficial and necessary in patients with nonulcer dyspepsia.
PMID- 29364097
TI - Contribution of PNPLA3 gene to the natural history of liver diseases.
AB - n 2008, a genome-wide association studies (GWAS) showed a strong association
between a variant (rs738409 C>G p.I148M) in the PNPLA3 and nonalcoholic fatty
liver disease. Further replication studies have shown robust associations between
PNPLA3 and steatosis, fibrosis/cirrhosis, and hepatocellular carcinoma on a
background of metabolic, alcoholic, and viral insults. The PNPLA3 protein has
lipase activity towards triglycerides in hepatocytes and retinyl esters in
hepatic stellate cells. The I148M substitution leads to a loss of function
promoting triglyceride accumulation in hepatocytes. Although PNPLA3 function has
been extensively studied, the molecular mechanisms leading to hepatic fibrosis
and carcinogenesis remain unclear. This unsuspected association has highlighted
the fact that liver fat metabolism may have a major impact on the pathophysiology
of liver disease. Conversely, alone, this locus may have limited predictive value
with regard to liver disease outcomes in clinical practice. Additional studies at
the genome-wide level will be required to identify new variants associated with
liver damage and cancer to explain a greater proportion of the heritability of
these phenotypes. Thus, incorporating PNPLA3 and other genetic variants in
combination with clinical data will allow for the development of tailored
predictive models. This attractive approach should be evaluated in prospective
cohorts. (Acta gastroenterol. belg., 2017, 80, 43-51).
PMID- 29364098
TI - Liver disease late in pregnancy without pre-eclampsia.
AB - We describe the case of a first twin pregnancy in a 27 year old patient, who
experienced acute onset epigastric and right upper quadrant pain at a gestational
age of 32 weeks and 2 days. She was diagnosed with acute liver and renal failure
and possible disseminated intravascular coagulopathy (DIC) syndrome without pre
eclampsia. Early labor induction was mandatory to save both mother and foetuses.
In this overview we describe the differential diagnosis of severe pregnancy
related liver injury in the third trimester of pregnancy without pre-eclampsia.
(Acta gastroenterol. belg., 2017, 80, 53-57).
PMID- 29364099
TI - A rare cause of acute pancreatitis: Hantavirus infection.
AB - Hantaviruses cause potentially fatal two different systemic infectious diseases
in humans named as hemorrhagic fever with renal syndrome (HFRS) and Hantavirus
pulmonary syndrome. The clinical features of HFRS are hemorrhage, fever,
thrombocytopenia and acute renal insufficiency frequently observed. HFRS shows
distinctive clinical manifestations throughout from acute influenza-like febrile
illness to shock. Although a large portion of HRFS patients present with a
complaint of abdominal pain, acute pancreatitis is a rare complication of HFRS.
No specific treatment protocol has been described for HRFS and supportive
treatment is the basic approach. The rate of success enhanced with early
diagnosis and intensive care support. Clinicians should be alert to the HFRS in
patients with acute pancreatitis associated with systemic viral infection. We
describe a case with HFRS who has presented with acute kidney injury,
thrombocytopenia and acute pancreatitis. The patient was treated by supportive
management successfully.
PMID- 29364100
TI - Unsuccessful transjugular intrahepatic portosystemic shunt for a patient with
right heart failure and portal hypertension.
AB - A 60-year-old women with a history of congenital pulmonary valve stenosis
developed right heart failure, cardiac cirrhosis and end-stage renal disease
requiring renal replacement therapy. Cirrhosis was complicated by portal
hypertension, resulting in intractable gastro-intestinal bleedings despite
optimal treatment with beta-blockers and endoscopic band ligation. Because of
fears for worsening right heart failure, a decision for placement of a
transjugular intrahepatic portosystemic shunt (TIPS) was initially turned down.
However, as intractable bleeding problems persisted and caused heavy transfusion
needs, TIPS was ultimately performed as a rescue procedure. Although TIPS
successfully reduced the hepatic venous pressure gradient from 16 mmHg to 4 mmHg,
portal pressure remained high at 14 mmHg because of persisting right heart
failure with elevated central venous pressure. Hepatic encephalopathy soon
developed after TIPS placement and culminated in multi-organ failure after
another episode of gastro-intestinal bleeding. At this point, the family of the
patient decided to withdraw care and the patient died subsequently. This case
illustrates how important it is to diagnose and optimally treat right heart
failure before cardiac cirrhosis with its impending complications emerges.
Although TIPS may effectively treat complications of portal hypertension in the
context of cirrhosis, persisting right heart failure may abrogate its beneficial
effects.
PMID- 29364101
TI - Rectal red blood loss in a healthy toddler is not always a juvenile polyp.
AB - Aim Heterotopic gastric mucosa is a well-known congenital anomaly in Meckel's
diverticula and duplication cysts. Solitary heterotopic gastric mucosa in the
rectum is a rare and frequently overlooked abnormality. Starting from a patient
history, the literature is searched and all cases reported over the past 20 years
are reviewed and compared to a summary of the older cases. Differences between
adult and childhood presentation are outlined and our patient is compared with
prior reported cases. Case A 3-year-old girl presented with recurrent rectal
blood loss caused by heterotopic gastric mucosa without duplication cyst. She was
endoscopically treated with two-stage endoscopic surgical dissection (ESD). Up to
now, rectal heterotopic gastric mucosa has been reported in 34 adults and 24
children, including this patient. There is an overall male dominance (69%).
Presenting complaints in children were recurrent fresh blood loss per anum (96%),
pain (46%), perineal ulcers (25%), diarrhoea (8%) and one patient had an ano
cutaneous fistula. Endoscopy revealed a mucosal elevation with a slightly
different aspect (33%), a polyp (42%) and a solitary ulcer (25%). Endoscopy in
adults reveals more frequently polyps compared to children. Treatment in
childhood is mainly surgical where adults are more frequently treated with
endoscopic techniques. Conclusion In a child with recurrent rectal bleeding in
good general health, it is important to withhold heterotopic gastric mucosa in
the differential diagnosis and take sufficient biopsies during endoscopy.
PMID- 29364102
TI - Management of hypertriglyceridemia induced acute pancreatitis and therapeutic
plasmapheresis : Report of nine cases and review of literature.
AB - Hypertriglyceridemia is one of the rare causes of the acute pancreatitis. The
prevalance of hypertriglyceridemia has increased recently due to the changing
eating habits, sedentary lifestyle, alcohol consumption, obesity and concomitant
diabetes mellitus. Therefore, the frequency of the acute pancreatitis due to
hypertriglyceridemia may increase in coming years. Diagnosis of the acute
pancreatitis by hypertriglyceridemia can be overlooked easily and may be very
severe if untreated accurately on time. In addition to the standard management of
pancreatitis, specific treatment for hypertriglyceridemia that is insulin,
heparin and anti-hypertriglyceridemic drugs are used. Therapeutic plasmapheresis
is the last treatment option and seems the most effective one in this subject
through developing device and membrane technologies when we review the current
literature. Not only triglycerides but also proinflammatory cytokines and
adhesion molecules that play an active role in pathogenesis are removed by
plasmapheresis. So, the effectiveness of treatment appears promising. However,
the exact pathophysiology of hypertriglyceridemia-induced pancreatitis could not
be fully understood and the majority of published experience comes from the case
reports and the benefit of randomized clinical trials is not available.
Therefore, there are no data about what are the exact indications and when we
start therapeutic plasmapheresis in literature. This manuscript describes our
hospital experience with treatment options and analyzes reports published
recently about plasmapheresis as a treatment modality for hypertriglyceridemia
induced acute pancreatitis.
PMID- 29364103
TI - An unusual cause of dyspepsia due to a retained epicardial pacing wire.
PMID- 29364104
TI - Adenocarcinoma of the Rectum in a 27-year-old Patient with Usher Syndrome : Is
there a Genetic Correlation?
PMID- 29364105
TI - Spontaneous isolated mesenteric fibromatosis associated with small bowel
obstruction.
PMID- 29364106
TI - Trichobezoar and Rapunzel syndrome : an unusual cause of abdominal mass.
PMID- 29364107
TI - All caecal ulcers is not Crohn's: Think Travel-Think again.
PMID- 29364108
TI - Gastric Ulcers Related to The Transarterial Radioembolization of Yittrium-90 in A
Patient with Paraganglioma.
PMID- 29364110
TI - Fistulization between stomach and transverse colon because of nasogastric feeding
tube.
PMID- 29364109
TI - Azathioprine-induced acute pancreatitis in inflammatory bowel disease : natural
history and severity spectrum.
PMID- 29364111
TI - Diffuse nodular duodenitis in a woman presenting with dyspepsia and weight loss.
AB - Duodenal nodularity is an uncommon endoscopic finding charac-trized by numerous
visible mucosal nodules in the duodenum. It is important to consider giardiasis
in patients with symptoms include abdominal pain, nausea, anorexia, diarrhea,
vomiting, weight loss and abdominal distension. It is also important to remind
giardiasis in patients with duodenal nodularity.
PMID- 29364112
TI - A giant polypoid mass leading to obstruction in the colon.
PMID- 29364114
TI - Age is just a number.
AB - The naked mole rat defies the Gompertz law and shows no sign of increased
mortality risk as it gets older.
PMID- 29364113
TI - aPKC-mediated displacement and actomyosin-mediated retention polarize Miranda in
Drosophila neuroblasts.
AB - Cell fate assignment in the nervous system of vertebrates and invertebrates often
hinges on the unequal distribution of molecules during progenitor cell division.
We address asymmetric fate determinant localization in the developing Drosophila
nervous system, specifically the control of the polarized distribution of the
cell fate adapter protein Miranda. We reveal a step-wise polarization of Miranda
in larval neuroblasts and find that Miranda's dynamics and cortical association
are differently regulated between interphase and mitosis. In interphase, Miranda
binds to the plasma membrane. Then, before nuclear envelope breakdown, Miranda is
phosphorylated by aPKC and displaced into the cytoplasm. This clearance is
necessary for the subsequent establishment of asymmetric Miranda localization.
After nuclear envelope breakdown, actomyosin activity is required to maintain
Miranda asymmetry. Therefore, phosphorylation by aPKC and differential binding to
the actomyosin network are required at distinct phases of the cell cycle to
polarize fate determinant localization in neuroblasts.
PMID- 29364117
TI - Improved Visualization of Gastrointestinal Slow Wave Propagation Using a Novel
Wavefront-Orientation Interpolation Technique.
AB - OBJECTIVE: High-resolution mapping of gastrointestinal (GI) slow waves is a
valuable technique for research and clinical applications. Interpretation of high
resolution GI mapping data relies on animations of slow wave propagation, but
current methods remain as rudimentary, pixelated electrode activation animations.
This study aimed to develop improved methods of visualizing high-resolution slow
wave recordings that increases ease of interpretation. METHODS: The novel method
of "wavefront-orientation" interpolation was created to account for the planar
movement of the slow wave wavefront, negate any need for distance calculations,
remain robust in atypical wavefronts (i.e., dysrhythmias), and produce an
appropriate interpolation boundary. The wavefront-orientation method determines
the orthogonal wavefront direction and calculates interpolated values as the mean
slow wave activation-time (AT) of the pair of linearly adjacent electrodes along
that direction. Stairstep upsampling increased smoothness and clarity. RESULTS:
Animation accuracy of 17 human high-resolution slow wave recordings (64-256
electrodes) was verified by visual comparison to the prior method showing a clear
improvement in wave smoothness that enabled more accurate interpretation of
propagation, as confirmed by an assessment of clinical applicability performed by
eight GI clinicians. Quantitatively, the new method produced accurate
interpolation values compared to experimental data (mean difference 0.02 +/- 0.05
s) and was accurate when applied solely to dysrhythmic data (0.02 +/- 0.06 s),
both within the error in manual AT marking (mean 0.2 s). Mean interpolation
processing time was 6.0 s per wave. CONCLUSION AND SIGNIFICANCE: These novel
methods provide a validated visualization platform that will improve analysis of
high-resolution GI mapping in research and clinical translation.
PMID- 29364115
TI - Heg1 and Ccm1/2 proteins control endocardial mechanosensitivity during zebrafish
valvulogenesis.
AB - Endothelial cells respond to different levels of fluid shear stress through
adaptations of their mechanosensitivity. Currently, we lack a good understanding
of how this contributes to sculpting of the cardiovascular system. Cerebral
cavernous malformation (CCM) is an inherited vascular disease that occurs when a
second somatic mutation causes a loss of CCM1/KRIT1, CCM2, or CCM3 proteins.
Here, we demonstrate that zebrafish Krit1 regulates the formation of cardiac
valves. Expression of heg1, which encodes a binding partner of Krit1, is
positively regulated by blood-flow. In turn, Heg1 stabilizes levels of Krit1
protein, and both Heg1 and Krit1 dampen expression levels of klf2a, a major
mechanosensitive gene. Conversely, loss of Krit1 results in increased expression
of klf2a and notch1b throughout the endocardium and prevents cardiac valve
leaflet formation. Hence, the correct balance of blood-flow-dependent induction
and Krit1 protein-mediated repression of klf2a and notch1b ultimately shapes
cardiac valve leaflet morphology.
PMID- 29364116
TI - Naked Mole-Rat mortality rates defy gompertzian laws by not increasing with age.
AB - The longest-lived rodent, the naked mole-rat (Heterocephalus glaber), has a
reported maximum lifespan of >30 years and exhibits delayed and/or attenuated age
associated physiological declines. We questioned whether these mouse-sized,
eusocial rodents conform to Gompertzian mortality laws by experiencing an
exponentially increasing risk of death as they get older. We compiled and
analyzed a large compendium of historical naked mole-rat lifespan data with >3000
data points. Kaplan-Meier analyses revealed a substantial portion of the
population to have survived at 30 years of age. Moreover, unlike all other
mammals studied to date, and regardless of sex or breeding-status, the age
specific hazard of mortality did not increase with age, even at ages 25-fold past
their time to reproductive maturity. This absence of hazard increase with age, in
defiance of Gompertz's law, uniquely identifies the naked mole-rat as a non-aging
mammal, confirming its status as an exceptional model for biogerontology.
PMID- 29364119
TI - Consistency of Long-Term Subdural Electrocorticography in Humans.
AB - OBJECTIVE: Subdural electrocorticography (ECoG) can provide a robust control
signal for a brain-computer interface (BCI). However, the long-term recording
properties of ECoG are poorly understood as most ECoG studies in the BCI field
have only used signals recorded for less than 28 days. We assessed human ECoG
recordings over durations of many months to investigate changes to recording
quality that occur with long-term implantation. METHODS: We examined changes in
signal properties over time from 15 ambulatory humans who had continuous subdural
ECoG monitoring for 184-766 days. RESULTS: Individual electrodes demonstrated
varying changes in frequency power characteristics over time within individual
patients and between patients. Group level analyses demonstrated that there were
only small changes in effective signal bandwidth and spectral band power across
months. High-gamma signals could be recorded throughout the study, though there
was a decline in signal power for some electrodes. CONCLUSION: ECoG-based BCI
systems can robustly record high-frequency activity over multiple years, albeit
with marked intersubject variability. SIGNIFICANCE: Group level results
demonstrated that ECoG is a promising modality for long-term BCI and neural
prosthesis applications.
PMID- 29364118
TI - Robust Multicontrast MRI Spleen Segmentation for Splenomegaly Using Multi-Atlas
Segmentation.
AB - OBJECTIVE: Magnetic resonance imaging (MRI) is an essential imaging modality in
noninvasive splenomegaly diagnosis. However, it is challenging to achieve spleen
volume measurement from three-dimensional MRI given the diverse structural
variations of human abdomens as well as the wide variety of clinical MRI
acquisition schemes. Multi-atlas segmentation (MAS) approaches have been widely
used and validated to handle heterogeneous anatomical scenarios. In this paper,
we propose to use MAS for clinical MRI spleen segmentation for splenomegaly.
METHODS: First, an automated segmentation method using the selective and
iterative method for performance level estimation (SIMPLE) atlas selection is
used to address the concerns of inhomogeneity for clinical splenomegaly MRI.
Then, to further control outliers, semiautomated craniocaudal spleen length-based
SIMPLE atlas selection (L-SIMPLE) is proposed to integrate a spatial prior in a
Bayesian fashion and guide iterative atlas selection. Last, a graph cuts
refinement is employed to achieve the final segmentation from the probability
maps from MAS. RESULTS: A clinical cohort of 55 MRI volumes (28 T1 weighted and
27 T2 weighted) was used to evaluate both automated and semiautomated methods.
CONCLUSION: The results demonstrated that both methods achieved median Dice , and
outliers were alleviated by the L-SIMPLE (?1 min manual efforts per scan), which
achieved 0.97 Pearson correlation of volume measurements with the manual
segmentation. SIGNIFICANCE: In this paper, spleen segmentation on MRI
splenomegaly using MAS has been performed.
PMID- 29364121
TI - From Cell to Tissue Properties-Modeling Skin Electroporation With Pore and Local
Transport Region Formation.
AB - Current models of tissue electroporation either describe tissue with its bulk
properties or include cell level properties, but model only a few cells of simple
shapes in low-volume fractions or are in two dimensions. We constructed a three
dimensional model of realistically shaped cells in realistic volume fractions. By
using a 'unit cell' model, the equivalent dielectric properties of whole tissue
could be calculated. We calculated the dielectric properties of electroporated
skin. We modeled electroporation of single cells by pore formation on
keratinocytes and on the papillary dermis which gave dielectric properties of the
electroporated epidermis and papillary dermis. During skin electroporation, local
transport regions are formed in the stratum corneum. We modeled local transport
regions and increase in their radii or density which affected the dielectric
properties of the stratum corneum. The final model of skin electroporation
accurately describes measured electric current and voltage drop on the skin
during electroporation with long low-voltage pulses. The model also accurately
describes voltage drop on the skin during electroporation with short high-voltage
pulses. However, our results indicate that during application of short high
voltage pulses additional processes may occur which increase the electric
current. Our model connects the processes occurring at the level of cell
membranes (pore formation), at the level of a skin layer (formation of local
transport region in the stratum corneum) with the tissue (skin layers) and even
level of organs (skin). Using a similar approach, electroporation of any tissue
can be modeled, if the morphology of the tissue is known.
PMID- 29364120
TI - Adaptive Sparse Multiple Canonical Correlation Analysis With Application to
Imaging (Epi)Genomics Study of Schizophrenia.
AB - Finding correlations across multiple data sets in imaging and (epi)genomics is a
common challenge. Sparse multiple canonical correlation analysis (SMCCA) is a
multivariate model widely used to extract contributing features from each data
while maximizing the cross-modality correlation. The model is achieved by using
the combination of pairwise covariances between any two data sets. However, the
scales of different pairwise covariances could be quite different and the direct
combination of pairwise covariances in SMCCA is unfair. The problem of "unfair
combination of pairwise covariances" restricts the power of SMCCA for feature
selection. In this paper, we propose a novel formulation of SMCCA, called
adaptive SMCCA, to overcome the problem by introducing adaptive weights when
combining pairwise covariances. Both simulation and real-data analysis show the
outperformance of adaptive SMCCA in terms of feature selection over conventional
SMCCA and SMCCA with fixed weights. Large-scale numerical experiments show that
adaptive SMCCA converges as fast as conventional SMCCA. When applying it to
imaging (epi)genetics study of schizophrenia subjects, we can detect significant
(epi)genetic variants and brain regions, which are consistent with other existing
reports. In addition, several significant brain-development related pathways,
e.g., neural tube development, are detected by our model, demonstrating imaging
epigenetic association may be overlooked by conventional SMCCA. All these results
demonstrate that adaptive SMCCA are well suited for detecting three-way or
multiway correlations and thus can find widespread applications in multiple omics
and imaging data integration.
PMID- 29364122
TI - A New Adaptive Gamma Correction Based Algorithm Using DWT-SVD for Non-Contrast CT
Image Enhancement.
AB - The performances of medical image processing techniques, in particular CT scans,
are usually affected by poor contrast quality introduced by some medical imaging
devices. This suggests the use of contrast enhancement methods as a solution to
adjust the intensity distribution of the dark image. In this paper, an advanced
adaptive and simple algorithm for dark medical image enhancement is proposed.
This approach is principally based on adaptive gamma correction using discrete
wavelet transform with singular-value decomposition (DWT-SVD). In a first step,
the technique decomposes the input medical image into four frequency sub-bands by
using DWT and then estimates the singular-value matrix of the low-low (LL) sub
band image. In a second step, an enhanced LL component is generated using an
adequate correction factor and inverse singular value decomposition (SVD). In a
third step, for an additional improvement of LL component, obtained LL sub-band
image from SVD enhancement stage is classified into two main classes (low
contrast and moderate contrast classes) based on their statistical information
and therefore processed using an adaptive dynamic gamma correction function. In
fact, an adaptive gamma correction factor is calculated for each image according
to its class. Finally, the obtained LL sub-band image undergoes inverse DWT
together with the unprocessed low-high (LH), high-low (HL), and high-high (HH)
sub-bands for enhanced image generation. Different types of non-contrast CT
medical images are considered for performance evaluation of the proposed contrast
enhancement algorithm based on adaptive gamma correction using DWT-SVD (DWT-SVD
AGC). Results show that our proposed algorithm performs better than other state
of-the-art techniques.
PMID- 29364123
TI - CEP4HFP: Complex Event Processing for Heart Failure Prediction.
AB - This paper presents a novel health analysis approach for heart failure
prediction. It is based on the use of complex event processing (CEP) technology,
combined with statistical approaches. A CEP engine processes incoming health data
by executing threshold-based analysis rules. Instead of having to manually set up
thresholds, our novel statistical algorithm automatically computes and updates
thresholds according to recorded historical data. Experimental results
demonstrate the merits of our approach in terms of speed, precision, and recall.
PMID- 29364124
TI - The Effect of Solvents and Rare-Earth Element (Er, Yb) Doping on Suspension
Stability of Sol-Gel Titania Nanoparticles.
AB - The effects of solvent on the suspension stability of titanium dioxide (TiO2)
nanoparticle (NP)-doped rare-earth elements (Er and Yb) were evaluated. Pure and
doped TiO2 NPs were successfully prepared by the sol-gel method under the
supercritical drying conditions of ethanol. Doped nanopowders were prepared with
5 mol% Er or 5 mol% Yb concentration, and co-doped nanopowders were prepared with
fixed 5 mol% Er concentration and various Yb concentrations of 5 and 10 mol%.
TiO2 NP suspensions with diverse solvents, such as water, ethanol, methanol, and
butanol, were prepared. The nanopowders were characterized by studying their
structural and morphology properties. The NP size analysis revealed the average
size of TiO2 as approximately around 7-12 nm. Suspensions of TiO2 NPs in
different solvents were prepared by a two-step powder dispersion process using
several ultrasonication methods. The aim of this paper was to prepare a stable
suspension for a certain time period and then to produce doped and undoped TiO2
NP coatings for photovoltaic application. The effects of concentration, dopants,
and solvents on the dispersibility and stability of TiO2 suspensions were
evaluated. The UV-visible spectroscopy, zeta potential measurements, particle
size distribution, SEM, and Hamaker 2 software confirm that the 5%Er-10%:TiO2
suspension exhibits a good stability and stable suspension improved by co-doped
rare.
PMID- 29364125
TI - Touchable Computing: Computing-Inspired Bio-Detection.
AB - We propose a new computing-inspired bio-detection framework called touchable
computing (TouchComp). Under the rubric of TouchComp, the best solution is the
cancer to be detected, the parameter space is the tissue region at high risk of
malignancy, and the agents are the nanorobots loaded with contrast medium
molecules for tracking purpose. Subsequently, the cancer detection procedure
(CDP) can be interpreted from the computational optimization perspective: a
population of externally steerable agents (i.e., nanorobots) locate the optimal
solution (i.e., cancer) by moving through the parameter space (i.e., tissue under
screening), whose landscape (i.e., a prescribed feature of tissue environment)
may be altered by these agents but the location of the best solution remains
unchanged. One can then infer the landscape by observing the movement of agents
by applying the "seeing-is-sensing" principle. The term "touchable" emphasizes
the framework's similarity to controlling by touching the screen with a finger,
where the external field for controlling and tracking acts as the finger. Given
this analogy, we aim to answer the following profound question: can we look to
the fertile field of computational optimization algorithms for solutions to
achieve effective cancer detection that are fast, accurate, and robust? Along
this line of thought, we consider the classical particle swarm optimization (PSO)
as an example and propose the PSO-inspired CDP, which differs from the standard
PSO by taking into account realistic in vivo propagation and controlling of
nanorobots. Finally, we present comprehensive numerical examples to demonstrate
the effectiveness of the PSO-inspired CDP for different blood flow velocity
profiles caused by tumor-induced angiogenesis. The proposed TouchComp bio
detection framework may be regarded as one form of natural computing that employs
natural materials to compute.
PMID- 29364126
TI - Influence of Tuning Element Relief Patches on Pain as Analyzed by the Resonant
Recognition Model.
AB - Tuning element relief patches (TERPs) are silicon-based titanium salt infused
adhesive patches that have been developed by Tuning Element. A number of
anecdotal reports have shown that TERPs diffuse pain, including chronic,
inflammatory, and neuropathic. Pain is a very complex biochemical and electrical
process involving sensory part, nerve transmission, and brain perception of pain.
We concentrated our research on nerve transmission, which is electrical signal
along the nerve (axon). This electrical signal is created by a complex activity
of opening and closing of pain related ion channels and redistribution of
electrically charged ions on the nerve cell membrane. Ion channels are made of
different proteins, which are involved with the complex processes of opening and
closing ion channels. Here, we apply the resonant recognition model to analyze
ion channel proteins related to the pain transmission in order to find out, how
imprints and particles within TERPs can interfere with pain related activity of
ion channels.
PMID- 29364127
TI - Cooperative Abnormality Detection via Diffusive Molecular Communications.
AB - In this paper, we consider abnormality detection via diffusive molecular
communications (MCs) for a network consisting of several sensors and a fusion
center (FC). If a sensor detects an abnormality, it injects a number of molecules
into the medium which is proportional to its sensing output. Two transmission
schemes for releasing molecules into the medium are considered. In the first
scheme, each sensor releases a different type of molecule (DTM), whereas in the
second scheme, all sensors release the same type of molecule (STM). The molecules
released by the sensors propagate through the MC channel and some may reach the
FC where the final decision regarding whether or not an abnormality has occurred
is made. We derive the optimal decision rules for both DTM and STM. However, the
optimal detectors entail high computational complexity as log-likelihood ratios
(LLRs) have to be computed. To overcome this issue, we show that the optimal
decision rule for STM can be transformed into an equivalent low-complexity
decision rule. Since a similar transformation is not possible for DTM, we propose
simple low-complexity sub-optimal detectors based on different approximations of
the LLR. The proposed low-complexity detectors are more suitable for practical MC
systems than the original complex optimal decision rule, particularly when the FC
is a nano-machine with limited computational capabilities. Furthermore, we
analyze the performance of the proposed detectors in terms of their false alarm
and missed detection probabilities. Simulation results verify our analytical
derivations and reveal interesting insights regarding the tradeoff between
complexity and performance of the proposed detectors and the considered DTM and
STM schemes.
PMID- 29364128
TI - Investigating the Effect of Perinatal Nicotine Exposure on Dopaminergic Neurons
in the VTA Using miRNA Expression Profiles.
AB - Maternal smoking during pregnancy is associated with developmental, cognitive,
and behavioral disorders, including low birth weight, attention deficit
hyperactivity disorder, learning disabilities, and drug abuse later in life.
Nicotine activates the reward-driven behavior characteristic of drug abuse.
Dopaminergic (DA) neurons originating from the ventral tegmental area (VTA) of
the brain, which are stimulated by nicotine and other stimuli, are widely
implicated in the natural reward pathway that is known to contribute to
addiction. In recent years, microRNAs have been implicated in disrupting
regulatory mechanisms due to their capability of targeting multiple genes and
thus inducing downstream effects along many pathways. In order to investigate
miRNA expression of dopaminergic neurons from the VTA, we employed patch clamping
to identify and harvest both DA and non-DA neurons from rats perinatally exposed
to nicotine for use in single-cell RT-qPCR. Our data indicated that miR-140-5p
and miR-140-3p were upregulated in DA neurons; while miR-140-3p and miR-212 were
differentially expressed in non-DA neurons. A functional enrichment analysis was
also performed on our miRNA-gene prediction network and predicted that our miRNAs
target genes involved in drug response and neuroplasticity.
PMID- 29364129
TI - DNA Chaos Blend to Secure Medical Privacy.
AB - In this technological era, it is highly essential to protect the digital medical
data from the fraud and forgery as they are transmitted over the public channel.
Also with the increased data traffic, it is hard to transmit the entire bulky
medical data. New methods have come into the scene to reduce the traffic while
maintaining the sufficient level of security. Partial encryption is one of the
methods which selectively encrypt the bulky medical image. Meanwhile, if the same
medical image is needed to be reused for another diagnosis, then it is
recommended to protect the entire medical image. This paper proposes a hybrid
encryption scheme based on deoxyribo nucleic acid and chaotic maps, which can be
adaptable for both selective and full medical image encryption. The proposed
algorithm uses multiple chaotic maps in single process to generate the highly
random keys for encrypting the color digital imaging and communications in
medicine image. The algorithm comprises three phases, namely, permutation,
encoding, and diffusion. In all the phases, the selection of specific rule set
depends on the key sequences produced from the combined chaotic system.
Experimental results are carried out to validate the resistance of the developed
algorithm toward statistical, differential, and brute force attacks.
PMID- 29364130
TI - Wireless Optogenetic Nanonetworks for Brain Stimulation: Device Model and
Charging Protocols.
AB - In recent years, numerous research efforts have been dedicated toward developing
efficient implantable devices for brain stimulation. However, there are
limitations and challenges with the current technologies. They include neuron
population stimulation instead of single neuron level, the size, the
biocompatibility, and the device lifetime reliability in the patient's brain. We
have recently proposed the concept of wireless optogenetic nanonetworking devices
(WiOptND) that could address the problem of long term deployment, and at the same
time target single neuron stimulation utilizing ultrasonic as a mode for energy
harvesting. In addition, a number of charging protocols are also proposed, in
order to minimize the quantity of energy required for charging, while ensuring
minimum number of neural spike misfirings. These protocols include the simple
charge and fire, which requires the full knowledge of the raster plots of neuron
firing patterns, and the predictive sliding detection window, and its variant
Markov-chain based time-delay patterns, which minimizes the need for full
knowledge of neural spiking patterns as well as number of ultrasound charging
frequencies. Simulation results exhibit a drop for the stimulation ratio of ~ 25%
and more stable trend in its efficiency ratio (standard deviation of ~0.5%) for
the Markov-chain based time-delay patterns protocol compared with the baseline
change and fire. The results show the feasibility of utilizing WiOptND for long
term implants in the brain, and a new direction toward precise stimulation of
neurons in the cortical microcolumn of the brain cortex.
PMID- 29364131
TI - Symbol Synchronization for Diffusion-Based Molecular Communications.
AB - Symbol synchronization refers to the estimation of the start of a symbol interval
and is needed for reliable detection. In this paper, we develop several symbol
synchronization schemes for molecular communication (MC) systems where we
consider some practical challenges, which have not been addressed in the
literature yet. In particular, we take into account that in MC systems, the
transmitter may not be equipped with an internal clock and may not be able to
emit molecules with a fixed release frequency. Such restrictions hold for
practical nanotransmitters, e.g., modified cells, where the lengths of the symbol
intervals may vary due to the inherent randomness in the availability of food and
energy for molecule generation, the process for molecule production, and the
release process. To address this issue, we develop two synchronization-detection
frameworks which both employ two types of molecule. In the first framework, one
type of molecule is used for symbol synchronization and the other one is used for
data detection, whereas in the second framework, both types of molecule are used
for joint symbol synchronization and data detection. For both frameworks, we
first derive the optimal maximum likelihood (ML) symbol synchronization schemes
as performance upper bounds. Since ML synchronization entails high complexity,
for each framework, we also propose three low-complexity suboptimal schemes,
namely a linear filter-based scheme, a peak observation-based scheme, and a
threshold-trigger scheme, which are suitable for MC systems with limited
computational capabilities. Furthermore, we study the relative complexity and the
constraints associated with the proposed schemes and the impact of the insertion
and deletion errors that arise due to imperfect synchronization. Our simulation
results reveal the effectiveness of the proposed synchronization schemes and
suggest that the end-to-end performance of MC systems significantly depends on
the accuracy of the symbol synchronization.
PMID- 29364132
TI - Competitive Spiking Neural P Systems With Rules on Synapses.
AB - This paper proposes an extension of spiking neural P systems with rules on
synapses (SNP-RS systems) working in competitive strategy, called competitive SNP
RS (CSNP-RS systems). In CSNP-RS systems, the spikes are viewed as a kind of
competitive resources, and the rules on different synapses will compete the
spikes (resources) in neurons. A new strategy is considered: the total amount of
spikes consumed by these rules should be greater than or equal to the generated
amount of spikes. There are two cases to chosen one rule non-deterministically:
1) two or more rules on the same synapse are enabled; and 2) two or more rules on
the different synapses are enabled, and the number of spikes contained in neuron
is smaller than the number of spikes consumed by these rules. CSNP-RS systems are
a kind of distributed parallel computing models. The computational power of CSNP
RS systems is investigated. Specifically, we prove that CSNP-RS systems are
turing universal as number generating/accepting devices and function computing
device.
PMID- 29364133
TI - Hierarchy and Assortativity as New Tools for Binding-Affinity Investigation: The
Case of the TBA Aptamer-Ligand Complex.
AB - Aptamers are single stranded DNA, RNA, or peptide sequences having the ability to
bind several specific targets (proteins, molecules as well as ions). Therefore,
aptamer production and selection for therapeutic and diagnostic applications is
very challenging. Usually, they are generated in vitro, although computational
approaches have been recently developed for the in silico production. Despite
these efforts, the mechanism of aptamer-ligand formation is not completely clear,
and producing high-affinity aptamers is still quite difficult. This paper aims to
develop a computational model able to describe aptamer-ligand affinity.
Topological tools, such as the conventional degree distribution, the rank-degree
distribution (hierarchy), and the node assortativity are employed. In doing so,
the macromolecules tertiary-structures are mapped into appropriate graphs. These
graphs reproduce the main topological features of the macromolecules, by
preserving the distances between amino acids (nucleotides). Calculations are
applied to the thrombin binding aptamer (TBA), and the TBA-thrombin complex
produced in the presence of Na+ or K+. The topological analysis is able to detect
several differences between complexes obtained in the presence of the two
cations, as expected by previous investigations. These results support graph
analysis as a novel computational tool for testing affinity. Otherwise, starting
from the graphs, an electrical network can be obtained by using the specific
electrical properties of amino acids and nucleobases. Therefore, a further
analysis concerns with the electrical response, revealing that the resistance is
sensitively affected by the presence of sodium or potassium, thus suggesting
resistance as a useful physical parameter for testing binding affinity.
PMID- 29364134
TI - CSSTag: Optical Nanoscale Radar and Particle Tracking for In-Body and
Microfluidic Systems With Vibrating Graphene and Resonance Energy Transfer.
AB - Biological particle tracking systems monitor cellular processes or particle
behaviors with the great accuracy. The emissions of fluorescent molecules or
direct images of particles are captured with cameras or photodetectors. The
current imaging systems have challenges in detection, collection, and analysis of
imaging data, penetration depth, and complicated set-ups. In this paper, a
signaling-based nanoscale acousto-optic radar and microfluidic multiple particle
tracking (MPT) system is proposed based on the theoretical design providing
nanoscale optical modulator with vibrating Forster resonance energy transfer and
vibrating cadmium selenide/zinc sulfide quantum dots (QDs) on graphene
resonators. The modulator combines significant advantages of graphene membranes
having wideband resonance frequencies with QDs having broad absorption spectrum
and tunable properties. The solution denoted by chirp spread spectrum(CSS) Tag
utilizes classical radar target tracking approaches in nanoscale environments
based on the capability to generate CSS sequences identifying different bio
particles. Monte Carlo simulations show significant performance for MPT with a
modulator of dimension and several picograms of weight, the signal-to-noise ratio
in the range from -7 to 10 dB, simple light emitting diode sources with power
less than 4 W/cm2 and high speed tracking for microfluidic environments.
PMID- 29364135
TI - Towards time-of-flight PET with a semiconductor detector.
AB - The feasibility of using Cerenkov light, generated by energetic electrons
following 511 keV photon interactions in the semiconductor TlBr, to obtain fast
timing information for positron emission tomography (PET) was evaluated. Due to
its high refractive index, TlBr is a relatively good Cerenkov radiator and with
its wide bandgap, has good optical transparency across most of the visible
spectrum. Coupling an SiPM photodetector to a slab of TlBr (TlBr-SiPM) yielded a
coincidence timing resolution of 620 ps FWHM between the TlBr-SiPM detector and a
LFS reference detector. This value improved to 430 ps FWHM by applying a high
pulse amplitude cut based on the TlBr-SiPM and reference detector signal
amplitudes. These results are the best ever achieved with a semiconductor PET
detector and already approach the performance required for time-of-flight. As
TlBr has higher stopping power and better energy resolution than the conventional
scintillation detectors currently used in PET scanners, a hybrid TlBr-SiPM
detector with fast timing capability becomes an interesting option for further
development.
PMID- 29364136
TI - A framework for directional and higher-order reconstruction in photoacoustic
tomography.
AB - Photoacoustic tomography is a hybrid imaging technique that combines high optical
tissue contrast with high ultrasound resolution. Direct reconstruction methods
such as filtered back-projection, time reversal and least squares suffer from
curved line artefacts and blurring, especially in the case of limited angles or
strong noise. In recent years, there has been great interest in regularised
iterative methods. These methods employ prior knowledge of the image to provide
higher quality reconstructions. However, easy comparisons between regularisers
and their properties are limited, since many tomography implementations heavily
rely on the specific regulariser chosen. To overcome this bottleneck, we present
a modular reconstruction framework for photoacoustic tomography, which enables
easy comparisons between regularisers with different properties, e.g. nonlinear,
higher-order or directional. We solve the underlying minimisation problem with an
efficient first-order primal-dual algorithm. Convergence rates are optimised by
choosing an operator-dependent preconditioning strategy. A variety of
reconstruction methods are tested on challenging 2D synthetic and experimental
data sets. They outperform direct reconstruction approaches for strong noise
levels and limited angle measurements, offering immediate benefits in terms of
acquisition time and quality. This work provides a basic platform for the
investigation of future advanced regularisation methods in photoacoustic
tomography.
PMID- 29364137
TI - Development of stereotactic radiosurgery using carbon beams (carbon-knife).
AB - The aim of this research is to develop a stereotactic-radiosurgery (SRS)
technique using carbon beams to treat small intracranial lesions; we call this
device the carbon knife. A 2D-scanning method is adapted to broaden a pencil beam
to an appropriate size for an irradiation field. A Mitsubishi slow extraction
using third order resonance through a rf acceleration system stabilized by a feed
forward scanning beam using steering magnets with a 290 MeV/u initial beam energy
was used for this purpose. Ridge filters for spread-out Bragg peaks (SOBPs) with
widths of 5 mm, 7.5 mm, and 10 mm were designed to include fluence-attenuation
effects. The collimator, which defines field shape, was used to reduce the
lateral penumbra. The lateral-penumbra width at the SOBP region was less than 2
mm for the carbon knife. The penumbras behaved almost the same when changing the
air gap, but on the other hand, increasing the range-shifter thickness mostly
broadened the lateral penumbra. The physical-dose rates were approximate 6 Gy s-1
and 4.5 Gy s-1 for the 10 * 10 mm2 and 5 * 5 mm2 collimators, respectively.
PMID- 29364138
TI - Locally adaptive decision in detection of clustered microcalcifications in
mammograms.
AB - In computer-aided detection or diagnosis of clustered microcalcifications (MCs)
in mammograms, the performance often suffers from not only the presence of false
positives (FPs) among the detected individual MCs but also large variability in
detection accuracy among different cases. To address this issue, we investigate a
locally adaptive decision scheme in MC detection by exploiting the noise
characteristics in a lesion area. Instead of developing a new MC detector, we
propose a decision scheme on how to best decide whether a detected object is an
MC or not in the detector output. We formulate the individual MCs as statistical
outliers compared to the many noisy detections in a lesion area so as to account
for the local image characteristics. To identify the MCs, we first consider a
parametric method for outlier detection, the Mahalanobis distance detector, which
is based on a multi-dimensional Gaussian distribution on the noisy detections. We
also consider a non-parametric method which is based on a stochastic neighbor
graph model of the detected objects. We demonstrated the proposed decision
approach with two existing MC detectors on a set of 188 full-field digital
mammograms (95 cases). The results, evaluated using free response operating
characteristic (FROC) analysis, showed a significant improvement in detection
accuracy by the proposed outlier decision approach over traditional thresholding
(the partial area under the FROC curve increased from 3.95 to 4.25, p-value <10
4). There was also a reduction in case-to-case variability in detected FPs at a
given sensitivity level. The proposed adaptive decision approach could not only
reduce the number of FPs in detected MCs but also improve case-to-case
consistency in detection.
PMID- 29364140
TI - Xeniaphyllane-Derived Terpenoids from Soft Coral Sinularia nanolobata.
AB - A novel tetranorditerpenoid, sinubatin A (1) (having an unprecedented carbon
skeleton), a new norditerpenoid, sinubatin B (2) (a 4,5-epoxycaryophyllene
possessing an unusual methylfuran moiety side chain), and a known diterpenoid,
gibberosin J (3) were isolated from soft coral Sinulariananolobata. The
structures of the new compounds were elucidated by extensive analysis of
spectroscopic data.
PMID- 29364139
TI - The Differentiation of Rat Oligodendroglial Cells Is Highly Influenced by the
Oxygen Tension: In Vitro Model Mimicking Physiologically Normoxic Conditions.
AB - Oligodendrocyte progenitor cells (OPCs) constitute one of the main populations of
dividing cells in the central nervous system (CNS). Physiologically, OPCs give
rise to mature, myelinating oligodendrocytes and confer trophic support to their
neighboring cells within the nervous tissue. OPCs are known to be extremely
sensitive to the influence of exogenous clues which might affect their crucial
biological processes, like survival, proliferation, differentiation, and the
ability to generate a myelin membrane. Alterations in their differentiation
influencing their final potential for myelinogenesis are usually the leading
cause of CNS dys- and demyelination, contributing to the development of
leukodystrophic disorders. The evaluation of the mechanisms that cause
oligodendrocytes to malfunction requires detailed studies based on designed in
vitro models. Since OPCs readily respond to changes in local homeostasis, it is
crucial to establish restricted culture conditions to eliminate the potential
stimuli that might influence oligodendrocyte biology. Additionally, the in vitro
settings should mimic the physiological conditions to enable the obtained results
to be translated to future preclinical studies. Therefore, the aim of our study
was to investigate OPC differentiation in physiological normoxia (5% O2) and a
restricted in vitro microenvironment. To evaluate the impact of the combined
microenvironmental clues derived from other components of the nervous tissue,
which are also influenced by the local oxygen concentration, the process of
generating OPCs was additionally analyzed in organotypic hippocampal slices. The
obtained results show that OPC differentiation, although significantly slowed
down, proceeded correctly through its typical stages in the physiologically
relevant conditions created in vitro. The established settings were also
conducive to efficient cell proliferation, exerting also a neuroprotective effect
by promoting the proliferation of neurons. In conclusion, the performed studies
show how oxygen tension influences OPC proliferation, differentiation, and their
ability to express myelin components, and should be taken into consideration
while planning preclinical studies, e.g., to examine neurotoxic compounds or to
test neuroprotective strategies.
PMID- 29364141
TI - Visualization and Quantitative 3D Analysis of Intraocular Melanoma and Its
Vascularization in a Hamster Eye.
AB - A tumor vasculature network undergoes intense growth and rebuilding during tumor
growth. Traditionally, vascular networks are histologically examined using
parameters such as vessel density determined from two-dimensional slices of the
tumor. Two-dimensional probing of a complicated three-dimensional (3D) structure
only provides partial information. Therefore, we propose the use of microcomputed
tomography (micro-CT) imaging to analyze the evolution of a tumor vasculature in
an experimental ocular tumor model. A Bomirski Hamster Melanoma was implanted in
the anterior chamber of a hamster eye. Ultrasound (US) imaging of the same tumor
was performed in vivo, and the vascular results obtained using the two methods
were compared. Normal ocular tissues, a tumor, and a tumor vascular structure
were revealed with high accuracy using micro-CT. The vessels that grew within the
tumor were chaotic, leaky, and contained many convoluted micro-vessels and
embolizations. They comprised 20-38% of the tumor mass. The blood flow in the
larger functional vessels was in the range from 10 to 25 mm/s, as determined by
in vivo Doppler US. The micro-CT imaging of the hamster eyeball enabled both
qualitative and quantitative 3D analyses of the globe at a histological level.
Although the presented images were obtained ex vivo, micro-CT noninvasive imaging
is being developed intensively, and high-resolution in vivo imaging is feasible.
PMID- 29364143
TI - Unveiling a Selective Mechanism for the Inhibition of alpha-Synuclein Aggregation
by beta-Synuclein.
AB - alpha-Synuclein (alphaS) is an intrinsically disordered protein that is
associated with Parkinson's disease (PD) through its ability to self-assemble
into oligomers and fibrils. Inhibition of this oligomerization cascade is an
interesting approach to developing therapeutical strategies and beta-synuclein
(betaS) has been described as a natural negative regulator of this process.
However, the biological background and molecular mechanisms by which this
inhibition occurs is unclear. Herein, we focused on assessing the effect of betaS
on the aggregation of five alphaS pathological mutants linked to early-onset PD
(A30P, E46K, H50Q, G51D and A53T). By coupling single molecule fluorescence
spectroscopy to a cell-free protein expression system, we validated the ability
of betaS to act as a chaperone of alphaS, effectively inhibiting its aggregation.
Interestingly, we found that betaS does so in a selective manner, i.e., is a more
effective inhibitor for certain alphaS pathological mutants-A30P and G51D-as
compared to E46K, H50Q and A53T. Moreover, two-color coincidence experiments
proved that this discrepancy is due to a preferential incorporation of betaS into
smaller oligomers of alphaS. This was validated by showing that the chaperoning
effect was lost when proteins were mixed after being expressed individually. This
study highlights the potential of fluorescence spectroscopy to deconstruct alphaS
aggregation cascade and its interplay with betaS.
PMID- 29364144
TI - A Facile Route toward the Increase of Oxygen Content in Nanosized Zeolite by
Insertion of Cerium and Fluorinated Compounds.
AB - Enriching oxygen content within nanosized zeolite X (as synthesized Na-X) by
insertion of cerium (ion exchanged Ce-X) and functionalization with
bromoperfluoro-n-octane (fluorinated F-X) is reported. The materials were fully
characterized by powder X-ray diffraction (XRD), dynamic light scattering (DLS),
zeta potential, thermogravimetric analysis (TGA), nitrogen adsorption, and
nuclear magnetic resonance (19F NMR). The O2 adsorption in the zeolite samples at
various concentrations (0 to 165 Torr) at -196 degrees C was studied by in situ
FTIR. The modification of nanosized zeolites did not alter their colloidal
stability, crystallinity, porosity, and particle size distribution. The inclusion
of cerium and bromoperfluoro-n-octane considerably increase the oxygen capacity
by 33% for samples Ce-X and F-X in comparison to the as-synthesized Na-X zeolite.
Further, toxicity tests revealed that these materials are safe, which opens the
door for their implementation in medical applications, where controlled delivery
of oxygen is highly desirable.
PMID- 29364142
TI - Current Perspectives of Telomerase Structure and Function in Eukaryotes with
Emerging Views on Telomerase in Human Parasites.
AB - Replicative capacity of a cell is strongly correlated with telomere length
regulation. Aberrant lengthening or reduction in the length of telomeres can lead
to health anomalies, such as cancer or premature aging. Telomerase is a master
regulator for maintaining replicative potential in most eukaryotic cells. It does
so by controlling telomere length at chromosome ends. Akin to cancer cells, most
single-cell eukaryotic pathogens are highly proliferative and require persistent
telomerase activity to maintain constant length of telomere and propagation
within their host. Although telomerase is key to unlimited cellular proliferation
in both cases, not much was known about the role of telomerase in human parasites
(malaria, Trypanosoma, etc.) until recently. Since telomerase regulation is
mediated via its own structural components, interactions with catalytic reverse
transcriptase and several factors that can recruit and assemble telomerase to
telomeres in a cell cycle-dependent manner, we compare and discuss here recent
findings in telomerase biology in cancer, aging and parasitic diseases to give a
broader perspective of telomerase function in human diseases.
PMID- 29364146
TI - The Enhanced Intramolecular Energy Transfer and Strengthened ff Luminescence of a
Stable Helical Eu Complex in Ionic Liquids.
AB - The luminescence of a Eu complex (EuL) is enhanced by stabilization of the
coordination structure in highly viscous ionic liquids. The EuL was found to
maintain a stable single helical structure both in organic solvents and in the
ionic liquids [BMIM][PF6] and [EMIM][PF6]. A colorless solution of EuL dissolved
in [BMIM][PF6] exhibits bright red luminescence with a quantum yield of 32.3%, a
value that is much higher than that in acetonitrile (12%). Estimated rate
constants for the energy relaxation pathway indicate that the energy transfer
efficiency is enhanced in [BMIM][PF6] as a result of the suppression of molecular
fluctuations in the ligands. Additionally, a highly luminescent helical structure
is preserved in [EMIM][PF6] up to 120 degrees C.
PMID- 29364147
TI - Marine Compounds and Cancer: 2017 Updates.
AB - By the end of 2017, there were seven marine-derived pharmaceutical substances
that have been approved by the FDA for clinical use as drugs[...].
PMID- 29364145
TI - Lignins: Biosynthesis and Biological Functions in Plants.
AB - Lignin is one of the main components of plant cell wall and it is a natural
phenolic polymer with high molecular weight, complex composition and structure.
Lignin biosynthesis extensively contributes to plant growth, tissue/organ
development, lodging resistance and the responses to a variety of biotic and
abiotic stresses. In the present review, we systematically introduce the
biosynthesis of lignin and its regulation by genetic modification and summarize
the main biological functions of lignin in plants and their applications. We hope
this review will give an in-depth understanding of the important roles of lignin
biosynthesis in various plants' biological processes and provide a theoretical
basis for the genetic improvement of lignin content and composition in energy
plants and crops.
PMID- 29364148
TI - Indole-3-Carbonitriles as DYRK1A Inhibitors by Fragment-Based Drug Design.
AB - Dual-specificity tyrosine phosphorylation-regulated kinase 1A (DYRK1A) is a
potential drug target because of its role in the development of Down syndrome and
Alzheimer's disease. The selective DYRK1A inhibitor 10-iodo-11H-indolo[3,2
c]quinoline-6-carboxylic acid (KuFal194), a large, flat and lipophilic molecule,
suffers from poor water solubility, limiting its use as chemical probe in
cellular assays and animal models. Based on the structure of KuFal194, 7-chloro
1H-indole-3-carbonitrile was selected as fragment template for the development of
smaller and less lipophilic DYRK1A inhibitors. By modification of this fragment,
a series of indole-3-carbonitriles was designed and evaluated as potential DYRK1A
ligands by molecular docking studies. Synthesis and in vitro assays on DYRK1A and
related protein kinases identified novel double-digit nanomolar inhibitors with
submicromolar activity in cell culture assays.
PMID- 29364149
TI - Exploring Genomic Variants Related to Residual Feed Intake in Local and
Commercial Chickens by Whole Genomic Resequencing.
AB - Improving feed efficiency is a major goal in poultry production to reduce
production costs and increase profitability. The genomic variants and possible
molecular mechanisms responsible for residual feed intake (RFI) in chickens,
however, remain poorly understood. In this study, using both local and commercial
breeds, genome re-sequencing of low RFI and high RFI chickens was performed to
elucidate the genomic variants underlying RFI. Results showed that 8,505,214 and
8,479,041 single nucleotide polymorphisms (SNPs) were detected in low and high
RFI Beijing-You chickens, respectively; 8,352,008 and 8,372,769 SNPs were
detected in low- and high-RFI Cobb chickens, respectively. Through a series of
filtering processes, 3746 candidate SNPs assigned to 1137 genes in Beijing-You
chickens and 575 candidate SNPs (448 genes) in Cobb chickens were found. The
validation of the selected 191 SNPs showed that 46 SNPs were significantly
associated with the RFI in an independent population of 779 Cobb chickens,
suggesting that the method of screening associated SNPs with whole genome
sequencing (WGS) strategy was reasonable. Functions annotation of RFI-related
genes indicated that genes in Beijing-You were enriched in lipid and carbohydrate
metabolism, as well as the phosphatase and tensin homolog (PTEN) signaling
pathway. In Cobb, however, RFI-related genes were enriched in the feed behavior
process and cAMP responsive element binding protein (CREB) signaling pathway. For
both breeds, organismal development physiological processes were enriched.
Correspondingly, NOS1, PHKG1, NEU3 and PIP5K1B were differentially expressed in
Beijing-You, while CDC42, CSK, PIK3R3, CAMK4 and PLCB4 were differentially
expressed in Cobb, suggesting that these might be key genes that contribute to
RFI. The results of the present study identified numerous novel SNPs for RFI,
which provide candidate biomarkers for use in the genetic selection for RFI. The
study has improved knowledge of the genomic variants and potential biological
pathways underlying RFI in chickens.
PMID- 29364150
TI - Molecular Imprinting and Functional Polymers for All Transducers and
Applications.
AB - The main challenge in developing a chemical sensor is the synthesis of
recognition coatings, which are very sensitive and selective to analytes of
interest. Molecular imprinting has proven to be the most innovative strategy for
this purpose in functional polymer design in the last few decades. Moreover, the
introduction of functional groups brings about new applications for all available
transducers. Sensitivity and selectivity features of sensor coatings can be tuned
by this approach. The strategy produces molecular cavities and interaction sites
in sensor coatings. The synthesis of these tailored recognition materials is
performed in an outstanding manner, saving time and the high costs of chemicals.
Furthermore, intermolecular interactions between the analyte and chemical layers
will generate sites that are complementary to the analyte. This procedure can
easily be done, directly on a transducer surface, which entails engulfing the
analyte by a prepolymer and crosslinking the polymeric material. These imprinted
polymers form a robust recognition layer on the transducer surface, which cannot
be peeled off and can withstand very harsh conditions, both in gaseous and liquid
media. These recognition materials are very suitable, for small molecules and
even large bioparticles.
PMID- 29364153
TI - Paper-Based Electrochemical Detection of Chlorate.
AB - We describe the use of a paper-based probe impregnated with a vanadium-containing
polyoxometalate anion, [PMo11VO40]5-, on screen-printed carbon electrodes for the
electrochemical determination of chlorate. Cyclic voltammetry (CV) and
chronocoulometry were used to characterize the ClO3- response in a pH = 2.5
solution of 100 mM sodium acetate. A linear CV current response was observed
between 0.156 and 1.25 mg/mL with a detection limit of 0.083 mg/mL (S/N > 3).
This performance was reproducible using [PMo11VO40]5--impregnated filter paper
stored under ambient conditions for as long as 8 months prior to use. At high
concentration of chlorate, an additional catalytic cathodic peak was seen in the
reverse scan of the CVs, which was digitally simulated using a simple model. For
chronocoulometry, the charge measured after 5 min gave a linear response from
0.625 to 2.5 mg/mL with a detection limit of 0.31 mg/mL (S/N > 3). In addition,
the slope of charge vs. time also gave a linear response. In this case the linear
range was from 0.312 to 2.5 mg/mL with a detection limit of 0.15 mg/mL (S/N > 3).
Simple assays were conducted using three types of soil, and recovery measurements
reported.
PMID- 29364152
TI - Metabolite Profiling of 14 Wuyi Rock Tea Cultivars Using UPLC-QTOF MS and UPLC
QqQ MS Combined with Chemometrics.
AB - Wuyi Rock tea, well-recognized for rich flavor and long-lasting fragrance, is a
premium subcategory of oolong tea mainly produced in Wuyi Mountain and nearby
regions of China. The quality of tea is mainly determined by the chemical
constituents in the tea leaves. However, this remains underexplored for Wuyi Rock
tea cultivars. In this study, we investigated the leaf metabolite profiles of 14
major Wuyi Rock tea cultivars grown in the same producing region using UPLC-QTOF
MS and UPLC-QqQ MS with data processing via principal component analysis and
cluster analysis. Relative quantitation of 49 major metabolites including flavan
3-ols, proanthocyanidins, flavonol glycosides, flavone glycosides, flavonone
glycosides, phenolic acid derivatives, hydrolysable tannins, alkaloids and amino
acids revealed clear variations between tea cultivars. In particular, catechins,
kaempferol and quercetin derivatives were key metabolites responsible for
cultivar discrimination. Information on the varietal differences in the levels of
bioactive/functional metabolites, such as methylated catechins, flavonol
glycosides and theanine, offers valuable insights to further explore the
nutritional values and sensory qualities of Wuyi Rock tea. It also provides
potential markers for tea plant fingerprinting and cultivar identification.
PMID- 29364154
TI - Parental Attitudes, Behaviors, and Barriers to School Readiness among Parents of
Low-Income Latino Children.
AB - We sought to explore parental attitudes, behaviors, and barriers regarding school
readiness in a county clinic serving low income, Latino children. Between
December 2013-September 2014, we conducted a cross sectional survey of parents
during 3-6 years well-child appointments about school readiness (SR) across: (1)
attitudes/behaviors; (2) barriers; and (3) awareness; and (4) use of local
resources. Most parents (n = 210, response rate 95.6%) find it very
important/important for their child to know specific skills prior to school: take
turns and share (98.5%), use a pencil and count (97.6%), know letters (99.1%),
colors (97.1%), and shapes (96.1%). Over 80% of parents find education important
and engage in positive SR behaviors: singing, practicing letters, or reading.
Major barriers to SR were lack of knowledge for kindergarten readiness, language
barriers, access to books at home, constraints on nightly reading, difficulty
completing school forms, and limited free time with child. Awareness of local
resources such as preschool programs was higher than actual utilization. These
low-income, Latino parents value SR but lack knowledge to prepare their child for
school and underutilize community resources such as free preschool programs.
Pediatricians are uniquely positioned to address these needs, but more evidence
based interventions are needed.
PMID- 29364155
TI - An in Vitro Study on the Effect of Combined Treatment with Photodynamic and
Chemical Therapies on Candida albicans.
AB - Candida albicans is the most commonly encountered human fungal pathogen, and it
is traditionally treated with antimicrobial chemical agents. The antimicrobial
effect of these agents is largely weakened by drug resistance and biofilm
associated virulence. Enhancement of the antimicrobial activity of existing
agents is needed for effective candidiasis treatment. Our aim was to develop a
therapy that combined biofilm disruption with existing antimicrobial agents.
Photodynamic therapy (PDT) utilizing curcumin and blue light was tested as an
independent therapy and in combination with fluconazole treatment. Viability
assays and morphology analysis were used to assess the effectiveness of C.
albicans treatment. Results showed that fluconazole treatment decreased the
viability of planktonic C. albicans, but the decrease was not as pronounced in
adherent C. albicans because its biofilm form was markedly more resistant to the
antimicrobiotic. PDT effectively eradicated C. albicans biofilms, and when
combined with fluconazole, PDT significantly inhibited C. albicans to a greater
extent. This study suggests that the addition of PDT to fluconazole to treat C.
albicans infection enhances its effectiveness and can potentially be used
clinically.
PMID- 29364156
TI - Use of an UHPLC-MS/MS Method for Determination of Kuraridin and Characterization
of Its Metabolites in Rat Plasma after Oral Administration.
AB - Kuraridin is an active natural prenylated flavonoid ingredient originating from
the well-known traditional Chinese medicine Sophora flavescens Ait., that
possesses various bioactivities, such as antitumor activity, PLCgamma1 inhibitory
activity, glycosidase inhibitory activity, etc. However, there is no report on
the plasma metabolic profile and pharmacokinetic study of kuraridin. The current
study was designed to use an ultra-performance liquid chromatography/tandem mass
spectrometry (UHPLC-MS/MS) method for the quantification and characterization
metabolites in rat plasma after oral administration of kuraridin. A liquid-liquid
extraction method with ethyl acetate-acetonitrile (1:3) was used to extract the
kuraridin from rat plasma samples. The chromatographic separation was carried out
on a Hypersil GOLD UHPLC C18 column equipped with a C18 guard cartridge using a
gradient elution with organic solvent-water as mobile phase. Based on comparing
the retention times with reference standards or on the basis of MS2 fragmentation
behaviors, a total of 19 metabolites were identified or tentatively characterized
from rat plasma. Under the optimized conditions, the method showed good linearity
(r2 > 0.99) over the ranges of 1-500 ng/mL for kuraridin. The inter- and intra
day precisions were less than 8.95%, and the accuracy was in the range of -6.27
6.48%. The recovery of kuraridin ranged from 90.1% to 100.4%. The developed UHPLC
MS/MS method was thus successfully applied in the qualitative of metabolites and
quantitative analysis of kuraridin in rat plasma.
PMID- 29364157
TI - Solid Lipid Nanoparticles Carrying Temozolomide for Melanoma Treatment.
Preliminary In Vitro and In Vivo Studies.
AB - AIM: To develop an innovative delivery system for temozolomide (TMZ) in solid
lipid nanoparticles (SLN), which has been preliminarily investigated for the
treatment of melanoma. MATERIALS AND METHODS: SLN-TMZ was obtained through fatty
acid coacervation. Its pharmacological effects were assessed and compared with
free TMZ in in vitro and in vivo models of melanoma and glioblastoma. RESULTS:
Compared to the standard free TMZ, SLN-TMZ exerted larger effects, when cell
proliferation of melanoma cells, and neoangiogeneis were evaluated. SLN-TMZ also
inhibited growth and vascularization of B16-F10 melanoma in C57/BL6 mice, without
apparent toxic effects. CONCLUSION: SLN could be a promising strategy for the
delivery of TMZ, allowing an increased stability of the drug and thereby its
employment in the treatment of aggressive malignacies.
PMID- 29364151
TI - Insights into the Molecular Mechanisms of Alzheimer's and Parkinson's Diseases
with Molecular Simulations: Understanding the Roles of Artificial and
Pathological Missense Mutations in Intrinsically Disordered Proteins Related to
Pathology.
AB - Amyloid-beta and alpha-synuclein are intrinsically disordered proteins (IDPs),
which are at the center of Alzheimer's and Parkinson's disease pathologies,
respectively. These IDPs are extremely flexible and do not adopt stable
structures. Furthermore, both amyloid-beta and alpha-synuclein can form toxic
oligomers, amyloid fibrils and other type of aggregates in Alzheimer's and
Parkinson's diseases. Experimentalists face challenges in investigating the
structures and thermodynamic properties of these IDPs in their monomeric and
oligomeric forms due to the rapid conformational changes, fast aggregation
processes and strong solvent effects. Classical molecular dynamics simulations
complement experiments and provide structural information at the atomic level
with dynamics without facing the same experimental limitations. Artificial
missense mutations are employed experimentally and computationally for providing
insights into the structure-function relationships of amyloid-beta and alpha
synuclein in relation to the pathologies of Alzheimer's and Parkinson's diseases.
Furthermore, there are several natural genetic variations that play a role in the
pathogenesis of familial cases of Alzheimer's and Parkinson's diseases, which are
related to specific genetic defects inherited in dominant or recessive patterns.
The present review summarizes the current understanding of monomeric and
oligomeric forms of amyloid-beta and alpha-synuclein, as well as the impacts of
artificial and pathological missense mutations on the structural ensembles of
these IDPs using molecular dynamics simulations. We also emphasize the recent
investigations on residual secondary structure formation in dynamic
conformational ensembles of amyloid-beta and alpha-synuclein, such as beta
structure linked to the oligomerization and fibrillation mechanisms related to
the pathologies of Alzheimer's and Parkinson's diseases. This information
represents an important foundation for the successful and efficient drug design
studies.
PMID- 29364158
TI - The Prevalence of Helicobacter pylori in Estonian Bariatric Surgery Patients.
AB - Helicobacter pylori (Hp) is one of the most important human pathogens that can
cause duodenal and gastric ulcers, gastritis and stomach cancer. Hp infection is
considered to be a cause of limiting access to bariatric surgery. The aim of this
study was to determine the prevalence of Hp in patients with obesity going into
bariatric surgery and to reveal the relationship between Hp and clinical data.
The study group was formed of 68 preoperative bariatric surgery patients (body
mass index (BMI) 44.7 +/- 4.8). Gastric biopsies (antrum and corpus) were used
for histological and molecular (caqA and glmM genes) examinations. The PCR method
revealed Hp infection in 64.7% of obese patients that is higher in comparison
with histological analysis (55.9%). The prevalence of cagA and glmM genes in
antrum mucosa was 45.6% and 47.0% while in the corpus it was 41.2% and 38.3%,
respectively. The coincidence of both cagA and glmM virulence genes in the antrum
and corpus mucosa was 33.8% and 22.1%, respectively. Either of the genes was
found in 58.8% of antrum and 57.3% of corpus mucosa. Presence of caqA and glmM
genes was in association with active and atrophic chronic gastritis. In
conclusion, our study demonstrated that two thirds of morbidly obese patients
undergoing bariatric surgery are infected with Hp and have a high prevalence of
cagA and glmM virulence genes that points out the necessity for diagnostics and
treatment of this infection before surgery.
PMID- 29364160
TI - Regenerative, Highly-Sensitive, Non-Enzymatic Dopamine Sensor and Impact of
Different Buffer Systems in Dopamine Sensing.
AB - Carbon nanotube field-effect transistors are used extensively in ultra-sensitive
biomolecule sensing applications. Along with high sensitivity, the possibility of
regeneration is highly desired in bio-sensors. An important constituent of such
bio-sensing systems is the buffer used to maintain pH and provide an ionic
conducting medium, among its other properties. In this work, we demonstrate
highly-sensitive regenerative dopamine sensors and the impact of varying buffer
composition and type on the electrolyte gated field effect sensors. The role of
the buffer system is an often ignored condition in the electrical
characterization of sensors. Non-enzymatic dopamine sensors are fabricated and
regenerated in hydrochloric acid (HCl) solution. The sensors are finally measured
against four different buffer solutions. The impact of the nature and chemical
structure of buffer molecules on the dopamine sensors is shown, and the
appropriate buffer systems are demonstrated.
PMID- 29364159
TI - Elucidating the Role of CD84 and AHR in Modulation of LPS-Induced Cytokines
Production by Cruciferous Vegetable-Derived Compounds Indole-3-Carbinol and 3,3'
Diindolylmethane.
AB - Modulation of the immune system by cancer protective food bioactives has
preventive and therapeutic importance in prostate cancer, but the mechanisms
remain largely unclear. The current study tests the hypothesis that the diet
derived cancer protective compounds, indole-3-carbinol (I3C) and 3,3'
diindolylmethane (DIM), affect the tumor microenvironment by regulation of
inflammatory responses in monocytes and macrophages. We also ask whether I3C and
DIM act through the aryl hydrocarbon (AHR)-dependent pathway or the signaling
lymphocyte activation molecule (SLAM) family protein CD84-mediated pathway. The
effect of I3C and DIM was examined using the human THP-1 monocytic cell in its un
differentiated (monocyte) and differentiated (macrophage) state. We observed that
I3C and DIM inhibited lipopolysaccharide (LPS) induction of IL-1beta mRNA and
protein in the monocyte form but not the macrophage form of THP-1. Interestingly,
CD84 mRNA but not protein was inhibited by I3C and DIM. AHR siRNA knockdown
experiments confirmed that the inhibitory effects of I3C and DIM on IL-1beta as
well as CD84 mRNA are regulated through AHR-mediated pathways. Additionally, the
AHR ligand appeared to differentially regulate other LPS-induced cytokines
expression. Hence, cross-talk between AHR and inflammation-mediated pathways, but
not CD84-mediated pathways, in monocytes but not macrophages may contribute to
the modulation of tumor environments by I3C and DIM in prostate cancer.
PMID- 29364161
TI - The Electronic Structure and Optical Properties of Anatase TiO2 with Rare Earth
Metal Dopants from First-Principles Calculations.
AB - The electronic and optical properties of the rare earth metal atom-doped anatase
TiO2 have been investigated systematically via density functional theory
calculations. The results show that TiO2 doped by Ce or Pr is the optimal choice
because of its small band gap and strong optical absorption. Rare earth metal
atom doping induces several impurity states that tune the location of valence and
conduction bands and an obvious lattice distortion that should reduce the
probability of electron-hole recombination. This effect of band change originates
from the 4f electrons of the rare earth metal atoms, which leads to an improved
visible light absorption. This finding indicates that the electronic structure of
anatase TiO2 is tuned by the introduction of impurity atoms.
PMID- 29364162
TI - Metabolic Syndrome and Chronic Renal Disease.
AB - : Background: The influence of metabolic syndrome (MetS) on kidneys is related to
many complications. We aimed to assess the association between MetS and chronic
renal disease defined by a poor estimated glomerular filtration rate (eGFR)
and/or the presence of microalbuminuria/macroalbuminuria. METHODS: 149 patients
(77 males/72 females) were enrolled in the study. Chronic renal disease was
defined according to KDIGO 2012 criteria based on eGFR category and classified
albuminuria. MetS was studied as a dichotomous variable (0 to 5 components)
including hypertension, waist circumference, low HDL-cholesterol, high
triglycerides, and high glucose. Results: The association between clustering MetS
and both classified eGFR and classified albuminuria (x2 = 50.3, p = 0.001 and x2
= 26.9, p = 0.003 respectively) was found to be significant. The MetS presence
showed an odds 5.3-fold (1.6-17.8) higher for low eGFR and 3.2-fold (1.2-8.8)
higher for albuminuria in combination with the presence of diabetes mellitus,
which also increased the risk for albuminuria by 3.5-fold (1.1-11.3). Albuminuria
was significantly associated with high triglycerides, hypertension, high glucose
(x2 = 11.8, p = 0.003, x2 = 11.4, p = 0.003 and x2 = 9.1, p = 0.01 respectively),
and it was mildly associated with a low HDL-C (x2 = 5.7, p = 0.06). A significant
association between classified eGFR and both high triglycerides and hypertension
(x2 = 9.7, p = 0.04 and x2 = 16.1, p = 0.003 respectively) was found. Conclusion:
The clustering of MetS was significantly associated with chronic renal disease
defined by both classified eGFR and albuminuria. The definition of impaired renal
function by classified albuminuria was associated with more MetS components
rather than the evaluation of eGFR category. MetS may contribute to the
manifestation of albuminuria in patients with diabetes mellitus.
PMID- 29364164
TI - Thermochemical Stability and Friction Properties of Soft Organosilica Networks
for Solid Lubrication.
AB - In view of their possible application as high temperature solid lubricants, the
tribological and thermochemical properties of several organosilica networks were
investigated over a range of temperatures between 25 and 580 degrees C.
Organosilica networks, obtained from monomers with terminal and bridging organic
groups, were synthesized by a sol-gel process. The influence of carbon content,
crosslink density, rotational freedom of incorporated hydrocarbon groups, and
network connectivity on the high temperature friction properties of the polymer
was studied for condensed materials from silicon alkoxide precursors with
terminating organic groups, i.e., methyltrimethoxysilane, propyltrimethoxysilane,
diisopropyldimethoxysilane, cyclohexyltrimethoxysilane, phenyltrimethoxysilane
and 4-biphenylyltriethoxysilane networks, as well as precursors with organic
bridging groups between Si centers, i.e., 1,4-bis(triethoxysilyl)benzene and 4,4'
bis(triethoxysilyl)-1,1'-biphenyl. Pin-on-disc measurements were performed using
all selected solid lubricants. It was found that materials obtained from
phenyltrimethoxysilane and cyclohexyltrimethoxysilane precursors showed softening
above 120 degrees C and performed best in terms of friction reduction, reaching
friction coefficients as low as 0.01. This value is lower than that of graphite
films (0.050 +/- 0.005), a common bench mark for solid lubricants.
PMID- 29364163
TI - Incorporation of Immune Checkpoint Blockade into Chimeric Antigen Receptor T
Cells (CAR-Ts): Combination or Built-In CAR-T.
AB - Chimeric antigen receptor (CAR) T cell therapy represents the first U.S. Food and
Drug Administration approved gene therapy and these engineered cells function
with unprecedented efficacy in the treatment of refractory CD19 positive
hematologic malignancies. CAR translation to solid tumors is also being actively
investigated; however, efficacy to date has been variable due to tumor-evolved
mechanisms that inhibit local immune cell activity. To bolster the potency of CAR
T cells, modulation of the immunosuppressive tumor microenvironment with immune
checkpoint blockade is a promising strategy. The impact of this approach on
hematological malignancies is in its infancy, and in this review we discuss CAR-T
cells and their synergy with immune-checkpoint blockade.
PMID- 29364165
TI - Fabrication of Titanium-Niobium-Zirconium-Tantalium Alloy (TNZT) Bioimplant
Components with Controllable Porosity by Spark Plasma Sintering.
AB - Spark Plasma Sintering (SPS) is used to fabricate Titanium-Niobium-Zirconium
Tantalum alloy (TNZT) powder-based bioimplant components with controllable
porosity. The developed densification maps show the effects of final SPS
temperature, pressure, holding time, and initial particle size on final sample
relative density. Correlations between the final sample density and mechanical
properties of the fabricated TNZT components are also investigated and
microstructural analysis of the processed material is conducted. A densification
model is proposed and used to calculate the TNZT alloy creep activation energy.
The obtained experimental data can be utilized for the optimized fabrication of
TNZT components with specific microstructural and mechanical properties suitable
for biomedical applications.
PMID- 29364166
TI - A Cross-Sectional Study of Viral Hepatitis Perception among Residents from
Southeast and North Regions of Brazil.
AB - Few data are available regarding viral hepatitis perception among the general
global population. The present study aims to estimate the perception of viral
hepatitis in a cohort of individuals living in two geographical regions of
Brazil: North (Manaus city (MA)) and Southeast (Rio de Janeiro city (RJ)). A
cross-sectional, descriptive study was carried out among 287 subjects recruited
in MA (134) and RJ (153). All individuals answered a questionnaire assessing
socio-demographic characteristics and viral hepatitis awareness. Participants'
responses were scored and divided using interquartile values. Associations
between socio-demographic characteristics and knowledge were also evaluated.
Interquartile analysis scored 0-21 correct answers as "Very Weak"; 22-27 as
"Weak"; 28-31 as "Intermediate"; and 32-47 as "Desirable". Mean +/- standard
deviations (SD) of correct responses were weak in both MA (24.1 +/- 7.0) and RJ
(26.3 +/- 7.3). Bivariate analysis showed an association between viral hepatitis
awareness and both education level (p < 0.001) and family income (p < 0.01).
Desirable scores were more common in female participants (61%), those aged
between 21-30 years (40%), those with a secondary education (51.7%), those who
received high income (31.6%), and those from RJ (70.0%). Health education
campaigns in these cities are recommended to increase knowledge and reduce the
transmission of these viruses.
PMID- 29364167
TI - Preventing Crystal Agglomeration of Pharmaceutical Crystals Using Temperature
Cycling and a Novel Membrane Crystallization Procedure for Seed Crystal
Generation.
AB - In this work, a novel membrane crystallization system was used to crystallize
micro-sized seeds of piroxicam monohydrate by reverse antisolvent addition.
Membrane crystallization seeds were compared with seeds produced by conventional
antisolvent addition and polymorphic transformation of a fine powdered sample of
piroxicam form I in water. The membrane crystallization process allowed for a
consistent production of pure monohydrate crystals with narrow size distribution
and without significant agglomeration. The seeds were grown in 350 g of 20:80 w/w
acetone-water mixture. Different seeding loads were tested and temperature
cycling was applied in order to avoid agglomeration of the growing crystals
during the process. Focused beam reflectance measurement (FBRM); and particle
vision and measurement (PVM) were used to monitor crystal growth; nucleation and
agglomeration during the seeded experiments. Furthermore; Raman spectroscopy was
used to monitor solute concentration and estimate the overall yield of the
process. Membrane crystallization was proved to be the most convenient and
consistent method to produce seeds of highly agglomerating compounds; which can
be grown via cooling crystallization and temperature cycling.
PMID- 29364168
TI - Renal Tubule Repair: Is Wnt/beta-Catenin a Friend or Foe?
AB - Wnt/beta-catenin signaling is extremely important for proper kidney development.
This pathway is also upregulated in injured renal tubular epithelia, both in
acute kidney injury and chronic kidney disease. The renal tubular epithelium is
an important target of kidney injury, and its response (repair versus persistent
injury) is critical for determining whether tubulointerstitial fibrosis, the
hallmark of chronic kidney disease, develops. This review discusses how Wnt/beta
catenin signaling in the injured tubular epithelia promotes either repair or
fibrosis after kidney injury. There is data suggesting that epithelial Wnt/beta
catenin signaling is beneficial in acute kidney injury and important in tubular
progenitors responsible for epithelial repair. The role of Wnt/beta-catenin
signaling in chronically injured epithelia is less clear. There is convincing
data that Wnt/beta-catenin signaling in interstitial fibroblasts and pericytes
contributes to the extracellular matrix accumulation that defines fibrosis.
However, some recent studies question whether Wnt/beta-catenin signaling in
chronically injured epithelia actually promotes fibrosis or repair.
PMID- 29364169
TI - Proteomic Analysis of Differentially-Expressed Proteins in the Liver of
Streptozotocin-Induced Diabetic Rats Treated with Parkia biglobosa Protein
Isolate.
AB - Protein isolate from Parkia biglobosa seeds is believed to possess excellent anti
diabetic properties. The purpose of this study was to identify differentially
expressed proteins in liver of streptozotocin-induced diabetic rats treated with
Parkia biglobosa seeds protein isolate (PBPi). In this study, total proteins
extracted from rat liver were separated on one-dimensional SDS polyacrylamide gel
(1D SDS-PAGE) and stained with Coomassie brilliant blue (CBB) to visualize
protein bands. We observed that protein bands in the region of 10-15 kDa were
altered by the different treatments; these bands were selected and excised for in
gel digestion and peptide extraction followed by nLC-MS, MALDI-TOF MS, and LIFT
MS/MS. A database search with the Mascot algorithm positively identified four
differentially expressed proteins. These proteins are known to be responsible for
diverse biological functions within various organs and tissues. The present
result gives insight and understanding into possible molecular mechanisms by
which streptozotocin causes various alterations in proteins found in the liver of
diabetic rats and the possible modulatory role of PBPi in the management of
streptozotocin-induced diabetes.
PMID- 29364170
TI - Erinacine A-Enriched Hericium erinaceus Mycelium Produces Antidepressant-Like
Effects through Modulating BDNF/PI3K/Akt/GSK-3beta Signaling in Mice.
AB - Antidepressant-like effects of ethanolic extract of Hericium erinaceus (HE)
mycelium enriched in erinacine A on depressive mice challenged by repeated
restraint stress (RS) were examined. HE at 100, 200 or 400 mg/kg body weight/day
was orally given to mice for four weeks. After two weeks of HE administration,
all mice except the control group went through with 14 days of RS protocol.
Stressed mice exhibited various behavioral alterations, such as extending
immobility time in the tail suspension test (TST) and forced swimming test (FST),
and increasing the number of entries in open arm (POAE) and the time spent in the
open arm (PTOA). Moreover, the levels of norepinephrine (NE), dopamine (DA) and
serotonin (5-HT) were decreased in the stressed mice, while the levels of
interleukin (IL)-6 and tumor necrosis factor (TNF)-alpha were increased. These
changes were significantly inverted by the administration of HE, especially at
the dose of 200 or 400 mg/kg body weight/day. Additionally, HE was shown to
activate the BDNF/TrkB/PI3K/Akt/GSK-3beta pathways and block the NF-kappaB
signals in mice. Taken together, erinacine A-enriched HE mycelium could reverse
the depressive-like behavior caused by RS and was accompanied by the modulation
of monoamine neurotransmitters as well as pro-inflammatory cytokines, and
regulation of BDNF pathways. Therefore, erinacine A-enriched HE mycelium could be
an attractive agent for the treatment of depressive disorders.
PMID- 29364172
TI - Service Migration from Cloud to Multi-tier Fog Nodes for Multimedia Dissemination
with QoE Support.
AB - A wide range of multimedia services is expected to be offered for mobile users
via various wireless access networks. Even the integration of Cloud Computing in
such networks does not support an adequate Quality of Experience (QoE) in areas
with high demands for multimedia contents. Fog computing has been conceptualized
to facilitate the deployment of new services that cloud computing cannot provide,
particularly those demanding QoE guarantees. These services are provided using
fog nodes located at the network edge, which is capable of virtualizing their
functions/applications. Service migration from the cloud to fog nodes can be
actuated by request patterns and the timing issues. To the best of our knowledge,
existing works on fog computing focus on architecture and fog node deployment
issues. In this article, we describe the operational impacts and benefits
associated with service migration from the cloud to multi-tier fog computing for
video distribution with QoE support. Besides that, we perform the evaluation of
such service migration of video services. Finally, we present potential research
challenges and trends.
PMID- 29364171
TI - What Works? Toward a New Classification System for Mental Health Supported
Accommodation Services: The Simple Taxonomy for Supported Accommodation (STAX
SA).
AB - Inconsistent terminology and variation in service models have made synthesis of
the supported accommodation literature challenging. To overcome this, we
developed a brief, categorical taxonomy that aimed to capture the defining
features of different supported accommodation models: the simple taxonomy for
supported accommodation (STAX-SA). Data from a previous review of existing
classification systems were used to develop the taxonomy structure. After initial
testing and amendments, the STAX-SA and an existing taxonomy were applied to 132
supported accommodation service descriptions drawn from two systematic reviews
and their performance compared. To assess external validity, the STAX-SA was
distributed to a sample of supported accommodation managers in England and they
were asked to use it to classify their services. The final version of the STAX-SA
comprised of five supported accommodation 'types', based on four domains;
Staffing location; Level of support; Emphasis on move-on; and Physical setting.
The STAX-SA accurately categorized 71.1% (n = 94) of service descriptions,
outperforming the comparison tool, and was not affected by publication date or
research design. The STAX-SA effectively discriminated between 'real world'
service models in England and 53.2% (n = 17) of service managers indicated that
the taxonomy was 'Very effective' or 'Extremely effective' in capturing key
characteristics of their service. The STAX-SA is an effective tool for
classifying supported accommodation models and represents a promising approach to
synthesizing the extant effectiveness literature. The authors recommend the
development of reporting guidelines for future supported accommodation
publications to facilitate comparison between models.
PMID- 29364173
TI - Evaluation of the Validity and Reliability of the Chinese Healthy Eating Index.
AB - The Chinese Healthy Eating Index (CHEI) is a measuring instrument of diet quality
in accordance with the Dietary Guidelines for Chinese (DGC)-2016. The objective
of the study was to evaluate the validity and reliability of the CHEI. Data from
12,473 adults from the China Health and Nutrition Survey (CHNS)-2011, including 3
day-24-h dietary recalls were used in this study. The CHEI was assessed by four
exemplary menus developed by the DGC-2016, the general linear models, the
independent t-test and the Mann-Whitney U-test, the Spearman's correlation
analysis, the principal components analysis (PCA), the Cronbach's coefficient,
and the Pearson correlation with nutrient intakes. A higher CHEI score was linked
with lower exposure to known risk factors of Chinese diets. The CHEI scored
nearly perfect for exemplary menus for adult men (99.8), adult women (99.7), and
the healthy elderly (99.1), but not for young children (91.2). The CHEI was able
to distinguish the difference in diet quality between smokers and non-smokers (P
< 0.0001), people with higher and lower education levels (P < 0.0001), and people
living in urban and rural areas (P < 0.0001). Low correlations with energy intake
for the CHEI total and component scores (|r| < 0.34, P < 0.01) supported the
index assessed diet quality independently of diet quantity. The PCA indicated
that underlying multiple dimensions compose the CHEI, and Cronbach's coefficient
alpha was 0.22. Components of dairy, fruits and cooking oils had the greatest
impact on the total score. People with a higher CHEI score had not only a higher
absolute intake of nutrients (P < 0.001), but also a more nutrient-dense diet (P
< 0.001). Our findings support the validity and reliability of the CHEI when
using the 3-day-24-h recalls.
PMID- 29364174
TI - Molecular Understanding of the Activation of CB1 and Blockade of TRPV1 Receptors:
Implications for Novel Treatment Strategies in Osteoarthritis.
AB - Osteoarthritis (OA) is a joint disease in which cartilage degenerates as a result
of mechanical and biochemical changes. The main OA symptom is chronic pain
involving both peripheral and central mechanisms of nociceptive processing. Our
previous studies have implicated the benefits of dual- over single-acting
compounds interacting with the endocannabinoid system (ECS) in OA treatment. In
the present study, we focused on the specific molecular alterations associated
with pharmacological treatment. OA was induced in Wistar rats by intra-articular
injection of 3 mg of monoiodoacetate (MIA). Single target compounds (URB597, an
FAAH inhibitor, and SB366791, a TRPV1 antagonist) and a dual-acting compound
OMDM198 (FAAH inhibitor/TRPV1 antagonist) were used in the present study. At day
21 post-MIA injection, rats were sacrificed 1 h after i.p. treatment, and changes
in mRNA expression were evaluated in the lumbar spinal cord by RT-qPCR. Following
MIA administration, we observed 2-4-fold increase in mRNA expression of targeted
receptors (Cnr1, Cnr2, and Trpv1), endocannabinoid degradation enzymes (Faah,
Ptgs2, and Alox12), and TRPV1 sensitizing kinases (Mapk3, Mapk14, Prkcg, and
Prkaca). OMDM198 treatment reversed some of the MIA effects on the spinal cord
towards intact levels (Alox12, Mapk14, and Prkcg). Apparent regulation of ECS and
TRPV1 in response to pharmacological intervention is a strong justification for
novel ECS-based multi-target drug treatment in OA.
PMID- 29364175
TI - Tactile-Sensing Based on Flexible PVDF Nanofibers via Electrospinning: A Review.
AB - The flexible tactile sensor has attracted widespread attention because of its
great flexibility, high sensitivity, and large workable range. It can be
integrated into clothing, electronic skin, or mounted on to human skin. Various
nanostructured materials and nanocomposites with high flexibility and electrical
performance have been widely utilized as functional materials in flexible tactile
sensors. Polymer nanomaterials, representing the most promising materials,
especially polyvinylidene fluoride (PVDF), PVDF co-polymer and their
nanocomposites with ultra-sensitivity, high deformability, outstanding chemical
resistance, high thermal stability and low permittivity, can meet the flexibility
requirements for dynamic tactile sensing in wearable electronics. Electrospinning
has been recognized as an excellent straightforward and versatile technique for
preparing nanofiber materials. This review will present a brief overview of the
recent advances in PVDF nanofibers by electrospinning for flexible tactile sensor
applications. PVDF, PVDF co-polymers and their nanocomposites have been
successfully formed as ultrafine nanofibers, even as randomly oriented PVDF
nanofibers by electrospinning. These nanofibers used as the functional layers in
flexible tactile sensors have been reviewed briefly in this paper. The beta-phase
content, which is the strongest polar moment contributing to piezoelectric
properties among all the crystalline phases of PVDF, can be improved by adjusting
the technical parameters in electrospun PVDF process. The piezoelectric
properties and the sensibility for the pressure sensor are improved greatly when
the PVDF fibers become more oriented. The tactile performance of PVDF composite
nanofibers can be further promoted by doping with nanofillers and nanoclay.
Electrospun P(VDF-TrFE) nanofiber mats used for the 3D pressure sensor achieved
excellent sensitivity, even at 0.1 Pa. The most significant enhancement is that
the aligned electrospun core-shell P(VDF-TrFE) nanofibers exhibited almost 40
times higher sensitivity than that of pressure sensor based on thin-film PVDF.
PMID- 29364176
TI - Secular Trends in Energy and Macronutrient Intakes and Distribution among Adult
Females (1991-2015): Results from the China Health and Nutrition Survey.
AB - With rapid nutrition transition in China, dietary intake and nutritional status
of women has gained more and more attention in the past decades. This study aimed
to investigate temporal trends of total energy and macronutrient intakes among
Chinese adult females. The longitudinal data are from the Chinese Health and
Nutrition Survey (CHNS, 1991-2015). Information on the intake of energy and
macronutrient was obtained from consecutive three-day dietary recall techniques
and compared with the Chinese Dietary Reference Intakes (DRI). Mixed-effect
models were performed to evaluate temporal trends of total energy and
macronutrient intake. From 1991 to 2015, a significant reduction in daily energy,
protein and carbohydrate intakes was seen among all adult females (p < 0.001).
Daily fat intake, the proportion of energy from fat, the proportion of females
consuming more than 30% of energy from fat and less than 50% of energy from
carbohydrate were observed significant increment in the present study (p <
0.001). In 2015, the proportion met the DRI for energy and protein intakes were
47.0% and 48.0%, respectively; the proportion with lower carbohydrate and higher
fat intakes compare with the DRI were 45.5% and 66.9%, respectively. Further
nutritional education and policy interventions still needed to improve nutrition
status for Chinese females.
PMID- 29364177
TI - Allostatic Load and Effort-Reward Imbalance: Associations over the Working
Career.
AB - Although associations between work stressors and stress-related biomarkers have
been reported in cross-sectional studies, the use of single time measurements of
work stressors could be one of the reasons for inconsistent associations. This
study examines whether repeated reports of work stress towards the end of the
working career predicts allostatic load, a measure of chronic stress related
physiological processes. Data from waves 2 to 6 of the English Longitudinal Study
of Ageing (ELSA) were analysed, with a main analytical sample of 2663 older
adults (aged 50+) who had at least one measurement of effort-reward imbalance
between waves 2-6 and a measurement of allostatic load at wave 6. Cumulative work
stress over waves 2-6 were measured by the effort-reward imbalance model. ELSA
respondents who had reported two or more occasions of imbalance had a higher
(0.3) estimate of the allostatic load index than those who did not report any
imbalance, controlling for a range of health and socio-demographic factors, as
well as allostatic load at baseline. More recent reports of imbalance were
significantly associated with a higher allostatic load index, whereas reports of
imbalance from earlier waves of ELSA were not. The accumulation of work related
stressors could have adverse effects on chronic stress biological processes.
PMID- 29364178
TI - Disrupting the Btk Pathway Suppresses COPD-Like Lung Alterations in
Atherosclerosis Prone ApoE-/- Mice Following Regular Exposure to Cigarette Smoke.
AB - Chronic obstructive pulmonary disease (COPD) is associated with severe chronic
inflammation that promotes irreversible tissue destruction. Moreover, the most
broadly accepted cause of COPD is exposure to cigarette smoke. There is no
effective cure and significantly, the mechanism behind the development and
progression of this disease remains unknown. Our laboratory has demonstrated that
Bruton's tyrosine kinase (Btk) is a critical regulator of pro-inflammatory
processes in the lungs and that Btk controls expression of matrix
metalloproteinase-9 (MMP-9) in the alveolar compartment. For this study
apolipoprotein E null (ApoE-/-) mice were exposed to SHS to facilitate study in a
COPD/atherosclerosis comorbidity model. We applied two types of treatments,
animals received either a pharmacological inhibitor of Btk or MMP-9 specific
siRNA to minimize MMP-9 expression in endothelial cells or neutrophils. We have
shown that these treatments had a protective effect in the lung. We have noted a
decrease in alveolar changes related to SHS induced inflammation in treated
animals. In summary, we are presenting a novel concept in the field of COPD,
i.e., that Btk may be a new drug target for this disease. Moreover, cell specific
targeting of MMP-9 may also benefit patients affected by this disease.
PMID- 29364179
TI - Lycopene Attenuates Tulathromycin and Diclofenac Sodium-Induced Cardiotoxicity in
Mice.
AB - Recent experiments showed a potential cardiotoxic effect of the macrolide
antibiotic (tulathromycin). This study was performed to investigate whether
diclofenac sodium (DFS) potentiates the cardiotoxicity of tulathromycin and
increases the cardioprotective effects of lycopene against DFS and tulathromycin.
Seven groups (eight per group) of adult Swiss albino mice received saline
(control), tulathromycin (a single subcutaneous dose of 28 mg/kg/bw on day 14),
DFS (a single oral dose of 100 mg/kg/bw on day 14), tulathromycin plus DFS, or
lycopene (oral, 10 mg/kg/bw daily for 15 d) combined with tulathromycin, DFS, or
both. Compared to the control group, the administration of tulathromycin or DFS
(individually or in combination) caused significantly elevated (p < 0.05) serum
levels of Creatine kinase-myocardial B fraction (CK-MB), lactate dehydrogenase,
and cardiac-specific troponin-T and tissue levels of nitric oxide and
malondialdehyde that were accompanied by significantly decreased tissue reduced
glutathione content and glutathione peroxidase, superoxide dismutase, and
catalase antioxidant enzyme activity. Upon histopathological and
immunohistochemical examination, the mean pathology scores and the percentages of
caspase-3-, Bax-, and CK-positive regions were significantly higher in the
tulathromycin- and/or DFS-treated groups than in control mice. For all these
parameters, the pathological changes were more significant in the tulathromycin
DFS combination group than in mice treated with either drug individually.
Interestingly, co-administration of lycopene with tulathromycin and/or DFS
significantly ameliorated the changes described above. In conclusion, DFS could
potentiate the cardiotoxic effects of tulathromycin, whereas lycopene can serve
as a cardioprotective agent against DFS and tulathromycin.
PMID- 29364181
TI - Non-Clinical Contribution to Clinical Trials during Lead Optimization Phase.
AB - This manuscript comments on guidelines related to requirements for clinical
trials for new drugs and the importance of considering regulatory criteria in the
planning phase, in order to enhance the utility of data generated in basic
research. Suggestions are made for optimizing regulatory management to improve
the likelihood of acceptance of pre-clinical data prior to Clinical Phase I
trials (early clinical trials).
PMID- 29364180
TI - Late-Onset N-Acetylglutamate Synthase Deficiency: Report of a Paradigmatic Adult
Case Presenting with Headaches and Review of the Literature.
AB - N-acetylglutamate synthase deficiency (NAGSD) is an extremely rare urea cycle
disorder (UCD) with few adult cases so far described. Diagnosis of late-onset
presentations is difficult and delayed treatment may increase the risk of severe
hyperammonemia. We describe a 52-year-old woman with recurrent headaches who
experienced an acute onset of NAGSD. As very few papers focus on headaches in
UCDs, we also report a literature review of types and pathophysiologic mechanisms
of UCD-related headaches. In our case, headaches had been present since puberty
(3-4 days a week) and were often accompanied by nausea, vomiting, or behavioural
changes. Despite three previous episodes of altered consciousness, ammonia was
measured for the first time at 52 years and levels were increased. Identification
of the new homozygous c.344C>T (p.Ala115Val) NAGS variant allowed the definite
diagnosis of NAGSD. Bioinformatic analysis suggested that an order/disorder
alteration of the mutated form could affect the arginine-binding site, resulting
in poor enzyme activation and late-onset presentation. After optimized treatment
for NAGSD, ammonia and amino acid levels were constantly normal and prevented
other headache bouts. The manuscript underlies that headache may be the
presenting symptom of UCDs and provides clues for the rapid diagnosis and
treatment of late-onset NAGSD.
PMID- 29364182
TI - Investigating Colorimetric Protein Array Assay Schemes for Detection of
Recurrence of Bladder Cancer.
AB - A colorimetric microarray for the multiplexed detection of recurrence of bladder
cancer including protein markers interleukin-8 (IL8), decorin (DCN), and vascular
endothelial growth factor (VEGF) was established to enable easy and cheap read
out by a simple office scanner paving the way for quick therapy monitoring at
doctors' offices. The chip is based on the principle of a sandwich immunoassay
and was optimized prior to multiplexing using IL8 as a model marker. Six
different colorimetric assay formats were evaluated using a detection antibody
(dAB) labeled with (I) gold (Au) nanoparticles (NPs), (II) carbon NPs, (III)
oxidized carbon NPs, and a biotinylated dAB in combination with (IV) neutravidin
carbon, (V) streptavidin (strp)-gold, and (VI) strp-horseradish peroxidase (HRP).
Assay Format (III) worked best for NP-based detection and showed a low background
while the enzymatic approach, using 3,3',5,5'-tetramethylbenzidine (TMB)
substrate, led to the most intense signals with good reproducibility. Both assay
formats showed consistent spot morphology as well as detection limits lower than
15 ng/L IL8 and were thus applied for the multiplexed detection of IL8, DCN, and
VEGF in synthetic urine. Colorimetric detection in urine (1:3) yields reaction
signals and measurement ranges well comparable with detection in the assay
buffer, as well as excellent data reproducibility as indicated by the coefficient
of variation (CV 5-9%).
PMID- 29364183
TI - Antioxidant and Cytoprotective Effects of Tibetan Tea and Its Phenolic
Components.
AB - Tibetan tea (Kangzhuan) is an essential beverage of the Tibetan people. In this
study, a lyophilized aqueous extract of Tibetan tea (LATT) was prepared and
analyzed by HPLC. The results suggested that there were at least five phenolic
components, including gallic acid, and four catechins (i.e., (+)-catechin, (-)
catechin gallate (CG), (-)-epicatechin gallate (ECG), and (-)-epigallocatechin
gallate). Gallic acid, the four catechins, and LATT were then comparatively
investigated by four antioxidant assays: ferric reducing antioxidant power, 2
phenyl-4,4,5,5-tetramethylimidazoline-1-oxyl 3-oxide radical (PTIO*) scavenging,
1,1-diphenyl-2-picryl-hydrazl radical scavenging, and 2,2'-azino-bis(3-ethylbenzo
thiazoline-6-sulfonic acid) radical scavenging assays. In these assays, LATT,
along with the five phenolic components, increased their antioxidant effects in a
concentration-dependent manner; however, the half maximal scavenging
concentrations of ECG were always lower than those of CG. Gallic acid and the
four catechins were also suggested to chelate Fe2+ based on UV-visible spectral
analysis. Ultra-performance liquid chromatography coupled with electrospray
ionization quadrupole time-of-flight tandem mass spectrometry (UPLC-ESI-Q-TOF
MS/MS) analysis suggested that, when mixed with PTIO*, the five phenolic
components could yield two types of radical adduct formation (RAF) products
(i.e., tea phenolic dimers and tea phenolic-PTIO* adducts). In a flow cytometry
assay, (+)-catechin and LATT was observed to have a cytoprotective effect towards
oxidative-stressed bone marrow-derived mesenchymal stem cells. Based on this
evidence, we concluded that LATT possesses antioxidative or cytoprotective
properties. These effects may mainly be attributed to the presence of phenolic
components, including gallic acid and the four catechins. These phenolic
components may undergo electron transfer, H+-transfer, and Fe2+-chelating
pathways to exhibit antioxidative or cytoprotective effects. In these effects,
two diastereoisomeric CG and ECG showed differences to which a steric effect from
the 2-carbon may contribute. Phenolic component decay may cause RAF in the
antioxidant process.
PMID- 29364185
TI - Secure Transmission of Cooperative Zero-Forcing Jamming for Two-User SWIPT Sensor
Networks.
AB - In this paper, the secrecy performance of the two-user simultaneous wireless
information and power transfer (SWIPT) sensor networks is studied and a novel
secure transmission scheme of cooperative zero-forcing (ZF) jamming is proposed.
The two sensors opportunistically conduct the SWIPT and cooperative ZF jamming,
respectively, where the energy required for jamming the eavesdropper is provided
by the SWIPT operation so as to keep the energy balance at the sensors in the
long run. By deriving the exact closed-form expressions of the secrecy outage
probability and the secrecy throughout, we provide an effective approach to
precisely assess the impacts of key parameters on the secrecy performance of the
system. It has been shown that the secrecy outage probability is a monotonically
increasing function of the growth of secrecy rate ( R s ), and a monotonically
decreasing function of the increase of the transmit signal-to-noise ratio ( gamma
S ), and energy conversion efficiency ( eta ). Furthermore, the secrecy
throughput could be enhanced when eta increases, which becomes especially obvious
when a large gamma S is provided. Moreover, the existence of an optimum R s
maximizing the secrecy throughput is depicted, which also grows with the increase
of gamma S . Simulations are provided for the validation of the analysis.
PMID- 29364184
TI - Oral Contact Events and Caregiver Hand Hygiene: Implications for Fecal-Oral
Exposure to Enteric Pathogens among Infants 3-9 Months Living in Informal, Peri
Urban Communities in Kisumu, Kenya.
AB - Childhood diarrhea is one of the leading causes of morbidity and mortality in
children under five in low and middle-income countries, second only to
respiratory illness. The mouthing behavior that is common in children exposes
them to fecal-orally transmitted pathogens that can result in diarrhea; however,
there is a need for further evidence on specific exposure routes. This study
describes the frequency and diversity of two important routes of enteric pathogen
exposure among infants 3-9 months of age: infant oral contact behavior and
caregiver handwashing behavior. Data were collected through structured
observations of 25 index infants for the oral contact data and 25 households for
the caregiver handwashing data in a peri-urban setting in Kisumu (Obunga), Kenya.
Breast was the most common type of oral contact event with an average of 3.00 per
observation period and 0.5 events per hour. This was followed by a range of
physical objects with an average of 2.49 per observation and 0.4 events per hour.
The "infant's own hands" was the third most common oral contact, with an average
of 2.16 events per hour, and 0.4 oral contact events per hour. Food and liquids
were the 4th and 5th most common oral contact events with an average of 1.64 food
contacts and 0.52 liquid oral contact events per observation period. Feeding
events, including breastfeeding, were the most commonly observed key juncture-71%
of total junctures observed were caregivers feeding children. This was followed
by child cleaning (23%), caregiver toilet uses at (4%), and lastly food
preparation at 2%. HWWS was observed only once before a feeding event (1%), twice
after cleaning a child (9%), and twice after caregiver toilet use (40%). The
combined implication of data from observing oral contact behavior in children and
hand hygiene of caregivers suggests that caregiver hand hygiene prior to feeding
events and after cleaning a child are priority interventions.
PMID- 29364186
TI - Genetic Selection to Enhance Animal Welfare Using Meat Inspection Data from
Slaughter Plants.
AB - Animal health and welfare are monitored during meat inspection in many slaughter
plants around the world. Carcasses are examined by meat inspectors and remarks
are made with respect to different diseases, injuries, and other abnormalities.
This is a valuable data resource for disease prevention and enhancing animal
welfare, but it is rarely used for this purpose. Records on carcass remarks on
140,375 finisher pigs were analyzed to investigate the possibility of genetic
selection to reduce the risk of the most prevalent diseases and indicators of
suboptimal animal welfare. As part of this, effects of some non-genetic factors
such as differences between farms, sexes, and growth rates were also examined.
The most frequent remarks were pneumonia (15.4%), joint disorders (9.8%),
pleuritis (4.7%), pericarditis (2.3%), and liver lesions (2.2%). Joint disorders
were more frequent in boars than in gilts. There were also significant
differences between farms. Pedigree records were available for 142,324 pigs from
14 farms and were used for genetic analysis. Heritability estimates for
pneumonia, pleuritis, pericarditis, liver lesions, and joint disorders were 0.10,
0.09, 0.14, 0.24, and 0.17 on the liability scale, respectively, suggesting the
existence of substantial genetic variation. This was further confirmed though
genome wide associations using deregressed breeding values as phenotypes. The
genetic correlations between these remarks and finishing traits were small but
mostly negative, suggesting the possibility of enhancing pig health and welfare
simultaneously with genetic improvement in finishing traits. A selection index
based on the breeding values for these traits and their economic values was
developed. This index is used to enhance animal welfare in pig farms.
PMID- 29364188
TI - Passive Infrared (PIR)-Based Indoor Position Tracking for Smart Homes Using
Accessibility Maps and A-Star Algorithm.
AB - Indoor occupants' positions are significant for smart home service systems, which
usually consist of robot service(s), appliance control and other intelligent
applications. In this paper, an innovative localization method is proposed for
tracking humans' position in indoor environments based on passive infrared (PIR)
sensors using an accessibility map and an A-star algorithm, aiming at providing
intelligent services. First the accessibility map reflecting the visiting habits
of the occupants is established through the integral training with indoor
environments and other prior knowledge. Then the PIR sensors, which placement
depends on the training results in the accessibility map, get the rough location
information. For more precise positioning, the A-start algorithm is used to
refine the localization, fused with the accessibility map and the PIR sensor
data. Experiments were conducted in a mock apartment testbed. The ground truth
data was obtained from an Opti-track system. The results demonstrate that the
proposed method is able to track persons in a smart home environment and provide
a solution for home robot localization.
PMID- 29364187
TI - Deciphering the Functional Composition of Fusogenic Liposomes.
AB - Cationic liposomes are frequently used as carrier particles for nucleic acid
delivery. The most popular formulation is the equimolar mixture of two
components, a cationic lipid and a neutral phosphoethanolamine. Its uptake
pathway has been described as endocytosis. The presence of an aromatic molecule
as a third component strongly influences the cellular uptake process and results
in complete membrane fusion instead of endocytosis. Here, we systematically
varied all three components of this lipid mixture and determined how efficiently
the resulting particles fused with the plasma membrane of living mammalian cells.
Our results show that an aromatic molecule and a cationic lipid component with
conical molecular shape are essential for efficient fusion induction. While a
neutral lipid is not mandatory, it can be used to control fusion efficiency and,
in the most extreme case, to revert the uptake mechanism back to endocytosis.
PMID- 29364189
TI - Polyphenolic Compounds Analysis of Old and New Apple Cultivars and Contribution
of Polyphenolic Profile to the In Vitro Antioxidant Capacity.
AB - Polyphenols are antioxidant ingredients in apples and are related to human health
because of their free radical scavenging activities. The polyphenolic profiles of
old and new apple cultivars (n = 15) were analysed using high-performance liquid
chromatography (HPLC) with diode array detection (DAD). The in vitro antioxidant
capacity was determined by total phenolic content (TPC) assay, hydrophilic trolox
equivalent antioxidant capacity (H-TEAC) assay and hydrophilic oxygen radical
absorbance (H-ORAC) assay. Twenty polyphenolic compounds were identified in all
investigated apples by HPLC analysis. Quercetin glycosides (203 +/- 108 mg/100 g)
were the main polyphenols in the peel and phenolic acids (10 +/- 5 mg/100 g) in
the flesh. The calculated relative contribution of single compounds indicated
flavonols (peel) and vitamin C (flesh) as the major contributors to the
antioxidant capacity, in all cultivars investigated. The polyphenolic content
(HPLC data) of the flesh differed significantly between old (29 +/- 7 mg/100 g)
and new (13 +/- 4 mg/100 g) cultivars, and the antioxidant capacity of old apple
cultivars was up to 30% stronger compared to new ones.
PMID- 29364190
TI - A Novel Event-Based Incipient Slip Detection Using Dynamic Active-Pixel Vision
Sensor (DAVIS).
AB - In this paper, a novel approach to detect incipient slip based on the contact
area between a transparent silicone medium and different objects using a
neuromorphic event-based vision sensor (DAVIS) is proposed. Event-based
algorithms are developed to detect incipient slip, slip, stress distribution and
object vibration. Thirty-seven experiments were performed on five objects with
different sizes, shapes, materials and weights to compare precision and response
time of the proposed approach. The proposed approach is validated by using a high
speed constitutional camera (1000 FPS). The results indicate that the sensor can
detect incipient slippage with an average of 44.1 ms latency in unstructured
environment for various objects. It is worth mentioning that the experiments were
conducted in an uncontrolled experimental environment, therefore adding high
noise levels that affected results significantly. However, eleven of the
experiments had a detection latency below 10 ms which shows the capability of
this method. The results are very promising and show a high potential of the
sensor being used for manipulation applications especially in dynamic
environments.
PMID- 29364191
TI - Jasmonate-Elicited Stress Induces Metabolic Change in the Leaves of Leucaena
leucocephala.
AB - The plant Leucaena leucocephala was exposed to four jasmonate elicitors, i.e.,
jasmonic acid (JA), methyl jasmonic acid (MeJA), jasmonoyl-l-isoleucine (JA-Ile)
and 6-ethyl indanoyl glycine conjugate (2-[(6-ethyl-1-oxo-indane-4-carbonyl)
amino]-acetic acid methyl ester) (CGM). The treatment was to mimic the herbivores
and wounding stresses. By using NMR spectroscopy along with chemometric analysis,
including principal component analysis (PCA) and partial least squares
discriminant analysis (PLS-DA), the changes of metabolites in the leaves of L.
leucocephala were determined under the stress as induced by the four elicitors.
The challenge of JA-Ile caused an accumulation of lactic acid (6), beta-glucose
(10), alanine (12), threonine (13), steroids (18), 3,4-dihydroxypyridine (19) and
an unidentified compound 20. The chemometric analysis of the PCA and PLS-DA
models indicated that the alternation of metabolites triggered by JA, MeJA, and
CGM treatments were very minimum. In contrast, the treatment by JA-Ile could
induce the most significant metabolic changes in the leaves. Moreover, there was
very minimal new metabolite being detected in responding to the jasmonate-induced
stresses. The results showed some metabolite concentrations changed after
application of the elicitors, which may be related to a high level of tolerance
to stress conditions as well as the strong ecological suitability of L.
leucocephala.
PMID- 29364192
TI - "Girls Have More Challenges; They Need to Be Locked Up": A Qualitative Study of
Gender Norms and the Sexuality of Young Adolescents in Uganda.
AB - Unequal power and gender norms expose adolescent girls to higher risks of HIV,
early marriages, pregnancies and coerced sex. In Uganda, almost half of the girls
below the age of 18 are already married or pregnant, which poses a danger to the
lives of young girls. This study explores the social construction of gender norms
from early childhood, and how it influences adolescents' agency. Contrary to the
mainstream theory of agency, which focuses on the ability to make informed
choices, adolescents' agency appears constrained by context-specific obstacles.
This study adopted qualitative research approaches involving 132 participants. Of
these, 44 were in-depth interviews and 11 were focus group discussions, parcelled
out into separate groups of adolescents (12-14 years), teachers, and parents (n =
88), in Western Uganda. Data were analysed manually using open and axial codes,
and conclusions were inductive. Results show that gender norms are established
early in life, and have a very substantial impact on the agency of young
adolescents. There were stereotypical gender norms depicting boys as sexually
active and girls as restrained; girls' movements were restricted; their sexual
agency constrained; and prevention of pregnancy was perceived as a girl's
responsibility. Programs targeting behavioural change need to begin early in the
lives of young children. They should target teachers and parents about the values
of gender equality and strengthen the legal system to create an enabling
environment to address the health and wellbeing of adolescents.
PMID- 29364193
TI - Preparation and Characterization of Antimicrobial Films Based on LDPE/Ag
Nanoparticles with Potential Uses in Food and Health Industries.
AB - In this work, the antimicrobial effect of silver nanoparticles in polyethylene
based nanocomposites has been investigated using a non-conventional processing
method to produce homogeneous materials. High energy ball milling under cryogenic
conditions was used to achieve a powder of well-blended low-density polyethylene
and commercial silver nanoparticles. The final composites in the form of films
were obtained by hot pressing. The effect of various silver nanoparticles content
(0, 0.5, 1 and 2 wt %) on the properties of low-density polyethylene and the
antimicrobial effectiveness of the composite against DH5alpha Escherichia coli
were studied. The presence of silver nanoparticles did not seem to affect the
surface energy and thermal properties of the materials. Apart from the inhibition
of bacterial growth, slight changes in the aspect ratio of the bacteria with the
content of particles were observed, suggesting a direct relationship between the
presence of silver nanoparticles and the proliferation of DH5alpha E. coli
(Escherichia coli) cells. Results indicate that these materials may be used to
commercially produce antimicrobial polymers with potential applications in the
food and health industries.
PMID- 29364194
TI - Ship Detection in Gaofen-3 SAR Images Based on Sea Clutter Distribution Analysis
and Deep Convolutional Neural Network.
AB - Target detection is one of the important applications in the field of remote
sensing. The Gaofen-3 (GF-3) Synthetic Aperture Radar (SAR) satellite launched by
China is a powerful tool for maritime monitoring. This work aims at detecting
ships in GF-3 SAR images using a new land masking strategy, the appropriate model
for sea clutter and a neural network as the discrimination scheme. Firstly, the
fully convolutional network (FCN) is applied to separate the sea from the land.
Then, by analyzing the sea clutter distribution in GF-3 SAR images, we choose the
probability distribution model of Constant False Alarm Rate (CFAR) detector from
K-distribution, Gamma distribution and Rayleigh distribution based on a tradeoff
between the sea clutter modeling accuracy and the computational complexity.
Furthermore, in order to better implement CFAR detection, we also use truncated
statistic (TS) as a preprocessing scheme and iterative censoring scheme (ICS) for
boosting the performance of detector. Finally, we employ a neural network to re
examine the results as the discrimination stage. Experiment results on three GF-3
SAR images verify the effectiveness and efficiency of this approach.
PMID- 29364196
TI - A two-dose hepatitis B vaccine for adults (Heplisav-B).
PMID- 29364197
TI - Semaglutide (Ozempic)--another injectable GLP-1 receptor agonist for type 2
diabetes.
PMID- 29364198
TI - L-glutamine (Endari) for sickle cell disease.
PMID- 29364195
TI - Regulation of Three Virulence Strategies of Mycobacterium tuberculosis: A Success
Story.
AB - Tuberculosis remains one of the deadliest diseases. Emergence of drug-resistant
and multidrug-resistant M. tuberculosis strains makes treating tuberculosis
increasingly challenging. In order to develop novel intervention strategies,
detailed understanding of the molecular mechanisms behind the success of this
pathogen is required. Here, we review recent literature to provide a systems
level overview of the molecular and cellular components involved in divalent
metal homeostasis and their role in regulating the three main virulence
strategies of M. tuberculosis: immune modulation, dormancy and phagosomal
rupture. We provide a visual and modular overview of these components and their
regulation. Our analysis identified a single regulatory cascade for these three
virulence strategies that respond to limited availability of divalent metals in
the phagosome.
PMID- 29364199
TI - Neratinib (Nerlynx) for HER2-positive breast cancer.
PMID- 29364200
TI - In brief: Olmesartan and sprue-like enteropathy.
PMID- 29364201
TI - A Rapid and Efficient Method to Dissect Pupal Wings of Drosophila Suitable for
Immunodetections or PCR Assays.
AB - Wing development in Drosophila melanogaster is an ideal model for studying
morphogenesis at tissue level. These appendages develop from a group of cells
named wing imaginal discs formed during embryonic development. In the larval
stages the imaginal discs grow, increasing its number of cells and forming
monolayered epithelial structures. Inside the pupal case, the imaginal discs bud
out and fold into bilayers along a line that becomes the future margin of the
wing. During this process, the longitudinal primodia veins originate vein cells
on the prospective dorsal and ventral surfaces of the wing. During the pupal
stage the stripes of vein cells of each surface communicate in order to generate
tight tubes; at the same time, the cross-veins begin their formation. With the
help of appropriate molecular markers, it is possible to identify the major
elements composing the wing during its development. For this reason, the ability
to accurately detect transcripts or proteins in this structure is critical for
studying their abundance and localization related to the development process of
the wing. The procedure described here focuses on manipulating pupal wings,
providing detailed instructions on how to dissect the wing during the pupal
stage. The dissection of pupal tissue is more difficult to perform than their
counterparts in third instar larvae. This is why this approach was developed, to
obtain rapid and efficient high quality samples. Details of how to immunostain
and mount these wing samples, to allow the visualization of proteins or cell
components, are provided in the protocol. With little expertise it is possible to
collect 8-10 high quality pupal wings in a short amount of time.
PMID- 29364202
TI - Ultrathin Porated Elastic Hydrogels As a Biomimetic Basement Membrane for Dual
Cell Culture.
AB - The basement membrane is a critical component of cellular bilayers that can vary
in stiffness, composition, architecture, and porosity. In vitro studies of
endothelial-epithelial bilayers have traditionally relied on permeable support
models that enable bilayer culture, but permeable supports are limited in their
ability to replicate the diversity of human basement membranes. In contrast,
hydrogel models that require chemical synthesis are highly tunable and allow for
modifications of both the material stiffness and the biochemical composition via
incorporation of biomimetic peptides or proteins. However, traditional hydrogel
models are limited in functionality because they lack pores for cell-cell
contacts and functional in vitro migration studies. Additionally, due to the
thickness of traditional hydrogels, incorporation of pores that span the entire
thickness of hydrogels has been challenging. In the present study, we use poly
(ethylene-glycol) (PEG) hydrogels and a novel zinc oxide templating method to
address the previous shortcomings of biomimetic hydrogels. As a result, we
present an ultrathin, basement membrane-like hydrogel that permits the culture of
confluent cellular bilayers on a customizable scaffold with variable pore
architectures, mechanical properties, and biochemical composition.
PMID- 29364203
TI - Plant Promoter Analysis: Identification and Characterization of Root Nodule
Specific Promoter in the Common Bean.
AB - The upstream sequences of gene coding sequences are termed as promoter sequences.
Studying the expression patterns of promoters are very significant in
understanding the gene regulation and spatiotemporal expression patterns of
target genes. On the other hand, it is also critical to establish promoter
evaluation tools and genetic transformation techniques that are fast, efficient,
and reproducible. In this study, we investigated the spatiotemporal expression
pattern of the rhizobial symbiosis-specific nodule inception (NIN) promoter of
Phaseolus vulgaris in the transgenic hairy roots. Using plant genome databases
and analysis tools we identified, isolated, and cloned the P. vulgaris NIN
promoter in a transcriptional fusion to the chimeric reporter beta-glucuronidase
(GUS) GUS-enhanced::GFP. Further, this protocol describes a rapid and versatile
system of genetic transformation in the P. vulgaris using Agrobacterium
rhizogenes induced hairy roots. This system generates >=2 cm hairy roots at 10 to
12 days after transformation. Next, we assessed the spatiotemporal expression of
NIN promoter in Rhizobium inoculated hairy roots at periodic intervals of post
inoculation. Our results depicted by GUS activity show that the NIN promoter was
active during the process of nodulation. Together, the present protocol
demonstrates how to identify, isolate, clone, and characterize a plant promoter
in the common bean hairy roots. Moreover, this protocol is easy to use in non
specialized laboratories.
PMID- 29364204
TI - Melt Electrospinning Writing of Three-dimensional Poly(epsilon-caprolactone)
Scaffolds with Controllable Morphologies for Tissue Engineering Applications.
AB - This tutorial reflects on the fundamental principles and guidelines for
electrospinning writing with polymer melts, an additive manufacturing technology
with great potential for biomedical applications. The technique facilitates the
direct deposition of biocompatible polymer fibers to fabricate well-ordered
scaffolds in the sub-micron to micro scale range. The establishment of a stable,
viscoelastic, polymer jet between a spinneret and a collector is achieved using
an applied voltage and can be direct-written. A significant benefit of a typical
porous scaffold is a high surface-to-volume ratio which provides increased
effective adhesion sites for cell attachment and growth. Controlling the printing
process by fine-tuning the system parameters enables high reproducibility in the
quality of the printed scaffolds. It also provides a flexible manufacturing
platform for users to tailor the morphological structures of the scaffolds to
their specific requirements. For this purpose, we present a protocol to obtain
different fiber diameters using melt electrospinning writing (MEW) with a guided
amendment of the parameters, including flow rate, voltage and collection speed.
Furthermore, we demonstrate how to optimize the jet, discuss often experienced
technical challenges, explain troubleshooting techniques and showcase a wide
range of printable scaffold architectures.
PMID- 29364205
TI - Live-cell Imaging of Fungal Cells to Investigate Modes of Entry and Subcellular
Localization of Antifungal Plant Defensins.
AB - Small cysteine-rich defensins are one of the largest groups of host defense
peptides present in all plants. Many plant defensins exhibit potent in vitro
antifungal activity against a broad-spectrum of fungal pathogens and therefore
have the potential to be used as antifungal agents in transgenic crops. In order
to harness the full potential of plant defensins for diseases control, it is
crucial to elucidate their mechanisms of action (MOA). With the advent of
advanced microscopy techniques, live-cell imaging has become a powerful tool for
understanding the dynamics of the antifungal MOA of plant defensins. Here, a
confocal microscopy based live-cell imaging method is described using two
fluorescently labeled plant defensins (MtDef4 and MtDef5) in combination with
vital fluorescent dyes. This technique enables real-time visualization and
analysis of the dynamic events of MtDef4 and MtDef5 internalization into fungal
cells. Importantly, this assay generates a wealth of information including
internalization kinetics, mode of entry and subcellular localization of these
peptides. Along with other cell biological tools, these methods have provided
critical insights into the dynamics and complexity of the MOA of these peptides.
These tools can also be used to compare the MOA of these peptides against
different fungi.
PMID- 29364206
TI - In Vitro Phagocytosis of Myelin Debris by Bone Marrow-Derived Macrophages.
AB - Bone marrow-derived macrophages (BMDMs) are mature leukocytes that serve a
critical physiological role as professional phagocytes capable of clearing a
variety of particles. Normally, BMDMs are restricted from the central nervous
system (CNS), but following an injury, they can readily infiltrate. Once within
the injured CNS tissue, BMDMs are the primary cell type responsible for the
clearance of injury-derived cellular debris, including large quantities of lipid
rich myelin debris. The neuropathological ramifications of BMDM infiltration and
myelin debris phagocytosis within the CNS are complex and not well understood.
The protocols described here, allow for the direct in vitro study of BMDMs in the
context of CNS injury. We cover murine BMDM isolation and culture, myelin debris
preparation, and assays to assess BMDM myelin debris phagocytosis. These
techniques produce robust quantifiable results without the need for significant
specialized equipment or materials, yet can be easily customized to meet the
needs of researchers.
PMID- 29364207
TI - Protocol for HER2 FISH Using a Non-cross-linking, Formalin-free Tissue Fixative
to Combine Advantages of Cryo-preservation and Formalin Fixation.
AB - Morphologic assessment of formalin-fixed, paraffin-embedded (FFPE) tissue samples
has been the gold standard for cancer diagnostics for decades due to its
excellent preservation of morphology. Personalized medicine increasingly provides
individually adapted and targeted therapies for characterized individual diseases
enabled by combined morphological and molecular analytical technologies and
diagnostics. Performance of morphologic and molecular assays from the same FFPE
specimen is challenging because of the negative impact of formalin due to
chemical modification and cross-linking of nucleic acids and proteins. A non
cross-linking, formalin-free tissue fixative has been recently developed to
fulfil both requirements, i.e., to preserve morphology like FFPE and biomolecules
like cryo-preservation. Since FISH is often required in combination with
histopathology and molecular diagnostics, we tested the applicability of FISH
protocols on tissues treated with this new fixative. We found that formalin post
fixation of histological sections of non-cross-linking, formalin-free and
paraffin-embedded (NCFPE) breast cancer tissue generated equivalent results to
those with FFPE tissue in human epidermal growth factor receptor 2 (HER2) FISH
analysis. This protocol describes how a FISH assay originally developed and
validated for FFPE tissue can be used for NCFPE tissues by a simple post-fixation
step of histological sections.
PMID- 29364208
TI - Modified Roller Tube Method for Precisely Localized and Repetitive Intermittent
Imaging During Long-term Culture of Brain Slices in an Enclosed System.
AB - Cultured rodent brain slices are useful for studying the cellular and molecular
behavior of neurons and glia in an environment that maintains many of their
normal in vivo interactions. Slices obtained from a variety of transgenic mouse
lines or use of viral vectors for expression of fluorescently tagged proteins or
reporters in wild type brain slices allow for high-resolution imaging by
fluorescence microscopy. Although several methods have been developed for imaging
brain slices, combining slice culture with the ability to perform repetitive high
resolution imaging of specific cells in live slices over long time periods has
posed problems. This is especially true when viral vectors are used for
expression of exogenous proteins since this is best done in a closed system to
protect users and prevent cross contamination. Simple modifications made to the
roller tube brain slice culture method that allow for repetitive high-resolution
imaging of slices over many weeks in an enclosed system are reported. Culturing
slices on photoetched coverslips permits the use of fiducial marks to rapidly and
precisely reposition the stage to image the identical field over time before and
after different treatments. Examples are shown for the use of this method
combined with specific neuronal staining and expression to observe changes in
hippocampal slice architecture, viral-mediated neuronal expression of fluorescent
proteins, and the development of cofilin pathology, which was previously observed
in the hippocampus of Alzheimer's disease (AD) in response to slice treatment
with oligomers of amyloid-beta (Abeta) peptide.
PMID- 29364209
TI - Dispersion of Nanomaterials in Aqueous Media: Towards Protocol Optimization.
AB - The sonication process is commonly used for de-agglomerating and dispersing
nanomaterials in aqueous based media, necessary to improve homogeneity and
stability of the suspension. In this study, a systematic step-wise approach is
carried out to identify optimal sonication conditions in order to achieve a
stable dispersion. This approach has been adopted and shown to be suitable for
several nanomaterials (cerium oxide, zinc oxide, and carbon nanotubes) dispersed
in deionized (DI) water. However, with any change in either the nanomaterial type
or dispersing medium, there needs to be optimization of the basic protocol by
adjusting various factors such as sonication time, power, and sonicator type as
well as temperature rise during the process. The approach records the dispersion
process in detail. This is necessary to identify the time points as well as other
above-mentioned conditions during the sonication process in which there may be
undesirable changes, such as damage to the particle surface thus affecting
surface properties. Our goal is to offer a harmonized approach that can control
the quality of the final, produced dispersion. Such a guideline is instrumental
in ensuring dispersion quality repeatability in the nanoscience community,
particularly in the field of nanotoxicology.
PMID- 29364210
TI - Studying Diabetes Through the Eyes of a Fish: Microdissection, Visualization, and
Analysis of the Adult tg(fli:EGFP) Zebrafish Retinal Vasculature.
AB - Diabetic retinopathy is the leading cause of blindness among middle-aged adults.
The rising prevalence of diabetes worldwide will make the prevention of diabetic
microvascular complications one of the key research fields of the next decades.
Specialized, targeted therapy and novel therapeutic drugs are needed to manage
the increasing number of patients at risk of vision-loss. The zebrafish is an
established animal model for developmental research questions with increasing
relevance for modeling metabolic multifactorial disease processes. The advantages
of the species allow for optimal visualization and high throughput drug screening
approaches, combined with the strong ability to knock out genes of interest.
Here, we describe a protocol which will allow easy analysis of the adult
tg(fli:EGFP) zebrafish retinal vasculature as a fast read-out in settings of long
term vascular pathologies linked to neoangiogenesis or vessel damage. This is
achieved via dissection of the zebrafish retina and whole-mounting of the tissue.
Visualization of the exposed vessels is then achieved via confocal microscopy of
the green EGFP reporter expressed in the adult retinal vasculature. Correct
handling of the tissue will lead to better outcomes and less internal vessel
breakage to assure the visualization of the unaltered vascular structure. The
method can be utilized in zebrafish models of retinal vasculopathy linked to
changes in the vessel architecture as well as neoangiogenesis.
PMID- 29364211
TI - PET and MRI Guided Irradiation of a Glioblastoma Rat Model Using a Micro
irradiator.
AB - For decades, small animal radiation research was mostly performed using fairly
crude experimental setups applying simple single-beam techniques without the
ability to target a specific or well-delineated tumor volume. The delivery of
radiation was achieved using fixed radiation sources or linear accelerators
producing megavoltage (MV) X-rays. These devices are unable to achieve sub
millimeter precision required for small animals. Furthermore, the high doses
delivered to healthy surrounding tissue hamper response assessment. To increase
the translation between small animal studies and humans, our goal was to mimic
the treatment of human glioblastoma in a rat model. To enable a more accurate
irradiation in a preclinical setting, recently, precision image-guided small
animal radiation research platforms were developed. Similar to human planning
systems, treatment planning on these micro-irradiators is based on computed
tomography (CT). However, low soft-tissue contrast on CT makes it very
challenging to localize targets in certain tissues, such as the brain. Therefore,
incorporating magnetic resonance imaging (MRI), which has excellent soft-tissue
contrast compared to CT, would enable a more precise delineation of the target
for irradiation. In the last decade also biological imaging techniques, such as
positron emission tomography (PET) gained interest for radiation therapy
treatment guidance. PET enables the visualization of e.g., glucose consumption,
amino-acid transport, or hypoxia, present in the tumor. Targeting those highly
proliferative or radio-resistant parts of the tumor with a higher dose could give
a survival benefit. This hypothesis led to the introduction of the biological
tumor volume (BTV), besides the conventional gross target volume (GTV), clinical
target volume (CTV), and planned target volume (PTV). At the preclinical imaging
lab of Ghent University, a micro-irradiator, a small animal PET, and a 7 T small
animal MRI are available. The goal was to incorporate MRI-guided irradiation and
PET-guided sub-volume boosting in a glioblastoma rat model.
PMID- 29364212
TI - Whole Genome Sequencing of Candida glabrata for Detection of Markers of
Antifungal Drug Resistance.
AB - Candida glabrata can rapidly acquire mutations that result in drug resistance,
especially to azoles and echinocandins. Identification of genetic mutations is
essential, as resistance detected in vitro can often be correlated with clinical
failure. We examined the feasibility of using whole genome sequencing (WGS) for
genome-wide analysis of antifungal drug resistance in C. glabrata. The aim was
torecognize enablers and barriers in the implementation WGS and measure its
effectiveness. This paper outlines the key quality control checkpoints and
essential components of WGS methodology to investigate genetic markers associated
with reduced susceptibility to antifungal agents. It also estimates the accuracy
of data analysis and turn-around-time of testing. Phenotypic susceptibility of 12
clinical, and one ATCC strain of C. glabrata was determined through antifungal
susceptibility testing. These included three isolate pairs, from three patients,
that developed rise in drug minimum inhibitory concentrations. In two pairs, the
second isolate of each pair developed resistance to echinocandins. The second
isolate of the third pair developed resistance to 5-flucytosine. The remaining
comprised of susceptible and azole resistant isolates. Single nucleotide
polymorphisms (SNPs) in genes linked to echinocandin, azole and 5-flucytosine
resistance were confirmed in resistant isolates through WGS using the next
generation sequencing. Non-synonymous SNPs in antifungal resistance genes such as
FKS1, FKS2, CgPDR1, CgCDR1 and FCY2 were identified. Overall, an average of 98%
of the WGS reads of C. glabrata isolates mapped to the reference genome with
about 75-fold read depth coverage. The turnaround time and cost were comparable
to Sanger sequencing. In conclusion, WGS of C. glabrata was feasible in revealing
clinically significant gene mutations involved in resistance to different
antifungal drug classes without the need for multiple PCR/DNA sequencing
reactions. This represents a positive step towards establishing WGS capability in
the clinical laboratory for simultaneous detection of antifungal resistance
conferring substitutions.
PMID- 29364213
TI - Megakaryocyte Differentiation and Platelet Formation from Human Cord Blood
derived CD34+ Cells.
AB - Platelet production occurs principally in the bone marrow in a process known as
thrombopoiesis. During thrombopoiesis, hematopoietic progenitor cells
differentiate to form platelet precursors called megakaryocytes, which terminally
differentiate to release platelets from long cytoplasmic processes termed
proplatelets. Megakaryocytes are rare cells confined to the bone marrow and are
therefore difficult to harvest in sufficient numbers for laboratory use.
Efficient production of human megakaryocytes can be achieved in vitro by
culturing CD34+ cells under suitable conditions. The protocol detailed here
describes isolation of CD34+ cells by magnetic cell sorting from umbilical cord
blood samples. The necessary steps to produce highly pure, mature megakaryocytes
under serum-free conditions are described. Details of phenotypic analysis of
megakaryocyte differentiation and determination of proplatelet formation and
platelet production are also provided. Effectors that influence megakaryocyte
differentiation and/or proplatelet formation, such as anti-platelet antibodies or
thrombopoietin mimetics, can be added to cultured cells to examine biological
function.
PMID- 29364214
TI - Assessment of Antibody-based Drugs Effects on Murine Bone Marrow and Peritoneal
Macrophage Activation.
AB - Macrophages are phagocytic innate immune cells, which initiate immune responses
to pathogens and contribute to healing and tissue restitution. Macrophages are
equally important in turning off inflammatory responses. We have shown that
macrophages stimulated with intravenous immunoglobulin (IVIg) can produce high
amounts of the anti-inflammatory cytokine, interleukin 10 (IL-10), and low levels
of pro-inflammatory cytokines in response to bacterial lipopolysaccharides (LPS).
IVIg is a polyvalent antibody, primarily immunoglobulin Gs (IgGs), pooled from
the plasma of more than 1,000 blood donors. It is used to supplement antibodies
in patients with immune deficiencies or to suppress immune responses in patients
with autoimmune or inflammatory conditions. Infliximab, a therapeutic anti-tumor
necrosis factor alpha (TNFalpha) antibody, has also been shown to activate
macrophages to produce IL-10 in response to inflammatory stimuli. IVIg and other
antibody-based biologics can be tested to determine their effects on macrophage
activation. This paper describes methods for derivation, stimulation, and
assessment of murine bone marrow macrophages activated by antibodies in vitro and
murine peritoneal macrophages activated with antibodies in vivo. Finally, we
demonstrate the use of western blotting to determine the contribution of specific
cell signaling pathways to anti-inflammatory macrophage activity. These protocols
can be used with genetically modified mice, to determine the effect of a specific
protein(s) on anti-inflammatory macrophage activation. These techniques can also
be used to assess whether specific biologics may act by changing macrophages to
an IL-10-producing anti-inflammatory activation state that reduces inflammatory
responses in vivo. This can provide information on the role of macrophage
activation in the efficacy of biologics during disease models in mice, and
provide insight into a potential new mechanism of action in people. Conversely,
this may caution against the use of specific antibody-based biologics to treat
infectious disease, particularly if macrophages play an important role in host
defense against that infection.
PMID- 29364215
TI - Mass Isolation and In Vitro Cultivation of Intramolluscan Stages of the Human
Blood Fluke Schistosoma Mansoni.
AB - Human blood flukes, Schistosoma spp., have a complex life cycle that involves
asexual and sexual developmental phases within a snail intermediate and mammalian
final host, respectively. The ability to isolate and sustain the different life
cycle stages under in vitro culture conditions has greatly facilitated
investigations of the cellular, biochemical and molecular mechanisms regulating
parasite growth, development and host interactions. Transmission of
schistosomiasis requires asexual reproduction and development of multiple larval
stages within the snail host; from the infective miracidium, through primary and
secondary sporocysts, to the final cercarial stage that is infective to humans.
In this paper we present a step-by-step protocol for mass hatching and isolation
of Schistosoma mansoni miracidia from eggs obtained from livers of infected mice,
and their subsequent introduction into in vitro culture. It is anticipated that
the detailed protocol will encourage new researchers to engage in and broaden
this important field of schistosome research.
PMID- 29364216
TI - Bioprinting of Cartilage and Skin Tissue Analogs Utilizing a Novel Passive Mixing
Unit Technique for Bioink Precellularization.
AB - Bioprinting is a powerful technique for the rapid and reproducible fabrication of
constructs for tissue engineering applications. In this study, both cartilage and
skin analogs were fabricated after bioink pre-cellularization utilizing a novel
passive mixing unit technique. This technique was developed with the aim to
simplify the steps involved in the mixing of a cell suspension into a highly
viscous bioink. The resolution of filaments deposited through bioprinting
necessitates the assurance of uniformity in cell distribution prior to printing
to avoid the deposition of regions without cells or retention of large cell
clumps that can clog the needle. We demonstrate the ability to rapidly blend a
cell suspension with a bioink prior to bioprinting of both cartilage and skin
analogs. Both tissue analogs could be cultured for up to 4 weeks. Histological
analysis demonstrated both cell viability and deposition of tissue specific
extracellular matrix (ECM) markers such as glycosaminoglycans (GAGs) and collagen
I respectively.
PMID- 29364217
TI - A Novel Method for Involving Women of Color at High Risk for Preterm Birth in
Research Priority Setting.
AB - Involvement of patients and the public is now recognized to be essential for the
good conduct of research. Patient and public involvement in research priority
setting and funding decisions is only beginning to be recognized as important,
and methods for doing so are nascent. This protocol describes the Research
Prioritization by Affected Communities (RPAC) protocol and findings from its use
with women at high socio-demographic risk for preterm birth. The goal was to
directly involve these women in identifying and prioritizing their unanswered
questions about pregnancy, birth and neonatal care, and treatment so that their
views could be included in research priority setting by funders and researchers.
The RPAC protocol may be used to meaningfully involve under-represented groups at
high-risk for specific health problems, or those who face disproportionate burden
of disease, in research strategy and funding priority setting.
PMID- 29364218
TI - Production of Genetically Engineered Golden Syrian Hamsters by Pronuclear
Injection of the CRISPR/Cas9 Complex.
AB - The pronuclear (PN) injection technique was first established in mice to
introduce foreign genetic materials into the pronuclei of one-cell stage embryos.
The introduced genetic material may integrate into the embryonic genome and
generate transgenic animals with foreign genetic information following transfer
of the injected embryos to foster mothers. Following the success in mice, PN
injection has been applied successfully in many other animal species. Recently,
PN injection has been successfully employed to introduce reagents with gene
modifying activities, such as the CRISPR/Cas9 system, to achieve site-specific
genetic modifications in several laboratory and farm animal species. In addition
to mastering the special set of microinjection skills to produce genetically
modified animals by PN injection, researchers must understand the reproduction
physiology and behavior of the target species, because each species presents
unique challenges. For example, golden Syrian hamster embryos have unique
handling requirements in vitro such that PN injection techniques were not
possible in this species until recent breakthroughs by our group. With our
species-modified PN injection protocol, we have succeeded in producing several
gene knockout (KO) and knockin (KI) hamsters, which have been used successfully
to model human diseases. Here we describe the PN injection procedure for
delivering the CRISPR/Cas9 complex to the zygotes of the hamster, the embryo
handling conditions, embryo transfer procedures, and husbandry required to
produce genetically modified hamsters.
PMID- 29364219
TI - Discovering Middle Ear Anatomy by Transcanal Endoscopic Ear Surgery: A Dissection
Manual.
AB - The middle ear is located in the center of the temporal bone and bears a highly
complex anatomy. The recently introduced exclusively endoscopic transcanal
approach to the middle ear is a minimally invasive technique sparing the bone and
mucosa of the mastoid bone, since the middle ear is accessed through the external
auditory canal. This emerging method has several advantages over the traditional
(microscopic) approaches to the middle ear such as the panoramic wide-angle views
of the anatomy, the possibility to approach and magnify tiny structures, and the
possibility of looking around the corner using angled endoscopes. The cadaveric
dissection method presented here consists of an overview on the technical
requirements and a precise description of a step-by-step protocol to discover the
anatomy of the middle ear. Each step and anatomical structure is carefully
described in order to provide a comprehensive guide to endoscopic ear anatomy. In
our opinion, this is particularly important to any novice in endoscopic ear
surgery as it provides thorough anatomical knowledge and may improve surgical
skills.
PMID- 29364220
TI - Studying the Hypothalamic Insulin Signal to Peripheral Glucose Intolerance with a
Continuous Drug Infusion System into the Mouse Brain.
AB - Insulin regulates systematic metabolism in the hypothalamus and the peripheral
insulin response. An inflammatory reaction in peripheral adipose tissues
contributes to type 2 diabetes mellitus (T2DM) development and appetite
regulation in the hypothalamus. Chemokine CCL5 and C-C chemokine receptor type 5
(CCR5) levels have been suggested to mediate arteriosclerosis and glucose
intolerance in type 2 diabetes mellitus (T2DM). In addition, CCL5 plays a
neuroendocrine role in the hypothalamus by regulating food intake and body
temperature, thus, prompting us to investigate its function in hypothalamic
insulin signaling and the regulation of peripheral glucose metabolism. The micro
osmotic pump brain infusion system is a quick and precise way to manipulate CCL5
function and study its effect in the brain. It also provides a convenient
alternative approach to generating a transgenic knockout animal. In this system,
CCL5 signaling was blocked by intracerebroventricular (ICV) infusion of its
antagonist, MetCCL5, using a micro-osmotic pump. The peripheral glucose
metabolism and insulin responsiveness was detected by the Oral Glucose Tolerance
Test (OGTT) and Insulin Tolerance Test (ITT). Insulin signaling activity was then
analyzed by protein blot from tissue samples derived from the animals. After 7-14
days of MetCCL5 infusion, the glucose metabolism and insulin responsiveness was
impaired in mice, as seen in the results of the OGTT and ITT. The IRS-1 serine302
phosphorylation was increased and the Akt activity was reduced in mice
hypothalamic neurons following CCL5 inhibition. Altogether, our data suggest that
blocking CCL5 in the mouse brain increases the phosphorylation of IRS-1 S302 and
interrupts hypothalamic insulin signaling, leading to a decrease in insulin
function in peripheral tissues as well as the impairment of glucose metabolism.
PMID- 29364221
TI - Hydrodynamic Renal Pelvis Injection for Non-viral Expression of Proteins in the
Kidney.
AB - Hydrodynamic injection creates a local, high-pressure environment to transfect
various tissues with plasmid DNA and other substances. Hydrodynamic tail vein
injection, for example, is a well-established method by which the liver can be
transfected. This manuscript describes an application of hydrodynamic principles
by injection of the mouse kidney directly with plasmid DNA for kidney-specific
gene expression. Mice are anesthetized and the kidney is exposed by a flank
incision followed by a fast injection of a plasmid DNA-containing solution
directly into the renal pelvis. The needle is kept in place for ten seconds and
the incision site is sutured. The following day, live animal imaging, Western
blot, or immunohistochemistry may be used to assay gene expression, or other
assays suited to the transgene of choice are used for detection of the protein of
interest. Published methods to prolong gene expression include transposon
mediated transgene integration and cyclophosphamide treatment to inhibit the
immune response to the transgene.
PMID- 29364222
TI - Microfluidic Devices for Characterizing Pore-scale Event Processes in Porous
Media for Oil Recovery Applications.
AB - Microfluidic devices are versatile tools for studying transport processes at a
microscopic scale. A demand exists for microfluidic devices that are resistant to
low molecular-weight oil components, unlike traditional polydimethylsiloxane
(PDMS) devices. Here, we demonstrate a facile method for making a device with
this property, and we use the product of this protocol for examining the pore
scale mechanisms by which foam recovers crude oil. A pattern is first designed
using computer-aided design (CAD) software and printed on a transparency with a
high-resolution printer. This pattern is then transferred to a photoresist via a
lithography procedure. PDMS is cast on the pattern, cured in an oven, and removed
to obtain a mold. A thiol-ene crosslinking polymer, commonly used as an optical
adhesive (OA), is then poured onto the mold and cured under UV light. The PDMS
mold is peeled away from the optical adhesive cast. A glass substrate is then
prepared, and the two halves of the device are bonded together. Optical adhesive
based devices are more robust than traditional PDMS microfluidic devices. The
epoxy structure is resistant to swelling by many organic solvents, which opens
new possibilities for experiments involving light organic liquids. Additionally,
the surface wettability behavior of these devices is more stable than that of
PDMS. The construction of optical adhesive microfluidic devices is simple, yet
requires incrementally more effort than the making of PDMS-based devices. Also,
though optical adhesive devices are stable in organic liquids, they may exhibit
reduced bond-strength after a long time. Optical adhesive microfluidic devices
can be made in geometries that act as 2-D micromodels for porous media. These
devices are applied in the study of oil displacement to improve our understanding
of the pore-scale mechanisms involved in enhanced oil recovery and aquifer
remediation.
PMID- 29364223
TI - Application of High-speed Super-resolution SPEED Microscopy in Live Primary
Cilium.
AB - The primary cilium is a microtubule-based protrusion on the surface of many
eukaryotic cells and contains a unique complement of proteins that function
critically in cell motility and signaling. Since cilia are incapable of
synthesizing their own protein, nearly 200 unique ciliary proteins need to be
trafficked between the cytosol and primary cilia. However, it is still a
technical challenge to map three-dimensional (3D) locations of transport pathways
for these proteins in live primary cilia due to the limitations of currently
existing techniques. To conquer the challenge, recently we have developed and
employed a high-speed virtual 3D super-resolution microscopy, termed single-point
edge-excitation sub-diffraction (SPEED) microscopy, to determine the 3D spatial
location of transport pathways for both cytosolic and membrane proteins in
primary cilia of live cells. In this article, we will demonstrate the detailed
setup of SPEED microscopy, the preparation of cells expressing fluorescence
protein-labeled ciliary proteins, the real-time single-molecule tracking of
individual proteins in live cilium and the achievement of 3D spatial probability
density maps of transport routes for ciliary proteins.
PMID- 29364224
TI - A Method for Obtaining Serial Ultrathin Sections of Microorganisms in
Transmission Electron Microscopy.
AB - Observing cells and cell components in three dimensions at high magnification in
transmission electron microscopy requires preparing serial ultrathin sections of
the specimen. Although preparing serial ultrathin sections is considered to be
very difficult, it is rather easy if the proper method is used. In this paper, we
show a step-by-step procedure for safely obtaining serial ultrathin sections of
microorganisms. The key points of this method are: 1) to use the large part of
the specimen and adjust the specimen surface and knife edge so that they are
parallel to each other; 2) to cut serial sections in groups and avoid difficulty
in separating sections using a pair of hair strands when retrieving a group of
serial sections onto the slit grids; 3) to use a 'Section-holding loop' and avoid
mixing up the order of the section groups; 4) to use a 'Water-surface-raising
loop' and make sure the sections are positioned on the apex of the water and that
they touch the grid first, in order to place them in the desired position on the
grids; 5) to use the support film on an aluminum rack and make it easier to
recover the sections on the grids and to avoid wrinkling of the support film; and
6) to use a staining tube and avoid accidentally breaking the support films with
tweezers. This new method enables obtaining serial ultrathin sections without
difficulty. The method makes it possible to analyze cell structures of
microorganisms at high resolution in 3D, which cannot be achieved by using the
automatic tape-collecting ultramicrotome method and serial block-face or focused
ion beam scanning electron microscopy.
PMID- 29364225
TI - Analyzing the Communication Between Monocytes and Primary Breast Cancer Cells in
an Extracellular Matrix Extract (ECME)-based Three-dimensional System.
AB - Embedded in the extracellular matrix (ECM), normal and neoplastic epithelial
cells intimately communicate with hematopoietic and non-hematopoietic cells, thus
greatly influencing normal tissue homeostasis and disease outcome. In breast
cancer, tumor-associated macrophages (TAMs) play a critical role in disease
progression, metastasis, and recurrence; therefore, understanding the mechanisms
of monocyte chemoattraction to the tumor microenvironment and their interactions
with tumor cells is important to control the disease. Here, we provide a detailed
description of a three-dimensional (3D) co-culture system of human breast cancer
(BrC) cells and human monocytes. BrC cells produced high basal levels of
regulated on-activation, normal T-cell expressed and secreted (RANTES), monocyte
chemoattractant protein-1 (MCP-1), and granulocyte-macrophage colony-stimulating
factor (G-CSF), while in co-culture with monocytes, pro-inflammatory cytokines
Interleukin (IL)-1 beta (IL-1beta) and IL-8 were enriched together with matrix
metalloproteinases (MMP)-1, MMP-2, and MMP-10. This tumor stroma microenvironment
promoted resistance to anoikis in MCF-10A 3D acini-like structures,
chemoattraction of monocytes, and invasion of aggressive BrC cells. The protocols
presented here provide an affordable alternative to study intra-tumor
communication and are an example of the great potential that in vitro 3D cell
systems provide to interrogate specific features of tumor biology related to
tumor aggression.
PMID- 29364226
TI - Metabolic Labeling and Profiling of Transfer RNAs Using Macroarrays.
AB - Transfer RNAs (tRNA) are abundant short non-coding RNA species that are typically
76 to 90 nucleotides in length. tRNAs are directly responsible for protein
synthesis by translating codons in mRNA into amino acid sequences. tRNAs were
long considered as house-keeping molecules that lacked regulatory functions.
However, a growing body of evidence indicates that cellular tRNA levels fluctuate
in correspondence to varying conditions such as cell type, environment, and
stress. The fluctuation of tRNA expression directly influences gene translation,
favoring or repressing the expression of particular proteins. Ultimately
comprehending the dynamic of protein synthesis requires the development of
methods able to deliver high-quality tRNA profiles. The method that we present
here is named SPOt, which stands for Streamlined Platform for Observing tRNA.
SPOt consists of three steps starting with metabolic labeling of cell cultures
with radioactive orthophosphate, followed by guanidinium thiocyanate-phenol
chloroform extraction of radioactive total RNAs and finally hybridization on in
house printed macroarrays. tRNA levels are estimated by quantifying the
radioactivity intensities at each probe spot. In the protocol presented here we
profile tRNAs in Mycobacterium smegmatis mc2155, a nonpathogenic bacterium often
used as a model organism to study tuberculosis.
PMID- 29364227
TI - One-pot Microwave-assisted Conversion of Anomeric Nitrate-esters to
Trichloroacetimidates.
AB - The goal of the following procedure is to provide a demonstration of the one-pot
conversion of a 2-azido-1-nitrate-ester to a trichloroacetimidate glycosyl donor.
Following azido-nitration of a glycal, the product 2-azido-1-nitrate ester can be
hydrolyzed under microwave-assisted irradiation. This transformation is usually
achieved using strongly nucleophilic reagents and extended reaction times.
Microwave irradiation induces hydrolysis, in the absence of reagents, with short
reaction times. Following denitration, the intermediate anomeric alcohol is
converted, in the same pot, to the corresponding 2-azido-1-trichloroacetimidate.
PMID- 29364228
TI - The Calibration and Use of Capacitance Sensors to Monitor Stem Water Content in
Trees.
AB - Water transport and storage through the soil-plant-atmosphere continuum is
critical to the terrestrial water cycle, and has become a major research focus
area. Biomass capacitance plays an integral role in the avoidance of hydraulic
impairment to transpiration. However, high temporal resolution measurements of
dynamic changes in the hydraulic capacitance of large trees are rare. Here, we
present procedures for the calibration and use of capacitance sensors, typically
used to monitor soil water content, to measure the volumetric water content in
trees in the field. Frequency domain reflectometry-style observations are
sensitive to the density of the media being studied. Therefore, it is necessary
to perform species-specific calibrations to convert from the sensor-reported
values of dielectric permittivity to volumetric water content. Calibration is
performed on a harvested branch or stem cut into segments that are dried or re
hydrated to produce a full range of water contents used to generate a best-fit
regression with sensor observations. Sensors are inserted into calibration
segments or installed in trees after pre-drilling holes to a tolerance fit using
a fabricated template to ensure proper drill alignment. Special care is taken to
ensure that sensor tines make good contact with the surrounding media, while
allowing them to be inserted without excessive force. Volumetric water content
dynamics observed via the presented methodology align with sap flow measurements
recorded using thermal dissipation techniques and environmental forcing data.
Biomass water content data can be used to observe the onset of water stress,
drought response and recovery, and has the potential to be applied to the
calibration and evaluation of new plant-level hydrodynamics models, as well as to
the partitioning of remotely sensed moisture products into above- and belowground
components.
PMID- 29364229
TI - Isolation and Respiratory Measurements of Mitochondria from Arabidopsis thaliana.
AB - Mitochondria are essential organelles involved in numerous metabolic pathways in
plants, most notably the production of adenosine triphosphate (ATP) from the
oxidation of reduced compounds such as nicotinamide adenine dinucleotide (NADH)
and flavin adenine dinucleotide (FADH2). The complete annotation of the
Arabidopsis thaliana genome has established it as the most widely used plant
model system, and thus the need to purify mitochondria from a variety of organs
(leaf, root, or flower) is necessary to fully utilize the tools that are now
available for Arabidopsis to study mitochondrial biology. Mitochondria are
isolated by homogenization of the tissue using a variety of approaches, followed
by a series of differential centrifugation steps producing a crude mitochondrial
pellet that is further purified using continuous colloidal density gradient
centrifugation. The colloidal density material is subsequently removed by
multiple centrifugation steps. Starting from 100 g of fresh leaf tissue, 2 - 3 mg
of mitochondria can be routinely obtained. Respiratory experiments on these
mitochondria display typical rates of 100 - 250 nmol O2 min-1 mg total
mitochondrial protein-1 (NADH-dependent rate) with the ability to use various
substrates and inhibitors to determine which substrates are being oxidized and
the capacity of the alternative and cytochrome terminal oxidases. This protocol
describes an isolation method of mitochondria from Arabidopsis thaliana leaves
using continuous colloidal density gradients and an efficient respiratory
measurements of purified plant mitochondria.
PMID- 29364230
TI - Application of RNAi and Heat-shock-induced Transcription Factor Expression to
Reprogram Germ Cells to Neurons in C. elegans.
AB - Studying the cell biological processes during converting the identities of
specific cell types provides important insights into mechanism that maintain and
protect cellular identities. The conversion of germ cells into specific neurons
in the nematode Caenorhabditis elegans (C. elegans) is a powerful tool for
performing genetic screens in order to dissect regulatory pathways that safeguard
established cell identities. Reprogramming of germ cells to a specific type of
neurons termed ASE requires transgenic animals that allow broad over-expression
of the Zn-finger transcription factor (TF) CHE-1. Endogenous CHE-1 is expressed
exclusively in two head neurons and is required to specify the glutamatergic ASE
neurons fate, which can easily be visualized by the gcy-5prom::gfp reporter. A
trans gene containing the heat-shock promoter-driven che-1 gene expression
construct allows broad mis-expression of CHE-1 in the entire animal upon heat
shock treatment. The combination of RNAi against the chromatin-regulating factor
LIN-53 and heat-shock-induced che-1 over-expression leads to reprogramming of
germ cell into ASE neuron-like cells. We describe here the specific RNAi
procedure and appropriate conditions for heat-shock treatment of transgenic
animals in order to successfully induce germ cell to neuron conversion.
PMID- 29364231
TI - Embryo Microinjection and Transplantation Technique for Nasonia vitripennis
Genome Manipulation.
AB - The jewel wasp Nasonia vitripennis has emerged as an effective model system for
the study of processes including sex determination, haplo-diploid sex
determination, venom synthesis, and host-symbiont interactions, among others. A
major limitation of working with this organism is the lack of effective protocols
to perform directed genome modifications. An important part of genome
modification is delivery of editing reagents, including CRISPR/Cas9 molecules,
into embryos through microinjection. While microinjection is well established in
many model organisms, this technique is particularly challenging to perform in N.
vitripennis primarily due to its small embryo size, and the fact that embryonic
development occurs entirely within a parasitized blowfly pupa. The following
procedure overcomes these significant challenges while demonstrating a
streamlined, visual procedure for effectively removing wasp embryos from
parasitized host pupae, microinjecting them, and carefully transplanting them
back into the host for continuation and completion of development. This protocol
will strongly enhance the capability of research groups to perform advanced
genome modifications in this organism.
PMID- 29364232
TI - Recording Brain Electromagnetic Activity During the Administration of the Gaseous
Anesthetic Agents Xenon and Nitrous Oxide in Healthy Volunteers.
AB - Anesthesia arguably provides one of the only systematic ways to study the neural
correlates of global consciousness/unconsciousness. However to date most
neuroimaging or neurophysiological investigations in humans have been confined to
the study of gamma-Amino-Butyric-Acid-(GABA)-receptor-agonist-based anesthetics,
while the effects of dissociative N-Methyl-D-Aspartate-(NMDA)-receptor-antagonist
based anesthetics ketamine, nitrous oxide (N2O) and xenon (Xe) are largely
unknown. This paper describes the methods underlying the simultaneous recording
of magnetoencephalography (MEG) and electroencephalography (EEG) from healthy
males during inhalation of the gaseous anesthetic agents N2O and Xe. Combining
MEG and EEG data enables the assessment of electromagnetic brain activity during
anesthesia at high temporal, and moderate spatial, resolution. Here we describe a
detailed protocol, refined over multiple recording sessions, that includes
subject recruitment, anesthesia equipment setup in the MEG scanner room, data
collection and basic data analysis. In this protocol each participant is exposed
to varying levels of Xe and N2O in a repeated measures cross-over design.
Following relevant baseline recordings participants are exposed to step-wise
increasing inspired concentrations of Xe and N2O of 8, 16, 24 and 42%, and 16, 32
and 47% respectively, during which their level of responsiveness is tracked with
an auditory continuous performance task (aCPT). Results are presented for a
number of recordings to highlight the sensor-level properties of the raw data,
the spectral topography, the minimization of head movements, and the unequivocal
level dependent effects on the auditory evoked responses. This paradigm describes
a general approach to the recording of electromagnetic signals associated with
the action of different kinds of gaseous anesthetics, which can be readily
adapted to be used with volatile and intravenous anesthetic agents. It is
expected that the method outlined can contribute to the understanding of the
macro-scale mechanisms of anesthesia by enabling methodological extensions
involving source space imaging and functional network analysis.
PMID- 29364233
TI - A Novel Feeder-free System for Mass Production of Murine Natural Killer Cells In
Vitro.
AB - Natural killer (NK) cells belong to the innate immune system and are a first-line
anti-cancer immune defense; however, they are suppressed in the tumor
microenvironment and the underlying mechanism is still largely unknown. The lack
of a consistent and reliable source of NK cells limits the research progress of
NK cell immunity. Here, we report an in vitro system that can provide high
quality and quantity of bone marrow-derived murine NK cells under a feeder-free
condition. More importantly, we also demonstrate that siRNA-mediated gene
silencing successfully inhibits the E4bp4-dependent NK cell maturation by using
this system. Thus, this novel in vitro NK cell differentiating system is a
biomaterial solution for immunity research.
PMID- 29364234
TI - Measuring Deformability and Red Cell Heterogeneity in Blood by Ektacytometry.
AB - Decreased red cell deformability is characteristic of several disorders. In some
cases, the extent of defective deformability can predict severity of disease or
occurrence of serious complications. Ektacytometry uses laser diffraction
viscometry to measure the deformability of red blood cells subject to either
increasing shear stress or an osmotic gradient at a constant value of applied
shear stress. However, direct deformability measurements are difficult to
interpret when measuring heterogenous blood that is characterized by the presence
of both rigid and deformable red cells. This is due to the inability of rigid
cells to properly align in response to shear stress and results in a distorted
diffraction pattern marked by an exaggerated decrease in apparent deformability.
Measurement of the degree of distortion provides an indicator of the
heterogeneity of the erythrocytes in blood. In sickle cell anemia, this is
correlated with the percentage of rigid cells, which reflects the hemoglobin
concentration and hemoglobin composition of the erythrocytes. In addition to
measuring deformability, osmotic gradient ektacytometry provides information
about the osmotic fragility and hydration status of erythrocytes. These
parameters also reflect the hemoglobin composition of red blood cells from sickle
cell patients. Ektacytometry measures deformability in populations of red cells
and does not, therefore, provide information on the deformability or mechanical
properties of individual erythrocytes. Regardless, the goal of the techniques
described herein is to provide a convenient and reliable method for measuring the
deformability and cellular heterogeneity of blood. These techniques may be useful
for monitoring temporal changes, as well as disease progression and response to
therapeutic intervention in several disorders. Sickle cell anemia is one well
characterized example. Other potential disorders where measurements of red cell
deformability and/or heterogeneity are of interest include blood storage,
diabetes, Plasmodium infection, iron deficiency, and the hemolytic anemias due to
membrane defects.
PMID- 29364235
TI - Fabrication Procedures and Birefringence Measurements for Designing Magnetically
Responsive Lanthanide Ion Chelating Phospholipid Assemblies.
AB - Bicelles are tunable disk-like polymolecular assemblies formed from a large
variety of lipid mixtures. Applications range from membrane protein structural
studies by nuclear magnetic resonance (NMR) to nanotechnological developments
including the formation of optically active and magnetically switchable gels.
Such technologies require high control of the assembly size, magnetic response
and thermal resistance. Mixtures of 1,2-dimyristoyl-sn-glycero-3-phosphocholine
(DMPC) and its lanthanide ion (Ln3+) chelating phospholipid conjugate, 1,2
dimyristoyl-sn-glycero-3-phospho-ethanolamine-diethylene triaminepentaacetate
(DMPE-DTPA), assemble into highly magnetically responsive assemblies such as
DMPC/DMPE-DTPA/Ln3+ (molar ratio 4:1:1) bicelles. Introduction of cholesterol
(Chol-OH) and steroid derivatives in the bilayer results in another set of
assemblies offering unique physico-chemical properties. For a given lipid
composition, the magnetic alignability is proportional to the bicelle size. The
complexation of Ln3+ results in unprecedented magnetic responses in terms of both
magnitude and alignment direction. The thermo-reversible collapse of the disk
like structures into vesicles upon heating allows tailoring of the assemblies'
dimensions by extrusion through membrane filters with defined pore sizes. The
magnetically alignable bicelles are regenerated by cooling to 5 degrees C,
resulting in assembly dimensions defined by the vesicle precursors. Herein, this
fabrication procedure is explained and the magnetic alignability of the
assemblies is quantified by birefringence measurements under a 5.5 T magnetic
field. The birefringence signal, originating from the phospholipid bilayer,
further enables monitoring of polymolecular changes occurring in the bilayer.
This simple technique is complementary to NMR experiments that are commonly
employed to characterize bicelles.
PMID- 29364236
TI - Determining Genome-wide Transcript Decay Rates in Proliferating and Quiescent
Human Fibroblasts.
AB - Quiescence is a temporary, reversible state in which cells have ceased cell
division, but retain the capacity to proliferate. Multiple studies, including
ours, have demonstrated that quiescence is associated with widespread changes in
gene expression. Some of these changes occur through changes in the level or
activity of proliferation-associated transcription factors, such as E2F and MYC.
We have demonstrated that mRNA decay can also contribute to changes in gene
expression between proliferating and quiescent cells. In this protocol, we
describe the procedure for establishing proliferating and quiescent cultures of
human dermal foreskin fibroblasts. We then describe the procedures for inhibiting
new transcription in proliferating and quiescent cells with Actinomycin D (ActD).
ActD treatment represents a straightforward and reproducible approach to
dissociating new transcription from transcript decay. A disadvantage of ActD
treatment is that the time course must be limited to a short time frame because
ActD affects cell viability. Transcript levels are monitored over time to
determine transcript decay rates. This procedure allows for the identification of
genes and isoforms that exhibit differential decay in proliferating versus
quiescent fibroblasts.
PMID- 29364237
TI - Chromatin Immunoprecipitation (ChIP) of Histone Modifications from Saccharomyces
cerevisiae.
AB - Histone post-translational modifications (PTMs), such as acetylation, methylation
and phosphorylation, are dynamically regulated by a series of enzymes that add or
remove these marks in response to signals received by the cell. These PTMS are
key contributors to the regulation of processes such as gene expression control
and DNA repair. Chromatin immunoprecipitation (chIP) has been an instrumental
approach for dissecting the abundance and localization of many histone PTMs
throughout the genome in response to diverse perturbations to the cell. Here, a
versatile method for performing chIP of post-translationally modified histones
from the budding yeast Saccharomyces cerevisiae (S. cerevisiae) is described.
This method relies on crosslinking of proteins and DNA using formaldehyde
treatment of yeast cultures, generation of yeast lysates by bead beating,
solubilization of chromatin fragments by micrococcal nuclease, and
immunoprecipitation of histone-DNA complexes. DNA associated with the histone
mark of interest is purified and subjected to quantitative PCR analysis to
evaluate its enrichment at multiple loci throughout the genome. Representative
experiments probing the localization of the histone marks H3K4me2 and H4K16ac in
wildtype and mutant yeast are discussed to demonstrate data analysis and
interpretation. This method is suitable for a variety of histone PTMs and can be
performed with different mutant strains or in the presence of diverse
environmental stresses, making it an excellent tool for investigating changes in
chromatin dynamics under different conditions.
PMID- 29364238
TI - Preparation of N-(2-alkoxyvinyl)sulfonamides from N-tosyl-1,2,3-triazoles and
Subsequent Conversion to Substituted Phthalans and Phenethylamines.
AB - Decomposition of N-tosyl-1,2,3-triazoles with rhodium(II) acetate dimer in the
presence of alcohols forms synthetically versatile N-(2-alkoxyvinyl)sulfonamides,
which react under a variety of conditions to afford useful N- and O-containing
compounds. Acid-catalyzed addition of alcohols or thiols to N-(2
alkoxyvinyl)sulfonamide-containing phthalans provides access to ketals and
thioketals, respectively. Selective reduction of the vinyl group in N-(2
alkoxyvinyl)sulfonamide-containing phthalans via hydrogenation yields the
corresponding phthalan in good yield, whereas reduction with sodium bis(2
methoxyethoxy)aluminumhydride generates a ring-opened phenethylamine analogue.
Because the N-(2-alkoxyvinyl)sulfonamide functional group is synthetically
versatile, but often hydrolytically unstable, this protocol emphasizes key
techniques in preparing, handling, and reacting these pivotal substrates in
several useful transformations.
PMID- 29364239
TI - Cell Aggregation Assays to Evaluate the Binding of the Drosophila Notch with
Trans-Ligands and its Inhibition by Cis-Ligands.
AB - Notch signaling is an evolutionarily conserved cell-cell communication system
used broadly in animal development and adult maintenance. Interaction of the
Notch receptor with ligands from neighboring cells induces activation of the
signaling pathway (trans-activation), while interaction with ligands from the
same cell inhibits signaling (cis-inhibition). Proper balance between trans
activation and cis-inhibition helps establish optimal levels of Notch signaling
in some contexts during animal development. Because of the overlapping expression
domains of Notch and its ligands in many cell types and the existence of feedback
mechanisms, studying the effects of a given post-translational modification on
trans- versus cis-interactions of Notch and its ligands in vivo is difficult.
Here, we describe a protocol for using Drosophila S2 cells in cell-aggregation
assays to assess the effects of knocking down a Notch pathway modifier on the
binding of Notch to each ligand in trans and in cis. S2 cells stably or
transiently transfected with a Notch-expressing vector are mixed with cells
expressing each Notch ligand (S2-Delta or S2-Serrate). Trans-binding between the
receptor and ligands results in the formation of heterotypic cell aggregates and
is measured in terms of the number of aggregates per mL composed of >6 cells. To
examine the inhibitory effect of cis-ligands, S2 cells co-expressing Notch and
each ligand are mixed with S2-Delta or S2-Serrate cells and the number of
aggregates is quantified as described above. The relative decrease in the number
of aggregates due to the presence of cis-ligands provides a measure of cis-ligand
mediated inhibition of trans-binding. These straightforward assays can provide
semi-quantitative data on the effects of genetic or pharmacological manipulations
on the binding of Notch to its ligands, and can help deciphering the molecular
mechanisms underlying the in vivo effects of such manipulations on Notch
signaling.
PMID- 29364240
TI - Cell Membrane Repair Assay Using a Two-photon Laser Microscope.
AB - Numerous pathophysiological insults can cause damage to cell membranes and, when
coupled with innate defects in cell membrane repair or integrity, can result in
disease. Understanding the underlying molecular mechanisms surrounding cell
membrane repair is, therefore, an important objective to the development of novel
therapeutic strategies for diseases associated with dysfunctional cell membrane
dynamics. Many in vitro and in vivo studies aimed at understanding cell membrane
resealing in various disease contexts utilize two-photon laser ablation as a
standard for determining functional outcomes following experimental treatments.
In this assay, cell membranes are subjected to wounding with a two-photon laser,
which causes the cell membrane to rupture and fluorescent dye to infiltrate the
cell. The intensity of fluorescence within the cell can then be monitored to
quantify the cell's ability to reseal itself. There are several alternative
methods for assessing cell membrane response to injury, as well as great
variation in the two-photon laser wounding approach itself, therefore, a single,
unified model of cell wounding would beneficially serve to decrease the variation
between these methodologies. In this article, we outline a simple two-photon
laser wounding protocol for assessing cell membrane repair in vitro in both
healthy and dysferlinopathy patient fibroblast cells transfected with or without
a full-length dysferlin plasmid.
PMID- 29364241
TI - Combining X-Ray Crystallography with Small Angle X-Ray Scattering to Model
Unstructured Regions of Nsa1 from S. Cerevisiae.
AB - Determination of the full-length structure of ribosome assembly factor Nsa1 from
Saccharomyces cerevisiae (S. cerevisiae) is challenging because of the disordered
and protease labile C-terminus of the protein. This manuscript describes the
methods to purify recombinant Nsa1 from S. cerevisiae for structural analysis by
both X-ray crystallography and SAXS. X-ray crystallography was utilized to solve
the structure of the well-ordered N-terminal WD40 domain of Nsa1, and then SAXS
was used to resolve the structure of the C-terminus of Nsa1 in solution. Solution
scattering data was collected from full-length Nsa1 in solution. The theoretical
scattering amplitudes were calculated from the high-resolution crystal structure
of the WD40 domain, and then a combination of rigid body and ab initio modeling
revealed the C-terminus of Nsa1. Through this hybrid approach the quaternary
structure of the entire protein was reconstructed. The methods presented here
should be generally applicable for the hybrid structural determination of other
proteins composed of a mix of structured and unstructured domains.
PMID- 29364242
TI - In Vivo Single-Molecule Tracking at the Drosophila Presynaptic Motor Nerve
Terminal.
AB - An increasing number of super-resolution microscopy techniques are helping to
uncover the mechanisms that govern the nanoscale cellular world. Single-molecule
imaging is gaining momentum as it provides exceptional access to the
visualization of individual molecules in living cells. Here, we describe a
technique that we developed to perform single-particle tracking photo-activated
localization microscopy (sptPALM) in Drosophila larvae. Synaptic communication
relies on key presynaptic proteins that act by docking, priming, and promoting
the fusion of neurotransmitter-containing vesicles with the plasma membrane. A
range of protein-protein and protein-lipid interactions tightly regulates these
processes and the presynaptic proteins therefore exhibit changes in mobility
associated with each of these key events. Investigating how mobility of these
proteins correlates with their physiological function in an intact live animal is
essential to understanding their precise mechanism of action. Extracting protein
mobility with high resolution in vivo requires overcoming limitations such as
optical transparency, accessibility, and penetration depth. We describe how
photoconvertible fluorescent proteins tagged to the presynaptic protein Syntaxin
1A can be visualized via slight oblique illumination and tracked at the motor
nerve terminal or along the motor neuron axon of the third instar Drosophila
larva.
PMID- 29364243
TI - Automated Measurement of Cryptococcal Species Polysaccharide Capsule and Cell
Body.
AB - The purpose of this technique is to provide a consistent, accurate, and
manageable process for large numbers of polysaccharide capsule measurements.
First, a threshold image is generated based on intensity values uniquely
calculated for each image. Then, circles are detected based on contrast between
the object and background using the well-established Circle Hough Transformation
(CHT) algorithm. Finally, the detected cell capsules and bodies are matched
according to center coordinates and radius size, and data is exported to the user
in a manageable spreadsheet. The advantages of this technique are simple but
significant. First, because these calculations are performed by an algorithm
rather than a human both accuracy and reliability are increased. There is no
decline in accuracy or reliability regardless of how many samples are analyzed.
Second, this approach establishes a potential standard operating procedure for
the Cryptococcus field instead of the current situation where capsule measurement
varies by lab. Third, given that manual capsule measurements are slow and
monotonous, automation allows rapid measurements on large numbers of yeast cells
that in turn facilitates high throughput data analysis and increasingly powerful
statistics. The major limitations of this technique come from how the algorithm
functions. First, the algorithm will only generate circles. While Cryptococcus
cells and their capsules take on a circular morphology, it would be difficult to
apply this technique to non-circular object detection. Second, due to how circles
are detected the CHT algorithm can detect enormous pseudo-circles based on the
outer edges of several clustered circles. However, any misrepresented cell bodies
caught within the pseudo-circle can be easily detected and removed from the
resulting data sets. This technique is meant for measuring the circular
polysaccharide capsules of Cryptococcus species based on India Ink bright field
microscopy; though it could be applied to other contrast based circular object
measurements.
PMID- 29364244
TI - Dissection and Explant Culture of Murine Allantois for the In Vitro Analysis of
Allantoic Attachment.
AB - The placenta is essential for the growth and development of mammalian embryos.
For this reason, numerous genetic alterations and likely also environmental
insults that disturb placenta development or function can cause early pregnancy
loss in mice and humans. Nevertheless, simple in vitro assays to screen for
potential effects on placenta formation are lacking. Here, we focus on modeling
the first and critical step in placenta formation, which consists of the
attachment of the allantois to the chorion. We describe a method to rapidly
assess the attachment of allantoic explants on immobilized alpha4beta1 integrin,
which serves as a chorio-mimetic substrate.This in vitro approach enables a
qualitative evaluation of the attachment and spreading behavior of multiple
allantois explants at different consecutive time points. The protocol may be used
to investigate the effect of targeted mouse mutations, drugs, or various
environmental factors that have been linked to pregnancy complications or fetal
loss on allantois attachment ex vivo.
PMID- 29364245
TI - Microscopy Based Methods for the Assessment of Epithelial Cell Migration During
In Vitro Wound Healing.
AB - Cell migration is a mandatory aspect for wound healing. Creating artificial
wounds on research animal models often results in costly and complicated
experimental procedures, while potentially lacking in precision. In vitro culture
of epithelial cell lines provides a suitable platform for researching the cell
migratory behavior in wound healing and the impact of treatments on these cells.
The physiology of epithelial cells is often studied in non-confluent conditions;
however, this approach may not resemble natural wound healing conditions.
Disrupting the epithelium integrity by mechanical means generates a realistic
model, but may impede the application of molecular techniques. Consequently,
microscopy based techniques are optimal for studying epithelial cell migration in
vitro. Here we detail two specific methods, the artificial wound scratch assay
and the artificial migration front assay, that can obtain quantitative and
qualitative data, respectively, on the migratory performance of epithelial cells.
PMID- 29364246
TI - A Protocol for Real-time 3D Single Particle Tracking.
AB - Real-time three-dimensional single particle tracking (RT-3D-SPT) has the
potential to shed light on fast, 3D processes in cellular systems. Although
various RT-3D-SPT methods have been put forward in recent years, tracking high
speed 3D diffusing particles at low photon count rates remains a challenge.
Moreover, RT-3D-SPT setups are generally complex and difficult to implement,
limiting their widespread application to biological problems. This protocol
presents a RT-3D-SPT system named 3D Dynamic Photon Localization Tracking (3D
DyPLoT), which can track particles with high diffusive speed (up to 20 um2/s) at
low photon count rates (down to 10 kHz). 3D-DyPLoT employs a 2D electro-optic
deflector (2D-EOD) and a tunable acoustic gradient (TAG) lens to drive a single
focused laser spot dynamically in 3D. Combined with an optimized position
estimation algorithm, 3D-DyPLoT can lock onto single particles with high tracking
speed and high localization precision. Owing to the single excitation and single
detection path layout, 3D-DyPLoT is robust and easy to set up. This protocol
discusses how to build 3D-DyPLoT step by step. First, the optical layout is
described. Next, the system is calibrated and optimized by raster scanning a 190
nm fluorescent bead with the piezoelectric nanopositioner. Finally, to
demonstrate real-time 3D tracking ability, 110 nm fluorescent beads are tracked
in water.
PMID- 29364247
TI - Ex Vivo Imaging of Resident CD8 T Lymphocytes in Human Lung Tumor Slices Using
Confocal Microscopy.
AB - CD8 T cell are key players in the fight against cancer. In order for CD8 T cells
to kill tumor cells they need to enter into the tumor, migrate within the tumor
microenvironment and respond adequately to tumor antigens. The recent development
of improved imaging approaches, such as 2-photon microscopy, and the use of
powerful mouse tumor models have shed light on some of the mechanisms that
regulate anti-tumor T cell activities. Whereas such systems have provided
valuable insights, they do not always predict human responses. In human, our
knowledge in the field mainly comes from a description of fixed tumor samples
from human patients, as well as in vitro studies. However, in vitro models lack
the complex three-dimensional tumor milieu and, therefore, are incomplete
approximations of in vivo T cell activities. Fresh slices made from explanted
tissue represent a complex multi-cellular tumor environment that can act as an
important link between co-cultured studies and animal models. Originally set up
in murine lymph nodes1 and previously described in a JoVE article2, this approach
has now been transposed to human tumors to examine the dynamics of both plated3
as well as resident T cells4. Here, a protocol for the preparation of human lung
tumor slices, immunostaining of resident CD8 T and tumor cells, and tracking of
CD8 T lymphocytes within the tumor microenvironment using confocal microscopy is
described. This system is uniquely placed to screen for novel immunotherapy
agents favoring T cell migration in tumors.
PMID- 29364248
TI - Utilizing a Comprehensive Immunoprecipitation Enrichment System to Identify an
Endogenous Post-translational Modification Profile for Target Proteins.
AB - It is now well-appreciated that post-translational modifications (PTMs) play an
integral role in regulating a protein's structure and function, which may be
essential for a given protein's role both physiologically and pathologically.
Enrichment of PTMs is often necessary when investigating the PTM status of a
target protein, because PTMs are often transient and relatively low in abundance.
Many pitfalls are encountered when enriching for a PTM of a target protein, such
as buffer incompatibility, the target protein antibody is not IP-compatible, loss
of PTM signal, and others. The degree of difficulty is magnified when
investigating multiple PTMs like acetylation, ubiquitination, SUMOylation 2/3,
and tyrosine phosphorylation for a given target protein. Studying a combination
of these PTMs may be necessary, as crosstalk between PTMs is prevalent and
critical for protein regulation. Often, these PTMs are studied in different lysis
buffers and with unique inhibitor compositions. To simplify the process, a unique
denaturing lysis system was developed that effectively isolates and preserves
these four PTMs; thus, enabling investigation of potential crosstalk in a single
lysis system. A unique filter system was engineered to remove contaminating
genomic DNA from the lysate, which is a problematic by-product of denaturing
buffers. Robust affinity matrices targeting each of the four PTMs were developed
in concert with the buffer system to maximize the enrichment and detection of the
endogenous states of these four PTMs. This comprehensive PTM detection toolset
streamlines the process of obtaining critical information about whether a protein
is modified by one or more of these PTMs.
PMID- 29364249
TI - Simultaneous Distinction of Monospecific and Mixed DFS70 Patterns During ANA
Screening with a Novel HEp-2 ELITE/DFS70 Knockout Substrate.
AB - Systemic autoimmune connective tissue disorders are characterized by circulating
antinuclear antibodies (ANA). Although there are several technologies available
for ANA screening, indirect immunofluorescence (IIF) using Human epithelial cells
2 (HEp-2) substrate remains the primary and recommended method because of its
superior sensitivity. HEp-2 substrates can detect a multitude of patterns
resulting from autoantibody binding to various protein and nucleic acid
autoantigens distributed throughout the nucleus and cytoplasm of the cells. The
great diversity of monospecific and mixed patterns resulting from positive
reactions on HEp-2 substrate also complicate the interpretation and accuracy of
reporting. One specific example which received utmost attention recently is the
dense fine speckled 70 (DFS70) pattern resulting from autoantibodies that
specifically bind to a protein called lens epithelium derived growth factor
(LEDGF). Lack of clear association with a specific systemic autoimmune disease
and high prevalence in healthy populations have made accurate interpretation of
DFS70 pattern important. Accurate distinction of DFS70 pattern from disease
associated patterns using conventional HEp-2 substrate is challenging. Moreover,
frequent co-occurrence of DFS70 pattern along with disease-associated patterns
such as homogeneous, speckled, and mixed homogeneous-speckled patterns complicate
the IIF interpretation. The goal of this paper is to demonstrate the utility of a
novel engineered HEp-2 IIF substrate that retains all advantages of conventional
HEp-2 substrate while simultaneously providing the ability to distinguish DFS70
pattern with high confidence in both monospecific and mixed ANA positive
examples. The new substrate is further able to unmask disease-associated ANA
patterns previously concealed by DFS70 pattern.
PMID- 29364250
TI - Flow Cytometry-based Drug Screening System for the Identification of Small
Molecules That Promote Cellular Differentiation of Glioblastoma Stem Cells.
AB - Glioblastoma (GBM) is the most common and most lethal primary brain tumor in
adults, causing roughly 14,000 deaths each year in the U.S. alone. Median
survival following diagnosis is less than 15 months with maximal surgical
resection, radiation, and temozolomide chemotherapy. The challenges inherent in
developing more effective GBM treatments have become increasingly clear, and
include its unyielding invasiveness, its resistance to standard treatments, its
genetic complexity and molecular adaptability, and subpopulations of GBM cells
with phenotypic similarities to normal stem cells, herein referred to as
glioblastoma stem cells (GSCs). Because GSCs are required for tumor growth and
progression, differentiation-based therapy represents a viable treatment modality
for these incurable neoplasms. The following protocol describes a collection of
procedures to establish a high throughput screening platform aimed at the
identification of small molecules that promote GSC astroglial differentiation. At
the core of the system is a glial fibrillary acidic protein (GFAP)
differentiation reporter-construct. The protocol contains the following general
procedures: (1) establishing GSC differentiation reporter lines; (2)
testing/validating the relevance of the reporter to GSC self-renewal/clonogenic
capacity; and (3) high-capacity flow-cytometry based drug screening. The
screening platform provides a straightforward and inexpensive approach to
identify small molecules that promote GSCs differentiation. Furthermore,
utilization of libraries of FDA-approved drugs holds the potential for the
identification of agents that can be repurposed more rapidly. Also, therapies
that promote cancer stem cell differentiation are expected to work
synergistically with current "standard of care" therapies that have been shown to
target and eliminate primarily more differentiated cancer cells.
PMID- 29364251
TI - SwarmSight: Real-time Tracking of Insect Antenna Movements and Proboscis
Extension Reflex Using a Common Preparation and Conventional Hardware.
AB - Many scientifically and agriculturally important insects use antennae to detect
the presence of volatile chemical compounds and extend their proboscis during
feeding. The ability to rapidly obtain high-resolution measurements of natural
antenna and proboscis movements and assess how they change in response to
chemical, developmental, and genetic manipulations can aid the understanding of
insect behavior. By extending our previous work on assessing aggregate insect
swarm or animal group movements from natural and laboratory videos using the
video analysis software SwarmSight, we developed a novel, free, and open-source
software module, SwarmSight Appendage Tracking (SwarmSight.org) for frame-by
frame tracking of insect antenna and proboscis positions from conventional web
camera videos using conventional computers. The software processes frames about
120 times faster than humans, performs at better than human accuracy, and, using
30 frames per second (fps) videos, can capture antennal dynamics up to 15 Hz. The
software was used to track the antennal response of honey bees to two odors and
found significant mean antennal retractions away from the odor source about 1 s
after odor presentation. We observed antenna position density heat map cluster
formation and cluster and mean angle dependence on odor concentration.
PMID- 29364252
TI - An In Vivo Duo-color Method for Imaging Vascular Dynamics Following Contusive
Spinal Cord Injury.
AB - Spinal cord injury (SCI) causes significant vascular disruption at the site of
injury. Vascular pathology occurs immediately after SCI and continues throughout
the acute injury phase. In fact, endothelial cells appear to be the first to die
after a contusive SCI. The early vascular events, including increased
permeability of the blood-spinal cord barrier (BSCB), induce vasogenic edema and
contribute to detrimental secondary injury events caused by complex injury
mechanisms. Targeting the vascular disruption, therefore, could be a key strategy
to reduce secondary injury cascades that contribute to histological and
functional impairments after SCI. Previous studies were mostly performed on
postmortem samples and were unable to capture the dynamic changes of the vascular
network. In this study, we have developed an in vivo duo-color two-photon imaging
method to monitor acute vascular dynamic changes following contusive SCI. This
approach allows detecting blood flow, vessel diameter, and other vascular
pathologies at various sites of the same rat pre- and post-injury. Overall, this
method provides an excellent venue for investigating vascular dynamics.
PMID- 29364253
TI - Oral Biofilm Sampling for Microbiome Analysis in Healthy Children.
AB - Oral biofilm and its molecular analysis provide a basis for investigating various
dental research and clinical questions. Knowledge of biofilm composition leads to
a better understanding of cariogenic and periopathogenic mechanisms. Microbial
changes taking place in the oral cavity during childhood are of interest for
several reasons. The evolution of the child oral microbiota and shifts in its
composition need to be analyzed further to understand and possibly prevent the
onset of disease. At the same time, advanced knowledge of the natural composition
of oral biofilm is needed. Early stages of caries-free permanent dentition with
healthy gums provide a widely unaffected subgingival habitat that can serve as an
in situ baseline for studying features of oral health and disease. Analysis of
children's oral biofilm during different stages in life is thus an important
theme in the field. Modern molecular analysis methods can provide comprehensive
information about the bacterial diversity of such biofilms. To enable microbiota
data comparison, it is important to standardize each step in the procedure for
molecular data generation. This procedure spans from clinical sampling, Next
Generation Sequencing (NGS), bioinformatic data processing, to taxonomic
interpretation. One of the most critical factors here is biofilm sampling.
Sampling in children is even more challenging in particular due to limited space
in subgingival areas. We thus focus on the use of paper points for subgingival
sampling. This article provides a detailed protocol for oral biofilm sampling of
the subgingival sulcus, the mucosa, and saliva in children.
PMID- 29364254
TI - Utilizing the Modified T-Maze to Assess Functional Memory Outcomes After Cardiac
Arrest.
AB - BACKGROUND: Evaluating mild to moderate cognitive impairment in a global cerebral
ischemia (i.e. cardiac arrest) model can be difficult due to poor locomotion
after surgery. For example, rats who undergo surgical procedures and are
subjected to the Morris water maze may not be able to swim, thus voiding the
experiment. New Method: We established a modified behavioral spontaneous
alternation T-maze test. The major advantage of the modified T-maze protocol is
its relatively simple design that is powerful enough to assess functional
learning/memory after ischemia. Additionally, the data analysis is simple and
straightforward. We used the T-maze to determine the rats' learning/memory
deficits both in the presence or absence of mild to moderate (6 min) asphyxial
cardiac arrest (ACA). Rats have a natural tendency for exploration and will
explore the alternate arms in the T-maze, whereas hippocampal-lesioned rats tend
to adopt a side-preference resulting in decreased spontaneous alternation ratios,
revealing the hippocampal-related functional learning/memory in the presence or
absence of ACA. RESULTS: ACA groups have higher side-preference ratios and lower
alternations as compared to control. Comparison with Existing Method(s): The
Morris water and Barnes maze are more prominent for assessing learning/memory
function. However, the Morris water maze is more stressful than other mazes. The
Barnes maze is widely used to measure reference (long-term) memory, while ACA
induced neurocognitive deficits are more closely related to working (short-term)
memory. CONCLUSIONS: We have developed a simple, yet effective strategy to
delineate working (short-term) memory via the T-maze in our global cerebral
ischemia model (ACA).
PMID- 29364255
TI - A Prediction Error-driven Retrieval Procedure for Destabilizing and Rewriting
Maladaptive Reward Memories in Hazardous Drinkers.
AB - Maladaptive reward memories (MRMs) can become unstable following retrieval under
certain conditions, allowing their modification by subsequent new learning.
However, robust (well-rehearsed) and chronologically old MRMs, such as those
underlying substance use disorders, do not destabilize easily when retrieved. A
key determinate of memory destabilization during retrieval is prediction error
(PE). We describe a retrieval procedure for alcohol MRMs in hazardous drinkers
that specifically aims to maximize the generation of PE and therefore the
likelihood of MRM destabilization. The procedure requires explicitly generating
the expectancy of alcohol consumption and then violating this expectancy
(withholding alcohol) following the presentation of a brief set of prototypical
alcohol cue images (retrieval + PE). Control procedures involve presenting the
same cue images, but allow alcohol to be consumed, generating minimal PE
(retrieval-no PE) or generate PE without retrieval of alcohol MRMs, by presenting
orange juice cues (no retrieval + PE). Subsequently, we describe a multisensory
disgust-based counterconditioning procedure to probe MRM destabilization by re
writing alcohol cue-reward associations prior to reconsolidation. This procedure
pairs alcohol cues with images invoking pathogen disgust and an extremely bitter
tasting solution (denatonium benzoate), generating gustatory disgust. Following
retrieval + PE, but not no retrieval + PE or retrieval-no PE, counterconditioning
produces evidence of MRM rewriting as indexed by lasting reductions in alcohol
cue valuation, attentional capture, and alcohol craving.
PMID- 29364256
TI - A Protocol for Decellularizing Mouse Cochleae for Inner Ear Tissue Engineering.
AB - In mammals, mechanosensory hair cells that facilitate hearing lack the ability to
regenerate, which has limited treatments for hearing loss. Current regenerative
medicine strategies have focused on transplanting stem cells or genetic
manipulation of surrounding support cells in the inner ear to encourage
replacement of damaged stem cells to correct hearing loss. Yet, the extracellular
matrix (ECM) may play a vital role in inducing and maintaining function of hair
cells, and has not been well investigated. Using the cochlear ECM as a scaffold
to grow adult stem cells may provide unique insights into how the composition and
architecture of the extracellular environment aids cells in sustaining hearing
function. Here we present a method for isolating and decellularizing cochleae
from mice to use as scaffolds accepting perfused adult stem cells. In the current
protocol, cochleae are isolated from euthanized mice, decellularized, and
decalcified. Afterward, human Wharton's jelly cells (hWJCs) that were isolated
from the umbilical cord were carefully perfused into each cochlea. The cochleae
were used as bioreactors, and cells were cultured for 30 days before undergoing
processing for analysis. Decellularized cochleae retained identifiable
extracellular structures, but did not reveal the presence of cells or noticeable
fragments of DNA. Cells perfused into the cochlea invaded most of the interior
and exterior of the cochlea and grew without incident over a duration of 30 days.
Thus, the current method can be used to study how cochlear ECM affects cell
development and behavior.
PMID- 29364257
TI - Optimal Preparation of Formalin Fixed Samples for Peptide Based Matrix Assisted
Laser Desorption/Ionization Mass Spectrometry Imaging Workflows.
AB - The use of matrix-assisted laser desorption/ionization, mass spectrometry imaging
(MALDI MSI) has rapidly expanded, since this technique analyzes a host of
biomolecules from drugs and lipids to N-glycans. Although various sample
preparation techniques exist, detecting peptides from formaldehyde preserved
tissues remains one of the most difficult challenges for this type of mass
spectrometric analysis. For this reason, we have created and optimized a robust
methodology that preserves the spatial information contained within the sample,
while eliciting the greatest number of ionizable peptides. We have also aimed to
achieve this in a cost effective and simple way, thereby eliminating potential
bias or preparation error, which can occur when using automated instrumentation.
The end result is a reproducible and inexpensive protocol.
PMID- 29364258
TI - Nanothermite with Meringue-like Morphology: From Loose Powder to Ultra-porous
Objects.
AB - The goal of the protocol described in this article is to prepare aluminothermic
compositions (nanothermites) in the form of porous, monolithic objects.
Nanothermites are combustible materials made up of inorganic fuel and an
oxidizer. In nanothermite foams, aluminum is the fuel and aluminum phosphate and
tungsten trioxide are the oxidizing moieties. The highest flame propagation
velocities (FPVs) in nanothermites are observed in loose powders and FPVs are
strongly decreased by pelletizing nanothermite powders. From a physical
standpoint, nanothermite loose powders are metastable systems. Their properties
can be altered by unintentional compaction induced by shocks or vibrations or by
the segregation of particles over time by settling phenomena, which originates
from the density differences of their components. Moving from a powder to an
object is the challenge that must be overcome to integrate nanothermites in
pyrotechnic systems. Nanothermite objects must have both a high open porosity and
good mechanical strength. Nanothermite foams meet both of these criteria, and
they are prepared by dispersing a nano-sized aluminothermic mixture (Al/WO3) in
orthophosphoric acid. The reaction of aluminum with the acid solution gives the
AlPO4 "cement" in which Al and WO3 nanoparticles are embedded. In nanothermite
foams, aluminum phosphate plays the dual role of binder and oxidizer. This method
can be used with tungsten trioxide, which is not altered by the preparation
process. It could probably be extended to some oxides, which are commonly used
for the preparation of high performance nanothermites. The WO3-based nanothermite
foams described in this article are particularly insensitive to impact and
friction, which makes them far safer to handle than loose Al/WO3 powder. The fast
combustion of these materials has interesting applications in pyrotechnic
igniters. Their use in detonators as primers would require the incorporation of a
secondary explosive in their composition.
PMID- 29364259
TI - Second Harmonic Generation Signals in Rabbit Sclera As a Tool for Evaluation of
Therapeutic Tissue Cross-linking (TXL) for Myopia.
AB - Methods to strengthen tissue by introducing chemical bonds (non-enzymatic cross
linking) into structural proteins (fibrillar collagens) for therapy include
photochemical cross-linking and tissue cross-linking (TXL) methods. Such methods
for inducing mechanical tissue property changes are being employed to the cornea
in corneal thinning (mechanically weakened) disorders such as keratoconus as well
as the sclera in progressive myopia, where thinning and weakening of the
posterior sclera occurs and likely contributes to axial elongation. The primary
target proteins for such tissue strengthening are fibrillar collagens which
constitute the great majority of dry weight proteins in the cornea and sclera.
Fortuitously, fibrillar collagens are the main source of second harmonic
generation signals in the tissue extracellular space. Therefore, modifications of
the collagen proteins, such as those induced through cross-linking therapies,
could potentially be detected and quantitated through the use of second harmonic
generation microscopy (SHGM). Monitoring SHGM signals through the use of a laser
scanning microscopy system coupled with an infrared excitation light source is an
exciting modern imaging method that is enjoying widespread usage in the
biomedical sciences. Thus, the present study was undertaken in order to evaluate
the use of SHGM microscopy as a means to measure induced cross-linking effects in
ex vivo rabbit sclera, following an injection of a chemical cross-linking agent
into the sub-Tenon's space (sT), an injection approach that is standard practice
for causing ocular anesthesia during ophthalmologic clinical procedures. The
chemical cross-linking agent, sodium hydroxymethylglycinate (SMG), is from a
class of cosmetic preservatives known as formaldehyde releasing agents (FARs).
Scleral changes following reaction with SMG resulted in increases in SHG signals
and correlated with shifts in thermal denaturation temperature, a standard method
for evaluating induced tissue cross-linking effects.
PMID- 29364260
TI - Reactive Vapor Deposition of Conjugated Polymer Films on Arbitrary Substrates.
AB - We demonstrate a method of conformally coating conjugated polymers on arbitrary
substrates using a custom-designed, low-pressure reaction chamber. Conductive
polymers, poly(3,4-ethylenedioxythiophene) (PEDOT) and poly(3,4
propylenedioxythiophene) (PProDOT), and a semiconducting polymer, poly(thieno[3,2
b]thiophene) (PTT), were deposited on unconventional highly-disordered and
textured substrates with high surface areas, such as paper, towels and fabrics.
This reported deposition chamber is an improvement of previous vapor reactors
because our system can accommodate both volatile and nonvolatile monomers, such
as 3,4-propylenedioxythiophene and thieno[3,2-b]thiophene. Utilization of both
solid and liquid oxidants are also demonstrated. One limitation of this method is
that it lacks sophisticated in situ thickness monitors. Polymer coatings made by
the commonly used solution-based coating methods, such as spin-coating and
surface grafting, are often not uniform or susceptible to mechanical degradation.
This reported vapor phase deposition method overcomes those drawbacks and is a
strong alternative to common solution-based coating methods. Notably, polymer
films coated by the reported method are uniform and conformal on rough surfaces,
even at a micrometer scale. This feature allows for future application of vapor
deposited polymers in electronics devices on flexible and highly textured
substrates.
PMID- 29364261
TI - Visualizing Leukocyte Rolling and Adhesion in Angiotensin II-Infused Mice:
Techniques and Pitfalls.
AB - Epifluorescence intravital video microscopy (IVM) of blood vessels is an
established method to evaluate the activation of immune cells and their ability
to role and adhere to the endothelial layer. Visualization of circulating cells
by injection of fluorescent dyes or fluorophore-coupled antibodies is commonly
used. Alternatively, fluorescent reporter mice can be used. Interactions of
leukocytes, in particular lysozyme M+ (LysM+) monocytes, with the vessel wall
play pivotal roles in promoting vascular dysfunction and arterial hypertension.
We here present the technique to visualize and quantify leukocyte rolling and
adhesion in carotid arteries in angiotensin II (AngII)-induced hypertension in
mice by IVM. The implantation of a catheter damages the vascular wall and leads
to altered blood cell responses. We compared different injection techniques and
administration routes to visualize leukocytes in a LysMCre+IRG+ mouse with
widespread expression of red fluorescent protein and conditional expression of
green fluorescent protein in LysM+ cells. To study LysM+ cell activation, we used
AngII infused mice in which rolling and adhesion of leukocytes to the endothelium
is increased. We either injected acridine orange using a jugular catheter or
directly though the tail vein and compared the amount of rolling and adhering
cells. We found that jugular catheter implantation per se increased the number of
rolling and adhering LysM+ cells in sham-infused LysMCre+IRG+ mice compared to
controls. This activation was augmented in AngII-infused mice. Interestingly,
injecting acridine orange directly through the tail vein did not increase LysM+
cell adhesion or rolling in sham-infused mice. We thereby demonstrated the
importance of transgenic reporter mice expressing fluorescent proteins to not
interfere with in vivo processes during experimentation. Furthermore, tail vein
injection of fluorescent tracers might be a possible alternative to jugular
catheter injections.
PMID- 29364262
TI - Utilization of Stop-flow Micro-tubing Reactors for the Development of Organic
Transformations.
AB - A new reaction screening technology for organic synthesis was recently
demonstrated by combining elements from both continuous micro-flow and
conventional batch reactors, coined stop-flow micro-tubing (SFMT) reactors. In
SFMT, chemical reactions that require high pressure can be screened in parallel
through a safer and convenient way. Cross-contamination, which is a common
problem in reaction screening for continuous flow reactors, is avoided in SFMT.
Moreover, the commercially available light-permeable micro-tubing can be
incorporated into SFMT, serving as an excellent choice for light-mediated
reactions due to a more effective uniform light exposure, compared to batch
reactors. Overall, the SFMT reactor system is similar to continuous flow reactors
and more superior than batch reactors for reactions that incorporate gas reagents
and/or require light-illumination, which enables a simple but highly efficient
reaction screening system. Furthermore, any successfully developed reaction in
the SFMT reactor system can be conveniently translated to continuous-flow
synthesis for large scale production.
PMID- 29364263
TI - Sample Preparation and Imaging of Exosomes by Transmission Electron Microscopy.
AB - Exosomes are nano-sized extracellular vesicles secreted by body fluids and are
known to represent the characteristics of cells that secrete them. The contents
and morphology of the secreted vesicles reflect cell behavior or physiological
status, for example cell growth, migration, cleavage, and death. The exosomes'
role may depend highly on size, and the size of exosomes varies from 30 to 300
nm. The most widely used method for exosome imaging is negative staining, while
other results are based on Cryo-Transmission Electron Microscopy, Scanning
Electron Microscopy, and Atomic Force Microscopy. The typical exosome's
morphology assessed through negative staining is a cup-shape, but further details
are not yet clear. An exosome well-characterized through structural study is
necessary particular in medical and pharmaceutical fields. Therefore, function
dependent morphology should be verified by electron microscopy techniques such as
labeling a specific protein in the detailed structure of exosome. To observe
detailed structure, ultrathin sectioned images and negative stained images of
exosomes were compared. In this protocol, we suggest transmission electron
microscopy for the imaging of exosomes including negative staining, whole mount
immuno-staining, block preparation, thin section, and immuno-gold labelling.
PMID- 29364264
TI - Recording Synaptic Plasticity in Acute Hippocampal Slices Maintained in a Small
volume Recycling-, Perfusion-, and Submersion-type Chamber System.
AB - Even though experiments on brain slices have been in use since 1951, problems
remain that reduce the probability of achieving a stable and successful analysis
of synaptic transmission modulation when performing field potential or
intracellular recordings. This manuscript describes methodological aspects that
might be helpful in improving experimental conditions for the maintenance of
acute brain slices and for recording field excitatory postsynaptic potentials in
a commercially available submersion chamber with an outflow-carbogenation unit.
The outflow-carbogenation helps to stabilize the oxygen level in experiments that
rely on the recycling of a small buffer reservoir to enhance the cost-efficiency
of drug experiments. In addition, the manuscript presents representative
experiments that examine the effects of different carbogenation modes and
stimulation paradigms on the activity-dependent synaptic plasticity of synaptic
transmission.
PMID- 29364265
TI - Endoscopic Endonasal Trans-sphenoidal Approach: Minimally Invasive Surgery for
Pituitary Adenomas.
AB - Endoscopic endonasal trans-sphenoidal surgery has become the gold standard for
the surgical treatment of pituitary adenomas and many other pituitary lesions.
Refinements in surgical techniques, technological advancements, and incorporation
of neuronavigation have rendered this surgery minimally invasive. The
complication rates of this surgery are very low while excellent results are
consistently obtained through this approach. This paper focuses on the step-by
step surgical approach to pituitary adenomas, which is based on personal
experience, and details the results obtained with this minimally invasive
surgery.
PMID- 29364266
TI - Dextran Enhances the Lentiviral Transduction Efficiency of Murine and Human
Primary NK Cells.
AB - The efficient transduction of specific genes into natural killer (NK) cells has
been a major challenge. Successful transductions are critical to defining the
role of the gene of interest in the development, differentiation, and function of
NK cells. Recent advances related to chimeric antigen receptors (CARs) in cancer
immunotherapy accentuate the need for an efficient method to deliver exogenous
genes to effector lymphocytes. The efficiencies of lentiviral-mediated gene
transductions into primary human or mouse NK cells remain significantly low,
which is a major limiting factor. Recent advances using cationic polymers, such
as polybrene, show an improved gene transduction efficiency in T cells. However,
these products failed to improve the transduction efficiencies of NK cells. This
work shows that dextran, a branched glucan polysaccharide, significantly improves
the transduction efficiency of human and mouse primary NK cells. This highly
reproducible transduction methodology provides a competent tool for transducing
human primary NK cells, which can vastly improve clinical gene delivery
applications and thus NK cell-based cancer immunotherapy.
PMID- 29364267
TI - Culturing In Vivo-like Murine Astrocytes Using the Fast, Simple, and Inexpensive
AWESAM Protocol.
AB - The AWESAM (a low-cost easy stellate astrocyte method) protocol entails a fast,
simple, and inexpensive way to generate large quantities of in vivo-like mouse
and rat astrocyte monocultures: Brain cells can be isolated from different brain
regions, and after a week of cell culture, non-astrocytic cells are shaken off by
placing the culture dishes on a shaker for 6 h in the incubator. The remaining
astrocytes are then passaged into new plates with an astrocyte-specific medium
(termed NB+H). NB+H contains low concentrations of heparin-binding EGF-like
growth factor (HBEGF), which is used in place of serum in medium. After growing
in NB+H, AWESAM astrocytes have a stellate morphology and feature fine processes.
Moreover, these astrocytes have more in vivo-like gene expression than astrocytes
generated by previously published methods. Ca2+ imaging, vesicle dynamics, and
other events close to the membrane can thus be studied in the fine astrocytic
processes in vitro, e.g., using live cell confocal or TIRF microscopy. Notably,
AWESAM astrocytes also exhibit spontaneous Ca2+ signaling similar to astrocytes
in vivo.
PMID- 29364268
TI - Visual Evoked Potential Recordings in Mice Using a Dry Non-invasive Multi-channel
Scalp EEG Sensor.
AB - For scalp EEG research environments with laboratory mice, we designed a dry-type
16 channel EEG sensor which is non-invasive, deformable, and re-usable because of
the plunger-spring-barrel structural facet and mechanical strengths resulting
from metal materials. The whole process for acquiring the VEP responses in vivo
from a mouse consists of four steps: (1) sensor assembly, (2) animal preparation,
(3) VEP measurement, and (4) signal processing. This paper presents
representative measurements of VEP responses from multiple mice with a submicro
voltage signal resolution and sub-hundred millisecond temporal resolution.
Although the proposed method is safer and more convenient compared to other
previously reported animal EEG acquiring methods, there are remaining issues
including how to enhance the signal-to-noise ratio and how to apply this
technique with freely moving animals. The proposed method utilizes easily
available resources and shows a repetitive VEP response with a satisfactory
signal quality. Therefore, this method could be utilized for longitudinal
experimental studies and reliable translational research exploiting non-invasive
paradigms.
PMID- 29364270
TI - An Efficient In Vitro Transposition Method by a Transcriptionally Regulated
Sleeping Beauty System Packaged into an Integration Defective Lentiviral Vector.
AB - The Sleeping Beauty (SB) transposon is a non-viral integrating system with proven
efficacy for gene transfer and functional genomics. To optimize the SB transposon
machinery, a transcriptionally regulated hyperactive transposase (SB100X) and T2
based transposon are employed. Typically, the transposase and transposon are
provided transiently by plasmid transfection and SB100X expression is driven by a
constitutive promoter. Here, we describe an efficient method to deliver the SB
components to human cells that are resistant to several physical and chemical
transfection methods, to control SB100X expression and stably integrate a gene of
interest (GOI) through a "cut and paste" SB mechanism. The expression of
hyperactive transposase is tightly controlled by the Tet-ON system, widely used
to control gene expression since 1992. The gene of interest is flanked by
inverted repeats (IR) of the T2 transposon. Both SB components are packaged in
integration defective lentiviral vectors transiently produced in HEK293T cells.
Human cells, either cell lines or primary cells from human tissue, are in vitro
transiently transduced with viral vectors. Upon addition of doxycycline (dox,
tetracycline analog) into the culture medium, a fine-tuning of transposase
expression is measured and results in a long-lasting integration of the gene of
interest in the genome of the treated cells. This method is efficient and
applicable to the cell line (e.g., HeLa cells) and primary cells (e.g., human
primary keratinocytes), and thus represents a valuable tool for genetic
engineering and therapeutic gene transfer.
PMID- 29364269
TI - Three-dimensional Tissue Engineered Aligned Astrocyte Networks to Recapitulate
Developmental Mechanisms and Facilitate Nervous System Regeneration.
AB - Neurotrauma and neurodegenerative disease often result in lasting neurological
deficits due to the limited capacity of the central nervous system (CNS) to
replace lost neurons and regenerate axonal pathways. However, during nervous
system development, neuronal migration and axonal extension often occur along
pathways formed by other cells, referred to as "living scaffolds". Seeking to
emulate these mechanisms and to design a strategy that circumvents the inhibitory
environment of the CNS, this manuscript presents a protocol to fabricate tissue
engineered astrocyte-based "living scaffolds". To create these constructs, we
employed a novel biomaterial encasement scheme to induce astrocytes to self
assemble into dense three-dimensional bundles of bipolar longitudinally-aligned
somata and processes. First, hollow hydrogel micro-columns were assembled, and
the inner lumen was coated with collagen extracellular-matrix. Dissociated
cerebral cortical astrocytes were then delivered into the lumen of the
cylindrical micro-column and, at a critical inner diameter of <350 um,
spontaneously self-aligned and contracted to produce long fiber-like cables
consisting of dense bundles of astrocyte processes and collagen fibrils measuring
<150 um in diameter yet extending several cm in length. These engineered living
scaffolds exhibited >97% cell viability and were virtually exclusively comprised
of astrocytes expressing a combination of the intermediate filament proteins
glial-fibrillary acidic protein (GFAP), vimentin, and nestin. These aligned
astrocyte networks were found to provide a permissive substrate for neuronal
attachment and aligned neurite extension. Moreover, these constructs maintain
integrity and alignment when extracted from the hydrogel encasement, making them
suitable for CNS implantation. These preformed constructs structurally emulate
key cytoarchitectural elements of naturally occurring glial-based "living
scaffolds" in vivo. As such, these engineered living scaffolds may serve as test
beds to study neurodevelopmental mechanisms in vitro or facilitate
neuroregeneration by directing neuronal migration and/or axonal pathfinding
following CNS degeneration in vivo.
PMID- 29364271
TI - Detecting Estrogenic Ligands in Personal Care Products using a Yeast Estrogen
Screen Optimized for the Undergraduate Teaching Laboratory.
AB - The Yeast Estrogen Screen (YES) is used to detect estrogenic ligands in
environmental samples and has been broadly applied in studies of endocrine
disruption. Estrogenic ligands include both natural and manmade "Environmental
Estrogens" (EEs) found in many consumer goods including Personal Care Products
(PCPs), plastics, pesticides, and foods. EEs disrupt hormone signaling in humans
and other animals, potentially reducing fertility and increasing disease risk.
Despite the importance of EEs and other Endocrine Disrupting Chemicals (EDCs) to
public health, endocrine disruption is not typically included in undergraduate
curricula. This shortcoming is partly due to a lack of relevant laboratory
activities that illustrate the principles involved while also being accessible to
undergraduate students. This article presents an optimized YES for quantifying
ligands in personal care products that bind estrogen receptors alpha (ERalpha)
and/or beta (ERbeta). The method incorporates one of the two colorimetric
substrates (ortho-nitrophenyl-beta-D-galactopyranoside (ONPG) or chlorophenol red
beta-D-galactopyranoside (CPRG)) that are cleaved by beta-galactosidase, a 6-day
refrigerated incubation step to facilitate use in undergraduate laboratory
courses, an automated application for LacZ calculations, and R code for the
associated 4-parameter logistic regression analysis. The protocol has been
designed to allow undergraduate students to develop and conduct experiments in
which they screen products of their choosing for estrogen mimics. In the process,
they learn about endocrine disruption, cell culture, receptor binding, enzyme
activity, genetic engineering, statistics, and experimental design.
Simultaneously, they also practice fundamental and broadly applicable laboratory
skills, such as: calculating concentrations; making solutions; demonstrating
sterile technique; serially diluting standards; constructing and interpolating
standard curves; identifying variables and controls; collecting, organizing, and
analyzing data; constructing and interpreting graphs; and using common laboratory
equipment such as micropipettors and spectrophotometers. Thus, implementing this
assay encourages students to engage in inquiry-based learning while exploring
emerging issues in environmental science and health.
PMID- 29364272
TI - Frame-by-Frame Video Analysis of Idiosyncratic Reach-to-Grasp Movements in
Humans.
AB - Prehension, the act of reaching to grasp an object, is central to the human
experience. We use it to feed ourselves, groom ourselves, and manipulate objects
and tools in our environment. Such behaviors are impaired by many sensorimotor
disorders, yet our current understanding of their neural control is far from
complete. Current technologies for investigating human reach-to-grasp movements
often utilize motion tracking systems that can be expensive, require the
attachment of markers or sensors to the hands, impede natural movement and
sensory feedback, and provide kinematic output that can be difficult to
interpret. While generally effective for studying the stereotypical reach-to
grasp movements of healthy sighted adults, many of these technologies face
additional limitations when attempting to study the unpredictable and
idiosyncratic reach-to-grasp movements of young infants, unsighted adults, and
patients with neurological disorders. Thus, we present a novel, inexpensive, and
highly reliable yet flexible protocol for quantifying the temporal and kinematic
structure of idiosyncratic reach-to-grasp movements in humans. High speed video
cameras capture multiple views of the reach-to-grasp movement. Frame-by-frame
video analysis is then used to document the timing and magnitude of pre-defined
behavioral events such as movement start, collection, maximum height, peak
aperture, first contact, and final grasp. The temporal structure of the movement
is reconstructed by documenting the relative frame number of each event while the
kinematic structure of the hand is quantified using the ruler or measure function
in photo editing software to calibrate 2 dimensional linear distances between two
body parts or between a body part and the target. Frame-by-frame video analysis
can provide a quantitative and comprehensive description of idiosyncratic reach
to-grasp movements and will enable researchers to expand their area of
investigation to include a greater range of naturalistic prehensile behaviors,
guided by a wider variety of sensory modalities, in both healthy and clinical
populations.
PMID- 29364273
TI - A Morphometric and Cellular Analysis Method for the Murine Mandibular Condyle.
AB - The temporomandibular joint (TMJ) has the capacity to adapt to external stimuli,
and loading changes can affect the position of condyles, as well as the
structural and cellular components of the mandibular condylar cartilage (MCC).
This manuscript describes methods for analyzing these changes and a method for
altering the loading of the TMJ in mice (i.e., compressive static TMJ loading).
The structural evaluation illustrated here is a simple morphometric approach that
uses the Digimizer software and is performed in radiographs of small bones. In
addition, the analysis of cellular changes leading to alterations in collagen
expression, bone remodeling, cell division, and proteoglycan distribution in the
MCC is described. The quantification of these changes in histological sections -
by counting the positive fluorescent pixels using image software and measuring
the distance mapping and stained area with Digimizer - is also demonstrated. The
methods shown here are not limited to the murine TMJ, but could be used on
additional bones of small experimental animals and in other regions of
endochondral ossification.
PMID- 29364274
TI - Observation and Analysis of Blinking Surface-enhanced Raman Scattering.
AB - From a single molecule at a silver nanoaggregate junction, blinking surface
enhanced Raman scattering (SERS) is observed. Here, a protocol is presented on
how to prepare the SERS-active silver nanoaggregate, record a video of certain
blinking spots in the microscopic image, and analyze the blinking statistics. In
this analysis, a power law reproduces the probability distributions for bright
events relative to their duration. The probability distributions for dark events
are fitted by a power law with an exponential function. The parameters of the
power law represent molecular behavior in both bright and dark states. The random
walk model and the speed of the molecule across the entire silver surface can be
estimated. It is difficult to estimate even when using averages, autocorrelation
functions, and super-resolution SERS imaging. In the future, power law analyses
should be combined with spectral imaging, because the origins of blinking cannot
be confirmed by this analysis method alone.
PMID- 29364275
TI - Using CRISPR/Cas9 Gene Editing to Investigate the Oncogenic Activity of Mutant
Calreticulin in Cytokine Dependent Hematopoietic Cells.
AB - Clustered regularly interspaced short palindromic repeats (CRISPR) is an adaptive
immunity system in prokaryotes that has been repurposed by scientists to generate
RNA-guided nucleases, such as CRISPR-associated (Cas) 9 for site-specific
eukaryotic genome editing. Genome engineering by Cas9 is used to efficiently,
easily and robustly modify endogenous genes in many biomedically-relevant
mammalian cell lines and organisms. Here we show an example of how to utilize the
CRISPR/Cas9 methodology to understand the biological function of specific genetic
mutations. We model calreticulin (CALR) mutations in murine interleukin-3 (mIL-3)
dependent pro-B (Ba/F3) cells by delivery of single guide RNAs (sgRNAs) targeting
the endogenous Calr locus in the specific region where insertion and/or deletion
(indel) CALR mutations occur in patients with myeloproliferative neoplasms (MPN),
a type of blood cancer. The sgRNAs create double strand breaks (DSBs) in the
targeted region that are repaired by non-homologous end joining (NHEJ) to give
indels of various sizes. We then employ the standard Ba/F3 cellular
transformation assay to understand the effect of physiological level expression
of Calr mutations on hematopoietic cellular transformation. This approach can be
applied to other genes to study their biological function in various mammalian
cell lines.
PMID- 29364276
TI - A Rat Model of Mild Intrauterine Hypoperfusion with Microcoil Stenosis.
AB - Intrauterine hypoperfusion/ischemia is one of the major causes of
intrauterine/fetal growth restriction, preterm birth, and low birth weight. Most
studies of this phenomenon have been performed in either models with severe
intrauterine ischemia or models with gradient degree of intrauterine
hypoperfusion. No study has been performed in a model on uniform mild
intrauterine hypoperfusion (MIUH). Two models have been used for studies of MIUH:
a model based on suture ligation of either side of the arterial arcade formed
with the uterine and ovarian arteries, and a transient model based on clipping
the bilateral ovarian arteries and aorta having patency. Those two rodent models
of MIUH have some limitations, e.g., not all fetuses are subjected to MIUH,
depending on their position in the uterine horn. In our MIUH model, all fetuses
are subjected to a comparable level of intrauterine hypoperfusion. MIUH was
achieved by mild stenosis of all four arteries feeding the uterus, i.e., the
bilateral uterine and ovarian arteries. Arterial stenosis was induced by metal
microcoils wrapped around the feeding arteries. Producing arterial stenosis with
microcoils allowed us to control, optimize, and reproduce decreased blood flow
with very little inter-animal variability and a low mortality rate, thus enabling
accurate evaluation. When microcoils with an inner diameter of 0.24 mm were used,
the blood flow in both the placenta and fetus was mildly decreased (approximately
30% from the pre-stenosis level in the placenta). The offspring of our MIUH model
clearly demonstrates long-lasting alterations in neurological, neuroanatomical
and behavioral test results.
PMID- 29364277
TI - Synthesis of Functionalized 10-nm Polymer-coated Gold Particles for Endothelium
Targeting and Drug Delivery.
AB - Gold nanoparticles (AuNPs) have been used extensively in medical research due to
their size, biocompatibility, and modifiable surface. Specific targeting and drug
delivery are some of the applications of these AuNPs, but endothelial
extracellular matrices' defensive properties hamper particle uptake. To address
this issue, we describe a synthesis method for ultrasmall gold nanoparticles to
improve vascular delivery, with customizable functional groups and polymer
lengths for further adjustments. The protocol yields 2.5 nm AuNPs that are capped
with tetrakis(hydroxymethyl)phosphonium chloride (THPC). The replacement of THPC
with hetero-functional polyethylene glycol (PEG) on the surface of the AuNP
increases the hydrodynamic radius to 10.5 nm while providing various functional
groups on the surface. The last part of the protocol includes an optional
addition of a fluorophore to allow the AuNPs to be visualized under fluorescence
to track nanoparticle uptake. Dialysis and lyophilization were used to purify and
isolate the AuNPs. These fluorescent nanoparticles can be visualized in both in
vitro and in vivo experiments due to the biocompatible PEG coating and
fluorescent probes. Additionally, the size range of these nanoparticles render
them an ideal candidate for probing the glycocalyx without disrupting normal
vasculature function, which may lead to improved delivery and therapeutics.
PMID- 29364278
TI - Isolation, Culture, and Differentiation of Bone Marrow Stromal Cells and
Osteoclast Progenitors from Mice.
AB - Bone marrow stromal cells (BMSCs) constitute a cell population routinely used as
a representation of mesenchymal stem cells in vitro. They reside within the bone
marrow cavity alongside hematopoietic stem cells (HSCs), which can give rise to
red blood cells, immune progenitors, and osteoclasts. Thus, extractions of cell
populations from the bone marrow results in a very heterogeneous mix of various
cell populations, which can present challenges in experimental design and
confound data interpretation. Several isolation and culture techniques have been
developed in laboratories in order to obtain more or less homogeneous populations
of BMSCs and HSCs invitro. Here, we present two methods for isolation of BMSCs
and HSCs from mouse long bones: one method that yields a mixed population of
BMSCs and HSCs and one method that attempts to separate the two cell populations
based on adherence. Both methods provide cells suitable for osteogenic and
adipogenic differentiation experiments as well as functional assays.
PMID- 29364279
TI - Visualizing Intracellular SNARE Trafficking by Fluorescence Lifetime Imaging
Microscopy.
AB - Soluble N-ethylmaleimide sensitive fusion protein (NSF) attachment protein
receptor (SNARE) proteins are key for membrane trafficking, as they catalyze
membrane fusion within eukaryotic cells. The SNARE protein family consists of
about 36 different members. Specific intracellular transport routes are catalyzed
by specific sets of 3 or 4 SNARE proteins that thereby contribute to the
specificity and fidelity of membrane trafficking. However, studying the precise
function of SNARE proteins is technically challenging, because SNAREs are highly
abundant and functionally redundant, with most SNAREs having multiple and
overlapping functions. In this protocol, a new method for the visualization of
SNARE complex formation in live cells is described. This method is based on
expressing SNARE proteins C-terminally fused to fluorescent proteins and
measuring their interaction by Forster resonance energy transfer (FRET) employing
fluorescence lifetime imaging microscopy (FLIM). By fitting the fluorescence
lifetime histograms with a multicomponent decay model, FRET-FLIM allows (semi
)quantitative estimation of the fraction of the SNARE complex formation at
different vesicles. This protocol has been successfully applied to visualize
SNARE complex formation at the plasma membrane and at endosomal compartments in
mammalian cell lines and primary immune cells, and can be readily extended to
study SNARE functions at other organelles in animal, plant, and fungal cells.
PMID- 29364280
TI - Study of In Vivo Glucose Metabolism in High-fat Diet-fed Mice Using Oral Glucose
Tolerance Test (OGTT) and Insulin Tolerance Test (ITT).
AB - Obesity represents the most important single risk factor in the pathogenesis of
type 2 diabetes, a disease which is characterized by a resistance to insulin
stimulated glucose uptake and a gross decompensation of systemic glucose
metabolism. Despite considerable progress in the understanding of glucose
metabolism, the molecular mechanisms of its regulation in health and disease
remain under-investigated, while novel approaches to prevent and treat diabetes
are urgently needed. Diet derived glucose stimulates the pancreatic secretion of
insulin, which serves as the principal regulator of cellular anabolic processes
during the fed-state and thus balances blood glucose levels to maintain systemic
energy status. Chronic overfeeding triggers meta-inflammation, which leads to
alterations in peripheral insulin receptor-associated signaling and thus reduces
the sensitivity to insulin-mediated glucose disposal. These events ultimately
result in elevated fasting glucose and insulin levels as well as a reduction in
glucose tolerance, which in turn serve as important indicators of insulin
resistance. Here, we present a protocol for the generation and metabolic
characterization of high-fat diet (HFD)-fed mice as a frequently used model of
diet-induced insulin resistance. We illustrate in detail the oral glucose
tolerance test (OGTT), which monitors the peripheral disposal of an orally
administered glucose load and insulin secretion over time. Additionally, we
present a protocol for the insulin tolerance test (ITT) to monitor whole-body
insulin action. Together, these methods and their downstream applications
represent powerful tools to characterize the general metabolic phenotype of mice
as well as to specifically assess alterations in glucose metabolism. They may be
especially useful in the broad research field of insulin resistance, diabetes and
obesity to provide a better understanding of pathogenesis as well as to test the
effects of therapeutic interventions.
PMID- 29364281
TI - A Simple and Low-cost Assay for Measuring Ambulation in Mouse Models of Muscular
Dystrophy.
AB - Measuring functional outcomes in the treatment of muscular dystrophy is an
essential aspect of preclinical testing. The assessment of voluntary ambulation
in mouse models is a non-invasive and reproducible activity assay that is
directly analogous to measures of patient ambulation such as the 6-minute walk
test and related mobility scores. Many common methods for testing mouse
ambulation speed and distance are based on the open field test, where an animal's
free movement within an arena is measured over time. One major downside to this
approach is that commercial software and equipment for high-resolution motion
tracking is expensive and may require transferring mice to specialized facilities
for testing. Here, we describe a low-cost, video-based system for measuring mouse
ambulation that utilizes free and open-source software. Using this protocol, we
demonstrate that voluntary ambulation in the dystrophin-null mdx mouse model for
Duchenne muscular dystrophy (DMD) is decreased relative to wild-type mouse
activity. In mdx mice expressing the utrophin transgene, these activity deficits
are not observed and the total distance traveled is indistinguishable from wild
type mice. This method is effective for measuring changes in voluntary ambulation
associated with dystrophic pathology, and provides a versatile platform that can
be readily adapted to diverse research settings.
PMID- 29364282
TI - Phloem Sap Sampling from Brassica napus for 3D-PAGE of Protein and
Ribonucleoprotein Complexes.
AB - Sampling the phloem of higher plants is often laborious and significantly
dependent on the plant species. However, proteome studies under denaturing
conditions could be achieved in different plant species. Native protein:protein
and protein:nucleic acid complexes from phloem samples have as yet scarcely been
analyzed, although they might play important roles in maintenance of this
specialized compartment or in long-distance signaling. Large molecular assemblies
can be isolated using a blue native gel electrophoresis (BN-PAGE). Their protein
components can be separated by a subsequent sodium dodecyl sulfate PAGE (SDS
PAGE). However, proteins with similar molecular weights co-migrate, what can
hinder protein identification by mass spectrometry. Combining BN-PAGE with two
different denaturing gel electrophoresis steps, namely Tris-Tricine-urea and SDS
PAGE, enables the additional separation of proteins according to their
hydrophilicity/hydrophobicity and thus increases resolution and the success of
protein identification. It even allows distinguishing proteins that only differ
in their posttranslational modifications. In addition, blue native northern
blotting can be applied to identify the RNA components in macromolecular
complexes. We show that our protocol is suitable to unravel the protein and RNA
components of native protein:protein and ribonucleoprotein (RNP) complexes
occurring in phloem samples. Combining a blue native PAGE with two different
denaturing PAGE steps can help to separate different kinds of large protein
complexes, and also enables an increased identification rate of their components
by mass spectrometry. Furthermore, the protocol is robust enough to
simultaneously detect potentially bound nucleic acids within single protein
complexes.
PMID- 29364283
TI - A Simple Cell-based Immunofluorescence Assay to Detect Autoantibody Against the N
Methyl-D-Aspartate (NMDA) Receptor in Blood.
AB - The presence of anti-NMDA receptor autoantibody can cause various
neuropsychiatric symptoms in the affected patients, termed anti-NMDA receptor
autoimmune encephalitis. Detection of the specific autoantibody against the NMDA
receptor in the blood or cerebrospinal fluid (CSF) is essential for the accurate
diagnosis of this condition. The NMDA receptor is an ion channel protein complex
that contains four subunits, including two mandatory NMDA receptor subunit 1
(NR1) and one or two NMDA receptor subunit 2A (NR2A), NMDA receptor subunit 2B
(NR2B), NMDA receptor subunit 2C (NR2C), or NMDA receptor subunit 2D (NR2D). The
epitope of anti-NMDA receptor autoantibody was reported to be present at the
extracellular N-terminal domain of the NR1 subunit of the NMDA receptor. The goal
of this study is to develop a simple cell-based immunofluorescence assay that can
be used as a screening test to detect the presence of autoantibodies against NR1
subunit of the NMDA receptor in the blood to facilitate the clinical and basic
research of anti-NMDA receptor autoimmune encephalitis.
PMID- 29364284
TI - Guidelines and Experience Using Imaging Biomarker Explorer (IBEX) for Radiomics.
AB - Imaging Biomarker Explorer (IBEX) is an open-source tool for medical imaging
radiomics work. The purpose of this paper is to describe how to use IBEX's
graphical user interface (GUI) and to demonstrate how IBEX calculated features
have been used in clinical studies. IBEX allows for the import of DICOM images
with DICOM radiation therapy structure files or Pinnacle files. Once the images
are imported, IBEX has tools within the Data Selection GUI to manipulate the
viewing of the images, measure voxel values and distances, and create and edit
contours. IBEX comes with 27 preprocessing and 132 feature choices to design
feature sets. Each preprocessing and feature category has parameters that can be
altered. The output from IBEX is a spreadsheet that contains: 1) each feature
from the feature set calculated for each contour in a data set, 2) image
information about each contour in a data set, and 3) a summary of the
preprocessing and features used with their selected parameters. Features
calculated from IBEX have been used in studies to test the variability of
features under different imaging conditions and in survival models to improve
current clinical models.
PMID- 29364285
TI - From haematopoietic stem cells to complex differentiation landscapes.
AB - The development of mature blood cells from haematopoietic stem cells has long
served as a model for stem-cell research, with the haematopoietic differentiation
tree being widely used as a model for the maintenance of hierarchically organized
tissues. Recent results and new technologies have challenged the demarcations
between stem and progenitor cell populations, the timing of cell-fate choices and
the contribution of stem and multipotent progenitor cells to the maintenance of
steady-state blood production. These evolving views of haematopoiesis have broad
implications for our understanding of the functions of adult stem cells, as well
as the development of new therapies for malignant and non-malignant
haematopoietic diseases.
PMID- 29364289
TI - Methylated chromenoquinoline dyes: synthesis, optical properties, and application
for mitochondrial labeling.
AB - Methylated chromenoquinoline dyes were designed and synthesized, and their
photophysical properties were investigated. These new fluorescent dyes exhibited
relatively high quantum yields, long wavelength emissions, large Stokes shifts,
large absorption extinction coefficients and good water-solubility. Importantly,
these methylated cation dyes showed a specific staining ability for mitochondria
in living cells with low cytotoxicity.
PMID- 29364286
TI - Skin microbiota-host interactions.
AB - The skin is a complex and dynamic ecosystem that is inhabited by bacteria,
archaea, fungi and viruses. These microbes-collectively referred to as the skin
microbiota-are fundamental to skin physiology and immunity. Interactions between
skin microbes and the host can fall anywhere along the continuum between
mutualism and pathogenicity. In this Review, we highlight how host-microbe
interactions depend heavily on context, including the state of immune activation,
host genetic predisposition, barrier status, microbe localization, and microbe
microbe interactions. We focus on how context shapes the complex dialogue between
skin microbes and the host, and the consequences of this dialogue for health and
disease.
PMID- 29364290
TI - A single-molecule ELISA device utilizing nanofluidics.
AB - Single molecule analysis is desired in many areas that require the analysis of
ultra-small volume and/or extremely low concentration samples (e.g., single-cell
biology, medicine diagnosis, virus detection, etc.). Due to the ultra-small
volume or concentration, the sample contains only single or countable analyte
molecules. Thus, specific single molecules should be precisely processed and
detected for analysis. However, except nucleic acids, most molecules are
difficult to amplify, and a new analytical methodology for specific single
molecules is thus essential. For this, efficient chemical processing and
detection, which are important analytical elements, should be developed. Here, we
report a single-molecule ELISA (enzyme-linked immunosorbent assay) device
utilizing micro/nanofluidic technology. Both chemical processing and detection
were integrated into an ultra-small space (102 nm in size), and the integration
allowed precise processing (~100% capture) and detection of a specific single
molecule (protein) for the first time. This new concept and enabling technology
represent a significant innovation in analytical chemistry and will have a large
impact on general biology and medicine.
PMID- 29364287
TI - The biology and management of non-small cell lung cancer.
AB - Important advancements in the treatment of non-small cell lung cancer (NSCLC)
have been achieved over the past two decades, increasing our understanding of the
disease biology and mechanisms of tumour progression, and advancing early
detection and multimodal care. The use of small molecule tyrosine kinase
inhibitors and immunotherapy has led to unprecedented survival benefits in
selected patients. However, the overall cure and survival rates for NSCLC remain
low, particularly in metastatic disease. Therefore, continued research into new
drugs and combination therapies is required to expand the clinical benefit to a
broader patient population and to improve outcomes in NSCLC.
PMID- 29364288
TI - The rise of three-dimensional human brain cultures.
AB - Pluripotent stem cells show a remarkable ability to self-organize and
differentiate in vitro in three-dimensional aggregates, known as organoids or
organ spheroids, and to recapitulate aspects of human brain development and
function. Region-specific 3D brain cultures can be derived from any individual
and assembled to model complex cell-cell interactions and to generate circuits in
human brain assembloids. Here I discuss how this approach can be used to
understand unique features of the human brain and to gain insights into
neuropsychiatric disorders. In addition, I consider the challenges faced by
researchers in further improving and developing methods to probe and manipulate
patient-derived 3D brain cultures.
PMID- 29364291
TI - An oxidative cross-coupling reaction of 4-hydroxydithiocoumarin and amines/thiols
using a combination of I2 and TBHP: access to lead molecules for biomedical
applications.
AB - A metal-free I2/TBHP induced highly atom economic and operationally simple
oxidative cross-coupling reaction has been developed for the direct synthesis of
sulfenamides/sulfanes/disulfides from the reaction of 4-hydroxydithiocoumarin and
amines/thiols. The novelties of the present protocol are unprecedented S-C bond
formation in addition to S-N and S-S bonds, shorter reaction time, mild and
environmentally benign reaction conditions, functional group tolerance and
moderate to excellent yields. Moreover, the four newly synthesized compounds
namely 4q, 6d, 6e and 7a exhibit anti-proliferative activity against the breast
cancer cell line MCF7, and may be lead molecules for future drug development.
PMID- 29364292
TI - Chemical-bond effect on epitaxial strain in perovskite sodium niobate.
AB - Epitaxial films and heterostructures of perovskite oxides attract tremendous
scientific interest because of the unique phenomena therein. Especially important
is the epitaxial growth of films subjected to substrate-induced misfit strain. We
show here that in contrast to conventional misfit-controlled epitaxy, chemical
bonds determine the crystal stability and strain in epitaxial films of sodium
niobate on different cubic substrates. Strain relaxation in sodium niobate is
independent of misfit magnitude and proceeds through perovskite-specific tilting
of oxygen octahedra in addition to common defect formation. The observed
structural relaxation evidences a major role of a large internal strain that
originates from chemical bonds in the perovskite cell. The effect of chemical
bonds on film strain is anticipated to also control the epitaxy of other
perovskite oxides and related compounds.
PMID- 29364293
TI - Zr2Si: an antiferromagnetic Dirac MXene.
AB - MXenes, which constitute a kind of graphene-like material, have been intensively
investigated due to their applications in future nanoelectronics technology.
These MXenes are either metallic or semiconducting, whereas Dirac cones similar
to graphene have rarely been reported. Using first-principles calculations, we
proposed a new MXene, namely Zr2Si, whose antiferromagnetic (AFM) ground state
exhibited in these calculations anisotropic Dirac cones with Fermi velocities
comparable to that in graphene. The Dirac spectrum here was determined to arise
mainly from the dx2-y2 and dz2 orbitals of Zr atoms. Additionally, the Dirac
cones can be gapped when taking the spin-orbit coupling (SOC) and Coulomb
repulsive interaction (U) into account, which opens an avenue for using the Zr2Si
MXene for electronics applications.
PMID- 29364294
TI - Size dependence of magneto-optical activity in silver nanoparticles with
dimensions between 10 and 60 nm studied by MCD spectroscopy.
AB - Size-dependent magneto-optical activity in Ag nanoparticles with dimensions from
10 to 60 nm is demonstrated with magnetic circular dichroism (MCD) spectroscopy.
The Ag nanoparticles are prepared on the basis of a seeded-growth strategy using
sodium citrate and/or tannic acid as reducing agents in aqueous solution. The
obtained nanoparticles are roughly spherical, but those larger than ~28 nm have a
slight diversity of shapes with quasi-spherical polyhedrons. They exhibit a
derivative-like MCD response in the localized surface plasmon resonance (LSPR)
region, which originates from two circular modes of surface magnetoplasmons. With
an increase in the nanoparticle diameter, the bisignated MCD signal is strongly
distorted and weakened. Such a distortion for large-sized Ag nanoparticles can be
phenomenologically simulated on the basis of both spectral inhomogeneity and MCD
signal lobe asymmetry. Then the maximum value of MCD amplitude (MCDmax), which is
obtained by normalization of the amplitude to the LSPR peak absorbance, first
increases with increasing particle diameter and then decreases with a maximum for
the 23 nm nanoparticle. Interestingly, the MCDmax values are inversely correlated
with the spectral bandwidth of LSPR extinction. This behaviour is discussed from
a viewpoint of inhomogeneous effects of both spectral and size/shape
distributions. We believe the present results will advance the design and
application of optical devices based on magnetoplasmonics.
PMID- 29364295
TI - Ab initio calculations and kinetic modeling of thermal conversion of methyl
chloride: implications for gasification of biomass.
AB - Limitations in current hot gas cleaning methods for chlorine species from biomass
gasification may be a challenge for end use such as gas turbines, engines, and
fuel cells, all requiring very low levels of chlorine. During devolatilization of
biomass, chlorine is released partly as methyl chloride. In the present work, the
thermal conversion of CH3Cl under gasification conditions was investigated. A
detailed chemical kinetic model for pyrolysis and oxidation of methyl chloride
was developed and validated against selected experimental data from the
literature. Key reactions of CH2Cl with O2 and C2H4 for which data are scarce
were studied by ab initio methods. The model was used to analyze the fate of
methyl chloride in gasification processes. The results indicate that CH3Cl
emissions will be negligible for most gasification technologies, but could be a
concern for fluidized bed gasifiers, in particular in low-temperature
gasification. The present work illustrates how ab initio theory and chemical
kinetic modeling can help to resolve emission issues for thermal processes in
industrial scale.
PMID- 29364296
TI - Formation, growth and applications of femtoliter droplets on a microlens.
AB - Formation and growth of femtoliter droplets on surface microstructures are
important in many fundamental and practical interfacial processes, such as water
collection, vapour condensation in cooling devices, drop self-removal on anti
icing surfaces and fabrication of droplet-templated functional microstructures.
In this work, we experimentally and theoretically investigate the growth of
femtoliter oil-like liquid on the microlens surrounded by a hydrophilic planar
area. The droplets were produced by solvent exchange, a process where the
droplets nucleate and grow from an oversaturation created by displacing a good
solvent by a poor solvent of the droplet liquid. Our results showed that the
droplet fully coats the lens surface and the contact angle of the droplet
relative to the flat surface is finely tuned over a large range by the droplet
volume. The growth of the droplet on a microlens is largely described by the
constant contact radius model. To demonstrate the new opportunities provided by
the controlled formation of the droplet situated on a microlens, we will show a
simple and effective approach for production of arrays of composite microlenses
consisting of two types of polymers with different refractive indices. A high
curvature of the composite microlens results in desirable diffraction patterns
with potential application for enhanced light harvesting. Moreover, we
demonstrate that extraction of traces of a hydrophobic solute from the flow is
much faster as the droplet is lifted up from the channel wall by the microlens,
promising a time effective in situ detection process in narrow channels.
PMID- 29364297
TI - Effect of Al content on the strength of terminal silanol species in ZSM-5 zeolite
catalysts: a quantitative DRIFTS study without the use of molar extinction
coefficients.
AB - The strength of terminal hydroxyl Si-OH groups (silanols) in zeolites is
important for many non-size-selective catalytic reactions occurring onto the
external surface of the zeolite crystals and may often be responsible for
catalyst deactivation, e.g., coke formation. A quantitative analysis of Si-OH
strength and its link with the Al content, hence varying silica-to-alumina ratio
(SAR = SiO2/Al2O3), has not been established yet. Various hypotheses have been
proposed in the literature; nonetheless, the role of Al content in determining
silanol strength remains still unclear and the object of speculation. In this
work, we have systematically investigated the effect of the Al content on the
strength of terminal silanol sites in ZSM-5 zeolite catalysts with varying SAR
using Diffuse Reflectance Infrared Fourier Transform Spectroscopy (DRIFTS) at
variable temperatures without molar extinction coefficients. Two base probe
molecules with different proton affinity values, pyridine and collidine, were
used. To quantify the strength of terminal silanol sites the change of the
terminal silanol peak in the OH stretching region, together with data on
elemental analysis, was used. With this experimental protocol, unlike most IR
studies, the use of molar extinction coefficients, often difficult to obtain, is
not needed for quantification. The results reported here show for the first time
that for ZSM-5 zeolite catalysts the fraction of occupied terminal silanol acid
sites for both pyridine and collidine increases with increasing concentration of
external Bronsted acid sites, hence establishing a clear link between the two
types of acid sites. In summary, this work shows that the use of DRIFTS without
molar extinction coefficients is able to quantitatively probe the strength of
terminal silanol acid sites and establishes a link between the external Bronsted
Al content and the strength of terminal silanol species in ZSM-5 zeolites with
varying SAR at elevated temperatures.
PMID- 29364298
TI - Synthesis of unsymmetrical benzotrichalcogenophenes by N-heterocyclic carbene
palladium-catalyzed intramolecular direct C3-arylation of chalcogenophenes.
AB - A series of new unsymmetrical benzotrichalcogenophenes (BTCs) were synthesized by
the Pd-N-heterocyclic carbene catalyzed intramolecular C3-arylation of furan,
thiophene, selenophene and tellurophene units. This is the first time that a C3
direct arylation of selenophene and tellurophene moieties has ever been
demonstrated.
PMID- 29364299
TI - Toward a rational photocatalyst design: a new formation strategy of co
catalyst/semiconductor heterostructures via in situ exsolution.
AB - A new "post-growth" approach to implant metal sulfide nanodots (<5 nm) with high
population and uniform dispersion into a semiconductor parent matrix was
reported. The encapsulation modality of nanodot co-catalysts grants enhanced
visible light absorption and photocatalytic performance, which provides another
route for hetero-architecture materials design.
PMID- 29364300
TI - Solvent control of charge transfer excited state relaxation pathways in [Fe(2,2'
bipyridine)(CN)4]2.
AB - The excited state dynamics of solvated [Fe(bpy)(CN)4]2-, where bpy = 2,2'
bipyridine, show significant sensitivity to the solvent Lewis acidity. Using a
combination of optical absorption and X-ray emission transient spectroscopies, we
have previously shown that the metal to ligand charge transfer (MLCT) excited
state of [Fe(bpy)(CN)4]2- has a 19 picosecond lifetime and no discernable
contribution from metal centered (MC) states in weak Lewis acid solvents, such as
dimethyl sulfoxide and acetonitrile.1,2 In the present work, we use the same
combination of spectroscopic techniques to measure the MLCT excited state
relaxation dynamics of [Fe(bpy)(CN)4]2- in water, a strong Lewis acid solvent.
The charge-transfer excited state is now found to decay in less than 100
femtoseconds, forming a quasi-stable metal centered excited state with a 13
picosecond lifetime. We find that this MC excited state has triplet (3MC)
character, unlike other reported six-coordinate Fe(ii)-centered coordination
compounds, which form MC quintet (5MC) states. The solvent dependent changes in
excited state non-radiative relaxation for [Fe(bpy)(CN)4]2- allows us to infer
the influence of the solvent on the electronic structure of the complex.
Furthermore, the robust characterization of the dynamics and optical spectral
signatures of the isolated 3MC intermediate provides a strong foundation for
identifying 3MC intermediates in the electronic excited state relaxation
mechanisms of similar Fe-centered systems being developed for solar applications.
PMID- 29364301
TI - Mechanistic insights into photoinduced damage of DNA and RNA nucleobases in the
gas phase and in bulk solution.
AB - DNA/RNA photohydrates represent a class of well-known biomolecular lesions formed
by the absorption of near- to mid-UV light. They are formed via a photoinduced
nucleophilic hydrolysis reaction in which water is split (via nucleobase
sensitisation) into H + OH radicals. These nascent radicals can then add across
C5[double bond, length as m-dash]C6, saturating the preexisting double bond. If
unrepaired, such lesions can lead to mutagenic carcinogenesis, which is
responsible for several forms of cancer. Using high-level electronic structure
theory (CASPT2), we map the key excited-state reaction paths associated with the
reactivity of DNA (guanine and thymine) and RNA (uracil) nucleobases with water.
At the outset, we consider the intrinsic reactivity in the isolated gas phase -
in which the water (cluster) + chromophore complex is free from environmental
perturbations. We then extrapolate the thymine nucleobase to the bulk DNA
environment in aqueous solution in order to ascertain the relative importance of
hydrate formation in a more complex biological environment. In this latter study
we use high-level mixed quantum/classical (QM/MM: CASPT2/AMBER) methods.
PMID- 29364302
TI - Effects of a combined intervention with a lentil protein hydrolysate and a mixed
training protocol on the lipid metabolism and hepatic markers of NAFLD in Zucker
rats.
AB - Metabolic syndrome is a cluster of metabolic alterations characterized by central
obesity, dyslipidemia, elevated plasma glucose, insulin resistance (IR) and non
alcoholic fatty liver disease (NAFLD). In this study, a combined intervention of
a lentil protein hydrolysate and a mixed training protocol was assessed in an
animal experimental model of genetic obesity and metabolic syndrome. Thirty-two
male obese and 32 lean Zucker rats were divided into eight different experimental
groups. Rats performed a mixed exercise protocol or had a sedentary lifestyle and
were administered a lentil protein hydrolysate or placebo. Daily food intake,
weekly body weight gain, plasma parameters of glucose and lipid metabolisms, body
composition, hepatic weight, total fat content and fatty acid profile, as well as
gene expression of lipogenic and lipolytic nuclear transcription factors and
their target genes were measured. Obese Zucker rats exhibited higher body and
liver weight and fat content than did their lean counterparts. Such alterations
were related to modifications in aerobic capacity, plasma biochemical parameters
of glucose and lipid metabolisms, hepatic fatty acid profile and gene expression
of nuclear transcription factors SREBP1c, PPARalpha, LXR and associated lipogenic
and lipolytic enzymes. The interventions tested did not affect body weight gain
but improved aerobic capacity, reduced hepatomegalia and steatosis associated
with NAFLD and relieved the adverse effects produced by this condition in glucose
and lipid metabolisms through the modulation in the expression of different genes
involved in diverse metabolic pathways.
PMID- 29364303
TI - Nanocracking and metallization doubly defined large-scale 3D plasmonic sub-10 nm
gap arrays as extremely sensitive SERS substrates.
AB - Considering the technological difficulties in the existing approaches to form
nanoscale gaps, a convenient method to fabricate three-dimensional (3D) sub-10 nm
Ag/SiNx gap arrays has been demonstrated in this study, controlled by a
combination of stress-induced nanocracking of a SiNx nanobridge and Ag nanofilm
deposition. This scalable 3D plasmonic nanogap is specially suspended above a
substrate, having a tunable nanogap width and large height-to-width ratio to form
a nanocavity underneath. As a surface-enhanced Raman scattering (SERS) substrate,
the 3D Ag/SiNx nanogap shows a large Raman enhancement factor of ~108 and
extremely high sensitivity for the detection of Rhodamine 6G (R6G) molecules,
even down to 10-16 M, indicating an extraordinary capability for single-molecule
detection. Further, we verified that the Fabry-Perot resonance occurred in the
deep SiNx nanocavity under the Ag nanogap and contributed prominently to a
tremendous enhancement of the local field in the Ag-nanogap zone and hence
ultrasensitive SERS detection. This method circumvents the technological
limitations to fabricate a sub-10 nm metal nanogap with unique features for wide
applications in important scientific and technological areas.
PMID- 29364304
TI - A red-emitting fluorescent probe for hydrogen sulfide in living cells with a
large Stokes shift.
AB - A red-emitting fluorescent probe was developed for the sensitive and selective
detection of H2S. Upon treatment with H2S, this probe exhibited a remarkable
fluorescence enhancement (10 fold) with a large Stokes shift (125 nm). The
detection limit of this probe was as low as 5.7 nM based on S/N = 3. The
application of this probe in the detection of intracellular H2S in living cells
is successfully demonstrated.
PMID- 29364306
TI - Synthesis of a novel HER2 targeted aza-BODIPY-antibody conjugate: synthesis,
photophysical characterisation and in vitro evaluation.
AB - We herein report the synthesis and analysis of a novel aza-BODIPY-antibody
conjugate, formed by controlled and regioselective bioconjugation methodology.
Employing the clinically relevant antibody, which targets HER2 positive cancers,
represents an excellent example of an antibody targeting strategy for this class
of near-IR emitting fluorophore. The NIR fluorescence and binding properties were
validated through in vitro studies using live cell confocal imaging.
PMID- 29364307
TI - Solid-state one-way photoisomerisation of Z,E,Z-1,6-(4,4'-diphenyl)hexa-1,3,5
triene dicarboxylate examined using higher-order derivative spectra and powder
XRD patterns.
AB - Higher order derivative spectra were applied at first to one-way ZEZ-to-EEE
photoisomerisation of dimethyl ester (ZEZ-DPH1) of the titled compound in a
methylcyclohexane solution. Many common crossing points emerged in UV-induced
derivative-spectral changes to reveal the direct ZEZ-to-EEE photoisomerisation
without the transient formation of an intermediate to suggest the bicycle-pedal
mechanism. The solid-state photoisomerisation was subsequently monitored by
tracing changes in the fourth-order derivatives of absorption spectra of a thin
crystalline layer of ZEZ-DPH1 prepared by the drop-casting method, because the
distortion of absorption spectra due to light scattering is cancelled. It was
suggested that the solid-state photochemical event consists of three steps: fast
ZEZ-to-EEE photoisomerisation, a subsequent slow ZEZ-to-EEE photoisomerisation
and very slow disappearance of the EEE-isomer. Studies on powder XRD were also
carried out for a drop-cast solid layer of ZEZ-DPH1 to disclose the coexistence
of a crystal form other than the original one, and the former exhibited faster
ZEZ-to-EEE photoisomerisation when compared with the original crystal form. The
results revealed by XRD analysis are in line with those obtained by higher-order
derivative spectra, confirming the solid-state one-way photoisomerisation to take
place through the bicycle-pedal process.
PMID- 29364305
TI - Engineered photoproteins that give rise to photosynthetically-incompetent
bacteria are effective as photovoltaic materials for biohybrid
photoelectrochemical cells.
AB - Reaction centre/light harvesting proteins such as the RCLH1X complex from
Rhodobacter sphaeroides carry out highly quantum-efficient conversion of solar
energy through ultrafast energy transfer and charge separation, and these pigment
proteins have been incorporated into biohybrid photoelectrochemical cells for a
variety of applications. In this work we demonstrate that, despite not being able
to support normal photosynthetic growth of Rhodobacter sphaeroides, an engineered
variant of this RCLH1X complex lacking the PufX protein and with an enlarged
light harvesting antenna is unimpaired in its capacity for photocurrent
generation in two types of bio-photoelectrochemical cells. Removal of PufX also
did not impair the ability of the RCLH1 complex to act as an acceptor of energy
from synthetic light harvesting quantum dots. Unexpectedly, the removal of PufX
led to a marked improvement in the overall stability of the RCLH1 complex under
heat stress. We conclude that PufX-deficient RCLH1 complexes are fully functional
in solar energy conversion in a device setting and that their enhanced structural
stability could make them a preferred choice over their native PufX-containing
counterpart. Our findings on the competence of RCLH1 complexes for light energy
conversion in vitro are discussed with reference to the reason why these PufX
deficient proteins are not capable of light energy conversion in vivo.
PMID- 29364309
TI - Solvent incorporated sequential [3 + 2] annulation/substitution reaction of
azomethine imines and propargyl sulfur ylide.
AB - A novel solvent incorporated sequential [3 + 2] cycloaddition/substitution
reaction of azomethine imines with propargyl sulfur ylide was developed. In the
actual three-component reaction, propargyl sulfur ylide acts as a dipole reagent
to furnish the annulation with azomethine imines, followed by the protic solvents
acting as nucleophiles. The simple, mild, catalyst-free and practical protocol
allows for the formation of N,N-bicyclic pyrazolidinones in moderate to excellent
yields. Further transformation and gram-scale operations could also be achieved
efficiently.
PMID- 29364308
TI - Construction of tunable peptide nucleic acid junctions.
AB - We report here the construction of 3-way and 4-way peptide nucleic acid (PNA)
junctions as basic structural units for PNA nanostructuring. The incorporation of
amino acid residues into PNA chains makes PNA nanostructures with more structural
complexity and architectural flexibility possible, as exemplified by building 3
way PNA junctions with tunable nanopores. Given that PNA nanostructures have good
thermal and enzymatic stabilities, they are expected to have broad potential
applications in biosensing, drug delivery and bioengineering.
PMID- 29364310
TI - Well-defined silver conductive pattern fabricated on polyester fabric by screen
printing a dopamine surface modifier followed by electroless plating.
AB - Herein, we develop a novel method to fabricate silver conductive patterns on
polyester fabric (PET) by screen printing dopamine (DOPA) tracks first, then
reducing silver ions with polydopamine (PDA) tracks, which are self-polymerized
from dopamine (DOPA), and finally electroless plating. Polydopamine (PDA)
definitely adheres to the surface of the fabric and reduces the silver ions to
initiate the electroless plating. This process is confirmed by Fourier transform
infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy
dispersive spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS). The
conductivity of the well-defined silver patterns on the PET fabric is 0.86 Omega
sq-1. In addition, the electronic patterns fabricated via the proposed method
maintains their function under cyclic bending and Scotch(r)-tape tests, which
indicates their great potential for application in flexible devices and wearable
electronics.
PMID- 29364311
TI - Spherical network contraction forms microtubule asters in confinement.
AB - Microtubules and motor proteins form active filament networks that are critical
for a variety of functions in living cells. Network topology and dynamics are the
result of a self-organisation process that takes place within the boundaries of
the cell. Previous biochemical in vitro studies with biomimetic systems
consisting of purified motors and microtubules have demonstrated that confinement
has an important effect on the outcome of the self-organisation process. However,
the pathway of motor/microtubule self-organisation under confinement and its
effects on network morphology are still poorly understood. Here, we have
investigated how minus-end directed microtubule cross-linking kinesins organise
microtubules inside polymer-stabilised microfluidic droplets of well-controlled
size. We find that confinement can impose a novel pathway of microtubule aster
formation proceeding via the constriction of an initially spherical
motor/microtubule network. This mechanism illustrates the close relationship
between confinement, network contraction, and aster formation. The spherical
constriction pathway robustly produces single, well-centred asters with
remarkable reproducibility across thousands of droplets. These results show that
the additional constraint of well-defined confinement can improve the robustness
of active network self-organisation, providing insight into the design principles
of self-organising active networks in micro-scale confinement.
PMID- 29364314
TI - Selective cancer treatment via photodynamic sensitization of hypoxia-responsive
drug delivery.
AB - The precise and selective delivery of chemodrugs into tumors represents a
critical requirement for anti-cancer therapy. Intelligent delivery systems that
are responsive to a single internal or external stimulus often lack sufficient
cancer selectivity, which compromises the drug efficacy and induces undesired
side effects. To overcome this dilemma, we herein report a cancer-targeting
vehicle which allows highly cancer-selective drug release in response to cascaded
external (light) and internal (hypoxia) dual triggers. In particular, doxorubicin
(DOX)-loaded, hypoxia-dissociable nanoparticles (NPs) were prepared from self
assembled polyethylenimine-nitroimidazole (PEI-NI) micelles that were further co
assembled with hyaluronic acid-Ce6 (HC). Upon accumulation in tumor cells, tumor
site-specific light irradiation (660 nm, 10 mW cm-2) generated high levels of
reactive oxygen species (ROS) and greatly enhanced the hypoxic levels to induce
NP dissociation and accordingly DOX release. A synergistic anti-cancer efficacy
between DOX-mediated chemotherapy and Ce6-mediated photodynamic therapy (PDT) was
thus achieved, resulting in reduced side effects to normal tissues/cells. This
study therefore provides an effective method to control the cancer-specific drug
delivery by responding to cascaded multiple triggers, and it renders promising
applications for the programmed combination of chemotherapy and PDT toward cancer
treatment.
PMID- 29364327
TI - Correction: Engineering highly sensitive whole-cell mercury biosensors based on
positive feedback loops from quorum-sensing systems.
AB - Correction for 'Engineering highly sensitive whole-cell mercury biosensors based
on positive feedback loops from quorum-sensing systems' by Sheng Cai, et al.,
Analyst, 2018, DOI: 10.1039/c7an00587c.
PMID- 29364328
TI - The marginal fit of lithium disilicate crowns: Press vs. CAD/CAM.
AB - This study aimed to compare the vertical marginal gap of teeth restored with
lithium disilicate crowns fabricated using CAD/CAM or by pressed ceramic
approach. Twenty mandibular third molar teeth were collected after surgical
extractions and prepared to receive full veneer crowns. Teeth were optically
scanned and lithium disilicate blocks were used to fabricate crowns using CAD/CAM
technique. Polyvinyl siloxane impressions of the prepared teeth were made and
monolithic pressed lithium disilicate crowns were fabricated. The marginal gap
was measured using optical microscope at 200* magnification (Keyence VHX-5000,
Japan). Statistical analysis was performed using Wilcoxon test. The lithium
disilicate pressed crowns had significantly smaller (p = 0.006) marginal gaps (38
+/- 12 MUm) than the lithium disilicate CAD/CAM crowns (45 +/- 12 MUm). This
research indicates that lithium disilicate crowns fabricated with the press
technique have measurably smaller marginal gaps compared with those fabricated
with CAD/CAM technique within in vitro environments. The marginal gaps achieved
by the crowns across all groups were within a clinically acceptable range.
PMID- 29364329
TI - Oral health related quality of life among pregnant women: a randomized controlled
trial.
AB - The aim of the present study was to compare negative impacts of oral conditions
in Oral Heath Related Quality of Life (OHRQoL) assessed by the Oral Health Impact
Profile-14 (OHIP-14) scores in pregnant women receiving or not comprehensive
periodontal treatment. This randomized controlled clinical trial included
pregnant women aged between 18 and 35 years old. Participants were randomized in
a test group with 96 and a control group with 114 women. Patients in the test
group received comprehensive periodontal treatment, supra and subgingival scaling
and root-planning and periodontal maintenance appointments. The OHIP-14 was
applied before and after treatment. The primary outcome was changes in OHIP-14
scores after follow-up period. The impact of having received or not comprehensive
periodontal treatment on the change of the OHIP-14 scores was also investigated.
Both groups showed significant reduction in OHIP-14 scores and effect size for
the test group was 0.60 and 0.36 for the control group. Multinomial logistic
regression analysis showed that participants of the control group had 5.9-fold
odds (CI 95% 1.88-18.52) of worsening in OHIP-14 scores and their perception of
oral conditions in relation to test group. Comprehensive periodontal treatment
during pregnancy can reduce the negative impacts in OHRQoL.
PMID- 29364330
TI - Teaching and learning nursing management in the hospital context: an approach in
the light of Pichon-Riviere.
AB - OBJECTIVE: Analyze the articulation of students, professors (supervisors of the
undergraduate practicum) and nursing professionals in teaching and learning
nursing management at graduation in a public university hospital. METHOD: This is
a study of qualitative approach, anchored in the operative group reference of
Pichon-Riviere. Data collection was held between October 2013 and September 2014
and consisted of a self-administered questionnaire, participant observation and
focus group. The information was submitted to thematic analysis. RESULTS: The
thematic analysis of the corpus resulted in four themes: the unknown and the
inevitable; in coming and going, attitudes, values and new bonding; the dialogue
and ways of acting in the teaching/learning; the emergence of praxis. CONCLUSION:
The articulation among students, supervisors and nursing professionals occurring
in a coming and going of attitudes, values and new bonding, in which the dialogue
enables ways of acting in the teaching/learning of nursing management in
graduation, allowing the emergence of praxis.
PMID- 29364331
TI - Is HCV elimination possible in prison?
PMID- 29364332
TI - Criminal characteristics of a group of primary criminals diagnosed with aspd:
approach to criminal recidivis.
AB - INTRODUCTION: Antisocial personality disorder (ASPD) is commonly associated with
the risk of criminal recidivism. Knowing more about the factors associated with
this pattern of behaviour can help with the design of effective prevention
strategies. The purpose of this article is to establish if there are differences
in socio-criminogenic variables of a group of criminals sentenced for the first
time and with APSD compared to another group of first-time offenders who do not
present this disorder. MATERIALS AND METHODS: Analytical observation study of 70
men classified into 2 groups according to the presence of ASPD TPA (n=47; age:
29.98+/-7.8 years) or absence of ASPD (n=23; age: 32.35+/-8.7 years). RESULTS:
The inmates with ASPD showed higher frequencies of current consumption of
psychoactive substances (31.9%), criminal associations and simultaneous use of
psychoactive substances (70.2%), having committed the crime under the effects of
a psychoactive substance (55.3%), not having the possibility of distancing
themselves from criminal associations (83%) and a lack of legal resources for
proceedings for defence and release (76.6%). DISCUSSION: This sample contains a
group of variables called dynamic that are more commonly present amongst first
time offenders with ASPD; said variables have been associated as major predictors
of recidivism. Given that they are regarded as dynamic, they may well be
modifiable.
PMID- 29364333
TI - Emotional well-being amongst female inmates in prisons: the relevance of their
addictive history and their country of origin.
AB - OBJECTIVE: To know more about the emotional well-being of women held in prisons
in Spain by analyzing the state of mental health they present and investigating
the factors that affect them. MATERIAL AND METHODS: A sample of 434 inmates in
Spanish penitentiary centers was studied. An ad hoc designed questionnaire was
used and a logistic regression analysis was conducted to determine the variables
and to what extent they influence emotional well-being. RESULTS: The existence of
a statistically significant relationship between the well-being stages and the
origin variables (region of origin of the women) and the history of substance
addiction were confirmed. No significant relationship has been found for well
being levels from other variables (maternity, social or family support, gender
violence or having or not having a partner). CONCLUSIONS: Having a problematic
history of drug use negatively affects the levels of emotional well-being of
women detained in prisons. The low levels of substance use in women of Latin
American origin is related to their more positive levels of emotional well-being.
PMID- 29364334
TI - Extrahepatic manifestations associated with Chronic Hepatitis C Virus Infection.
AB - Chronic hepatitis C virus (HCV) infection has been associated with both organ
specific and systemic autoimmune diseases, with cryoglobulinemia being the most
frequent associated disease. Experimental, virologic, and clinical evidence have
demon-strated a close association between HCV infection and some systemic
autoimmune diseases, especially Sjogren's syndrome, but also rheumatoid arthritis
and lupus. A higher prevalence of hematological processes has also been described
in patients with HCV infection, including cytopenias and lymphoproliferative
disorders (B-cell lymphoma). In addition, patients with chronic HCV infection
have a higher frequency of other extrahepatic manifestations including endocrine,
metabolic and cardiovascular disorders that may worse the prognosis of patients,
along with neuropsychiatric manifestations and general symptoms that have a
significant influence on the quality of life of the patient. Direct-acting
antiviral therapies (DAAs) that have recently begun to be used are providing the
opportunity to effectively cure chronic HCV infection and reduce the burden of
both hepatic and extrahepatic complications.
PMID- 29364335
TI - Congenital syphilis in 2 children in a Bolivian prison.
PMID- 29364336
TI - Structural and mechanical properties of a giomer-based bulk fill restorative in
different curing conditions.
AB - The main goal of this study was to compare the polymerization degree of bulk-fill
giomer resin cured with three different light-curing units (LCUs): a polywave
third-generation (Valo); a monowave (DemiUltra: DU); and a second-generation LED
(Optima 10: Opt) LCUs by using structural and mechanical properties. Giomer
samples of 2 and 4 mm cured with three LCUs were employed in vitro analysis. The
degree of curing (DC%) was determined with Fourier-Transform Infrared
Spectroscopy (FTIR). Microstructural features were observed with scanning
electron microscopy (SEM). Flexural strength (FS), compression strength (CS),
elastic modulus and fracturing strain were determined for mechanical properties.
Surface microhardness (SMH) values were also measured. Oneway ANOVA, two-way
analysis of variance and Tukey multiple comparison tests were used for
statistically analyzing the FS and SMH. DC% values were 58.2, 47.6, and 39.7 for
the 2 mm samples cured with DU, Opt., and Valo LCUs, respectively. DC% values of
the 4 mm samples were 50.4, 44.6, and 38.2 for DU, Opt, and Valo, respectively.
SMH values were Valo, Opt0.05). These results support that high
molecular weight hyaluronic acid could contribute to the healing of xenograft by
improving the percentage of new bone formation and reducing the percentage of
residual graft. However, HA did not significantly affect the quality of newly
formed bone assessed by microarchitectural parameters.
PMID- 29364338
TI - Influence of skin cold sensation threshold in the occurrence of dental
sensitivity during dental bleaching: a placebo controlled clinical trial.
AB - This study verified the occurrence of dental sensitivity in patients submitted to
a 35% hydrogen peroxide based product (Whiteness HP Maxx 35% - FGM), skin cold
sensation threshold (SCST) and its influence on dental sensitivity. Sixty
volunteers were divided into 4 groups (n = 15), according to SCST (low: GI and
GIII, and high: GII and IV) and bleaching treatment (hydrogen peroxide: GI and
GII, and placebo: GIII and GIV). SCST was determined in the inner forearm for 6
different times using a neurosensory analyzer, the TSA II (Medoc Advanced Medical
Systems, Ramat Yishai, Northern District, Israel). Dental sensitivity
measurements were performed 10 different times using a thermal stimulus and an
intraoral device attached to TSA II, positioned in the buccal surface of the
upper right central incisor. Spontaneous dental sensitivity was also determined
using the Visual Analogue Scale (VAS). Data were submitted to Student's t-test
and Pearson's Correlation Test (alpha=0.05). SCST remained the same during
bleaching treatment. Distinct responses of dental sensitivity were found in
patients with low and high SCST during the first and third bleaching session
(p<=0.05). The teeth submitted to the bleaching treatment became more sensitive
to cold than those treated with placebo. Moreover, data obtained with TSA and VAS
presented moderate correlation. Bleaching treatment increased dental sensitivity
and skin cold sensation threshold might represent a determining factor in this
occurrence, since low and high SCST patients had different responses to the
thermal stimulus in the teeth.
PMID- 29364339
TI - Effect of erosive challenges on deciduous teeth undergoing restorative procedures
with different adhesive protocols - an in vitro study.
AB - To evaluate the effect of erosive challenges on the tooth- restoration interface
of deciduous teeth treated with different adhesive protocols. Deciduous molars
were cut mesiodistally, then embedded, abraded and polished (n=80). Samples were
randomly divided according to the adhesive system used into: G1 (Adper Single
Bond2(r), etch-and-rinse), G2 (Universal Single Bond(r), self-etching), G3
(OptibondFL(r), etch-and-rinse with Fluoride) and G4 (BondForce(r), self-etching
with Fluoride). After standardized cavity preparation (2 mm diameter x 2 mm
depth), adhesive systems were applied and samples were restored (composite resin
Z350(r)). Half of the samples were exposed to erosive/abrasive cycles (n = 10,
each adhesive group), and the other half (control group; n = 10) remained
immersed in artificial saliva. For microleakage analysis, samples were submersed
in methylene blue and analyzed at 40x magnifications. Cross-sectional
microhardness (CSMH) was carried out (50 g/5 s) at 25 MUm, 50 MUm, and 100 MUm
from the eroded surface and at 25 MUm, 75 MUm, and 125 MUm from the enamel bond
interface. Regarding microleakage, 7.5% of the samples showed no dye
infiltration, 30% showed dye infiltration only at the enamel interface, and 62.5%
showed dye infiltration through the dentin-enamel junction, with no difference
between groups (p>=0.05). No significant difference was observed in CSMH at
different depths (two-way ANOVA, p>=0.05). We did not observe significant changes
in microleakage or CSMH after erosive/abrasive challenges in deciduous teeth
treated with different adhesive protocols (etch-and-rinse and self-etching
adhesives, with and without fluoride).
PMID- 29364340
TI - Microbiological and clinical effects of probiotics and antibiotics on nonsurgical
treatment of chronic periodontitis: a randomized placebo- controlled trial with 9
month follow-up.
AB - The aim of this double-blind, placebo-controlled and parallel- arm randomized
clinical trial was to evaluate the effects of Lactobacillus rhamnosus SP1
containing probiotic sachet and azithromycin tablets as an adjunct to nonsurgical
therapy in clinical parameters and in presence and levels of Tannerella
forsythia, Porphyromonas gingivalis and Aggregatibacter actinomycetemcomitans.
Forty-seven systemically healthy volunteers with chronic periodontitis were
recruited and monitored clinically and microbiologically at baseline for 3, 6 and
9 months after therapy. Subgingival plaque samples were collected from four
periodontal sites with clinical attachment level >=1 mm, probing pocket depth >=4
mm and bleeding on probing, one site in each quadrant. Samples were cultivated
and processed using the PCR technique. Patients received nonsurgical therapy
including scaling and root planing (SRP) and were randomly assigned to a
probiotic (n=16), antibiotic (n = 16) or placebo (n = 15) group. L. rhamnosus SP1
was taken once a day for 3 months. Azithromycin 500mg was taken once a day for 5
days. All groups showed improvements in clinical and microbiological parameters
at all time points evaluated. Probiotic and antibiotic groups showed greater
reductions in cultivable microbiota compared with baseline. The placebo group
showed greater reduction in number of subjects with P. gingivalis compared with
baseline. However, there were no significant differences between groups. The
adjunctive use of L. rhamnosus SP1 sachets and azithromycin during initial
therapy resulted in similar clinical and microbiological improvements compared
with the placebo group.
PMID- 29364341
TI - Determination of the effective anticandidal concentration of denture cleanser
tablets on some denture base resins.
AB - Although the effectiveness of chemical cleansing against Candida albicans biofilm
has been shown, the effective concentration of denture cleanser tablets has not
been studied. The aim of this study was to assess the effect of three denture
materials against Candida albicans biofilm and to determine effective
concentrations of denture cleanser tablets. The surface-roughness of Acron-hiTM,
QC-20TM and DeflexTM (n=45 per resin) resins was standardized by using a
profilometer and their contact angle or surface free energy was calculated. C.
albicans biofilm was formed on all three resins and were treated with Polident 3
minTM, CoregaTM and FittydentTM cleanser solutions at various concentrations and
both resin-biofilm and cleanser-biofilm interest were determined by using a MTT
protocol according to the European Committee on Antimicrobial Susceptibility
Testing's antifungal susceptibility testing (AFST-EUCAST). Scanning electron
microscopy was used to compare the efficacy of different resin materials against
C. albicans biofilm. Anticandidal activity and surface free energy statistical
parameters were calculated by using 3-way and 1-way ANOVA, respectively (p<0.05).
Polident 3 minTM and CoregaTM tablets significantly inhibited (p<0.05) the
proliferation of C. albicans against all denture resins at 27-37 mg/mL. Scanning
electron microscopy results indicated that there was no significant difference
among resin specimens regarding biofilm formation on dentures. We failed to find
a significant relationship between surface free energy and the anticandidal
effect of resin types. However, the polarity value of the resins was
statistically associated with their anticandidal activity. The polarity of the
resins, the concentrations of tablets and the chemical content of the cleanser
may directly affect C. albicans biofilm formations. Polident 3 minTM and CoregaTM
tablets should be suggested for patients who use any denture resin types, whereas
the FittydentTM tablet should only be proposed for those who use DeflexTM, when
two tablets are dropped into 150 mL water.
PMID- 29364342
TI - Alveolar bone repair with strontium- containing nanostructured carbonated
hydroxyapatite.
AB - This study aimed to evaluate bone repair in rat dental sockets after implanting
nanostructured carbonated hydroxyapatite/sodium alginate (CHA) and nanostructured
carbonated hydroxyapatite/sodium alginate containing 5% strontium microspheres
(SrCHA) as bone substitute materials. Twenty male Wistar rats were randomly
divided into two experimental groups: CHA and SrCHA (n=5/period/group). After one
and 6 weeks of extraction of the right maxillary central incisor and biomaterial
implantation, 5 MUm bone blocks were obtained for histomorphometric evaluation.
The parameters evaluated were remaining biomaterial, loose connective tissue and
newly formed bone in a standard area. Statistical analysis was performed by Mann
Withney and and Wilcoxon tests at 95% level of significance. The
histomorphometric results showed that the microspheres showed similar
fragmentation and bio-absorbation (p>0.05). We observed the formation of new
bones in both groups during the same experimental periods; however, the new bone
formation differed significantly between the weeks 1 and 6 (p=0.0039) in both
groups. The CHA and SrCHA biomaterials were biocompatible, osteoconductive and
bioabsorbable, indicating their great potential for clinical use as bone
substitutes.
PMID- 29364343
TI - Randomized clinical trial of encapsulated and hand-mixed glass-ionomer ART
restorations: one-year follow-up.
AB - This prospective, randomized, split-mouth clinical trial evaluated the clinical
performance of conventional glass ionomer cement (GIC; Riva Self-Cure, SDI),
supplied in capsules or in powder/liquid kits and placed in Class I cavities in
permanent molars by the Atraumatic Restorative Treatment (ART) approach. A total
of 80 restorations were randomly placed in 40 patients aged 11-15 years. Each
patient received one restoration with each type of GIC. The restorations were
evaluated after periods of 15 days (baseline), 6 months, and 1 year, according to
ART criteria. Wilcoxon matched pairs, multivariate logistic regression, and Gehan
Wilcoxon tests were used for statistical analysis. Patients were evaluated after
15 days (n=40), 6 months (n=34), and 1 year (n=29). Encapsulated GICs showed
significantly superior clinical performance compared with hand-mixed GICs at
baseline (p=0.017), 6 months (p=0.001), and 1 year (p=0.026). For hand-mixed GIC,
a statistically significant difference was only observed over the period of
baseline to 1 year (p=0.001). Encapsulated GIC presented statistically
significant differences for the following periods: 6 months to 1 year (p=0.028)
and baseline to 1 year (p=0.002). Encapsulated GIC presented superior cumulative
survival rate than hand-mixed GIC over one year. Importantly, both GICs exhibited
decreased survival over time. Encapsulated GIC promoted better ART performance,
with an annual failure rate of 24%; in contrast, hand-mixed GIC demonstrated a
failure rate of 42%.
PMID- 29364344
TI - Psychopathological profile and quality of life of patients with oral lichen
planus.
AB - Oral lichen planus (OLP) is a chronic, multifocal, sometimes painful,
inflammatory disease of the oral mucosa. OLP can predispose development of psycho
emotional disorders. Until now, the relationship between the severity of lichen
planus and the psychological profile of patients (psychological well-being,
perceived stress and pain coping strategies) has never been studied. Study was
conducted on 42 OLP patients. Number of sites involved, severity and activity
score of OLP were evaluated. Psychological tests were used to evaluate patients'
psycho-emotional condition. The mean duration time of symptomatic OLP was 43
months. We detected that the longer the duration of subjective symptoms, the
poorer the quality of life and the higher the level of perceived stress (PSS).
Also, the higher the PSS results, the greater the anxiety and depression on
Hospital Anxiety and Depression Scale (HADS). Likewise, higher level of
depression in HADS was strongly correlated with worse quality of life. (p<=0.05).
In this study, we detected a relationship between duration of the disease, level
of perceived stress and quality of life. The longer the disease lasts, the higher
it tends to catastrophize. This may influence development or increase of the
anxiety and depression and may decrease patients' quality of life.
PMID- 29364345
TI - Two mechanisms of oral malodor inhibition by zinc ions.
AB - The aim of this study was to reveal the mechanisms by which zinc ions inhibit
oral malodor. The direct binding of zinc ions to gaseous hydrogen sulfide (H2S)
was assessed in comparison with other metal ions. Nine metal chlorides and six
metal acetates were examined. To understand the strength of H2S volatilization
inhibition, the minimum concentration needed to inhibit H2S volatilization was
determined using serial dilution methods. Subsequently, the inhibitory activities
of zinc ions on the growth of six oral bacterial strains related to volatile
sulfur compound (VSC) production and three strains not related to VSC production
were evaluated. Aqueous solutions of ZnCl2, CdCl2, CuCl2, (CH3COO)2Zn,
(CH3COO)2Cd, (CH3COO)2Cu, and CH3COOAg inhibited H2S volatilization almost
entirely. The strengths of H2S volatilization inhibition were in the order Ag+ >
Cd2+ > Cu2+ > Zn2+. The effect of zinc ions on the growth of oral bacteria was
strain-dependent. Fusobacterium nucleatum ATCC 25586 was the most sensitive, as
it was suppressed by medium containing 0.001% zinc ions. Zinc ions have an
inhibitory effect on oral malodor involving the two mechanisms of direct binding
with gaseous H2S and suppressing the growth of VSC-producing oral bacteria.
PMID- 29364346
TI - Debris extrusion and foraminal deformation produced by reciprocating instruments
made of thermally treated NiTi wires.
AB - To evaluate the amount of apically extruded debris, percentage of foraminal
enlargement and apical foramen (AF) deformation that occurred during root canal
preparation with different reciprocation systems: Reciproc, WaveOne (M-Wire), and
ProDesign R (Shape Memory Technology Wire) at two different working lengths
(WLs): 0.0 and 1.0 mm beyond the AF. The AF of 120 root canals in 60 mesial roots
of mandibular molars were photographed with stereomicroscope and randomly
assigned into four groups: manual, Reciproc (REC), WaveOne (WO), and ProDesign R
(PDR); subsequently, they were further subdivided according to the WL (n=15).
Teeth were instrumented, coupled to a dual collecting chamber, and then another
photograph of each AF was captured. Extrusion was analysed by determining the
weight of extruded debris. Each AF diameter was measured in pre- and post
instrumentation images to determine deformation, which was analysed, and
afterwards the final format of AFs was classified (circular/oval/deformed). We
found no significant differences when analysing each system at different WLs.
When considering each WL, REC and WO showed highest extrusion values (P<.05); for
AF enlargement, differences were observed only for WO, when it was used beyond
the AF; differences were observed among M-Wire groups beyond the AF (P<.05). AF
deformation was observed in all groups; PDR showed the lowest AF deformation
values at both WLs; M-Wire groups showed 50% strain beyond the AF. Authors
concluded that beyond the apical limit, the alloy and taper are important aspects
when considering extrusion and deformation.
PMID- 29364347
TI - The Challenge of Eight Years as Arquivos Brasileiros de Cardiologia Editor-in
Chief.
PMID- 29364348
TI - Potential of Family Health Strategy Against Cardiovascular Disease.
PMID- 29364350
TI - Bone-Marrow-Derived Mesenchymal Stromal Cells (MSC) from Diabetic and Nondiabetic
Rats Have Similar Therapeutic Potentials.
AB - BACKGROUND: Diabetes mellitus is a severe chronic disease leading to systemic
complications, including cardiovascular dysfunction. Previous cell therapy
studies have obtained promising results with the use bone marrow mesenchymal
stromal cells derived from healthy animals (MSCc) in diabetes animal models.
However, the ability of MSC derived from diabetic rats to improve functional
cardiac parameters is still unknown. OBJECTIVES: To investigate whether bone
marrow-derived MSC from diabetic rats (MSCd) would contribute to recover
metabolic and cardiac electrical properties in other diabetic rats. METHODS:
Diabetes was induced in Wistar rats with streptozotocin. MSCs were characterized
by flow cytometry, morphological analysis, and immunohistochemistry. Cardiac
electrical function was analyzed using recordings of ventricular action
potential. Differences between variables were considered significant when p <
0.05. RESULTS: In vitro properties of MSCc and MSCd were evaluated. Both cell
types presented similar morphology, growth kinetics, and mesenchymal profile, and
could differentiate into adipogenic and osteogenic lineages. However, in an assay
for fibroblast colony-forming units (CFU-F), MSCd formed more colonies than MSCc
when cultured in expansion medium with or without hydrocortisone (1 uM). In order
to compare the therapeutic potential of the cells, the animals were divided into
four experimental groups: nondiabetic (CTRL), diabetic (DM), diabetic treated
with MSCc (DM + MSCc), and diabetic treated with MSCd (DM + MSCd). The treated
groups received a single injection of MSC 4 weeks after the development of
diabetes. MSCc and MSCd controlled hyperglycemia and body weight loss and
improved cardiac electrical remodeling in diabetic rats. CONCLUSIONS: MSCd and
MSCc have similar in vitro properties and therapeutic potential in a rat model of
diabetes induced with streptozotocin.
PMID- 29364349
TI - Characterization of Cardiopulmonary Exercise Testing Variables in Patients with
Endomyocardial Fibrosis after Endocardial Resection.
AB - BACKGROUND: Endomyocardial fibrosis (EMF) is a rare disease, characterized by
diastolic dysfunction which leads to reduced peak oxygen consumption (VO2).
Cardiopulmonary exercise testing (CPET) has been proved to be a fundamental tool
to identify central and peripheral alterations. However, most studies prioritize
peak VO2 as the main variable, leaving aside other important CPET variables that
can specify the severity of the disease and guide the clinical treatment.
OBJECTIVE: The aim of this study was to evaluate central and peripheral
limitations in symptomatic patients with EMF by different CPET variables.
METHODS: Twenty-six EMF patients (functional class III, NYHA) were compared with
15 healthy subjects (HS). Functional capacity was evaluated using CPET and
diastolic and systolic functions were evaluated by echocardiography. RESULTS: Age
and gender were similar between EMF patients and HS. Left ventricular ejection
fraction was normal in EMF patients, but decreased compared to HS. Peak heart
rate, peak workload, peak VO2, peak oxygen (O2) pulse and peak pulmonary
ventilation (VE) were decreased in EMF compared to HS. Also, EMF patients showed
increased Delta heart rate /Delta oxygen uptake and Delta oxygen uptake /Delta
work rate compared to HS. CONCLUSION: Determination of the aerobic capacity by
noninvasive respiratory gas exchange during incremental exercise provides
additional information about the exercise tolerance in patients with EMF. The
analysis of different CPET variables is necessary to help us understand more
about the central and peripheral alterations cause by both diastolic dysfunction
and restrictive pattern.
PMID- 29364351
TI - Lung Ultrasound: The Cardiologists' New Friend.
PMID- 29364352
TI - Case 6/2017 - A 28-Year-Old Man with Anasarca And Restrictive Heart Disease.
PMID- 29364353
TI - Superior Vena Cava Syndrome after Radiofrequency Catheter Ablation for Atrial
Fibrillation.
PMID- 29364354
TI - Diagnosis and Treatment of Rare Complication after Endomyocardial Biopsy.
PMID- 29364355
TI - Cardiac Autonomic Functions May be Influenced by Body WeightReplySensitivity,
specificity and predictive value of heart rate variability indices in type 1
diabetes mellitusGeometric indexes of heart rate variability in obese and
eutrophic childrenFractal correlation of heart rate variability in obese
childrenAnalysis of cardiac autonomic modulation in obese and eutrophic
childrenImpact of obesity on autonomic modulation, heart rate and blood pressure
in obese young people.
PMID- 29364356
TI - Nascer no Brasil: the presence of a companion favors the use of best practices in
delivery care in the South region of Brazil.
AB - OBJECTIVE To analyze if the presence of a companion favors the use of best
practices in the delivery care in the South region of Brazil. METHODS This is a
cross-sectional analysis of the longitudinal study Nascer no Brasil. We analyzed
data from 2,070 women from the South region of Brazil who went into labor. The
data were collected between February and August 2011, by interviews and medical
records. We performed a bivariate and multivariate analysis, calculating the
crude and adjusted prevalence ratios using Poisson regression with robust
variance estimation. The level of significance adopted was 5%. RESULTS Most women
had a companion during labor (51.7%), but few remained during delivery (39.4%) or
cesarean section (34.8%). Less than half of the women had access to several
recommended practices, while non-recommended practices continue to be performed.
In the model adjusted for age, education level, source of payment for the
delivery, parity, and score of the Brazilian Association of Market Research
Institutes, the presence of a companion was statistically associated with a
greater supply of liquids and food (aPR = 1.34), dietary prescription (aPR =
1.34), use of non-pharmacological methods for pain relief (aPR = 1.37), amniotomy
(aPR = 1.10), epidural or spinal analgesia (aPR = 1.84), adoption of non
lithotomy position in the delivery (aPR = 1.77), stay in the same room during
labor, delivery, and postpartum (aPR = 1.62), skin-to-skin contact in the
delivery (aPR = 1.81) and cesarean section (PR = 2.43), as well as reduced use of
the Kristeller maneuver (aPR = 0.67), trichotomy (aPR = 0.59), and enema (aPR =
0.49). CONCLUSIONS In the South region of Brazil, most women do not have access
to the best practices in addition to undergoing several unnecessary
interventions. The presence of a companion is associated with several beneficial
practices and the reduction in some interventions, although other interventions
are not impacted.
PMID- 29364357
TI - Effectiveness of a web-based intervention in reducing binge drinking among
nightclub patrons.
AB - OBJECTIVE To evaluate the effectiveness of a web-based intervention in reducing
binge drinking among nightclub patrons after six months. METHODS We carried out a
website survey with probabilistic sample in 31 nightclubs in the city of Sao
Paulo, Brazil, which originated a randomized controlled trial with 1,057
participants. Those classified as problem drinkers (n = 465) using the Alcohol
Use Disorders Identification Test were randomized into two study groups -
intervention and control. The web-based intervention consisted of exposing the
participants to a normative feedback screen about their alcohol consumption,
characterizing the risks associated with amount consumed, money spent on drinks,
drinking and driving, risk classification of Alcohol Use Disorders Identification
Test, and tips to reduce damage. RESULTS There was a significant reduction in the
practice of binge drinking in the week estimated at 38% among participants in the
intervention group after six months (p < 0.05). However, there was no significant
reduction in the outcomes when we analyzed the intervention and control groups
and at baseline and after sixth months, simultaneously. CONCLUSIONS We cannot
conclude that digital tools reduce the pattern of binge drinking among party
goers in Sao Paulo. More studies are needed with this methodology because of its
attractiveness to this type of group, given the privacy and speed that
personalized information is transmitted.
PMID- 29364359
TI - Monitoring and treating fetuses with gastroschisis using the Svetliza
Reducibility Index (SRI) and the EXIT-like procedure - a novel approach.
PMID- 29364358
TI - Spanish adaptation and validation of the supportive & palliative care indicators
tool - SPICT-ESTM.
AB - OBJECTIVE To culturally adapt and validate the SPICTTM to Spanish, which is a
brief and simple tool to support a better identification of chronic patients who
have palliative care needs. METHODS For this study, we designed a multicenter and
national project between the centers of Galicia, Balearic Islands, and Andalusia.
For the process of translation and cross-cultural adaptation of the SPICTTM to
Spanish, we followed the steps proposed by Beaton et al. with successive
translations and subsequent consensus of experts using the debriefing
methodology. After the content validation was completed, the psychometric
properties were validated. A prospective longitudinal study was designed with 188
patients from Galicia, the Balearic Islands, and Andalusia. The internal
consistency and reliability of the test and retest was analyzed for 10 days by
the same researcher. RESULTS For more than 90% of the participants of the SPICT
ESTM, it seems simple to be filled out, and they consider it written in an
understandable language. The average time to apply the questionnaire without
prior knowledge was 4 minutes and 45 seconds. To evaluate the internal
consistency of the instrument, we used the Kuder-Richardson formula 20. Internal
consistency is 0.71. The agreement index of the Kappa test is between 0.983 and
0.797 for the different items. CONCLUSIONS In this study, we demonstrate the
equivalence of content with the original. In addition, the validation of the
psychometric properties establishes that the SPICT-ESTM maintains adequate
reliability and stability. If we add the satisfaction shown by the professionals
and the ease of use, the SPICT-ESTM is an adequate tool for the identification of
palliative patients with chronic diseases and palliative care needs.
PMID- 29364360
TI - Novel multidisciplinary approach to monitor and treat fetuses with gastroschisis
using the Svetliza Reducibility Index and the EXIT-like procedure.
AB - OBJECTIVE: To describe our initial experience with a novel approach to follow-up
and treat gastroschisis in "zero minute" using the EXITlike procedure. METHODS:
Eleven fetuses with prenatal diagnosis of gastroschisis were evaluated. The
Svetliza Reductibility Index was used to prospectively evaluate five cases, and
six cases were used as historical controls. The Svetliza Reductibility Index
consisted in dividing the real abdominal wall defect diameter by the larger
intestinal loop to be fitted in such space. The EXIT-like procedure consists in
planned cesarean section, fetal analgesia and return of the herniated viscera to
the abdominal cavity before the baby can fill the intestines with air. No general
anesthesia or uterine relaxation is needed. Exteriorized viscera reduction is
performed while umbilical cord circulation is maintained. RESULTS: Four of the
five cases were performed with the EXIT-like procedure. Successful complete
closure was achieved in three infants. The other cases were planned deliveries at
term and treated by construction of a Silo. The average time to return the
viscera in EXIT-like Group was 5.0 minutes, and, in all cases, oximetry was
maintained within normal ranges. In the perinatal period, there were significant
statistical differences in ventilation days required (p = 0.0169), duration of
parenteral nutrition (p=0.0104) and duration of enteral feed (p=0.0294).
CONCLUSION: The Svetliza Reductibility Index and EXIT-like procedure could be new
options to follow and treat gastroschisis, with significantly improved neonatal
outcome in our unit. Further randomized studies are needed to evaluate this novel
approach.
PMID- 29364361
TI - Vascular endothelial growth factor gene variations as a risk predictor in disc
degeneration.
AB - OBJECTIVE: To evaluate the frequency of polymorphisms in the vascular endothelial
growth factor (VEGF) gene, as well as to identify a potential risk haplotype
among the polymorphic regions in this gene in patients with disc degeneration and
in the Control Group. METHODS: This study analyzed a total of 217 individuals
distributed into the Disc Degeneration and Control Groups. Peripheral blood was
collected from all patients to detect VEGF gene polymorphisms identified by qPCR
(rs699947, rs1570360, rs2010963, rs833061 and rs3025039). All patients presenting
disc degeneration had the confirmation by nuclear magnetic resonance test and
were rated according to disc degeneration level. RESULTS: All polymorphisms were
in Hardy- Weinberg equilibrium (p>0.05) in the studied population. The genotypic
frequency for Disc Degeneration and Control Group were rs699947 p = 0.475,
rs1570360 p = 0.862, rs2010963 p = 0.823, rs833061 p=0.596 and rs3025039 p=0.230.
In haplotype analysis, the compositions CAGGC (p=0.094) and CCGGC (p=0.054) stood
out. CONCLUSION: The correlation between VEGF gene polymorphism as a risk
predictor for disc degeneration was negative in the studied population. However,
the VEGF gene has a large polymorphic region, and it is activated by various
catabolic and metabolic factors in the disc degeneration process, which has not
been fully elucidated.
PMID- 29364363
TI - Association between active commuting and elevated blood pressure in adolescents.
AB - OBJECTIVE: To analyze the association between active commuting and blood pressure
in adolescents. METHODS: This is a cross-sectional study with high school
students from public education network in the state of Pernambuco, Brazil. Data
from 6039 students (14 to 19 years) were collected using a questionnaire.
"Physically inactive" were considered those who reported not to walk or ride a
bicycle to and from school on any day of the past week, and/or those who,
regardless of the weekly frequency of practice this type of activity, reported
the duration of commuting to school was less than 20 minutes (round trip). The
high blood pressure was obtained by Omron HEM 742 equipment. Adolescents with
high blood pressure were defined as those with higher blood pressure or equal to
the 95th percentile for age, sex and height. Regression logistic analyses were
used to assess the association between active commuting and high blood pressure,
considering adjustments for the following confounders: sex, age, overweight,
total physical activity, socioeconomic level, place of residence. RESULTS: The
prevalence of high blood pressure was 7.3%, and 79.3% were considered
insufficiently active in commuting. There was an association between high blood
pressure and active commuting only among those living in rural areas (OR = 6.498;
95% CI = 1.513-27.900), and the same was not observed among those living in urban
areas (OR = 1.113; 95% CI = 0.812-1.526). CONCLUSION: Active commuting can be
considered a protective factor for high blood pressure in adolescents living in
rural areas.
PMID- 29364362
TI - Do not attempt resuscitation orders at the emergency department of a teaching
hospital.
AB - OBJECTIVE: To identify factors associated with not attempting resuscitation.
METHODS: A cross-sectional study conducted at the emergency department of a
teaching hospital. The sample consisted of 285 patients; in that, 216 were
submitted to cardiopulmonary resuscitation and 69 were not. The data were
collected by means of the in-hospital Utstein Style. To compare resuscitation
attempts with variables of interest we used the chi2 test, likelihood ratio,
Fisher exact test, and analysis of variance (p<0.05). RESULTS: No cardiopulmonary
resuscitation was considered unjustifiable in 56.5% of cases; in that, 37.7% did
not want resuscitation and 5.8% were found dead. Of all patients, 22.4% had
suffered a previous cardiac arrest, 49.1% were independent for Activities of
Daily Living, 89.8% had positive past medical/surgical history; 63.8% were
conscious, 69.8% were breathing and 74.4% had a pulse upon admission. Most events
(76.4%) happened at the hospital, the presumed cause was respiratory failure in
28.7% and, in 48.4%, electric activity without pulse was the initial rhythm. The
most frequent cause of death was infection. The factors that influenced non
resuscitation were advanced age, history of neoplasm and the initial arrest
rhythm was asystole. CONCLUSION: Advanced age, past history of neoplasia and
asystole as initial rhythm were factors that significantly influenced the non
performance of resuscitation. Greater clarity when making the decision to
resuscitate patients can positively affect the quality of life of survivors.
PMID- 29364364
TI - Emergency Severity Index: accuracy in risk classification.
AB - OBJECTIVE: To verify agreement between estimative of predicted resources using
the adapted Emergency Severity Index and the real amount of resources used by
patients. To analyze the variables number of years since graduation, years of
work experience and years of experience in emergency services especially with
accurate anticipation of resources need. METHODS: This retrospective analytical
study with a quantitative approach included 538 medical records of patients
assisted by 11 triage nurses. Data collected were related to assistances carried
out from December 2012 to February 2013. RESULTS: There was no significant
association between the adequacy of the number of resources used, based on
Emergency Severity Index score, number of years since graduation, year of work
experience or years of experience in emergency services. Kappa agreement
coefficient (0.34) showed that agreement was low between predicted and real used
number of resources. CONCLUSION: Nurses' accuracy index to predict resources for
patients care from emergency room using the adapted Emergency Severity Index was
lower than results reported in the studies in the literature that used the
original scale. There was low agreement of diagnostic exams predicted by nurses
and those really performed. There was no association among correct prediction of
resources needed, number of years since graduation, years of experience in
emergency services and years of work experience in the unit where the study was
done.
PMID- 29364365
TI - Self-perceived quality of health and satisfaction by elderly seen by the Family
Health Strategy team.
AB - OBJECTIVE: To verify the profile of elderly followed up by Family Health Strategy
teams and the influence of self-reported chronic diseases on demographic
variables, describing self-perception and satisfaction with quality of health.
METHODS: This is a cross-sectional population- based study carried out with 301
elderly residents in two areas of a city in southern Brazil. Data were collected
through a questionnaire based on the Health, Well-Being, and Aging Study adapted
by researchers, and a playful scale to assess satisfaction with health. For the
statistical analysis, the chi2 test was used (p<0.05). RESULTS: Regarding
cognitive assessment, the majority was classified as independent (86.4%), not
requiring caregiver assistance to answer the questionnaire. The population was
predominantly female (55.8%), White (64.8%), married (51.2%), and catholic
(82.1%). A total of 47.5% considered their current health status as regular.
Regarding satisfaction with health, 72.4% were happy, even reporting having at
least one chronic health problem (58.5%). CONCLUSION: The presence of chronic
diseases was reported by most respondents, and the practice of self-medication is
significantly more frequent among the aged. Nevertheless, the degree of
satisfaction with their health status was predominantly positive, both related to
the previous year and compared to others of the same age.
PMID- 29364366
TI - Urinary beta-trace protein gene expression analysis in type 2 diabetes mellitus
patients.
AB - OBJECTIVE: To evaluate the gene expression of beta-trace protein in urine of
diabetic patients, with no reduction in glomerular filtration rate, which was
defined as below 60mL/min/1.73m2. METHODS: Type 2 diabetes mellitus patients were
recruited, and a group of non-diabetic individuals served as control. Beta-trace
protein gene expression was analyzed by quantitative PCR. Blood samples were
collected to establish glucose levels and baseline kidney function. Accuracy was
analyzed using ROC curves. RESULTS: Ninety type 2 diabetes mellitus patients and
20 non-diabetic individuals were recruited. The area under the curve was 0.601,
sensitivity of 20% and specificity of 89.47%. Among diabetic participants, 18%
showed an expression above the cutoff point. CONCLUSION: These results of
accuracy of beta-trace protein gene expression in urine of diabetic patients are
promising, although they did not achieve a higher area under the curve level.
PMID- 29364367
TI - New therapeutic option in genitourinary syndrome of menopause: pilot study using
microablative fractional radiofrequency.
AB - OBJECTIVE: To evaluate the clinical response of patients with symptoms of
genitourinary syndrome of menopause after application of microablative fractional
radiofrequency in the vagina and vaginal introitus. METHODS: Fourteen patients
with symptoms of genitourinary syndrome of menopause underwent three applications
of microablative fractional radiofrequency with a 30-day interval, using the
Wavetronic 6000HF-FRAXX device and a fractional vaginal electrode. The
questionnaires World Health Organization Quality of Life (for quality of life
evaluation), Female Sexual Function Index and Quality of Life Adapted
Questionnaire in the Domain of Sexual Satisfaction (for sexual function and
satisfaction evaluation) were administered before and after the applications (30
to 60 days after the last procedure), in addition to the satisfaction
questionnaire after procedure. RESULTS: There was an increase in almost all
dimensions on average in quality of life, with statistical significance only in
the health domain. There was a significant improvement in the sexual domains in
almost all dimensions. All patients stopped using lubricant during intercourse
after treatment. In the satisfaction questionnaire after treatment, we observed
that the vast majority felt cured or much better (29% and 64%, respectively,
total of 92.6%) and were very satisfied or satisfied (43 and 57%, respectively,
total of 100%). The only patient who reported little improvement had an 18-year
postmenopausal history and was treatment naive. CONCLUSION: Microablative
fractional radiofrequency was effective in treating symptoms of vaginal dryness
and dyspareunia, and eliminated the use of vaginal lubricant during the period
observed. Since this is a pilot study with a small number of patients, further
studies are required to corroborate our findings and evaluate the long-term
effects of microablative fractional radiofrequency on the vaginal tissue.
PMID- 29364368
TI - Initial experience with single-port robotic hysterectomy.
AB - OBJECTIVE: This article presents the first series of robotic single-port
hysterectomy cases performed at a hospital in Brazil. METHODS: From November 2014
to October 2016, 11 patients were indicated to undergo, and nine of them were
submitted to single-port hysterectomy using da Vinci Single-Site(r) platform.
However, in two patients, due to multiple previous abdominal surgeries, large
uterine volume, and/or a uterus with no mobility, a pneumoperitoneum was
performed with a Verres needle, and the pelvic cavity was assessed using a 5mm
optics endoscope. In these cases, single-port surgery was not recommended;
therefore, multiportal robotic access was chosen, and no intercurrent events were
reported. Nine single-port cases were operated on by the same surgeon at Hospital
Israelita Albert Einstein. Patient data analyzed included age, body mass index,
previous surgeries, and clinical diagnosis. Surgical data included operative
time, skin incision, report of intraoperative complications, need for conversion
to laparotomy, need for transfer to intensive care unit, need for blood
transfusion, inadvertent injury to other organs, length of hospital stay, and
death. RESULTS: All cases were completed with da Vinci Single-Site(r) system,
with no intercurrent events. Four patients presented with adenomyosis as the
surgical indication, two had uterine myoma, one endometrial cancer, one
endometrial polyp, and one desquamative inflammatory vaginitis. The mean age of
patients was 44 years (range, 40 to 54 years), and body mass index varied between
23.4 and 33.2kg/m2 (mean 26.4). No complications occurred in any of the cases,
such as intestinal or bladder injury, bleeding, or the need for a second surgery.
All nine procedures were completed with the robotic single-port access, and no
patient required a blood transfusion. CONCLUSION: Although this study merely
presented an initial series of patients submitted to robotic single-port surgery,
it demonstrated that the method is feasible and safe, suggesting the possible use
of this technique in elective hysterectomy and other gynecological procedures in
the future, as described in large reference centers of advanced surgery
worldwide. Specifically, in gynecological practice, existing evidence on the use
of robot-assisted, single-port surgery seems promising, and although it is not
indicated in all cases, it should be considered as a surgical option.
Nonetheless, further randomized and controlled clinical studies are necessary to
establish the preeminence of robot-assisted, single-port surgery versus single
incision and conventional laparoscopy.
PMID- 29364369
TI - Regulation of adiposity by mTORC1.
AB - Obesity is characterized by an excessive increase in the adipose tissue mass, and
is associated with higher incidence of several chronic metabolic diseases, such
as type 2 diabetes. Therefore, its increasing prevalence is a public health
concern, and it is important to better understand its etiology to develop new
therapeutic strategies. Evidence accumulated over the years indicates that
obesity is associated with a marked activation in adipose tissue of the
mechanistic target of rapamycin complex 1 (mTORC1), a signaling pathway that
controls lipid metabolism, and adipocyte formation and maintenance. Curiously,
mTORC1 is also involved in the control of nonshivering thermogenesis and
recruitment as well as browning of white adipose tissue. In this review, we
explored mTORC1 functions in adipocytes and presented evidence, suggesting that
mTORC1 may either increase or reduce adiposity, depending on the conditions and
activation levels.
PMID- 29364370
TI - Munchausen syndrome and Munchausen syndrome by proxy: a narrative review.
AB - The Munchausen syndrome and Munchausen syndrome by proxy are factitious disorders
characterized by fabrication or induction of signs or symptoms of a disease, as
well as alteration of laboratory tests. People with this syndrome pretend that
they are sick and tend to seek treatment, without secondary gains, at different
care facilities. Both syndromes are well-recognized conditions described in the
literature since 1951. They are frequently observed by health teams in clinics,
hospital wards and emergency rooms. We performed a narrative, nonsystematic
review of the literature, including case reports, case series, and review
articles indexed in MEDLINE/PubMed from 1951 to 2015. Each study was reviewed by
two psychiatry specialists, who selected, by consensus, the studies to be
included in the review. Although Munchausen syndrome was first described more
than 60 years ago, most of studies in the literature about it are case reports
and literature reviews. Literature lacks more consistent studies about this
syndrome epidemiology, therapeutic management and prognosis. Undoubtedly, these
conditions generate high costs and unnecessary procedures in health care
facilities, and their underdiagnose might be for lack of health professional's
knowledge about them, and to the high incidence of countertransference to these
patients and to others, who are exposed to high morbidity and mortality, is due
to symptoms imposed on self or on others.
PMID- 29364371
TI - Comment to: II Brazilian Consensus on the use of human immunoglobulin in patients
with primary immunodeficiencies. einstein (Sao Paulo). 2017;15(1):1-16.
PMID- 29364372
TI - A new way to communicate science in the era of Big Data and citizen science.
PMID- 29364373
TI - Public health policies and scientific evidence.
PMID- 29364374
TI - Thank you very much!
PMID- 29364375
TI - An interview with Mario Polo.
PMID- 29364376
TI - "Maxillary lateral incisor partial anodontia sequence": a clinical entity with
epigenetic origin.
AB - The relationship between maxillary lateral incisor anodontia and the palatal
displacement of unerupted maxillary canines cannot be considered as a multiple
tooth abnormality with defined genetic etiology in order to be regarded as a
"syndrome". Neither were the involved genes identified and located in the human
genome, nor was it presumed on which chromosome the responsible gene would be
located. The palatal maxillary canine displacement in cases of partial anodontia
of the maxillary lateral incisor is potentially associated with environmental
changes caused by its absence in its place of formation and eruption, which would
characterize an epigenetic etiology. The lack of the maxillary lateral incisor in
the canine region means removing one of the reference guides for the eruptive
trajectory of the maxillary canine, which would therefore, not erupt and /or
impact on the palate. Consequently, and in sequence, it would lead to
malocclusion, maxillary atresia, transposition, prolonged retention of the
deciduous canine and resorption in the neighboring teeth. Thus, we can say that
we are dealing with a set of anomalies and multiple sequential changes known as
sequential development anomalies or, simply, sequence. Once the epigenetics and
sequential condition is accepted for this clinical picture, it could be called
"Maxillary Lateral Incisor Partial Anodontia Sequence."
PMID- 29364377
TI - Comparison of airway dimensions in skeletal Class I malocclusion subjects with
different vertical facial patterns.
AB - OBJECTIVE: The aim of this study was to compare upper airway widths among
skeletal Class I malocclusion subjects with different vertical facial patterns.
METHODS: The sample included a total of 99 lateral cephalograms of post pubertal
individuals (18.19 +/- 1.76 years old). The vertical facial pattern was
determined by the Vert index. The McNamara method was used to quantify upper
airway widths. ANOVA test and Student's t test for independent groups were used,
when normal distribution was not supported Kruskal-Wallis test and U-Mann-Whitney
test were used. A multiple linear regression analysis was also performed.
RESULTS: Statistically significant differences in several nasopharyngeal widths
were found among the distinct vertical facial patterns. Subjects with
brachyfacial pattern presented larger nasopharyngeal widths than subjects with
mesofacial (p= 0.030) or dolichofacial (p= 0.034) patterns. The larger the Vert
value, the larger the nasopharyngeal widths (R2= 26.2%, p< 0.001). At the level
of oropharynx no statistically significant differences were found. CONCLUSION: It
was concluded that nasopharyngeal linear anteroposterior widths in Class I
malocclusion brachyfacial are larger than in mesofacial and dolichofacial
individuals. The Vert index only explained 25% of the total variability. No
correlation was found for the oropharyngeal widths.
PMID- 29364378
TI - Plaque index and gingival index during rapid maxillary expansion of patients with
unilateral cleft lip and palate.
AB - OBJECTIVE: To assess, during rapid maxillary expansion, the plaque index (PI) and
the gingival index (GI) of patients with unilateral cleft lip and palate(UCLP)
using Hyrax (HX) or inverted mini-Hyrax (IMHX) rapid maxillary expanders (RME)
considering patients' sex and age. METHODS: PI (Quigley Index modified by Turesky
et al) and GI (Loe and Silness) of 28 UCLP (11 females; 17 males: aged 8 to 15
years) submitted to daily RME activation were assessed before (T0) and 7 (T1), 28
(T2) and 90 (T3) days after activation. Log-linear models and Bonferroni
correction were performed to analyze possible differences in PI and GI between
RME, sexes or age groups over time. RESULTS: Intra-group comparison revealed
significant increases in PI of patients using HX (T0 < T2), IMHX (T0 < T3; T1<
T3), males (T0 < T1; T0 < T2; T0 < T3) or aged 12-15 years (T0 < T1; T0 < T2; T0
< T3), and in GI of patients using IMHX (T0 < T3; T1 < T3), females (T1 < T3; T2
< T3) or aged 12-15 years (T0 < T3; T2 < T3). One inter-group difference in GI
according to patients' age (8-11 < 12-15; T1) was observed. CONCLUSIONS: Since a
single difference between groups was encountered, the results of this study
indicated that PI and GI during maxillary expansion were similar between HX and
IMHX, sexes and the analyzed age groups. Therefore, orthodontists can use these
RME in UCLP patients according to the patient's necessity or their preferences.
PMID- 29364379
TI - Efficiency of compensatory orthodontic treatment of mild Class III malocclusion
with two different bracket systems.
AB - OBJECTIVE: The purpose of this study was to assess the efficiency of compensatory
orthodontic treatment of patients with mild Class III malocclusion with two
preadjusted bracket systems. METHOD: Fifty-six matched patients consecutively
treated for mild Class III malocclusion through compensatory dentoalveolar
movements were retrospectively evaluated after analysis of orthodontic records.
The sample was divided into two groups according to the brackets used: Group 1 =
non-Class III compensated preadjusted brackets, Roth prescription (n = 28); Group
2 = compensated Class III preadjusted brackets, Capelozza III prescription (n =
28). Cephalometric analysis, number of appointments and missed appointments,
months using Class III elastics, and bond/band failures were considered.
Treatment time, Peer Assessment Rating (PAR) index at the beginning (PAR T1) and
end of treatment (PAR T2) were used to calculate treatment efficiency. Comparison
was performed using a MANOVA at p< 0.05. RESULTS: Missed appointments, bond or
band failures, number of months using the Class III intermaxillary elastics, and
cephalometric measurements showed no statistically significant difference (p>
0.05) between groups. Patients treated with Roth brackets had a treatment time 7
months longer (p= 0.01). Significant improvement in the patient's occlusion (PAR
T2-T1) was observed for both groups without difference (p= 0.22). CONCLUSIONS:
Orthodontic brackets designed for compensation of mild Class III malocclusions
appear to be more efficient than non-compensated straight-wire prescription
brackets. Treatment time for Class III patients treated with brackets designed
for compensation was shorter than with Roth prescription and no difference in the
quality of the occlusal outcome was observed. A prospective randomized study is
suggested to provide a deeper look into this subject.
PMID- 29364380
TI - Distances between mandibular posterior teeth and the WALA ridge in Peruvians with
normal occlusion.
AB - OBJECTIVE: The purposes of this investigation were to determine the horizontal
distances between the mandibular posterior teeth and the WALA ridge in a sample
of Peruvians with normal occlusion and to compare them by tooth type, sex, arch
side, and age groups. METHODS: 65 dental casts of subjects with normal occlusion
were collected. Posterior teeth, except for third molars, were evaluated. The
horizontal distances between the occluso-gingival midpoints of the buccal
surfaces (FA points) of each tooth and the WALA ridge were measured using a
modified digital caliper. The values between each different tooth type within the
sample were compared using the ANOVA and Scheffe tests, while comparisons by sex,
arch side and age groups, using the Student's t-test. RESULTS: The mean distances
in the sample was 0.96 mm for first premolars, 1.45 mm for second premolars, 2.12
mm for first molars and 2.55 mm for second molars. Statistically significant
differences between each of the four tooth types were found. There were no
significant differences found between sex, arch side and age groups. CONCLUSION:
The horizontal distances between the mandibular posterior teeth and the WALA
ridge increased progressively from the first premolars to the second molars in
Peruvians with normal occlusion. The WALA ridge was a good landmark to evaluate
the positions of posterior teeth in Peruvians with normal occlusion.
PMID- 29364381
TI - Stability of beta-titanium T-loop springs preactivated by gradual curvature.
AB - OBJECTIVE: Evaluate changes in the force system of T-Loop Springs (TLS)
preactivated by curvature, due to stress relaxation. METHODS: Ninety TLSs
measuring 6 x 10 mm, produced out with 0.017 x 0.025-in TMA(r) wire and
preactived by gradual curvature, were randomly distributed into nine groups
according to time point of evaluation. Group 1 was tested immediately after
spring preactivation and stress relief, by trial activation. The other eight
groups were tested after 24, 48 and 72 hours, 1, 2, 4, 8 and 12 weeks,
respectively. Using a moment transducer coupled to a digital extensometer
indicator adapted to a universal testing machine, the amount of horizontal force,
moment and moment-to-force ratios were recorded at every 0.5 mm of deactivation
from 5 mm of the initial activation, in an interbracket distance of 23 mm.
RESULTS: The horizontal forces decreased gradually among the groups (p< 0.001)
and the moments showed a significant and slow decrease over time among the groups
(p< 0.001). All groups produced similar M/F ratios (p= 0.532), with no influence
of time. CONCLUSIONS: The TLSs preactivated by curvature suffered a gradual
deformation over time, which affected the force system, specifically the moments,
which affected the horizontal forces produced.
PMID- 29364382
TI - Digital models: How can dental arch form be verified chairside?
AB - INTRODUCTION: Plaster dental casts are routinely used during clinical practice to
access maxillary dental arch form and assist on fabrication of individualized
orthodontic archwires. Recently introduced, digital model technology may offer a
limitation for the obtainment of a dental physical record. In this context, a
tool for dental arch form assessment for chairside use is necessary when
employing digital models. In this regard, paper print of the dental arch seems
thus to be useful. METHODS: In the present study, 37 lower arch models were used.
Intercanine and intermolar widths and dental arch length measurements were
performed and compared using plaster dental casts, digital models and paper print
image of the models. Ortho Insight 3D scanner was employed for model
digitalization. RESULTS: No statistically significant differences were noted
regarding the measurements performed on the plaster or digital models (p> 0.05).
Paper print images, however, showed subestimated values for intercanine and
intermolar widths and overestimated values for dental arch length. Despite being
statistically significant (p< 0.001), the differences were considered clinically
negligible. CONCLUSION: The present study suggests that paper print images
obtained from digital models are clinically accurate and can be used as a tool
for dental arch form assessment for fabrication of individualized orthodontic
archwires.
PMID- 29364383
TI - Nonsurgical treatment for a severe anterior and lateral open bite and multiple
congenitally missing teeth: a case report with 4-year follow-up.
AB - This case report describes the treatment of a severe anterior and lateral open
bite combined with multiple congenitally missing teeth. A 10-year-old girl
presented with an open gonial angle, absence of lip sealing, and soft tissue
pogonion retrusion. She had an open bite of 8.5 mm, agenesis of the upper right
and left lateral incisors and the upper left first premolar, and transverse
maxillary deficiency. Nonsurgical treatment was planned aiming at controlling the
vertical pattern, establishing the correct overbite, and closing the spaces on
the upper arch, to provide satisfactory occlusion and facial and dental
esthetics.
PMID- 29364384
TI - Compensatory Class III malocclusion treatment associated with mandibular canine
extractions.
AB - Skeletal Class III malocclusions are ideally treated with orthodontic-surgical
approaches. However, if there are no significant soft tissue implications and the
patient does not want to undergo orthognatic surgery, other treatment options may
be considered. The current case report describes a compensatory alternative for
Class III malocclusion treatment, by means of mandibular canine extractions. This
treatment alternative provided facial profile and occlusal improvement, which
remains stable seven years posttreatment.
PMID- 29364386
TI - Message from the new editors of Arquivos de Neuro-Psiquiatria.
PMID- 29364385
TI - High-intensity laser application in Orthodontics.
AB - INTRODUCTION: In dental practice, low-level laser therapy (LLLT) and high
intensity laser therapy (HILT) are mainly used for dental surgery and
biostimulation therapy. Within the Orthodontic specialty, while LLLT has been
widely used to treat pain associated with orthodontic movement, accelerate bone
regeneration after rapid maxillary expansion, and enhance orthodontic tooth
movement, HILT, in turn, has been seen as an alternative for addressing soft
tissue complications associated to orthodontic treatment. OBJECTIVE: The aim of
this study is to discuss HILT applications in orthodontic treatment. METHODS:
This study describes the use of HILT in surgical treatments such as gingivectomy,
ulotomy, ulectomy, fiberotomy, labial and lingual frenectomies, as well as hard
tissue and other dental restorative materials applications. CONCLUSION: Despite
the many applications for lasers in Orthodontics, they are still underused by
Brazilian practitioners. However, it is quite likely that this demand will
increase over the next years - following the trend in the USA, where laser
therapies are more widely used.
PMID- 29364387
TI - Non-inflammatory cerebrospinal fluid delays the diagnosis and start of
immunotherapy in anti-NMDAR encephalitis.
AB - Anti-N-methyl-D-aspartate receptor (anti-NMDAR) encephalitis is a form of
autoimmune encephalopathy that presents with a wide variety of symptoms,
including neuropsychiatric manifestations. The authors' aim for this study was to
analyze the results of paraclinical studies of patients with a diagnosis of anti
NMDAR encephalitis and the association between symptom onset and diagnosis, and
start of immunotherapy. Retrospective data of 29 patients with anti-NMDAR
encephalitis were gathered and analyzed. Abnormal EEG was found in 27 patients
(93.1%), whereas MRI was abnormal in 19 patients (65.5%). In contrast, an
inflammatory pattern on CSF analysis was found in only 13 patients (44.8%). The
absence of pleocytosis or increased proteins in the CSF was associated with a
longer time from symptom onset to diagnosis and treatment (p = 0.003). The
authors conclude that noninflammatory CSF may delay the correct diagnosis and
start of immunotherapy in anti-NMDAR encephalitis. In the presence of suggestive
clinical features, extensive studies including EEG are recommended.
PMID- 29364388
TI - Multiple sclerosis risk perception and acceptance for Brazilian patients.
AB - The perception of multiple sclerosis (MS) severity and risk associated with
therapies might influence shared decision making in different countries. We
investigated the perception of MS severity and factors associated with risk
acceptance in Brazil in 96 patients with relapsing-remitting MS using a
standardized questionnaire and compared this with two European cohorts. Multiple
sclerosis was perceived as a very severe disease and the risk of developing
progressive multifocal leukoencephalopathy due to natalizumab was seen as
moderate to high. Seventy-six percent considered a risk of 1:1,000, or higher, an
impediment for natalizumab use. Older age was the only variable associated with
higher risk acceptance and our patients showed a more conservative profile than
German and Spanish patients. Our patients perceived MS severity and progressive
multifocal leukoencephalopathy risk similarly to elsewhere, but their willingness
to take risks was more conservative. This should be considered when discussing
therapeutic options and it might have an impact on guideline adaptations.
PMID- 29364389
TI - Medical perception of stroke care conditions in Brazil.
AB - Stroke is currently the second leading cause of death in Brazil. Neurologists'
reports on the absence of adequate resources for stroke care are frequent;
however, there are no objective data on this perception.To assess the perception
of neurologists of stroke care conditions in Brazil. Neurologists from all over
Brazil were surveyed by means of an anonymous questionnaire about the main
shortcomings in stroke care, focusing particularly on physical structure and
infrastructure (diagnostic methods, patient transport, availability of beds,
multi-professional team). The main shortcomings are indicated: the worst
conditions, among all items surveyed, were found in the public sector. In the
private sector, conditions were better. Care conditions are worse in the public
sector with regard to both infrastructure and human resources. Future public
health policies for the prevention and treatment of stroke should be formulated,
taking into consideration neurologists' perceptions.
PMID- 29364390
TI - Anticoagulation in patients with cardiac manifestations of Chagas disease and
cardioembolic ischemic stroke.
AB - To describe anticoagulation characteristics in patients with cardiac
complications from Chagas disease and compare participants with and without
cardioembolic ischemic stroke (CIS). A retrospective cohort of patients with
Chagas disease, using anticoagulation, conducted from January 2011 to December
2014. Forty-two patients with Chagas disease who were using anticoagulation were
studied (age 62.9+/-12.4 years), 59.5% female and 47.6% with previous CIS, 78.6%
with non-valvular atrial fibrillation and 69.7% with dilated cardiomyopathy.
Warfarin was used in 78.6% of patients and dabigatran (at different times) in
38%. In the warfarin group, those with CIS had more medical appointments per
person-years of follow-up (11.7 vs 7.9), a higher proportion of international
normalized ratios within the therapeutic range (57% vs 42% medical appointments,
p = 0.025) and an eight times higher frequency of minor bleeding (0.64 vs 0.07
medical appointments). Patients with Chagas disease and previous CIS had better
control of INR with a higher frequency of minor bleeding.
PMID- 29364391
TI - A Brazilian-Portuguese version of the Kinesthetic and Visual Motor Imagery
Questionnaire.
AB - Motor imagery has emerged as a potential rehabilitation tool in stroke. The goals
of this study were: 1) to develop a translated and culturally-adapted Brazilian
Portugese version of the Kinesthetic and Visual Motor Imagery Questionnaire
(KVIQ20-P); 2) to evaluate the psychometric characteristics of the scale in a
group of patients with stroke and in an age-matched control group; 3) to compare
the KVIQ20 performance between the two groups. Test-retest, inter-rater
reliabilities, and internal consistencies were evaluated in 40 patients with
stroke and 31 healthy participants. In the stroke group, ICC confidence intervals
showed excellent test-retest and inter-rater reliabilities. Cronbach's alpha also
indicated excellent internal consistency. Results for controls were comparable to
those obtained in persons with stroke. The excellent psychometric properties of
the KVIQ20-P should be considered during the design of studies of motor imagery
interventions for stroke rehabilitation.
PMID- 29364392
TI - Thymoquinone reverses learning and memory impairments and brain tissue oxidative
damage in hypothyroid juvenile rats.
AB - In this study, the effect of thymoquinone (TQ) on propylthiouracil (PTU)-induced
memory impairment was investigated in juvenile rats. The rats were grouped into
control, Hypo, Hypo-TQ5 and Hypo-TQ10. Propylthiouracil increased latency time in
the Morris water maze test and decreased delay in entering the dark compartment
in the passive avoidance test. Both 5 mg/kg and 10 mg/kg doses of TQ decreased
latency time in the Morris water maze test and increased delay in entering the
dark compartment in a passive avoidance test. The PTU also increased
malondialdehyde and nitric oxide metabolites in the brain while reduced the thiol
content and superoxide dismutase and catalase activities and serum T4 level. Both
doses of TQ decreased malondialdehyde and nitric oxide metabolites in the brain
while enhanced the thiol content and superoxide dismutase and catalase activities
and serum T4 level. The results of the present study showed that TQ protected
against PTU-induced memory impairments in rats.
PMID- 29364394
TI - Arquivos de Neuro-Psiquiatria: 75 years.
AB - This year marks the 75th year of publication of Arquivos de Neuro-Psiquiatria
(ANP), the official journal of the Brazilian Academy of Neurology and one of the
most important neuroscience journals in Latin America. ANP was initially edited
by Oswaldo Lange, its founder, and subsequently by Antonio Spina-Franca Netto
and, in recent years, by Jose Antonio Livramento and Luis dos Ramos Machado.
PMID- 29364393
TI - Autoimmune encephalitis: a review of diagnosis and treatment.
AB - Autoimmune encephalitis (AIE) is one of the most common causes of noninfectious
encephalitis. It can be triggered by tumors, infections, or it may be
cryptogenic. The neurological manifestations can be either acute or subacute and
usually develop within six weeks. There are a variety of clinical manifestations
including behavioral and psychiatric symptoms, autonomic disturbances, movement
disorders, and seizures. We reviewed common forms of AIE and discuss their
diagnostic approach and treatment.
PMID- 29364395
TI - Searching for neurological diseases in the Julio-Claudian dynasty of the Roman
Empire.
AB - The gens Julia was one of the oldest families in ancient Rome, whose members
reached the highest positions of power. They made history because Julius Caesar,
perpetual dictator, great-uncle of the first emperor, Augustus, passed his name
on to the Julio-Claudian dynasty with the emperors Tiberius, Caligula, Claudius
and Nero. Descriptions of the diseases of these emperors and some of his family
members may indicate diagnoses such as epilepsy, dystonia, dementia,
encephalitis, neurosyphilis, peripheral neuropathies, dyslexia, migraine and
sleep disorders. In the historical context of ancient Rome, the possibility of
infectious diseases related to the libertine way of life is quite large. However,
there is a possibility that some of these diseases occurred from genetic
transmission.
PMID- 29364396
TI - "Acute Dupuytren's contracture": the answer is not always in your hands.
PMID- 29364397
TI - Proximal limb weakness and amyotrophy in a man with silicosis.
PMID- 29364398
TI - Delayed hemiparkinsonism after closed head injury.
PMID- 29364399
TI - Rapidly progressive subacute motor neuronopathy disclosing type B2 thymoma.
PMID- 29364400
TI - Incidence of Guillain-Barre syndrome among Zika virus infected cases: a report
from Thailand.
PMID- 29364401
TI - [Toward eradication of anemia in Peru].
PMID- 29364402
TI - [Barriers and Facilitators of Micronutrient Powder Supplementation: Maternal
Perceptions and Dynamics of Health Services].
AB - OBJECTIVES: To explore the barriers and facilitators of micronutrient powder
(MNP) supplementation to mothers of children aged 6 to 35 months living in the
Peruvian cities of Tacna, Loreto, Puno, and Lima. MATERIALS AND METHODS: This
qualitative exploratory study with a grounded theory approach was conducted in
the Peruvian cities of Lima, Tacna, Loreto, and Puno in 2014. In-depth interviews
were conducted with mothers of children younger than 3 years, and observations in
health care facilities (HCFs) were made to determine the barriers and
facilitators of MNP supplementation. RESULTS: The barriers identified at the
health care level were limited access to HCFs, negative rumors regarding the MNP
in the clinics, and poor care by health personnel. The barrier at the product
(MNP) level was the lack of knowledge about the supplement, including taste and
side effects. The barrier at the maternal level was lack of time, neglect,
difficulties in preparing the MNP, and opposition to the use of the MNP by the
husband. The main facilitators were receiving information from health personnel
regarding child improvement, mother's perception of child's improvement, and
positive testimonies about the effectiveness of the MNP from relatives and
neighbors. CONCLUSIONS: Barriers regarding MNP use at the health care system
level and those associated with the behavior mothers and family/community, which
prevent children from consuming the MNP supplement daily, need to be addressed
through the development of strategies. Strategies must be developed to overcome
the barriers related to the health care system, the MNP, and the behavior of
children, mothers, and family/community because these barriers prevent children
from consuming the MNP daily.
PMID- 29364403
TI - [Effect of Rest Pauses Combined with Information Leaflets on the Decrease in
Musculoskeletal Pain in Administrative Workers].
AB - OBJECTIVES: To assess the effect of work pauses combined with information
leaflets on the reduction of musculoskeletal pain in administrative workers of a
public institution in Lima, Peru. MATERIALS AND METHODS: This quasi-experimental
study was conducted in two offices of a public institution and included an
intervention group and a non-randomized control group. The intervention group (n
= 135) was provided with information leaflets once a week, in addition to a
program of rest pauses consisting of breathing exercises, stretching, and
encouragement. The control group (n = 127) received information leaflets once a
week. To assess outcomes, the rate and intensity of musculoskeletal pain over the
previous 7 days were measured. RESULTS: The mean age of participants was 38
years. The most affected body regions were the neck and lumbar spine. In the
intervention group, the rate of musculoskeletal pain was reduced by 20% in the
neck (p<0.001) and 17% in the lumbar spine (p<0.001) over the previous 7 days. In
the control group, the rate of musculoskeletal pain was decreased only in the
lumbar spine (p=0.029). The intervention group reported less pain in all
evaluated body regions (p<0.05). CONCLUSIONS: Rest pauses combined with
information leaflets resulted in decreased musculoskeletal pain in administrative
workers, indicating that this strategy can be implemented in public and private
institutions.
PMID- 29364404
TI - [Prevention of Maternal-Child Transmission of HIV in Pregnant Women and Mothers
of the Awajun and Wampis Communities in the Amazon Region of Peru].
AB - OBJECTIVES: To identify the barriers that limit compliance with the prevention of
mother-to-child transmission (PMTCT) of HIV measures in two indigenous
communities of the Amazon region of Peru. MATERIALS AND METHODS: Qualitative
study with a phenomenological approach. Semi-structured interviews were conducted
with pregnant women and mothers of children younger than 1 year of the awajun and
wampis indigenous communities diagnosed with HIV in the period 2014-2015.
RESULTS: The study sample included 15 of 29 eligible women and 87% were Awajun.
Limitations and possible negative effects were observed in cases in which
sanitary measures for PMTCT were imposed. Considering their knowledge of
diseases, including the presence of symptoms and disabilities, many women from
these communities do not believe they are infected with HIV and consider the
diagnosis a lie or relate the diagnosis to harm, and these beliefs limit their
compliance with medical indications and decrease their trust in health services.
In addition, the women believe that their children will inevitably be born sick
and will die soon, and thus consider cesarean sections and child care a futile
effort. Other factors influencing the successful implementation of PMTCT measures
include language barriers, remoteness of health centers, and the fear of judgment
and moral condemnation by the local community. CONCLUSIONS: PMTCT measures
challenge the customs, values, and beliefs of pregnant women and mothers in the
Awajun and Wampis indigenous communities, and thus these measures are not
understood or accepted, jeopardizing the relationship of the mothers with health
personnel. Therefore, cultural factors and interventions appropriate to this
population must be better understood.
PMID- 29364406
TI - [Epidemiological Clinical Characteristics of Acute Cardiac Insufficiency in a
Tertiary Hospital in Lima, Peru].
AB - The objective of this study was to describe the clinical and epidemiological
characteristics of acute heart failure (AHF) in 1,075 patients from a hospital in
Lima, Peru. The average patient age was 74 years and 55% of study subjects were
men. Moreover, 39% of patients in the sample had AHF with low ejection fraction,
15% had intermediate ejection fraction, and 46% had preserved ejection fraction.
The most common comorbidities were hypertension (52.6%) and coronary disease
(51%). Moreover, 29.2% of patients had previous hospitalizations due to AHF. The
median hospital stay was 3 days. The rate of in- hospital mortality from all
causes was 7.2%. Hospitalization was more common in elderly patients with
multiple comorbidities. The low use of prescription drugs together with the high
rate of previous hospitalizations may explain the high mortality rate reported in
this study.
PMID- 29364405
TI - [Antiurolithic Activity of the Ethanolic Extract of Ayacuchano Propolis in Rats].
AB - OBJECTIVES: To determine the antiurolytic activity of the ethanolic extract of
Ayacuchan propolis in a preventive model of urolithiasis in rats. MATERIALS AND
METHODS: A total of 45 male Sprague-Dawley albino rats were studied. The
antiurolithic effect was analyzed in five groups of six animals each: blank,
control (treated with 1 mL of 5% ethylene glycol and 1 mL of 5% ammonium
chloride), and three experimental groups (treated with the ethanol extract of
propolis at a daily dose of 250, 350, and 500 mg/kg, respectively). The drugs
were administered by orogastric cannulation for 16 days. The diuretic effect was
evaluated in 15 rats distributed in five groups: blank, control (treated with
furosemide at 20 mg/kg), and three experimental groups (treated with the ethanol
extract of propolis at daily doses of 250, 350, and 500 mg/kg, respectively).
Urinary pH, urinary density, and sedimentation of calcium oxalate were measured.
The presence of kidney stones was evaluated by examination of hematoxylin-eosin
stained histopathological sections under polarized light. RESULTS: The ethanolic
extract of Ayacuchan propolis caused significant changes in the levels of uric
acid, serum lactate dehydrogenase, pH, and urinary density in the three dose
groups. The results of histological analysis indicated a lower presence of
calcium oxalate crystals in kidney tubular cells in the group treated with 250
mg/kg. The diuretic effect in the group treated with 250 mg/kg was higher than
that in the control group. CONCLUSIONS: The ethanolic extract of Ayacuchan
propolis demonstrated antiurolytic activity in a preventive rat model of
urolithiasis.
PMID- 29364407
TI - [Colonization by Enterococcal Strains Resistant to Vancomycin in Patients from a
Hospital in Lima, Peru].
AB - This cross-sectional study was conducted from November to December of 2013 at the
Cayetano Heredia National Hospital in Lima, Peru, to determine the rate of
infection with vancomycin-resistant enterococcus (VRE), the resistance genotype,
and associated factors. The rate of infection with VRE was 6.2% (95% confidence
interval [CI]: 1.67-10.73) and the resistance genotype isolated from all strains
was the vanA gene. The factors associated with colonization with VRE were
previous hospitalizations (p = 0.001) and the use of third-generation
cephalosporins (p = 0.016). In conclusion, perianal colonization with VRE is
present in many hospital services. Moreover, the vanA gene may cause resistance
to vancomycin and promote the development of vancomycin-resistant Staphylococcus
aureus. Therefore, infection control measures should be adopted to prevent the
dissemination of this bacterial strain in hospital settings.
PMID- 29364408
TI - [Concentration of Malondialdehyde in Subjects Living at High Altitudes:
Exploratory Study].
AB - The objective of this study was to determine the levels of malondialdehyde (MDA),
an oxidative stress biomarker, in individuals living at high altitudes (3500-4200
m). This exploratory study evaluated 91 subjects from La Paz and El Alto using a
questionnaire and clinical examination. MDA levels were measured using a
colorimetric technique. Measures of central tendency, dispersion, and percentages
were used to describe data, and the Mann-Whitney test and Kruskal-Wallis test
were used to assess differences between the sexes, age groups, and altitudes. The
median concentration of MDA was 4.1 MUmol/L (RIC 2.4-5.4) and the highest
concentration of MDA was found in individuals older than 40 years. The average
MDA levels were higher than those found at sea level, a result we believe is due
to the effect of hypoxia. However, there were no differences in the MDA levels
between the sexes or age groups.
PMID- 29364409
TI - [Biomarkers of Metabolism and Iron Nutrition].
AB - Iron deficiency anemia is the most common nutritional deficiency worldwide, and
the most susceptible groups are infants, preschoolers, women of childbearing age,
and pregnant women. It is therefore essential to understand the mechanisms of
regulation of iron uptake, transport, and absorption at the cellular level,
particularly in enterocytes, and to identify blood biomarkers that allow the
evaluation of iron status. This review describes how iron absorption is regulated
by intestinal epithelial cells, the main proteins involved (iron transporters,
oxidoreductases, storage proteins), and the main blood biomarkers of iron
metabolism.
PMID- 29364410
TI - [Recommendations for Interventions with Iron Supplements: Lessons Learned in a
Community Trial in Four Regions of Peru].
AB - Iron supplementation programs, despite evidence of their effectiveness in
controlled conditions, are not effective as largescale interventions in health
services because of the necessary involvement during execution of a series of
processes that, when not fulfilled, limit the implementation of the program. In
many cases, the lack of impact of interventions to provide iron supplements is
the result of failures or deviations from execution rather than flaws in the
intervention design (theory of change) or intervention strategy. The objective of
this study was to share the lessons learned in the execution of a community trial
in 2015 to improve adherence to micronutrient powder supplementation in children
younger than 3 years in four regions of Peru, as well as to provide
recommendations on iron supplementation in children to help close gaps in the
design and execution of public policies.
PMID- 29364411
TI - [Prevention, Diagnosis, and Treatment of Pediatric Infections During Natural
Disasters].
AB - The objective of this review was to provide guidelines regarding the diagnosis,
treatment, and prevention of the most common infectious diseases in children
during natural disasters, including floods such as those that occurred in the
summer of 2017 in Peru. This instrument should be used by physicians and health
personnel working in primary health care. The management of diarrheal,
respiratory, skin, and eye diseases, vector-transmitted diseases such as dengue,
chikungunya, and Zika, and zoonoses such as leptospirosis is discussed. Emphasis
is placed on the essential aspects of health education for the population,
particularly preventive health, including the use of potable water,
breastfeeding, immunization, hand washing, and vector control.
PMID- 29364412
TI - [Use of Deliberative Dialogues for the Formulation of Recommendations for
Clinical Practice Guidelines].
AB - This study reports the experience obtained by the National Institute of Health of
Peru in the use of deliberative dialogues for the formulation of recommendations
as one of the stages of the process of adaptation of evidence-based clinical
practice guidelines using the Grading of Recommendations, Assessment,
Development, and Evaluations (GRADE) system. Using a multidisciplinary approach,
this study describes: 1. the public health problems addressed in the dialogues;
2. the role of the involved parties; 3. the parameters that were considered
before and during the discussions; 4. the challenges for optimizing these
dialogues and stimulating the interaction between scientific evidence and the
added value provided by the involved parties through their experiences,
perspectives, and knowledge for the development of recommendations in health.
PMID- 29364413
TI - [Post-Traumatic Stress Disorder in a Population Affected by Floods Caused by El
Nino in Peru].
PMID- 29364414
TI - [Rights and Duties of Citizens: Health Users and Health Workers].
PMID- 29364415
TI - [Childhood anemia: challenges and opportunities for 2021].
PMID- 29364416
TI - [Contextualization of sexual initiation and individual barriers to the use of
contraceptives by adolescents of Lima, Huamanga, and Iquitos].
AB - OBJECTIVES. : To contextualize sexual initiation and barriers to the use of
contraceptives by adolescents as well as the acquisition of adequate knowledge
regarding contraception and sexually transmitted diseases. MATERIALS AND METHODS.
: This quantitative and qualitative study evaluated adolescents living in three
cities with high pregnancy rates in Peru: Iquitos, Huamanga, and Lima. Subjects
were invited to participate in the study via Facebook. Questionnaires were then
used to select adolescents who met the inclusion criteria, and interviews were
conducted to obtain detailed data regarding the study topic. RESULTS. : A total
of 33 women and 23 men aged 18 to 19 years participated in the study. The age of
onset of sexual activity ranged from 14 to 16 years; the main reasons for sexual
initiation were sexual desire among men and pressure from the partner among
women; in most cases, the sexual partner was an occasional companion for men and
a boyfriend for women. The barriers related to the use of contraceptives were the
financial stability of the partner, responsibility of the female partner when
using contraceptives, limited capacity to negotiate choices, fear of abandonment
by the male partner for demanding the use of contraceptives, and the reduction of
sexual sensitivity with the use of condoms. CONCLUSIONS.: The barriers identified
in this study limit the use of contraceptives and indicate the need to develop
specific strategies to strengthen the interpersonal skills of adolescents and
provide accurate information about the risks of unprotected sex.
PMID- 29364417
TI - [Factors associated with slow walking speed in older adults of a district in
Lima, Peru].
AB - OBJECTIVES.: To determine the factors associated with slow walking speed in older
adults living in a district of Lima, Peru. MATERIALS AND METHODS.: Analysis of
secondary data. Adults older than 60 years were included in the study, while
adults with physical conditions who did not allow the evaluation of the walking
speed were excluded. The dependent variable was slow walking speed (less than 1
m/s), and the independent variables were sociodemographic, clinical, and
geriatric data. Raw and adjusted prevalence ratios (PR) were calculated with 95%
confidence intervals (95% CI). RESULTS.: The study sample included 416 older
adults aged 60 to 99 years, and 41% of the participants met the slow walking
speed criterion. The factors associated with slow walking speed in this sample
were female gender (PR, 1.45; 95% CI, 1.13-1.88), age > 70 years (PR, 1.73; 95%
CI, 1.30- 2.30), lower level of education (PR, 2.07, 95% CI, 1.20-3.55), social
familial problems (PR, 1.66; 95% CI, 1.08-2.54), diabetes mellitus (PR, 1.35; 95%
CI, 1.01-1.80), and depression (PR, 1.41; 95% CI, 1.02-1.95). CONCLUSIONS.: The
modifiable factors associated with slow walking speed in older adults included
clinical and social-familial problems, and these factors are susceptible to
interventions from the early stages of life.
PMID- 29364418
TI - [Antibiotic resistance and distribution of serotypes of invasive pneumococcal
strains isolated from hospitalized adults in Lima, Peru].
AB - OBJECTIVES. : To describe the clinical characteristics, antibiotic resistance,
and distribution of serotypes of bacterial strains that cause invasive
pneumococcal disease (IPD) in adults. MATERIALS AND METHODS. : Case series.
Pneumococcal strains were isolated from 2009 to 2011 from hospitalized adult
patients with IPD in five hospitals and two laboratories located in Lima.
RESULTS.: The analysis of data from 43 patients with IPD indicated that 58.2%
were older than 60 years. The most common complications were pneumonia (39.5%),
meningitis (30.2%), bacteremia (13.9%), peritonitis (11.6%), and septic arthritis
(4.8%). The mortality rate was 28.9%, and 72.7% of cases involved patients older
than 60 years. The pneumococcal strains were resistant to the following
antibiotics: penicillin, 0% and 30.8% in non-meningitis and meningitis strains,
respectively; ceftriaxone, 4.5% and 16.7% in non-meningitis and meningitis
strains, respectively; trimethoprim/sulfamethoxazole, 69.0%; and erythromycin,
35.7%. The most common serotypes were 19F, 23F, 6B, 14, and 6C. The percentage of
vaccine strains was 44.2% for the 7-valent conjugate pneumococcal vaccine (PCV7)
and PCV10, 51.2% for PCV13, and 60.4% for the 23-valent polysaccharide vaccine
(PPV23). CONCLUSIONS.: Pneumococcus is an important pathogen in adults,
particularly in older adults, owing to its high mortality rate.
PMID- 29364419
TI - [Prevalence of latent tuberculosis infection in health workers from primary
health care centers in Lima, Peru].
AB - To evaluate the risk of tuberculosis (TB) infection, the General Directorate of
Epidemiology of the Ministry of Health developed a sentinel surveillance system
in health centers located in the Constitutional Province of Callao. This
surveillance system included the diagnosis of latent tuberculosis infection (LTI)
using interferon gamma release assays. The objective of the present study was to
estimate the prevalence of LTI in health workers in a region with a high burden
of TB. The prevalence of LTI in health workers was 56%. The prevalence of LTI was
63% in workers with more than 10 years of service and 58-60% in workers with more
than 35 years of service. The prevalence of LTI in health workers from primary
health care centers was high, and longer service time was one of the main risk
factors.
PMID- 29364421
TI - [Association between aerobic capacity and quality of life in older adults in
Colombia].
AB - The objective of this study was to determine the association of aerobic capacity
with health-related quality of life in 121 subjects using the modified Bruce
protocol as a predictor of maximum oxygen consumption (VO2 maximum) and the SF-36
quality of life questionnaire. The average maximum VO2 was 26.56 +/- 12.67
mL/kg/min for men and 25.36 +/- 10.72 mL/kg/min for women. There was a
significant association between sex and maximum VO2 (p = 0.018). Physical
function and social function were the variables with the highest average values
in the total sample, and aerobic capacity explained 15.3% of the physical
function. In conclusion, low maximum VO2 was found in both sexes, and there was a
significant association of maximum VO2 with physical function, physical
performance, general health, vitality, and social function.
PMID- 29364420
TI - [Multiresistance and factors associated with the presence of extended-spectrum
beta-lactamases in Escherichia coli strains isolated from urine culture].
AB - The empirical treatment of urinary infections is complicated by the presence of
multiresistance and resistance to extendedspectrum beta-lactamases (ESBLs). The
objective of this study was to describe the patterns of antibiotic resistance of
Escherichia coli strains isolated from urine cultures and the clinical
epidemiological factors associated with the presence of ESBLs in a pediatric and
an adult group. A total of 353 strains were collected from the Emergency and
Hospitalization Sector of the Cayetano Heredia Hospital over 14 months, and 45.9%
of the isolated strains were multiresistant. The rate of resistance to ESBLs in
the pediatric and adult population was 16.3% and 31.1%, respectively, and 63.6%
of the resistant strains were isolated from outpatients. The presence of ESBLs
was associated with hospitalization in pediatrics, use of diapers, and the
presence of neurogenic bladder in adults. These factors should be considered in
selection of an antibiotic treatment. Moreover, epidemiological reporting
programs and models should be implemented for reduction of risk factors.
PMID- 29364422
TI - [Interventions to prevent the development of overweight and obesity in children
younger than five years].
AB - Childhood obesity is one of the most severe public health problems worldwide. The
present study describes the interventions used to prevent overweight and obesity
in children younger than 5 years. The objective of the interventions was to
stimulate breastfeeding, monitor the child's growth, and promote adequate
complementary feeding by means of nutritional counseling using a responsive
feeding approach in different settings, including health centers and residences.
The interventions included physical activity and nutritional counseling, with the
active participation of the parents. The quality of evidence from most studies
was high because the evidence was derived from controlled clinical trials,
systematic reviews, and meta-analyses. All interventions were conducted or could
be replicated in Peru by adequate contextualization.
PMID- 29364423
TI - [Diagnosis of anemia in populations at high altitudes].
AB - Iron deficiency and overload are risk factors for numerous poor health outcomes,
and thus the maintenance of iron homeostasis is vital. Considering that
hemoglobin contains 70% of the total iron in the body, the World Health
Organization (WHO) recommends the measurement of iron levels to calculate the
rate of iron deficiency anemia (IDA), although WHO recognizes that IDA is not the
only cause of anemia. As hemoglobin increases with altitude, WHO recommends
correcting the cut-off point to define anemia at high altitudes. An objection to
this correction is that the increase in hemoglobin at high altitudes is not
universal and is not linear. In addition, individuals in older age groups have
lower hemoglobin levels than those in younger age groups. In infants, children,
pregnant women, and adults, the prevalence of anemia using corrected hemoglobin
is 3-5 times higher than that using markers of iron status. State programs seek
to control anemia by means of iron supplementation. However, these programs are
ineffective, particularly for high-altitude populations. Therefore, the
occurrence of iron deficiency at high altitudes is controversial. The serum
levels of the hormone hepcidin, which regulates iron availability, are similar in
individuals at high altitudes to those of individuals at sea level, indicating
that iron deficiency does not occur at high altitudes. An additional problem when
correcting hemoglobin at high altitudes is that the frequency of erythrocytosis
is decreased. In conclusion, the correction of the cut-off point of hemoglobin at
high altitudes to determine iron deficiency is inadequate.
PMID- 29364424
TI - [Effect of anemia on child development: long-term consequences].
AB - Anemia in children younger than 3 years is a public health problem in Peru and
worldwide. It is believed that one of the primary causes of anemia is iron
deficiency. Numerous studies and reviews have reported that iron deficiency
limited psychomotor development in children and that, despite the correction of
anemia, children with iron deficiency experienced poorer long-term performance in
cognitive, social, and emotional functioning. These outcomes were reported in
observational studies, follow-up studies, and experimental studies with a control
group. Anemia can decrease school performance, productivity in adult life,
quality of life, and the general income of affected individuals. Here we describe
possible mechanisms underlying the effect of iron deficiency, with or without
anemia, on childhood development. The high rate of anemia in this age group is a
cause for concern. Moreover, anemia should be prevented in the first year of life
to avoid long-term negative effects on individual development.
PMID- 29364425
TI - [Evaluation of electronic health programs in Peru: multidisciplinary approach and
current perspectives].
AB - Electronic health (eHealth) requires a multidisciplinary approach and involves
different areas of knowledge, including medicine, computer science, engineering,
sociology, anthropology, social work, administration, law, and communication
sciences. The assessment of eHealth should consider that information and
communication technologies (ICTs) are only part of the information system of an
organization. Understanding the human factors involved in health systems, the
environment, and the contexts in which ICTs are used in health is essential. The
objectives of this study were to describe the status of the assessment of eHealth
in Peru and to discuss the strategies for multidisciplinary evaluation that
should be considered to achieve the success and sustainability of these
initiatives based on national and international experience.
PMID- 29364426
TI - [Nevoid basal-cell carcinoma syndrome (Gorlin Syndrome): report of two cases and
review of the literature].
AB - Gorlin syndrome (GS) is a genetic disorder with an autosomal dominant inheritance
pattern, with complete penetrance and variable expressivity. GS is caused by
germline mutations in the genes PTCH1 or SUFU, which are components of the Sonic
hedgehog molecular pathway. GS is characterized by the presence of multiple
nevoid basal cell carcinomas, odontogenic cysts, calcification of the brain
sickle, and lesions in the palms and soles. This study is the first to report
cases in Peru of patients with GS who underwent genetic evaluation and
counseling. We present two GS cases that meet the clinical criteria for the
syndrome and review the literature.
PMID- 29364427
TI - [On the need for a taxonomic update].
PMID- 29364428
TI - [Malabsorption of lactose in adolescent members of a football club].
PMID- 29364429
TI - New perspectives for the treatment of Hansen's disease.
PMID- 29364430
TI - Leprosy: current situation, clinical and laboratory aspects, treatment history
and perspective of the uniform multidrug therapy for all patients.
AB - In this review, the most relevant and current epidemiological data, the main
clinical, laboratory and therapeutical aspects of leprosy are presented. Detailed
discussion of the main drugs used for leprosy treatment, their most relevant
adverse effects, evolution of the therapeutic regimen, from dapsone as a
monotherapy to the proposed polychemotherapy by World Health Organization (WHO)
can be found in this CME. We specifically highlight the drug acceptability,
reduction in treatment duration and the most recent proposal of a single
therapeutic regimen, with a fixed six months duration, for all clinical
presentations, regardless of their classification.
PMID- 29364431
TI - Risk factors associated with actinic prurigo: a case control study.
AB - BACKGROUND: Actinic prurigo (AP) is an idiopathic photodermatosis. Although its
initial manifestations can appear in 6 to 8-year-old children, cases are
diagnosed later, between the second and fourth decades of life, when the injuries
are exacerbated. OBJECTIVE: To identify risk factors associated with clinical
manifestations of AP such as skin and mucosal lesions. METHODS: Thirty patients
with AP and 60 controls were included in the study, the dependent variable was
the presence of skin or labial mucosal lesions, the independent variables were
age, sex, solar exposure, living with pets or farm animals, exposure to wood
smoke, smoking habit, years smoking, and hours spent per day and per week in
contact with people who smoke. RESULTS: Of the 30 diagnosed AP patients, 66.7%
were female. Patients age ranged from 7 to 71 years and the mean age was 35.77 +/
14.55 years. We found significant differences with the age and cohabitation with
farm animals. Those who lived with farm animals presented 14.31 times higher
probability of developing AP (95% CI 3-78.06). STUDY LIMITATIONS: This is a case
control study; therefore, a causal relationship cannot be proven, and these
results cannot be generalized to every population. CONCLUSIONS: The
identification of factors related to the development of AP increases our
knowledge of its physiopathology. Moreover, identifying antigens that possibly
trigger the allergic reaction will have preventive and therapeutic applications
in populations at risk of AP.
PMID- 29364432
TI - Effectiveness of syndromic management for male patients with urethral discharge
symptoms in Amazonas, Brazil.
AB - BACKGROUND: Urethral discharge syndrome (UDS) is characterized by the presence of
purulent or mucopurulent urethral discharge.The main etiological agents of this
syndrome are Neisseria gonorrhoeae and Chlamydia trachomatis. OBJECTIVES: To
evaluate the effectiveness of the syndromic management to resolve symptoms in
male urethral discharge syndrome cases in Manaus, Amazonas, Brazil. METHODS:
Retrospective cohort of male cases of urethral discharge syndrome observed at a
clinic for sexually transmitted disease (STD) in 2013. Epidemiological and
clinical data, as well as the results of urethral swabs, bacterioscopy, hybrid
capture for C.trachomatis, wet-mount examination, and culture for N.gonorrhoeae,
were obtained through medical chart reviews. RESULTS: Of the 800 urethral
discharge syndrome cases observed at the STD clinic, 785 (98.1%) presented only
urethral discharge syndrome, 633 (79.1%) returned for follow-up, 579 (91.5%) were
considered clinically cured on the first visit, 41(6.5 %) were considered cured
on the second visit, and 13(2.0%) did not reach clinical cure after two
appointments. Regarding the etiological diagnosis, 42.7% of the patients
presented a microbiological diagnosis of N.gonorrhoeae, 39.3% of non-gonococcal
and non-chlamydia urethritis, 10.7% of C.trachomatis and 7.3% of co-infection
with chlamydia and gonococcus. The odds of being considered cured in the first
visit were greater in those who were unmarried, with greater schooling, and with
an etiological diagnosis of gonorrhea. The diagnosis of non-gonococcal urethritis
reduced the chance of cure in the first visit. STUDY LIMITATION: A study
conducted at a single center of STD treatment. CONCLUSION: Syndromic management
of male urethral discharge syndrome performed in accordance with the Brazilian
Ministry of Health STD guidelines was effective in resolving symptoms in the
studied population. More studies with microbiological outcomes are needed to
ensure the maintenance of the syndromic management.
PMID- 29364433
TI - Prevalence and factors associated with exposure to sunlight and sunscreen among
physical education teachers in Pelotas, southern Brazil.
AB - BACKGROUND: Decreasing the time of exposure to the sun and increasing sunscreen
use are important actions to prevent skin cancer. OBJECTIVE: This study aimed to
verify the prevalence of exposure to the sun and the use of sunscreen, as well as
associated factors among physical education teachers of the basic education
network from city of Pelotas, southern Brazil. METHODS: A census type study was
conducted with physical education teachers of the basic education network from
Pelotas. This study assessed the time of exposure to the sun in the workplace for
at least 20 min, between 10am and 4pm, and the use of sunscreen during the
workday. RESULTS: 188 teachers answered the questionnaire. The prevalence of
exposure to the sun between 10:00am and 4:00pm was 89.2% (95% CI of 83.8%
93.3%), while sunscreen use was 63.3% (95% CI of 56.0% -70.2%). However, when the
sample was stratified by sex, women reported a higher rate of sunscreen use
(78.5% -95% CI of 72.2-84.3) than men (38.9% -95% CI of 31.8-46.2) (p<0.001).
Teachers with longer work weeks were at greater risk of exposure to the sun. In
addition, women, with normal weight and who worked less hours, were more likely
to use sunscreen. STUDY LIMITATIONS: Cross-sectional study and lack of
information on the proper use and frequency of use of sunscreen. CONCLUSION:
Physical education teachers were highly exposed to solar radiation, and less than
two-thirds used sunscreen during the workday. Interventions with this
professional group are necessary to reduce the risk of skin cancer.
PMID- 29364434
TI - Global and gene-specific DNA methylation and hydroxymethylation in human skin
exposed and not exposed to sun radiation.
AB - BACKGROUND: epigenomes can be influenced by environmental factors leading to the
development of diseases. OBJECTIVE: To investigate the influence of sun exposure
on global DNA methylation and hydroxymethylation status and at specific sites of
the miR-9-1, miR-9-3 and MTHFR genes in skin samples of subjects with no history
of skin diseases. METHODS: Skin samples were obtained by punch on sun-exposed and
sun-protected arm areas from 24 corpses of 16-89 years of age. Genomic DNA was
extracted from skin samples that were ranked according to Fitzpatrick's criteria
as light, moderate, and dark brown. Global DNA methylation and hydroxymethylation
and DNA methylation analyses at specific sites were performed using ELISA and
MSP, respectively. RESULTS: No significant differences in global DNA methylation
and hydroxymethylation levels were found among the skin areas, skin types, or
age. However, gender-related differences were detected, where women showed higher
methylation levels. Global DNA methylation levels were higher than
hydroxymethylation levels, and the levels of these DNA modifications correlated
in skin tissue. For specific sites, no differences among the areas were detected.
Additional analyses showed no differences in the methylation status when age,
gender, and skin type were considered; however, the methylation status of the miR
9-1 gene seems to be gender related. STUDY LIMITATIONS: there was no separation
of dermis and epidermis and low sample size. CONCLUSION: sun exposure does not
induce changes in the DNA methylation and hydroxymethylation status or in miR-9
1, miR-9-3 and MTHFR genes for the studied skin types.
PMID- 29364435
TI - Narrowband UV-B phototherapy in childhood atopic dermatitis: efficacy and safety.
AB - BACKGROUND: Narrow-band UVB is the most innovative steroid sparing treatment in
atopic dermatitis. There are studies showing efficacy of Narrow-band UVB in
childhood atopic dermatitis, but there is lack of clinical trials in the
literature determining the length of remission. Therefore, we sought to highlight
its efficacy, safety and its post-treatment efficacy in childhood atopic
dermatitis. OBJECTIVE: To assess the clinical efficacy, safety of Narrow-band UVB
in the treatment of paediatric atopic dermatitis and length of remission during 2
years of post-treatment follow-up. METHODS: Thirty children (4-14 years) having
moderate to severe AD (SCORAD index > 25) were enrolled for 12 weeks. Narrow-band
UVB phototherapy was administered twice a week on non-consecutive days for three
months. SCORAD index was calculated by the same dermatologist at baseline, 6th,
12th, 18th and 24th treatment session. Secondary outcomes were measured using
visual analog scale for pruritus and sleep loss. Patients were also followed-up
for 2 years to know the length of remission after end of therapy. RESULTS: There
was a significant reduction in SCORAD index at 6th, 12th, 18th and 24th treatment
session in comparison to baseline. This improvement in SCORAD was also maintained
during the 2 years of post-treatment follow-up period. Consequently, pruritus and
sleep loss improved significantly from baseline to end of therapy and even during
the 1st and 2nd year of follow-up. STUDY LIMITATION: Open-label trial without
control group. CONCLUSIONS: Narrow-band UVB is an efficacious and safe modality
of treatment in childhood atopic dermatitis with good therapeutic index and
minimal side effects.
PMID- 29364436
TI - Investigation of Demodex folliculorum frequency in patients with polycystic ovary
syndrome.
AB - BACKGROUND: Background: Demodex mites are acari that reside in the pilosebaceous
unit of the skin and have been associated with skin disorders. OBJECTIVE: The
objective of this study was to investigate the prevalence of Demodex folliculorum
(D. folliculorum) mites in polycystic ovary syndrome patients as well as to
examine the relationship between Demodex infestation and the presence of acne and
oily or dry skin types in polycystic ovary syndrome patients. METHODS: 41
polycystic ovary syndrome patients and 47 non-polycystic ovary syndrome control
subjects were enrolled in the study. polycystic ovary syndrome was diagnosed
according to the revised 2003 ESHRE/ASRM polycystic ovary syndrome Consensus
Workshop Group diagnostic criteria. Microscopic examination of D. folliculorum
mites was carried out by standardized skin surface biopsy. The result was
considered positive when there were more than 5 mites per cm2. RESULTS: D.
folliculorum was positive in 53.7% of the polycystic ovary syndrome patients and
31.9% of the non-polycystic ovary syndrome group (p=0.052). Demodex positivity
was significantly associated with acne (p=0.003) and oily skin (p=0.005) in the
polycystic ovary syndrome patients but not in the controls. STUDY LIMITATION: Our
study is limited by the relatively small number of subjects and the observational
nature of the study design. CONCLUSION: Demodex mites might have a role in acne
pathogenesis in patients with polycystic ovary syndrome. Anti-Demodex treatment
may increase the response to treatment of acne. Further studies are indicated.
PMID- 29364437
TI - Prepubertal and postpubertal vitiligo: a multivariate comparative study in 375
patients.
AB - BACKGROUND: The onset of vitiligo during childhood is common. Limited data exist
that compare the clinical associations of prepubertal and postpubertal vitiligo
in Arabs. OBJECTIVE: To compare the clinical profile of pre and postpubertal
onset vitiligo. METHODS: A cross-sectional observational study was conducted. The
Vitiligo European Task Force questionnaire was completed for each patient.
RESULTS: A total of 375 patients were included; 199 had postpubertal vitiligo
(>12 years), and 176 had prepubertal onset vitiligo (<12years). There were more
females in the prepubertal group (49%) than in the postpubertal group (29%), p
value <0.001. The prepubertal group has had more involvement than the
postpubertal group (45% vs 30%, p=0.004). Only 8 cases of segmental vitiligo were
observed; five were observed in the prepubertal group of patients. Female gender
(OR=2.3; 95% CI:1.5, 3.5), presence of halo nevus (OR=2.2; 95% CI:1.1, 4.4) and
face involvement (OR=1.9; 95% CI:1.2, 2.9) were positively associated with
prepubertal vitiligo. Stress, as an onset factor, was positively associated
(OR=0.51; 95% CI:0.3, 0.8) with postpubertal onset vitiligo. STUDY LIMITATIONS: A
possible selection bias toward more severe vitiligo cases can be a limitation,
because the study was conducted in a clinic specialized in vitiligo. Moreover, a
likelihood of false recall bias cannot be excluded. CONCLUSIONS: Our data present
clinical evidence that vitiligo behaves mostly the same way in the prepubertal
group as in the postpubertal group. However, female over-representation, more
face involvement and more halo nevi were observed in prepubertal vitiligo, while
stress was more prevalent as an aggravating factor in postpubertal vitiligo
patients.
PMID- 29364438
TI - Prevalence and heritability of psoriasis and benign migratory glossitis in one
Brazilian population.
AB - BACKGROUND: An oral condition associated to psoriasis is benign migratory
glossitis. The review of the literature does not show any publication about
heritability in both soriasis and benign migratory glossitis and prevalence of
psoriasis in the Brazilian population. OBJECTIVE: This research was carried out
in order to determine the prevalence of psoriasis and benign migratory glossitis
in the Brazilian population from a Brazilian sample, as well as the heritability
in these conditions. METHODS: Six thousand patients were studied from the records
of the outpatient dermatology department. The sample had 129 patients with
cutaneous psoriasis, 399 with benign migratory glossitis without psoriasis and a
control group with 5,472 patients. After data collection, the statistical
analysis was made using Woolf, Chi-square and Falconer tests. RESULTS: The
prevalence of psoriasis was 2.15% and the benign migratory glossitis was 7.0%.
The prevalence of benign migratory glossitis in the psoriasis group was high
(16.3%), and that was statistically significant. Family history in the psoriasis
group was 38% for the condition itself and 2,75% for benign migratory glossitis
and in the benign migratory glossitis group was 17.54% for the condition itself
and 1.5% for psoriasis. The study of heritability was 38.8% for psoriasis and
36.6% for benign migratory glossitis, both with medium heritability. STUDY
LIMITATIONS: This study was only in the state of Sao Paulo. CONCLUSION: This is
the first publication that quantifies how much of these conditions have a genetic
background and how important the environmental factors are in triggering them.
PMID- 29364439
TI - Thickness of carotid intima and epicardial fat in rosacea: a cross-sectional
study.
AB - BACKGROUND: Rosacea is a chronic facial skin disease associated with excessive
inflammatory response to various triggers. Although some studies have supported
the increased risk of cardiovascular diseases in rosacea, it has not been
completely accepted. OBJECTIVE: We aimed to investigate epicardial fat thickness
and carotid intima-media thickness as cardiovascular risk predictors in rosacea
patients. METHODS: We conducted a cross-sectional study including 40 rosacea
patients and 40 controls. Demographic data, epicardial fat thickness, carotid
intima-media thickness, lipid parameters, biochemical parameters, presence of
insulin resistance, and presence of metabolic syndrome of the participants were
recorded. RESULTS: Forty rosacea patients (31 female and 9 male) and 40 controls
(30 female and 10 male) were enrolled in the study. Rosacea patients had
significantly higher epicardial fat thickness and carotid intima-media thickness
volumes than controls (P<0.001). In the multivariate logistic regression
analysis, epicardial fat thickness was independently related to presence of
rosacea (P<0.001, OR=13.31). In the multiple linear regression analysis, the
epicardial fat thickness was independently associated with rosacea (beta= 0.47,
P<0.001), carotid intima-media thickness (beta= 0.36, P<0.001), and systolic
blood pressure (beta=0.19, P=0.015) and the carotid intima-media thickness was
independently associated with epicardial fat thickness (beta= 0.6, P<0.001). The
epicardial fat thickness levels were correlated with carotid intima-media
thickness (r=0.63, P<0.001), LDL (r=0.23, P=0.037), systolic blood pressure
(r=0.45, P<0.001), and diastolic blood pressure levels (r=0.37, P=0.001). The
carotid intima-media thickness levels were correlated with epicardial fat
thickness (r=0.63, P<0.001), systolic blood pressure (r=0.04, P<0.001), and
diastolic blood pressure levels (r=0.27, P=0.016). STUDY LIMITATIONS: The small
number of participants. CONCLUSIONS: Examination and follow-up of rosacea
patients for cardiovascular diseases may be recommended practices.
PMID- 29364440
TI - How relevant are vascular endothelial growth factor and intercellular adhesion
molecule in the systemic capillary leak syndrome of psoriasis?
AB - Psoriasis is a chronic disease, characterized by erythematous scaly lesions,
presented in eight different forms: plaques, guttate, pustular, erythrodermic,
inverse, nail and scalp psoriasis, and psoriatic arthritis. Its development
depends on genetic factors, external stimulus and immune response alteration.1
Proinflammatory cytokines such as TNF-alpha, IL-12 and 23 may also be involved.
In the worst cases, systemic complications linked to endothelial alterations may
occur. A literature review was conducted for a better understanding of what roles
VEGF (vascular endothelial growth factor) and ICAM-1 (intercellular adhesion
molecule) have, among other cytokines, in systemic capillary leak syndrome,
involved in erythrodermic and pustular psoriasis, the most unstable forms of the
disease.
PMID- 29364441
TI - Cancer immunology and melanoma immunotherapy.
AB - The stimulation of the immune system, in order to generate an attack against
cancer cells, similarly to that which occurs in infectious disease, has long been
matter of interest in oncology; however, only limited success has been achieved,
with different treatment strategies tested in recent years. The development of
new immune checkpoint inhibitors is currently changing this scenario, and
immunotherapy is becoming a real choice among traditional cytotoxic treatments to
fight cancer. Recent reports have shown efficacy and safety with the use of
pembrolizumab, nivolumab, and ipilimumab for the treatment of different
neoplasms, especially melanoma. In this article, we propose a review of the
mechanisms of action involved in cancer immunology, the response evaluation of
immunotherapies, and its toxicity profile, as well as a summary of the main
clinical trials that led to the adoption of these new drugs for melanoma
treatment.
PMID- 29364442
TI - Rare desmoplastic trichilemmoma associated with sebaceous nevus.
AB - Nevus sebaceous of Jadassohn is a congenital hamartoma that usually affects the
scalp and face. Several benign or malignant neoplasias may develop in the lesion
and the most common are trichoblastoma, syringocystadenoma papilliferum, and
basal cell carcinoma. Trichilemmoma is a benign solid tumor originating from
external sheath cells of pilosebaceous follicles. When it is characterized by a
central zone of desmoplasia, it is called desmoplastic trichilemmoma. We report a
case of a 58-year-old patient who developed a tumor in a sebaceous nevus. We
performed a total excision of the lesion. Histopathological diagnosis was
compatible with desmoplastic trichilemmoma. Our literature review reveals that
the occurrence of trichilemmoma desmoplastic is unusual. Moreover, it can mimic
an invasive carcinoma on histological and clinical examinations. This fact
confirms the importance of reporting the occurrence of this rare cancer in a
nevus sebaceous of Jadassohn.
PMID- 29364443
TI - Milker's nodules: classic histological findings.
AB - Milker's nodule is an occupational dermatovirose caused by Parapoxvirus, which is
self-limited and, due to the lack of information of health professionals, may
lead to underdiagnosis. We present two cases with exuberant manifestations and
classic histopathologic findings. Case 1: Male, 19 years of age, milker,
presented nodules and blisters on his palm for 15 days. Case 2: Male, 33 years of
age, administrative assistant, presented erythematous nodules on his palms with
lymphangitis for 5 days. He had milked a cow one week before the onset of the
lesions. In both cases, the histopathology was representative and coincident with
the clinical hypothesis. The lesions have presented complete involution. Milker's
nodule diagnosis is based on clinical presentation, epidemiology, and
histopathology. The knowledge of this disease is essential for its correct
diagnosis, as well as to guide the implementation of public health measures and
the appropriate treatment of sick cattle.
PMID- 29364444
TI - Describing numerical variables: which are the most appropriate parameters to
describe the data?
AB - The proper description of numerical variables is very important when presenting a
set of data. Measures of central tendency and dispersion are used to adequately
understand a set of numerical variables. Knowledge of the properties of these
measures and their adequate use provide the reader with a better understanding of
the results of a study.
PMID- 29364445
TI - Dermatoscopic signs in cutaneous leishmaniasis.
AB - Dermoscopy is a non-invasive technique widely used to aid in the characterization
and diagnosis of pigmented skin lesions. Recently, it has also been employed for
the evaluation of non-pigmented skin tumours, and inflammatory and infectious
cutaneous diseases. Although the diagnosis of cutaneous leishmaniasis is
confirmed by the demonstration of amastigotes in infected skin or by the growth
of promastigotes in culture medium, dermoscopy could be useful as a further
diagnostic test. We report a patient with a nodular lesion located on the right
cheek for almost two years. The lesion was clinically suggestive of cutaneous
leishmaniasis. Dermoscopy showed yellow tears, erythema and vascular structures.
The diagnosis was confirmed by the demonstration of amastigotes in a skin
scraping sample.
PMID- 29364446
TI - Morbihan disease: a therapeutic challenge.
AB - Morbihan disease is a rare condition characterized by chronic and persistent
erythematous solid edema localized on the face. It is believed to be a
complication of rosacea and may occur at any stage of the disease. Features of
this condition include variable therapeutic response and great refractoriness. We
report a case of a 61-year-old man with rosacea history diagnosed with Morbihan
disease, who showed excellent therapeutic response with the combination of
deflazacort and oral isotretinoin but developed recurrence after corticosteroid
discontinuation. We believe that in severe cases of lymphedema of the face this
combination is effective and corticosteroid suspension should be done slowly and
gradually.
PMID- 29364447
TI - Lupus miliaris disseminatus faciei.
AB - Lupus miliaris disseminatus faciei is a rare inflammatory dermatosis of unknown
etiology that primarily affects young adults. Clinically, it is characterized by
an asymptomatic papular eruption mainly involving the central face, typically on
and around the eyelids. Characteristic histopathological features include dermal
epithelioid cell granulomas with central necrosis and surrounding lymphocytic
infiltrate with multinucleate giant cells. Lupus miliaris disseminatus faciei has
a spontaneously resolving course, yet can be cosmetically debilitating given the
location and potential for scarring. Treatment is difficult and there is a lack
of controlled studies. We report a new case of lupus miliaris disseminatus faciei
successfully treated with minocycline and systemic steroids, and briefly discuss
its nosology and therapeutic options.
PMID- 29364448
TI - Infantile myofibromatosis.
AB - Infantile myofibromatosis is a mesenchymal disorder characterized by the fibrous
proliferation of the skin, bone, muscle and viscera. It is the most common
fibrous tumor in childhood. We present a newborn with skin and bone disease
without visceral involvement, who showed good response to vinblastine and
methotrexate. Clinical features, etiology, diagnosis, and treatment are reviewed.
PMID- 29364449
TI - Sweet syndrome-like cutaneous drug reaction.
AB - Cutaneous drug reactions are adverse reactions to medications that may present
with different clinical features, ranging from localized to generalized lesions.
In this report we describe a case of an unusual drug reaction, resembling the
morphology of Sweet syndrome lesions. The patient had a psychiatric illness and
was using thioridazine hydrochloride for one year. He developed infiltrated and
grouped erythematous lesions on the elbows and knees three days after commencing
multiple drugs (promethazine, haloperidol, mirtazapine and levomepromazine).
After suspension of these four drugs and after the use of glucocorticoids, the
patient had significant clinical improvement.
PMID- 29364450
TI - Rapidly involuting congenital hemangioma.
AB - Rapidly involuting congenital hemangioma is a rare vascular tumor that generally
has a good prognosis. The authors describe a case of a newborn girl with a left
cervical vascular lesion. Image exams were performed, and the lesion slowly
decreased, leaving redundant skin. Considering all of the findings, a final
diagnosis of a rapidly involuting congenital hemangiomas was suspected.
PMID- 29364451
TI - Primary treatment of early fistula of parotid duct with botulinum toxin type A
injection.
AB - Salivary duct injury can be idiopathic, iatrogenic, or post-trauma and may result
in sialocele or fistula. Most injuries regress spontaneously and botulinum toxin
A is one of several therapeutic possibilities. We report a case of iatrogenic
injury to the parotid duct after Mohs' micographic surgery for a squamous cell
carcinoma excision in the left jaw region, treated by injection of botulinum
toxin type A. Although the fistula by duct injury can be self-limiting, botulinum
toxin injection by promoting the inactivity of the salivary gland allows rapid
healing of the fistula.
PMID- 29364452
TI - Piccardi-Lassueur-Graham-Little syndrome associated with frontal fibrosing
alopecia.
AB - Piccardi-Lassueur-Graham-Little syndrome is a rare entity characterized by
progressive scarring alopecia of the scalp and keratotic papules on hairless
skin, associated with non-scarring alopecia in the axilla and pubic area or
lichen planus lesions. We describe the case of a 70-year-old woman who presented
a Piccardi-Lasseur-Graham-Little syndrome, along with frontal fibrosing alopecia.
PMID- 29364453
TI - Moyamoya syndrome associated with neurofibromatosis type 1 in a pediatric
patient.
AB - Neurofibromatosis type 1 is a multisystem genetic disease of autosomal dominant
transmission that reveals important cutaneous manifestations such as cafe-au-lait
spots, multiple neurofibromas, and ephelides in skin fold areas, as well as
hamartomatous lesions in the eyes, bones, glands, and central nervous system.
Moyamoya disease is a rare progressive vaso-occlusive disorder that occurs with
important ischemic cerebrovascular events. Despite the rarity of this association
in childhood, children diagnosed with neurofibromatosis type 1 and focal
neurologic symptoms should be investigated for moyamoya syndrome. The present
study reports the case of a pediatric patient with a rapidly progressive
cerebrovascular accident and a late diagnosis of Neurofibromatosis type 1
associated with moyamoya disease.
PMID- 29364454
TI - Circular hairs: nomenclature and meanings.
AB - Trichoscopy has become an essential tool for the diagnosis of various diseases
that affect the hair and scalp. Through dermatoscopy we identified a set of
findings that share a circular shape of hair shafts. The objective of this study
is to distinguish among the various forms of circular hairs in order to identify
their peculiarities and to describe in which skin disorders they can be found.
PMID- 29364455
TI - Case for diagnosis. Palate perforation due to cocaine use.
AB - We report a 42-year-old cocaine addicted female patient referred for evaluation
of hard palate ulceration resulting in oro-sinus communication with difficulties
in swallowing and phonation, an rhino-sinusitis. Acrylic and removable silicone
prosthesis was prescribed to relieve severe functional disorders. It is essential
that the patient permanently abandons cocaine use to perform surgical
reconstruction.
PMID- 29364456
TI - Pellagra affecting a patient with Crohn's disease.
AB - Pellagra is a nutritional disease caused by a deficiency of niacin. It may lead
to death if not identified and treated timely. We review the literature and
report a female patient presented with clinical features of pellagra as a
complication of Crohn's disease.
PMID- 29364457
TI - Epidemiological profile of elderly patients with non-melanoma skin cancer seen at
the dermatology outpatient clinic of a public hospital.
AB - Basal cell carcinoma and Squamous cell carcinoma, referred to as non-melanoma
skin cancer, are the most common malignancies in humans. Their incidence is
increasing worldwide every year. In Brazil, even with the advent of educational
campaigns on photoprotection and laws that banned tanning beds, they are the most
frequent neoplasias, representing a public health problem recognized by the
Ministry of health.
PMID- 29364458
TI - Nail psoriasis treated with pulsed dye laser.
AB - Nail changes are present in about 50% of psoriasis patients and tend to be
refractory to conventional treatments. Pulsed dye laser has emerged as an
alternative therapy. Our aim is to evaluate the efficacy of pulsed dye laser in
nail psoriasis and the impact of treatment on quality of life. Fourteen patients
were treated in monthly sessions for three months. The outcome assesment was made
by the Nail Psoriasis Severity Index (NAPSI). The median improvement in the
scores of the overall NAPSI, nail bed NAPSI, and nail matrix NAPSI were 44.2% (P
= 0.002), 50% (P = 0.033) and 65.1% (P = 0.024), respectively.
PMID- 29364459
TI - Optimizing suction blister epidermal graft technique in the surgical treatment of
vitiligo.
AB - Surgical management of vitiligo is considered an excellent terapeutic option for
recalcitrant cases, provided the disease is stable and there is absence of
Koebner phenomenom. Among surgical modalities, Suction Blister Epidermal Graft is
a low cost and effective option (65 to 100% repigmentation can be achieved in up
to 80% of patients). We describe how it can be optimized by using an alternative
suction equipment, by customization of graft format and by application of an
anesthetic technique that substantially reduces procedure time.
PMID- 29364460
TI - Use of botulinum toxin type A in Frey's syndrome.
PMID- 29364461
TI - Pseudo "fringe sign" in frontal fibrosing alopecia.
PMID- 29364462
TI - Dapsone-induced agranulocytosis in patients with Hansen's disease.
PMID- 29364463
TI - Pseudoxanthoma elasticum-like papillary dermal elastolysis.
PMID- 29364465
TI - Association between lipid profiles and osteoporosis in postmenopausal women: a
meta-analysis.
AB - OBJECTIVE: To investigate the relationship between blood lipid profiles and
osteoporosis in postmenopausal women. MATERIALS AND METHODS: A comprehensive
search of the literature related to lipid profiles and postmenopausal
osteoporosis was conducted in Wanfang Database, CNKI, PubMed (1950-2015) and
EMBASE (1974-2015). Appropriate studies were selected according to pre-defined
exclusion criteria, and the levels of high-density lipoprotein cholesterol (HDL),
low-density lipoprotein cholesterol (LDL), triglycerides (TG) and total
cholesterol (TC) were compared between osteoporosis and normal density groups.
Statistical analysis was performed using RevMan5.3. RESULTS: Ten published
articles were selected for meta-analysis. The results showed that the levels of
HDL, LDL, TC were higher in the osteoporosis group than the normal density group,
whereas the levels of TG were lower in the osteoporosis group (HDL: MD = 2.63,
95% CI: 0.43 to 4.84, p = 0.02; LDL: MD = 9.67, 95% CI: -0.10 to 19.44, p =
0.0532; TG: MD = -0.42, 95% CI: -17.52 to 16.67, p = 0.96; TC: MD = 14.82, 95%
CI: 2.84 to 26.80, p = 0.02). There was no statistical difference in LDL and TG.
CONCLUSIONS: The serum levels of HDL and TC are higher in postmenopausal
osteoporosis patients, and may thus be potentially useful indicators to reflect
the process of osteoporosis in these women. More research is needed to determine
the relationship between LDL, TG and postmenopausal osteoporosis.
PMID- 29364464
TI - Reactivation of Chagas-Mazza disease during treatment with infliximab.
PMID- 29364466
TI - Adipose-derived stem cells improve neovascularization in ischemic flaps in
diabetic mellitus through HIF-1alpha/VEGF pathway.
AB - OBJECTIVE: To investigate the improvement effect of adipose-derived stem cells on
neovascularization in an ischemic flap in diabetes mellitus (DM), and to explore
the mechanism of hypoxia-inducible factor 1alpha (HIF-1alpha)/vascular
endothelial growth factor (VEGF) pathway. MATERIALS AND METHODS: A total of 60
male Sprague-Dawley (SD) rats were divided into control group, model group, and
adipose-derived stem cells (ADSCs) group. The survival rate of the flap and the
number of new blood vessels were measured. The content of VEGF was determined by
enzyme-linked immunosorbent assay (ELISA) kit. Then, the expressions of HIF
1alpha and VEGF in each group were measured by immunohistochemistry. Reverse
transcriptase polymerase chain reaction (RT-PCR) method and Western blotting
assay were used to detect the mRNA and protein expression of HIF-1alpha and VEGF
in each group. RESULTS: Compared with control group, the flap survival rate of
model group was decreased significantly, and the number of new blood vessels was
also decreased significantly. Compared with model group, the flap survival rate
of ADSCs group was increased significantly, and the number of new blood vessels
was also increased significantly. The results of ELISA showed that compared with
control group, the level of VEGF in model group was lower than that in model
group, and the level of VEGF in the ADSC group was significantly higher than that
in the model group. IHC results showed that both HIF-1alpha and VEGF proteins
were decreased significantly in model group, whereas the expression of HIF-1alpha
and VEGF in the ADSCs group was increased significantly. The results of RT-PCR
and the Western blotting showed the mRNA and protein expressions in model group
were all decreased, while those in ADSCs group were significantly increased (p <
0.05). CONCLUSIONS: ADSCs can improve the neovascularization of diabetic ischemic
skin by regulating the HIF-1alpha/VEGF pathway.
PMID- 29364467
TI - MiR-214-3p inhibits beta-catenin signaling pathway leading to delayed fracture
healing.
AB - OBJECTIVE: To investigate the effect of micro ribonucleic acid (miR)-214-3p on
the fracture healing process of mice and its mechanism. MATERIALS AND METHODS: 90
mice were selected and randomly divided into three groups to establish the right
tibial fracture model. AgomiR-214-3p or agomiR negative control (agomiR-NC), or
the same volume of phosphate-buffered saline (PBS), was injected locally at 0 d,
7 d, 14 d and 21 d after operation, respectively. At the end of the experiment,
the imageological observation, histological observation and the detection of
callus osteocalcin level were conducted for mice in each group to evaluate the
fracture healing. At the same time, Real-time polymerase chain reaction (RT-PCR)
and Western blotting were used to detect the expression of beta-catenin at
different time points in each group. RESULTS: Imageological and histological
observations showed that the fracture lines of mice in the PBS injection group
and the agomiR-NC injection group were found to be healed at 28 d after
fractures, while fuzzy fracture lines could be seen in mice with fewer calluses
in the agomiR-214-3p injection group, and the expression level of osteocalcin at
each time point in the agomiR-214-3p injection group was decreased compared with
that in the control group. In addition, RT-PCR and Western blotting results
revealed that the expression level of the miR-214-3p target gene, beta-catenin,
was decreased at each time point in the agomiR-214-3p group compared with that in
the control group. CONCLUSIONS: MiR-214-3p delays the fracture healing by
inhibiting the Wnt/beta-catenin signaling pathway.
PMID- 29364468
TI - Correlations between CXCL13, IL-24 genes and wrist arthritis.
AB - OBJECTIVE: To investigate the relationship between B lymphocyte chemokine 1
(CXCL13) and interleukin-24 (IL-24) gene and wrist arthritis. PATIENTS AND
METHODS: A total of 122 cases of patients with wrist arthritis treated in our
hospital from May 2013 to April 2016 were randomly selected as wrist arthritis
group, while 120 normal subjects were selected as normal control group. Venous
blood was collected from all patients in normal control group and wrist arthritis
group, respectively. Rheumatoid factor (RF), human C-reactive protein (CRP), and
erythrocyte sedimentation rate (ESR) in venous blood were measured. The visual
analogue scale (VAS) score was used to statistically analyze the pain of subjects
in normal control and wrist arthritis groups; the wrist flexion and extension
activities of subjects in normal control group and wrist arthritis group were
measured. The expressions of CXCL13 and IL-24 mRNA in synovial tissue of normal
control group and wrist arthritis group were detected by reverse transcription
polymerase chain reaction (RT-PCR). Western blotting was used to detect the
expressions of CXCL13 and IL-24 in normal control group and wrist arthritis
group. RESULTS: The levels of CRP, RF, and ESR in the normal control group were
within the normal range, but the levels of CRP, RF, and ESR in the wrist
arthritis group were significantly higher than those in the normal control group.
VAS scores and joint flexion extension activities in the normal control group
were at normal levels. The VAS score of wrist arthritis group was significantly
higher than that of the normal control group, and the joint flexion extension
activities were significantly lower than that in the normal control group. The
results of RT-PCR showed that the expression of CXCL13 mRNA in synovial tissue of
wrist arthritis was significantly higher than that in the normal control group,
while the expression of IL-24 mRNA in synovial tissue of wrist arthritis was
significantly lower than that in normal control tissues. Western blotting showed
that the expression of CXCL13 in synovial tissue of wrist arthritis was
significantly higher than that in the normal control group, while the expression
of IL-24 in synovial tissue of wrist arthritis was significantly lower than that
in normal control groups. Analysis of variance showed that the expressions of
CXCL13 and IL-24 in the normal control group and wrist arthritis group had
statistically significant differences (p<0.01). CONCLUSIONS: The abnormal
expressions of CXCL13 and IL-24 are closely related to the occurrence and
development of wrist arthritis. This study shows that CXCL13 and IL-24 have
important research values in wrist arthritis. CXCL13 and IL-24 expressions can be
used as new indicators of the diagnosis and treatment of wrist arthritis.
PMID- 29364469
TI - Estramustine phosphate induces prostate cancer cell line PC3 apoptosis by down
regulating miR-31 levels.
AB - OBJECTIVE: Prostate cancer seriously threats to patient's life and health.
Estramustine phosphate (EP) is one of the most important drugs in the clinical
treatment of prostate cancer. This study aims to explore the molecular mechanism
of estramustine phosphate in regulating PC3 cell growth and survive through
mediating miR-31. MATERIALS AND METHODS: Estramustine phosphate was used to treat
prostate cancer cell line PC3. Flow cytometry was applied to detect PC3 cell
growth and apoptosis. RT-PCR was performed to test miR-31 level. Prostate cancer
tissue and paracarcinoma tissue were collected to test miR-31 level. PC3 cells
were transfected with miR-31 or control microRNA by lipofectamine, and followed
treated by estramustine phosphate. RESULTS: PC3 cell appeared growth restrain and
apoptosis after treated by estramustine phosphate. MiR-31 level decreased after
estramustine phosphate treatment. Prostate cancer tissue presented higher miR-31
level than paracarcinoma tissue. MiR-31 over-expression inhibited estramustine
phosphate induced PC3 cell apoptosis. CONCLUSIONS: Estramustine phosphate induces
prostate cancer cell line PC3 apoptosis through reducing miR-31.
PMID- 29364470
TI - Long noncoding RNA GIHCG is a potential diagnostic and prognostic biomarker and
therapeutic target for renal cell carcinoma.
AB - OBJECTIVE: Long noncoding RNA (lncRNA) GIHCG has been reported as an oncogene in
hepatocellular carcinoma. However, the expression, roles, and clinical values of
GIHCG in renal cell carcinoma (RCC) remain unclear. The aim of this study was to
investigate the expression, roles, diagnostic and prognostic values of GIHCG in
RCC. PATIENTS AND METHODS: The expression of GIHCG in 46 pairs of RCC tissues and
adjacent normal renal tissues was measured by quantitative real-time polymerase
chain reaction (qRT-PCR). GIHCG serum level in 46 RCC patients, 46 age- and sex
matched healthy controls, 20 pre- and post-surgery RCC patients was measured by
qRT-PCR. The diagnostic values of serum GIHCG were evaluated by receiver
operating characteristic (ROC) curves analysis. The effect of GIHCG on RCC cell
proliferation was evaluated using Cell Count Kit-8 assay, and the effect of GIHCG
on RCC cell migration was evaluated using transwell migration assay. RESULTS:
GIHCG is upregulated in RCC tissues compared with adjacent normal renal tissues.
Increased expression of GIHCG is positively correlated with advanced TNM stages,
Fuhrman grades, and poor prognosis. Serum GIHCG level is also significantly
upregulated in RCC patients and correlated with advanced TNM stages. Serum GIHCG
could accurately discriminate RCC patients from healthy controls, and also early
stage RCC patients from healthy controls. Furthermore, serum GIHCG level is
positively correlated with GIHCG expression in RCC tissues. Serum GIHCG level is
significantly reduced after radical resection of RCC. Functional assays showed
that knockdown of GIHCG significantly represses proliferation and migration of
RCC cells. CONCLUSIONS: Long noncoding RNA GIHCG would sever as a novel
diagnostic and prognostic biomarker and therapeutic target for RCC.
PMID- 29364471
TI - Expression of three proteins in endometrioid adenocarcinoma and their
significance in clinical nursing, diagnosis and treatment.
AB - OBJECTIVE: This study aimed to investigate the role of NEDD9 (neural precursor
cell expressed developmentally down-regulated 9), BCAR1/P130CAS (BCAR1/P130 Crk
associated substrate) and paxillin in predicting the prognosis of endometrioid
adenocarcinoma (EA), so as to guild the nursing of EA. PATIENTS AND METHODS: A
total of 65 patients who visited Affiliated Hongqi Hospital of Mudanjiang Medical
University between June 2015 and June 2017 were enrolled. They underwent
gynecological surgery and had their EA confirmed by pathology, and they were
assigned to the EA group. All EA tissues were sampled and archived in paraffin
blocks. In addition, 40 specimens of atypical endometrial hyperplasia (EAH) (the
EAH group) and 40 specimens of normal proliferative endometria with benign
uterine fibroids (the EN group) were selected as controls. The protein levels of
NEDD9, BCAR1/P130CAS, and paxillin in each group were then detected by
immunohistochemical staining. RESULTS: The expression of the three proteins in
the EA group and EAH group was significantly higher than that in the EN group,
and their expression was significantly correlated with the clinical stage,
histological grade and lymph node metastasis of EA. In addition, the expression
of NEDD9, BCAR1/P130CAS, and paxillin in the EA group was positively correlated
with each other. CONCLUSIONS: BCAR1/P130CAS and paxillin interact with NEDD9 to
participate in the growth and migration of EA cells. Therefore, their proteins
can be used as biomarkers for the diagnosis, treatment, and prognosis of EA.
PMID- 29364472
TI - MiR-372-3p inhibits the growth and metastasis of osteosarcoma cells by targeting
FXYD6.
AB - OBJECTIVE: Growing evidence has suggested that dysregulation of miR-372-3p may
contribute to tumor development and progression in various tumors. However, the
function of miR-372-3p in osteosarcoma has not been investigated. In the present
study, we aimed to study the effects of miR-372-3p on osteosarcoma cell
proliferation and metastasis and its regulation on FXYD6. MATERIALS AND METHODS:
The expression levels of miR-372-3p and FXYD6 mRNA were quantified by RT-PCR in
human osteosarcoma cell lines and tissues. The effects of miR-372-3p up
regulation on osteosarcoma cell proliferation and metastasis were assessed by
MTT, wound healing assay and transwell assay. Finally, the potential regulatory
effect of miR-372-3p on FXYD6 expression was confirmed. RESULTS: Our data showed
that miR-372-3p was downregulated in osteosarcoma tissues compared with matched
normal tissues, and the expression level of miR-372-3p was significantly lower in
osteosarcoma cell lines in comparison with the normal human osteoblastic cell
line. Transfection with the miR-372-3p mimic enhanced the osteosarcoma
proliferation and metastasis. In vivo assay indicated that forced expression of
miR-372-3p significantly suppressed tumor growth. Then, Bioinformatics prediction
and experimental validation results confirmed that the function of miR-372-3p was
achieved by targeting FXYD6 expression. CONCLUSIONS: Our findings revealed that
miR-372-3p served as a tumor suppressor gene by targeting FXYD6 in osteosarcoma.
Thus, miR-372-3 might be a potential therapeutic method for osteosarcoma.
PMID- 29364473
TI - MiR-361 inhibits osteosarcoma cell lines invasion and proliferation by targeting
FKBP14.
AB - OBJECTIVE: MicroRNAs have been reported to contribute to the development of
osteosarcoma via negatively regulating the target genes. Nevertheless, the
undiscovered function of miR-361 on osteosarcoma development remains uncertain.
PATIENTS AND METHODS: MiR-361 and FKBP14 (FK506-binding protein 14) expression in
osteosarcoma samples were detected by Real-time polymerase chain reaction (PCR).
Cells invasive ability was examined via the transwell invasion assay. The
luciferase reporter assay was used to examine the regulation mechanism. The
protein level of FKBP14 was detected by Western blot. Cell Counting Kit-8 (CCK-8)
was used to detect cell lines proliferation. RESULTS: MiR-361 was reduced both in
osteosarcoma samples and cell lines. Up-regulation of miR-361 significantly
inhibited cells invasive and proliferative abilities, while down-regulation of
miR-361 promoted cell lines invasion and proliferation. miR-361 could negatively
regulate FKBP14 in osteosarcoma. Suppression of FKBP14 could reverse the function
of miR-361 inhibitor. CONCLUSIONS: MiR-361 inhibits osteosarcoma cell lines
invasion and proliferation by targeting FKBP14.
PMID- 29364474
TI - The regulatory role of SLP-2 and mechanism on CCBE1 gene expression in rectal
carcinoma and adjacent lymphatic tube tissues.
AB - OBJECTIVE: The incidence of rectal carcinoma (RC) has been increasing recently,
and becomes the second most common digestive tumors besides gastric cancer, with
a rise in the incidence of RC in younger populations. The early diagnosis and
treatment are thus critical for the improvement of survival rate and life quality
of patients. Stomatin-like protein 2 (SLP-2) is a type of membrane factor, which
is generally found highly expressed in various tumors. Collagen and calcium
binding EGF domain (CCBE1) belongs to lymphatic tube genesis factor. The
regulatory role of SLP-2 gene on CCBE1 expression in RC tumor and adjacent
lymphatic tube tissues, however, has not been studied. PATIENTS AND METHODS: 52
RC patients were recruited, and tumor and adjacent lymphatic tube tissues were
collected. Real-time PCR, western blotting and immunohistochemistry (IHC)
staining were used to analyze SLP-2 and CCBE1 expressions. Human lymphatic
endothelial cells (LECs) were cultured in vitro and were assigned to control,
scramble, and SLP-2 siRNA group. MTT assay was used to detect cell proliferation,
while caspase 3 activity was detected. RESULTS: SLP-2 and CCBE1 levels were
significantly elevated in tumor lymphatic tissues, compared to that in adjacent
tissues. Statistically positive correlation between SLP-1 and CCBE2 was found
(p<0.05). The downregulation of SLP-2 by siRNA inhibited cell proliferation,
elevated caspase3 activity, and decreased CCBE1 expression (p<0.05 compared to
control group). CONCLUSIONS: SLP-2 is up-regulated in RC lymphatic tissues, and
is positively correlated with the level of CCBE1, which provides the academic the
basis for the development of medicine targeting SLP-2 in the anti-rectal
carcinoma therapy.
PMID- 29364475
TI - Formyl peptide receptor 2 mediated chemotherapeutics drug resistance in colon
cancer cells.
AB - OBJECTIVE: To determine the expression of formyl peptide receptor 2 (FPRL2) and
its drug resistance role in cancer colon cells, and its underlying mechanisms.
PATIENTS AND METHODS: The expression of FPRL2 and its legend (F2L) in colon
cancer tissues or cancer cells was determined by immunohistochemistry assay and
Real-time polymerase chain reaction (PCR), respectively. Chemosensitivity of 5-Fu
and MMC in colon cancer cells were tested by cell counting kit-8 (CCK-8) method.
Expression of p-ERK was determined by Western blot assay. RESULTS: The expression
of FPRL2 and its legend was significantly higher in resistant colon cancer
tissues than those in non-resistant colon cancer tissues. The FPRL2 positive
cells were two-thirds in tested cell lines. All of cells were F2L positive. The
IC50 (inhibitory concentration 50) by 5-Fu and MMC was significantly higher in
FPRL2 positive cells than those negative cells. The expression of p-AKT was
markedly increased in FPRL2 positive cells. Pretreatment with AKT inhibitor
enhanced the drug-sensitivity of these cells to 5-Fu and MMC. CONCLUSIONS: The
FPRL2 played a significant role in colon cancer drug resistance and this effect
was through AKT pathway.
PMID- 29364476
TI - MiRNA-155 promotes the invasion of colorectal cancer SW-480 cells through
regulating the Wnt/beta-catenin.
AB - OBJECTIVE: To investigate the role of microRNA-155 (miR-155) in the potential
invasion of colon cancer cell and the underlying mechanism. PATIENTS AND METHODS:
The expression level of miR-155 in colon cancer and adjacent normal tissues was
detected by Real-time quantitative polymerase chain reaction (RT-PCR). miR-155
mimics (miR-155), or siRNA against beta-catenin (beta-catenin siRNA), was
transfected into human colon cancer cell line SW-480 using Lipofectamine 2000,
respectively. RT-PCR was used to measure the expression levels of miR-155 and
beta-catenin mRNA, and beta-catenin protein expression level was detected by
Western blot. The in-vitro cell invasion abilities were determined by transwell
invasion assays after up-regulating miR-155 or knocking down of beta-catenin.
RESULTS: MiR-155 directly regulates beta-catenin at the transcriptional level,
and promotes the invasion potential of colon cancer cell, at least partly through
the upregulation of beta-catenin. CONCLUSIONS: The findings of this study suggest
that miR-155 and beta-catenin may have a unique potential as a novel biomarker
candidate for diagnosis and treatment of tumor metastasis.
PMID- 29364477
TI - Long non-coding RNA DLEU7-AS1 promotes the occurrence and development of
colorectal cancer via Wnt/beta-catenin pathway.
AB - OBJECTIVE: To investigate the expression features of long non-coding RNA
(lncRNA) DLEU7-AS1 in colorectal cancer (CRC), so as to further study its role in
the occurrence and development of CRC and its potential regulatory mechanism.
PATIENTS AND METHODS: The expression levels of lncRNA DLEU7-AS1 in 82 pairs of
CRC tissues and para-carcinoma normal tissues were detected via quantitative Real
time polymerase chain reaction (qRT-PCR), and the correlation of DLEU7-AS1
expression with pathological indexes of CRC and patients' prognosis was analyzed.
Besides, the expression of DLEU7-AS1 in CRC cells was further detected via qRT
PCR. The DLEU7-AS1 knockdown expression model was established using small
interfering RNA in CRC cell lines HT-29 and HCT-116, and the effect of DLEU7-AS1
on biological functions of CRC cells was analyzed via Cell Counting Kit-8 (CCK-8)
and transwell invasion/migration assay. Finally, its potential mechanism was
investigated via Western blotting. RESULTS: The results of qRT-PCR showed that
the expression level of DLEU7-AS1 in CRC was significantly higher than that in
normal tissues, and the difference was statistically significant. Compared with
those in patients with low DLEU7-AS1 expression, the tumor stage in patients with
high DLEU7-AS1 expression was higher, the prevalence rates of lymph node
metastasis and distant metastasis were higher, and the overall survival rate was
lower. Compared with those in the negative control group, the cell proliferation,
invasion, and migration capacities were decreased significantly in DLEU7-AS1
knockdown expression group. Moreover, the results of Western blotting revealed
that the expressions of key proteins in Wnt/beta-catenin pathway, including beta
catenin, c-myc, and cyclinD1, were decreased in si-DLEU7-AS1. CONCLUSIONS: The
expression of DLEU7-AS1 is significantly increased in CRC, which is markedly
associated with CRC staging, lymph node metastasis, distant metastasis and poor
prognosis. DLEU7-AS1 may promote the proliferation, invasion and migration
capacities of CRC through regulating the Wnt/beta-catenin pathway.
PMID- 29364478
TI - Silencing of hsa_circ_0007534 suppresses proliferation and induces apoptosis in
colorectal cancer cells.
AB - OBJECTIVE: Although some circRNAs have been found to regulate the progression of
colorectal cancer (CRC). However, their functions have not been completely
clarified. In the present study, a novel circRNA hsa_circ_0007534 was
investigated in CRC tumor tissues and cell lines. PATIENTS AND METHODS: The
expression profile of circRNAs in CRC tumor tissues was performed by human
circRNA microarray. The CCK-8 and apoptosis assay were measured in CRC cell lines
after transfected with si-circRNA and si-NC. RESULTS: We analyzed a cohort of 33
patients with CRC and demonstrated that the expression of hsa_circ_0007534 was
significantly up-regulated in CRC tumor tissues as compared to adjacent non
tumorous tissues. Moreover, hsa_circ_0007534 expression was correlated with tumor
stage and lymph node metastasis. Furthermore, the silence of hsa_circ_0007534 by
siRNA significantly inhibited proliferation and induced apoptosis of CRC cells.
CONCLUSIONS: Hsa_circ_0007534 plays a crucial role in the initiation and
progression of CRC and may be a potential therapeutic target of CRC.
PMID- 29364479
TI - Targeting of GSK-3beta by miR-214 to facilitate gastric cancer cell proliferation
and decrease of cell apoptosis.
AB - OBJECTIVE: Wnt/beta-catenin pathway regulates cell proliferation and apoptosis.
GSK-3beta degrades beta-catenin and negatively regulates Wnt/beta-catenin
pathway. A previous study indicated that the GSK-3beta expression was
significantly reduced in gastric cancer, along with the increase of miR-214
expression. Bioinformatics analysis revealed complementary binding sites between
miR-214 and 3'-UTR of GSK-3beta mRNA. This study investigated the regulatory role
and related mechanism of miR-214 in the proliferation and apoptosis of gastric
cancer cells. PATIENTS AND METHODS: Gastric cancer tissues were collected from
patients and the expressions of miR-214, GSK-3beta and beta-catenin were
determined. Dual luciferase reporter gene assay was used to study the regulatory
role between miR-214 and GSK-3beta. Expressions of miR-214, GSK-3beta, beta
catenin and survivin from GES-1 and MKN-28 cells were detected. Flow cytometry
was used to measure cell proliferation and apoptosis. In vitro cultured MKN-28
cells were treated with miR-214 inhibitor and/or pSicoR-GSK-3beta. Levels of GSK
3beta, beta-catenin and survivin were detected, cell apoptosis was evaluated by
flow cytometry and proliferation was tested by EdU staining. RESULTS: Compared to
normal gastric mucosa, the levels of miR-214 and beta-catenin were elevated, and
the expression of GSK-3beta was decreased in gastric cancer tissues. Compared to
GES-1 cells, the expressions of miR-214, beta-catenin and survivin in MKN-28
cells were upregulated, along with downregulation of GSK-3beta expression. The
proliferation was enhanced whilst apoptosis was suppressed. After the
transfection of miR-214 inhibitor and/or pSicoR-GSK-3beta, GSK-3beta expression
was induced in MKN-28 cells while beta-catenin and survivin expressions were
inhibited, along with the increase of cell apoptosis. CONCLUSIONS: MiR-214
decreases GSK-3beta expression and promotes the pathogenesis of gastric cancer.
The inhibition of miR-214 reduces the proliferation of gastric cancer cells via
upregulation of GSK-3beta and suppression of Wnt/beta-catenin signal pathway,
which provides fundamental support for the future therapy of gastric cancer.
PMID- 29364480
TI - Correlation of CT indicators of NSCLC and pathological features and the
expression level of p53 and c-myc.
AB - OBJECTIVE: To investigate the correlations of the computed tomography (CT) signs
of non-small cell lung cancer (NSCLC) with pathological features and the
expression levels of phosphoprotein 53 (p53) and c-Myc in patients. PATIENTS AND
METHODS: 87 patients with NSCLC admitted to the Department of Oncology in our
hospital from July 2014 to March 2017 were randomly selected. Morphologies of
NSCLC and cancer-adjacent tissues were detected by hematoxylin and eosin (H&E)
staining; messenger ribonucleic acid (mRNA) and protein levels of p53 and c-Myc
in cancer and cancer-adjacent tissues were detected using real-time polymerase
chain reaction (RT-PCR) and immunohistochemistry (IHC); spiral CT (SCT) was
conducted for exploring imaging signs of patients with NSCLC; the correlation of
CT signs with pathology and the expressions of p53 and c-Myc was analyzed.
RESULTS: H&E staining showed that NSCLC tissues had a larger nucleus, a larger
nucleus-cytoplasm ratio, and a more evident histopathological atypia, with no
clear histological structure compared with cancer-adjacent normal tissues; RT-PCR
and IHC results revealed that the mRNA and protein expression levels of p53 and c
Myc in NSCLC tissues were significantly higher than those in cancer-adjacent
tissues, in which differences in mRNA levels were 1.75 folds and 1.84 folds,
respectively (p<0.05). SCT signs indicated that swollen lymph nodes and
spiculation, spinous process and deep lobulation signs often occurred in the
chest of NSCLC patients, and pleural indentation appeared in the majority of
patients; the chi-square test results showed that the positive rates of p53 and c
Myc proteins were not related to pathological types of NSCLC, but significantly
correlated with tumor differentiation (p<0.05); the positive rates of p53 and c
Myc proteins were correlated with tumor diameter, spiculation and deep lobulation
signs and lymph node metastasis (p<0.05), but not associated with spinous
process, vacuole and pleural indentation signs (p>0.05). CONCLUSIONS: CT scan
combined with the detection of p53 and c-Myc expressions can improve the
diagnosis of lymph node metastasis and clinical staging for patients with NSCLC,
which is conducive to the clinical treatment and prognosis analysis of NSCLC.
PMID- 29364481
TI - Long non-coding RNA ASAP1-IT1 promotes cell proliferation, invasion and
metastasis through the PTEN/AKT signaling axis in non-small cell lung cancer.
AB - OBJECTIVE: To investigate the relative expression of long non-coding RNA (lncRNA)
ASAP1-IT1 (hereafter called ASAP1-IT1) in tissues and cells of non-small cell
lung cancer (NSCLC) patients, so as to explore the effect of ASAP1-IT1 on the
biological effect of NSCLC cells. PATIENTS AND METHODS: Real-time quantitative
polymerase chain reaction (qRT-PCR) was performed to detect the relative
expressions of ASAP1-IT1 on tissues of 68 NSCLC patients and 5 cell lines.
Besides, the interference sequence of ASAP1-IT1 was designed to detect the
transfection efficiency through qRT-PCR experiment. Cell count kit 8 (CCK-8) and
clone formation experiment were also carried out to determine the effect of ASAP1
IT1 expression under interference on the proliferation ability of NSCLC cells. In
addition, transwell experiment was also performed to investigate the effects of
ASAP1-IT1 expression under interference on the invasion and metastasis of NSCLC
cells. Furthermore, the Western blotting assay was also conducted to detect the
downstream signal pathways through which ASAP1-IT1 regulated the biological
behaviors of NSCLC. RESULTS: The results of qRT-PCR experiment showed that in 68
NSCLC samples, upregulation of ASAP1-IT1 expression was identified in 51 samples
(82.4%) in comparison with the expression in tumor-adjacent tissues, and a
similar upregulation was also observed in 5 NSCLC cells. CCK-8 and clone
formation experiments also revealed that interference on ASAP1-IT1 expression
could inhibit the proliferation of NSCLC cells, while the transwell experiment
showed that the interference on ASAP1-IT1 expression could block the migration
and invasion ability of NSCLC cells. The results of Western blotting assay also
indicated that ASAP1-IT1 could regulate the biological behaviors of NSCLC cells
through phosphatase and tensin homolog deleted on chromosome ten (PTEN)/serine
threonine kinase (AKT) pathway. CONCLUSIONS: In this study, it was found that the
expression of ASAP1-IT1 is relatively upregulated in NSCLC cells and tissues,
which can promote the proliferation, invasion and metastasis of NSCLC cells
through regulating the PTEN/AKT signal pathway. Thus, the therapeutic target of
ASAP1-IT1 is expected to provide important ideas for reversing the malignant
phenotype of NSCLC in clinical practice.
PMID- 29364483
TI - Regulation of DNA methylation and tumor suppression gene expression by miR-29b in
leukemia patients and related mechanisms.
AB - OBJECTIVE: Leukemia is characterized as a kind of malignant clonal disease in
hematological stem cells. The study showed an abnormal level of DNA methylation
in leukemia cells, which further led to an abnormal expression of hematological
genes. This study investigated the role of miR-29b on the modulation of DNA
methylation and tumor suppressor gene expression in leukemia patients. PATIENTS
AND METHODS: A total of 21 leukemia patients were recruited for the collection of
monocytes. Methylation levels of promoter sequence of ESR1 and p15 genes were
analyzed by methylation assay kit combined with DHPLC. DNA microarray and qRT-PCR
were used to measure microRNA expressional profile, and bioinformatics plus
luciferase reporter assay confirmed target gene of miR-29b. After transfection
with miR-29b, promoter methylation levels of ESR1 and p15 gene were measured.
Protein expressions of DNMT1 DNA (cytosine-5)-methyltransferase 1 (DNMT1), DNA
(cytosine-5)-methyltransferase 3A (DNMT3A) and DNA (cytosine-5)-methyltransferase
3B (DNMT3B) were quantified. RESULTS: The methylation levels of the promoter
region of ESR1 and p15 genes in monocytes of leukemia patient were significantly
elevated (p < 0.05). DNA microarray and qRT-PCR confirmed the down-regulation of
miR-29b (p < 0.05). Luciferase reporter assay revealed DNMT1, DNMT3A and DNMT3B
as target genes of miR-29b. MiR-29b transfection inhibited the expressions of
DNMT3A and DNMT3B in Kasumi-1 cells (p < 0.05), and promoter methylation levels
of estrogen Receptor 1 (ESR1) and p15 gene were decreased (p < 0.05).
CONCLUSIONS: In leukemia cells, hyper- methylation existed in the promoter region
of tumor suppressor gene. The methylation was enhanced in gene DNMT1, DNMT3A and
DNMT3B via the reduction of miR-29b in leukemia tumor cells.
PMID- 29364482
TI - MiR-101 promotes nasopharyngeal carcinoma cell apoptosis through inhibiting
Ras/Raf/MEK/ERK signaling pathway.
AB - OBJECTIVE: Extra-cellular signal regulated kinase (ERK)/mitogen activated protein
kinase (MAPK) signaling pathway is widely involved in cell proliferation and
apoptosis. MAPK kinase 1 (MEK1) is the upstream protein kinase of ERK that can
activate ERK/MAPK signaling pathway. microRNA-101 (MiR-101) down-regulation is
found to be associated with nasopharyngeal carcinoma (NPC) pathogenesis.
Bioinformatics analysis shows the complementary targeted relationship between miR
101 and the 3'-UTR of MEK1 mRNA. This study explores the role of miR-101 in
regulating MEK1 expression, ERK/MAPK signaling pathway activation, and NPC
pathogenesis. MATERIALS AND METHODS: Dual luciferase assay confirmed the targeted
relationship between miR-101 and MEK1. MiR-101 and MEK1 expressions were compared
in inflammatory nasopharynx tissue and NPC tissue. MiR-101, MEK1, phosphorylated
ERK 1/2 (p-ERK1/2), survivin expressions in NP69, CNE-1, HONE1, and C666-2 cell
lines were detected. NPC cell line C666-1 was cultured in vitro and divided into
four groups, including miR-NC, miR-101, si-NC and si-MEK1. Cell apoptosis was
determined by flow cytometry. Cell proliferation was evaluated by EdU staining.
RESULTS: MiR-101 targeted inhibited MEK1 expression. MiR-101 was significantly
down-regulated, while MEK1 was significantly elevated in NPC tissue compared with
inflammatory nasopharynx tissue. MiR-101 was markedly declined, whereas MEK1, p
ERK1/2, and survivin were apparently increased in CNE-1, HONE1, and C666-1 cells
compared with NP69 cells. MiR-101 mimic and/or si-MEK1 transfection significantly
reduced MEK1, p-ERK1/2, and survivin levels, attenuated cell proliferation, and
enhanced cell apoptosis. CONCLUSIONS: Down-regulation of miR-101 was related to
NPC pathogenesis. MiR-101 elevation suppressed NPC cell proliferation and
promoted apoptosis through targeted inhibiting MEK1 expression to alleviate
ERK/MAPK signaling pathway and survivin expression.
PMID- 29364484
TI - Silencing of LncRNA TCONS_00088786 reduces renal fibrosis through miR-132.
AB - OBJECTIVE: To examine the role of long non-coding ribonucleic acid (LncRNA)
TCONS_00088786 in the development of renal interstitial fibrosis and its
potential mechanism in this process. MATERIALS AND METHODS: Unilateral ureteral
obstruction (UUO) was used to induce tubulointerstitial fibrosis. Masson staining
showed the degree of renal fibrosis in UUO mice. Immunohistochemistry and
immunofluorescence were performed to detect the fibrosis-related proteins, the 24
h urine volume and protein content. The renal functions were reflected via serum
creatinine (Scr) and blood urea nitrogen (BUN). Changes in lncRNATCONS_00088786,
miR-132 and collagen I and III in the development process of renal fibrosis were
detected through reverse transcription-polymerase chain reaction (RT-PCR). Small
interfering RNA (siRNA) was transfected into NRK52E cells to mimic the knockdown.
Western blot was adopted to detect the changes in miR-132, collagen I and III
after the siRNA was transfected by transforming growth factor-beta (TGF-beta) for
24 h. RESULTS: With the development of renal fibrosis, lncRNA TCONS_00088786 and
miR-132 were increased gradually. After the knockdown of lncRNA TCONS_00088786,
miR-132 was decreased and fibrosis-related protein was also decreased.
CONCLUSIONS: Decreased lncRNA TCONS _00088786 inhibits renal interstitial
fibrosis by reducing miR-132 and it may be a potential novel molecular target for
the treatment of renal interstitial fibrosis.
PMID- 29364485
TI - FGF23 promotes renal interstitial fibrosis by activating beta-catenin.
AB - OBJECTIVE: To investigate the role and mechanism of fibroblast growth factor 23
(FGF23) in renal interstitial fibrosis. MATERIALS AND METHODS: Rat renal tubular
epithelial cell line (NRK-52E) was selected for in vitro experiments. Effect of
FGF23 on extracellular matrix was observed. High expression of FGF23 was induced
by injecting the plasmid into the caudal vein. The model of unilateral ureteral
obstruction (UUO) was established for in vivo experiments. RESULTS: FGF23
increased the expression of extracellular matrix proteins FN, alpha-SMA and Type
1 collagen of NRK-52E induced by TGFbeta1, while FGF23 increased the expression
of p-beta-catenin 675. In UUO model mice, fibrosis in the FGF23 high expression
group increased significantly compared to that of the control group. Meanwhile,
beta-catenin signal was activated. CONCLUSIONS: FGF23 can promote the deposition
of extracellular matrix of NRK-52E induced by TGFbeta1 in vitro. It aggravated
the degree of renal interstitial fibrosis in UUO model, which is related to the
activation of beta-catenin signaling pathway.
PMID- 29364486
TI - Dexamethasone alleviates allergic asthma immature rat through Toll like receptor
4.
AB - OBJECTIVE: The allergic asthma model induced by ovalbumin (OVA) was established
in the immature rat. Dexamethasone (DXM) was adopted for intervention to analyze
the treatment effect and to explore the relationship with toll-like receptor 4
(TLR4). MATERIALS AND METHODS: Immature SD rat was treated by OVA to construct
allergic asthma model and intervened by DXM. The rats were randomly divided into
model group, experimental group, and control group. The changes in lung tissue
were observed by light microscope. The EOS infiltration and reactivity of airway
wall were compared. The expressions of TLR2 and TLR4 protein and mRNA in the lung
tissue were tested by Western blot and RT-PCR. RESULTS: The lung tissue in the
model group was infiltrated by a lot of inflammatory cells, and mucous membrane
edema was observed, compared with that in the control group. There were only a
few inflammatory cells in the interstitial tissue and pulmonary alveoli in the
experimental group compared with that in the model group. EOS count of airway
wall and airway reactivity decreased in the experimental group. The levels of
TLR2 and TLR4 were significantly elevated in the third week compared with the
first week (p<0.05). CONCLUSIONS: The treatment of DXM can alleviate the
pathological changes of the lung tissue in SD immature rat with allergic asthma,
reduce EOS infiltration in the airway wall, decrease airway reactivity, and
elevate expressions of TLR2 and TLR4.
PMID- 29364487
TI - Prophylactic use of non-invasive mechanical ventilation in lung resection.
AB - OBJECTIVE: To evaluate if the prophylactic application of BiPAP previous to lung
resection and 17 hours postoperatively improves respiratory function. In order to
do this, we studied the results of arterial blood gases and portable spirometry
in the immediate postoperative period and at the first and third postoperative
day. Secondary objectives included evaluating whether this same pattern decreases
the incidence of postoperative pulmonary complications (PPC) and hospital stay.
PATIENTS AND METHODS: This was a prospective, randomized clinical study. Between
January 2012 and June 2013, 50 patients who had undergone lung resection with
posterolateral thoracotomy were assigned to one of two groups by a random number
generator according to whether or not they would receive prophylactic BiPAP pre-
and postoperatively. RESULTS: The results of the gasometric and spirometric
values were similar in both groups. There were no statistically significant
differences (p > 0.05). There was not a decrease in the incidence of PPC in the
group that received prophylactic BiPAP. Likewise, postoperative stay was similar
in both groups. The BiPAP group was 6.60 +/- 4 days and the non BiPAP group was
6.84 +/- 3.94 days (p = 0.63). CONCLUSIONS: One drawback of this work was the
limited number of hours that BiPAP was employed, and when compared to other
studies, the application of low-pressure support. We did not find any significant
differences between using prophylactic BiPAP or not, suggesting that such
treatment should not be performed indiscriminately. More investigations are
needed with a larger number of patients in order to better evaluate the possible
benefits of using prophylactic BiPAP in thoracic surgery.
PMID- 29364488
TI - Physical inactivity induces the atrophy of skeletal muscle of rats through
activating AMPK/FoxO3 signal pathway.
AB - OBJECTIVE: Long-term physical inactivity can cause the atrophy of skeletal
muscle. The aim of this study is to explore the underlying mechanisms of physical
inactivity-induced atrophy of skeletal muscle. MATERIALS AND METHODS: 14 Sprague-
Dawley (SD) male rats were divided into 2 groups including normal control (NC)
and hindlimb suspension (HS) groups. After two weeks of HS stimulation, the ratio
between skeletal muscle weight and body weight, and cross-sectional area (CSA) of
skeletal muscle fibers, were measured. Western blot was applied to evaluate the
expression of proteins associated with atrophy and autophagy. The transmission
electron microscope was used to observe the ultra-microstructure and the
mitochondrial quality of skeletal muscle. RESULTS: The rats subjected to 2-week
HS treatment presented an evident atrophy of the skeletal muscle with a
significantly reduced ratio between skeletal muscle weight and body weight, and
smaller cross-sectional area (CSA) of skeletal muscle fibers when compared with
control rats. Meanwhile, HS stimulation resulted in the damage of mitochondria,
the increased expression of MuRF1 and Atrogin-1/MAFbx, and enhanced apoptosis, as
well as dysfunctional autophagy in skeletal muscle. CONCLUSIONS: HS-induced
skeletal muscle atrophy involves the activation of AMPK/FoxO3 signal pathway,
evidenced as AMPK phosphorylation, FoxO3 activation, and Atrogin-1 and MuRF1 up
regulation. FoxO3-mediated autophagy plays an important regulatory role in HS
induced skeletal muscle atrophy.
PMID- 29364489
TI - Transnasal sphenopalatine ganglion blockade for acute facial pain: a prospective
randomized case-control study.
AB - OBJECTIVE: Long-term physical inactivity can cause the atrophy of skeletal
muscle. The aim of this study is to explore the underlying mechanisms of physical
inactivity-induced atrophy of skeletal muscle. MATERIALS AND METHODS: 14 Sprague-
Dawley (SD) male rats were divided into 2 groups including normal control (NC)
and hindlimb suspension (HS) groups. After two weeks of HS stimulation, the ratio
between skeletal muscle weight and body weight, and cross-sectional area (CSA) of
skeletal muscle fibers, were measured. Western blot was applied to evaluate the
expression of proteins associated with atrophy and autophagy. The transmission
electron microscope was used to observe the ultra-microstructure and the
mitochondrial quality of skeletal muscle. RESULTS: The rats subjected to 2-week
HS treatment presented an evident atrophy of the skeletal muscle with a
significantly reduced ratio between skeletal muscle weight and body weight, and
smaller cross-sectional area (CSA) of skeletal muscle fibers when compared with
control rats. Meanwhile, HS stimulation resulted in the damage of mitochondria,
the increased expression of MuRF1 and Atrogin-1/MAFbx, and enhanced apoptosis, as
well as dysfunctional autophagy in skeletal muscle. CONCLUSIONS: HS-induced
skeletal muscle atrophy involves the activation of AMPK/FoxO3 signal pathway,
evidenced as AMPK phosphorylation, FoxO3 activation, and Atrogin-1 and MuRF1 up
regulation. FoxO3-mediated autophagy plays an important regulatory role in HS
induced skeletal muscle atrophy.
PMID- 29364490
TI - Intranasal drug administration for procedural sedation in children admitted to
pediatric Emergency Room.
AB - OBJECTIVE: Pain relief is a very important aspect in Pediatrician's clinical
practice. It is often thought that young children, particularly infants, do not
perceive as much pain as adults because of their immature nervous system and that
untreated pain would not have adverse long-term consequences. Instead, it has
been demonstrated that infants and children experience pain in a similar manner
to adults. Many factors, particularly emotional factors, can increase the child's
pain perception. Children live with anxiety even minor procedures. This suggests
the need for an adequate sedation and the way of sedation should be free of pain
itself. We believe the route to be followed may be the intranasal (IN)
administration of sedative drugs. MATERIALS AND METHODS: We have conducted a
brief review of the literature by Pubmed about the most commonly used sedative
drugs: sufentanyl, fentanyl, midazolam, ketamine, nitrous oxide and
dexmedetomidine. We have investigated in the literature the type of
administration of IN drugs: drop instillation or by a mucosal atomizer device
(MAD). RESULTS: In our study, it was noted that IN drugs administration is an
effective and safe method to reduce anxiety and to deliver analgesia because it
is practical and non-invasive. Moreover, therapeutic levels of sedatives are low
due to the presence of a rich vascular plexus in the nasal cavity, which
communicates with the subarachnoid space via the olfactory nerve and reduce the
time of medication delivery, that is, the onset of action. The use of MAD even
gives as better bioavailability of drugs. CONCLUSIONS: IN sedation via MAD is
effective and safe and should be one of the first choices for procedural sedation
in children.
PMID- 29364491
TI - Preventive effects of low molecular weight heparin on formation of deep vein
thrombosis by reducing D dimer values in patients undergoing spinal surgery.
AB - OBJECTIVE: Deep vein thrombosis (DVT) is one of the most common complications for
patients undergoing spinal surgery. This study aims to investigate preventive
effects of low-molecular-weight heparin (LMWH) on the formation of DVT. PATIENTS
AND METHODS: This study involved 37 patients who underwent spinal surgery between
April 2016 and April 2017. Patients were divided into LMWH group and Control
group. Clinical parameters, including operation time, intra-operative blood loss,
incision length, post-operative visual analogue scale (VAS), exercise-time
leaving bed and post-operative extubation time, were collected. Blood routine
analysis, including platelet count (PLT), red blood cell count (RBC), white blood
cell count (WBC) and hemoglobin (HGB) were also conducted. Coagulation
parameters, including prothrombin time-international normalized ratio (PT-INR),
fibrinogen (FIB), activated partial thromboplastin time (APTT), thrombin time
(TT), prothrombin time 1 (PT-1) and D-dimer (DD), were evaluated. The drainage
fluid was collected. RESULTS: LMWH treatment significantly decreased operative
time, blood loss and incision length compared to Control group at 1st, 3rd and
7th day post-operation (all p<0.05). LMWH treatment significantly increased WBC
levels compared to Control group at 1st, 3rd and 7th day post-operation (p<0.05).
LMWH treatment significantly decreased DD levels in the post-operative patients
compared to Control group at 1st, 3rd and 7th day post-operation (p<0.05).
However, LMWH treatment doesn't affect drainage amounts of patients. DD levels
were positively correlated with WBC counts for the LMWH treated patients.
CONCLUSIONS: Low molecular weight heparin effectively prevents the formation of
DVT by reducing DD values in patients undergoing spinal surgery.
PMID- 29364492
TI - Rosuvastatin inhibits inflammatory response and resists fibrosis after myocardial
infarction.
AB - OBJECTIVE: To study the effect of rosuvastatin on myocardial infarction in rats
and its mechanism of action. MATERIALS AND METHODS: 24 Sprague-Dawley (SD) rats
were randomly divided into 3 groups: intensive statin group (n=8), myocardial
infarction control group (n=8) and sham-operation group (n=8). The left anterior
descending coronary artery was ligated to establish myocardial infarction models.
Rats in intensive statin group were treated with gavage via rosuvastatin (1 mg *
kg) and 1.5 mL distilled water suspension at 3 d before operation, while rats in
the other two groups received gavage via the same amount of distilled water till
4 weeks after operation. Venous blood was collected using capillary glass tubes
at 3 d before operation (before medication) and the last day in the 4th week
after operation. Interleukin-6 (IL-6) was detected via chemiluminescence assay,
and tumor necrosis factor-alpha (TNF-alpha) was detected via immunofluorescence
assay. Hematoxylin and eosin (HE) staining and Masson staining were performed for
myocardium to detect the inflammation and fibrosis. Finally, the expressions of
inflammatory protein p65, peroxisome proliferator-activated receptor (PPAR) and
fibrin were detected via Western blotting, and the Snail expression was detected
by immunohistochemical assay. RESULTS: The survival rate and cardiac function of
rats in intensive statin group were superior to those in control group. HE
staining and detection of blood IL-6 and TNF-alpha, and p65 and PPAR protein
expressions revealed that the inflammatory levels in the body and myocardium of
rats in intensive statin group were decreased compared with those in control
group. Masson staining and detection of fibrin level showed that the myocardial
fibrosis level of rats in intensive statin group was reduced compared with that
in control group. CONCLUSIONS: Rosuvastatin can reduce the level of myocardial
fibrosis through alleviating the inflammatory response in rats with myocardial
infarction.
PMID- 29364493
TI - Is ovulation induction with letrozole in breast cancer patients still safe even
if it could increase progesterone levels?
AB - Very high progesterone levels (mean 186.6 +/- 43.6 ng/mL) during the luteal phase
were found in a small study of breast cancers patients undergoing controlled
ovarian stimulation (COS) with letrozole plus recombinant FSH. Results highlight
the need to further evaluate this in larger series. While waiting, the clinical
significance of high progesterone levels can be drawn from epidemiological and
experimental data here reviewed in order to give reassurance to the clinician
involved in fertility preservation. If the progesterone increase will be
confirmed, epidemiological and experimental data do not seem to indicate a
detrimental effect or they could even be protective. As this possible rise of
levels is a very short event in the very long lasting and multifactorial breast
carcinogenesis, it is unlikely that it will significantly influence breast cancer
prognosis.
PMID- 29364494
TI - Oxcarbazepine causes neurocyte apoptosis and developing brain damage by
triggering Bax/Bcl-2 signaling pathway mediated caspase 3 activation in neonatal
rats.
AB - OBJECTIVE: Anti-epileptic drugs (AEDs) are the main methods for treatment of
neonatal seizures; however, a few AEDs may cause developing brain damage of
neonate. This study aims to investigate effects of oxcarbazepine (OXC) on
developing brain damage of neonatal rats. MATERIALS AND METHODS: Both of neonatal
and adult rats were divided into 6 groups, including Control, OXC 187.5 mg/kg,
OXC 281.25 mg/kg, OXC 375 mg/kg group, LEV and PHT group. Body weight and brain
weight were evaluated. Hematoxylin and eosin (HE) and Nissl staining were used to
observe neurocyte morphology and Nissl bodies, respectively. Apoptosis was
examined using TUNEL assay, and caspase 8 activity was evaluated using
spectrophotometer method. Cytochrome C-release was evaluated using flow
cytometry. Western blot was used to examine Bax and Bcl-2 expression. RESULTS:
OXC 375 mg/kg treatment significantly decreased brain weight compared to Control
group in neonatal rats (P5 rats) (p<0.05). OXC administration causes histological
changes of neurocytes. OXC 281.25 mg/kg or more concentration significantly
decreased neurocytes counts and increased TUNEL-staining positive neurocytes
compared to Control group (p<0.05). OXC 281.25 mg/kg and OXC 375 mg/kg
significantly increased caspase 3 activity compared to Control group in P5 rats
(p<0.05). OXC 281.25 mg/kg and OXC 375 mg/kg significantly increased Bax, Bax/Bcl
2 ratio and cytochrome C release in frontal lobes compared to Control group in P5
rats (p<0.05). CONCLUSIONS: Oxcarbazepine at a concentration of 281.25 mg/kg or
more causes neurocyte apoptosis and developing brain damage by triggering Bax/Bcl
2 signaling pathway mediated caspase 3 activation in neonatal rats.
PMID- 29364495
TI - New evidence on oral L. plantarum P17630 product in women with history of
recurrent vulvovaginal candidiasis (RVVC): a randomized double-blind placebo
controlled study.
AB - OBJECTIVE: To evaluate activity and tolerability of an oral product containing
the specific probiotic strain Lactobacillus plantarum P17630 on vaginal
colonization of lactic acid bacteria. PATIENTS AND METHODS: L. plantarum P17630
(5x109 CFU/capsule) or placebo were formulated in capsules and administrated
orally to a total of 93 women with a history of recurrent vulvovaginal
candidiasis over a period of 3 treatment cycles (15 days/cycle) separated by 15
day wash-out intervals. Vulvovaginal examinations and vaginal mycological
culture, including Nugent score and Lactobacillary grade (LBG), were assessed at
baseline, at day 45 and at day 90 (end of the study). RESULTS: Probiotic intake
significantly improved lactobacilli colonization on vaginal epithelial cells. The
results demonstrated a significant difference in LBG score when comparing day 0
to day 45 (p=0.000016) and to day 90 (p=0.001415) in women treated with L.
plantarum P17630. No evidence of improvement in LBG score was recorded in women
who received placebo (p<0.005). The increased lactobacilli adhesion was
associated with the improvement of clinical signs such as redness, swelling, and
discharge. CONCLUSIONS: This study demonstrates that the administration of oral
probiotic Lactobacillus plantarum P17630 improves vagina colonization of acid
lactic bacteria (an increase of vaginal LBG score) and suggests the use of this
oral product to successfully prevent episodes of vulvovaginal candidiasis. The
clinical study described in this article is registered in the ISRCTN registry
with trial registration ID ISRCTN12669692.
PMID- 29364496
TI - Biological function of microRNA-30c/SOX9 in pediatric osteosarcoma cell growth
and metastasis.
AB - OBJECTIVE: Osteosarcoma is one of the commonest malignant bone tumors, which
frequently occurs in children all over the world. To find out methods to improve
the therapeutic effect of osteosarcoma, it is necessary to detect the functioning
mechanism of miR-30c to regulate the proliferation and metastasis of osteosarcoma
cell. PATIENTS AND METHODS: In order to reveal the expression level of miR-30c,
quantitative Real-time PCR (qRT-PCR) method was chosen. To evaluate cell
viability and proliferation rates, colony formation and cell counting kit-8
(CCK8) assay were introduced. Based on cell migration and invasion assay,
metastasis capacity of breast cancer cells was studied. Protein levels were
measured by Western blotting assay and cell cycle distribution was identified by
flow cytometry. Bioinformatics analysis and Luciferase assay were used to predict
and verify the target gene. RESULTS: Compared with pericarcinomatous tissues
(n=38), miR-30c in osteosarcoma tissues was significantly suppressed.
Overexpressed miR-30c could weaken osteosarcoma cell's abilities of viability,
proliferation, migration and invasion. Moreover, it could also encourage
osteosarcoma cell apoptosis and block cell cycle at G0/G1 phase. According to
bioinformatics analysis and Luciferase reporter assay, SOX9 was recognized as the
target gene of miR-30c. Restoration of SOX9 could make miR-30c regain the ability
of suppression on tumorigenesis of osteosarcoma cells. CONCLUSIONS: MiR-30c could
play an important role in tumor suppression for pediatric osteosarcoma
development and metastasis by targeting SOX9 in vitro. Thus, a creative and
potential target was provided for diagnosis and treatment of osteosarcoma.
PMID- 29364497
TI - Curative efficacy of penehyclidine combined with edaravone on acute cerebral
infarction and their effects on serum TNF-alpha and NDS score in rats.
AB - OBJECTIVE: To investigate the effects of penehyclidine combined with edaravone on
acute cerebral infarction (ACI) in rats. MATERIALS AND METHODS: A rat model of
middle cerebral artery infarction was created. The rats were randomly divided
into sham, model and treatment group. After grouping, rats in the treatment
groups were treated with edaravone combined with phenecyclidine. The rats in the
sham and model group were given an equal volume of phosphate-buffered saline
(PBS). The therapeutic effects on rats at 3 d and 7 d after treatment were
observed, the levels of serum TNF-alpha, interleukin-6 (IL-6) and high-mobility
group box 1 protein (HMGB1) before and after treatment were compared, and the NDS
scores were recorded. RESULTS: After treatment, the effective rate in treatment
groups was higher than that in control group. The expression levels of serum TNF
alpha, HMGB1 and IL-6 in treatment groups showed gradually decreasing trends
after treatment, and there were significant differences in the levels before and
after treatment (p<0.05). At 3 d, the decrease ranges of expression levels of TNF
alpha, HMGB1, and IL-6 in model and treatment groups were larger than those in
control group; there were statistically significant differences in the expression
levels between the two groups (p<0.05). The NDS score was gradually decreased
after treatment, while the activities of daily living (ADL) score were gradually
increased after treatment. There were significant differences in the scores
between the two groups at each time point (p<0.05). There were positive
correlations of the expression levels of serum IL-6 and HMGB1 with the expression
level of TNF-alpha (correlation coefficient=0.8731 and 0.9084, p<0.01), and there
was also a positive correlation between the TNF-alpha level and the NDS score
(correlation coefficient=0.8331, p<0.01). CONCLUSIONS: Penehyclidine combined
with edaravone has a better clinical treatment effect on ACI rats, which can
significantly reduce the levels of serum TNF-alpha, IL-6 and HMGB1 and the NDS
score, so it is worthy of popularization in clinical application.
PMID- 29364498
TI - Synthetic cathinones related fatalities: an update.
AB - OBJECTIVE: Synthetic cathinones, more commonly known as "bath salts", are
synthetic drugs chemically related to cathinone, a psychostimulant found in the
khat plant. They are the first most consumed products among new psychoactive
substances, which cause psychostimulant and hallucinogenic effects determining a
number of fatalities worldwide. In this paper, we have systematically reviewed
cases of synthetic cathinones-related fatalities analytically confirmed, which
have occurred in the last few years. MATERIALS AND METHODS: Relevant scientific
articles were identified in Medline, Cochrane Central, Scopus, Web of Science and
Institutional/government websites up to November 2017 using the following
keywords: synthetic cathinones, mephedrone, methylenedioxypyrovalerone, MDPV,
methylone, ethylone, buthylone, fatal intoxication, fatalities and death.
RESULTS: In total, 20 citations met the criteria for inclusion, representing
several fatal cases with analytically confirmed synthetic cathinones in
biological sample/s of the deceased. The death was attributed to hyperthermia,
hypertension, cardiac arrest and more in general to the classic serotonin
syndrome. Only rarely did the concentration of the parent drug causing fatality
overcome the value of 1 mg/L in post-mortem biological fluids. CONCLUSIONS: Abuse
of synthetic cathinones still represents a serious public health issue.
Systematic clinical studies on both the animal and human model are lacking;
therefore, the only available data are from the users who experience the possible
hazardous consequences. Analytical methodologies for the identification of parent
compounds and eventual metabolites both in ante-mortem and post-mortem cases need
to be developed and validated. Analytical data should be shared through different
communication platforms with the aim of stopping this serious health threat for
drug users.
PMID- 29364499
TI - Toll-like receptors and radiation protection.
AB - Exposure to ionizing radiation (IR) causes severe injuries to the human body, and
normal tissue toxicity also limits the further application of cancer
radiotherapy. However, current clinically used radioprotective agents are
difficult to produce satisfactory effects. Toll-Like receptor (TLR) is a kind of
pattern recognition receptor (PRR) that has been extensively studied for
radioprotection in recent years. Several TLR family members are closely related
to radioprotection. In cultured cells, TLR2, TLR5 or TLR9 agonist was proved to
inhibit radiation-induced apoptosis and increase cell survival. TLR5 ligand
CBLB502 was reported to alleviate bone marrow and intestinal injuries in mice and
rhesus monkeys. Activation of TLR4 by its agonist LPS can protect bone marrow
damage and lower mice mortality after irradiation. TLR9 ligand also exhibited
protective effects on mid jejunum. Moreover, some kinds of TLR agonists, such as
TLR2/6 co-agonist CBLB613, were reported to be more effective in radioprotection
than single TLR agonist. In conclusion, TLRs and their ligands provide novel
strategies for radiation protection in nuclear accidents as well as protection of
normal tissues during cancer radiotherapy.
PMID- 29364500
TI - Autosomal-dominant myopia associated to a novel P4HA2 missense variant and
defective collagen hydroxylation.
AB - We recently described a complex multisystem syndrome in which mild-moderate
myopia segregated as an independent trait. A plethora of genes has been related
to sporadic and familial myopia. More recently, in Chinese patients severe myopia
(MYP25, OMIM:617238) has been linked to mutations in P4HA2 gene. Seven family
members complaining of reduced distance vision especially at dusk underwent
complete ophthalmological examination. Whole-exome sequencing was performed to
identify the gene responsible for myopia in the pedigree. Moderate myopia was
diagnosed in the family which was associated to the novel missense variant
c.1147A > G p.(Lys383Glu) in the prolyl 4-hydroxylase,alpha-polypeptide 2 (P4HA2)
gene, which catalyzes the formation of 4-hydroxyproline residues in the collagen
strands. In vitro studies demonstrated P4HA2 mRNA and protein reduced expression
level as well as decreased collagen hydroxylation and deposition in mutated
fibroblast primary cultures compared to healthy cell lines. This study suggests
that P4HA2 mutations may lead to myopic axial elongation of eyeball as a
consequence of quantitative and structural alterations of collagen. This is the
first confirmatory study which associates a novel dominant missense variant in
P4HA2 with myopia in Caucasian patients. Further studies in larger cohorts are
advisable to fully clarify genotype-phenotype correlations.
PMID- 29364501
TI - WNT10B mutations associated with isolated dental anomalies.
AB - Isolated hypodontia is the most common human malformation. It is caused by
heterozygous variants in various genes, with heterozygous WNT10A variants being
the most common cause. WNT10A and WNT10B are paralogs that likely evolved from a
common ancestral gene after its duplication. Recently, an association of WNT10B
variants with oligodontia (severe tooth agenesis) has been reported. We performed
mutational analysis in our cohort of 256 unrelated Thai families with various
kinds of isolated dental anomalies. In 7 families afflicted with dental anomalies
we detected 4 heterozygous missense variants in WNT10B. We performed whole exome
sequencing in the patients who had WNT10B mutations and found no mutations in
other known hypodontia-associated genes, including WNT10A, MSX1, PAX9, EDA,
AXIN2, EDAR, EDARADD, LPR6, TFAP2B, LPR6, NEMO, KRT17, and GREM2. Our findings
indicate that the variants c.475G>C [p.(Ala159Pro)], found in 4 families, and
c.1052G>A [p.(Arg351His)], found in 1 family, are most probably causative. They
also show that WNT10B variants are associated not only with oligodontia and
isolated tooth agenesis, but also with microdontia, short tooth roots, dental
pulp stones, and taurodontism.
PMID- 29364502
TI - ARRDC3 suppresses colorectal cancer progression through destabilizing the
oncoprotein YAP.
AB - Although colorectal cancer (CRC) is a prevalent malignancy of the digestive
system, the underlying mechanisms of CRC tumorigenesis are still elusive.
Arrestin-related domain-containing protein-3 (ARRDC3) has been reported to
promote lysosome-mediated protein degradation. In the present study, we find that
the expression of ARRDC3 is downregulated in CRC specimens. Mechanistically, we
reveal that ARRDC3 binds and decreases expression of the oncoprotein YAP, the
cotranscription factor of the Hippo pathway. The regulation of the Hippo pathway
by ARRDC3 is conserved from Drosophila to mammals. Furthermore, we demonstrate
that ARRDC3 plays an anti-oncogenic role in CRC progression by promoting YAP
degradation. Finally, we show that ARRDC3 increases the sensitivity of CRC cells
toward chemotherapeutic drugs. Taken together, our findings point to ARRDC3 as a
potential target for CRC treatment.
PMID- 29364503
TI - Surgery for postvitrectomy cataract.
AB - BACKGROUND: Cataract formation or acceleration can occur after intraocular
surgery, especially following vitrectomy, a surgical technique for removing the
vitreous that is used in the treatment of many disorders that affect the
posterior segment of the eye. The underlying problem that led to vitrectomy may
limit the benefit from removal of the cataractous lens. OBJECTIVES: To evaluate
the effectiveness and safety of surgery versus no surgery for postvitrectomy
cataract with respect to visual acuity, quality of life, and other outcomes.
SEARCH METHODS: We searched the Cochrane Central Register of Controlled Trials
(CENTRAL) (which contains the Cochrane Eyes and Vision Trials Register) (2017,
Issue 5), MEDLINE Ovid (1946 to 17 May 2017), Embase.com (1947 to 17 May 2017),
PubMed (1946 to 17 May 2017), Latin American and Caribbean Health Sciences
Literature database (LILACS) (January 1982 to 17 May 2017), the metaRegister of
Controlled Trials (mRCT) (www.controlled-trials.com); last searched May 2013,
ClinicalTrials.gov (www.clinicaltrials.gov); searched 17 May 2017, and the World
Health Organization (WHO) International Clinical Trials Registry Platform (ICTRP)
(www.who.int/ictrp/search/en); searched 17 May 2017. We did not use any date or
language restrictions in the electronic searches for trials. SELECTION CRITERIA:
We planned to include randomized controlled trials (RCTs) and quasi-RCTs that had
compared surgery versus no surgery to remove the lens from eyes of adults in
which cataracts had developed following vitrectomy. DATA COLLECTION AND ANALYSIS:
Two review authors independently screened the search results according to the
standard methodological procedures expected by Cochrane. MAIN RESULTS: We found
no RCTs or quasi-RCTs that had compared surgery versus no surgery to remove the
lens from eyes of adults in which cataracts had developed following vitrectomy.
AUTHORS' CONCLUSIONS: There is no evidence from RCTs or quasi-RCTs on which to
base clinical recommendations for surgery for postvitrectomy cataract. There is a
clear need for RCTs to address this evidence gap. Such trials should stratify
participants by their age, the retinal disorder leading to vitrectomy, and the
status of the underlying disease process in the contralateral eye. Outcomes
assessed in such trials may include changes (both gains and losses) of visual
acuity, quality of life, and adverse events such as posterior capsular rupture
and retinal detachment. Both short-term (six-month) and long-term (one- or two
year) outcomes should be examined.
PMID- 29364504
TI - Rapid and sensitive surface-enhanced Raman spectroscopy (SERS) method combined
with gold nanoparticles for determination of paraquat in apple juice.
AB - BACKGROUND: Paraquat, a highly efficient herbicide, is widely used in
agricultural practices throughout the world. However, paraquat residues in food
pose a threat to human health. In order to develop a rapid and sensitive method,
surface-enhanced Raman spectroscopy (SERS) coupled with gold nanoparticles was
applied to analysis of paraquat in apple juice. RESULTS: Natural organic
compounds (sugars and organic acids) in apple juice interfered with SERS
measurement. Sample preparation was needed. Paraquat could be detected at
concentrations as low as 0.02 and 0.1 ug mL- 1 with the weak cation-exchange
solid-phase extraction (WCX-SPE) method and dilution method for sample
preparation, respectively. For quantitative analysis, the R2 cv of the partial
least-squares regression model with the dilution method (0.939) was not as good
as with the WCX-SPE method (0.984), but the dilution method is much less costly,
simpler and time saving. Satisfactory recovery values were obtained ranging from
94.73% to 114.81%, with the exception of 56.55% for the lowest concentration.
CONCLUSION: This work showed that SERS combined with gold nanoparticles could
determine paraquat in apple juice. As a simple, rapid and ultrasensitive method,
it has great practical potential for detection of other contaminants in a variety
of foods. (c) 2018 Society of Chemical Industry.
PMID- 29364505
TI - The application of digestive tract lactic acid bacteria with high esterase
activity for zearalenone detoxification.
AB - BACKGROUND: Zearalenone (ZEA) is an estrogenic mycotoxin produced by several
Fusarium species and frequently contaminates cereals used for food or animal
feed. This study attempted to select lactic acid bacteria (LAB) with high
esterase activity from the digestive tract, with the goal of using these bacteria
for ZEA detoxification. RESULTS: No ZEA activity-related biotransformation
products were observed in three isolates (B1, B2 and D10) during incubation in
the presence of ZEA. All three LAB strains were Lactobacillus plantarum, but the
API 50 CHL results suggested that the three isolates were different strains.
Increased esterase activity was associated with an increase in cell growth, and
the ZEA-detoxifying capabilities of isolates rely on the concentration of
bacteria in the culture medium. The lipolytic activity and ZEA removal assay
indicated that ZEA degradation by the supernatant fraction was dependent on
esterase activity; the supernatant of B2 strain showed the highest ZEA
degradation ability and did not release the binding ZEA back into the medium. The
D10 strain showed fast ZEA binding ability during the late log phase but began to
release the bound ZEA back into the medium after the early stationary phase. All
isolates showed good acid and bile salt tolerance ability but all strains showed
low adhesion ability to epithelial cells. CONCLUSION: Based on the ZEA removal
characterization and ability of the isolates, it is suggested that the isolates
could be applied to ZEA detoxification of contaminated feed, but the with the
requirement of high cell number for ZEA binding and limited degradation time
before absorption of ZEA in the digestive tract. (c) 2018 Society of Chemical
Industry.
PMID- 29364507
TI - Decreased rates of cerebral protein synthesis measured in vivo in a mouse model
of Tuberous Sclerosis Complex: unexpected consequences of reduced tuberin.
AB - Tuberous sclerosis complex (TSC) is an autosomal dominant neurogenetic disorder
affecting about 1 in 6000 people and is caused by mutations in either TSC1 or
TSC2. This disorder is characterized by increased activity of mammalian target of
rapamycin complex 1 (mTORC1), which is involved in regulating ribosomal
biogenesis and translation initiation. We measured the effects of Tsc2
haploinsufficiency (Tsc2+/- ) in 3-month-old male mice on regional rates of
cerebral protein synthesis (rCPS) by means of the in vivo L-[1-14 C]leucine
method. This quantitative autoradiographic method includes an estimate of the
integrated specific activity of the tracer amino acid in brain tissue. The
estimate accounts for recycling of unlabeled amino acids from tissue protein
breakdown by means of a factor (lambda) that was determined in control and Tsc2+/
mice. The value of lambda was higher in Tsc2+/- mice, indicating that a greater
fraction of leucine in the tissue precursor pool for protein synthesis is derived
from the plasma compared to controls, consistent with reduced rates of protein
degradation. We determined rCPS in freely moving, awake male Tsc2+/- and control
mice, and we used the determined values of lambda in the calculation of rCPS.
Unexpectedly, we found that rCPS were significantly decreased in 16 of the 17
brain regions analyzed in Tsc2+/- mice compared to controls. Our results indicate
a complex role of mTORC1 in the regulation of cerebral protein synthesis that has
not been previously recognized.
PMID- 29364508
TI - Selection of Leuconostoc strains isolated from artisanal Serrano Catarinense
cheese for use as adjuncts in cheese manufacture.
AB - BACKGROUND: Serrano Catarinense cheese is a raw bovine milk cheese produced in
the region of Santa Catarina, Brazil. Twelve representative strains of
Leuconostoc isolated from 20 samples of this artisanal cheese were selected and
submitted for evaluation of the acidifying, proteolytic, autolytic,
aminopeptidase and lipolytic activities, NaCl and acid resistance, production of
dextran and biogenic amines and antimicrobial activity. The aim was to
genetically and technologically characterize the Leuconostoc strains in order to
use them in mixed starter cultures for cheese manufacture. RESULTS: Leuconostoc
mesenteroides subsp. mesenteroides was the species that accounted for the largest
proportion of isolates of Leuconostoc genus. Two leuconostoc isolates stood out
in the acidifying activity, with reduction in pH of 1.12 and 1.04 units. The
isolates showed low proteolytic and autolytic activity. Most of the isolates were
dextran producers, presented good resistance to the salt and pH conditions of the
cheese and showed antimicrobial activity against cheese pathogen bacteria, and
none of them produced biogenic amines. CONCLUSION: These results allowed the
selection of five strains (UEL 04, UEL 12, UEL 18, UEL 21 and UEL 28) as good
candidates for use as adjunct cultures for cheese manufacture. (c) 2018 Society
of Chemical Industry.
PMID- 29364506
TI - The mitochondrial transcription factor TFAM in neurodegeneration: emerging
evidence and mechanisms.
AB - The mitochondrial transcription factor A, or TFAM, is a mitochondrial DNA (mtDNA)
binding protein essential for genome maintenance. TFAM functions in determining
the abundance of the mitochondrial genome by regulating packaging, stability, and
replication. More recently, TFAM has been shown to play a central role in the
mtDNA stress-mediated inflammatory response. Emerging evidence indicates that
decreased mtDNA copy number is associated with several aging-related pathologies;
however, little is known about the association of TFAM abundance and disease. In
this Review, we evaluate the potential associations of altered TFAM levels or
mtDNA copy number with neurodegeneration. We also describe potential mechanisms
by which mtDNA replication, transcription initiation, and TFAM-mediated
endogenous danger signals may impact mitochondrial homeostasis in Alzheimer,
Huntington, Parkinson, and other neurodegenerative diseases.
PMID- 29364509
TI - Early psychotherapeutic empathy, alliance, and client outcome: Preliminary
evidence of indirect effects.
AB - The association between psychotherapeutic empathy and client outcome is well
established, yet the mechanisms underlying this association remain poorly
understood. We hypothesized that early experiences of empathy influence outcome
through the working alliance. To test this hypothesis, we used archival data
collected from 56 clients (mean [M] age = 19.5 years, 83.9% female, 76.8% White)
who reported mild, moderate, or severe depressive symptoms at screening and
pretreatment assessments and then received five sessions of evidence-based
psychotherapy. Therapists (M age = 26.0 years, 50% female, 100% White) were six
students in a clinical psychology PhD program. Results of bootstrap analyses were
consistent with the idea that early experiences of empathy strengthen the
alliance (specifically the goals and tasks facets), which in turn facilitates
improvements in depressive symptoms and psychological well-being. While
preliminary, these results implicate a specific pathway of change in the
treatment of depression.
PMID- 29364510
TI - Structural basis of the specific interactions of GRAS family proteins.
AB - The plant-specific GAI-RGA-and-SCR (GRAS) family of proteins function as
transcriptional regulators and play critical roles in development and signalling.
Recent structural studies have shed light on the molecular functions at the
structural level. The conserved GRAS domain comprises an alpha-helical cap and
alpha/beta core subdomains. The alpha-helical cap mediates head-to-head
heterodimerization between SHR and SCR GRAS domains. This type of dimerization is
predicted for the NSP1-NSP2 heterodimer and DELLA proteins such as RGA and SLR1
homodimers. The alpha/beta core subdomain possesses a hydrophobic groove formed
by surface alpha3- and alpha7-helices and mediates protein-protein interactions.
The groove of the SHR GRAS domain accommodates the zinc fingers of JKD, a
BIRD/IDD family transcription factor, while the groove of the SCL7 GRAS domain
mediates the SCL7 homodimerization.
PMID- 29364511
TI - [18 F]GE180 positron emission tomographic imaging indicates a potential double
hit insult in the intrahippocampal kainate mouse model of temporal lobe epilepsy.
AB - OBJECTIVE: Accumulating evidence suggests that brain inflammation, elicited by
epileptogenic insults, is involved in epilepsy development. Noninvasive nuclear
imaging of brain inflammation in animal models of epileptogenesis represents a
diagnostic in vivo approach with potential for direct translation into the
clinic. Here, we investigated up-regulation of the translocator protein (TSPO)
indicative of microglial activation by serial [18 F]GE180 positron emission
tomographic (PET) imaging in a mouse model of temporal lobe epilepsy. METHODS: As
epileptogenic insult, a status epilepticus (SE) was induced in mice by
intrahippocampal injection of kainate. Post-SE mice injected with kainate and
sham-injected mice were subjected to [18 F]GE180 PET scans before SE and at 2
days, 5-7 days, 2 weeks, 3 weeks, 7 weeks, and 14 weeks postinsult. For data
evaluation, brain regions ipsilateral and contralateral to the injection site
were outlined by coregistration with a standard mouse brain atlas, and percentage
of injected dose per cubic centimeter was calculated. In addition, a statistical
parametric mapping analysis, comparing post-SE mice to baseline, sham mice to
baseline, and post-SE to sham mice was performed. RESULTS: Following SE,
elevations in [18 F]GE180 uptake were most prominent in the ipsilateral
hippocampus, occurring between 2 days and at least 7 weeks after SE, with a peak
at 5-7 days after SE. In the contralateral hippocampus and other epilepsy
associated brain regions, increased tracer uptake was observed with a similar
time profile but to a lesser extent. Moderate enhancement of tracer uptake was
also evident in mice after sham surgery. SIGNIFICANCE: TSPO in vivo imaging
reliably detects brain inflammation during epileptogenesis. These inflammatory
processes most prominently affect the hippocampus ipsilateral to the injection
site. Inflammation induced by the traumatic insult associated with surgery
synergistically contributes to total brain inflammation and may also contribute
to epileptogenesis. The revealed time course of neuroinflammation will help to
identify appropriate time points for anti-inflammatory, potentially
antiepileptogenic treatment.
PMID- 29364512
TI - The creation and integration of a nurse educator position in two hospitals in
Haiti.
AB - BACKGROUND: Continuing education is an integral part of nursing professional
development and improving healthcare delivery, but literature on continuing
education initiatives in low-resource settings is limited. PURPOSE: To describe
the creation and integration of a nurse educator (NE) position in two Haitian
hospitals and highlight barriers and facilitators experienced by the NEs in their
role. METHODS: Four NEs and three support staff involved in the creation and
integration of the NE positions were interviewed. Supplementary data were
gathered through participant observation and document review. Data were compiled
and summarized. DISCUSSION: NEs were hired to assess learning needs, evaluate
skills, train and mentor nurses, and provide ongoing support to assure
application of new knowledge. Barriers included lack of specialized training and
limited informational resources to develop education activities, role confusion
and heavy workload, poor attendance and disparate education needs of nurses, and
insufficient hospital resources and support to implement practice changes.
Facilitators included previous management experience, peer support, and a
perception of being valued by patients and colleagues and making a difference
regarding nursing care and patient outcomes. CONCLUSION: The NE is a leadership
role and a promising, sustainable initiative for developing the nursing
profession in Haiti.
PMID- 29364513
TI - N-Palmitoyl Ethanol Amide Pharmacological Treatment in Patients With Nonsurgical
Lumbar Radiculopathy.
AB - Palmitoyl ethanol amide (PEA) is an endogenous substance that plays a role in
neuropathic pain. In this article, we evaluated both the safety and the efficacy
of ultramicronized PEA (um-PEA) in the treatment of low back pain related to
nonsurgical lumbar radiculopathy. In this prospective single-blind study,
patients with low back pain related to nonsurgical lumbar radiculopathy received
the fixed combination acetaminophen/codeine (500 mg + 30 mg/d) for 7 days, and
then it was stopped and changed to um-PEA (1200 mg/d) for 30 days. Patients
without an improvement in pain or disability started a second cycle of treatment
with um-PEA (600 mg/d in tablets) for 30 days and then acetaminophen/codeine for
30 days. A total of 155 patients were included in the analysis. After the first
cycle of treatment we recorded an improvement of pain in all patients with mild
pain (visual analog scale score from 3-4 to 1) and in 75% of the patients with
moderate pain (visual analog scale score from 5-6 to 2). After the second cycle,
we recorded an improvement of pain and disability in all patients with moderate
pain (P < .01), but in 26% of patients with severe pain we did not record any
improvement in disability (P > .05). In conclusion we evaluated the role of um
PEA in patients with lumbar radiculopathy with a long-term follow-up (24 months)
and put in evidence the effectiveness and the safety of this formulation in
patients with mild and moderate pain.
PMID- 29364514
TI - E3 ubiquitin ligases Cbl-b and c-Cbl downregulate PD-L1 in EGFR wild-type non
small cell lung cancer.
AB - Anti-PD-1/PD-L1 therapies have demonstrated prominent clinical effects in the
treatment of non-small cell lung cancer (NSCLC). However, limited understanding
of the regulatory mechanisms of PD-L1 has become one of the biggest challenges
for further improving efficacy. In this study, we observed that in wild-type EFGR
cell lines A549 and H460, the ubiquitin ligases Cbl-b and c-Cbl inhibit PD-L1 by
inactivating STAT, AKT, and ERK signaling. MiR-181a and miR-940 were screened and
validated to target Cbl-b and c-Cbl, respectively. Furthermore, in NSCLC tissues,
the expression of Cbl-b/c-Cbl is negatively correlated with PD-L1 expression.
Taken together, these findings indicated a new regulatory mechanism for PD-L1 in
wild-type EGFR NSCLC cell lines by Cbl-b and c-Cbl.
PMID- 29364515
TI - A Canadian upland forest soil profile and carbon stocks database.
AB - "A Canadian upland forest soil profile and carbon stocks database" was compiled
in phases over a period of 10 years to address various questions related to
modeling upland forest soil carbon in a national forest carbon accounting model.
For 3,253 pedons, the SITES table contains estimates for soil organic carbon
stocks (Mg/ha) in organic horizons and mineral horizons to a 100-cm depth, soil
taxonomy, leading tree species, mean annual temperature, annual precipitation,
province or territory, terrestrial ecozone, and latitude and longitude, with an
assessment of the quality of information about location. The PROFILES table
contains profile data (16,167 records by horizon) used to estimate the carbon
stocks that appear in the SITES table, plus additional soil chemical and physical
data, where provided by the data source. The exceptions to this are estimates for
soil carbon stocks based on Canadian National Forest Inventory data (NFI [2006]
in REFERENCES table), where data were collected by depth increment rather than
horizon and, therefore, total soil carbon stocks were calculated separately
before being entered into the SITES table. Data in the PROFILES table include the
carbon stock estimate for each horizon (corrected for coarse fragment content),
and the data used to calculate the carbon stock estimate, such as horizon
thickness, bulk density, and percent organic carbon. The PROFILES table also
contains data, when reported by the source, for percent carbonate carbon, pH,
percent total nitrogen, particle size distribution (percent sand, silt, clay),
texture class, exchangeable cations, cation and total exchange capacity, and
percent Fe and Al. An additional table provides references (REFERENCES table) for
the source data. Earlier versions of the database were used to develop national
soil carbon modeling categories based on differences in carbon stocks linked to
soil taxonomy and to examine the potential of using soil taxonomy and leading
tree species to improve accuracy in modeled predictions. The current database is
being used to develop soil carbon model parameters linked to soil taxonomy and
leading tree species and, by various governmental and nongovernmental
organizations, to improve digital mapping of ecosite types and soil properties
regionally, nationally, and internationally. (c) Her Majesty the Queen in Right
of Canada, 2018. Information contained in this publication or product may be
reproduced, in part or in whole, and by any means, for personal or public non
commercial purposes, without charge or further permission, unless otherwise
specified. You are asked to: exercise due diligence in ensuring the accuracy of
the materials reproduced; indicate the complete title of the materials
reproduced, and the name of the author organization; indicate that the
reproduction is a copy of an official work that is published by Natural Resources
Canada (NRCan) and that the reproduction has not been produced in affiliation
with, or with the endorsement of, NRCan. Commercial reproduction and distribution
is prohibited except with written permission from NRCan. For more information,
contact NRCan at copyright.droitdauteur@nrcan-rncan.gc.ca.
PMID- 29364516
TI - Iron promotes alpha-synuclein aggregation and transmission by inhibiting TFEB
mediated autophagosome-lysosome fusion.
AB - Recent studies have strongly shown that cell-to-cell transmission of
neuropathogenic proteins is a common mechanism for the development of
neurodegenerative diseases. However, the underlying cause is complex and little
is known. Although distinct processes are involved in the pathogenesis of various
diseases, they all share the common feature of iron accumulation, an attribute
that is particularly prominent in synucleinopathies. However, whether iron is a
cofactor in facilitating the spread of alpha-synuclein remains unclear. Here, we
constructed a cell-to-cell transmission model of alpha-synuclein using SN4741
cell line based on adenovirus vectors. Cells were treated with FeCl2, and alpha
synuclein aggregation and transmission were then evaluated. In addition, the
possible mechanisms were investigated through gene knockdown or over-expression.
Our results demonstrated that iron promoted alpha-synuclein aggregation and
transmission by inhibiting autophagosome-lysosome fusion. Furthermore, iron
decreased the expression of nuclear transcription factor EB (TFEB), a master
transcriptional regulator of autophagosome-lysosome fusion, and inhibited its
nuclear translocation through activating AKT/mTORC1 signaling. After silencing
TFEB, ratios of alpha-synuclein aggregation and transmission were not
significantly altered by the presence of iron; on the other hand, when TFEB was
over-expressed, the transmission of alpha-synuclein induced by iron was obviously
reversed; suggesting the mechanism by which iron promotes alpha-synuclein
transmission may be mediated by TFEB. Taken together, our data reveal a
previously unknown relationship between iron and alpha-synuclein, and identify
TFEB as not only a potential target for preventing alpha-synuclein transmission,
but also a critical factor for iron-induced alpha-synuclein aggregation and
transmission. Indeed, this newly discovered role of iron and TFEB in
synucleinopathies may provide novel targets for developing therapeutic strategies
to prevent alpha-synuclein transmission in Parkinson's disease.
PMID- 29364518
TI - Protective Effect of Denosumab on Bone in Older Women with Primary
Hyperparathyroidism.
AB - OBJECTIVES: To determine the effect of denosumab, which is used in primary
osteoporosis (PO), in primary hyperparathyroidism (PHPT)-related osteoporosis.
DESIGN: Retrospective, longitudinal study. SETTING: Outpatient osteoporosis
clinic. PARTICIPANTS: Older women with PHPT (78.6 +/- 5.5) (n = 25) and PO (78.8
+/- 5.2) (n = 25) matched on age, body mass index, familial history of hip
fracture, femoral bone mineral density (BMD), and personal history of fragility
fractures. INTERVENTION: Twenty-four months of denosumab therapy. MEASUREMENTS:
We assessed the calcium-phosphorus metabolism parameters; BMD at the lumbar spine
(LS), femoral neck (FN), and total hip (TH) using dual X-ray absorptiometry; and
morphometric vertebral fractures using radiographs in all subjects at baseline
and after 24 months. Changes in BMD and total alkaline phosphatase (ALP) activity
were considered significant if they were greater than the least significant
change (LS 2.8%, FN 5.9%, TH 4.8%, ALP -22%) and were expressed as percentage
difference between end of follow-up and baseline (Delta). RESULTS: After 24
months, women with PHPT had greater DeltaALP (-30.6 +/- 11.3), DeltaFN (5.6 +/-
4.8), and DeltaTH (4.8 +/- 4.4) than those with PO (DeltaALP -21.4 +/- 13.1,
DeltaFN 2.9 +/- 4.8, DeltaTH 1.2 +/- 4.1, P < .05 for all comparisons). A
significant increase in BMD was more frequent in women with PHPT (92%) than in
those with PO (52%, P < .05) and it was 13.4 times as likely in women with PHPT
as in those with PO (P = .02), regardless of possible confounders. Two subjects
in each group had an incident fracture. CONCLUSIONS: Denosumab therapy is
effective in older women with PHPT-related osteoporosis.
PMID- 29364517
TI - Coffee, tea and caffeine consumption and risk of primary infertility in women: a
Danish cohort study.
AB - INTRODUCTION: The aim of this study was to investigate whether consumption of
coffee, tea and caffeine affects the risk of primary infertility in women.
MATERIAL AND METHODS: We selected nulliparous Danish women aged 20-29 years from
a prospective cohort and retrieved information on coffee and tea consumption from
a questionnaire and an interview at enrollment. We assessed the women's fertility
by linkage to the Danish Infertility Cohort and retrieved information on children
and vital status from the Civil Registration System. All 7574 women included for
analysis were followed for primary infertility from the date of enrollment (1991
1993) until 31 December 2010. Analyses were performed with Cox proportional
hazard models. RESULTS: During follow up, primary infertility was diagnosed in
822 women. Compared with never consumers, the risk of primary infertility among
women who drank coffee or tea was not affected. The risk of primary infertility
was neither associated with an increasing number of daily servings of coffee
(hazard ratio 1.00; 95% confidence interval (CI), 0.97-1.03) or tea (hazard ratio
1.01; 95% CI, 0.99-1.03) in consumers only. Concerning total caffeine consumption
(from coffee and tea), the risk of infertility was similar among consumers
compared with never consumers. Finally, none of the additional daily 100 mg of
caffeine affected the risk among consumers only (hazard ratio 1.00; 95% CI 0.98
1.02). CONCLUSIONS: In this population-based cohort study, not restricted to
women seeking pregnancy, we found no association between coffee, tea or total
caffeine consumption and the risk of primary infertility in women.
PMID- 29364519
TI - CRISPR/Cas9-Mediated Fluorescent Tagging of Endogenous Proteins in Human
Pluripotent Stem Cells.
AB - Human induced pluripotent stem cells (hiPSCs) can be used to mass produce
surrogates of human tissues, enabling new advances in drug screening, disease
modeling, and cell therapy. Recent developments in clustered regularly
interspaced short palindromic repeats (CRISPR)/Cas9 genome editing technology use
homology-directed repair (HDR) to efficiently generate custom hiPSC lines
harboring a variety of genomic insertions and deletions. Thus, hiPSCs that encode
an endogenous protein fused to a fluorescent reporter protein can be rapidly
created by employing CRISPR/Cas9 genome editing, enhancing HDR efficiency and
optimizing homology arm length. These fluorescently tagged hiPSCs can be used to
visualize protein function and dynamics in real time as cells proliferate and
differentiate. Given that nearly any intracellular protein can be fluorescently
tagged, this system serves as a powerful tool to facilitate new discoveries
across many biological disciplines. In this unit, we present protocols for the
design, generation, and monoclonal expansion of genetically customized hiPSCs
encoding fluorescently tagged endogenous proteins. (c) 2018 by John Wiley & Sons,
Inc.
PMID- 29364521
TI - Biosafety in Handling Gene Transfer Vectors.
AB - This unit is devoted to safety issues that must be considered when generating and
working with the most common vectors under development for human gene therapy
today. (c) 2018 by John Wiley & Sons, Inc.
PMID- 29364520
TI - Balanced Chromosomal Rearrangement Detection by Low-Pass Whole-Genome Sequencing.
AB - Balanced chromosomal rearrangements (or balanced chromosome abnormalities, BCAs)
are common chromosomal structural variants. Emerging studies have demonstrated
the feasibility of using whole-genome sequencing (WGS) for detection of BCA
associated breakpoints, but the requirement for a priori knowledge of the
rearranged regions from G-banded chromosome analysis limits its application. The
protocols described here are based on low-pass WGS for detecting BCA events
independent from chromosome analysis, and has been validated using genomic data
from the 1000 Genomes Project. This approach adopts non-size-selected mate-pair
library (3~8 kb) with 2~3 MUg DNA as input, and requires only 30 million read
pairs (50 bp, equivalent to 1-fold base-coverage) for each sample. The complete
procedure takes 13 days and the total cost is estimated to be less than $600
(USD) per sample. (c) 2018 by John Wiley & Sons, Inc.
PMID- 29364522
TI - Differentiation and Contractile Analysis of GFP-Sarcomere Reporter hiPSC
Cardiomyocytes.
AB - Human induced pluripotent stem cell-derived cardiomyocytes (hiPSC-CMs) represent
a powerful cellular platform for illuminating mechanisms of human cardiovascular
disease and for pharmacological screening. Recent advances in CRISPR/Cas9
mediated genome editing technology underlie this profound utility. We have
generated hiPSC-CMs harboring fluorescently-tagged sarcomeric proteins, which
provide a tool to non-invasively study human sarcomere function and dysfunction.
In this unit, we illustrate methods for conducting high-efficiency, small
molecule-mediated differentiation of hiPSCs into cardiomyocytes, and for
performing non-invasive contractile analysis through direct sarcomere tracking of
GFP-sarcomere reporter hiPSC-CMs. We believe that this type of analysis can
overcome sensitivity problems found in other forms of contractile assays
involving hiPSC-CMs by directly measuring contractility at the fundamental
contractile unit of the hiPSC-CM, the sarcomere. (c) 2018 by John Wiley & Sons,
Inc.
PMID- 29364523
TI - Population Pharmacokinetics of the TNF-alpha and IL-17A Dual-Variable Domain
Antibody ABT-122 in Healthy Volunteers and Subjects With Psoriatic or Rheumatoid
Arthritis: Analysis of Phase 1 and 2 Clinical Trials.
AB - ABT-122 is an IgG1 dual-variable domain immunoglobulin that specifically blocks
TNF-alpha and IL-17A. This work characterized ABT-122 pharmacokinetics using
nonlinear mixed-effects modeling and ABT-122 serum concentrations from 72 healthy
subjects, 196 subjects with rheumatoid arthritis (RA), and 144 subjects with
psoriatic arthritis (PsA) enrolled in 4 phase 1 and 2 phase 2 studies (0.1-10
mg/kg intravenously and 0.3-3 mg/kg subcutaneous single doses and 0.3-3.0 mg/kg
subcutaneous and 60-240 mg subcutaneous doses weekly or every other week). A 2
compartment model with a combination of linear clearance (0.419 L/day) and
nonlinear clearance (relevant only at low doses; Vmax and Km of 0.155 mg/day and
0.0458 mg/L, respectively) described ABT-122 pharmacokinetics. Subcutaneous
bioavailability was 35%-58% across formulations and populations. Body weight was
a significant covariate for ABT-122 clearance, with subjects with body weight of
140 and 40 kg estimated to have 38% lower and 43% higher ABT-122 AUC,
respectively, compared with a 70-kg reference subject. ABT-122 antidrug antibody
(ADA) titer (ADA incidence, 47%; 0 to 519 000 titer range in the data set) was a
continuous covariate on ABT-122 clearance. An ADA titer of 100 units resulted in
a 5-fold increase in clearance. Sex, age, and baseline serum albumin or baseline
C-reactive protein level did not impact ABT-122 exposure. Fixed-effects and
random-effects parameters were estimated with a relative standard error of <=17%
and <=28%, respectively, and the model was qualified using bootstrap analysis and
visual predictive checks. This analysis characterized ABT-122 exposure across
populations and supported exposure-response analyses of ABT-122 efficacy in RA
and PsA.
PMID- 29364524
TI - OsMADS57 together with OsTB1 coordinates transcription of its target OsWRKY94 and
D14 to switch its organogenesis to defense for cold adaptation in rice.
AB - Plants modify their development to adapt to their environment, protecting
themselves from detrimental conditions such as chilling stress by triggering a
variety of signaling pathways; however, little is known about how plants
coordinate developmental patterns and stress responses at the molecular level.
Here, we demonstrate that interacting transcription factors OsMADS57 and OsTB1
directly target the defense gene OsWRKY94 and the organogenesis gene D14 to trade
off the functions controlling/moderating rice tolerance to cold. Overexpression
of OsMADS57 maintains rice tiller growth under chilling stress. OsMADS57 binds
directly to the promoter of OsWRKY94, activating its transcription for the cold
stress response, while suppressing its activity under normal temperatures. In
addition, OsWRKY94 was directly targeted and suppressed by OsTB1 under both
normal and chilling temperatures. However, D14 transcription was directly
promoted by OsMADS57 for suppressing tillering under the chilling treatment,
whereas D14 was repressed for enhancing tillering under normal condition.We
demonstrated that OsMADS57 and OsTB1 conversely affect rice chilling tolerance
via targeting OsWRKY94. Our findings highlight a molecular genetic mechanism
coordinating organogenesis and chilling tolerance in rice, which supports and
extends recent work suggesting that chilling stress environments influence organ
differentiation.
PMID- 29364525
TI - Intestinal parasites: Associations with intestinal and systemic inflammation.
AB - The aim of the present study was to evaluate associations between intestinal
parasitic infection with intestinal and systemic inflammatory markers in school
aged children with high rates of obesity. Plasma concentrations of C-Reactive
Protein (CRP), leptin, TNF-alpha, IL-6 and IL-10 were measured as systemic
inflammation markers and count of stool leukocytes as marker of intestinal
inflammation in 291 children (6-10 years). Intestinal parasitic infection was
measured by stool examination. Logistic regression analyses were performed to
determine the odds of having high inflammatory markers for each parasite or group
of parasites as compared to parasite-free children while adjusting for sex, age,
mother's educational level and percentage of body fat. The prevalence of soil
transmitted helminths and intestinal protozoa infections was 12% and 36%,
respectively. Parasitic infection was not associated with CRP, IL-6, IL-10 or TNF
alpha. Children infected with Ascaris lumbricoides (aOR: 5.91, 95% CI: 1.97
17.70) and Entamoeba coli (aOR: 8.46, 95% CI: 2.85-25.14) were more likely to
have higher stool leucocytes than parasite-free children. Children with multiple
infections (aOR: 10.60, 95% CI: 2.85-25.14) were more likely to have higher
leptin concentrations than parasite-free children. Intestinal parasitic infection
was not associated with systemic inflammation, but was associated with intestinal
inflammation. Having multiple infections were associated with higher leptin
concentrations.
PMID- 29364526
TI - Practice environment as perceived by nurses in acute care hospitals in Sharjah
and North Emirates.
AB - AIM: To explore nurses' perceptions of their practice environment in acute care
hospitals in Sharjah and North Emirates in the United Arab Emirates (UAE).
BACKGROUND: The health of the environment in which registered nurses' work is
critical to nursing outcomes. The interest to examine the practice environment
extended to the Gulf area which has a complex healthcare system including the
UAE. METHODS: The study used an exploratory descriptive design with a qualitative
part using two focus group interviews. The sample size was 450 nurses selected
through a random sampling method. A self-administered questionnaire including the
Practice Environment Scale of Nursing Work Index (PES-NWI) was used. In addition,
semi-structured interviews for two focus groups were done. RESULTS: The results
showed that UAE practicing nurses reported favorable perceptions of most aspects
of their practice environment. Unfavorable perceptions were only reported for
Staffing and Resource Adequacy. The analysis of focus group discussions resulted
in different emerged themes such as Lack of Recognition and Career Promotion, and
Nurses' Workload due to Paper and Administrative Work. CONCLUSIONS: The findings
of this study suggest that strategic interventions are needed to secure adequate
staff and resources and implement an effective system for evaluation of
performance.
PMID- 29364527
TI - The process of reflection: A principle-based concept analysis.
AB - The purpose of this paper is to evaluate the concept reflection as a process
using a principle-based method of analysis that acknowledges the philosophical
premises of formal cognitive operations (experiencing, understanding, judging,
and deciding) espoused by Bernard Lonergan. The aim is to understand how we think
and process cognitively in reflection while developing consciousness in knowing.
Using Lonergan's formal cognitive operations adds a philosophical perspective and
structure to the concept. Fostering the enactment of reflection is a complex
process, but it is vital for nursing science and disciplinary advancement.
PMID- 29364528
TI - Phylogenetic, expression and functional characterizations of the maize NLP
transcription factor family reveal a role in nitrate assimilation and signaling.
AB - Although nitrate represents an important nitrogen (N) source for maize, a major
crop of dryland areas, the molecular mechanisms of nitrate uptake and
assimilation remain poorly understood. Here, we identified nine maize NIN-like
protein (ZmNLP) genes and analyzed the function of one member, ZmNLP3.1, in
nitrate nutrition and signaling. The NLP family genes were clustered into three
clades in a phylogenic tree. Comparative genomic analysis showed that most ZmNLP
genes had collinear relationships to the corresponding NLPs in rice, and that the
expansion of the ZmNLP family resulted from segmental duplications in the maize
genome. Quantitative PCR analysis revealed the expression of ZmNLP2.1, ZmNLP2.2,
ZmNLP3.1, ZmNLP3.2, ZmNLP3.3, and ZmNLP3.4 was induced by nitrate in maize roots.
The function of ZmNLP3.1 was investigated by overexpressing it in the Arabidopsis
nlp7-1 mutant, which is defective in the AtNLP7 gene for nitrate signaling and
assimilation. Ectopic expression of ZmNLP3.1 restored the N-deficient phenotypes
of nlp7-1 under nitrate-replete conditions in terms of shoot biomass, root
morphology and nitrate assimilation. Furthermore, the nitrate induction of
NRT2.1, NIA1, and NiR1 gene expression was recovered in the 35S::ZmNLP3.1/nlp7-1
transgenic lines, indicating that ZmNLP3.1 plays essential roles in nitrate
signaling. Taken together, these results suggest that ZmNLP3.1 plays an essential
role in regulating nitrate signaling and assimilation processes, and represents a
valuable candidate for developing transgenic maize cultivars with high N-use
efficiency.
PMID- 29364529
TI - Time separation technique: Accurate solution for 4D C-Arm-CT perfusion imaging
using a temporal decomposition model.
AB - PURPOSE: The issue of perfusion imaging using a temporal decomposition model is
to enable the reconstruction of undersampled measurements acquired with a slowly
rotating x-ray-based imaging system, for example, a C-arm-based cone beam
computed tomography (CB-CT). The aim of this work is to integrate prior knowledge
into the dynamic CT task in order to reduce the required number of views and the
computational effort as well as to save dose. The prior knowledge comprises of a
mathematical model and clinical perfusion data. METHODS: In case of model-based
perfusion imaging via superposition of specified orthogonal temporal basis
functions, a priori knowledge is incorporated into the reconstructions. Instead
of estimating the dynamic attenuation of each voxel by a weighting sum, the
modeling approach is done as a preprocessing step in the projection space. This
point of view provides a method that decomposes the temporal and spatial domain
of dynamic CT data. The resulting projection set consists of spatial information
that can be treated as individual static CT tasks. Consequently, the high
dimensional model-based CT system can be completely transformed, allowing for the
use of an arbitrary reconstruction algorithm. RESULTS: For CT, reconstructions of
preprocessed dynamic in silico data are illustrated and evaluated by means of
conventional clinical parameters for stroke diagnostics. The time separation
technique presented here, provides the expected accuracy of model-based CT
perfusion imaging. Consequently, the model-based handled 4D task can be solved
approximately as fast as the corresponding static 3D task. CONCLUSION: For C-arm
based CB-CT, the algorithm presented here provides a solution for resorting to
model-based perfusion reconstruction without its connected high computational
cost. Thus, this algorithm is potentially able to have recourse to the benefit
from model-based perfusion imaging for practical application. This study is a
proof of concept.
PMID- 29364530
TI - Cancer genetics meets biomolecular mechanism-bridging an age-old gulf.
AB - Increasingly available genomic sequencing data are exploited to identify genes
and variants contributing to diseases, particularly cancer. Traditionally,
methods to find such variants have relied heavily on allele frequency and/or
familial history, often neglecting to consider any mechanistic understanding of
their functional consequences. Thus, while the set of known cancer-related genes
has increased, for many, their mechanistic role in the disease is not completely
understood. This issue highlights a wide gap between the disciplines of genetics,
which largely aims to correlate genetic events with phenotype, and molecular
biology, which ultimately aims at a mechanistic understanding of biological
processes. Fortunately, new methods and several systematic studies have proved
illuminating for many disease genes and variants by integrating sequencing with
mechanistic data, including biomolecular structures and interactions. These have
provided new interpretations for known mutations and suggested new disease
relevant variants and genes. Here, we review these approaches and discuss
particular examples where these have had a profound impact on the understanding
of human cancers.
PMID- 29364531
TI - Maturation periods for Coffea arabica cultivars and their implications for yield
and quality in Brazil.
AB - BACKGROUND: Climatic conditions directly affect the maturation period of coffee
plantations, affecting yield and beverage quality. The quality of coffee
beverages is highly correlated with the length of fruit maturation, which is
strongly influenced by meteorological elements. The objective was to estimate the
probable times of graining and maturation of the main coffee varieties in Brazil
and to quantify the influences of climate on coffee maturation. We used degree
days to estimate flowering/graining periods (green fruit) and
flowering/maturation periods (cherry fruit) for all cultivars. We evaluated the
influence of climate on the time of maturity using Pearson correlation and
nonlinear regression analysis and successfully mapped the influences of these
elements. RESULTS: Arabica coffee matured up to 2-3 months earlier in Sao Paulo,
where air temperatures (TAIR ) were higher, than in Minas Gerais, which would
allow earlier harvesting and the training of seedlings at the beginning of the
rainy season. Catuai-Amarelo-IAC-62 cultivar needed 205-226 days between the end
of flowering and maturation at locations with high TAIR and 375-396 days at
locations with low TAIR . CONCLUSION: Water surplus and deficit were generally
the most important variables for coffee maturation. Coffee matured faster in
regions with high TAIR and evapotranspiration, moderate altitudes and deficits.
Acaia-Cerrado-MG-1474 and Icatu-Precoce-Amarelo-3282 were cultivars with an early
cycle. (c) 2018 Society of Chemical Industry.
PMID- 29364532
TI - Plant 'muscles': fibers with a tertiary cell wall.
AB - Plants, although sessile organisms, are nonetheless able to move their body
parts; for example, during root contraction of geophytes or in the gravitropic
reaction by woody stems. One of the major mechanisms enabling these movements is
the development of specialized structures that possess contractile properties.
Quite unlike animal muscles, for which the action is driven by protein-protein
interactions in the protoplasma, the action of plant 'muscles' is polysaccharide
based and located in the uniquely designed, highly cellulosic cell wall that is
deposited specifically in fibers. This review describes the development of such
cell walls as a widespread phenomenon in the plant kingdom, gives reasons why it
should be considered as a tertiary cell wall, and discusses the mechanism of
action of the 'muscles'. The origin of the contractile properties lies in the
tension of the axially oriented cellulose microfibrils due to entrapment of
rhamnogalacturonan-I aggregates that limits the lateral interaction of
microfibrils. Long side chains of the nascent rhamnogalacturonan-I are trimmed
off during cell wall maturation leading to tension development. Similarities in
the tertiary cell wall design in fibers of different plant origin indicate that
the basic principles of tension creation may be universal in various
ecophysiological situations.
PMID- 29364533
TI - An interprofessional approach: The new paradigm in nursing education.
PMID- 29364534
TI - Italian multicentre study found infectious and vaccine-preventable diseases in
children adopted from Africa and recommends prompt medical screening.
AB - AIM: This study evaluated the prevalence of infectious diseases and immunisation
status of children adopted from Africa. METHODS: We studied 762 African children
referred to 11 Italian paediatric centres in 2009-2015. Clinical and laboratory
data were retrospectively collected and analysed. RESULTS: The median age of the
children (60.3% males) was 3 years and 6 months, 52.6% came from Ethiopia and
50.1% had at least one infectious disease. Parasitic infections accounted for the
majority of the infectious diseases (409 of 715), and the most common were
Giardia lamblia (n = 239), Toxocara canis (n = 65) and skin infections (n = 205),
notably Tinea capitis/corporis (n = 134) and Molluscum contagiosum (n = 56)
Active tuberculosis (TB) was diagnosed in nine children (1.2%). Latent TB
infections were diagnosed in 52 (6.8%) children, and only 23 had concordant
positive tuberculin skin tests and Quantiferon Gold In-Tube results. Discordant
results were associated with Bacille de Calmette-Guerin vaccinations (odd ratio
6.30 and 95% confidence interval of 1.01-39.20, p = 0.011). Nonprotective
antitetanus or antihepatitis B antibody titres were documented in 266 (34.9%) and
396 (51.9%) of the 762 children. CONCLUSION: The prevalence of infectious
conditions and not-protective titres for vaccine-preventable diseases observed in
our population underlines the need for prompt and complete medical screening of
children adopted from Africa.
PMID- 29364535
TI - Potential impacts of poor communication on early diagnosis of HIV-associated
neurocognitive disorder.
AB - AIM: To ascertain whether community-based healthcare providers were collecting
appropriate information to identify patients at risk of HIV-associated
neurocognitive disorder and whether related documentation was complete.
BACKGROUND: HIV-associated neurocognitive disorder is a treatable neurological
condition that can affect more than 20% of those infected with the HIV. Signs and
symptoms of cognitive impairment may be subtle; therefore, documentation of
medical and social information could be beneficial in identifying those at risk.
DESIGN: Cross-sectional descriptive study. METHODS: An audit of patient records
was completed by two community-based interdisciplinary teams with particular
attention to the documentation of clinical and social indicators for those at
risk of HIV-associated neurocognitive disorder. Data were collected over weeks
during 2015. RESULTS: Data were retrieved from both electronic medical record
systems and hard copy patient records. Documentation was incomplete in every
patient record (N = 262), including the absence of important clinical data
relating to nadir CD4 + T-cell count (91%), HIV viral load (36%), current
caregiver (19%), and living circumstances (14%). Up to 40% of recorded
medications and results were unconfirmed by the person's medical practitioner.
CONCLUSION: Poor documentation can lead to incomplete information, which can
delay early intervention for those at risk of HIV-associated neurocognitive
disorder. Collection and recording of patient data needs to be consistent, as
complete documentation is essential for integrating care, provision of clinical
support and, importantly, for identifying those at risk of developing HIV
associated neurocognitive disorder.
PMID- 29364536
TI - Suicide by charcoal burning: a digital age phenomenon.
PMID- 29364537
TI - High-fibre enteral feeding results in improved anthropometrics and favourable
gastrointestinal tolerance in malnourished children with growth failure.
AB - AIM: The practical value of using fibre-enriched enteral feeding regimens to
rehabilitate malnourished children remains inconclusive. This study determined
the usage patterns, gastrointestinal tolerance, anthropometrics and safety of
high-fibre enteral feeding in malnourished children with growth failure. METHODS:
This Turkish observational study between February 2013 and June 2015 comprised
345 paediatric patients from 17 centres with malnutrition-related growth failure,
with a weight and height of <2 SD percentiles for their age. Changes in
anthropometrics, gastrointestinal symptoms, defecation habits and safety data
relating to adverse events were analysed during the six-month follow-up period.
RESULTS: Most subjects (99.7%) were supplemented with enteral feeding. The
absolute difference and 95% confidence interval values for the Z scores of height
for age, weight for age, weight for height and body mass index for height
increased significantly in four months to six months to 0.21 (0.09-0.32), 0.61
(0.51-0.70), 0.81 (0.56-1.06) and 0.70 (0.53-0.86), respectively (p < 0.001 for
each). The percentage of patients with normal defecation frequency significantly
increased from 70.3% to 92.8% at the four months to six months visit (p = 0.004).
Adverse events occurred in 15 (4.3%) of patients. CONCLUSION: Using a six-month
high-fibre enteral feeding was associated with favourable outcomes in
anthropometrics, appetite, gastrointestinal tolerance and safety in malnourished
children.
PMID- 29364538
TI - Clonidine as a preoperative sedative.
AB - The purpose of this study was to -examine the use of oral clonidine as a
preoperative sedative prior to parenteral moderate sedation. Initially, four
patients were given 0.2 mg oral clonidine but reduced to 0.1 mg clonidine due to
significant drops in blood pressure. Oral clonidine doses of 0.1 mg were then
given to 19 patients preoperatively. In all these patients, blood pressure
measurements decreased, but there were no significant differences in amounts of
sedative agents needed in the clonidine group and the control group (N = 80). The
conclusions reached suggest that clonidine has an advantage over other
preoperative sedation agents in anxious patients exhibiting hypertension and
tachycardia. However, the preop ideal dose required to reduce the amount of
sedative drugs used as well as provide anxiolysis remains unknown. In further
studies, different doses should be explored to determine what dosage of clonidine
may offer hemodynamic protection as well as decrease sedative drugs needed.
PMID- 29364539
TI - Hematological and Biochemical Assessment of Two Species of Freshwater Mussels,
Quadrula quadrula and Amblema plicata, Following Translocation.
AB - Nondestructive, sublethal, and sensitive health monitoring tools are needed to
assess the health of freshwater mussels (family Unionidae). Recent developments
to standardize hemocyte characterization have assisted in the hematologic
assessment of wild and captive freshwater mussels. In this study, preliminary
baseline hematological reference ranges were established for wild mapleleaf
mussels Quadrula quadrula (n = 14) and threeridge mussels Amblema plicata (n =
20) collected from the Muskingum River in Devola, Ohio. Mussels were collected
from the wild, and hemolymph was sampled from each mussel in the field upon
capture (baseline sample). They were then transported live to a propagation
facility. Subsequent hemolymph samples were collected at 2 and 4 weeks and
quarterly thereafter for 11 months following translocation. Hemocyte counts,
hemocyte morphology, and hemolymph chemistry (Na+ , Cl- , Mg2+ , P3- , K+ , Ca2+
, glucose, alanine aminotransferase, aspartate aminotransferase, and alkaline
phosphatase]) were measured from each sample on each sampling occasion. Hemocyte
counts were consistently greater in Q. quadrula than in A. plicata following
transfer to captivity. Baseline hemocyte morphology and hemolymph chemistry
varied between species. This study provides a foundation of reference ranges for
hemocyte characterization for Q. quadrula, and A. plicata and a preliminary
understanding of how hemocyte character might be expected to change when wild
mussels are translocated into captivity, and thus be a useful technique for
monitoring the health of freshwater mussels.
PMID- 29364540
TI - Tactile stimulation during neonatal transition and its effect on vital parameters
in neonates during neonatal transition.
AB - AIM: This study analysed tactile stimulation during neonatal transition and
resuscitation in preterm and term neonates born by Caesarean delivery. It
examined the frequency, location and body region, duration and possible effects
of stimulation on heart rate and arterial oxygen saturation (SpO2 ). METHODS: Two
independent investigators analysed video recordings of tactile stimulation on
term and preterm neonates during neonatal transition from January 2012 to
December 2014. They were recorded during a prospective observational study and
randomised controlled trial at a tertiary centre, the Medical University of Graz,
Austria. SpO2 and heart rate were continuously recorded. Data on the frequency,
body region and duration of stimulation were collected. To investigate the
possible effects of stimulation, SpO2 and heart rate were compared before and
after stimulation. RESULTS: Term infants received tactile stimulation more than
once, and it tended to start later, last longer and be applied in more locations
than in preterm infants. Only preterm infants showed a significant increase in
SpO2 after stimulation and heart rates did not show any significant changes in
either group. CONCLUSION: Tactile stimulation was applied in different ways to
preterm and term infants during neonatal transition and SpO2 showed a significant
increase in preterm infants.
PMID- 29364541
TI - Cross-sectional study of randomly selected 18-year-old students showed that body
mass index was only associated with sleep duration in girls.
AB - AIM: This study investigated the associations, by sex, between sleep and
adiposity, dietary habits, cardiorespiratory fitness and metabolic risk in 18
year-old students. METHODS: We carried out a cross-sectional study of 199
randomly chosen, healthy 18-year-old students (53% girls) in Iceland's capital
region. The data collection took place in the winter months of 2012 to 2015. The
anthropometric measurements were body mass index, waist circumference and body
fat percentage. Sleep duration and dietary habits were self-reported.
Cardiorespiratory fitness was measured on a stationary bicycle. A subsample of
152 participants gave blood samples. RESULTS: A quarter of the adolescents failed
to reach the minimum recommended sleep duration of seven hours per night on
weekdays. In girls, the average sleep score was associated with body mass index
and waist circumference, after adjusting for cardiorespiratory fitness and
unhealthy eating scores. In boys, the average sleep score was not associated with
anthropometric measurements, but cardiorespiratory fitness was an independent
predictor (p < 0.001). No associations were found between average sleep scores
and metabolic profiles in either sex. CONCLUSION: Sleep duration and adiposity
only appeared to be associated in girls and were independent of cardiorespiratory
fitness and unhealthy eating. Sleep duration was not related to metabolic risk.
PMID- 29364543
TI - Measuring Clinical Supervision; how beneficial is yours and how do you know?
PMID- 29364542
TI - Drug-induced aseptic meningitis: a mini-review.
AB - Aseptic meningitis associates a typical clinical picture of meningitis with the
absence of bacterial or fungal material in the cerebrospinal fluid. Drug-induced
aseptic meningitis (DIAM) may be due to two mechanisms: (i) a direct meningeal
irritation caused by the intrathecal administration of drugs and (ii) an
immunologic hypersensitivity reaction to a systemic administration. If the direct
meningeal irritation allows a rather easy recognition, the immunologic
hypersensitivity reaction is a source of challenging diagnostics. DIAM linked to
a systemic treatment exerts typically an early onset, usually within a week. This
period can be shortened to a few hours in case of drug rechallenge. The fast and
spontaneous regression of clinical symptoms is usual after stopping the suspected
drug. Apart from these chronological aspects, no specific clinical or biological
parameters are pathognomonic. CSF analysis usually shows pleiocytosis. The
proteinorachia is increased while glycorachia remains normal. Underlying
pathologies can stimulate the occurrence of DIAM. Thus, systemic lupus
erythematosus appears to promote DIAM during NSAID therapy, especially ibuprofen
based one. Similarly, some patients with chronic migraine are prone to
intravenous immunoglobulin-induced aseptic meningitis. DIAM will be mainly evoked
on chronological criteria such as rapid occurrence after initiation, rapid
regression after discontinuation, and recurrence after rechallenge of the
suspected drug. When occurring, positive rechallenge may be very useful in the
absence of initial diagnosis. Finally, DIAM remains a diagnosis of elimination.
It should be suggested only after all infectious causes have been ruled out.
PMID- 29364544
TI - Hematology and serum biochemistry of free-ranging and captive Sechuran foxes
(Lycalopex sechurae).
AB - BACKGROUND: Hematologic and serum biochemical reference values obtained from
captive or free-ranging wildlife populations may not be comparable as there can
be significant variations due to preanalytic and analytic differences, including
methods of capture and restraint, overall management in captivity including diet
and composition of animal groups, and analytic methods being used. Hematology and
serum biochemistry have never been studied in captive or free-ranging populations
of Sechuran foxes (Lycalopex sechurae). OBJECTIVES: The purposes of the study
were to determine hematologic and serum biochemical RI in Sechuran foxes and to
explore differences in these variables related to sex and overall life
circumstances. METHODS: Blood samples were obtained from 15 free-ranging and 15
captive Sechuran foxes. Hematology variables were assessed by blood smear
examination and automated analyzer methodology. Serum biochemical analysis was
performed by automated analyzer methodology. Descriptive statistics were
calculated for each variable. Data obtained from free-ranging and captive groups
were statistically compared and RIs were calculated. RESULTS: Captive Sechuran
foxes had significantly (P < .05) higher MCH, MCHC, and eosinophil counts and
significantly lower band neutrophil counts than free-ranging foxes. Free-ranging
Sechuran foxes had significantly (P < .05) higher serum lipase and globulins and
significantly lower albumin, total bilirubin, and indirect bilirubin than captive
foxes. CONCLUSIONS: These findings suggest that there are hematologic and serum
biochemical differences between captive and free-ranging Sechuran fox
populations. Hence, such differences should be considered when using these
variables to assess the health status of this species.
PMID- 29364545
TI - Influence of excessive exercise on immunity, metabolism, and gut microbial
diversity in an overtraining mice model.
AB - The purpose of this study was to evaluate the negative influence of excessive
exercise on immunity, substance and energy metabolism as well as gut microbiota
in mice. Firstly, an overtraining model of Male Kunming mice was established by
high-intensity swimming exercise for 4 weeks. Then, a series of evaluation
indicators, including the routine blood analysis, immune organ coefficient,
digestive enzymes, and aquaporins expression levels of small intestine and colon
tissue, histological examinations of liver, spleen, small intestine, and colon,
were determined based on this model. Furthermore, 16S rRNA gene sequencing was
also employed to measure the microbial composition in gut. The results found that
immune parameters, substance and energy metabolism of all mice was altered and
disturbed after high-intensity swimming for 4 weeks, led to an atrophy of thymus
and spleen as well as abnormal structural changes in liver when compared to non
swimming mice. Besides, excessive swimming mice had lower microbial diversity
compared to non-swimming mice. However, there was no significant difference in
gut microbial taxa between the two groups. The data indicated that excessive
exercise exhibits negative impacts on immunity, substance and energy metabolism
as well as gut microbial diversity.
PMID- 29364546
TI - Use of antibiotic envelopes to prevent cardiac implantable electronic device
infections: A meta-analysis.
AB - INTRODUCTION: The rates of cardiac implantable electronic device (CIED)
infections have risen over the past decade and are associated with increased
hospitalizations and mortality. A number of preventative strategies have been
developed including an antibiotic coated envelope, but it has yet to gain
widespread use. METHODS: A meta-analysis was performed on controlled studies of
the antibiotic envelope. PubMed and Google Scholar were searched for studies
comparing infection rates with and without the use of an antibiotic envelope.
Studies including both new implants and pulse generator replacements were
included in the analysis. RESULTS: Five studies were included in the meta
analysis. A total of 4,490 patients underwent CIED implantation, 1,798 with an
antibiotic envelope and 2,692 without an envelope. In the pooled cohort, the
envelope was associated with a 69% relative risk reduction in CIED infection
(0.31 [0.17, 0.58] 95% CI, P = 0.0002). Propensity matched data from three
studies were analyzed to ensure accurate comparison. In the risk-matched cohort,
infections were significantly lower in the envelope group (3 vs. 26, P < 0.0003).
CONCLUSION: The use of antibiotic envelopes in CIED implant is associated with a
significantly lower rate of infection.
PMID- 29364547
TI - The genetic architecture of nodal root number in maize.
AB - The maize nodal root system plays a crucial role in the development of the
aboveground plant and determines the yield via the uptake of water and nutrients
in the field. However, the genetic architecture of the maize nodal root system is
not well understood, and it has become the 'dark matter' of maize genetics. Here,
a large teosinte-maize population was analyzed, and high-resolution mapping
revealed that 62 out of 133 quantitative trait loci (QTLs), accounting for
approximately half of the total genetic variation in nodal root number, were
derived from QTLs for flowering time, which was further validated through a
transgenic analysis and a genome-wide association study. However, only 16% of the
total genetic variation in nodal root number was derived from QTLs for plant
height. These results gave a hint that flowering time played a key role in
shaping nodal root number via indirect selection during maize domestication. Our
results also supported that more aerial nodal roots and fewer crown roots might
be favored in temperate maize, and this root architecture might efficiently
improve root-lodging resistance and the ability to take up deep water and
nitrogen under dense planting.
PMID- 29364549
TI - Dipeptidyl Peptidase-4 Inhibitors and Heart Failure Exacerbation in the Veteran
Population: An Observational Study.
AB - OBJECTIVES: The dipeptidyl peptidase-4 inhibitors (DPP-4 inhibitors) are
effective modulators of fasting and postprandial hyperglycemia in patients with
type 2 diabetes mellitus (T2DM). In 2013 the Saxagliptin Assessment of Vascular
Outcomes Recorded in Patients with Diabetes Mellitus-Thrombolysis in Myocardial
Infarction 53 (SAVOR-TIMI 53) clinical trial found an increased risk of heart
failure exacerbation, as a secondary outcome, among patients treated with
saxagliptin. This study examines the safety of DPP-4 inhibitors as a class in
T2DM in relation to risk of heart failure exacerbations. METHODS: Retrospective
cohort study of two groups of patients using data from the national Department of
Veteran's Affairs (VA) Health Care System: patients initially prescribed DPP-4
inhibitors with or without second-generation sulfonylureas and/or metformin
(exposed group) compared with patients initially prescribed only second
generation sulfonylureas and/or metformin (unexposed group) between August 1,
2013, and August 30, 2016. The primary aim of this study was to determine the
difference in 1-year heart failure exacerbation rate in patients with T2DM
between the exposed and unexposed groups. Data were analyzed using the chi2
Student t test and Kaplan-Meier analysis. Significance was set at p<0.05.
RESULTS: The study evaluated 672,265 patients: 33,614 patients in the exposed
group and 638,651 patients in the unexposed group. Overall, 130 (0.38%) heart
failure exacerbations were documented in the exposed group, and 2222 (0.34%)
heart failure exacerbations were documented in the unexposed group; the
difference in exacerbation rate was nonsignificant between groups (p=0.24). In a
subgroup analysis of patients with a baseline diagnosis of heart failure, the
difference in rate of heart failure exacerbations remained nonsignificant
(p=0.334). CONCLUSIONS: Patients in the veteran population with T2DM treated with
DPP-4 inhibitors did not demonstrate a significant increase in risk for heart
failure exacerbation, regardless of whether a patient had been previously
diagnosed with heart failure. This finding potentially supports safe usage of DPP
4 inhibitors in this patient population regardless of heart failure diagnosis.
PMID- 29364548
TI - Efficacy of micafungin for the treatment of invasive candidiasis and candidaemia
in patients with neutropenia.
AB - Neutropenia is linked to the development of invasive candidiasis/candidaemia, for
which micafungin has demonstrated efficacy, but evidence in patients with
neutropenia is limited. The aim of this study was to evaluate the efficacy of
micafungin for the treatment of invasive candidiasis/candidaemia in patients with
neutropenia (<500 neutrophils/MUL) and without neutropenia. This pooled, post hoc
analysis of 2 Phase 3 trials compared micafungin 100 mg/d (adults) and 2 mg/kg/d
(paediatrics) with L-AmB 3 mg/kg/d (NCT00106288) and micafungin 100 mg/d and 150
mg/d with caspofungin 70 mg/d followed by 50 mg/d (adults) (NCT00105144);
treatment duration 2-4 weeks (<=8 weeks for chronic disseminated candidiasis).
Effects of neutropenia duration and Candida spp. on efficacy outcomes (treatment
success, clinical and mycological response) were examined. Of 685 patients, 77
had neutropenia. The most common infection in patients with/without neutropenia
was due to C. tropicalis (31/77) and C. albicans (295/608) respectively. Overall
success was numerically lower in patients with vs without neutropenia (63.6% vs
72.9%). Clinical and mycological response was similar between groups. Neutropenia
duration or Candida spp. did not impact micafungin's overall success rate. This
analysis supports evidence that micafungin is effective against invasive
candidiasis/candidaemia in patients with neutropenia, irrespective of neutropenia
duration or Candida spp., although overall success may be lower than in patients
without neutropenia.
PMID- 29364550
TI - Probing Cyclic pi-Electron Delocalization in an Imidazol-2-ylidene and a
Corresponding Imidazolium Salt.
AB - The extent of cyclic pi-electron delocalization in the N-heterocyclic ring of an
imidazol-2-ylidene (i.e., 1,3,4,5-tetramethylimidazol-2-ylidene) and its
corresponding imidazolium salt (i.e., 1,3,4,5-tetramethylimidazolium chloride)
has been investigated theoretically by using Bader's quantum theory of atoms in
molecules (QTAIM) descriptors, delocalization indices, electron localizability
indicators (ELI-Ds), and the source function tool. In addition, the experimental
electron density distribution for the imidazolium salt has been obtained and
analyzed from 100 K X-ray diffraction data. A significant drop is found in the
ellipticity of the electron density along the Ccarbene -N bond path in the
imidazol-2-ylidene. This is shown to be a natural consequence of the sigma lone
pair of the Ccarbene atom, which overwhelms the pi-electron density, rather than
a sign of a significantly diminished degree of pi-electron delocalization in the
imidazol-2-ylidene compared to its imidazolium salt. In fact, the source
functions, the ELI-Ds, and the delocalization indices all probe a quite similar
extent of cyclic pi-electron delocalization in the N-heterocyclic rings of the
two compounds.
PMID- 29364551
TI - Acid burn attacks: Looking beneath the surface.
PMID- 29364552
TI - Troubleshooting electromagnetic interference in a patient with centrifugal flow
left ventricular assist device and subcutaneous implantable cardioverter
defibrillator.
AB - A 25-year-old man with severe nonischemic dilated cardiomyopathy underwent
subcutaneous implantable cardioverter defibrillator (S-ICD) implant and
subsequently underwent HeartWare ventricular assist device (HVAD) placement.
Postoperative interrogation revealed both primary and secondary S-ICD vectors
inappropriately regarded sinus rhythm as "noise," and the alternate vector
significantly undersensed sinus rhythm. The S-ICD was reinterrogated using high
resolution capture to visually confirm EMI with a dominant frequency in both the
primary and secondary vectors of 46.67 Hz that fell within the S-ICD operational
range of 9-60 Hz. The 46.67 Hz frequency correlated with the HVAD operational
speed of 2,800 RPM. The HVAD pump speed was increased from 2,800 to 3,000 RPM,
resulting in a dominant frequency of 50 Hz. The notch filter is nonprogrammable
in S-ICDs. However, the built-in filter is 50 Hz for countries in European time
zones as opposed to 60 Hz in US time zones due to differences in the anticipated
noise from electrical sources within each continent. Thus, the S-ICD time zone
was reprogrammed from EST to GMT, which reduced the notch filter from 60 to 50
Hz, resulting in S-ICD successfully eliminating EMI when the patient was in a
supine position. The EMI interference was still intermittently present in the
upright patient position. This case demonstrates the utility of high-resolution
electrogram capture to identify the source and frequency of EMI in S-ICD and
offers a potential avenue to troubleshoot dominant frequency oversensing by
changing the device time zone.
PMID- 29364553
TI - Assessing relative cost of complications following orthotopic liver transplant.
AB - INTRODUCTION: Perioperative complications impose both a clinical and financial
burden on patients and the healthcare system. This study sought to identify the
frequency and economic impact of complications following orthotopic liver
transplantation (OLT). METHODS: The Premier Perspective(r) Hospital Database was
queried for patients undergoing OLT between 2008 and 2015. Complications were
identified by ICD-9 code and grouped by complication type. Complication frequency
as well as impact on clinical and economic outcomes was calculated. Complication
frequency and effect on cost were combined to determine the annual impact of each
complication type on perioperative OLT cost. RESULTS: Among 2747 OLT patients,
the most common groups of complications following OLT were pulmonary, bleeding,
and infectious. The complications with the greatest average effect on treatment
related costs were infectious, neurologic, deep vein thrombosis/pulmonary
embolus, and hepatic arterial thrombosis. Infectious, pulmonary, and bleeding
complications had the greatest annual effect on perioperative OLT cost.
CONCLUSIONS: Efforts focused on preventing coagulopathic bleeding, improving post
operative pulmonary toilet, and minimizing sources of infection can help improve
the cost-effectiveness of OLT. Additionally, the combination of these cost data
and systematized protocols can help insurers construct bundled payments for OLT
that more accurately reflect the cost of perioperative transplant care.
PMID- 29364554
TI - Validation of a novel mapping system and utility for mapping complex atrial
tachycardias.
AB - INTRODUCTION: This study sought to validate a novel wavefront mapping system
utilizing whole-chamber basket catheters (CARTOFINDER, Biosense Webster). The
system was validated in terms of (1) mapping atrial-paced beats and (2) mapping
complex wavefront patterns in atrial tachycardia (AT). METHODS AND RESULTS:
Patients undergoing catheter ablation for AT and persistent AF were included. A
64-pole-basket catheter was used to acquire unipolar signals that were processed
by CARTOFINDER mapping system to generate dynamic wavefront propagation maps. The
left atrium was paced from four sites to demonstrate focal activation. ATs were
mapped with the mechanism confirmed by conventional mapping, entrainment, and
response to ablation. Twenty-two patients were included in the study (16 with AT
and 6 with AF initially who terminated to AT during ablation). In total, 172 maps
were created with the mapping system. It correctly identified atrial-pacing sites
in all paced maps. It accurately mapped 9 focal/microreentrant and 18
macroreentrant ATs both in the left and right atrium. A third and fourth observer
independently identified the sites of atrial pacing and the AT mechanism from the
CARTOFINDER maps, while being blinded to the conventional activation maps.
CONCLUSIONS: This novel mapping system was effectively validated by mapping focal
activation patterns from atrial-paced beats. The system was also effective in
mapping complex wavefront patterns in a range of ATs in patients with scarred
atria. The system may therefore be of practical use in the mapping and ablation
of AT and could have potential for mapping wavefront activations in AF.
PMID- 29364555
TI - Community study found that cutaneous allergies in childhood were associated with
conduct problems in girls.
PMID- 29364556
TI - Commentary on a paper by Holden et al.
PMID- 29364557
TI - Genome-wide single nucleotide polymorphism-based autozygosity mapping facilitates
identification of mutations in consanguineous families with epidermolysis
bullosa.
AB - Autozygosity mapping (AM) is a technique utilised for mapping homozygous
autosomal recessive (AR) traits and facilitation of genetic diagnosis. We
investigated the utility of AM for the molecular diagnosis of heterogeneous AR
disorders, using epidermolysis bullosa (EB) as a paradigm. We applied this
technique to a cohort of 46 distinct EB families using both short tandem repeat
(STR) and genome-wide single nucleotide polymorphism (SNP) array-based AM to
guide targeted Sanger sequencing of EB candidate genes. Initially, 39 of the 46
cases were diagnosed with homozygous mutations using this method. Independently,
26 cases, including the seven initially unresolved cases, were analysed with an
EB-targeted next-generation sequencing (NGS) panel. NGS identified mutations in
five additional cases, initially undiagnosed due to the presence of compound
heterozygosity, deep intronic mutations or runs of homozygosity below the set
threshold of 2 Mb, for a total yield of 44 of 46 cases (95.7%) diagnosed
genetically.
PMID- 29364558
TI - A Crosslinked Nucleic Acid Nanogel for Effective siRNA Delivery and Antitumor
Therapy.
AB - Functional siRNAs are employed as cross-linkers to direct the self-assembly of
DNA-grafted polycaprolactone (DNA-g-PCL) brushes to form spherical and nanosized
hydrogels via nucleic acid hybridization in which small interfering RNAs (siRNAs)
are fully embedded and protected for systemic delivery. Owing to the existence of
multivalent mutual crosslinking events inside, the crosslinked nanogels with
tunable size exhibit not only good thermostability, but also remarkable
physiological stability that can resist the enzymatic degradation. As a novel
siRNA delivery system with spherical nucleic acid (SNA) architecture, the
crosslinked nanogels can assist the delivery of siRNAs into different cells
without any transfection agents and achieve the gene silencing effectively both
in vitro and in vivo, through which a significant inhibition of tumor growth is
realized in the anticancer treatment.
PMID- 29364560
TI - Endoanal resection of a giant villous adenoma in the middle rectum - a video
vignette.
PMID- 29364559
TI - A role for Mitochondrial Rho GTPase 1 (MIRO1) in motility and membrane dynamics
of peroxisomes.
AB - Peroxisomes are dynamic organelles which fulfil essential roles in lipid and ROS
metabolism. Peroxisome movement and positioning allows interaction with other
organelles and is crucial for their cellular function. In mammalian cells, such
movement is microtubule-dependent and mediated by kinesin and dynein motors. The
mechanisms of motor recruitment to peroxisomes are largely unknown, as well as
the role this plays in peroxisome membrane dynamics and proliferation. Here,
using a combination of microscopy, live-cell imaging analysis and mathematical
modelling, we identify a role for Mitochondrial Rho GTPase 1 (MIRO1) as an
adaptor for microtubule-dependent peroxisome motility in mammalian cells. We show
that MIRO1 is targeted to peroxisomes and alters their distribution and motility.
Using a peroxisome-targeted MIRO1 fusion protein, we demonstrate that MIRO1
mediated pulling forces contribute to peroxisome membrane elongation and
proliferation in cellular models of peroxisome disease. Our findings reveal a
molecular mechanism for establishing peroxisome-motor protein associations in
mammalian cells and provide new insights into peroxisome membrane dynamics in
health and disease.
PMID- 29364561
TI - Analysis of NTPDase2 in the cell membrane using fluorescence recovery after
photobleaching (FRAP).
AB - NTPDase2, a member of the CD39/NTPDase family, is an ecto-nucleotidase anchored
to the plasma membrane by two transmembrane domains, with a catalytic site facing
the extracellular space and preferentially hydrolyzing nucleoside triphosphates.
While NTPDase2 is expressed in many cell types, its unique functionality,
mobility and dynamics at the cell membrane remain unexplored. We therefore
constructed a recombinant NTPDase2 linked to the yellow fluorescent protein
(EYFP) to investigate its dynamics by confocal microscopy. The present study
shows that the expression of EYFP-NTPDase2 in different cell lines does not
affect its proliferation, migration and adhesion to extracellular matrices (ECM).
Moreover, in human embryonic kidney cells 293 (HEK293) grown on collagen type I
and fibronectin, EYFP-NTPDase2 fluorescence is greater in free plasma membrane
regions than in cell-cell contacts, in comparison with cells grown on other
substrates. Differences in the time required for fluorescence recovery after
photobleaching (FRAP) in free membrane regions and cell-cell contacts indicate
that the mobility of EYFP-NTPDase2 depends on the matrix to which the cells are
attached. (c) 2018 International Society for Advancement of Cytometry.
PMID- 29364562
TI - Logging disturbance shifts net primary productivity and its allocation in Bornean
tropical forests.
AB - Tropical forests play a major role in the carbon cycle of the terrestrial
biosphere. Recent field studies have provided detailed descriptions of the carbon
cycle of mature tropical forests, but logged or secondary forests have received
much less attention. Here, we report the first measures of total net primary
productivity (NPP) and its allocation along a disturbance gradient from old
growth forests to moderately and heavily logged forests in Malaysian Borneo. We
measured the main NPP components (woody, fine root and canopy NPP) in old-growth
(n = 6) and logged (n = 5) 1 ha forest plots. Overall, the total NPP did not
differ between old-growth and logged forest (13.5 +/- 0.5 and 15.7 +/- 1.5 Mg C
ha-1 year-1 respectively). However, logged forests allocated significantly
higher fraction into woody NPP at the expense of the canopy NPP (42% and 48% into
woody and canopy NPP, respectively, in old-growth forest vs 66% and 23% in logged
forest). When controlling for local stand structure, NPP in logged forest stands
was 41% higher, and woody NPP was 150% higher than in old-growth stands with
similar basal area, but this was offset by structure effects (higher gap
frequency and absence of large trees in logged forest). This pattern was not
driven by species turnover: the average woody NPP of all species groups within
logged forest (pioneers, nonpioneers, species unique to logged plots and species
shared with old-growth plots) was similar. Hence, below a threshold of very heavy
disturbance, logged forests can exhibit higher NPP and higher allocation to wood;
such shifts in carbon cycling persist for decades after the logging event. Given
that the majority of tropical forest biome has experienced some degree of
logging, our results demonstrate that logging can cause substantial shifts in
carbon production and allocation in tropical forests.
PMID- 29364563
TI - Reply to 'Comments on a new classification, treatment algorithm and prognosis
estimating system for sigmoid volvulus: the role of percutaneous endoscopic
colostomy (PEC)'.
PMID- 29364565
TI - Violencia De Pareja (Intimate Partner Violence).
PMID- 29364564
TI - Precisely Tailoring Upconversion Dynamics via Energy Migration in Core-Shell
Nanostructures.
AB - Upconversion emission dynamics have long been believed to be determined by the
activator and its interaction with neighboring sensitizers. Herein this
assumption is, however, shown to be invalid for nanostructures. We demonstrate
that excitation energy migration greatly affects upconversion emission dynamics.
"Dopant ions' spatial separation" nanostructures are designed as model systems
and the intimate link between the random nature of energy migration and
upconversion emission time behavior is unraveled by theoretical modelling and
confirmed spectroscopically. Based on this new fundamental insight, we have
successfully realized fine control of upconversion emission time behavior (either
rise or decay process) by tuning the energy migration paths in various
specifically designed nanostructures. This result is significant for applications
of this type of materials in super resolution spectroscopy, high-density data
storage, anti-counterfeiting, and biological imaging.
PMID- 29364566
TI - Effects of higher versus lower threat contexts on pain-related attention biases:
An eye-tracking study.
AB - BACKGROUND: Threat is hypothesized to affect the degree to which pain captures
attention but little is known about its impact on dynamic courses of attention
towards pain. In this eye-tracking study, we evaluated pain-related visual
attention biases during image pair presentations in comparatively lower versus
higher threat conditions. METHODS: Gaze biases of healthy adults (47 women, 35
men) were assessed during image presentation phases standardized across (1) a
modified visual dot-probe task featuring painful-neutral (pain) and neutral
neutral contrast (neutral) image pair blocks (lower threat context); and (2) an
impending pain task wherein the same image pair blocks, respectively, cued
potentially painful postoffset somatosensory stimuli (higher threat context) and
its absence. RESULTS: Across tasks, participants were more often oriented
towards, gazed longer at, and fixated more times on pain images in pain block
trials, although trait fear of pain was not related to any gaze biases.
Critically, however, participants reported more state fear and displayed
significantly fewer initial fixations, longer first and overall gaze durations,
and more unique fixations on pain images when image pairs signalled possible
postoffset pain stimulation. CONCLUSIONS: Results underscored stronger overall
attention maintenance on visual pain cues in a higher threat (impending pain)
context. SIGNIFICANCE: Through considering gaze parameters during image
presentation phases of dot-probe and impending pain tasks, possible effects of
lower versus higher threat contexts on attention biases were elucidated.
Participants reported more fear and gazed longer at painful than neutral images
in the higher threat context whereby image pairs cued possible pain.
PMID- 29364568
TI - Effect of different immobilization strategies on chiral recognition properties of
Cinchona-based anion exchangers.
AB - In the enantiomeric separation of highly polar compounds, a traditionally
challenging task for high-performance liquid chromatography, ion-exchange chiral
stationary phases have found the main field of application. In this contribution,
we present a series of novel anion-exchange-type chiral stationary phases for
enantiomer separation of protected amino phosphonates and N-protected amino
acids. Two of the prepared selectors possessed a double and triple bond within a
single molecule. Thus, they were immobilized onto silica support employing either
a thiol-ene (radical) or an azide-yne (copper(I)-catalyzed) click reaction. We
evaluated the selectivity and the effect of immobilization proceeding either by
the double bond of the Cinchona alkaloid or a triple bond of the carbamoyl moiety
on the chromatographic performance of the chiral stationary phases using analytes
with protecting groups of different size, flexibility, and pi-acidity. The
previously observed preference toward protecting groups possessing pi-acidic
units, which is a typical feature of Cinchona-based chiral stationary phases, was
preserved. In addition, increasing the bulkiness of the selectors' carbamoyl
units leads to significantly reduced retention times, while very high selectivity
toward the tested analytes is retained.
PMID- 29364569
TI - Lignocellulose Liquefaction to Biocrude: A Tutorial Review.
AB - After 40 years of research and development, liquefaction technologies are now
being demonstrated at 200-3000 tons per year scale to convert lignocellulosic
biomass to biocrudes for use as heavy fuel or for upgrading to biofuels. This
Review attempts to present the various facets of the liquefaction process in a
tutorial manner. Emphasis is placed on liquefaction in high-boiling solvents,
with regular reference to liquefaction in subcritical water or other light
boiling solvents. Reaction chemistry, solvent selection, role of optional
catalyst as well as biocrude composition and properties are discussed in depth.
Challenges in biomass feeding and options for biocrude-solvent separation are
addressed. Process concepts are reviewed and demonstration/commercialization
efforts are presented.
PMID- 29364570
TI - Geographic and racial representation and reported success rates of studies of
catheter ablation for atrial fibrillation: Findings from the SMASH-AF meta
analysis study cohort.
AB - INTRODUCTION: We performed a systematic review and meta-analysis of geographic
and racial representation and reported success rates of studies of catheter
ablation for atrial fibrillation (AF). METHODS AND RESULTS: We searched PubMed,
Scopus, and Cochrane databases from 1/1/1990 to 8/1/2016 for trials and
observational studies reporting AF ablation outcomes. Major exclusion criteria
were insufficient reporting of outcomes, non-English language articles, and
ablation strategies that were not prespecified and uniform. We described
geographic and racial representation and single-procedure ablation success rates
by country, controlling for patient demographics and study design
characteristics. The analysis cohort included 306 studies (49,227 patients) from
28 countries. Over half of the paroxysmal (PAF) and nonparoxysmal AF (NPAF)
treatment arms were conducted in 5 and 3 countries, respectively. Reporting of
race or ethnicity demographics and outcomes were rare (1 study, 0.3%) and
nonexistent, respectively. Unadjusted success rates by country ranged from 63.5%
to 83.0% for PAF studies and 52.7% to 71.6% for NPAF studies, with substantial
variation in patient demographics and study design. After controlling for
covariates, South Korea and the United States had higher PAF ablation success
rates, with large residual heterogeneity. NPAF ablation success rates were
statistically similar by country. CONCLUSIONS: Studies of AF ablation have
substantial variation in patient demographics, study design, and reported
outcomes by country. There is limited geographic representation of trials and
observational studies of AF ablation and a paucity of race- or ethnicity
stratified results. Future AF ablation studies and registries should aim to have
broad representation by race, geography, and ethnicity to ensure
generalizability.
PMID- 29364571
TI - All-in-one: The dream and reality of molecular cytopathology testing on routine
lung cancer smears.
PMID- 29364567
TI - The role of monocytosis and neutrophilia in atherosclerosis.
AB - Monocytosis and neutrophilia are frequent events in atherosclerosis. These
phenomena arise from the increased proliferation of hematopoietic stem and
multipotential progenitor cells (HSPCs) and HSPC mobilization from the bone
marrow to other immune organs and circulation. High cholesterol and inflammatory
signals promote HSPC proliferation and preferential differentiation to the
myeloid precursors (i.e., myelopoiesis) that than give rise to pro-inflammatory
immune cells. These cells accumulate in the plaques thereby enhancing vascular
inflammation and contributing to further lesion progression. Studies in animal
models of atherosclerosis showed that manipulation with HSPC proliferation and
differentiation through the activation of LXR-dependent mechanisms and
restoration of cholesterol efflux may have a significant therapeutic potential.
PMID- 29364572
TI - Intermediate-severity wind disturbance in mature temperate forests: legacy
structure, carbon storage, and stand dynamics.
AB - Wind is one of the most important natural disturbances influencing forest
structure, ecosystem function, and successional processes worldwide. This study
quantifies the stand-scale effects of intermediate-severity windstorms (i.e.,
blowdowns) on (1) live and dead legacy structure, (2) aboveground carbon storage,
and (3) tree regeneration and associated stand dynamics at four mature, mixed
hardwood-conifer forest sites in the northeastern United States. We compare wind
affected forests to adjacent reference conditions (i.e., undisturbed portions of
the same stands) 0-8 yr post-blowdown using parametric (ANOVA) and nonparametric
(NMS ordination) analyses. We supplement inventory plots and downed coarse woody
detritus (DCWD) transects with hemispherical photography to capture spatial
variation in the light environment. Although recent blowdowns transferred a
substantial proportion of live overstory trees to DCWD, residual live tree basal
area was high (19-59% of reference areas). On average, the initial post-blowdown
ratio of DCWD carbon to standing live tree carbon was 2.72 in blowdown stands and
0.18 in reference stands, indicating a large carbon transfer from live to dead
pools. Despite these dramatic changes, structural complexity remained high in
blowdown areas, as indicated by the size and species distributions of overstory
trees, abundance of sound and rotten downed wood, spatial patterns of light
availability, and variability of understory vegetation. Furthermore, tree species
composition was similar between blowdown and reference areas at each site, with
generally shade-tolerant species dominating across multiple canopy strata.
Community response to intermediate-severity blowdown at these sites suggests a
dynamic in which disturbance maintains late-successional species composition
rather than providing a regeneration opportunity for shade-intolerant, pioneer
species. Our findings suggest that intermediate-severity wind disturbances can
contribute to stand-scale structural complexity as well as development toward
late-successional species composition, at least when shade-tolerant regeneration
is present pre-blowdown. Advance regeneration thus enhances structural and
compositional resilience to this type of disturbance. This study provides a
baseline for multi-cohort silvicultural systems designed to restore heterogeneity
associated with natural disturbance dynamics.
PMID- 29364573
TI - Comment on a paper by Von Dincklage et al. entitled 'Higher doses of
intraoperative analgesia are associated with lower levels of persistent pain and
less analgesic consumption six months after total hip arthroplasty'.
PMID- 29364574
TI - Simultaneous determination of seven phenylethanoid glycosides in Cistanches Herba
by a single marker using a new calculation of relative correction factor.
AB - Current quantitative analysis of multi-components by a single marker is usually
performed by using liquid chromatography methods coupled with ultraviolet or mass
spectrometry detection to afford the relative correction factors between
reference standard and other components. However, low durability of the relative
correction factors caused by different chromatographic system leading this
approach lacking a high accuracy. In the present study, a simple but effective
method was established by employing the absorption coefficient (E1 cm 1%) to
calculate the relative correction factors instead of peak area or height. The
absorption coefficient, a fundamental constant of physics, has been widely used
for qualitative and quantitative analysis in Pharmacopoeia all over the world.
According to the absorbance coefficient ratio between echinacoside and other
compounds, the content of seven phenylethanoid glycosides in Cistanche
deserticola and Cistanches tubulosa were determined simultaneously. The low
standard method difference on quantitative measurement of seven compounds in
Cistanches Herba between our method and the external standard method proved the
consistency of the two methods. Using an ultra high performance liquid system,
these seven bioactive phenylethanoid glycosides were baseline separated in 8 min.
All the data suggested that the method was accurate and reliable for the
determination of multi-components when authentic standard substances were
unavailable.
PMID- 29364575
TI - Childbirth Fear: Relation to Birth and Care Provider Preferences.
AB - INTRODUCTION: The purpose of this study was to assess how preferences for place
of birth and mode of birth relate to different dimensions of childbirth fear and
whether there is an association between Canadian women's prenatal fear of
childbirth and the type and quality of prenatal care they received. METHODS: A
link to an online survey was posted on Canadian pregnancy and birth websites; 409
women completed the survey that included sociodemographic questions, questions
about the current pregnancy and previous pregnancy experiences (if applicable),
and the Childbirth Fear Questionnaire, a validated 40-item scale that measures 9
dimensions of childbirth fear. RESULTS: Women under physician care and those with
a preference for cesarean birth were generally more fearful of pain associated
with vaginal birth, fear of loss of sexual pleasure and attractiveness, and fear
of harm to themselves or their infant. Conversely, women under the care of
midwives and women who preferred to give birth vaginally were more fearful of
interventions. Women who preferred a cesarean birth were significantly more
likely to report that fear of childbirth interfered with daily functioning,
compared to women who preferred a vaginal birth. Satisfaction with care was
associated with lower scores on the Childbirth Fear Questionnaire full and
subscales, especially among midwifery clients. DISCUSSION: At present there are
no guidelines in Canada or the United States for the treatment and/or referral of
pregnant women who suffer from childbirth fear. Until such guidelines are
developed, findings from the current study can help maternity care providers
identify and address specific fears among women in their care and understand how
different fear domains relate to care provider choice, satisfaction with care,
and women's preferences for place and mode of birth.
PMID- 29364576
TI - Simultaneous detection of single-nucleotide variant, deletion/insertion, and
fusion in lung and thyroid carcinoma using cytology specimen and an RNA-based
next-generation sequencing assay.
AB - BACKGROUND: Molecular testing for epidermal growth factor receptor (EGFR)
mutation and anaplastic lymphoma kinase (ALK) and ROS proto-oncogene 1, receptor
tyrosine kinase (ROS1) fusion is routinely performed in patients with stage IV
lung adenocarcinoma to assess their eligibility for targeted therapy. Fine-needle
aspiration (FNA)-derived material frequently is the only pathologic material
available. The identification of genomic aberrations in thyroid nodules from FNA
smears may help stratify cancer risk and spare patients from a second surgery. In
the current study, the authors tested nucleic acid extracted from the cytology
smears of lung and thyroid carcinomas for simultaneous detection of single
nucleotide variant, insertion/deletion, and gene fusion using an RNA-based next
generation sequencing assay. METHODS: A total of 27 cases (17 lung and 10 thyroid
carcinomas, the majority of which had known variants) were tested. Areas of
interest were scrapped from stained smears using a scalpel. Total nucleic acid
was extracted. Gene fusion and mutational analysis was performed using the
Comprehensive Thyroid and Lung FusionPlex Assay. Data were analyzed using the
analysis pipeline provided by the vendor. Eleven cases with available formalin
fixed, paraffin-embedded (FFPE) tissue were tested in parallel. RESULTS: Gene
fusions were detected in 6 cases; common single-nucleotide variants in EGFR, RAS,
and BRAF in 14 cases; and in-frame deletions within EGFR in 3 cases. A
concordance rate of 100% was observed between FNA and FFPE tissue. CONCLUSIONS:
Cytology preparations can be a reliable source for the detection of both DNA and
RNA aberrations. The ability to simultaneously detect multiple types of genomic
variants is crucial for patients with advanced cancer and maximizes the
usefulness of cytology specimens. Cancer Cytopathol 2018;126:158-69. (c) 2018
American Cancer Society.
PMID- 29364577
TI - Resolving incomplete single nucleotide polymorphism tagging of HLA-DQ2.2 for
coeliac disease genotyping using digital droplet PCR.
AB - A hallmark of coeliac disease (CD) is the exceptionally strong genetic
association with HLA-DQ2.5, DQ8, and DQ2.2. HLA typing provides information on CD
risk important to both clinicians and researchers. A method that enables simple
and fast detection of all CD risk genotypes is particularly desirable for the
study of large populations. Single nucleotide polymorphism (SNP)-based HLA typing
can detect the CD risk genotypes by detecting a combination of six SNPs but this
approach can struggle to resolve HLA-DQ2.2, seen in 4% of European CD patients,
because of the low resolution of one negatively predicting SNP. We sought to
optimise SNP-based HLA typing by harnessing the additional resolution of digital
droplet PCR to resolve HLA-DQ2.2. Here we test this two-step approach in an
unselected sample of Mexican DNA and compare its accuracy to DNA typed using
traditional exon detection. The addition of digital droplet PCR for samples
requiring negative prediction of HLA-DQ2.2 enabled HLA-DQ2.2 to be accurately
typed. This technique is a simple addition to a SNP-based typing strategy and
enables comprehensive definition of all at-risk HLA genotypes in CD in a timely
and cost-effective manner.
PMID- 29364578
TI - Building Zeolites from Precrystallized Units: Nanoscale Architecture.
AB - Since the early reports by Barrer in the 1940s on converting natural minerals
into synthetic zeolites, the use of precrystallized zeolites as crucial inorganic
directing agents to synthesize other crystalline zeolites with improved
physicochemical properties has become a very important research field, allowing
the design, particularly in recent years, of new industrial catalysts. This
Review highlights how the presence of some crystalline fragments in the synthesis
media, such as small secondary building units (SBUs) or layered substructures,
not only favors the crystallization of other zeolites with similar SBUs or
layers, but also permits control over important parameters affecting their
catalytic activity (chemical composition, crystal size, or porosity, etc.).
Recent advances in the preparation of 3D and 2D zeolites through seeding and
zeolite-to-zeolite transformation processes will be discussed extensively in this
Review, including their preparation in the presence or absence of organic
structure-directing agents (OSDAs). The aim is to introduce general guidelines
for more efficient approaches for target zeolites.
PMID- 29364579
TI - Effects of Milk or Apple Juice Ingestion on the Pharmacokinetics of Elvitegravir
and Cobicistat in Healthy Japanese Male Volunteers: A Randomized, Single-Dose,
Three-Way Crossover Study.
AB - Elvitegravir/cobicistat/emtricitabine/tenofovir alafenamide (EVG/COBI/FTC/TAF) is
specified in its package insert to be taken with food to obtain sufficient
exposure of EVG. It has been reported that a nutritional protein-rich drink shows
comparable pharmacokinetics (PK) of EVG to those with a standard breakfast. In
this study, the PK profiles of EVG and COBI were evaluated by administration of a
single dose of EVG/COBI/FTC/TAF, after ingestion of either a nutritional protein
rich drink, milk, or apple juice. The geometric means for Cmax and AUCinf of EVG
following milk ingestion slightly decreased by 21% and 14%, respectively, and
those following apple juice ingestion decreased by 67% and 61%, respectively,
compared with a nutritional protein-rich drink. There were no differences in any
PK parameters of COBI. Therefore, taking EVG/COBI/FTC/TAF after milk or apple
juice ingestion appeared to be not appropriate. However, for plasma trough
concentrations (Ctau ), it is known that Ctau is best correlated with the
efficacy of EVG. The mean C24 of EVG after milk ingestion was 620.6 ng/mL, which
was more than 10-fold the protein binding-adjusted 95% inhibitory concentration.
With all the above considerations, it was concluded that taking EVG/COBI/FTC/TAF
with milk could be an option to maintain sufficient plasma concentrations of EVG.
PMID- 29364580
TI - HLA-A*02:01:01:28, a novel HLA allele identified by next-generation sequencing in
a Chinese family.
AB - HLA-A*02:01:01:28 differs from A*02:01:01:01 by one nucleotide transition, T>A
2952 in intron 6.
PMID- 29364581
TI - Global prevalence of exercise-induced bronchoconstriction in childhood: A meta
analysis.
AB - AIM: This systematic review and meta-analysis aimed to estimate the global
prevalence of exercise-induced bronchoconstriction (EIB) in children and
adolescents. METHOD: We searched PubMed, Google Scholar, and the Virtual Health
Library-BIREME from inception to December 23, 2017. We selected observational
studies that reported the prevalence of EIB (diagnosed by exercise challenge
test) in children and adolescents aged 5-18 years. We conducted random-effects
meta-analyses to estimate the pooled prevalence of EIB and 95% CI. RESULTS: We
included 66 studies (55 696 participants, 5670 cases of EIB) in the review, of
which 33 in general population of children and adolescents, 10 in child and
adolescent athletes and 23 in children and adolescents with asthma. The global
mean prevalence of EIB in the general population of children and adolescents was
9% (IC95%: 8-10%), with a higher rate (12%) in Asia-Pacific and America. The mean
prevalence of EIB was 15% (95% CI: 9-21%) in child and adolescent athletes, and
46% (95% CI: 39-53%) in children and adolescents with asthma. We estimated that,
globally, around 16.5 million (95% CI: 15-18 million) children and adolescents up
to 18 years of age may have EIB. CONCLUSION: EIB in childhood should be
considered as a global public health problem that needs more attention. The
substantial heterogeneity between studies highlights the need for evidence-based
guidelines for diagnosis of EIB in this age group.
PMID- 29364583
TI - Incretins: Beyond type 2 diabetes.
AB - While the use of incretins, including GLP-1 receptor agonists and PDD-IV
inhibitors, is well established in the treatment of type 2 diabetes, many other
aspects of these agents are yet to be discovered and utilized for their potential
clinical benefit. These include the potential role of GLP-1 receptor agonists in
the induction of weight loss, blood pressure reduction, anti-inflammatory and
nephro- and cardio-protective actions. Their potential benefit in type 1 diabetes
is also being investigated. This review will attempt to comprehensively describe
novel discoveries in the field of incretin pathophysiology and pharmacology
beyond their classical role in the treatment of type 2 diabetes.
PMID- 29364584
TI - A review of dipeptidyl peptidase-4 inhibitors. Hot topics from randomized
controlled trials.
AB - The first clinical study to investigate effects of dipeptidyl peptidase-4 (DPP-4)
inhibition was published in 2002, and since then, numerous randomized controlled
trials (RCTs) have shown that DPP-4 inhibitors are efficacious, safe and well
tolerated. This review will focus upon RCTs which have investigated DPP-4
inhibitors in patient groups which are often under-represented or excluded from
typical phase 3 clinical trials. Large cardiovascular (CV) safety outcome trials
in patients with established CV disease have confirmed that DPP-4 inhibitors are
not associated with any additional CV risk in these already-at-high-risk
individuals, while raising awareness of any uncommon adverse events, such as
heart failure hospitalization seen in one of the trials. Studies in patients with
kidney disease have shown DPP-4 inhibitors to be efficacious without increasing
the risk of hypoglycaemia, irrespective of the degree of renal impairment, while
data from the large CV trials as well as smaller RCTs have even pointed towards
potential renoprotective effects such individuals. The use of DPP-4 inhibitors
with insulin when therapy requires intensification may be beneficial without
affecting the incidence or severity of hypoglycaemia, with these effects also
being replicated in patients with chronic kidney disease, for whom other agents
may not be suitable. Attention is now turning towards exploring the potential
utility of DPP-4 inhibitors in other circumstances, including for in-hospital
management of hyperglycaemia and in other metabolic disorders. Together, these
RCTs raise the possibility that in the future, DPP-4 inhibitors may have a
broader use which may extend beyond glycaemic control in the typical type 2
diabetes mellitus (T2DM) patient seen in general practice and may encompass
conditions other than T2DM.
PMID- 29364582
TI - Genome-wide scan for circulating vascular adhesion protein-1 levels: MACROD2 as a
potential transcriptional regulator of adipogenesis.
AB - AIMS/INTRODUCTION: Vascular adhesion protein-1 (VAP-1) is a membrane-bound amine
oxidase highly expressed in mature adipocytes and released into the circulation.
VAP-1 has been strongly implicated in several pathological processes, including
diabetes, inflammation, hypertension, hepatic steatosis and renal diseases, and
is an important disease marker and therapeutic target. Here, we aimed to identify
the genetic loci for circulating VAP-1 levels. MATERIALS AND METHODS: We carried
out a genomic-wide linkage scan for the quantitative trait locus of circulating
VAP-1 levels in 1,100 Han Chinese individuals from 398 families in the Stanford
Asian Pacific Program for Hypertension and Insulin Resistance study. Regional
association fine mapping was carried out using additional single-nucleotide
polymorphisms. RESULTS: The estimated heritability of circulating VAP-1 levels is
high (h2 = 69%). The most significant quantitative trait locus for circulating
VAP-1 was located at 38 cM on chromosome 20, with a maximum empirical logarithm
of odds score of 4.11 (P = 6.86 * 10-6 ) in females. Regional single-nucleotide
polymorphism fine mapping within a 1-unit support region showed the strongest
association signals in the MACRO domain containing 2 (MACROD2) gene in females (P
= 5.38 * 10-6 ). Knockdown of MACROD2 significantly suppressed VAP-1 expression
in human adipocytes, as well as the expression of key adipogenic genes.
Furthermore, MACROD2 expression was found to be positively associated with VAP-1
in human visceral adipose tissue. CONCLUSION: MACROD2 is a potential genetic
determinant of serum VAP-1 levels, probably through transcriptional regulation of
adipogenesis.
PMID- 29364585
TI - What have we learnt from "real world" data, observational studies and meta
analyses.
AB - The incretin therapies glucagon-like peptide-1 receptor agonists (GLP-1 RA) and
dipeptidyl peptidase-IV (DPP-IV) inhibitors are now well-established as second
and third-line therapies and in combination with insulin for the treatment of
type 2 diabetes. Over the last decade, there is accumulating evidence of their
efficacy and safety from both large multicentre randomized clinical trials (RCT)
and observational studies. Cardiovascular outcome trials have confirmed that
several of these agents are also non-inferior to placebo with the GLP-1 RA
liraglutide and semaglutide recently found to be superior in terms of major
adverse cardiovascular events. Observational studies and post-marketing
surveillance provide real world evidence of safety and effectiveness of these
agents and have provided reassurance that signals for pancreatitis and pancreatic
cancer seen in clinical trials are not of major concern in large patient
populations. Well-designed real world studies complement RCTs and systematic
reviews but appropriate data and methodologies, which are constantly improving,
are necessary to answer appropriate clinical questions relating to the use of
incretin therapies.
PMID- 29364586
TI - A review of GLP-1 receptor agonists: Evolution and advancement, through the lens
of randomised controlled trials.
AB - AIMS: To review the evolution and advancement of GLP-1 receptor agonist (GLP-1RA)
therapy, through the lens of randomised controlled trials, from differentiating
characteristics, efficacy, safety, tolerability, and cardiovascular outcomes, to
evidence gaps and next steps. METHODS: Clinical review of published phase 3 or
later RCT data studying efficacy, safety, and outcomes of approved GLP-1 RA
therapies. RESULTS: Through a wealth of studies, including both placebo
controlled and active-controlled studies, GLP-1 RAs have demonstrated high
glycemic efficacy and ability to facilitate weight loss, with minimal risk of
hypoglycemia, potential to restore beta cell function, and evidence for improved
cardiovascular outcomes in those at risk. CONCLUSIONS: Over a decade of clinical
studies have established the unique contributions of GLP-1 RAs in the treatment
of diabetes. Individual differences between the different GLP-1 RAs, in delivery,
pharmacokinetic and clinical effects, exist, allowing for tailored approaches to
clinical care. The strength of evidence generated through RCTs, both short-term
and long-term studies, will continue to evolve and inform our current paradigms
in diabetes care.
PMID- 29364587
TI - Efficacy of glucagon-like peptide-1 receptor agonists compared to dipeptidyl
peptidase-4 inhibitors for the management of type 2 diabetes: A meta-analysis of
randomized clinical trials.
AB - AIMS: Glucagon-like peptide-1 (GLP-1) agonists and dipeptidyl peptidase-4 (DPP-4)
inhibitors are both incretin-based therapies for type 2 diabetes (T2DM) but have
distinct efficacy and side effect profiles. We thus performed a systematic review
and meta-analysis to compare the effects of GLP-1 agonists to DPP-4 inhibitors on
glycaemic control, weight and incidence of adverse events in adults with T2DM. We
also sought to determine whether there was any additional effect in switching
from DPP-4 inhibitor to GLP-1 agonist. MATERIALS AND METHODS: We systematically
searched PubMed, Embase and ClinicalTrials.gov for (1) randomized controlled
trials (RCTs) comparing any GLP-1 agonist to any DPP-4 inhibitor and (2)
interventional studies where a DPP-4 inhibitor was switched to a GLP-1 agonist.
We assessed pooled data using random-effects model (CRD42017057115). RESULTS: The
pooled analysis of 13 RCTs (n = 4330) showed that, compared to DPP-4 inhibitors,
GLP-1 agonists yielded a greater mean reduction in glycated haemoglobin (HbA1c)
of -0.41% (95% CI -0.53 to -0.30) and in weight of -2.15 kg (-3.04 to -1.27). GLP
1 agonists were associated with greater likelihood of gastrointestinal side
effects with no increased risk of hypoglycaemia. In 5 interventional studies (n =
433), switching from DPP-4 inhibitor to GLP-1 agonist yielded further mean
reduction in HbA1c of -0.69% (-1.03 to -0.35) and in weight of -2.25 kg (-3.12 to
-1.38). CONCLUSIONS: GLP-1 agonists yield greater reduction in HbA1c and weight
as compared to DPP-4 inhibitors, with increased incidence of gastrointestinal
symptoms but not hypoglycaemia. Replacing a DPP-4 inhibitor with GLP-1 agonist
provides additional benefits in glycaemic control and weight loss.
PMID- 29364588
TI - Incretin hormones: Their role in health and disease.
AB - Incretin hormones are gut peptides that are secreted after nutrient intake and
stimulate insulin secretion together with hyperglycaemia. GIP (glucose-dependent
insulinotropic polypeptide) und GLP-1 (glucagon-like peptide-1) are the known
incretin hormones from the upper (GIP, K cells) and lower (GLP-1, L cells) gut.
Together, they are responsible for the incretin effect: a two- to three-fold
higher insulin secretory response to oral as compared to intravenous glucose
administration. In subjects with type 2 diabetes, this incretin effect is
diminished or no longer present. This is the consequence of a substantially
reduced effectiveness of GIP on the diabetic endocrine pancreas, and of the
negligible physiological role of GLP-1 in mediating the incretin effect even in
healthy subjects. However, the insulinotropic and glucagonostatic effects of GLP
1 are preserved in subjects with type 2 diabetes to the degree that
pharmacological stimulation of GLP-1 receptors significantly reduces plasma
glucose and improves glycaemic control. Thus, it has become a parent compound of
incretin-based glucose-lowering medications (GLP-1 receptor agonists and
inhibitors of dipeptidyl peptidase-4 or DPP-4). GLP-1, in addition, has multiple
effects on various organ systems. Most relevant are a reduction in appetite and
food intake, leading to weight loss in the long term. Since GLP-1 secretion from
the gut seems to be impaired in obese subjects, this may even indicate a role in
the pathophysiology of obesity. Along these lines, an increased secretion of GLP
1 induced by delivering nutrients to lower parts of the small intestines (rich in
L cells) may be one factor (among others like peptide YY) explaining weight loss
and improvements in glycaemic control after bariatric surgery (e.g., Roux-en-Y
gastric bypass). GIP and GLP-1, originally characterized as incretin hormones,
have additional effects in adipose cells, bone, and the cardiovascular system.
Especially, the latter have received attention based on recent findings that GLP
1 receptor agonists such as liraglutide reduce cardiovascular events and prolong
life in high-risk patients with type 2 diabetes. Thus, incretin hormones have an
important role physiologically, namely they are involved in the pathophysiology
of obesity and type 2 diabetes, and they have therapeutic potential that can be
traced to well-characterized physiological effects.
PMID- 29364589
TI - The novel HLA-DQB1*03:01:01:12 allele, identified by next-generation sequencing
in a Chinese family.
AB - DQB1*03:01:01:12 differs from DQB1*03:01:01:01 at nucleotide 2016 (G > T), 3495
(G > A), 3897 (G > A), and 6909 (T > C).
PMID- 29364590
TI - Next-generation sequencing-based typing of a new allele HLA-DQB1*03:01:01:20 in a
Chinese family.
AB - DQB1*03:01:01:20 showed one nucleotide difference when compared to
DQB1*03:01:01:01 at 224 (C>T).
PMID- 29364591
TI - Total Synthesis of Astellatol.
AB - A nearly-30-year-old unanswered synthetic puzzle, astellatol, has been solved in
an enantiospecific manner. The highly congested pentacyclic skeleton of this rare
sesterterpenoid, which possesses a unique bicyclo[4.1.1]octane motif, ten
stereocenters, a cyclobutane that contains two quaternary centers, an exo
methylene group, and a sterically encumbered isopropyl trans-hydrindane motif,
makes astellatol arguably one of the most challenging targets for sesterterpenoid
synthesis. An intramolecular Pauson-Khand reaction was exploited to construct the
right-hand side scaffold of this sesterterpenoid. An unprecedented reductive
radical 1,6-addition, mediated by SmI2 , forged the cyclobutane motif. Last, a
strategic oxidation/reduction step provided not only the decisive solution for
the remarkably challenging late-stage transformations, but also a highly valuable
unravelling of the notorious issue of trans-hydrindane synthesis. Importantly,
the synthesis of astellatol showcases a rapid, scalable strategy to access
diverse complex isopropyl trans-hydrindane sesterterpenoids.
PMID- 29364592
TI - Live nondirected uterus donors: Psychological characteristics and motivation for
donation.
AB - Emerging research suggests that uterus transplantation is a viable option for
women without a uterus who want to become pregnant and carry a child to term.
Currently, no knowledge exists regarding nondirected uterus donors. This study
(NCT 02656550) explored the baseline psychological characteristics of nondirected
uterus donors at a single study site. Of the 62 potential donors who underwent
initial screening, 6 nondirected donors were chosen and participated in uterus
donation. Participants received a comprehensive evaluation, which included
clinical history and psychological assessments. The mean age of the donors was 42
years; most (83%) were white/not Hispanic, and all had a college degree. Current
depression was reported by 2 participants, past depression was reported in 2
participants, and past anxiety was reported in 3 participants. Based on several
different psychological measures, donors had a higher general well-being than the
normative sample, and none of the participants' scores indicated psychological
distress. All 6 women indicated that giving another woman an opportunity to carry
her own child was a motivation for pursuing uterus donation. Further research on
potential psychological motives and gains for the donor as well as long-term
effects on donors is crucial for ethical practice.
PMID- 29364593
TI - Standard abbreviations.
PMID- 29364594
TI - Biosimilars in Dermatology - theory becomes reality.
AB - Biosimilars are biological medicines that are analogues of a specific reference
product. Biosimilars of the tumor necrosis factor alpha inhibitors infliximab and
etanercept are already approved and available for dermatological indications.
Regulatory agencies require in-depth analysis of physicochemical and functional
properties of these highly complex molecules as well as clinical data on their
similarity regarding efficacy and safety in at least one clinical trial in a
sensitive and homogeneous population. Thus, it must be shown that biosimilars are
essentially the same as the originator product if they are to be licensed in
regulated drug markets. As a consequence, these data are extrapolated from one
molecule (the originator) to another (biosimilar) resulting in an approval that
includes the same indications as the originator product. While extrapolation is
well accepted and regulated, clear recommendations regarding the
interchangeability of originators and biosimilars as well as data on multiple
consecutive switching are missing. Current scientific knowledge does not argue
against the use of biosimilars for dermatological indications, but sequential
switching of biosimilars should be considered carefully. To increase confidence
and enhance evidence for biosimilars, accurate documentation of the specific
products given to each patient is essential and should preferably be included in
patient registries.
PMID- 29364595
TI - Microphysiological Analytic Platforms (MAPs): Precision Organs on Chip.
PMID- 29364596
TI - An Accessible Organotypic Microvessel Model Using iPSC-Derived Endothelium.
AB - While organotypic approaches promise increased relevance through the inclusion of
increased complexity (e.g., 3D extracellular microenvironment, structure/function
relationships, presence of multiple cell types), cell source is often overlooked.
Induced pluripotent stem cell (iPSC)-derived cells are potentially more
physiologically relevant than cell lines, while also being less variable than
primary cells, and recent advances have made them commercially available at costs
similar to cell lines. Here, the use of induced pluripotent stem cell-derived
endothelium for the generation of a functional microvessel model is demonstrated.
High precision structural and microenvironmental control afforded by the design
approach synergizes with the advantages of iPSC to produce microvessels for
modeling endothelial biology in vitro. iPSC microvessels show endothelial
characteristics, exhibit barrier function, secrete angiogenic and inflammatory
mediators, and respond to changes in the extracellular microenvironment by
altering vessel phenotype. Importantly, when deployed in the investigation of
neutrophils during innate immune recruitment, the presence of the iPSC
endothelial vessel facilitates neutrophil extravasation and migration toward a
chemotactic source. Relevant cell sources, such as iPSC, combine with organotypic
models to open the way for improved and increasingly accessible in vitro tissue,
disease, and patient-specific models.
PMID- 29364597
TI - Formation of a Subunit Form of the Core Light-Harvesting Complex from Sulfur
Purple Bacteria Ectothiorhodospira haloalkaliphila with Different Carotenoid
Composition.
AB - B820 subunits from a purple sulfur bacterium Ectothiorhodospira. haloalkaliphila
strain ATCC 51935T were obtained by treatment of Carotenoid free LH I-RC
complexes of this bacterium with P--octylglu- copyranoside (beta-OG). The same
complexes with 100% carotenoid content were unable to dissociate to B820
subunits, but disintegrated to monomeric bacteriochlorophyll (BChl) regardless of
their carotenoid compo- sition. The degree of dissociation of the LH 1-RC
complexes with an intermediate content of carotenoids (the' B820 formation) was
directly dependent on the quantity of carotenoids in the samples. The resulting
B820 subunits did not contain carotenoids. B820 subunits easily aggregated to
form a complex with an absorption . peak at 880 nm at decreased beta-OG
concentration. Analysis of the spectra of the LH I-RC complexes isolated from the
cells with different'levels of carotenogenesis inhibition led to the conclusion
of the heterogeneity of the samples with a predominance in them of (a) the
fraction with 100% of carotenoids and (b) the fraction of carotenoid free
complexes.
PMID- 29364598
TI - Emended Description of Methylovorus glucosotrophus Govorukhina and Trotsenko
1991.
AB - Phylogeneticanalysis based,on comparison of the 16S rRNA gene sequences in
combination with comparative analysis of physiological, biochemical, and
chemotaxonomic characteristics and DNA-DNA hybridization revealed that
"Methylobacillusfructoseoxidans" 34 (VKM B-1609 = DSM 5897 and-Methylov- orus
glucosotrophus 6B 1T (ATCC 49758T = DSM 6874T = VKM B- 1745T = NCIMB 13222 )
belong to the same Methylovorus species. Extended description of the limited
facultative methylotroph Methylovorus gluco- sotrophus is proposed, which
includes the fructose-utilizing strain 34. Emended description of Methylovorus
glucosotrophus is provided.
PMID- 29364599
TI - Structure and Seasonal Trophodynamics of Picophytoplankton in Sevastopol Bay and
Adjacent Waters (the Black Sea).
AB - Abundance and seasonal trophodynamics. (specific growth rate, daily production,
and grazing mortality) of the major picophytoplankton components, Synechococcus
cyanobacteria (Syn) and picoeukary- otes (Pico-E), were studied at three stations
in Sevastopol Bay and adjacent coastal waters (the Black Sea) in 2014 by flow
cytometry and the dilution method. Pico- E abundance was shown to increase along
the nutrient and pollution gradient from the coastal waters outside the bay
(annual average of 7.3 +/- 5.4 x 103 cells mL-1) to the eastern corner of the bay
(28.7 +/- 11.4 x 103 cells mL-1), while no relation was found between the water
pollution status.and Syn abundance (9.9 +/- 8.7 x 103 cells mL-1, at all the
stations, n=27). Matter flows through the communities (daily production for Syn
and Pico-E 0-16.6 and 0-19.3 MUg C L- day-1, respec- tively; grazing mortality
for Syn and PicoE 0-3.6 and 0-21.2 MUg C L-1 day-1, respectively) were comparable
to or even exceeded their biomass stocks (<0.05-6.8 and 0.9-26.5 MUg C L- for Syn
and PicoE, respectively), indicating high biomass turnover rates. The highest
flow-to-stock ratio (up to 6 for Syn) and,a significant imbalance between daily
production (P) and grazing mortality (G) were observed in the most polluted and
eu- trophicated waters of the bay in spring (Pico-E: P/G <.1) and late summer
(Syn: P/G > 1). Black River inflow to the bay was hypothesized to be among the
mechanisms maintaining.this pronounced and long-term im- balance in the open
system without any negative consequences for the picophytoplankton assemlages.
PMID- 29364600
TI - Microbial Community Associated with Thioploca sp. Sheaths in the Area of the
Posolski Bank Methane Seep, Southern Baikal.
AB - Bacterial mats formed by a colorless sulfur bacterium Thioploca sp. in the area
of the Posolski Bank cold methane seep (southern Baikal) were -studied using
electron microscopy and phylogenetic analysis. Morphologically the bacteria were
identified as Thioploca ingrica.- Confocal microscopy of DAPI-stained samples
revealed numerous rod-shaped, filamentous, and spiral microorganisms in the
sheaths, as well as in- side and between the trichomes. Transmission electron
microscopy revealed nonvacuolated bacteria and small cells-without cell envelopes
within the sheath. Bacteria with pronounced intracytoplasmic membranes
characteristic; of type I methanotrophs were observed at the outer side of the
sheath. Based on analysis of the 16S rRNA gene sequences, the following phyla
were idenified in the sheath community: Bacteroidetes, Nitro- spira, Chloroflexi,
Planctomycetes, Verrucomicrobia,'y-, and 6-Proteobacteria, Euryarchaeota,
Crenarchaeota, and Thaumarchaeota, as well as anammox bacteria. A hypothetical
scheme of matter flows in the Lake Baikal bacterial mats was proposed based on
the data on metabolism of the cultured homologues.
PMID- 29364601
TI - Succession Processes in the Anoxygenic Phototrophic Bacterial Community in Lake
Kislo-Sladkoe (Kandalaksha Bay, White Sea).
AB - The community of anoxygenic phototrophic bacteria (APB) in the water column of
Lake Kislo- Sladkoe (Kandalaksha Bay, White Sea), which has recently become
separated from the sea, was investigated in March-April 2012, March-April 2013,
and in September 2013. The lake, which was previously considered meromictic, was
in fact mixed and was strongly affected by the sea. In winter the lake is
sometimes washed off with seawater, and this together with the seasonal cycles of
succession processes determines the succession of the community. The consequences
of the mixing in autumn 2011 could be observed in the APB community as late as
autumn 2013. Green-colored green sulfur bacteria (GSB) usually predominated in
the chemocline. In winter 2013 stagnation resulted in turbidity of water under
the ice, which was responsible for both predom- inance of the brown GS B forms
and the changes ratio of the species of purple sulfur bacteria (PS B) in anoxic
water layers. Production of anoxygenic photosynthesis in the lake was at least
240 mg C m-2 day-- in September and 0-20 mg C m-2 day- in March-April, which
corresponded to 40 and 69%, respectively, of oxygenic photosynthesis. Okenone
containing purple sulfur bacteria, strain TcakPS12 were isolated in 2012 from
lake water. The ells of this strain form filaments of not separated cells. Strain
TcakPS12 exhibited 98% similarity with the type strains of Thiocapsapendens
DSM.236 and Thiocapsa bogorovii BBS, as well as with the strains AmPS10 and
TcyrPS 10, which were isolated from Lake Kislo-Sladkoe in 2010.
PMID- 29364602
TI - Microbial Community Composition and Methanotroph Diversity of a Subarctic Wetland
in Russia.
AB - This study assessed the microbial diversity, activity, and composition of methane
oxidizing communities of a subarctic wetland in Russia,with mosaic cover of
Sphagnum mosses and lichens of the genera Cladonia and Cetraria. Potential
methane-oxidizing activity of peat sampled from lichen-dominated wetland sites
was higher than that in the sites dominated by Sphagnum mosses. In peat from
lichendominated sites, major bacterial groups identified by high-throughput
sequencing of the 16S rRNA genes were the Acidobacteria (35.4-41.2% of total 16S
rRNA gene reads), Alphaproteobacteria (19.1-24.2%), Gammaproteobacteria (7.9
11.1%), Actinobacteria (5.5-13.2%), Planctomycetes (7.2-9.5%), and
Verrucomicrobia (5.1-9.5%). The distinctive feature of this community was high
proportion of Subdivision 2 Acidobacteria, which are not char- acteristic for
boreal Sphagnum peat bogs. Methanotrophic community composition was determined by
mo- lecular analysis of the pmoA gene encoding particulate methane monooxygenase.
Most (-80%) of all pmoA gene fragments revealed in peat from lichen-dominated
sites belonged to the phylogenetic lineage represented by a microaerobic spiral
shaped methanotroph, "Candidatus Methylospira mobilis." Members of the genus
Methylocystis, which are typical inhabitants of boreal Sphagnum peat bogs,
represented only a minor group of indigenous methanotrophs. The specific feature
of a methanotrophic community in peat from lichen-dominated sites was the
presence of uncultivated USCa (Upland Soil Cluster alpha) methanotrophs, which
are typical for acidic upland soils showing atmospheric methane oxidation. The
methanotrophic community composition in lichen-dominated sites of a tundra
wetland, therefore, was markedly different from that in bo- real Sphagnum peat
bogs.
PMID- 29364603
TI - Phylogenetic and Functional Prokaryotic Diversity in the Hoito-Gol Mesothermal
Mineral Spring (Eastern Savan Mountains. Buryat Renuhlie).
AB - High-throughput sequencing was used for comparative analysis of microbial
communities of the water and mat from the Hoito-Gol mesothermal mineral sulfide
spring (Eastern Sayan Mountains, Buryat Republic). Activity of microbial
communities was determined. While both spring biotopes were dominated by members
of three bacterial phyla, Proteobacteria, Bacteroidetes, and Firmicutes, they
differed drastically in the composition of predominant phylotypes (at the genus
level). In the water, the organisms wide spread in aquatic'environments were
predominant, mostly aerobic chemoorganotrophs of the generaAcinetobacter, Pe-
dobacter, and Flavobacterium. In the microbial mat,;the organisms actively
involved in the sulfur cycle predominated, including sulfur-reducing bacteria
Sulfurospirillum, sulfate-reducing deltaproteobacteria, sulfur- oxidizing
chemoautotrophic bacteria, anoxygenic phototrophic bacteria of,the phyla
Chloroflexi and Chloro- bi, as well as purple bacteria belonging to the Q-, P--,
and y-Proteobacteria. Microbial mats of the spring exhibited higher phylogenetic
diversity compared to high-temperature mats containing photosynthetic
microorganisms.
PMID- 29364604
TI - [Diversity and Physiological and Biochemical Properties of Heterotrophic
Bacteria. Isolated from Lake Baikal Neuston.]
AB - For heterotrophic microorganisms (44 strains) isolated-from the surface film of
Lake Baikal, iden- tification was carried out and their. physiological and
biochemical characteristics were determined. Com- pared to the water column,
diversity of cultured heterotrophs was low, indicating formation of stable micro-
bial communities at the air-water interphase interface. Heterotrophic bacteria
isolated from the surface mi- crolayer exhibited the enzymatic activity
comparable to that for strains form other biofilm associations. Deinococcusfi6us
strain NA202 'vas the most active component of the community, capable of
utilization of the broadest spectrum of mono- and disaccharides,'sugars, and
amino acids. This strain possessed the highest diversity of extracellular enzymes
and was the most resistant to UV radiation. The physiological and bio- chemical
properties of this strain may-be responsible for its adaptation to survival in
extreme conditions of the surface microlayer. Our results improve our
understanding of occurrence of UV-resistant strains in freshwater ecosystems.
PMID- 29364605
TI - [Modeling the Propagation of Microbial Cells and Phage Particles from the Sites
of Permafrost Thawing.]
AB - A method is proposed for integral assessment of the propagation of microbial
cells and viral parti- cles during seasonal thawing of relic ice wedge layers.
The results of on-site and laboratory investigation car- ried out in the upper
part of permafrost exposure at Mamontova Gora (Yakutiya, Russia) are presented.
To increase reliability of the results, suspensions of two microbial species and
two coliphage species were intro- duced as biomarkers directly on the surface of
thaing ice and in the meltwater flow. Each of the four different model biological
objects was shown to possess unique parameters of movement in the meltwater flow
and is able to move 132 m in 25-35 min with the water flow.
PMID- 29364606
TI - [Relations between Bacterioplankton, Heterotrophic Nanoflagellates, and
Virioplankton in the Littoral Zone of a LarRe Plain Reservoir:. ImDact of Bird
Colonies.]
AB - Interactions of the main components of microbial planktonic food web (bacteria,
heterotrophic nanoflagellates, and viruses) were studied in a protected overgrown
littoral zone of the Rybinsk Reservoir (Upper Volga).. The effect of bird
colonial, settlements (the Laridae family) on these processes was deter- mined.
The following systems exhibited significant negative correlations: "heterotrophic
nanoflagellates- large rod-shaped bacteria" ("predator-prey"), "viruses
bacteriophages-bacterial products" ("parasite-. host") and "heterotrophic
nanoflagellates-viruses-bacteriophages." Relations between biotic factors con-
trolling bacterial development were more pronounced outside the zone affected by
colonial bird settlements. Near the bird colony the role of viruses in mortality
of planktonic bacteria increased. Reproduction of bacte- rial cells accelerated
in response to the increase in feeding activity of heterotrophic nanoflagellates.
Viruses- bacteriophages and heterotrophic nanoflagellates probably eliminate
different targets until medium-sized cells become predominant in the bacterial
community. Then heterotrophic nanoflagellates consume bacterial cells infected
with viruses.
PMID- 29364607
TI - [Role of the Microbial Community in Formation of Speleothem (Moonmilk) in the
Snezhnaya Carst Cave (Abkhazia).]
AB - The resi Its of investigation of speleothem (moonmilk) from the Snezhnaya cave
(West Caucasus, Abkhazia) are-reported. The structure of microbial complexes from
moonmilk was investigated by quantita- tive PCR; strains of culturable
microorganisms were isolated and their preferred temperature and carbon sources
were determined. Among eubacteria, -34% belonged to the iron bacteria
(Rhodoferax). Most bacte- rial strains were shown to be facultative psychrophiles
with the maximum growth rate at 4C. The microstruc- ture and elemental
composition of mionmilk were investigated using a scanning electron microscope
(EVO- 40HV, Carl Zeiss) and silicon drifi X-ay detector X-MAX 80 ffM2' The
visually plastic and homogeneous mass of moonmilk was shown to be highly
heterogeneous, containing various microstructures. The elemental composition of
some nanostructures depended on the structure of bacterial biofilms. Some loci of
the biofilm were found to contain up to 46% (wt/wt) of iron oxides. Calcium
content was high, up to 61.5% (wt/wt) only in cubic crystalline structures which
were not involved in microbiological processes.
PMID- 29364608
TI - [Not Available.]
PMID- 29364609
TI - [Not Available.]
PMID- 29364610
TI - Development of Dual Neurotrophins-Encapsulated Electrosupun Nanofibrous Scaffolds
for Peripheral Nerve Regeneration.
AB - Nerve growth factor (NGF) is widely used for repairing peripheral nerve injury
because of its capability in dominating the survival, migration, proliferation,
and differentiation of nerve cells. Monosialoganglioside (GM1), as another kind
of nerve growth factor, works for regulating NGF function. In this study, GM1 and
NGF were incorporated into the Poly(l-lactic acid-co-epsilon-caprolactone)/silk
fibroin (PLCL/SF) nanofibers by the coaxial electrospinning. The fibers
morphology and core-shell structure were characterized by SEM and TEM. The
scaffolds demonstrated high tensile stress with good flexibility. In vitro cell
viability studies indicated that the scaffolds incorporating both GM1 and NGF
played a synergistic effect to enhance Schwann cells (SCs) proliferation and
Pheochromocytoma (PC12) cells differentiation, in comparison to the scaffolds
only incorporating NGF. Subsequently, the nanofibrous conduit scaffolds (NCSs)
were evaluated in vivo in a rabbit sciatic nerve defect model. The NGF/GM1
incorporated NCSs group performed better nerve function recovery than single
incorporated group, in consideration of the compound muscle action potential
(CMAP) and nerve conduction velocity (NCV) results. Furthermore, hematoxylin and
eosin (H&E) staining, toluidine blue (TB) staining, and transmission electron
microscope (TEM) analysis displayed better nerve regeneration of NGF/GM1
incorporated NCSs both quantitatively and qualitatively. Therefore, the results
indicated the dual neurotrophins-incorporated NCSs had potentials for the
application in peripheral nerve repairing.
PMID- 29364611
TI - [Adipose tissue composition in puberty and postpuberty according to age, sex
(gender), physical activity and alimentary behavior].
AB - The study involved 110 adolescents from 15 to 22 years (35 boys, 75 girls). To
assess eating habits and physical activity we used WHO questionnaires. We also
analyzed anthropometry, bioimpedance data, parameters of the cardiovascular
system: systolic and diastolic blood pressure, heart rate. It has been shown,
that body mass index (BMI) in adolescents didn't correlate with the content of
both total and visceral adipose tissue in the body and shoud not be used as a
major diagnostic criterion of obesity. An excessive content of total adipose
tissue was shown in 15% of the puberty and postpuberty teens. Visceral fat
content was significantly higher in male, than female (3.03+/-3.31 vs 2.11+/
1.57%), independently of the total fat percentage (18.91+/-16.83 and 31.72+/
19.24% respectively). The visceral fat in the body begins to increase in age of
16. According to the authors, such an effect in boys and girls is associated with
the final changes of puberty (concentration of sex steroids). Such hormons like
testosterone and progesterone and estradiol have different effects on the white
adipose tissue and play a key role in proceses of its differentiation and
metabolism. Percentage of total adipose tissue depends on dietary habits in the
first place - the predominance of fast food. A significant relationship of
physical activity and the percentage of visceral fat was shown.
PMID- 29364612
TI - Renal Epithelial Cell Injury Induced by Calcium Oxalate Monohydrate Depends on
their Structural Features: Size, Surface, and Crystalline Structure.
AB - Urinary crystals in normal and kidney stone patients often differ in crystal
sizes and surface structures, but the effects of different crystal properties on
renal tubular epithelial cells remain unclear. This study aimed to compare the
cytotoxicity of micron/nano-calcium oxalate monohydrate (COM) crystals with sizes
of 50 nm, 200 nm, 1 MUm, 3 MUm, and 10 MUm to African green monkey renal
epithelial (Vero) cells, to reveal the effect of crystal size and surface
structure on cell injury, and to investigate the pathological mechanism of
calcium oxalate kidney stones. Cell viability, cellular biochemical parameters,
and internalized crystal amount in Vero cells were closely associated with the
size of COM crystals. At the same concentration (200 MUg/mL), COM-1 MUm induced
the most serious injury to Vero cells and caused the most significant change to
cellular biochemical parameters, which were related to the specific porous
structure and highest internalized amount in Vero cells. By contrast, COM-50 nm
and COM-200 nm crystals lost their small size effect because of serious
aggregation and weakened their toxicity to cells. COM-3 MUm and COM-10 MUm
crystals were too large for cells to completely internalize; these crystals also
exhibited a low specific surface area and thus weakened their toxicity. The
excessive expression of intracellular ROS and reduction of the free-radical
scavenger SOD were the main reasons for cell injury and eventually caused
necrotic cell death. Crystal size, surface structure, aggregation, and
internalization amount were closely related to the cytotoxicity of COM crystals.
PMID- 29364613
TI - [The dependence of diet macronutrient composition and energy intake from human
phase of the weekly cycle - weekdays/weekends].
AB - When planning your diet regime is desirable to focus on the natural feeding
behavior of the person. However, many aspects of eating behavior are not studied.
The aim of this work was to study the changes of diet calorie and nutrient
composition depending on the body mass index (BMI), time of day, week cycle
phase: weekends/weekdays, and the availability of rational installation in
nutrition. The study involved 67 women aged 29 to 49 years with a BMI of 18.3 to
34.2 kg/m2. Macronutrient composition and energy value of the diet were evaluated
by analyzing the food diaries, which were filled by all respondents continuously
for 8 workdays and 4 weekends. The results of this study showed no significant
correlation between BMI and calorie intake, while a positive correlation was
observed between BMI and fat component of the diet (r=0.362, p<=0.05). It was
also found that the restriction of food intake in the evening (after 8 pm) did
not lead to a decrease in total daily energy value of the diet, and was
characterized by the consumption in the evening about 31% of the total fat
intake. Diet energy value was significantly higher on weekends than during the
week and was 2376+/-394 against 1940+/-402 kcal (p<0.05). According to the data
obtained, compliance "restriction of supply in the evening" does not lead to a
significant reduction in daily caloric intake.
PMID- 29364614
TI - [Toxicological assessment of nanostructured silica. IV. Immunological and
allergological indices in animals sensitized with food allergen and final
discussioin].
AB - This paper is the final in a series of publications on the assessment of subacute
oral toxicity of nanostructured silica (SiO2). Preparation studied was a
commercial nanopowder of SiO2, obtained by hydrolysis of tetrachlorosilane in the
gaseous phase with the size of primary nanoparticles (NPs) of 5-30 nm. The
experiment was conducted in 95 male Wistar rats weighing 150-180 g, divided into
6 groups numbering 25 (group 1), 26 (group 2), 11 (groups 3-6) of animals. The
aqueous dispersion of SiO2 after sonication was administered to animals of groups
2, 4 and 6 for 28 days by intragastric gavage at a dose of 100 mg/kg of body
weight per day. Animals of groups 1, 3, and 5 were treated with deionized water.
On the 1st, 3d, 5th and 21st day of experiment the rats of groups 1, 2, 3 and 4
were sensitized intraperitoneally with hen's egg ovalbumin (OVA) adsorbed to
aluminum hydroxide. Intravenous administration of the challenge dose OVA to rats
in groups 1 and 2 was carried out on the 29th day. In the same period animals of
groups 3-6 were bled for analysis of cellular immunity. There were evaluated the
severity of systemic anaphylaxis reaction, the level of specific IgG antibodies
to OVA in sensitized animals, state of erythrocytes, platelets and leukocytes of
peripheral blood using standard methods. Using flow cytometry there were measured
contents of lymphocyte populations of B-lymphocytes (CD45RA+), total T
lymphocytes (CD3+), T-helper cells (CD4+), T-cytotoxic cells (CD8+), NKcells
(CD161a+), phagocytic activity of polymorphonuclear leukocytes in respect of
latex particles. Serum levels of TNFalpha and IL-10 cytokines were determined by
ELISA. The result showed that NPs SiO2, at dose of 100 mg/kg body weight had no
any marked effect on severity of active anaphylactic shock and level of specific
antibodies. The changes in cellular immunity under the influence of nanomaterial
had similar direction in sensitized and non-sensitized animals and were more
pronounced in the latter. Based on the discussion of the results, together with
data from previous publications it was concluded that oral maximum level without
observable adverse effect (NOAEL) of nanostructured SiO2 is located below 100
mg/kg body weight.
PMID- 29364615
TI - [Rroblems of ensuring the safety of deep-fried fast food products].
AB - There are no doubts that fast-food restaurants, where deep-frying is actively
used, are now very popular in Russia. This article focuses on the problems of
deep-fried food safety. During deep-frying a considerable amount of fat
penetrates the food. That is why the safety of deep-fried food depends on the fat
safety and quality, on the level of fat absorption, and on the intensity of
oxidative changes of fat during storage. This article contains the results of the
research, which demonstrate that in order to insure the safety of fast-food
products it is necessary to introduce into normative and technical documents the
following standards: peroxide value, acid value, content of oxidation products
insoluble in petroleum ether, and content of epoxides in fat phase and to food
mass. According to the current norms on content of oxidation products in deep
frying fat and allowed level of fat absorption by a food product equal to 20%,
the recommended level of oxidation products insoluble in petroleum ether for
French fries is not higher than 0.2% to the food mass. As a temporary measure we
can recommend the level of epoxides not higher than 5 mmol/kg to the food mass.
It is important to control the content of trans-isomers in deepfrying fat, it
must be not higher than 2% of fatty acid mass. In order to lower fat absorption
during French fries production it is recommended to use halffinished products of
high readiness, and to air fry.
PMID- 29364616
TI - A Promising Approach for Improving the Coating Stability and In Vivo Performance
of Biodegradable Polymer-Coated Sirolimus-Eluting Stent.
AB - Several developments are in progress for improving the performance of drug
eluting stents (DESs) including use of biodegradable polymers, polymer-free DES,
fully bioabsorbable stents, and so on. The commercially available DESs still
suffer from polymer defects that could affect the performance of a DES through a
series of adverse events such as coating delamination and/or peeling-off that
lead to non-uniform local drug distribution, restenosis, and thrombosis. The goal
of this work was to enhance the stability of drug-in-polymer matrix coating on a
stent metal surface through surface modification. The cobalt-chromium (Co-Cr)
surface was chemically modified using poly(dopamine) (PDA) nano-coating and
poly(L-lactide) (PLLA) nano-brush in order to be applied to a biodegradable
polymer-coated DES. The biodegradable polymer loaded with sirolimus was coated
using an ultrasonic spray coating instrument. The coating morphology on all
samples showed a very smooth and uniform coating. The stability of the coating
was evaluated for 2 months under the circulation system in which the drug-in
polymer coating on the PLLA brush-modified stent presented the most stable
coating behavior as compared to other samples. The in vitro sirolimus release
study from both unmodified and modified stents was studied in phosphate-buffered
saline (PBS), and the modified stents showed slower sirolimus release profile as
compared to unmodified stents. In vivo study was performed in a porcine coronary
artery injury model for 28 days. The percentage of in-stent restenosis area (ISR)
for PLLA brush-modified sirolimus-eluting stent (SES) decreased significantly as
compared to unmodified SES and bare metal stent (BMS). This study demonstrated
that the modification of stent surface using PLLA brushes affects in vitro and in
vivo performance effectively to be applied for biodegradable polymercoated DES.
PMID- 29364617
TI - Mineralized Collagen Regulates Macrophage Polarization During Bone Regeneration.
AB - The host immune response to bone biomaterials is vital in determining the fate of
scaffolds and also the outcomes of bone regeneration. Mineralized collagen is an
ideal tissue-engineering scaffold for bone repair; however, little is known about
its immunomodulatory properties after implantation. In this study,
extrafibrillarly-mineralized collagen (EMC) and intrafibrillarly-mineralized
collagen (IMC) scaffolds with different nanostructures were fabricated and their
immunomodulatory properties via macrophage polarization during bone regeneration
were investigated. Micro-CT findings showed that the IMC scaffold yielded more
new bone formation than the EMC scaffold. In the defect area, more CD68 + CD163 +
M2-like macrophages were observed in the IMC group, while M1-like macrophages
positive for CD68 and inducible nitric oxide synthase (iNOS) increased
dramatically in the EMC group. We further demonstrated, from the protein and RNA
levels, that M2-associated anti-inflammatory cytokines interleukin (IL)-10 and
arginase-1 were highly expressed in the macrophages seeded on the IMC scaffold,
while those seeded on the EMC scaffold expressed more M1-related genes iNOS and
IL-6. Moreover, the macrophage polarization in response to the nanostructure of
mineralized collagen scaffolds influenced the osteogenesis of human bone marrow
stromal cells. These findings suggest that the nanostructure of mineralized
collagen scaffolds affects macrophage functional polarization during bone
regeneration. The immunomodulatory properties of biomaterial scaffolds can be a
dictator of bone regeneration outcomes.
PMID- 29364618
TI - One-Step Fabrication of AgNPs Embedded Hybrid Dual Nanofibrous Oral Wound
Dressings.
AB - In the dental medical field, an infection of opened oral tissues by bacteria give
rise to serious periodontal disease (PD) after trauma or various oral surgery
such as third molar extraction, oral implant, and unexpected trauma. However,
progress for bioactive improvement, a prevention of oral tissue contamination,
has been currently limitation. Therefore, an innovative research is extremely
important and needed for immediately inhibition of bacteria. In this study, we
designed a biocompatible oral wound dressing as co-electrospun nanofiber to
combine gelatin (GE) and polyurethane (PU) containing silver nanoparticle (AgNPs)
to enhance antibacterial activity targeting to periodontal bacteria. Prior to an
electrospinning (ELSP), AgNPs was directly synthesized by silver nitrate with
capping agent within dimethylformamide (DMF) for use as an ELSP solvent. The
fabricated products were cross-linked by 1-ethyl-3-(3-dimethylaminopropyl)
carbodiimide (EDC) and N-hydroxysuccinimide (NHS) followed by characterized via
theoretical analysis. In results, AgNPs were not only perfectly synthesized
without a reduction agent, but also electrospun nanofiber (EN) containing AgNPs
was well fabricated with the modulation of AgNPs levels. Additionally, the
presence of AgNPs within the fiber strand was clearly shown. In the antibacterial
test, the developed oral wound dressing showed excellent antibacterial activity
as increasing content of the AgNPs. All of tests clearly confirmed that our
strategy may suggest a useful paradigm as oral wound dressing to prevent of a
bacterial infection as means to oral wound dressing of gingiva and periodontal
tissues for obviation of periodontitis.
PMID- 29364622
TI - Curcumin-Loaded Layered Double Hydroxide Nanoparticles-Induced Autophagy for
Reducing Glioma Cell Migration and Invasion.
AB - Glioblastoma is difficult to cure due to the high risks of invasion and
metastasis. Although curcumin has shown beneficial effects against malignant
glioma cells, its poor properties have limited its application. The aim of this
study was to design a nanocarrier for curcumin to exert sufficient anti-migration
and anti-invasion effects on A172 cells. Curcuminloaded layered double hydroxide
nanoparticles (Cur/LDH NPs) were synthesized, and the physicochemical properties
were characterized using transmission electron microscopy (TEM), zeta potential,
Fourier transform infrared spectrometry (FTIR) and X-ray powder diffraction
(XRD). Then, Cur/LDH NPs were applied to A172 cells to assess the anti-migration
and anti-invasion effects, cellular uptake, autophagy activity, and the related
mechanisms. The prepared Cur/LDH NPs were 119+/-34 nm in size and exhibited a
drug-loading efficiency of 21.31+/-1.83%. Compared with LDH and Cur alone, the
Cur/LDH NPs significantly reduced cell migration and invasion when applied at low
doses (0.1 and 0.5 MUg/ml), and these effects were associated with a down
regulation of PI3K/AKT/mTOR signaling pathway. The Cur/LDH NPs were easily taken
up by A172 cells and were mainly located in lysosomes and mitochondria in a time
dependent manner. Importantly, autophagy, which can be triggered by the
inhibition of mTOR and by nanomaterials, was detected distinctly in A172 cells
exposed to Cur/LDH NPs via the autophagic marker LC3A/B. In addition, the
expression levels of Atg5-Atg12 and LAMP-1 were increased in the Cur/LDH NPs
groups, and autophagic vacuoles were observed via TEM. Our work suggests that
autophagy can promote the anti-migration and anti-invasion effects of Cur/LDH NPs
against glioblastoma.
PMID- 29364623
TI - Photodynamic Therapy Mediated by Upconversion Nanoparticles to Reduce Glial Scar
Formation and Promote Hindlimb Functional Recovery After Spinal Cord Injury in
Rats.
AB - Glial scar formation is one of the major consequences of spinal cord injury,
which prevents the regenerated axons passing the injured area and forming
effective synaptic connection. In this paper, we used photodynamic therapy (PDT),
which was mediated by the upconversion nanoparticles coated with polyethylene
glycol (PEG) and photosensitizer (UCNPs-PEGM540), to reduce the glial scar
formation after spinal cord injury. The in vitro experimental results indicated
that cultured astrocytes could be killed by using upconversion nanoparticles
after excitation with near infrared light. By transplanting UCNPs-PEG-M540 into
the margin area of injured epicenter of spinal cord, the recovery of rat's
hindlimb function was evaluated in Basso, Beattie, Bresnahan locomotor rating
scale, respectively. The improvement in microenvironment of the injured spinal
cord was also evaluated by glial fibrillary acidic protein staining,
neurofiliment staining, biotinylated dextran amine anterograde tracing and
western blotting. Our results demonstrated that more regenerative axons of
corticospinal tract were found to surround and pass through the injured cavity to
the caudal cord with transplanting UCNPs-PEG-M540 into the injured spinal cord.
In conclusion, our results strongly suggested that upconversion nanoparticles
combined with photodynamic therapy can promote functional recovery in rats'
hindlimbs by reducing the formation of glial scar and promoting remyelination of
injured axons.
PMID- 29364624
TI - Nanofibrous Mineralized Electrospun Scaffold as a Substrate for Bone Tissue
Regeneration.
AB - Fibrous scaffolds that reconstruct the extracellular matrix (ECM) have been
utilized for tissue regeneration demonstrated potential for guiding stem cell
differentiation. Nanofibrous scaffolds fabricated by a unique electrospinning
method enabled us to create tailored, functional scaffolds on-demand. Several
patterned electrospun poly(epsilon-caprolactone) (PCL) scaffolds were prepared,
and then utilized for creating a hybrid composite in which bone-like
hydroxyapatite (b-HA) was deposited onto the unique electrospun scaffolds. The
mineral deposits onto the patterned PCL scaffolds was confirmed by scanning
electron microscope (SEM). When culturing human adipose-derived stem cells (hASC)
onto the different SBF-treated electrospun PCL scaffolds, it was found that the
hybrid composite can support hASC differentiated into osteoblasts under
osteogenic differentiation conditions. Image analysis and alamar blue assay
indicated a significant increase of hASC adhesion and proliferation on the SBF
treated PCL scaffolds. Subsequent analysis of osteogenic potential by via gene
expression analysis and alkaline phosphatase (ALP) activity also demonstrated
that the SBF-treated electrospun PCL made by the modified electrospinning process
is more favorable for the osteogenic differentiation hASCs. Additionally, results
of alizarin red S staining and ALP staining at days 7 and 14 showed improved
deposition of mineralized matrix on the SBF-treated PCL. Therefore, this study
indicates that the facile scaffold fabrication method described in this study is
promising approach to prepare osteoconductive scaffold for bone tissue
engineering.
PMID- 29364644
TI - Keeping Track of the Electrons.
AB - Mechanistic investigation and new reaction development are intertwined. This
interdependence presents challenges and opportunities in development of all
transformations, particularly for those that employ base metal catalysts. In
comparison to precious metal counterparts, these catalysts yield less easily to
mechanistic analysis. However, base metal catalysts can provide new modes of
reactivity and opportunities for discovery. In this Commentary, we highlight a
developing field: nickel-catalyzed stereoselective alkyl cross-coupling
reactions. While key features of the relevant catalytic cycles remain ambiguous,
chemical intuition and key mechanistic experiments have provided the stepping
stones for discovery of stereoselective transformations.
PMID- 29364646
TI - Design of pH-Responsive Polymer Monolith Based on Cyclodextrin Vesicle for
Capture and Release of Myoglobin.
AB - beta-Cyclodextrin vesicles (CDVs) were first introduced into the polymer monolith
to prepare a pH-responsive adsorption material and used for capture and release
of a cardiac biomarker, myoglobin (Myo). SH-CDV was decorated with adamantane
modified SH-octapeptide to enhance the encapsulation and release rates of Myo.
Afterward, SH-CDV was introduced into the polymer monolith via click reaction to
produce a pH-responsive monolith. Combining with the mass spectrometry detection,
the CDV-based pH-responsive monolith was used for the enrichment of Myo
glycopeptides from the mixture of glycopeptides and nonglycoprotein (bovine serum
albumin) tryptsin digests reach up to 1:10 000. A limit of detection of 0.1 fmol
was obtained for Myo glycopeptides in the blood sample, indicating the high
sensitivity of the method. The prepared CDV-based hybrid monolith demonstrated
itself to be a promising material for capture of glycoproteins in complex
samples, which provides an efficient strategy for the identification and
discovery of biomarkers of acute myocardial infarction.
PMID- 29364647
TI - Role of Chemical Biology in Tuberculosis Drug Discovery and Diagnosis.
AB - The use of chemical techniques to study biological systems (often referred to
currently as chemical biology) has become a powerful tool for both drug discovery
and the development of novel diagnostic strategies. In tuberculosis, such tools
have been applied to identifying drug targets from hit compounds, matching high
throughput screening hits against large numbers of isolated protein targets and
identifying classes of enzymes with important functions. Metabolites unique to
mycobacteria have provided important starting points for the development of
innovative tools. For example, the unique biology of trehalose has provided both
novel diagnostic strategies as well as probes of in vivo biological processes
that are difficult to study any other way. Other mycobacterial metabolites are
potentially valuable starting points and have the potential to illuminate new
aspects of mycobacterial pathogenesis.
PMID- 29364645
TI - Multiple Mechanisms of Zinc-Mediated Inhibition for the Apoptotic Caspases-3, -6,
-7, and -8.
AB - Zinc is emerging as a widely used and important biological regulatory signal.
Cellular zinc levels are tightly regulated by a complex array of zinc importers
and exporters to control processes such as apoptotic cell death. While caspase
inhibition by zinc has been reported previously, the reported inhibition
constants were too weak to suggest a critical biological role for zinc-mediated
inhibition. In this work, we have adopted a method of assessing available zinc.
This allowed assessment of accurate inhibition constants for apoptotic caspases,
caspase-3, -6, -7, and -8. Each of these caspases are inhibited by zinc at
intracellular levels but with widely differing inhibition constants and different
zinc binding stoichiometries. Caspase-3, -6, and -8 appear to be constitutively
inhibited by typical zinc levels, and this inhibition must be lifted to allow
activation. The inhibition constant for caspase-7 (76 nM) is much weaker than for
the other apoptotic caspases (2.6-6.9 nM) suggesting that caspase-7 is not
inactivated by normal zinc concentrations but can be inhibited under conditions
of zinc stress. Caspase-3, -7, and -8 were found to bind three, one, and two
zincs, respectively. In each of these caspases, zinc was present in the active
site, in contrast to caspase-6, which binds one zinc allosterically. The most
notable new mechanism to emerge from this work is for zinc-mediated inhibition of
caspase-8. Zinc binds caspase-8 directly at the active site and at a second site.
Zinc binding inhibits formation of the caspase-8 dimer, the activated form of the
enzyme. Together these findings suggest that zinc plays a critical role in
regulation of apoptosis by direct inactivation of caspases, in a manner that is
unique for each caspase.
PMID- 29364648
TI - Tau-Targeted Multifunctional Nanocomposite for Combinational Therapy of
Alzheimer's Disease.
AB - Alzheimer's disease (AD) remains an incurable disease and lacks efficient
diagnostic methods. Most AD treatments have focused on amyloid-beta (Abeta)
targeted therapy; however, it is time to consider the alternative theranostics
due to accumulated findings of weak correlation between Abeta deposition and
cognition, as well as the failures of Phase III clinical trial on Abeta targeted
therapy. Recent studies have shown that the tau pathway is closely associated
with clinical development of AD symptoms, which might be a potential therapeutic
target. We herein construct a methylene blue (MB, a tau aggregation inhibitor)
loaded nanocomposite (CeNC/IONC/MSN-T807), which not only possesses high binding
affinity to hyperphosphorylated tau but also inhibits multiple key pathways of
tau-associated AD pathogenesis. We demonstrate that these nanocomposites can
relieve the AD symptoms by mitigating mitochondrial oxidative stress, suppressing
tau hyperphosphorylation, and preventing neuronal death both in vitro and in
vivo. The memory deficits of AD rats are significantly rescued upon treatment
with MB loaded CeNC/IONC/MSN-T807. Our results indicate that hyperphosphorylated
tau-targeted multifunctional nanocomposites could be a promising therapeutic
candidate for Alzheimer's disease.
PMID- 29364649
TI - Architectonics: Design of Molecular Architecture for Functional Applications.
AB - The term architectonics has its roots in the architectural and philosophical (as
early as 1600s) literature that refers to "the theory of structure" and "the
structure of theory", respectively. The concept of architectonics has been
adapted to advance the field of molecular self-assembly and termed as molecular
architectonics. In essence, the methodology of organizing molecular units in the
required and controlled configurations to develop advanced functional systems for
materials and biological applications comprises the field of molecular
architectonics. This concept of designing noncovalent systems enables to focus on
different functional aspects of designer molecules for biological and
nonbiological applications and also strengthens our efforts toward the mastery
over the art of controlled molecular self-assemblies. Programming complex
molecular interactions and assemblies for specific functions has been one of the
most challenging tasks in the modern era. Meticulously ordered molecular
assemblies can impart remarkable developments in several areas spanning energy,
health, and environment. For example, the well-defined nano-, micro-, and
macroarchitectures of functional molecules with specific molecular ordering
possess potential applications in flexible electronics, photovoltaics, photonic
crystals, microreactors, sensors, drug delivery, biomedicine, and
superhydrophobic coatings, among others. The functional molecular architectures
having unparalleled properties are widely evident in various designs of Nature.
By drawing inspirations from Nature, intended molecular architectures can be
designed and developed to harvest various functions, as there is an inexhaustible
resource and scope. In this Account, we present exquisite designer molecules
developed by our group and others with an objective to master the art of
molecular recognition and self-assembly for functional applications. We
demonstrate the tailor-ability of molecular self-assemblies by employing
biomolecules like amino acids and nucleobases as auxiliaries. Naphthalenediimide
(NDI), perylenediimide (PDI), and few other molecular systems serve as functional
modules. The effects of stereochemistry and minute structural modifications in
the molecular designs on the supramolecular interactions, and construction of
self-assembled zero-dimensional (OD), one-dimensional (1D), and two-dimensional
(2D) nano- and microarchitectures like particles, spheres, cups, bowls, fibers,
belts, helical belts, supercoiled helices, sheets, fractals, and honeycomb-like
arrays are discussed in extensive detail. Additionally, we present molecular
systems that showcase the elegant designs of coassembly, templated assembly,
hierarchical assembly, transient self-assembly, chiral denaturation, retentive
helical memory, self-replication, supramolecular regulation, supramolecular
speciation, supernon linearity, dynamic pathway complexity, supramolecular
heterojunction, living supramolecular polymerization, and molecular machines.
Finally, we describe the molecular engineering principles learnt over the years
that have led to several applications, namely, organic electronics, self
cleaning, high-mechanical strength, and tissue engineering.
PMID- 29364650
TI - Biological Nanopores: Confined Spaces for Electrochemical Single-Molecule
Analysis.
AB - Nanopore sensing is developing into a powerful single-molecule approach to
investigate the features of biomolecules that are not accessible by studying
ensemble systems. When a target molecule is transported through a nanopore, the
ions occupying the pore are excluded, resulting in an electrical signal from the
intermittent ionic blockade event. By statistical analysis of the amplitudes,
duration, frequencies, and shapes of the blockade events, many properties of the
target molecule can be obtained in real time at the single-molecule level,
including its size, conformation, structure, charge, geometry, and interactions
with other molecules. With the development of the use of alpha-hemolysin to
characterize individual polynucleotides, nanopore technology has attracted a wide
range of research interest in the fields of biology, physics, chemistry, and
nanoscience. As a powerful single-molecule analytical method, nanopore technology
has been applied for the detection of various biomolecules, including
oligonucleotides, peptides, oligosaccharides, organic molecules, and disease
related proteins. In this Account, we highlight recent developments of biological
nanopores in DNA-based sensing and in studying the conformational structures of
DNA and RNA. Furthermore, we introduce the application of biological nanopores to
investigate the conformations of peptides affected by charge, length, and dipole
moment and to study disease-related proteins' structures and aggregation
transitions influenced by an inhibitor, a promoter, or an applied voltage. To
improve the sensing ability of biological nanopores and further extend their
application to a wider range of molecular sensing, we focus on exploring novel
biological nanopores, such as aerolysin and Stable Protein 1. Aerolysin exhibits
an especially high sensitivity for the detection of single oligonucleotides both
in current separation and duration. Finally, to facilitate the use of nanopore
measurements and statistical analysis, we develop an integrated current
measurement system and an accurate data processing method for nanopore sensing.
The unique geometric structure of a biological nanopore offers a distinct
advantage as a nanosensor for single-molecule sensing. The construction of the
pore entrance is responsible for capturing the target molecule, while the lumen
region determines the translocation process of the single molecule. Since the
capture of the target molecule is predominantly diffusion-limited, it is expected
that the capture ability of the nanopore toward the target analyte could be
effectively enhanced by site-directed mutations of key amino acids with desirable
groups. Additionally, changing the side chains inside the wall of the biological
nanopore could optimize the geometry of the pore and realize an optimal
interaction between the single-molecule interface and the analyte. These
improvements would allow for high spatial and current resolution of nanopore
sensors, which would ensure the possibility of dynamic study of single
biomolecules, including their metastable conformations, charge distributions, and
interactions. In the future, data analysis with powerful algorithms will make it
possible to automatically and statistically extract detailed information while an
analyte translocates through the pore. We conclude that these improvements could
have tremendous potential applications for nanopore sensing in the near future.
PMID- 29364651
TI - Comparison of the Chemical Composition of Dissolved Organic Matter in Three Lakes
in Minnesota.
AB - New information on the chemical composition of dissolved organic matter (DOM) in
three lakes in Minnesota has been gained from spectral editing and two
dimensional nuclear magnetic resonance (NMR) methods, indicating the effects of
lake hydrological settings on DOM composition. Williams Lake (WL), Shingobee Lake
(SL), and Manganika Lake (ML) had different source inputs, and the lake water
residence time (WRT) of WL was markedly longer than that of SL and ML. The
hydrophobic organic acid (HPOA) and transphilic organic acid (TPIA) fractions
combined comprised >50% of total DOM in these lakes, and contained carboxyl-rich
alicyclic molecules (CRAM), aromatics, carbohydrates, and N-containing compounds.
The previously understudied TPIA fractions contained fewer aromatics, more oxygen
rich CRAM, and more N-containing compounds compared to the corresponding HPOA.
CRAM represented the predominant component in DOM from all lakes studied, and
more so in WL than in SL and ML. Aromatics including lignin residues and phenols
decreased in relative abundances from ML to SL and WL. Carbohydrates and N
containing compounds were minor components in both HPOA and TPIA and did not show
large variations among the three lakes. The increased relative abundances of CRAM
in DOM from ML, SL to WL suggested the selective preservation of CRAM with
increased residence time.
PMID- 29364652
TI - Residue Analysis of 60 Pesticides in Red Swamp Crayfish Using QuEChERS with High
Performance Liquid Chromatography-Tandem Mass Spectrometry.
AB - In this study, a multi-residue analytical method using quick, easy, cheap,
effective, rugged, and safe (QuEChERS) extraction and dispersive solid-phase
extraction (d-SPE) cleanup, followed by high-performance liquid chromatography
tandem mass spectrometry (HPLC-MS/MS), was investigated for rapid determination
of 60 pesticide residues in whole crayfish and crayfish meat. The final method
used 10 mL of acetonitrile for extraction, 3 g of NaCl for partitioning, and 50
mg of primary secondary amine for d-SPE cleanup. The method was validated at
three spiking levels (10, 50, and 100 ng/g) using triphenyl phosphate as an
internal standard and both gradient and isocratic HPLC elution. Under gradient
conditions, satisfactory recoveries (70-120%) and relative standard deviations of
<=20% were achieved for 83 and 88% of pesticides in whole crayfish and crayfish
meat, respectively. Matrix effects were estimated using both gradient and
isocratic HPLC elution. To our knowledge, this is the first study involving multi
residue analysis of HPLC-amenable pesticides in crayfish and mantis shrimp. The
final method was successfully applied for analysis of 11 crayfish and mantis
shrimp samples from markets in China, and propamocarb (98% deuterium retention. Moreover, the
synthetic potential of the method was demonstrated with a straightforward
synthesis of the meso-hexestrol in 4 steps, in 41% overall yield from alkenol
10a.
PMID- 29364667
TI - Solvation of Methyl Lactate in Water: Molecular Dynamics Studies.
AB - Methyl lactate (ML), a chiral alpha-hydroxy ester, has been probed widely to
understand the competition between two types of intramolecular H-bonds in
solvents of different polarities. Recent experimental and high-level quantum
chemical studies have revealed the predominant existence of ML-water insertion
complexes over addition complexes in aqueous solution. Although the stability of
monohydrate insertion conformer was studied accurately, ab initio quantum
chemical calculations failed to predict the most stable dihydrate conformer in
analogy with the experimental spectroscopic search. Atomistic molecular dynamics
simulations of aqueous solution of methyl lactate predict that the population and
lifetime of different H-bonded ML-water addition complexes are dictated by their
interaction energies. Although the population of dihydrate insertion complexes is
higher than that of the monohydrate complexes, the lifetime of the former is
smaller than the latter, which is in good agreement with the experimental result.
The nature of intramolecular H-bonds within a methyl lactate molecule in aqueous
solution is opposite to that in the gas phase due to the solvation process in
water by intermolecular H-bonding interactions.
PMID- 29364668
TI - Probability and Flux Densities in the Center-of-Mass Frame.
AB - For an arbitrary nonstationary wave function of a nonrelativistic closed many
body system consisting of arbitrary interacting particles, the general
expressions for the time-dependent one-particle probability and flux densities in
the center-of-mass frame without applying Born-Oppenheimer approximation are
obtained. Even the wave function for the translation is additionally introduced;
it disappears in the center-of-mass frame automatically. It is shown that for the
rotational ground state the time-dependent probability and flux densities of an
arbitrary particle in the center-of-mass frame are isotropic. It means that the
angular dependence is absent but these densities depend on radius and time. More
importantly, it is shown that the angular components of the time-dependent flux
density vanish. With these statements, one can calculate the radial component of
the radius- and time-dependent electronic flux density within the Born
Oppenheimer approximation via the continuity equation. Application of this theory
to the pulsating or exploding "quantum bubble" of the vibrating or dissociating
Na2 molecule in the rotational ground state, respectively, is found elsewhere in
this issue.
PMID- 29364669
TI - Revision of the Phytochemistry of Eremophila sturtii and E. mitchellii.
AB - Eremophila sturtii and E. mitchellii are found in the arid and temperate regions
of Australia and, because of their similar appearances, are often confused.
Previous phytochemical investigations have described mitchellene sesquiterpenes
(1-5) reported from E. mitchellii but are here demonstrated to be from E.
sturtii. A previous study that described serrulatic acids (16 and 17) from a
species reported as E. sturtii actually used E. mitchellii. In addition, two new
C-15 modified analogues, mitchellenes F (14) and G (15), were isolated from E.
sturtii. The absolute configuration of 14 was determined with the first X-ray
structure of a compound with the mitchellene skeleton.
PMID- 29364670
TI - Morphology-Preserving Sensitization of ZnO Nanorod Surfaces via Click-Chemistry.
AB - Films of ZnO nanorods grown by chemical vapor deposition were functionalized with
a chromophore in a stepwise process that preserves the surface morphology. In the
first step, the ZnO nanorods were functionalized by exposure to prop-2-ynoic acid
(propiolic acid) in vacuum, which did bind through the COOH group leading to a
ZnO surface functionalized with ethyne moieties (ethyne/ZnO). In the second step,
9-(4-azidophenyl)-2,5-di-tert-butylperylene (DTBPe-Ph-N3) was reacted with the
ethyne/ZnO surface via copper-catalyzed azide-alkyne click reaction (CuAAC) in
solution to form the DTBPe-functionalized surface (DTBPe/ZnO). The ZnO morphology
was preserved after each step, as demonstrated by scanning electron microscopy
(SEM). Each step was probed by X-ray photoelectron spectroscopy (XPS), and
transient absorption spectroscopy (TA) of the resulting DTBPe/ZnO surface shows
interfacial electron transfer following visible light excitation. As expected,
attempts to bind the reference compound 1-(4-(8,11-ditert-butylperylen-3-yl)
phenyl)-1H-1,2,3-triazole-4-carboxylic acid (DTBPe-Ph-Tz-COOH) directly from
solution lead to etched surfaces (confirmed by SEM) and undefined binding modes
(confirmed by TA).
PMID- 29364671
TI - Na2 Vibrating in the Double-Well Potential of State 2 1Sigmau+ (JM = 00): A
Pulsating "Quantum Bubble" with Antagonistic Electronic Flux.
AB - The theory of concerted electronic and nuclear flux densities associated with the
vibration and dissociation of a multielectron nonrotating homonuclear diatomic
molecule (or ion) in an electronic state 2S+1Sigmag,u+ (JM = 00) is presented.
The electronic population density, nuclear probability density, and nuclear flux
density are isotropic. A theorem of Barth , presented in this issue, shows that
the electronic flux density (EFD) is also isotropic. Hence, the evolving system
appears as a pulsating, or exploding, "quantum bubble". Application of the theory
to Na2 vibrating in the double-minimum potential of the 2 1Sigmau+ (JM = 00)
excited state reveals that the EFD consists of two antagonistic components. One
arises from electrons that flow essentially coherently with the nuclei. The
other, which is oppositely directed (i.e., antagonistic) and more intense, is due
to the transition in electronic structure from "Rydberg" to "ionic" type as the
nuclei traverse the potential barrier between inner and outer potential wells.
This "transition" component of the EFD rises and falls sharply as the nuclei
cross the barrier.
PMID- 29364672
TI - (R)-DM-SEGPHOS-Ag(I)-Catalyzed Enantioselective Synthesis of Pyrrolidines and
Pyrrolizidines via (1,3)- and Double (1,3)-Dipolar Cycloaddition Reactions.
AB - An efficient diastereo- and enantioselective route to access a wide range of
highly substituted pyrrolidine and pyrrolizidine derivatives has been described
via (1,3)- and double (1,3)-dipolar cycloaddition reactions catalyzed by the (R)
DM-SEGPHOS-Ag(I) complex. The reactions proceed smoothly at ambient temperature,
affording a variety of pyrrolidines and pyrrolizidines in high yields (up to 93%)
with up to 99:1 dr and excellent enantioselectivities (up to 98% ee) without any
additives. The newly synthesized pyrrolidine and pyrrolizidine derivatives
contain four and seven contiguous stereogenic centers, respectively. Moreover,
the synthetic utility of enantioenriched products has been demonstrated by
transforming them into various synthetically useful advanced intermediates.
PMID- 29364673
TI - Closed-Shell Organic Compounds Might Form Dimers at the Surface of Molecular
Clusters.
AB - The role of covalently bound dimer formation is studied using high-level quantum
chemical methods. Reaction free energy profiles for dimer formation between
common oxygen-containing functional groups are calculated, and based on the Gibbs
free energy differences between transition states and reactants, we show that
none of the studied two-component gas-phase reactions are kinetically feasible at
298.15 K and 1 atm. Therefore, the catalyzing effect of water, base, or acid
molecules is calculated, and sulfuric acid is identified to lower the activation
free energies significantly. We find that the reactions yielding hemiacetal,
peroxyhemiacetal, alpha-hydroxyester, and geminal diol products occur with
activation free energies of less than 10 kcal/mol with sulfuric acid as a
catalyst, indicating that these reactions could potentially take place on the
surface of sulfuric acid clusters. Additionally, the formed dimer products bind
stronger onto the pre-existing cluster than the corresponding reagent monomers
do. This implies that covalent dimerization reactions stabilize the existing
cluster thermodynamically and make it less likely to evaporate. However, the
studied small organic compounds, which contain only one functional group, are not
able to form dimer products that are stable against evaporation at atmospheric
conditions. Calculations of dimer formation onto a cluster surface and the
clustering ability of dimer products should be extended to large terpene
oxidation products in order to estimate the real atmospheric significance.
PMID- 29364674
TI - C2'-F Stereoconfiguration As a Puckering Switch for Base Stacking at the
Dinucleotide Level.
AB - Fluorine configuration at C2' of the bis(2'-fluorothymidine) dinucleotide is
demonstrated to drive intramolecular base stacking. 2'-beta F-Configuration
drastically reduces stacking compared to the 2'-alpha series. Hence, base
stacking emerges as being tunable by the C2'-F stereoconfiguration through
dramatic puckering variations scrutinized by NMR and natural bond orbital
analysis. Accordingly, 2'-beta F-isomer photoreactivity is significantly reduced
compared to that of the 2'-alpha F-isomer.
PMID- 29364675
TI - Adsorption of Bovine Serum Albumin on Poly(vinylidene fluoride) Surfaces in the
Presence of Ions: A Molecular Dynamics Simulation.
AB - Adsorption of bovine serum albumin (BSA) on poly(vinylidene fluoride) (PVDF)
surfaces in an aqueous environment was investigated in the presence and absence
of excess ions using molecular dynamics simulations. The adsorption process
involved diffusion of protein to the surface and dehydration of surface-protein
interactions, followed by adsorption and denaturation. Although adsorption of BSA
on PVDF surface was observed in the absence of excess ions, denaturation of BSA
was not observed during the simulation (1 MUs). Basic and acidic amino acids of
BSA were found to be directly interacting with PVDF surface. Simulation in a 0.1
M NaCl solution showed delayed adsorption of BSA on PVDF surfaces in the presence
of excess ions, with BSA not observed in close proximity to PVDF surface within
700 ns. Adsorption of Cl- on PVDF surface increased its negative charge, which
repelled negatively charged BSA, thereby delaying the adsorption process. These
results will be helpful for understanding membrane fouling phenomena in polymeric
membranes, and fundamental advancements in these areas will lead to a new
generation of membrane materials with improved antifouling properties and reduced
energy demands.
PMID- 29364676
TI - Control of Energy Flow Dynamics between Tetracene Ligands and PbS Quantum Dots by
Size Tuning and Ligand Coverage.
AB - We have prepared a series of samples with the ligand 6,13-bistri(iso
propyl)silylethynyl tetracene 2-carboxylic acid (TIPS-Tc-COOH) attached to PbS
quantum dot (QD) samples of three different sizes in order to monitor and control
the extent and time scales of energy flow after photoexcitation. Fast energy
transfer (~1 ps) to the PbS QD occurs upon direct excitation of the ligand for
all samples. The largest size QD maintains the microsecond exciton lifetime
characteristic of the as-prepared oleate terminated PbS QDs. However, two smaller
QD sizes with lowest exciton energies similar to or larger than the TIPS-Tc-COO-
triplet energy undergo energy transfer between QD core and ligand triplet on
nanosecond to microsecond timescales. For the intermediate size QDs in
particular, energy can be recycled many times between ligand and core, but the
triplet remains the dominant excited species at long times, living for ~3 MUs for
fully exchanged QDs and up to 30 MUs for partial ligand exchange, which is
revealed as a method for controlling the triplet lifetime. A unique upconverted
luminescence spectrum is observed that results from annihilation of triplets
after exclusive excitation of the QD core.
PMID- 29364677
TI - Fabrication of Biomimetic Fog-Collecting Superhydrophilic-Superhydrophobic
Surface Micropatterns Using Femtosecond Lasers.
AB - The exciting functionalities of natural superhydrophilic and superhydrophobic
surfaces served as inspiration for a variety of biomimetic designs. In
particular, the combination of both extreme wetting states to micropatterns opens
up interesting applications, as the example of the fog-collecting Namib Desert
beetle shows. In this paper, the beetle's elytra were mimicked by a novel three
step fabrication method to increase the fog-collection efficiency of glasses. In
the first step, a double-hierarchical surface structure was generated on Pyrex
wafers using femtosecond laser structuring, which amplified the intrinsic wetting
property of the surface and made it superhydrophilic (water contact angle < 10
degrees ). In the second step, a Teflon-like polymer (CF2) n was deposited by a
plasma process that turned the laser-structured surface superhydrophobic (water
contact angle > 150 degrees ). In the last step, the Teflon-like coating was
selectively removed by fs-laser ablation to uncover superhydrophilic spots below
the superhydrophobic surface, following the example of the Namib Desert beetle's
fog-collecting elytra. To investigate the influence on the fog-collection
behavior, (super)hydrophilic, (super)hydrophobic, and low and high contrast
wetting patterns were fabricated on glass wafers using selected combinations of
these three processing steps and were exposed to fog in an artificial nebulizer
setup. This experiment revealed that high-contrast wetting patterns collected the
highest amount of fog and enhanced the fog-collection efficiency by nearly 60%
compared to pristine Pyrex glass. The comparison of the fog-collection behavior
of the six samples showed that the superior fog-collection efficiency of surface
patterns with extreme wetting contrast is due to the combination of water
attraction and water repellency: the superhydrophilic spots act as drop
accumulation areas, whereas the surrounding superhydrophobic areas allow a fast
water transportation caused by gravity. The presented method enables a fast and
flexible surface functionalization of a broad range of materials including
transparent substrates, which offers exciting possibilities for the design of
biomedical and microfluidic devices.
PMID- 29364678
TI - Fluvirosaones A and B, Two Indolizidine Alkaloids with a Pentacyclic Skeleton
from Flueggea virosa.
AB - Fluvirosaones A (1) and B (2), together with virosecurinine (3), were isolated
from Flueggea virosa. Their structures were determined by physical,
spectroscopic, and X-ray analysis and confirmed through comparison of the
calculated and experimental 13C NMR and electronic circular dichroism (ECD) data.
Compounds 1 and 2 represent the first examples of a pentacyclic Securinega
alkaloid containing a pentacyclic system and an alpha,beta-unsaturated ketone.
Plausible biogenetic pathways of compounds 1 and 2 are proposed.
PMID- 29364679
TI - Self-Assembled PCBM Nanosheets: A Facile Route to Electronic Layer-on-Layer
Heterostructures.
AB - We report on the self-assembly of semicrystalline [6,6]-phenyl-C61-butyric acid
methyl ester (PCBM) nanosheets at the interface between a hydrophobic solvent and
water, and utilize this opportunity for the realization of electronically active
organic/organic molecular heterostructures. The self-assembled PCBM nanosheets
can feature a lateral size of >1 cm2 and be transferred from the water surface to
both hydrophobic and hydrophilic surfaces using facile transfer techniques. We
employ a transferred single PCBM nanosheet as the active material in a field
effect transistor (FET) and verify semiconductor function by a measured electron
mobility of 1.2 * 10-2 cm2 V-1 s-1 and an on-off ratio of ~1 * 104. We further
fabricate a planar organic/organic heterostructure with the p-type organic
semiconductor poly(3-hexylthiophene-2,5-diyl) as the bottom layer and the n-type
PCBM nanosheet as the top layer and demonstrate ambipolar FET operation with an
electron mobility of 8.7 * 10-4 cm2 V-1 s-1 and a hole mobility of 3.1 * 10-4 cm2
V-1 s-1.
PMID- 29364681
TI - Aza-Diels-Alder Approach to Diquinolineanthracene and Polydiquinolineanthracene
Derivatives.
AB - This study describes the synthesis of modular diquinolineanthracene and
polydiquinolineanthracene derivatives. The reported facile and scalable aza-Diels
Alder-based approach requires mild conditions, proceeds in two steps, uses
commercially available starting materials, and accommodates varying
functionalities. Given the known utility of the acene and quinoline motifs, the
synthesized molecules and polymers hold promise for organic electronics
applications.
PMID- 29364680
TI - Exploration of the Fecal Microbiota and Biomarker Discovery in Equine Grass
Sickness.
AB - Equine grass sickness (EGS) is a frequently fatal disease of horses, responsible
for the death of 1 to 2% of the U.K. horse population annually. The etiology of
this disease is currently uncharacterized, although there is evidence it is
associated with Clostridium botulinum neurotoxin in the gut. Prevention is
currently not possible, and ileal biopsy diagnosis is invasive. The aim of this
study was to characterize the fecal microbiota and biofluid metabolic profiles of
EGS horses, to further understand the mechanisms underlying this disease, and to
identify metabolic biomarkers to aid in diagnosis. Urine, plasma, and feces were
collected from horses with EGS, matched controls, and hospital controls.
Sequencing the16S rRNA gene of the fecal bacterial population of the study horses
found a severe dysbiosis in EGS horses, with an increase in Bacteroidetes and a
decrease in Firmicutes bacteria. Metabolic profiling by 1H nuclear magnetic
resonance spectroscopy found EGS to be associated with the lower urinary
excretion of hippurate and 4-cresyl sulfate and higher excretion of O-acetyl
carnitine and trimethylamine-N-oxide. The predictive ability of the complete
urinary metabolic signature and using the four discriminatory urinary metabolites
to classify horses by disease status was assessed using a second (test) set of
horses. The urinary metabolome and a combination of the four candidate biomarkers
showed promise in aiding the identification of horses with EGS. Characterization
of the metabolic shifts associated with EGS offers the potential of a noninvasive
test to aid premortem diagnosis.
PMID- 29364682
TI - Total Synthesis of ent-Pregnanolone Sulfate and Its Biological Investigation at
the NMDA Receptor.
AB - A unique asymmetric total synthesis of the unnatural enantiomer of pregnanolone,
as well as a study of its biological activity at the NMDA receptor, is reported.
The asymmetry is introduced by a highly atom-economic organocatalytic Robinson
annulation. A new method for the construction of the cyclopentane D-ring
consisting of CuI-catalyzed conjugate addition and oxygenation followed by
thermal cyclization employing the persistent radical effect was developed. ent
Pregnanolone sulfate is surprisingly only 2.6-fold less active than the natural
neurosteroid.
PMID- 29364683
TI - Programmable Assembly of Hybrid Nanoclusters.
AB - Hybrid nanoparticle clusters (often metallic) are interesting plasmonic materials
with tunable resonances and a near-field electromagnetic enhancement at
interparticle junctions. Therefore, in recent years, we have witnessed a surge in
both the interest in these materials and the efforts to obtain them. However, a
versatile fabrication of hybrid nanoclusters, that is, combining more than one
material, still remains an open challenge. Current lithographical or self
assembly methods are limited to the preparation of hybrid clusters with up to two
different materials and typically to the fabrication of hybrid dimers. Here, we
provide a novel strategy to deposit and align not only hybrid dimers but also
hybrid nanoclusters possessing more complex shapes and compositions. Our strategy
is based on the downscaling of sequential capillarity-assisted particle assembly
over topographical templates. As a proof of concept, we demonstrate dimers,
linear trimers, and 2D nanoclusters with programmable compositions from a range
of metallic nanoparticles. Our process does not rely on any specific chemistry
and can be extended to a large variety of particles and shapes. The template also
simultaneously aligns the hybrid (often anisotropic) nanoclusters, which could
facilitate device integration, for example, for optical readout after transfer to
other substrates by a printing step. We envisage that this new fabrication route
will enable the assembly and positioning of complex hybrid nanoclusters of
different functional nanoparticles to study coupling effects not only locally but
also at larger scales for new nanoscale optical devices.
PMID- 29364684
TI - Rhodium(I)-Catalyzed Decarbonylative Aerobic Oxidation of Cyclic alpha-Diketones:
A Regioselective Single Carbon Extrusion Strategy.
AB - A rhodium-catalyzed decarbonylative aerobic oxidation of cyclic alpha-diketones
has been developed for the first time, where the regioselective formations of
alpha-pyrones and isocoumarins have been achieved. The current decarbonylative
aerobic oxidation pathway proceeds via the C-C bond cleavage followed by a C-O
bond formation, representing a biomimetic oxidation approach to unsaturated six
membered cyclic lactones. The unique ability of rhodium catalysts to induce the
decarbonylative aerobic oxidation opens up a new synthetic toolbox that utilizes
the "regioselective single carbon" extrusion strategy.
PMID- 29364685
TI - Direct C-H Cyanoalkylation of Quinoxalin-2(1H)-ones via Radical C-C Bond
Cleavage.
AB - An efficient synthesis of cyanoalkylated heteroarenes via iron-catalyzed direct C
H cyanoalkylation of heteroarenes has been developed. Structurally diverse
cyanoalkyl motifs generated through C-C bond cleavage of cyclobutanone oxime
esters have been introduced into quinoxalin-2(1H)-ones, flavone, benzothiazoles,
and caffeine in good to excellent yields. Remarkably, less-strained
cyclopentanone and unstrained cyclohexanone oxime esters were also amenable
substrates in this cyanoalkylation reaction.
PMID- 29364686
TI - Mass Exchange and Equilibration Processes in AOT Reverse Micelles.
AB - Reverse micelles (RMs) made with sodium bis(2-ethylhexyl)sulfosuccinate suspended
in isooctane are commonly used experimental models of aqueous microenvironments.
However, there are important unanswered questions about the very characteristic
that makes them of interest, namely their size. To explore the factors that
determine the size of RMs, all-atom molecular dynamics simulations of RMs with
different sizes but the same water-loading ratio were performed. An Anton 2
machine was used so that systems of the necessary size could be extended into the
microsecond timescale, and mass exchange processes could be observed. Contrary to
hypothesis, there were no net gains or losses of water by diffusion between RMs
of different size. However, gains and losses did occur following fusion events.
RM fusion followed RM contact only when waters were present among the hydrophobic
surfactant chains at the point of contact. The presence of an encapsulated 40
residue amyloid beta peptide did not directly promote RM fusion, but it quickly
and efficiently terminated each fusion event. Before fusion terminated, however,
the size of the peptide-containing RM increased without a corresponding change in
its water-loading ratio. We conclude that the mass transfer between RMs is most
likely accomplished through transient fusion events, rather than through the
diffusion of component molecules through the organic phase. The behavior of the
amyloid beta peptide in this system underscores its propensity to embed in, and
fold in response to, multiple interactions with the surfactant layer.
PMID- 29364687
TI - Electron Correlation in the Ionization Continuum of Molecules: Photoionization of
N2 in the Vicinity of the Hopfield Series of Autoionizing States.
AB - Direct measurement of autoionization lifetimes by using time-resolved
experimental techniques is a promising approach when energy-resolved
spectroscopic methods do not work. Attosecond time-resolved experiments have
recently provided the first quantitative determination of autoionization
lifetimes of the lowest members of the well-known Hopfield series of resonances
in N2. In this work, we have used the recently developed XCHEM approach to study
photoionization of the N2 molecule in the vicinity of these resonances. The XCHEM
approach allows us to describe electron correlation in the molecular electronic
continuum at a level similar to that provided by multireference configuration
interaction methods in bound state calculations, a necessary condition to
accurately describe autoionization, shakeup, and interchannel couplings occurring
in this range of photon energies. Our results show that electron correlation
leading to interchannel mixing is the main factor that determines the magnitude
and shape of the N2 photoionization cross sections, as well as the lifetimes of
the Hopfield resonances. At variance with recent speculations, nonadiabatic
effects do not seem to play a significant role. These conclusions are supported
by the very good agreement between the calculated cross sections and those
determined in synchrotron radiation and attosecond experiments.
PMID- 29364688
TI - Ru-Catalyzed Chemoselective Olefin Migration Reaction of Cyclic Allylic Acetals
to Enol Acetals.
AB - A Ru-catalyzed olefin migration reaction of chiral cyclic allylic acetal is
reported. The reaction generates cyclic enol acetal in a highly chemoselective
manner. A variety of O,O- and N,O-acetals participated in the reaction with
conservation of the stereochemical integrity of the acetal moiety. The utility of
the reaction was demonstrated by the short and protective group-free syntheses of
(L)-deoxyribonucleoside and beta-amicetose glycoside.
PMID- 29364690
TI - Improving the Cell Permeability of Polar Cyclic Peptides by Replacing Residues
with Alkylated Amino Acids, Asparagines, and d-Amino Acids.
AB - The design, synthesis, and cell permeability of 19 hydrophilic macrocyclic
peptides is presented. By systematically analyzing the impact of three different
approaches (alkylated amino acids, asparagines, and d-amino acids) on the
permeability of polar peptides, a well-defined strategy for optimizing cell
permeability is provided. These three new methods can be used individually or in
combination to effectively convert polar peptides into cell permeable molecules,
and the results can be applied to the rapidly expanding peptide therapeutic
industry.
PMID- 29364689
TI - Microfluidic Tensiometry Technique for the Characterization of the Interfacial
Tension between Immiscible Liquids.
AB - The interfacial tension between two immiscible fluids is of critical importance
for understanding many natural phenomena as well as in industrial production
processes; however, it can be challenging to measure this parameter with high
accuracy. Most commonly used techniques have significant shortcomings because of
their reliance on other data such as density or viscosity. To overcome these
issues, we devise a technique that works with very small sample quantities and
does not require any data about either fluid, based on micropipette aspiration
techniques. The method facilitates the generation of a droplet of one fluid
inside of the other, followed by immediate in situ aspiration of the droplet into
a constricted channel. A modified Young-Laplace equation is then used to relate
the pressure needed to produce a given deformation of the droplet's radius to the
interfacial tension. We demonstrate this technique on different systems with
interfacial tensions ranging from sub-millinewton per meter to several hundred
millinewton per meter, thus over 4 orders of magnitude, obtaining precise results
in agreement with the literature solely from experimental observations of the
droplet deformation.
PMID- 29364691
TI - Structure of a Multilayer Nanofilm To Increase the Encapsulation Efficiency of
Basic Fibroblast Growth Factor.
AB - In this study, we established the structure of a multilayer nanofilm that more
efficiently encapsulates basic fibroblast growth factor (bFGF). First, a
positively charged layer material was selected from biocompatible polymers such
as collagen (Col), poly(beta-amino ester) (Poly2), and chitosan (Chi), while
considering the film thickness. We then investigated the change in bFGF
encapsulation efficiency when the multilayer structure was changed from a
tetralayer to a trilayer. As a result, we obtained a highly improved bFGF
encapsulation efficiency in the nanofilm using a positively charged layer formed
by a blend of Col and Poly2 and a negatively charged poly(acrylic acid) (PAA)
layer within a trilayered structure. In particular, we found that a significant
amount of adsorbed bFGF was desorbed again during the film fabrication process of
a tetralayered nanofilm. In the conventional nanofilm, bFGF was regarded as a
polycation and formed a multilayer nanofilm that was composed of a tetralayered
structure and was represented as (polycation/polyanion/bFGF/polyanion) n where n
= number of repeated tetralayers. Here, we suggested that bFGF should not be
considered a polycation, rather it should be considered as a small quantity of
molecule that exists between the polyanion and polycation layers. In this case,
the nanofilm is composed of repeating units of
(polycation/polyanion/bFGF/polycation/polyanion), because the amount of adsorbed
bFGF is considerably lower than that of other building blocks.
PMID- 29364692
TI - Development of Annealing-Free, Solution-Processable Inverted Organic Solar Cells
with N-Doped Graphene Electrodes using Zinc Oxide Nanoparticles.
AB - An annealing-free process is considered as a technological advancement for the
development of flexible (or wearable) organic electronic devices, which can
prevent the distortion of substrates and damage to the active components of the
device and simplify the overall fabrication process to increase the industrial
applications. Owing to its outstanding electrical, optical, and mechanical
properties, graphene is seen as a promising material that could act as a
transparent conductive electrode for flexible optoelectronic devices. Owing to
their high transparency and electron mobility, zinc oxide nanoparticles (ZnO-NP)
are attractive and promising for their application as charge transporting
materials for low-temperature processes in organic solar cells (OSCs),
particularly because most charge transporting materials require annealing
treatments at elevated temperatures. In this study, graphene/annealing-free ZnO
NP hybrid materials were developed for inverted OSC by successfully integrating
ZnO-NP on the hydrophobic surface of graphene, thus aiming to enhance the
applicability of graphene as a transparent electrode in flexible OSC systems.
Chemical, optical, electrical, and morphological analyses of ZnO-NPs showed that
the annealing-free process generates similar results to those provided by the
conventional annealing process. The approach was effectively applied to graphene
based inverted OSCs with notable power conversion efficiencies of 8.16% and 7.41%
on the solid and flexible substrates, respectively, which promises the great
feasibility of graphene for emerging optoelectronic device applications.
PMID- 29364693
TI - Examining Insomnia and PTSD Over Time in Veterans in Residential Treatment for
Substance Use Disorders and PTSD.
AB - OBJECTIVE/BACKGROUND: Insomnia occurs in 66-90% of individuals with posttraumatic
stress disorder (PTSD) and 36-72% of individuals with substance use disorder
(SUD). Individuals with both PTSD and SUD are more likely to have insomnia than
individuals with only one disorder. Insomnia is associated with poorer treatment
outcomes for both PTSD and SUD, increased daytime symptomology for PTSD, and
increased relapse for SUDs. As such, it is important to understand how sleep
affects PTSD treatment among patients dually diagnosed with SUD and how sleep
changes over time in a residential unit for SUDs. PARTICIPANTS: Participants were
40 veterans with comorbid PTSD and SUD in a 28-day Substance Abuse Residential
Rehabilitation Treatment Program (SARRTP) PTSD track. METHODS: Analyses used
mixed models with Time (baseline, posttreatment, 3-month follow-up) to examine
PTSD and insomnia severity over time. RESULTS: Results of the longitudinal mixed
model showed that PTSD symptoms improved over time but that insomnia symptoms did
not. Although baseline insomnia did not affect follow-up PTSD symptoms,
individuals with greater insomnia severity at the start of treatment had more
severe baseline PTSD symptomatology. However, there was not an interaction of
insomnia and PTSD severity over time such that baseline insomnia did not affect
PTSD trajectories. CONCLUSIONS: These findings are consistent with the PTSD
outpatient treatment findings and further adds evidence that insomnia is
unremitting without direct intervention. Given the relationship insomnia has with
PTSD severity, SUD, and relapse, directly targeting insomnia may further help
improve both PTSD and SUD treatment outcomes.
PMID- 29364694
TI - Integrative Medicine and the Imperative for Health Justice.
PMID- 29364695
TI - How neuropsychology can inform our understanding of preschool ADHD: Clinical and
research implications.
AB - Neuropsychological assessments in preschoolers have not received as much
attention as in older children and adults. Attention-deficit/hyperactivity
disorder (ADHD) is a common disorder that occurs in early childhood associated
with poor academic and personal outcomes, such as learning and social
difficulties. Preschoolers with ADHD may present cognitive deficits that are
related with the ADHD symptoms of inattention, hyperactivity, and impulsivity,
but may also interfere, beyond and above the ADHD symptoms, with everyday
functioning. Most importantly, cognitive deficits in preschoolers seem to predict
future ADHD symptoms. Yet, the practice of neuropsychological assessment in this
age-group has been limited. The present selective review highlights the
contribution of comprehensive neuropsychological assessments to the early
identification of symptomatic preschoolers and to our understanding of the nature
and developmental trajectory of ADHD.
PMID- 29364696
TI - Implications of Cannabis Legalization on Youth and Young Adults.
PMID- 29364697
TI - Maxillary expansion in an animal model with light, continuous force.
AB - OBJECTIVES: Maxillary constriction is routinely addressed with rapid maxillary
expansion (RME). However, the heavy forces delivered by most RME appliances to
expand the palate may lead to deleterious effects on the teeth and supporting
tissues. The objective of this study was to explore a more physiologic maxillary
expansion with light continuous force. MATERIALS AND METHODS: Twenty 6-week-old
Sprague-Dawley rats were equally divided into experimental (EXPT) and control
(CTRL) groups. A custom-fabricated archwire expansion appliance made from 0.014
inch copper-nickel-titanium wire was activated 5 mm and bonded to the maxillary
molar segments of animals in the EXPT group for 21 days. The force applied to
each maxillary segment was 5 cN. Microfocus x-ray computed tomography and
histological analyses were used to compare the tooth movement and bone morphology
in the midpalatal suture and buccal aspect of the alveolar process between the
EXPT and CTRL groups. Descriptive statistics (mean +/- standard error of the
mean) and nonparametric statistical tests were used to compare the outcomes
across groups. RESULTS: Compared to the CTRL group, there was a statistically
significant increase in buccal tooth movement and expansion of the midpalatal
suture in the EXPT group. There was no difference in the bone morphologic
parameters between groups. The mineral apposition rate was increased on the
buccal surface of the alveolar process in the EXPT group. CONCLUSIONS:
Application of light, continuous force resulted in maxillary osseous expansion
due to bilateral sutural apposition and buccal drift of the alveolar processes.
This animal experiment provides a more physiologic basis for maxillary expansion.
PMID- 29364698
TI - Prevalence and Characterization of Staphylococcus aureus Strains in the Pork
Chain Supply in Chile.
AB - The detection of methicillin-resistant Staphylococcus aureus (MRSA) and other
emerging strains in meat-producing animals and retail meat has increased the risk
of contamination of food. The aim of this study was to determine the prevalence
and characterize S. aureus strains isolated from the pork chain supply in Chile.
A total of 487 samples were collected: 332 samples from pigs at farms and
slaughterhouses (nasal, n = 155; skin, n = 177); 85 samples from carcasses at
slaughterhouses; and 70 meat samples at supermarkets and retail stores. The
isolation of S. aureus was carried out by selective enrichment and culture media.
Biochemical testing (API(r) Staph) and PCR (detection of the nuc and mecA genes)
were used to confirm S. aureus and MRSA strains. The agglutination test was used
to determine the protein PBP2'. Enterotoxins (SEA, SEB, SEC, SED) were determined
by agglutination test and the se genes by PCR method. Oxacillin and cefoxitin
susceptibility testing were carried out using the diffusion method. The overall
prevalence of S. aureus in the pork meat supply was 33.9%. A higher prevalence
was detected on carcasses (56.5%), in pigs sampled at farms (40.6%) than in pigs
sampled at slaughterhouses (23.3%) and in nonpackaged retail meat (43.1%) than
packaged retail meat (5.3%) (p <= 0.05). No significant differences (p > 0.05)
were found between the prevalence in pigs (28.3%) and pork meat (32.9%) and
between natural pig farming (33.3%) and conventional production (52.8%). The mecA
gene and the protein PBP2' were not detected in S. aureus strains. Two S. aureus
strains exhibited oxacillin and cefoxitin resistance, and one S. aureus strain
was resistant to cefoxitin. One S. aureus strain isolated from a meat sample was
positive for enterotoxin SEB. Although the mecA gene was not detected, oxacillin
resistant and seb-producing S. aureus strains were detected, which represent a
risk in the pork chain supply.
PMID- 29364699
TI - The Strength of Transosseous Medial Meniscal Root Repair Using a Simple Suture
Technique Is Dependent on Suture Material and Position.
AB - BACKGROUND: A simple suture technique in transosseous meniscal root repair can
provide equivalent resistance to cyclic load and is less technically demanding to
perform compared with more complex suture configurations, yet maximum yield loads
are lower. Various suture materials have been investigated for repair, but it is
currently not clear which material is optimal in terms of repair strength.
Meniscal root anatomy is also complex; consisting of the ligamentous mid
substance (root ligament), the transition zone between the meniscal body and root
ligament; the relationship between suture location and maximum failure load has
not been investigated in a simulated surgical repair. HYPOTHESES: (A) Using a
knottable, 2-mm-wide, ultra-high-molecular-weight polyethylene (UHMWPE) braided
tape for transosseous meniscal root repair with a simple suture technique will
give rise to a higher maximum failure load than a repair made using No. 2 UHMWPE
standard suture material for simple suture repair. (B) Suture position is an
important factor in determining the maximum failure load. STUDY DESIGN:
Controlled laboratory study. METHODS: In part A, the posterior root attachment of
the medial meniscus was divided in 19 porcine knees. The tibias were potted, and
repair of the medial meniscus posterior root was performed. A suture-passing
device was used to place 2 simple sutures into the posterior root of the medial
meniscus during a repair procedure that closely replicated single-tunnel,
transosseous surgical repair commonly used in clinical practice. Ten tibias were
randomized to repair with No. 2 suture (Suture group) and 9 tibias to repair with
2-mm-wide knottable braided tape (Tape group). The repair strength was assessed
by maximum failure load measured by use of a materials testing machine. Micro
computed tomography (CT) scans were obtained to assess suture positions within
the meniscus. The wide range of maximum failure load appeared related to suture
position. In part B, 10 additional porcine knees were prepared. Five knees were
randomized to the Suture group and 5 to the Tape group. All repairs were
standardized for location, and the repair was placed in the body of the meniscus.
A custom image registration routine was created to coregister all 29 menisci,
which allowed the distribution of maximum failure load versus repair location to
be visualized with a heat map. RESULTS: In part A, higher maximum failure load
was found for the Tape group (mean, 86.7 N; 95% CI, 63.9-109.6 N) compared with
the Suture group (mean, 57.2 N; 95% CI, 30.5-83.9 N). The 3D micro-CT analysis of
suture position showed that the mean maximum failure load for repairs placed in
the meniscus body (mean, 104 N; 95% CI, 81.2-128.0 N) was higher than for those
placed in the root ligament (mean, 35.1 N; 95% CI, 15.7-54.5 N). In part B, the
mean maximum failure load was significantly greater for the Tape group, 298.5 N (
P = .016, Mann-Whitney U; 95% CI, 183.9-413.1 N), compared with that for the
Suture group, 146.8 N (95% CI, 82.4-211.6 N). Visualization with the heat map
revealed that small variations in repair location on the meniscus were associated
with large differences in maximum failure load; moving the repair entry point by
3 mm could reduce the failure load by 50%. CONCLUSION: The use of 2-mm braided
tape provided higher maximum failure load than the use of a No. 2 suture. The
position of the repair in the meniscus was also a highly significant factor in
the properties of the constructs. CLINICAL RELEVANCE: The results provide insight
into material and location for optimal repair strength.
PMID- 29364701
TI - Novel regulator of vasopressin secretion: phoenixin.
AB - The newly described hypothalamic peptide, phoenixin, is produced in the
hypothalamus and adenohypophysis, where it acts to control reproductive hormone
secretion. Both phoenixin and its receptor GPR173 are expressed in the
hypothalamic supraoptic (SON) and paraventricular (PVN) nuclei, suggesting
additional, nonreproductive effects of the peptide to control vasopressin (AVP)
or oxytocin (OT) secretion. Hypothalamo-neurohypophysial explants released AVP
but not OT in response to phoenixin. Intracerebroventricular administration of
phoenixin into conscious, unrestrained male and female rats significantly
increased circulating AVP, but not OT, levels in plasma, and it increased
immediate early gene expression in the supraoptic nuclei of male rats. Bath
application of phoenixin in hypothalamic slice preparations resulted in
depolarization of PVN neurons, indicating a direct, neural action of phoenixin in
the hypothalamus. Our results suggest that the newly described, hypothalamic
peptide phoenixin, in addition to its effects on hypothalamic and pituitary
mechanisms controlling reproduction, may contribute to the physiological
mechanisms regulating fluid and electrolyte homeostasis.
PMID- 29364700
TI - Angiotensin AT1A receptors expressed in vasopressin-producing cells of the
supraoptic nucleus contribute to osmotic control of vasopressin.
AB - Angiotensin II (ANG) stimulates the release of arginine vasopressin (AVP) from
the neurohypophysis through activation of the AT1 receptor within the brain,
although it remains unclear whether AT1 receptors expressed on AVP-expressing
neurons directly mediate this control. We explored the hypothesis that ANG acts
through AT1A receptors expressed directly on AVP-producing cells to regulate AVP
secretion. In situ hybridization and transgenic mice demonstrated localization of
AVP and AT1A mRNA in the supraoptic nucleus (SON) and the paraventricular nucleus
(PVN), but coexpression of both AVP and AT1A mRNA was only observed in the SON.
Mice harboring a conditional allele for the gene encoding the AT1A receptor
(AT1Aflox) were then crossed with AVP-Cre mice to generate mice that lack AT1A in
all cells that express the AVP gene (AT1AAVP-KO). AT1AAVP-KO mice exhibited
spontaneously increased plasma and serum osmolality but no changes in fluid or
salt-intake behaviors, hematocrit, or total body water. AT1AAVP-KO mice exhibited
reduced AVP secretion (estimated by measurement of copeptin) in response to
osmotic stimuli such as acute hypertonic saline loading and in response to
chronic intracerebroventricular ANG infusion. However, the effects of these
receptors on AVP release were masked by complex stimuli such as overnight
dehydration and DOCA-salt treatment, which simultaneously induce osmotic,
volemic, and pressor stresses. Collectively, these data support the expression of
AT1A in AVP-producing cells of the SON but not the PVN, and a role for AT1A
receptors in these cells in the osmotic regulation of AVP secretion.
PMID- 29364703
TI - Care as Virtue, Care as Critical Frame: A Discussion of Four Recent
Ethnographies.
PMID- 29364704
TI - The Effect of Varying Atmospheric Pressure upon Habitability and Biosignatures of
Earth-like Planets.
AB - Understanding the possible climatic conditions on rocky extrasolar planets, and
thereby their potential habitability, is one of the major subjects of exoplanet
research. Determining how the climate, as well as potential atmospheric
biosignatures, changes under different conditions is a key aspect when studying
Earth-like exoplanets. One important property is the atmospheric mass, hence
pressure and its influence on the climatic conditions. Therefore, the aim of the
present study is to understand the influence of atmospheric mass on climate,
hence habitability, and the spectral appearance of planets with Earth-like, that
is, N2-O2 dominated, atmospheres orbiting the Sun at 1 AU. This work utilizes a
1D coupled, cloud-free, climate-photochemical atmospheric column model; varies
atmospheric surface pressure from 0.5 to 30 bar; and investigates temperature and
key species profiles, as well as emission and brightness temperature spectra in a
range between 2 and 20 MUm. Increasing the surface pressure up to 4 bar leads to
an increase in the surface temperature due to increased greenhouse warming. Above
this point, Rayleigh scattering dominates, and the surface temperature decreases,
reaching surface temperatures below 273 K (approximately at ~34 bar surface
pressure). For ozone, nitrous oxide, water, methane, and carbon dioxide, the
spectral response either increases with surface temperature or pressure depending
on the species. Masking effects occur, for example, for the bands of the
biosignatures ozone and nitrous oxide by carbon dioxide, which could be visible
in low carbon dioxide atmospheres. Key Words: Planetary habitability and
biosignatures-Atmospheres-Radiative transfer. Astrobiology 18, 116-132.
PMID- 29364702
TI - Synovial Fluid Profile at the Time of Anterior Cruciate Ligament Reconstruction
and Its Association With Cartilage Matrix Composition 3 Years After Surgery.
AB - BACKGROUND: Anterior cruciate ligament tears can lead to posttraumatic
osteoarthritis. In addition to biomechanical factors, changes in biochemical
profiles within the knee joint after injury and anterior cruciate ligament
reconstruction (ACLR) may play a role in accelerating joint degeneration.
Hypothesis/Purpose: It was hypothesized that cartilage matrix composition after
ACLR is associated with the degree of inflammatory response after initial injury.
This study evaluated the association between the inflammatory response after
injury-as indicated by cytokine, metalloproteinase, and cartilage degradation
marker concentrations in synovial fluid-and articular cartilage degeneration,
measured by T1rho and T2 quantitative magnetic resonance imaging up to 3 years
after ACLR. STUDY DESIGN: Cohort study; Level of evidence, 2. METHODS: Twenty-six
subjects from a longitudinal cohort study who underwent ACLR at a mean 8.5 weeks
after injury (range, 4-19 weeks) had synovial fluid aspirated at the time of
surgery. Immunoassays quantified biomarkers in synovial fluid. T1rho and T2
values of articular cartilage were calculated with magnetic resonance scans
acquired prior to surgery and at 6 months and 1, 2, and 3 years after surgery.
Pearson correlation coefficients were calculated among the various biomarkers. K
means clustering was used to group subjects with similar biomarker profiles.
Generalized estimating equations were used to find the overall differences in
T1rho and T2 values throughout these first 3 years after surgery between the
clusters while controlling for other factors. RESULTS: Significant and strong
correlations were observed between several cytokines (interleukin 6 [IL-6], IL-8,
IL-10, and tumor necrosis factor alpha) and 2 matrix metalloproteinases (MMP-1
and MMP-3) ( P < .05). Moderate correlations were found among combinations of C
terminal crosslinked telopeptide type II collagen, N-terminal telopeptide,
cartilage oligomeric matrix protein, and sulfated glycosaminoglycan ( P < .05).
Two clusters were generated, 1 of which was characterized by lower concentrations
of cytokines (IL-6, IL-8, IL-10, tumor necrosis factor alpha) and MMP-1 and MMP-3
and higher sulfated glycosaminoglycan. This cluster was associated with
significantly higher T1rho and T2 values in the medial tibial and patellar
cartilage over the first 3 years after ACLR. CONCLUSION: At the time of ACLR
surgery, profiles of synovial fluid inflammatory cytokines, degradative enzymes,
and cartilage breakdown products show promise as predictors of abnormal cartilage
tissue integrity (increased T1rho and T2 values) throughout the first 3 years
after surgery. CLINICAL RELEVANCE: The results suggest an intricate relationship
between inflammation and cartilage turnover, which can in turn be influenced by
timing after injury and patient factors.
PMID- 29364705
TI - miR-654-5p Targets GRAP to Promote Proliferation, Metastasis, and Chemoresistance
of Oral Squamous Cell Carcinoma Through Ras/MAPK Signaling.
AB - Oral squamous cell carcinoma (OSCC) is characterized by rapid local migration and
invasion. This study was aimed at clarifying the effect of miR-654-5p on
progression of OSCC. miR-654-5p promoted proliferation, metastasis, and
chemoresistance of OSCC in vitro and in vivo. Consistently, miR-654-5p was
upregulated in late-stage OSCC and was correlated with poor prognosis of OSCC
patients. Furthermore, miR-654-5p was mechanistically verified to target Grb-2
related adaptor protein (GRAP), accompanied by the activation of Ras/MAPK
signaling and the facilitation of epithelial-mesenchymal transition in OSCC
cells. GRAP was downregulated in T1-2 stage versus T3-4 stage head and neck
squamous cell carcinoma (HNSC) and was negatively correlated with tumor-node
metastases (TNM) stage in HNSC patients based on The Cancer Genome Atlas (TCGA)
analysis. In addition, GRAP was positively correlated with good prognosis in HNSC
patients. Our findings suggest that the miR-654-5p/GRAP/Ras/Erk signaling pathway
in OSCC cells might contribute to the underlying mechanism through which miR-654
5p participates in the regulation of OSCC progression. miR-654-5p, as a potential
biomarker for the clinical diagnosis and prognosis of OSCC, may be an effective
anticancer target for the treatment of OSCC.
PMID- 29364706
TI - Influence of a Character-Based App on Children's Learning of Nutritional
Information: Should Apps Be Served with a Side of Media Characters?
AB - OBJECTIVE: Childhood obesity is a health issue in the United States, associated
with marketing practices in which media characters are often used to sell
unhealthy products. This study examined exposure to a socially contingent touch
screen gaming app, which replied immediately, reliably, and accurately to
children's actions. Children's recall of nutritional content and their liking of
the character were assessed. MATERIALS AND METHODS: Four- and five-year-old
children (N = 114) received no-exposure, single-exposure, or repeated-exposure to
a character-based iPad app rewarding healthy and penalizing unhealthy behaviors.
Children reported how much they liked the character and recalled healthy and
unhealthy items from the app. An ordinary least squares regression was conducted
on how much children liked the character by condition. Poisson regressions were
conducted on the number of items recalled by condition alone, and in an
interacted model of treatment condition by liking the character. RESULTS:
Children liked the character more in the repeated app-exposure condition than in
the control group (P = 0.018). Children in the repeated and single app-exposure
conditions recalled more healthy (P < 0.001) and unhealthy (P < 0.001) items than
the control group. Within treatment conditions, liking the character increased
recall of healthy items in the single app-exposure compared to the repeated app
exposure condition (P = 0.005). CONCLUSIONS: Results revealed that repeated
exposure increased children's learning of nutritional information and liking of
the character. The results contribute to our understanding of how to deliver
effective nutrition information to young children in a new venue, a gaming app.
PMID- 29364707
TI - Electrochemical Sensor Arrays.
AB - The importance of sensor arrays in environmental, food and clinical analysis is
discussed. The possible designs of sensor arrays is shown. The most reliable
mathematical models for data processing are presented. The importance of
different types of electrochemical sensor arrays in analytical chemistry as well
as their performances are shown.
PMID- 29364708
TI - Preconcentration of platinum group metals for their determination in
environmental samples.
AB - This article has no abstract.
PMID- 29364709
TI - The Proper Place of Analytical Chemistry in Environmental Management.
AB - Obtaining new information through characterizaton (chemical analysis) has its
costs associated with it. These costs must be justified. It is argued that the
value of any analysis, new chemical sensor, or a new analytical procedure for
environmental management task is ultimately determined by its rational use. A
formal procedure for justification of chemical characterization is outlined.
PMID- 29364710
TI - Occupational needs and priorities of women experiencing homelessness: Les besoins
et priorites occupationnels des femmes en situation d'itinerance.
AB - BACKGROUND: Women in unstable housing or who are homeless experience disruptions
of occupational engagement and performance. Little is known about their
perspective on their occupational needs and priorities. PURPOSE: This study aimed
to determine how patterns of occupational engagement and performance are
facilitated or hindered by personal factors and resources available to sheltered
women. METHOD: A participatory, descriptive mixed-methods study design was used.
Twenty-one residents were interviewed using the Canadian Occupational Performance
Measure, the Goal Attainment Scale, and a time-use inventory. Nineteen residents
participated in semistructured qualitative interviews. Qualitative data were
analysed using interpretive description. FINDINGS: Participants spent most time
on sleep and passive leisure and identified occupational performance issues and
goals related to active leisure and employment. Participants' occupational lives
were described as transitional journeys comprising five subthemes: seeking safety
and stability, being sheltered, shaping one's identity, developing resilience,
and engaging in contemplation, contribution, and connectedness through
occupation. IMPLICATIONS: Trauma-informed and strengths-based approaches are
recommended for this population. Future research should study the implementation
of occupation-based interventions in community settings.
PMID- 29364712
TI - The Daily Experiences of Pleasure, Productivity, and Restoration Profile: A case
study: Etude de cas sur l'utilite du Daily Experiences of Pleasure, Productivity,
and Restoration Profile.
AB - BACKGROUND: Occupational therapy enables clients to self-manage their health
through the use of occupation; however, additional occupation-focused assessments
are needed to capture people's subjective experiences associated with everyday
activities as awareness of one's experiences can help promote change. PURPOSE:
This qualitative case study explored the utility of one such assessment, the
Daily Experiences of Pleasure, Productivity, and Restoration Profile (PPR
Profile). METHOD: Five spousal caregivers completed and discussed the PPR Profile
with an occupational therapist. Interviews with the caregivers and therapist
occurred during and following use. Content analysis revealed several themes.
FINDINGS: Both caregivers and the occupational therapist reported that use of the
PPR Profile provided benefits but not without challenges. IMPLICATIONS: The
findings support preliminary utility of the PPR Profile; however, additional
research is needed and occupational therapists need to be aware of the challenges
when deciding to use the tool.
PMID- 29364713
TI - Favoriser la diffusion de la recherche dans diverses langues et cultures:
Travailler au-dela des modeles occidentaux et anglocentriques.
PMID- 29364714
TI - Opportunities for well-being: The right to occupational engagement.
AB - BACKGROUND: The Canadian Association of Occupational Therapists' 2017 conference
theme prompted thoughts about shaping our profession's future. PURPOSE: This
Muriel Driver Memorial Lecture explores how occupational therapy's future might
be shaped to become more important, relevant, and valuable to society. KEY
ISSUES: Because occupational engagement is integral to human well-being and
because well-being is integral to human rights, occupational therapy could
usefully advance the right of all people to engage in occupations that contribute
positively to their own well-being and the well-being of their communities.
IMPLICATIONS: Occupational therapy's importance to society will be manifested
when we focus unambiguously on well-being; extend our efforts beyond enhancing
the abilities of individuals whose lives are already impacted by illness, injury,
or impairment; and address the opportunities for achieving well-being through
occupational engagement of all those whose capabilities-their opportunities to do
what they have the abilities to do-are inequitably constrained.
PMID- 29364715
TI - ?
PMID- 29364717
TI - Supporting research dissemination across languages and cultures: Working beyond
Western and Anglocentric lines.
PMID- 29364719
TI - Experiences of women aging with the human immunodeficiency virus: A qualitative
study: Experiences vecues par des femmes vieillissant avec le virus de
l'immunodeficience humaine : etude qualitative.
AB - BACKGROUND: While the literature on HIV and aging has grown significantly in the
past decade, there has been little examination of the needs of older women living
with HIV and their disability experiences. PURPOSE: The purpose of this study was
to uncover the experiences of aging with HIV from the perspective of older women.
METHOD: An interpretive qualitative study was undertaken using semistructured
interviews to explore the experiences of 10 women who are HIV positive between
the ages of 51 and 62 years. Interview transcripts were analyzed thematically.
FINDINGS: Data analysis revealed seven themes: varying levels of acceptance,
battling stigma of disclosure, declining functionality, living with negative
emotions, practising healthy lifestyles, seeking social supports, and maximizing
support from community services. IMPLICATIONS: There is a need for women's peer
support groups, education for women with HIV and their families, and enhanced
support services. Suggestions are provided for how occupational therapists can
enable participation.
PMID- 29364721
TI - Usefulness of Testicular Volume, Apparent Diffusion Coefficient, and Normalized
Apparent Diffusion Coefficient in the MRI Evaluation of Infertile Men With
Azoospermia.
AB - OBJECTIVE: The purpose of this article is to assess retrospectively the
usefulness of testicular volume, apparent diffusion coefficient (ADC), and
normalized ADC as measured using MRI in predicting the histopathologic grade of
azoospermia and in differentiating obstructive from nonobstructive azoospermia.
MATERIALS AND METHODS: A computerized search generated a list of 30 infertile men
with azoospermia who had undergone both scrotal MRI and testis biopsy. MRI
determined testicular volumes, ADCs, and normalized ADCs were compared between
infertile men with obstructive azoospermia and those with nonobstructive
azoospermia. The normalized ADC was calculated as ADC of the testis divided by
the ADC of the bladder lumen. RESULTS: Sixteen men had obstructive azoospermia
and 14 had nonobstructive azoospermia. The testicular volume was significantly
greater in patients with obstructive azoospermia (8.7-27.6 mL) than in patients
with nonobstructive azoospermia (1.8-15.4 mL; p < 0.001). The ROC AUC for
distinguishing nonobstructive from obstructive azoospermia using testicular
volume was 0.92 (a cutoff value of <= 13.06 mL yielded sensitivity of 85.71% and
specificity of 87.5%). Testicular ADC and normalized ADC were significantly lower
in patients with obstructive azoospermia (0.329 * 10-3 to 1.578 * 10-3 mm2/s for
ADC; 0.113 to 0.449 for normalized ADC) than in patients with nonobstructive
azoospermia (0.801 * 10-3 to 2.211 * 10-3 mm2/s [p = 0.0094] for ADC; 0.235 to
0.61 [p = 0.0001] for normalized ADC). The ROC AUCs for distinguishing
nonobstructive from obstructive azoospermia using testicular ADC and normalized
ADC were 0.741 (a cutoff value of > 1.031 * 10-3 mm2/s yielded sensitivity of
92.86% and specificity of 56.25%) and 0.875 (a cutoff value of > 0.425 yielded
sensitivity of 78.57% and specificity of 93.75%), respectively. CONCLUSION:
Testicular volume, ADC, and normalized ADC, as measured using MRI, are useful in
predicting the histopathologic grade of azoospermia and in differentiating
obstructive from nonobstructive azoospermia.
PMID- 29364720
TI - Testing Syndromes of Psychopathology in Parent and Youth Ratings Across
Societies.
AB - As societies become increasingly diverse, mental health professionals need
instruments for assessing emotional, behavioral, and social problems in terms of
constructs that are supported within and across societies. Building on decades of
research findings, multisample alignment confirmatory factor analyses tested an
empirically based 8-syndrome model on parent ratings across 30 societies and
youth self-ratings across 19 societies. The Child Behavior Checklist for Ages 6
18 and Youth Self-Report for Ages 11-18 were used to measure syndromes
descriptively designated as Anxious/Depressed, Withdrawn/Depressed, Somatic
Complaints, Social Problems, Thought Problems, Attention Problems, Rule-Breaking
Behavior, and Aggressive Behavior. For both parent ratings (N = 61,703) and self
ratings (N = 29,486), results supported aggregation of problem items into 8 first
order syndromes for all societies (configural invariance), plus the invariance of
item loadings (metric invariance) across the majority of societies. Supported
across many societies in both parent and self-ratings, the 8 syndromes offer a
parsimonious phenotypic taxonomy with clearly operationalized assessment
criteria. Mental health professionals in many societies can use the 8 syndromes
to assess children and youths for clinical, training, and scientific purposes.
PMID- 29364722
TI - Low-Dose CT for Evaluation of Suspected Urolithiasis: Diagnostic Yield for
Assessment of Alternative Diagnoses.
AB - OBJECTIVE: The purpose of this study is to assess the diagnostic yield of low
dose (LD) CT for alternative diagnoses in patients with suspected urolithiasis.
MATERIALS AND METHODS: In this retrospective study, we included 776 consecutive
patients who underwent unenhanced abdominal CT for evaluation of suspected
urolithiasis. All examinations were performed with an LD CT protocol; images were
reconstructed using iterative reconstruction. The leading LD CT diagnosis was
recorded for each patient and compared with the final clinical diagnosis, which
served as the reference standard. RESULTS: The mean (+/- SD) effective dose of CT
was 1.9 +/- 0.6 mSv. The frequency of urolithiasis was 82.5% (640/776). LD CT
reached a sensitivity of 94.1% (602/640), a specificity of 100.0% (136/136), and
an accuracy of 95.1% (738/776) for the detection of urolithiasis. In 93 of 136
patients (68.4%) without urolithiasis, alternative diagnoses were established as
the final clinical diagnoses. Alternative diagnoses were most commonly located in
the genitourinary (n = 53) and gastrointestinal (n = 18) tracts. LD CT correctly
provided alternative diagnoses for 57 patients (61.3%) and was false-negative for
five patients (5.4%). The most common clinical alternative diagnoses were urinary
tract infections (n = 22). Seven diagnoses missed at LD CT were located outside
the FOV. For 43 of all 776 patients (5.5%), neither LD CT nor clinical workup
could establish a final diagnosis. The sensitivity, specificity, and accuracy of
LD CT for the detection of alternative diagnoses were 91.9% (57/62), 95.6%
(43/45), and 93.5% (100/107), respectively. CONCLUSION: LD CT enables the
diagnosis of most alternative diagnoses in the setting of suspected urolithiasis.
The most frequent alternative diagnoses missed by LD CT are urinary tract
infections or diagnoses located outside the FOV of the abdominopelvic CT scan.
PMID- 29364723
TI - Ultrasound Guidance Versus CT Guidance for Peripheral Lung Biopsy: Performance
According to Lesion Size and Pleural Contact.
AB - OBJECTIVE: The purpose of this study was to compare efficacy and safety of biopsy
guided by ultrasound (US) versus CT for lung lesions with pleural contact.
MATERIALS AND METHODS: Among 1269 image-guided thoracic biopsies obtained at our
institution between 2004 and 2016, 150 were US-guided for lung lesions with
pleural contact (78 men, 72 women; mean age, 67 years). Of those, 94 were
performed using US only; 56 had initial CT localization. A comparison cohort of
100 consecutive CT-guided biopsies for lung lesions with pleural contact was
identified (60 men, 40 women; mean age, 65 years). Biopsy type, number of passes,
sample adequacy, final pathologic results, complications, procedural time, lesion
diameter, and pleural contact were recorded. Fisher exact and t tests were used
for statistical analysis. RESULTS: US-guided biopsy was associated with fewer
complications (7%) than CT-guided procedures (24%; p < 0.001). Mean procedure
times (+/- SD) were shorter with US only (31 +/- 16 min) than with CT only (45 +/
26 min; p < 0.001) or US with CT localization (45 +/- 18 min; p < 0.001).
Procedural times were longer for patients with small lesions and those with
lesser pleural contact. Sample adequacy was best when core biopsy was performed
with US and CT. Fewer passes were performed with US guidance than with CT
guidance (mean, 3.1 +/- 1.8 vs 4.4 +/- 1.9, respectively, p < 0.001), with a
trend toward improved pathologic adequacy (98% vs 93%, respectively, p = 0.122).
Subgroup analysis showed fewer complications for lesions 31-50 mm (p = 0.029),
improved sample adequacy for lesions 10-30 mm (p = 0.0032), and shorter
procedural times for lesions 10-30 mm (p = 0.0001) with US than with CT.
CONCLUSION: US guidance should be considered for biopsy of peripheral lung and
pleural lesions larger than 10 mm, because it is safer, faster, and possibly more
accurate than CT guidance.
PMID- 29364724
TI - Trends and Variation in the Utilization and Diagnostic Yield of Chest Imaging for
Medicare Patients With Suspected Pulmonary Embolism in the Emergency Department.
AB - OBJECTIVE: The purpose of this study is to assess trends and variation in chest
CT utilization in the emergency department (ED) and its diagnostic yield for
suspected pulmonary embolism (PE) among a national sample of Medicare
beneficiaries. The relationship between hospital and provider characteristics is
also discussed. MATERIALS AND METHODS: We conducted an observational analysis of
Medicare beneficiaries evaluated in the ED for suspected PE from 2000 to 2009.
Standard Medicare analytic files representing a 20% sample of fee-for-service
beneficiaries were linked to the American Hospital Association Annual Survey of
Hospitals, American Medical Association Physician Masterfile, Medicare Physician
Identification and Eligibility Registry, and Dartmouth Atlas Project to calculate
geographic- and physician-level chest CT utilization (i.e., the proportion of ED
visits involving chest CT examination for suspected PE) and diagnostic yield
(i.e., the proportion of chest CT examinations with a positive PE diagnosis).
RESULTS: Of 2.5 million ED visits, 2.5% (n = 164,274) included chest CT for
suspected PE; 6.2% visits (n = 10,121) resulted in positive findings for PE.
Between 2000 and 2009, chest CT utilization increased fivefold. Geographic
variation in CT utilization (median, 2.38%; interquartile range [IQR], 1.91
2.92%) and diagnostic yield (median, 6.31%; IQR, 5.11-7.66%) was observed between
306 hospital referral regions. Physician use of imaging was explained by greater
experience (lower utilization and higher yield) and emergency medicine board
certification (lower utilization and equivalent yield). CONCLUSION: CT
utilization in the ED for suspected PE has steadily risen, whereas diagnostic
yields have declined over time. Wide variation in practice is observed at the
physician and geographic levels and is explained by several physician and
hospital characteristics. Taken together, our findings suggest a substantial
inefficiency of chest CT use and substantial opportunities for improvement.
PMID- 29364726
TI - Impact of Interventional Oncology Therapies on Tumor Microenvironment and
Strategies to Enhance Their Efficacy.
AB - OBJECTIVE: We provide a brief review of the tumor microenvironment, the impact of
six interventional radiology treatments on the tumor microenvironment, and
potential methods to improve treatment efficacy. CONCLUSION: Interventional
oncology plays a unique role in cancer therapy, contributing to both
antitumorigenic and protumorigenic effects.
PMID- 29364725
TI - Progressive Sarcopenia in Patients With Colorectal Cancer Predicts Survival.
AB - OBJECTIVE: The purpose of this study was to evaluate the relationship between
sarcopenia and overall and progression-free survival in patients with colorectal
cancer. MATERIALS AND METHODS: This study was retrospective and complied with
HIPAA. Patients with colorectal cancer who underwent CT at the time of and 6-18
months after diagnosis were included. Patients were followed for at least 5 years
after diagnosis. Skeletal muscle index (SMI) and mean muscle attenuation of the
psoas and paraspinal muscles at the L4 level determined the degree of sarcopenia.
Composite measurements combining psoas and paraspinal muscles (total muscle) were
also obtained. Univariate and multivariate Cox proportional hazard analysis was
performed to evaluate the association between survival and changes in SMI and
changes in attenuation. Kaplan-Meier analysis was also performed. RESULTS: A
total of 101 patients were included (mean age +/- SD, 63.7 +/- 13.7 years; 68
men, 33 women). The hazard ratios for overall survival were 2.27, 1.68, and 1.54
for changes in SMI of the psoas muscle, paraspinal muscle, and total muscle (all
p < 0.05). The hazard ratios for overall survival were 1.14, 1.18, and 1.24 for
changes in attenuation of the psoas muscle, paraspinal muscle, and total muscle,
respectively (all p < 0.05). The hazard ratios for progression-free survival were
1.33, 1.41, and 1.23 for changes in SMI of the psoas muscle, paraspinal muscle,
and total muscle (not statistically significant). The hazard ratios for
progression-free survival were 1.10, 1.21, and 1.23 for changes in attenuation of
the psoas muscle, paraspinal muscle, and total muscle, respectively (p < 0.05).
Kaplan-Meier analysis showed significant differences in overall and progression
free survival based on sex-specific quartiles of muscle quantity and quality.
CONCLUSION: Progressive sarcopenia after diagnosis of colorectal cancer has a
significant negative prognostic association with overall and progression-free
survival.
PMID- 29364728
TI - Development of Surgical Management in Primary Rhegmatogenous Retinal Detachment
Treatment from 2009 to 2015.
AB - PURPOSE: To evaluate the evolution of surgical methods over a 7-year period in
patients with primary rhegmatogenous retinal detachment (RRD) and its relation to
functional outcome and intra- and postoperative complications. METHODS: This
prospective observational study included 628 patients with primary RRD who
underwent surgical repair between January 2009 and December 2015. The main
outcome measures were the type of surgical procedure ((scleral buckle (SB), pars
plana vitrectomy (PPV), combination of SB and PPV or cryocoagulation (CC)) and
intraocular tamponades. In addition, functional outcome and intra/postoperative
complications were assessed over the observational period. RESULTS: During the 7
year observation, the percent of SB procedures decreased from 40.5% in 2009 to
2.7% in 2014, while PPV increased from 38% in 2009 to above 90% in 2014. In 2015,
the SB procedure was performed in 10.3% and PPV in 85.6% of patients with RRD (p
< 0.001). No consistent trend was observed for the use of intraocular gas
tamponade. The functional outcome within the 7-year observation ranged from 0.25
+/- 0.31logmar in 2012 to 0.42 +/- 0.40logmar in 2009 and showed no statistically
significant trend (p = 0.28). Intraoperative complications did not change between
2009 and 2015. The rate of cataract surgery and epiretinal membrane development
did not change (p = 0.27; p = 0.09). The percent of re-detachment ranged from 6.2
to 16.5% within the observational period (p = 0.14). CONCLUSION: A shift toward
PPV alone for primary RRD repair was observed during the 7-year observation. No
decrease in functional outcome or increase of intra- and postoperative
complications or decrease of primary and final anatomic success rate was observed
following the change in surgical procedure.
PMID- 29364729
TI - Parent Expectancies and Preferences for Mental Health Treatment: The Roles of
Emotion Mind-Sets and Views of Failure.
AB - Because parents are primary gatekeepers to mental health care for their children,
parental expectations that mental health treatment is ineffective may undermine
treatment seeking, retention, and response. Thus, a need exists to understand
parents' expectations about treatment and to develop scalable interventions that
can instill more favorable views. We examined parents' treatment expectancies and
preferences for their offspring and themselves in relation to two global beliefs:
mind-sets (malleability beliefs) of emotions and anxiety, and views of failure as
enhancing versus debilitating. Study 1 (N = 200; 49.5% fathers; 70.4% Caucasian)
examined associations among parents' emotion mind-sets, anxiety mind-sets,
failure beliefs, and treatment expectancies and preferences. Study 2 (N = 430;
44.70% fathers; 75.80% Caucasian) tested whether online inductions teaching
"growth emotion mind-sets" (viewing emotions as malleable), adaptive failure
beliefs, or both improved parents' treatment expectancies and hypothetical
preferences for treatment (vs. no-treatment). Participants received one of three
8- to 15-min inductions or a psychoeducation control, rating treatment
expectancies. and preferences pre- and postinduction. In Study 1, fixed emotion
mind-sets and failure-is-debilitating beliefs were associated with lower parent
psychotherapy expectancies for offspring and themselves and stronger "no
treatment" preferences for offspring. In Study 2, inductions teaching (a) growth
emotion mind-sets only and (b) growth emotion mind-sets and failure-is-enhancing
beliefs improved parents' psychotherapy expectancies for themselves (ds = .38,
.51) and offspring (ds = .30, .43). No induction increased parents' hypothetical
preferences for treatment (vs. no-treatment). Findings suggest scalable
strategies for strengthening parents' psychotherapy effectiveness beliefs for
themselves and their children.
PMID- 29364730
TI - Improving Prehospital Protocol Adherence Using Bundled Educational Interventions.
AB - BACKGROUND: Seizures and anaphylaxis are life-threatening conditions that require
immediate treatment in the prehospital setting. There is variation in treatment
of pediatric prehospital patients for both anaphylaxis and seizures. This
educational study was done to improve compliance with pediatric prehospital
protocols, educate prehospital providers and decrease variation in care.
OBJECTIVE: To improve the quality of care for children with seizures and
anaphylaxis in the prehospital setting using a bundled, multifaceted educational
intervention. METHODS: Evidence-based pediatric prehospital guidelines for
seizures and anaphylaxis were used to create a curriculum for the paramedics in
the EMS system. The curriculum included in-person training, videos, distribution
of decision support tools, and a targeted social media campaign to reinforce the
evidence-based guidelines. Prehospital charts were reviewed for pediatric
patients with a chief complaint of anaphylaxis or seizures who were transported
by paramedics to one of ten hospitals, including three children's hospitals, for
8 months prior to the intervention and eight months following the intervention.
The primary outcome for seizures was whether midazolam was given via the
preferred intranasal (IN) or intramuscular (IM) routes. The primary outcome for
anaphylaxis was whether IM epinephrine was given. RESULTS: A total of 1,402
pediatric patients were transported for seizures by paramedics to during the
study period. A total of 88 patients were actively seizing pre-intervention and
93 post-intervention. Of the actively seizing patients, 52 were given midazolam
pre-intervention and 62 were given midazolam post-intervention. Pre-intervention,
29% (15/52) of the seizing patients received midazolam via the preferred IM or IN
routes, compared to 74% (46/62) of the seizing patients post-intervention. A
total of 45 patients with anaphylaxis were transported by paramedics, 30 pre
intervention and 15 post-intervention. Paramedics administered epinephrine to 17%
(5/30) patients pre-intervention and 67% (10/15) patients post-intervention.
CONCLUSION: The use of a bundled, multifaceted educational intervention including
in-person training, decision support tools, and social media improved adherence
to updated evidence-based pediatric prehospital protocols.
PMID- 29364731
TI - YC-1 Inhibits VEGF and Inflammatory Mediators Expression on Experimental Central
Retinal Vein Occlusion in Rhesus Monkey.
AB - PURPOSES: To investigate the therapeutic potential of YC-1 for experimental
central retinal vein occlusion (CRVO) of rhesus monkey. METHODS: Six adult rhesus
monkeys were recruited in this study. Laser-induced CRVO was established in both
eyes of all subjects. Intravitreal injection of YC-1 90 MUl (200 MUM with 0.01%
dimethyl sulfoxide (DMSO) as vehicle) was administrated in right eye and 0.01%
DMSO 90 MUl in left eye respectively at 1 week after CRVO established. All eyes
underwent routine examination at 1 day, 1 week, 2 week, and 1 month after
intravitreal injection of YC-1 or DMSO. Meanwhile, vitreous fluid was collected
at each time points to analyze concentration of VEGF, HIF-1alpha, IL-6, IL-8, and
MCP-1 mediators by CBA or ELASA method. RESULTS: The experimental CRVO was
successfully established in six rhesus monkeys. As expected, the thickness of
macular edema significantly decreased at 1 week and 2 weeks after YC-1 injection
compared with that of DMSO injection. Subsequently, the central macular thickness
in all eyes was recovered to the initial levels at 1 month after
photocoagulation. Intraocular pressure (IOP) was not significantly different
between two groups during all follow up. Meanwhile, the concentration of IL-6, IL
8, VEGF, and HIF-1alpha in vitreous fluid significantly decreased after YC-1
injection compared with that of DMSO injection, MCP-1 was not significantly
different between both groups. CONCLUSIONS: Intravitreal injection of YC-1
significantly alleviated macular edema compared with that of DMSO control group.
Meanwhile, both inflammatory factors and angiogenesis-related factors expression
were inhibited in vitreous by YC-1 injection.
PMID- 29364732
TI - Improving Practice Guideline Adherence Through Peer Feedback: Impact of an
Ambulatory Cardiology Curriculum.
AB - PROBLEM: Graduate medical trainees must be prepared to practice in a quality
driven system that values adherence to and documentation of evidence-based care.
Few validated approaches exist to teach these skills. Our objective was to
develop, implement, and evaluate an ambulatory practice improvement curriculum
capitalizing on peer feedback aimed at improving cardiology fellow guideline
knowledge, adherence, and chart documentation. INTERVENTION: Four outpatient
topics were reviewed in dedicated 1-hour sessions: stable ischemic heart disease,
heart failure, atrial fibrillation, and aortic valvular disease. Each session
began with peer review, critique, and guideline adherence discussion of
deidentified outpatient fellow clinic charts, followed by discussion of clinical
guidelines. The open discussion of real clinic notes provided a forum for peer
feedback exchange. Before each session and after the final session, participants
completed a multiple-choice knowledge assessment and self-assessment of comfort
with the guidelines. To evaluate the potential effect on patient care, random
clinic chart audits were conducted before and after the curriculum using a chart
scoring system. CONTEXT: Although the format is broadly applicable, the specific
curriculum content was designed for a cardiology fellowship cohort in a large
academic medical center. It was organized and implemented by 2 cardiology fellows
under the direction and supervision of program directors. The curriculum was
implemented during prescheduled noon conference hours. The intention was to carry
forward this ambulatory curriculum in subsequent years and to use the first 4
sessions to study its potential successes and opportunities for improvement.
OUTCOME: All 22 general cardiology fellows attended at least two sessions (M =
3.1). Knowledge test scores rose from 52.6% to 73.0% (20.4% increase, p < .001),
95% confidence interval (CI) [13.6%, 27.2%]. Self-reported guidelines knowledge
improved by 15.1% (p = .002), 95% CI [6.2%, 24.0%], and self-reported
documentation improved by 12.5% (p = .008), 95% CI [3.8%, 21.7%]. Chart audit
scores improved by 17.8% (p < .001), 95% CI [10.6%, 25.0%], driven in part by
16.4% improvement in adherence to Class I therapies (p = .001). LESSONS LEARNED:
A targeted curriculum combining peer chart review, feedback, and guideline
discussion was associated with significant improvement in fellows' knowledge and
adherence to evidence-based therapies. Peer assessment and group-based education
and feedback can be leveraged to improve trainee education and impact patient
care.
PMID- 29364733
TI - Feasibility and reliability of a virtual reality oculus platform to measure
sensory integration for postural control in young adults.
AB - BACKGROUND: Using Unity for the Oculus Development-Kit 2, we have developed an
affordable, portable virtual reality platform that targets the visuomotor domain,
a missing link in current clinical assessments of postural control. Here, we
describe the design and technical development as well as report its feasibility
with regards to cybersickness and test-retest reliability in healthy young
adults. METHOD: Our virtual reality paradigm includes two functional scenes
('City' and 'Park') and four moving dots scenes. Twenty-one healthy young adults
were tested twice, one to two weeks apart. They completed a simulator sickness
questionnaire several times per session. Their postural sway response was
recorded from a forceplate underneath their feet while standing on the floor,
stability trainers, or a Both Sides Up (BOSU) ball. Sample entropy, postural
displacement, velocity, and excursion were calculated and compared between
sessions given the visual and surface conditions. RESULTS: Participants reported
slight-to-moderate transient side effects. Intra-Class Correlation values mostly
ranged from 0.5 to 0.7 for displacement and velocity, were above 0.5 (stability
trainer conditions) and above 0.4 (floor mediolateral conditions) for sample
entropy, and minimal for excursion. CONCLUSION: Our novel portable VR platform
was found to be feasible and reliable in healthy young adults.
PMID- 29364734
TI - A Moderated Mediation Model of the Relationship between Media, Social Capital,
and Cancer Knowledge.
AB - We combined insights from various theories and models of media learning, and
advanced an indirect model accounting for the mechanisms underlying the media
influences on knowledge acquisition. Our model was largely supported by the data
from a two-wave longitudinal panel survey with a nationwide sample of Korean
adults. It was found that both personal cancer history and cancer worry were
positively associated with exposure to stomach cancer information from the media.
In turn, exposure to media information was positively related to reflective
integration of that information, which ultimately leads to stomach cancer
knowledge only among people with high levels of social capital. These findings
suggest that media uses and effects are not only an individual but also a
contextually dependent experience.
PMID- 29364735
TI - EMS Physician-Performed Clinical Interventions in the Field.
PMID- 29364736
TI - Medicaid Versus Marketplace Coverage For Near-Poor Adults: Effects On Out-Of
Pocket Spending And Coverage.
AB - In states that expanded Medicaid eligibility under the Affordable Care Act,
nonelderly near-poor adults-those with family incomes of 100-138 percent of the
federal poverty level-are generally eligible for Medicaid, with no premiums and
minimal cost sharing. In states that did not expand eligibility, these adults may
qualify for premium tax credits to purchase Marketplace plans that have out-of
pocket premiums and cost-sharing requirements. We used data for 2010-15 to
estimate the effects of Medicaid expansion on coverage and out-of-pocket
expenses, compared to the effects of Marketplace coverage. For adults with family
incomes of 100-138 percent of poverty, living in a Medicaid expansion state was
associated with a 4.5-percentage-point reduction in the probability of being
uninsured, a $344 decline in average total out-of-pocket spending, a 4.1
percentage-point decline in high out-of-pocket spending burden (that is, spending
more than 10 percent of income), and a 7.7-percentage-point decline in the
probability of having any out-of-pocket spending relative to living in a
nonexpansion state. These findings suggest that policies that substitute
Marketplace for Medicaid eligibility could lower coverage rates and increase out
of-pocket expenses for enrollees.
PMID- 29364737
TI - Speaking up about Lighting up in Public: Examining Psychosocial Correlates of
Smoking and Vaping Assertive Communication Intentions among U.S. Adults.
AB - Against a backdrop of increasing smoke-free policies, electronic cigarette use,
and discussion about public health risks posed by smoking and vaping, this study
examines psychosocial predictors of intentions to ask others not to use e
cigarettes (vape) and smoke - i.e., assertive communication intentions. A
national sample of U.S. adults (n = 474) reported assertive communication
intentions for public venues. Psychosocial correlates included perceived risks of
exposure to secondhand smoke (SHSe) and secondhand vapor (SHVe), SHSe and SHVe
attitudes, subjective norms, and perceived self-efficacy. Separate linear
regression models were conducted for smoking and vaping assertive communication
intention outcomes. Perceived risks and self-efficacy were associated with
greater vaping and smoking assertive communication intentions; subjective norms
were only significant for vaping assertive communication intentions. Although a
majority of respondents indicated they were unlikely to intervene to voice
objections about SHSe and SHVe in public venues, this study suggests that
incidental or intentional messages and policies that influence perceptions of
risk, norms, and efficacy could affect willingness to voice objections about
others' vaping and smoking in public.
PMID- 29364738
TI - Mutation Analysis of Families with Autosomal Dominant Congenital Cataract: A
Recurrent Mutation in the CRYBA1/A3 Gene Causing Congenital Nuclear Cataract.
AB - PURPOSE: To identify the CRYBA1/A3 mutation spectrum and analyze the genotype
phenotype correlations in Chinese families with congenital cataract. METHODS:
Family history and clinical data of 47 unrelated families with autosomal dominant
congenital cataract (ADCC) were recorded. CRYBA1/A3 gene sequencing was applied
to identify the causative mutation. Haplotypes were constructed using closely
linked microsatellite markers and intragenic single-nucleotide polymorphisms
(SNPs) to compare the affected haplotype in three families. RESULTS: Nuclear
cataract was the most common type of ADCC in Chinese families, accounting for
42.6% (20/47). A recurrent CRYBA1/A3 deletion mutation (DeltaG91) was identified
in three families (6.4%) with nonprogressive nuclear congenital cataract.
Different haplotypes segregated with the mutation in each family. CONCLUSIONS: A
recurrent DeltaG91CRYBA1/A3 mutation occurs independently in 6.4% of the Chinese
families with autosomal dominant nuclear cataracts and most likely represents a
mutational hot spot, which underscores the relations between nonprogressive
nuclear cataract and CRYBA1/A3.
PMID- 29364739
TI - An examination of current stroke rehabilitation practice in Peru: Implications
for interprofessional education.
AB - This study aimed to better understand current clinical practice of rehabilitation
professionals in Lima, Peru, and to explore the existence of and potential for
interprofessional collaboration. A secondary purpose was to assess rehabilitation
professionals' agreement with evidence-based stroke rehabilitation statements and
confidence performing stroke rehabilitation tasks prior to and following an
interprofessional stroke rehabilitation training. Current clinical practice for
rehabilitation professionals in Peru differs from high-income counties like the
United States, as physical therapists work with dysphagia and feeding,
prosthetist orthotists serve a strictly technical role, and nurses have a limited
role in rehabilitation. Additionally, while opportunity for future
interprofessional collaboration within stroke rehabilitation exists, it appears
to be discouraged by current health system policies. Pre- and post-training
surveys were conducted with a convenience sample of 107 rehabilitation
professionals in Peru. Survey response options included endorsement of
professionals for rehabilitation tasks and a Likert scale of agreement and
confidence. Training participants largely agreed with evidence-based stroke
rehabilitation statements. Differences in opinion remained regarding the
prevalence of dysphagia and optimal frequency of therapy post-stroke.
Substantially increased agreement post-training was seen in favour of early
initiation of stroke rehabilitation and ankle foot orthosis use. Participants
were generally confident performing traditional profession-specific interventions
and educating patients and families. Substantial increases were seen in
respondents' confidence to safely and independently conduct bed to chair
transfers and determine physiological stability. Identification of key
differences in rehabilitation professionals' clinical practice in Peru is a first
step toward strengthening the development of sustainable rehabilitation systems
and interprofessional collaboration.
PMID- 29364740
TI - The Paradoxical Outcomes of Observing Others' Exercise Behavior on Social Network
Sites: Friends' Exercise Posts, Exercise Attitudes, and Weight Concern.
AB - This study examined the implications of observing others' exercise behavior on
social network sites (SNSs). Social cognitive theory and social comparison theory
were used to make predictions about the conditions under which individuals' (N =
232) exposure to exercise-related SNS posts from others in their social network
were related to their weight concerns and exercise attitudes. The results
revealed a paradox in which observing others' exercise posts was associated with
both adaptive and maladaptive responses. The number of exercise-related SNS posts
received from members of respondents' social networks was associated with greater
weight concern, and this relationship was stronger among respondents who viewed
exercise-related posts from network members who were similar to respondents. Yet,
among respondents who had a tendency to make appearance-related upward social
comparisons, the number of others' exercise-related SNS posts received was
associated with pro-exercise attitudes.
PMID- 29364741
TI - Obesity is a significant susceptibility factor for idiopathic AA amyloidosis.
AB - BACKGROUND: To investigate obesity as susceptibility factor in patients with
idiopathic AA amyloidosis. METHODS: Clinical, biochemical and genetic data were
obtained from 146 patients with AA amyloidosis. Control groups comprised 40
patients with long-standing inflammatory diseases without AA amyloidosis and 56
controls without any inflammatory disease. FINDINGS: Patients with AA amyloidosis
had either familial Mediterranean fever (FMF) or long-standing rheumatic diseases
as underlying inflammatory disease (n = 111, median age 46 years). However, in a
significant proportion of patients with AA amyloidosis no primary disease was
identified (idiopathic AA; n = 37, median age 60 years). Patients with idiopathic
AA amyloidosis were more obese and older than patients with AA amyloidosis
secondary to FMF or rheumatic diseases. Serum leptin levels correlated with the
body mass index (BMI) in all types of AA amyloidosis. Elevated leptin levels of
more than 30 ug/l were detected in 18% of FMF/rheumatic + AA amyloidosis and in
40% of patients with idiopathic AA amyloidosis (p = .018). Finally, the SAA1
polymorphism was confirmed as a susceptibility factor for AA amyloidosis
irrespective of the type of the disease. CONCLUSIONS: Obesity, age and the SAA1
polymorphism are susceptibility factors for idiopathic AA amyloidosis. Recent
advances in treatment of FMF and rheumatic disorders will decrease the incidence
of AA amyloidosis due to these diseases. Idiopathic AA, however, might be an
emerging problem in the ageing and increasingly obese population.
PMID- 29364742
TI - Distress Tolerance Interacts With Negative Life Events to Predict Depressive
Symptoms Across Adolescence.
AB - Adolescence is a vulnerable period for the development of depressive disorders.
Recent research has demonstrated the importance of distress tolerance in the
onset and maintenance of depression during adulthood; however, little is known
about its role in predicting depressive symptoms among adolescents. The current
study examines the effect of distress tolerance and co-occurring negative life
events on the developmental trajectory of depressive symptoms from middle to late
adolescence. Our sample included 117 adolescent boys and girls (44.4% female,
54.6% White). Participants were, on average, 16 years old at baseline (SD = 0.90)
and completed self-report inventories of negative life events and depressive
symptoms; distress tolerance was assessed using a behavioral measure. Utilizing a
latent growth curve approach, we found a significant interaction between distress
tolerance and negative life events in predicting increases in depressive symptoms
over time. Follow-up analyses suggest that negative life events were associated
with greater increases in depressive symptoms over time for adolescents with
lower levels of distress tolerance only. The study highlights the moderating role
of distress intolerance in the relation between negative life events and
depressive symptoms, and underscores the importance of targeting distress
tolerance for treating depression among youth.
PMID- 29364743
TI - Comparison Of The I-Gel Supraglottic And King Laryngotracheal Airways In A
Simulated Tactical Environment.
AB - BACKGROUND: When working in a tactical environment there are several different
airway management options that exist. One published manuscript suggests that when
compared to endotracheal intubation, the King LT laryngotracheal airway (KA)
device minimizes time to successful tube placement and minimizes exposure in a
tactical environment. However, comparison of two different blind insertion
supraglottic airway devices in a tactical environment has not been performed.
This study compared the I-Gel airway (IGA) to the KA in a simulated tactical
environment, to determine if one device is superior in minimizing exposure and
minimizing time to successful tube placement. METHODS: This prospective
randomized cross over trial was performed using the same methods and tactical
environment employed in a previously published study, which compared endotracheal
intubation versus the KA in a tactical environment. The tactical environment was
simulated with a one-foot vertical barrier. The participants were paramedic
students who wore an Advanced Combat Helmet (ACH) and a ballistic vest (IIIA)
during the study. Participants were then randomized to perform tactical airway
management on an airway manikin with either the KA or the IGA, and then again
using the alternate device. The participants performed a low military type crawl
and remained in this low position during each tube placement. We evaluated the
time to successful tube placement between the IGA and KA. During attempts,
participants were videotaped to monitor their height exposure above the barrier.
Following completion, participants were asked which airway device they preferred.
Data was analyzed using Student's t-test across the groups for time to
ventilation and height of exposure. RESULTS: In total 19 paramedic students who
were already at the basic EMT level participated. Time to successful placement
for the KA was 39.7 seconds (95%CI: 32.7-46.7) versus 14.4 seconds (95%CI: 12.0
16.9) for the IGA, p < 0.001. Maximum height exposure of the helmet above a one
foot vertical barrier for the KA resulted in 1.42 inches of exposure (95%CI: 0.38
0.63) compared to the IGA with 1.42 inches, 95%CI:0.32-0.74, p = 0.99. On
questioning 100% of the participants preferred the IGA device over the KA.
CONCLUSION: In a simulated tactical environment placement of the IGA for airway
management was faster than with the KA, but there was no difference in regard to
exposure. Additionally, all the participants preferred using the IGA device over
the KA.
PMID- 29364744
TI - What did first-year students experience during their interprofessional education?
A qualitative analysis of e-portfolios.
AB - Interprofessional collaboration is an essential approach to comprehensive patient
care. As previous studies have argued, interprofessional education (IPE) must be
integrated in a stepwise, systematic manner in undergraduate health profession
education programmes. Given this perspective, first-year IPE is a critical
opportunity for building the foundation of interprofessional collaborative
practice. This study aims to explore the first-year students' learning processes
and the longitudinal changes in their perceptions of learning in a year-long IPE
programme. Data were collected at a Japanese medical university, in which
different pedagogical approaches are adopted in the IPE programme. Some of these
approaches include interprofessional problem-based learning, early exposure, and
interactive lecture-based teaching. The students are required to submit written
reflections as a formative assessment. This study conducted an inductive thematic
analysis of 104 written reflections from a series of e-portfolios of 26 first
year students. The themes related to learning outcomes from student perspectives
included communication (e.g., active listening and intelligible explanation),
teams and teamwork (e.g., mutual engagement and leadership),
roles/responsibilities as a group member (e.g., self-directed learning and
information literacy), and roles/responsibilities as a health professional (e.g.,
understanding of the student's own professional and mutual respect in an
interprofessional team). The study also indicated three perspectives of students'
learning process at different stages of the IPE, i.e., processes by which
students became active and responsible learners, emphasised the enhancement of
teamwork, and developed their own interprofessional identities. This study
revealed the first-year students' learning processes in the year-long IPE
programme and clarified the role of the first-year IPE programme within the
overall curriculum. The findings suggest that the students' active participation
in the IPE programme facilitated their fundamental understanding of
communication/teamwork and identity formation as a health professional in
interprofessional collaborative practice.
PMID- 29364745
TI - Do Gold Humanism Honor Society Inductees Differ From Their Peers in Empathy,
Patient-Centeredness, Tolerance of Ambiguity, Coping Style, and Perception of the
Learning Environment?
AB - : Construct: Induction into the Gold Humanism Honor Society (GHHS) during medical
school is recognized as an indicator of humanistic orientation and behavior.
Various attitudes and interpersonal orientations including empathy and patient
centeredness have been posited to translate into behaviors constituting
humanistic care. BACKGROUND: To our knowledge there has never been a
longitudinal, multi-institutional empirical study of the attitudinal and
interpersonal orientations correlated with GHHS membership status. APPROACH: We
used the American Medical Association Learning Environment Study (LES) data set
to explore attitudinal correlates associated with students whose behaviors are
recognized by their peers as being exceptionally humanistic. Specifically, we
examined whether empathy, patient-centeredness, tolerance of ambiguity, coping
style, and perceptions of the learning environment are associated with GHHS
membership status. We further considered to what extent GHHS members arrive in
medical school with these attitudinal correlates and to what extent they change
and evolve differentially among GHHS members compared to their non-GHHS peers.
Between 2011 and 2015, 585 students from 13 North American medical schools with
GHHS chapters participated in the LES, a longitudinal cohort study using a
battery of validated psychometric measures including the Jefferson Scale of
Empathy, Patient-Practitioner Orientation Scale and Tolerance of Ambiguity
Questionnaire. In the final survey administration, students self-identified as
GHHS inductees or not (non-GHHS). T tests, effect sizes, and longitudinal
generalized mixed-effects models examined the differences between GHHS and non
GHHS students. RESULTS: Students inducted into GHHS scored significantly higher
on average over 4 years than non-GHHS inductees on clinical empathy, patient
centered beliefs, and tolerance of ambiguity. GHHS students reported higher
levels of empathy and patient-centeredness at medical school matriculation. This
difference persists in the 4th year of medical school and when controlling for
time, race, gender, and school. CONCLUSIONS: GHHS inductees enter medical school
with different attitudes and beliefs than their non-GHHS classmates. Although
humanistic attitudes and beliefs vary over time during students' 4 years, the gap
between the two groups remains constant. Medical schools may want to consider
selecting for specific humanistic traits during admissions as well as fostering
the development of humanism through curricular interventions.
PMID- 29364746
TI - Descriptive Analysis Of Mental Health-Related Presentations To Emergency Medical
Services.
AB - OBJECTIVE: In many developed countries, a lack of community-based mental health
services is driving increased utilization of emergency medical services (EMS). In
this descriptive study, we sought to describe the demographic and clinical
characteristics of mental health-related EMS presentations in Victoria,
Australia. METHODS: A retrospective observational study of EMS presentations
occurring between January and December 2015. Computer Aided Dispatch and
electronic patient care record data were extracted from an electronic data
warehouse. Characteristics of EMS-attended mental health presentations were
described and compared to other EMS-attended patients using descriptive
statistics. RESULTS: Of the total 504,676 EMS attendances, 48,041 (9.5%) were
mental health presentations. In addition, 4,708 (6.6%) cases managed by a
paramedic or nurse via the EMS secondary telephone triage service also involved
mental health complaints. EMS-attended mental health patients were younger and
more often female compared to other patients attended by EMS. Most mental health
patients were transported to hospital (74.4%); however, paramedics provided
treatment to significantly fewer mental health patients compared to other EMS
attended patients (12.4% vs. 50.3%, p < 0.001%). The majority of mental health
patients (76.8%) had a documented mental health history. Social or emotional
issues were the most common presentation in mental health patients aged <=15
years (19.1%); whereas, for patients aged >=65 years, anxiety was the most common
clinical presentation (41.2%). For patients undergoing secondary triage, 52.5%
were frequent callers or anxiety presentations. A total of 27.7% of triaged
patients were referred to an alternative service, while 24.6% were managed under
an existing care plan. CONCLUSION: Mental health-related cases represent one in
ten EMS attendances in Victoria. A large proportion of mental health
presentations receive little intervention by EMS, and could benefit from
community-based services provided by mental health clinicians.
PMID- 29364748
TI - Roles, processes, and outcomes of interprofessional shared decision-making in a
neonatal intensive care unit: A qualitative study.
AB - Shared decision-making provides an opportunity for the knowledge and skills of
care providers to synergistically influence patient care. Little is known about
interprofessional shared decision-making processes in critical care settings. The
aim of this study was to explore interprofessional team members' perspectives
about the nature of interprofessional shared decision-making in a neonatal
intensive care unit (NICU) and to determine if there are any differences in
perspectives across professional groups. An exploratory qualitative approach was
used consisting of semi-structured interviews with 22 members of an
interprofessional team working in a tertiary care NICU in Canada. Participants
identified four key roles involved in interprofessional shared decision-making:
leader, clinical experts, parents, and synthesizer. Participants perceived that
interprofessional shared decision-making happens through collaboration, sharing,
and weighing the options, the evidence and the credibility of opinions put
forward. The process of interprofessional shared decision-making leads to a well
informed decision and participants feeling valued. Findings from this study
identified key concepts of interprofessional shared decision-making, increased
awareness of differing professional perspectives about this process of shared
decision-making, and clarified understanding of the different roles involved in
the decision-making process in an NICU.
PMID- 29364749
TI - Effect of mobilization with movement on lateral knee pain due to proximal
tibiofibular joint hypomobility.
AB - This case report describes a 45-year-old female who presented with lateral knee
pain over the right proximal tibiofibular joint (PTFJ) managed unsuccessfully
with rest, medications, bracing, injection, and physiotherapy. Clinical diagnosis
of PTFJ hypomobility was based on concordant symptom reproduction with palpatory
tenderness, accessory motion testing, and restricted anterior glide of the
fibula. Intervention consisted of Mulligan's mobilization with movement and
taping over the right PTFJ with immediate improvements noticed in pain, range of
motion, and function. The patient was seen twice a week and was discharged after
four treatment sessions. A follow-up after 6 months revealed that the patient was
pain free and fully functional.
PMID- 29364750
TI - PTSD Latent Classes and Class Transitions Predicted by Distress and Fear
Disorders in Disaster-Exposed Adolescents.
AB - This study aimed to determine the population-based typologies of posttraumatic
stress disorder (PTSD) symptomatology, the longitudinal patterns of transitions
across these typologies, and the predictive effects of distress and fear disorder
symptoms on these transitions in a frequently referred but scantly studied
population of traumatized youth. A sample of 1,278 Chinese adolescents (54.0%
girls) with a mean age of 13.4 years (SD = 0.8, range = 12-16) completed 2-wave
surveys 2.5 and 3.5 years after a major disaster. Psychopathological symptoms
were assessed with the UCLA PTSD Reaction Index for DSM-IV, the Depression Self
Rating Scale for Children, and the Screen for Child Anxiety Related Emotional
Disorders. Latent class analyses identified 4 classes characterized by high,
reexperiencing/hypervigilance, dysphoria, and low symptoms, respectively at each
time point. Latent transition analyses revealed relatively high levels of
temporal stability within low symptom and dysphoria classes but relatively high
probabilities of migration from re-experiencing/hypervigilance and high symptom
classes into lower symptom classes. Multinomial logistic regression analyses
found that some of the between-class movements during the year were predicted by
baseline distress or fear disorders. This study provides an initial depiction of
both quantitative and qualitative changes in youth's long-term PTSD symptom
patterns over time and gives a further elucidation of other forms of posttrauma
psychopathology's impacts on PTSD course. These findings carry implications for
ongoing evaluation and adjustable intervention individually tailored to youth's
PTSD manifestations and comorbidities in the long-term disaster aftermath.
PMID- 29364747
TI - Rare and Common Variants Conferring Risk of Tooth Agenesis.
AB - We present association results from a large genome-wide association study of
tooth agenesis (TA) as well as selective TA, including 1,944 subjects with
congenitally missing teeth, excluding third molars, and 338,554 controls, all of
European ancestry. We also tested the association of previously identified risk
variants, for timing of tooth eruption and orofacial clefts, with TA. We report
associations between TA and 9 novel risk variants. Five of these variants
associate with selective TA, including a variant conferring risk of orofacial
clefts. These results contribute to a deeper understanding of the genetic
architecture of tooth development and disease. The few variants previously
associated with TA were uncovered through candidate gene studies guided by mouse
knockouts. Knowing the etiology and clinical features of TA is important for
planning oral rehabilitation that often involves an interdisciplinary approach.
PMID- 29364751
TI - High glucose induces inflammatory responses in HepG2 cells via the oxidative
stress-mediated activation of NF-kappaB, and MAPK pathways in HepG2 cells.
AB - OBJECTIVE: The aim of this study was to investigate the effects of high glucose
(HG) on inflammation in HepG2 cells. METHODS: The molecular mechanisms linking HG
to inflammation was assessed in HepG2 cells exposed to HG (33 mM). RESULTS: The
results showed that HG significantly enhanced TNF-alpha, IL-6 and PAI-1
expression in HepG2 cells. Increased expression of cytokines was accompanied by
enhanced phosphorylation of JNK, P38, ERK and IKKalpha/IKKbeta. In addition, JNK,
ERK, P38 and NF-kB inhibitors could significantly attenuate HG-induced expression
of TNF-alpha, IL-6 and PAI-1. Furthermore, HG could promote the generation of
reactive oxygen species (ROS), while N-acetyl cysteine, a ROS scavenger, had an
inhibitory effect on the expression of TNF-alpha, IL-6 and PAI-1 in HG-treated
cells. CONCLUSIONS: Our results indicated that HG-induced inflammation is
mediated through the generation of ROS and activation of the MAPKs and NF-kB
signalling pathways in HepG2 cells.
PMID- 29364752
TI - Positive Outcomes of Optimizing Student-Preceptor Continuity in a Traditional
Block Clerkship.
AB - PROBLEM: Student-preceptor discontinuity during 3rd-year clerkships limits the
quality and quantity of supervision, teaching, and feedback. Although
longitudinal integrative clerkships increase continuity and are associated with
improved student and preceptor experience, they require schoolwide curricular
reform. Alternative innovations enhancing student-preceptor relationships within
the constraints of a traditional block clerkship may demonstrate similar
benefits. INTERVENTION: We piloted a continuity-enhanced general pediatric
ambulatory schedule during 2 consecutive clerkship blocks in 2013. Students in
the continuity-enhanced model (n = 29) were assigned 1-3 primary clinic
preceptors, whereas those in the traditional model (n = 30) worked with 5-8. Data
were gathered from student assessments and anonymous student and preceptor
surveys. We used t and Fisher's exact tests to compare the two groups and
performed thematic analysis of free-text survey comments. CONTEXT: Our school
utilizes a block clerkship model with approximately 30 students rotating through
the pediatric clerkship every 8 weeks. During the 3-week ambulatory portion,
students spend 8 half days in the general pediatric ambulatory clinic. At the
conclusion of each clinic, attendings completed brief student evaluation cards.
Traditionally, student and attending schedules were created independently,
resulting in transient supervisory relationships and dissatisfaction with
clinical engagement, feedback, and evaluation. OUTCOME: Seventy-three percent
(43/59) of the students completed the survey. Ten general ambulatory attendings
collectively completed 87.5% (35/40) of the monthly surveys. Continuity students
received significantly more narrative evaluation comments (10.6 vs. 5.8, p <.
001) from general ambulatory clinic attendings and were more likely to have at
least one general ambulatory clinic attending endorse being able to provide
meaningful feedback and evaluation (n = 29, 100% vs. n = 20, 66.7%, p <. 001).
Continuity students were also more likely to endorse being able to ask at least
one of these attendings for a letter of recommendation (71.4% vs. 9.1%, p <. 001)
and to have at least one general ambulatory clinic attending endorse being able
to provide a meaningful letter of recommendation if asked (62.1% vs. 3.3%, p <.
001). Students (88.4%) and attendings (85.7%) preferred the continuity-enhanced
schedule. The most frequent theme of both student and attending free-text survey
remarks were relationships and assessment. LESSONS LEARNED: Intentional
scheduling of clerkship students to enhance preceptor continuity resulted in
significant positive outcomes echoing the relationship-based educational benefits
of longitudinal clerkships, particularly in regards to student assessment and
feedback. Clerkship directors and other medical educators should consider
implementing small changes within block clerkships to maximize student-preceptor
continuity.
PMID- 29364753
TI - The effects of some antibiotics from cephalosporin groups on the
acetylcholinesterase and butyrylcholinesterase enzymes activities in different
tissues of rats.
AB - In our study, it was aimed to investigate the effects of cefazolin, cefuroxime,
and cefoperazon injected to rats on acetylcholinesterase (AChE) and
butyrylcholinesterase (BChE) enzyme activities in the heart, brain, eye, liver,
and kidney tissues of rats. Liver AChE activity at the 1st and 3rd hours of
cefuroxime groups was higher than the control group at the same time (p <.05).
The AChE activity of the heart tissue decreased in the cefazolin group compared
to the control group at the same hour, whereas it increased in the cefuroxime
group (p <.05). AChE activities of kidney tissue of cefazolin and cefuroxime
groups were lower than those of the same control group on the 3rd and started to
increase on the next hours (p <.05). BChE activity is measured in tissues
increased within the first three hours and decreased significantly within the
first hour in the cefoperazone group (p <.05).
PMID- 29364754
TI - Effects of the craniocervical flexion and isometric neck exercise compared in
patients with chronic neck pain: A randomized controlled trial.
AB - INTRODUCTION: The present study compared the effects of neck isometric exercise
(NIE) and craniocervical flexion exercise (CFE) on cervical lordosis, muscle
endurance of cervical flexion, neck disability index (NDI), and active cervical
range of motion (ACROM) in all three planes in patients with non-specific,
chronic neck pain (CNP). MATERIALS AND METHODS: Forty-one patients from a
university hospital-based rehabilitation center were randomly assigned to an
experimental (22 patients performing CFE) or control (19 patients performing NIE)
group. All patients performed three 30-second repetitions of stretching exercises
for the neck flexor, extensor, lateral flexor, and rotator as warm-up and cool
down exercises. The patients in the experimental group then underwent CFE 30
minutes/day, 3 times a week, for 8 weeks, while the control group underwent NIE.
The main outcome measures were pain on visual analogue scale (VAS) and perceived
disability based on the neck disability index (NDI). The secondary outcomes were
cervical lordosis measured by an absolute rotation angle (ARA), muscle endurance
of cervical flexion, and ACROM. RESULTS: Both groups showed improved pain, NDI,
endurance of the cervical flexor muscles, and ACROM in all three planes after 8
weeks (p < 0.001 for all). All these outcomes, except for the NDI, showed
significantly greater improvements following CFE than following NIE (p < 0.05 for
all). In particular, a significantly improved ARA of cervical lordosis was found
following CFE but not following NIE (p < 0.05). CONCLUSIONS: CFE targeted at
retraining the craniocervical flexor muscles was useful for improving or
restoring the pain, cervical lordosis, and neck-related function disorders among
patients with non-specific CNP.
PMID- 29364755
TI - Differences in Caregiving Outcomes and Experiences by Sexual Orientation and
Gender Identity.
AB - PURPOSE: The purpose of this study was to determine whether caregiving
experiences and their health-related outcomes differ by sexual orientation and
gender identity in a representative U.S. caregiver sample. METHODS: A secondary
data analysis was performed of the cross-sectional, nationally representative
National Alliance for Caregiving online survey that was conducted in 2014. To
account for the study design, we used sampling weights and then added propensity
score weighting to account for imbalances between LGBT respondents and their
heterosexual and cisgender counterparts, that is, non-LGBT caregivers. Outcomes
consisted of caregivers' self-reported health, financial strain, physical strain,
and emotional stress. RESULTS: LGBT caregivers were significantly younger, more
racially and ethnically diverse, less likely to be married, and more likely to be
of low socioeconomic status than their non-LGBT counterparts. Caregiving
experiences and intensity were similar, but after controlling for demographic and
caregiving characteristics, LGBT caregivers were significantly more likely to
report financial strain and showed trends toward elevated levels of poor health
and emotional stress. Physical strain was similar by LGBT status. CONCLUSION:
Caregiving itself is universal, yet LGBT caregivers differed demographically and
were more likely to report financial strain compared with non-LGBT caregivers.
PMID- 29364756
TI - Erectile Dysfunction Symptoms in Polydrug Dependents Seeking Treatment:
Methodological Issues.
PMID- 29364758
TI - Introduction to Volume 1 of JOPT Special Issue.
PMID- 29364757
TI - A Force on the Crown and Tug of War in the Periodontal Complex.
AB - The load-bearing dentoalveolar fibrous joint is composed of biomechanically
active periodontal ligament (PDL), bone, cementum, and the synergistic entheses
of PDL-bone and PDL-cementum. Physiologic and pathologic loads on the
dentoalveolar fibrous joint prompt natural shifts in strain gradients within
mineralized and fibrous tissues and trigger a cascade of biochemical events
within the widened and narrowed sites of the periodontal complex. This review
highlights data from in situ biomechanical simulations that provide tooth
movements relative to the alveolar socket. The methods and subsequent results
provide a reasonable approximation of strain-regulated biochemical events
resulting in mesial mineral formation and distal resorption events within
microanatomical regions at the ligament-tethered/enthesial ends. These
biochemical events, including expressions of biglycan, decorin, chondroitin
sulfated neuroglial 2, osteopontin, and bone sialoprotein and localization of
various hypertrophic progenitors, are observed at the alkaline phosphatase
positive widened site, resulting in mineral formation and osteoid/cementoid
layers. On the narrowed side, tartrate-resistant acid phosphatase regions can
lead to a sequence of clastic activities resulting in resorption pits in bone and
cementum. These strain-regulated biochemical and subsequently biomineralization
events in the load-bearing periodontal complex are critical for maintenance of
the periodontal space and overall macroscale joint biomechanics.
PMID- 29364759
TI - Erectile Dysfunction Symptoms in Polydrug Abusers Seeking Treatment.
PMID- 29364760
TI - Life Goals Increase Self-regulation among Male Patients with Alcohol Use
Disorder.
AB - BACKGROUND: Alcohol use disorder (AUD) has been conceptualized as a chronic self
regulation failure. OBJECTIVES: The aim of this study was to examine the most
probable pathways related to self-regulation among patients with AUD. In this
study, a hypothetical model was proposed that focused on the relationship between
risk factors (extrinsic life goals, emotion dysregulation) and protective factors
(intrinsic life goals, self-control, and abstinence self-efficacy). METHODS: Male
patients with AUD (N = 188) were recruited from alcohol centers of four
psychiatric hospitals between March 2015 and September 2015. All participants
completed psychological assessments, including the Future Oriented Goals Scale
(FOGS), the Alcohol Abstinence Self-Efficacy Scale (AASE), the Brief Self-Control
Scale (BSCS), and the Difficulties in Emotion Regulation Scale (DERS) as well as
sociodemographic characteristics. RESULTS: The final model was found to be a good
fit to data. In testing indirect effects, it was shown that intrinsic life goals
via emotion dysregulation, self-control, and alcohol abstinence self-efficacy
decreased alcohol self-regulation failure. On the other hand, extrinsic life
goals via these factors increased alcohol self-regulation failure.
Conclusions/Importance: These results suggest that intrinsic goals might
indirectly be the important and protective factors for AUD. Moreover, the
findings implicate that self-regulation through goal setting may be necessary to
alleviate symptoms and improve function among patients with AUD.
PMID- 29364761
TI - Serotonin-2B/2C Receptors Mediate Bovine Ciliary Muscle Contraction: Role in
Intraocular Pressure Regulation.
AB - PURPOSE: To study the pharmacological profile of the serotonin (5
hydroxytryptamine [5-HT]) receptor subtype mediating contractions in bovine
isolated ciliary muscles. METHODS: Ciliary muscle strips were isolated from
bovine eyeballs and mounted in organ baths containing aerated (95% O2, 5% CO2)
Krebs buffer solution maintained at 37 degrees C. Each muscle strip was attached
at 1 end to a Grass Force-displacement Transducer connected to a Polyview
Computer System for recording changes in isometric tension. After an
equilibration period, ciliary muscle strips were exposed to selective agonists
and antagonists of 5-HT receptors. RESULTS: Both selective and nonselective
agonists for 5-HT produced concentration-dependent contractions of isolated
ciliary muscles with the following rank order of potency: BW723C86>alpha-methyl-5
HT>MK-212>>8-hydroxy-DPAT>quipazine>R-DOI>>5-HT>>tryptamine. The selective 5-HT2
receptor antagonists, M-100907 (5-HT2A), RS-127445 (5-HT2B), and RS-102221 (5
HT2C), produced noncompetitive inhibition of the contractile effects of selective
agonists yielding antagonist potency (pKB) values of 251 +/- 27.2 nM (n = 4),
52.5 +/- 6.3 nM (n = 4), and 79.4 +/- 9.5 nM (n = 4), respectively. CONCLUSION:
On the basis of the profile of activity of selective agonists and antagonists, we
conclude that the 5-HT2B and 5-HT2C receptor subtypes appear to be the
predominant serotonin receptors that mediate the contractile action of this amine
in bovine isolated ciliary muscles.
PMID- 29364762
TI - Injection Drug Use Trajectories among Migrant Populations: A Narrative Review.
AB - BACKGROUND: Dual epidemics of injection drug use and blood-borne disease,
characterized as "syndemics," are present in a range of settings. Behaviors that
drive such syndemics are particularly prevalent among mobile drug-using
populations, for whom cross-border migration may pose additional risks.
OBJECTIVES: This narrative review aims to characterize the risk factors for
injection drug use initiation associated with migration, employing a risk
environment framework and focusing on the San Diego-Tijuana border region as the
most dynamic example of these phenomena. METHODS: Based on previous literature,
we divide migration streams into three classes: intra-urban, internal, and
international. We synthesized existing literature on migration and drug use to
characterize how mobility and migration drive the initiation of injection drug
use, as well as the transmission of hepatitis and HIV, and to delineate how these
might be addressed through public health intervention. RESULTS: Population mixing
between migrants and receiving communities and the consequent transmission of
social norms about injection drug use create risk environments for injection drug
use initiation. These risk environments have been characterized as a result of
local policy environments, injection drug use norms in receiving communities,
migration-related stressors, social dislocation, and infringement on the rights
of undocumented migrants. CONCLUSION: Policies that exacerbate risk environments
for migrants may inadvertently contribute to the expansion of epidemics of
injection-driven blood-borne disease. Successful interventions that address
emerging syndemics in border regions may therefore need to be tailored to migrant
populations and distinguish between the vulnerabilities experienced by different
migration classes and border settings.
PMID- 29364763
TI - Gender and Cultural Adaptations for Diversity: A Systematic Review of Alcohol and
Substance Abuse Interventions for Latino Males.
AB - BACKGROUND: Latino men are disproportionately affected by the consequences of
alcohol and substance abuse when compared to non-Latino white men. Latino men
also face greater barriers to accessing, engaging, and completing alcohol and
substance abuse treatment services. Culturally adapted interventions are promoted
to overcome these barriers. However, the effectiveness of these efforts is
unclear. OBJECTIVES: The purpose of this review was to summarize the published
evidence regarding gender-adapted and culturally adapted alcohol and substance
abuse treatment that aims to improve physical, behavioral, and social outcomes in
Latino men. METHODS: A systematic literature search was conducted for articles
reporting on culturally and/or gender-adapted alcohol and/or substance abuse
interventions designed exclusively for Latino adults, including a Latino
population sample of at least 10% and any proportion of Latino male participants.
A thematic analysis based on predetermined themes was used to evaluate the nature
of adaptations. RESULTS: Searches yielded 2685 titles, resulting in 12 articles
that fit review parameters. The most scientifically rigorous findings suggest
culturally adapted interventions may outperform standard treatment. Nevertheless,
a fraction of the interventions did not improve outcomes compared to standard
treatment. Considering the scarce number of publications, it is difficult to
discern if null findings reflect ineffective interventions or methodological
limitations. CONCLUSIONS: While studies are limited and findings are mixed,
culturally tailored work shows promise. The growth rate of the Latino population
and the current epidemic nature of substance abuse in the United States generate
urgency to identify methods to diminish the disparate burden of alcohol and
substance abuse in Latinos.
PMID- 29364764
TI - Adverse Childhood Experiences and Early Initiation of Marijuana and Alcohol Use:
The Potential Moderating Effects of Internal Assets.
AB - INTRODUCTION: Early adolescence is a critical risk period for initiation of
substance use. Internal assets (IAs), which are individual qualities guiding
positive choices, and adverse childhood experiences (ACEs) are important
protective and risk factors, respectively, against substance use. The purpose of
this study is to investigate whether IAs modify associations between ACEs and
early initiation of alcohol and marijuana use. METHOD: Data were from 9th and
11th graders who completed the 2013 Minnesota Student Survey (n = 79,339).
Students reported on experiences of abuse, household dysfunction, and substance
use. Multivariable logistic regressions examined associations between different
types of ACEs and substance use. Interactions between IAs and ACEs were added to
models to test effect modification. For significant interactions, main effects
models were re-estimated at different percentiles of IAs. RESULT: IAs moderated
associations of both abuse and household dysfunction with early initiation of
marijuana (p <.003) and alcohol (p =.007) for females but not for males. For
females with low IAs, odds of early initiation of marijuana were approximately
twice as high as students without any ACEs. A similar pattern was detected for
females' initiation of alcohol use. No effect modification was detected for IAs
and experiencing only abuse or household dysfunction on initiation. CONCLUSION:
Special attention should be paid to improving IAs among girls who have already
experienced ACEs. Future research should examine protective factors that buffer
the effects of ACEs for boys.
PMID- 29364765
TI - Young Adult Female Cancer Survivors' Concerns About Future Children's Health and
Genetic Risk.
AB - As young adult female cancer survivors (aged 18-35) make family-building
decisions, understanding the specific nature of their concerns is important. We
evaluated survivors' concerns about potential health risks to future children
including genetic susceptibility for cancer with an internet-based survey study
(N = 187). Sixty-five percent reported concern about passing on a genetic cancer
risk to their children, and scores did not vary regardless of association with
cancer at high risk for genetic transmission. Genetic counseling and education
about family-building options may be important to survivors concerned about
health risks to offspring to support family-building decisions based on
personalized medical information.
PMID- 29364766
TI - Does Documented Brief Intervention Predict Decreases in Alcohol Use in Primary
Care?
AB - BACKGROUND: Brief intervention (BI) is recommended for patients with unhealthy
alcohol use, but the effectiveness of BI in usual care settings remains unclear.
OBJECTIVE: We evaluated whether BI predicts decreases in drinking 6 months after
a positive screen for unhealthy alcohol use. METHOD: We enrolled patients who
recently screened positive for unhealthy alcohol use during a routine screen in
Veterans Health Administration primary care. We conducted medical record review
to assess whether providers documented advice to reduce or abstain, feedback
about risks to health, feedback about how patient drinking compares to norms or
recommended limits, and discussion of drinking-related goals. BI elements were
coded from 7 days before the date of the positive screen to 60 days after. We
conducted baseline and 6-month follow-up telephone interviews to assess change in
past 30-day drinking. We fit regression models examining each BI element and
another model for the total count of instances of any combination of elements.
RESULTS: Of the 327 patients included, 86% had at least one documented instance
of receiving advice, 86% had risk feedback, 55% had normative feedback, 38% had
goal discussion, and 75% had three or more instances of any combination of
elements of BI. None of the individual BI elements, nor the total number of
instances, were significantly associated with decreased drinking. CONCLUSIONS:
Results suggest that provider documentation of elements of BI and increasing
numbers of instances of BI elements were not associated with decreased heavy
drinking at 6-month follow-up among patients identified with unhealthy alcohol
use.
PMID- 29364769
TI - Introduction to Volume 2 of JOPT Special Issue.
PMID- 29364768
TI - The Effectiveness of Internet- and Field-Based Methods to Recruit Young Adults
Who Use Prescription Opioids Nonmedically.
AB - BACKGROUND: Nonmedical prescription opioid (NMPO) use is a problem among young
adults, yet young NMPO users are a diverse population that has been challenging
to engage in overdose prevention and harm reduction programs. OBJECTIVES: This
study compared the effectiveness and characteristics of persons recruited through
two different sampling strategies to inform research and intervention efforts
with young adult NMPO users. METHODS: We analyzed data from the Rhode Island
Young Adult Prescription Drug Study (RAPiDS), which enrolled persons aged 18 to
29 who reported past 30-day NMPO use. We compared the characteristics of two
samples recruited simultaneously between February 2015 and February 2016. One
sample was recruited using field-based strategies (e.g., respondent-driven
sampling, transit ads), and a second from internet sources (e.g., online
classifieds). RESULTS: Among 198 eligible participants, the median age was 25
(IQR: 22, 27), 130 (65.7%) were male, 123 (63.1%) were white, and 150 (78.1%)
resided in urban areas. A total of 79 (39.9%) were recruited using field-based
strategies and 119 (60.1%) were recruited from internet sources. Internet
recruited persons were younger (median = 24 [IQR: 21, 27] vs. 26 [IQR: 23, 28]
years) and more likely to reside in rural areas (16.2% vs. 5.3%), although this
finding was marginally significant. Field-recruited participants were more likely
to have been homeless (36.7% vs. 17.7%), have been incarcerated (39.7% vs.
21.8%), and engage in daily NMPO use (34.6% vs. 14.5%). CONCLUSIONS: Multipronged
outreach methods are needed to engage the full spectrum of young adult NMPO users
in prevention and harm reduction efforts.
PMID- 29364771
TI - Female Chess Players Outperform Expectations When Playing Men.
AB - Stereotype threat has been offered as a potential explanation of differential
performance between men and women in some cognitive domains. Questions remain
about the reliability and generality of the phenomenon. Previous studies have
found that stereotype threat is activated in female chess players when they are
matched against male players. I used data from over 5.5 million games of
international tournament chess and found no evidence of a stereotype-threat
effect. In fact, female players outperform expectations when playing men. Further
analysis showed no influence of degree of challenge, player age, nor prevalence
of female role models in national chess leagues on differences in performance
when women play men versus when they play women. Though this analysis contradicts
one specific mechanism of influence of gender stereotypes, the persistent
differences between male and female players suggest that systematic factors do
exist and remain to be uncovered.
PMID- 29364773
TI - European University Students' Experiences and Attitudes toward Campus Alcohol
Policy: A Qualitative Study.
AB - BACKGROUND: Many studies indicate that a substantial part of the student
population drinks excessively, yet most European universities do not have an
alcohol policy. In the absence of an alcohol guideline at universities and the
easy access to alcohol sold at the student cafeteria, for instance, this has the
potential to place students at risk of overconsumption, which has adverse health
consequences. OBJECTIVES: Therefore, our study objectives were to explore and
compare university students' experiences and attitudes toward alcohol policy on
their campus using a qualitative approach. METHODS: 29 focus group discussions
(FGDs) were conducted among students from universities in five European
countries: Belgium (4 FGDs), Denmark (6 FGDs), France (5 FGDs), Hungary (6 FGDs),
and the Slovak Republic (8 FGDs), with a total number of 189 participants.
RESULTS: Across the five European countries, students recognized that alcohol was
a big problem on their campuses yet they knew very little, if any, about the
rules concerning alcohol on their campus. CONCLUSIONS: Students will not support
an on campus alcohol restriction and a policy should therefore focus on
prevention initiatives.
PMID- 29364772
TI - Improving Breast Cancer Surgical Treatment Decision Making: The iCanDecide
Randomized Clinical Trial.
AB - Purpose This study was conducted to determine the effect of iCanDecide, an
interactive and tailored breast cancer treatment decision tool, on the rate of
high-quality patient decisions-both informed and values concordant-regarding
locoregional breast cancer treatment and on patient appraisal of decision making.
Methods We conducted a randomized clinical trial of newly diagnosed patients with
early-stage breast cancer making locoregional treatment decisions. From 22
surgical practices, 537 patients were recruited and randomly assigned online to
the iCanDecide interactive and tailored Web site (intervention) or the iCanDecide
static Web site (control). Participants completed a baseline survey and were
mailed a follow-up survey 4 to 5 weeks after enrollment to assess the primary
outcome of a high-quality decision, which consisted of two components, high
knowledge and values-concordant treatment, and secondary outcomes (decision
preparation, deliberation, and subjective decision quality). Results Patients in
the intervention arm had higher odds of making a high-quality decision than did
those in the control arm (odds ratio, 2.00; 95% CI, 1.37 to 2.92; P = .0004),
which was driven primarily by differences in the rates of high knowledge between
groups. The majority of patients in both arms made values-concordant treatment
decisions (78.6% in the intervention arm and 81.4% in the control arm). More
patients in the intervention arm had high decision preparation (estimate, 0.18;
95% CI, 0.02 to 0.34; P = .027), but there were no significant differences in the
other decision appraisal outcomes. The effect of the intervention was similar for
women who were leaning strongly toward a treatment option at enrollment compared
with those who were not. Conclusion The tailored and interactive iCanDecide Web
site, which focused on knowledge building and values clarification, positively
affected high-quality decisions largely by improving knowledge compared with
static online information. To be effective, future patient-facing decision tools
should be integrated into the clinical workflow to improve decision making.
PMID- 29364774
TI - Resistance Is Futile: Engineering the Adoptive T-Cell Therapies of the Future.
PMID- 29364767
TI - Thrombectomy for Stroke at 6 to 16 Hours with Selection by Perfusion Imaging.
AB - BACKGROUND: Thrombectomy is currently recommended for eligible patients with
stroke who are treated within 6 hours after the onset of symptoms. METHODS: We
conducted a multicenter, randomized, open-label trial, with blinded outcome
assessment, of thrombectomy in patients 6 to 16 hours after they were last known
to be well and who had remaining ischemic brain tissue that was not yet
infarcted. Patients with proximal middle-cerebral-artery or internal-carotid
artery occlusion, an initial infarct size of less than 70 ml, and a ratio of the
volume of ischemic tissue on perfusion imaging to infarct volume of 1.8 or more
were randomly assigned to endovascular therapy (thrombectomy) plus standard
medical therapy (endovascular-therapy group) or standard medical therapy alone
(medical-therapy group). The primary outcome was the ordinal score on the
modified Rankin scale (range, 0 to 6, with higher scores indicating greater
disability) at day 90. RESULTS: The trial was conducted at 38 U.S. centers and
terminated early for efficacy after 182 patients had undergone randomization (92
to the endovascular-therapy group and 90 to the medical-therapy group).
Endovascular therapy plus medical therapy, as compared with medical therapy
alone, was associated with a favorable shift in the distribution of functional
outcomes on the modified Rankin scale at 90 days (odds ratio, 2.77; P<0.001) and
a higher percentage of patients who were functionally independent, defined as a
score on the modified Rankin scale of 0 to 2 (45% vs. 17%, P<0.001). The 90-day
mortality rate was 14% in the endovascular-therapy group and 26% in the medical
therapy group (P=0.05), and there was no significant between-group difference in
the frequency of symptomatic intracranial hemorrhage (7% and 4%, respectively;
P=0.75) or of serious adverse events (43% and 53%, respectively; P=0.18).
CONCLUSIONS: Endovascular thrombectomy for ischemic stroke 6 to 16 hours after a
patient was last known to be well plus standard medical therapy resulted in
better functional outcomes than standard medical therapy alone among patients
with proximal middle-cerebral-artery or internal-carotid-artery occlusion and a
region of tissue that was ischemic but not yet infarcted. (Funded by the National
Institute of Neurological Disorders and Stroke; DEFUSE 3 ClinicalTrials.gov
number, NCT02586415 .).
PMID- 29364775
TI - Evidence for the Upward Spiral Stands Steady: A Response to Nickerson (2018).
PMID- 29364776
TI - Modeling emissions for three-dimensional atmospheric chemistry transport models.
AB - : Poor air quality is still a threat for human health in many parts of the world.
In order to assess measures for emission reductions and improved air quality,
three-dimensional atmospheric chemistry transport modeling systems are used in
numerous research institutions and public authorities. These models need accurate
emission data in appropriate spatial and temporal resolution as input. This paper
reviews the most widely used emission inventories on global and regional scales
and looks into the methods used to make the inventory data model ready.
Shortcomings of using standard temporal profiles for each emission sector are
discussed, and new methods to improve the spatiotemporal distribution of the
emissions are presented. These methods are often neither top-down nor bottom-up
approaches but can be seen as hybrid methods that use detailed information about
the emission process to derive spatially varying temporal emission profiles.
These profiles are subsequently used to distribute bulk emissions such as
national totals on appropriate grids. The wide area of natural emissions is also
summarized, and the calculation methods are described. Almost all types of
natural emissions depend on meteorological information, which is why they are
highly variable in time and space and frequently calculated within the chemistry
transport models themselves. The paper closes with an outlook for new ways to
improve model ready emission data, for example, by using external databases about
road traffic flow or satellite data to determine actual land use or leaf area. In
a world where emission patterns change rapidly, it seems appropriate to use new
types of statistical and observational data to create detailed emission data sets
and keep emission inventories up-to-date. IMPLICATIONS: Emission data are
probably the most important input for chemistry transport model (CTM) systems.
They need to be provided in high spatial and temporal resolution and on a grid
that is in agreement with the CTM grid. Simple methods to distribute the
emissions in time and space need to be replaced by sophisticated emission models
in order to improve the CTM results. New methods, e.g., for ammonia emissions,
provide grid cell-dependent temporal profiles. In the future, large data fields
from traffic observations or satellite observations could be used for more
detailed emission data.
PMID- 29364777
TI - A Manifesto for the Age-Friendly Movement: Developing a New Urban Agenda.
AB - Developing age-friendly cities and communities has become a key part of policies
aimed at improving the quality of life of older people in urban areas. The World
Health Organization has been especially important in driving the "age-friendly"
agenda, notably through its global network of age-friendly cities and
communities. Despite the expansion and achievements of the network, challenges
remain in responding to the growth of inequality and the impact of economic
austerity on aging policies. Against the background of these limitations, this
article sets out a "manifesto for the age-friendly movement" aimed at raising the
aspirations of what is now a worldwide movement. The areas covered in the
manifesto are challenging social inequality, widening participation, coproducing
and codesigning age-friendly communities, encouraging multisectorial and
multidisciplinary collaboration, and integrating research with policy. The
article concludes with a discussion on developing age-friendly work as a
contribution to a new agenda for urban aging.
PMID- 29364778
TI - Suicide attempters in Abu Dhabi: Is criminal prosecution associated with
patients' guardedness?
AB - The objective of this study was to examine the relationship between legal status
and guardedness in patients who attempted suicide in Abu Dhabi, United Arab
Emirates. The consultation-liaison team assessed 355 patients admitted for
suicidal/self-injurious behavior, recording their guardedness before knowing
whether they were legally charged. Patients who were legally charged for the
suicidal act (n = 139) were 1.6 times (p = .03) more guarded in volunteering
information than patients who were not legally charged. Legally charging suicide
attempters might have a negative impact on risk assessment and psychiatric care.
PMID- 29364779
TI - "I am tired of having to prove that my husband was dead." Dealing with practical
matters in bereavement and the impact on the bereaved.
AB - This paper reports on experiences of dealing with practical matters after death.
Semi structured interviews with bereaved individuals were thematically analyzed.
Within the theme of coping, dealing with practical matters was a significant
stressor and was found to be extremely challenging, time consuming, and to
negatively impact on mental and emotional well-being. This study adds new
insights on the challenges experienced by the bereaved when attending to
practical matters and may help to inform the design of bereavement support,
inform standard operating procedures of businesses, and government bereavement
leave legislation.
PMID- 29364780
TI - Positive Attitude Toward Math Supports Early Academic Success: Behavioral
Evidence and Neurocognitive Mechanisms.
AB - Positive attitude is thought to impact academic achievement and learning in
children, but little is known about its underlying neurocognitive mechanisms.
Using a large behavioral sample of 240 children, we found that positive attitude
toward math uniquely predicted math achievement, even after we accounted for
multiple other cognitive-affective factors. We then investigated the neural
mechanisms underlying the link between positive attitude and academic achievement
in two independent cohorts of children (discovery cohort: n = 47; replication
cohort: n = 28) and tested competing hypotheses regarding the differential roles
of affective-motivational and learning-memory systems. In both cohorts, we found
that positive attitude was associated with increased engagement of the
hippocampal learning-memory system. Structural equation modeling further revealed
that, in both cohorts, increased hippocampal activity and more frequent use of
efficient memory-based strategies mediated the relation between positive attitude
and higher math achievement. Our study is the first to elucidate the
neurocognitive mechanisms by which positive attitude influences learning and
academic achievement.
PMID- 29364781
TI - Pathogen- and Danger-Associated Molecular Patterns and the Cytokine Response in
Sepsis.
AB - The sepsis syndrome is a systemic host inflammatory response accompanied by organ
dysfunction in response to invading microbial pathogens. The host recognizes both
danger and pathogens through its pattern recognition receptors on immune cells.
These receptors bind to pathogen- (PAMP) and danger- (DAMP) associated molecular
patterns derived from microbes and host tissues, respectively. These processes
set in motion a cascade of events in host cells and tissue, which activate
multiple cytokines that serve as activators of the host inflammatory response as
well as eventually lead to resolution of the response if the host recovers. The
following article describes some of these DAMPs and PAMPs, and how they activate
pathways that activate the host cytokine immune response to injury and infection.
PMID- 29364782
TI - Implementing an interdisciplinary intergenerational program using the Cyber
Seniors(r) reverse mentoring model within higher education.
AB - Intergenerational service-learning in higher education positively affects older
adults and students, but little is known about the effectiveness of
interdisciplinary, reverse mentoring programs that use technology as the medium
of bringing generations together. This study describes an intergenerational
service-learning program that utilizes reverse mentoring within higher education,
the "Engaging Generations Program," at a midsized public university in New
England where students help older adults learn about technology, and students
gain communication and teaching skills. In this article, we outline how the
program was implemented, present quantitative data on participation outcomes for
students and older adults and qualitative data from older adults, and discuss
best practices. Analysis of pre/post surveys found that students' attitudes
toward aging improved (p < 0.01) and older adults interest in technology improved
(p < 0.05) after program participation. Best practices identified included:
multiple meetings with the same pair to deepen friendships, in-person training
for student leaders, student responsibility for scheduling, tailoring sessions to
each participant, student documentation of meetings, and active involvement by
community partners.
PMID- 29364784
TI - Assessing the relationships among stress, god-mediated control, and psychological
distress/well-being: Does the level of education matter?
AB - One of the primary functions of religion is to help people cope with stressful
life events. The purpose of the current study is to see if God-mediated control
beliefs perform this stress-buffering function. God-mediated control refers to
the belief that people work together with God to reduce the effects of unwanted
stressors in their lives. An effort is made to probe this relationship more
deeply by seeing whether the stress moderating function of God-mediated control
beliefs varies across levels of educational attainment. Findings from a recent
nationwide survey suggest that strong God-mediated control beliefs reduce the
magnitude of the relationship between stress and depressive symptoms, anxiety,
happiness, and hope, but only among more highly educated study participants. In
contrast, God-mediated control beliefs do not appear to influence the
relationship between stress and distress/well-being among study participants with
lower levels of educational attainment.
PMID- 29364783
TI - "Don't bother about me." The grief and mental health of bereaved adolescents.
AB - Death of a relative or friend is a potentially disruptive event in the lives of
adolescents. To provide targeted help, it is crucial to understand their grief
and mental health experiences. Thematic analysis of 39 semistructured telephone
interviews yielded two themes: Grieving apart together and Personal growth. High
self-reliance and selective sharing were common. Feelings of guilt and "why"
questions seemed more pronounced among the suicide bereaved. There was strong
evidence of personal growth, increased maturity, and capacity to deal with
personal mental health/suicidality. Despite its devastating effects, experiencing
a death can be a catalyst for positive mental health.
PMID- 29364786
TI - Corrigendum.
PMID- 29364785
TI - Just between us: Exclusive communications in online social networks.
AB - Social media websites such as Facebook are used for relationship development and
maintenance often through self-disclosure and sharing of personal information.
However, not all forms of social media communication may be equally suitable for
this task. This paper explores users' norms about the appropriateness of using
private vs. public Facebook messages to communicate different kinds of personal
information, and the effectiveness of these types of communication in building
relationships. Study 1, a survey, revealed that users endorse conflicting
expectations about preferences for receiving information publicly or privately.
Study 2, a field experiment testing the effects of private versus public Facebook
communications on actual relationship development using participants' own
Facebook pages, suggested that private messages lead to greater closeness.
PMID- 29364787
TI - Examination of the latent factor structure and construct validity of the stigma
of suicide scale-short form.
AB - A major limitation of research on attitudes toward suicide is that most measures
lack evidence of a stable factor structure. To investigate the structure of
recently developed stigma of suicide scale-short form (SOSS-SF), we conducted an
exploratory factor analysis in a sample of 499 undergraduates. Results revealed a
three-factor structure: Stigma, Isolation/Depression, and
Glorification/Normalization. We also identified good convergent and discriminant
validity between the SOSS-SF and related constructs. In a separate sample of 570
undergraduates, a confirmatory factor analysis (CFA) demonstrated adequate fit
for the three-factor model. In addition, a multiple-group CFA demonstrated
invariance across gender.
PMID- 29364788
TI - Sharing is caring: The potential of the sharing economy to support aging in
place.
AB - This article explores innovative applications of sharing economy services that
have the potential to support a population aging in place, especially the "oldest
old," aged 85 and older, and their caregivers. A mixed-methods study conducted by
the MIT AgeLab examined perceptions of and experiences with sharing economy
services, ultimately finding opportunities and barriers to use. Thus, although
sharing economy services have potential to support aging in place, to do so
successfully will require reconstructing how older adults, family caregivers,
aging service professionals, gerontology educators, and gerontology students
conceptualize and deliver care to an aging population. We suggest examples for
gerontology educators to integrate into their classrooms to further cultivate an
appreciation among students of multiple approaches to intervention, including
those that leverage sharing economy and technology-enabled platforms to support
older adults and their caregivers.
PMID- 29364789
TI - Pregaming among Latina/o emerging adults: Do acculturation and gender matter?
AB - Among ethnic minority groups, Latina/o emerging adults are most likely to engage
in pregaming, a risky drinking practice. This study examined how U.S.
acculturation and enculturation are associated with pregaming and the extent to
which gender moderates this relation in a sample of 312 Latina/o emerging adults
(18 - 25 years of age). Results indicated that men consumed more alcohol when
pregaming than women, but there were no gender differences in pregaming
frequency. Results also showed that lower levels of U.S. acculturation were
associated with greater alcohol consumption while pregaming for men, but not
women. Gender did not moderate the association between acculturation and
pregaming frequency. This study highlights the need to account for gender when
examining sociocultural determinants of high risk drinking behaviors such as
pregaming among Latina/o emerging adults.
PMID- 29364790
TI - Commentaries on Viewpoint: Principles, insights, and potential pitfalls of the
noninvasive determination of muscle oxidative capacity by near-infrared
spectroscopy.
PMID- 29364791
TI - Last Word on Viewpoint: Principles, insights, and potential pitfalls of the
noninvasive determination of muscle oxidative capacity by near-infrared
spectroscopy.
PMID- 29364793
TI - Threats to the Internal Validity of Experimental and Quasi-Experimental Research
in Healthcare.
AB - The article defines, describes, and discusses the seven threats to the internal
validity of experiments discussed by Donald T. Campbell in his classic 1957
article: history, maturation, testing, instrument decay, statistical regression,
selection, and mortality. These concepts are said to be threats to the internal
validity of experiments because they pose alternate explanations for the apparent
causal relationship between the independent variable and dependent variable of an
experiment if they are not adequately controlled. A series of simple diagrams
illustrate three pre-experimental designs and three true experimental designs
discussed by Campbell in 1957 and several quasi-experimental designs described in
his book written with Julian C. Stanley in 1966. The current article explains why
each design controls for or fails to control for these seven threats to internal
validity.
PMID- 29364792
TI - What we learned through asking about evidence: A model for interdisciplinary
student engagement.
AB - Traditional university learning modalities of lectures and examinations do not
prepare students fully for the evolving and complex world of gerontology and
geriatrics. Students involved in more active, self-directed learning can develop
a wider breadth of knowledge and perform better on practical examinations. This
article describes the Evidence in Aging (EIA) study as a model of active learning
with the aim of preparing students to be effective interdisciplinary researchers,
educators, and leaders in aging. We focus particularly on the experiences and
reflections of graduate students who collaborated with faculty mentors on study
design, data collection, and analysis. Students acquired new methodological
skills, gained exposure to diverse disciplines, built interdisciplinary
understanding, and cultivated professional development. The EIA study is a model
for innovative student engagement and collaboration, interactive learning, and
critical scholarly development. Lessons learned can be applied to a range of
collaborative research projects in gerontology and geriatrics education.
PMID- 29364794
TI - Kidney Allocation: New Contributions to an Ongoing Challenge.
PMID- 29364795
TI - [Analysis of the Cochrane Review: Early Discharge Hospital at Home. Cochrane
Database Syst Rev. 2017;6:CD000356.]
AB - Hospital at home is a service that provides active treatment by healthcare
professionals in the patient's home for a condition that otherwise would require
acute hospital in-patient care. However, the clinical bene t of this intervention
and its effect on health costs are not established. This Cochrane systematic
review aimed to assess the effectiveness and costs of managing patients with
hospital at home compared with inpatient hospital care. A systematic review of
the literature was carried out by searching the following databases to 9 January
2017: Cochrane Effective Practice and Organization of Care Group (EPOC) register,
Cochrane Central Register of Controlled Trials (CENTRAL), MEDLINE, Embase,
CINAHL, EconLit and clinical trials registries. Thirty-two randomized trials (2
of which unpublished), including 4746 patients, were included. The present review
provides insuf cient objective evidence of economic bene t (through a reduction
in hospital length of stay) or improved health outcomes.
PMID- 29364796
TI - Injuries in Portuguese Amateur Youth Football Players: A Six Month Prospective
Descriptive Study.
AB - INTRODUCTION: This study analyzed the incidence and characteristics of injuries
sustained by amateur youth football players in Portugal during season 2015 -
2016. MATERIAL AND METHODS: This is an observational descriptive study. We
analyzed Portuguese youth football players' injuries over six months of a season.
A total of 529 players were divided according to their age in two groups (Under
17 and Under-19). Data on injuries were collected. RESULTS: Throughout all 62
062.0 hours of exposure recorded, 248 injuries were reported in 173 different
players. The average incidence of injury was 3.87 (95% CI = 2.81; 4.94) per 1000
hours of football exposure. There was a significantly higher average incidence of
injury during matches - 14.22 (95% CI = 10.35; 18.09) per 1000 hours of exposure
when compared to the average incidence of injury during training - 2.06 (95% CI
= 1.22; 2.90) per 1000 hours of exposure. This significance was also observed
when the comparison was made within each age group. A traumatic mechanism was
involved in 76.6% of all the injuries, while overuse was reported in 12.9%. The
most common type was the injury that affected muscles and tendons (52.8%). The
body location most commonly affected by injuries was the thigh (24.6%).
DISCUSSION: Even though is essential a better characterization of Portuguese
athletes of younger age groups such as those discussed in this study, the results
of the studied population are in agreement with the existing literature. However,
this study provides more information that may be important to better target the
Portuguese athletes' training for injury prevention. CONCLUSION: This study
provides descriptive data on injuries developed in a subpopulation of Portuguese
amateur youth football players that could represent a focus for future
prevention.
PMID- 29364797
TI - Anaesthesia in Dental Medicine with Local Infiltrative Anaesthetic Technique
Versus Diploe Anaesthesia Delivery Systems: Efficacy and Behaviour, an
Experimental Study.
AB - INTRODUCTION: This study aimed to compare the analgesic efficacy and the
influence of local infiltrative anesthesia techniques, with diploe anesthesia, on
the cardiac rhythmMaterial and Methods: We selected 32 healthy volunteers who
were given both anaesthetic techniques on tooth 1.4 (0.45 mL of lidocaine with
adrenaline, 1:80 000). In the first phase, the volunteers underwent periapical
infiltrative anaesthesia. In the second phase, diploe anaesthesia was performed
with a QuickSleeper(r) device. The parameters analysed were pulp response to the
electrical test and heart rate of the participants. These parameters were
evaluated on five different occasions: before anaesthesia (t0), immediately after
anaesthesia (t1), 15 minutes later (t15), 30 minutes later (t30) and 60 minutes
later (t60). Statistical analysis of the data was performed using SPSS 2.0
software, with alpha = 0.05. RESULTS: With the diploe anaesthesia, a level of
analgesia was obtained faster. There was a slight increase in heart rate soon
after administration of diploe anaesthesia, which stabilized after t15 of the
procedure. This technique still proved to be painless. CONCLUSION: Diploe
anaesthesia demonstrated better results in terms of analgesia than the
infiltrative anaesthesia. It has been reported to be easy, safe and an effective
procedure that allows anaesthesia in almost all clinical situations. This
approach may offer particular advantages for endodontic therapy, providing
greater comfort for the patient.
PMID- 29364798
TI - [Selection of Donor-Recipient Pairs in Renal Transplantation: Comparative
Simulation Results].
AB - INTRODUCTION: Implemented in 2007 by Ordinance No. 6357, allocation rules of
cadaveric donor kidneys seek to distribute equitably a scarce community resource
to patients who can improve their survival and quality of life. As stated in the
aforementioned ordinance these rules must be updated whenever the state of the
art recommends it. The objective of this work is to evaluate and compare three
cadaveric donor allocation models: scoring criteria of ordinance no 6537/2007
(model 1); similar to the previous model but with a lower score for the dialysis
time (model 2); and a model adapted from the previously proposed color allocation
system (model 3). MATERIAL AND METHODS: For the purpose of this analysis we
generated data about 70 cadaveric donors taking into account information
published regarding blood group distribution and human leucocyte antigens allelic
and haplotype frequencies of Portuguese voluntary donors. We generated also data
for a simulated waiting list of 500 first-time kidney transplant candidates.
RESULTS: We observed fewer candidates selected by model 3 with more than 3 human
leucocyte antigens mismatches (39.3%) when compared to those selected by model 1
with more than 3 human leucocyte antigens mismatches (57.1%, p < 0.01).
DISCUSSION: In our analysis, model 3 selects transplant candidates with a lower
number of human leucocyte antigens mismatches when compared to the adapted rules
for kidney allocation of Ordinance No. 6537/2007 (model 1) without penalizing
candidates with a longer time on dialysis. CONCLUSION: The analysis and
discussion of the best rules for allocation of such a scarce resource as organs
from deceased donors should be a continuous and adaptive process inherent to
transplant candidate's waiting list evolution and mutation.
PMID- 29364799
TI - Limited Health Literacy in Portugal Assessed with the Newest Vital Sign.
AB - INTRODUCTION: In Portugal, health literacy has started to be addressed through
national policies, but research on the topic is still scarce. We aimed to
estimate the prevalence and sociodemographic correlates of limited health
literacy in Portugal using an existing health literacy instrument, the Newest
Vital Sign. MATERIALS AND METHODS: Following cross-cultural adaptation of the
instrument, a sample of 249 participants was evaluated to assess reliability and
construct validity of the Newest Vital Sign; the latter was tested assuming
physicians would score highest, followed by health researchers, then by
engineering researchers and finally by laypersons from the general population. We
applied this validated version in a representative sample of 1544 Portuguese
speaking residents in Portugal aged between 16 and 79 years and quantified the
associations between limited health literacy and sociodemographic
characteristics. RESULTS: The instrument showed high reliability (Cronbach's
alpha = 0.85). Health-related occupation showed association with higher scores in
the Newest Vital Sign (p trend < 0.001). The prevalence of limited health
literacy in the Portuguese population was 72.9% (95% CI: 69.4 - 76.4). We found
no differences between men and women, but persons with limited health literacy
were significantly older (p < 0.001) and less educated (p < 0.001). DISCUSSION:
The burden of limited health literacy in Portugal is higher than that in other
European countries. It should drive a universal precautions approach to health
communication at all levels of the health system. CONCLUSION: We validated a
brief and simple instrument and estimated the prevalence of limited health
literacy in the literate Portuguese population at roughly three out of four
people.
PMID- 29364800
TI - [Effects of Speech Therapy in Hospitalized Patients with Post-Stroke Dysphagia: A
Systematic Review of Observational Studies].
AB - INTRODUCTION: Since dysphagia may be one of the brain post-stroke consequences,
the objective of this study was to analyze the average recovery time of patients
with cerebrovascular accident and dysphagia subjected to speech therapy in a
hospital bed. MATERIAL AND METHODS: Systematic review performed following the
'Preferred Reporting Items is Systematic Reviews and MetaAnalyses' instructions.
The search was performed in different electronic databases, without restriction
of time and language. The studies were evaluated regarding their methodological
quality. RESULTS: Of 5671 titles, five studies were included. 176 patients with
stroke and dysphagia were obtained (aged between 22 and 91 years old - average:
68.95), with no preference regarding gender. Improvement occurred in 84.26% of
the subjects and the recovery time was between one and ninety days (average: 22
days). Randomization, blinding, loss to follow-up and withdrawal were not
performed with control group in any study. DISCUSSION: The success of
rehabilitation of oropharyngeal dysphagia as a post-stroke sequela will depend on
the extent, location of the neurological lesion and early intervention in the
hospital bed. Despite the recognition of health professionals about the
importance of swallowing rehabilitation for these patients, there is a lack of
studies that support an evidence-based practice, although the results point to
improvements in this regard. CONCLUSION: Speech therapy in hospital bed in post
stroke hospitalized patients with dysphagia seems to bring satisfactory results
in the short-term, revealing the importance of diagnosis and early intervention
in these cases.
PMID- 29364801
TI - [Retroperitoneal Cellular Angiofibroma: A Rare Gynecological Entity].
AB - Cellular angiofibroma is a mesenchymal tumor, described in 1997, without gender
preference, that usually appears at age 40. The vulvovaginal area is the most
common site in women, mimicking vulvar benign tumors, like Bartholin gland cyst.
However, there are a few described cases of a deep or extra-pelvic angiofibroma.
Excision is the treatment of choice and the recurrence rate appears to be low. We
present the case of a woman with a heterogeneous tumor in the right adnexial
region. At the surgery, a retroperitoneal tumor was excised and the
histopathological tissue analysis revealed a cellular angiofibroma.
PMID- 29364802
TI - [Infectious Mononucleosis and Cholestatic Hepatitis: A Rare Association].
AB - Infectious mononucleosis is one of the major clinical manifestations of Epstein
Barr virus infection. In this syndrome, elevation of liver transaminase levels is
common but cholestasis is rare, with few cases described in the literature. We
present the case of a 14-year-old female adolescent, admitted to the Emergency
Room with fever, odynophagia and cervical adenomegaly. She was treated with
amoxicillin and two days later he presented with jaundice. The analytical
evaluation was compatible with cholestatic hepatitis and abdominal ultrasound
revealed hepatosplenomegaly without dilatation of the bile ducts. The diagnosis
of Epstein-Barr virus infection was confirmed by the presence of serological
markers. This case aims to raise awareness of a rare manifestation of a common
infectious agent and, consequently, to the inclusion of acute Epstein-Barr virus
infection in the differential diagnosis of pediatric cholestatic hepatitis.
PMID- 29364803
TI - Lead Poisoning: Myoclonus Following Welding Exposure.
PMID- 29364804
TI - [Post-Traumatic Diaphragmatic Hernia: Limitations of Non-Invasive Ventilation].
PMID- 29364805
TI - Letter to the Editor: Colonic Perforation.
PMID- 29364806
TI - Letter to the Editor regarding the article "Prevalence of Hepatitis A Virus
Antibody in Portuguese Travelers: A New Paradigm".
PMID- 29364807
TI - Letter to the Editor: The Clear and Present Danger to Portuguese Travelers to the
Middle East Region.
PMID- 29364808
TI - [Pediatric tuberculosis].
PMID- 29364809
TI - [Acute lymphoblastic leukemia: a genomic perspective].
AB - In parallel to the human genome sequencing project, several technological
platforms have been developed that let us gain insight into the genome structure
of human entities, as well as evaluate their usefulness in the clinical approach
of the patient. Thus, in acute lymphoblastic leukemia (ALL), the most common
pediatric malignancy, genomic tools promise to be useful to detect patients at
high risk of relapse, either at diagnosis or during treatment (minimal residual
disease), and they also increase the possibility to identify cases at risk of
adverse reactions to chemotherapy. Therefore, the physician could offer patient
tailored therapeutic schemes. A clear example of the useful genomic tools is the
identification of single nucleotide polymorphisms (SNPs) in the thiopurine methyl
transferase (TPMT) gene, where the presence of two null alleles (homozygous or
compound heterozygous) indicates the need to reduce the dose of mercaptopurine by
up to 90% to avoid toxic effects which could lead to the death of the patient. In
this review, we provide an overview of the genomic perspective of ALL, describing
some strategies that contribute to the identification of biomarkers with
potential clinical application.
PMID- 29364810
TI - [A case-series analysis of tuberculosis in pediatric patients treated in a
tertiary level hospital].
AB - BACKGROUND: Tuberculosis (TB) remains a challenge because severe forms occur most
frequently in children under 5 years of age and the diagnosis is complex. The
objective of this paper was to describe the clinical presentation, frequency,
diagnostic methods used and response to treatment in children with TB treated at
a tertiary level hospital. METHODS: The study was retrospective and descriptive
of a cohort of consecutive cases treated from January 2010 to December 2013.
Ninety-three medical records of children diagnosed with TB according to the
definition of the NOM-006-SSA2-2013 were reviewed. Descriptive statistics were
used for the analysis. RESULTS: From 93 children, 58% were male (mean age of 7
years), 97% with a history of BCG vaccination, and 6% had contact with a TB case.
The most frequent clinical forms were pulmonary (30.1%), lymph node (24.7%),
miliary/disseminated (16.1%), meningeal (13%), and osteal TB (7.5%). The most
common symptoms were fever and weight loss (50% and 40%, respectively). BAAR and
culture were positive in 26% and 7% of all cases, respectively. The
histopathological study was conclusive in 90% of the cases. The treatment was
successful in 94.6%, with not associated mortality. CONCLUSIONS: The association
of clinical symptoms with alterations in chest radiography and positive PPD are
useful in establishing the presumptive diagnosis and an early and appropriate
treatment.
PMID- 29364811
TI - [Tuberculosis: the tip of the iceberg].
PMID- 29364812
TI - [Urinary tract infection caused by Enterobacteriaceae and its relationship with
vesicoureteral reflux].
AB - BACKGROUND: The first urinary tract infection can be a marker of a urinary tract
anomaly, mainly vesicoureteral reflux. The aim of this work was to determine the
association between isolated enterobacteria with the presence and grade of
vesicoureteral reflux in neonatal patients with their first urinary tract
infection. METHODS: A retrospective, observational and analytic study of
newborns, who were admitted to the Neonatal Department, University Pediatric
Hospital "Juan Manuel Marquez," in Havana, Cuba, from 1992 to 2013 was conducted.
The causal microorganism of urinary tract infection was from the
Enterobacteriaceae family. They were evaluated by radio imaging. The association
between the presence and grade of vesicoureteral reflux with the causal
microorganism of the urinary tract infection was analyzed. RESULTS: Newborn
infants with urinary tract infection (450) were studied. Bacterial isolations in
the urine cultures corresponded to E. coli in 316 cases (70.2%). The prevalence
of vesicoureteral reflux was 18.2%. The presence of bacteria corresponding to the
Enterobacteriaceae family (other than E. coli) had significant risk association
with vesicoureteral reflux (OR: 2.02; p < 0.01) and vesicoureteral reflux
classification (for higher grades, p < 0.01). CONCLUSIONS: E. coli is the most
frequent causal microorganism in neonatal urinary tract infection. However, an
association between the isolation of a microorganism of the Enterobacteriaceae
family different to E. coli with the presence of vesicoureteral reflux and mainly
with higher grades of vesicoureteral reflux exists.
PMID- 29364813
TI - Systematic review of the current status of programs and general knowledge of
diagnosis and management of retinoblastoma.
AB - BACKGROUND: This systematic review aims to report the current knowledge of
retinoblastoma (Rb) and its implications in Mexico. We analyzed clinical and
demographic data of patients with Rb at select hospitals with Rb programs or that
treat and refer patients with Rb, and identified the gaps in practice. We propose
solutions to improve diagnosis, provide adequate treatment, and improve patient
uptake. METHODS: A general review was conducted on PubMed of peer-reviewed
literature on Rb in Mexico. Ophthalmology Department Heads or Directors of Rb
programs at seven hospitals in Mexico were contacted for data available on their
patients with Rb. RESULTS: Five hospitals provided clinical data on 777 patients
with Rb in a period spanning 2000-2015. Of the 122 patients with treatment, 83.4%
underwent enucleation. From 33 to 45.3% of Rb tumors in Mexico reach an advanced
intraocular stage of development. Knowledge of the disease is limited, despite
the fact that the Mexican Retinoblastoma Group has elaborated Rb treatment
guidelines and is developing a national Rb registry. Especially in the Southern
states, prevalence and outcomes are comparable to African and Asian countries,
and only few patients are referred to national treatment centers. Only three
institutions have comprehensive Rb programs. CONCLUSIONS: There is an immediate
need in Mexico to expand primary care providers' knowledge of Rb and to expand
and upgrade current Rb programs to meet the needs of the population adequately.
Diagnosis and care of Rb patients in Mexico can also be improved by the
establishment of a national Rb registry and a national early detection program,
and by increased use of the national treatment protocol.
PMID- 29364814
TI - Ages and Stages Questionnaire: a global screening scale.
AB - With standardized screening tools, research studies have shown that developmental
disabilities can be detected reliably and with validity in children as young as 4
months of age by using the instruments such as the Ages and Stages Questionnaire.
In this review, we will focus on one tool, the Ages and Stages Questionnaire, to
illustrate the usefulness of developmental screening across the globe.
PMID- 29364815
TI - [Percutaneous closure of ductus arteriosus through an arteriovenous loop in a
patient with scimitar syndrome].
AB - BACKGROUND: Scimitar syndrome consists in a rare malformation characterized by a
partial abnormal connection in one or both right pulmonary veins to the inferior
vena cava, right lung hypoplasia and systemic circulation from the descending
aorta. Scimitar syndrome is occasionally associated to other congenital
malformations, such as patent ductus arteriosus (PDA). CASE REPORT: We report a 4
year-old patient with "adult" variety of scimitar syndrome associated to
symptomatic PDA, which was successfully occluded using retrograde guidewire
established femoral arteriovenous loop with an Amplatzer(r) PDA occluder, without
complications. CONCLUSIONS: Scimitar syndrome is complex and requires a complete
hemodynamic study for the determination of the appropriate treatment. Pulmonary
arterial hypertension is a factor associated with poor prognosis.
PMID- 29364817
TI - [Parameter fitting for cochlear implant].
AB - Programming a patient with cochlear impant follows a standardized and
individualized protocol, although there is a percentage of users in which, for
some reason, it is not possible to establish appropriate levels of stimulation.
In these patients, the audiologist has to make adjustments in some special
parameters such as a change in the strategy, stimulation rate, pulse width, among
others, in order to obtain an auditory performance as expected.
PMID- 29364816
TI - [Telangiectatic osteosarcoma in an infant].
AB - BACKGROUND: Osteosarcoma is one of the most common types of cancer in childhood
and adolescence and it is the most common malignant bone tumor in this group of
age. Osteosarcoma is frequently found in long bones of the extremities. There are
very few cases described in children under 5 years of age, and according to this
review, none in infants. The telangiectatic variant is uncommon, and there are no
reported cases before preschool age. CASE REPORT: A 10-month-old female infant
with a lithic tumor of the ninth right rib, which was classified after resection
as a telangiectatic osteosarcoma, is presented. CONCLUSIONS: Telangiectatic
osteosarcoma in the pediatric age is very uncommon. To date, the patient has
presented good response to treatment, although the prognosis and survival of this
condition is unknown.
PMID- 29364819
TI - Traditional Ecological Knowledge: A Different Perspective on Environmental
Health.
PMID- 29364818
TI - [Origins of institutional Pediatrics: Maternity and Childhood Hospital of Mexico
City in the nineteenth century].
AB - In June of 1866, the empress Carlota founded the Maternity House in the
Department of Secret Births at the Hospice of the Poor. Upon the reinstatement of
a republican government, Dr. Ramon Pacheco was appointed director of the
Maternity House. Shortly after, in February of 1868, Dr. Luis Fernandez Gallardo
established a pavilion for sick children in the Hospital of San Andres. After
realizing this pavilion didn't have the adequate conditions to operate properly,
and in the need of a children's hospital in Mexico City, Dr. Pacheco merged both
institutions in April 2, 1869 -with the help of Ms. Luciana Arrazola- and founded
the Maternity and Childhood Hospital, the first institution for the care of ill
children in the independent Mexico. Ever since it was founded, Dr. Eduardo
Liceaga was in charge of the children's health. Later, with the help of the
presidents Juarez, Lerdo de Tejada and Diaz, he was able to consolidate the
hospital in academic and health services aspects. This noble institution closed
its doors on February 5, 1905, upon its incorporation to the General Hospital of
Mexico, after 36 years of working for the welfare of Mexican children.
PMID- 29364821
TI - Effects of protein-coated nanofibers on conformation of gingival fibroblast
spheroids: potential utility for connective tissue regeneration.
AB - Deep wounds in the gingiva caused by trauma or surgery require a rapid and robust
healing of connective tissues. We propose utilizing gas-brushed nanofibers coated
with collagen and fibrin for that purpose. Our hypotheses are that protein-coated
nanofibers will: (i) attract and mobilize cells in various spatial orientations,
and (ii) regulate the expression levels of specific extracellular matrix (ECM)
associated proteins, determining the initial conformational nature of dense and
soft connective tissues. Gingival fibroblast monolayers and 3D spheroids were
cultured on ECM substrate and covered with gas-blown poly-(DL-lactide-co
glycolide) (PLGA) nanofibers (uncoated/coated with collagen and fibrin). Cell
attraction and rearrangement was followed by F-actin staining and confocal
microscopy. Thicknesses of the cell layers, developed within the nanofibers, were
quantified by ImageJ software. The expression of collagen1alpha1 chain
(Col1alpha1), fibronectin, and metalloproteinase 2 (MMP2) encoding genes was
determined by quantitative reverse transcription analysis. Collagen- and fibrin-
coated nanofibers induced cell migration toward fibers and supported cellular
growth within the scaffolds. Both proteins affected the spatial rearrangement of
fibroblasts by favoring packed cell clusters or intermittent cell spreading.
These cell arrangements resembled the structural characteristic of dense and soft
connective tissues, respectively. Within three days of incubation, fibroblast
spheroids interacted with the fibers, and grew robustly by increasing their
thickness compared to monolayers. While the ECM key components, such as
fibronectin and MMP2 encoding genes, were expressed in both protein groups,
Col1alpha1 was predominantly expressed in bundled fibroblasts grown on collagen
fibers. This enhanced expression of collagen1 is typical for dense connective
tissue. Based on results of this study, our gas-blown, collagen- and fibrin
coated PLGA nanofibers are viable candidates for engineering soft and dense
connective tissues with the required structural characteristics and functions
needed for wound healing applications. Rapid regeneration of these layers should
enhance healing of open wounds in a harsh oral environment.
PMID- 29364820
TI - Air Pollution and Performance-Based Physical Functioning in Dutch Older Adults.
AB - BACKGROUND: Functional limitations are a major cause for needing care and
institutionalization among older adults. Exposure to air pollution has been
suggested to be associated with increased functional limitations in older people.
OBJECTIVE: Our objective was to assess the association between air pollution and
physical functioning in Dutch older adults. METHODS: We analyzed data on
performance-based (walking speed, ability to rise from a chair, putting on and
taking off a cardigan, balance test) and self-reported physical functioning for
1,762 participants of the Longitudinal Aging Study Amsterdam, who participated in
measurement cycles performed in 2005/2006, 2008/2009, and 2011/2012. Annual
average outdoor air pollution concentrations [nitrogen dioxide (NO2), nitrogen
oxides (NOx), particulate matter with diameters <=2.5MUm (PM2.5), <=10MUm (PM10),
and 2.5-10MUm (PMcoarse), and PM2.5 absorbance] at the home address at the start
of the first measurement cycle were estimated using land-use regression models.
Analyses were performed using mixed models with random participant intercepts
adjusting for potential confounders. RESULTS: Exposure to most air pollutants was
associated with reduced performance-based physical functioning; for example, an
interquartile range increase in NO2 exposure was associated with a 0.22 (95%
confidence interval: 0.03, 0.42) lower performance test score in fully adjusted
models, equivalent to the difference in performance score between participants
who differed by 9 mo in age. Exposure to air pollution was generally not
statistically significantly associated with self-reported functional limitations,
and not associated with a faster decline in performance-based physical
functioning over the study period. CONCLUSION: This study suggests that exposure
to air pollution may adversely affect physical performance of older adults in the
Netherlands. https://doi.org/10.1289/EHP2239.
PMID- 29364822
TI - Anticipating the puck.
PMID- 29364823
TI - 2017 JCO orthodontic practice study: Part 3 practice growth and staff data.
PMID- 29364824
TI - Weekly aligner changes to improve Invisalign treatment efficiency.
PMID- 29364825
TI - Pain and quality of life in patients undergoing guided piezocorticision- assisted
orthodontic treatment.
PMID- 29364826
TI - Two-phase treatment of anterior open bite.
PMID- 29364827
TI - Multidisciplinary management of post-ankylosis malocclusion and mandibular
deformity.
PMID- 29364828
TI - Multipurpose ligation technique for elastomeric chain.
PMID- 29364829
TI - The Integrative Method Based on the Module-Network for Identifying Driver Genes
in Cancer Subtypes.
AB - With advances in next-generation sequencing(NGS) technologies, a large number of
multiple types of high-throughput genomics data are available. A great challenge
in exploring cancer progression is to identify the driver genes from the variant
genes by analyzing and integrating multi-types genomics data. Breast cancer is
known as a heterogeneous disease. The identification of subtype-specific driver
genes is critical to guide the diagnosis, assessment of prognosis and treatment
of breast cancer. We developed an integrated frame based on gene expression
profiles and copy number variation (CNV) data to identify breast cancer subtype
specific driver genes. In this frame, we employed statistical machine-learning
method to select gene subsets and utilized an module-network analysis method to
identify potential candidate driver genes. The final subtype-specific driver
genes were acquired by paired-wise comparison in subtypes. To validate
specificity of the driver genes, the gene expression data of these genes were
applied to classify the patient samples with 10-fold cross validation and the
enrichment analysis were also conducted on the identified driver genes. The
experimental results show that the proposed integrative method can identify the
potential driver genes and the classifier with these genes acquired better
performance than with genes identified by other methods.
PMID- 29364830
TI - Genome-Wide Identification and Comparative Analysis of the 3-Hydroxy-3
methylglutaryl Coenzyme A Reductase (HMGR) Gene Family in Gossypium.
AB - Terpenes are the largest and most diverse class of secondary metabolites in
plants and play a very important role in plant adaptation to environment. 3
Hydroxy-3-methylglutaryl coenzyme A reductase (HMGR) is a rate-limiting enzyme in
the process of terpene biosynthesis in the cytosol. Previous study found the HMGR
genes underwent gene expansion in Gossypium raimondii, but the characteristics
and evolution of the HMGR gene family in Gossypium genus are unclear. In this
study, genome-wide identification and comparative study of HMGR gene family were
carried out in three Gossypium species with genome sequences, i.e., G. raimondii,
Gossypium arboreum, and Gossypium hirsutum. In total, nine, nine and 18 HMGR
genes were identified in G. raimondii, G. arboreum, and G. hirsutum,
respectively. The results indicated that the HMGR genes underwent gene expansion
and a unique gene cluster containing four HMGR genes was found in all the three
Gossypium species. The phylogenetic analysis suggested that the expansion of HMGR
genes had occurred in their common ancestor. There was a pseudogene that had a 10
bp deletion resulting in a frameshift mutation and could not be translated into
functional proteins in G. arboreum and the A-subgenome of G. hirsutum. The
expression profiles of the two pseudogenes showed that they had tissue-specific
expression. Additionally, the expression pattern of the pseudogene in the A
subgenome of G. hirsutum was similar to its paralogous gene in the D-subgenome of
G. hirsutum. Our results provide useful information for understanding cytosolic
terpene biosynthesis in Gossypium species.
PMID- 29364831
TI - Exploring the Psoriatic Arthritis Proteome in Search of Novel Biomarkers.
AB - Psoriatic arthritis (PsA) is an inflammatory arthritis which develops in up to
one-third of patients suffering from the cutaneous disorder, psoriasis. The
complex and heterogeneous nature of PsA renders it difficult to diagnose, leading
to poor outcomes and, therefore, warrants an examination into soluble biomarkers,
which may facilitate early detection of the disease. Protein biomarkers are a
dynamic resource of pathophysiological information able to provide an immediate
reflection of pathological changes caused by disease. Investigations of the serum
and synovial fluid of PsA patients has provided new insights into the molecular
basis of this disease and led to the identification of sensitive diagnostic and
prognostic biomarkers. The collection of novel PsA biomarkers identified through
proteomic studies has been reviewed below.
PMID- 29364832
TI - Dielectric, Piezoelectric, and Vibration Properties of the LiF-Doped
(Ba0.95Ca0.05)(Ti0.93Sn0.07)O3 Lead-Free Piezoceramic Sheets.
AB - By the conventional solid state reaction method, a small amount of lithium
fluoride (LiF) was used as the sintering promoter to improve the sintering and
piezoelectric characteristics of (Ba0.95Ca0.05)(Ti0.93Sn0.07)O3 (BCTS) lead-free
piezoceramic sheets. Using X-ray diffraction (XRD) and a scanning electron
microscope (SEM), the inferences of the crystalline and surface microstructures
were obtained and analyzed. Then, the impedance analyzer and d33-meter were used
to measure the dielectric and piezoelectric characteristics. In this study, the
optimum sintering temperature of the BCTS sheets decreased from 1450 degrees C
to 1390 degrees C due to LiF doping. For the 0.07 wt % LiF-doped BCTS sheets
sintered at 1390 degrees C, the piezoelectric constant (d33) is 413 pC/N, the
electric-mechanical coupling coefficient (kp) is 47.5%, the dielectric loss (tan
delta) is 3.9%, and the dielectric constant (epsilonr) is 8100, which are all
close to or even better than that of the pure undoped BCTS ceramics. The Curie
temperature also improved, from 85 degrees C for pure BCTS to 140 degrees C for
BCTS-0.07 LiF sheets. Furthermore, by using the vibration system and fixing 1.5 g
tip mass at the end of the sheets, as the vibration frequency is 20 Hz, the
proposed piezoelectric ceramic sheets also reveal a good energy harvesting
performance at the maximum output peak voltage of 4.6 V, which is large enough
and can be applied in modern low-power electronic products.
PMID- 29364833
TI - Hydrogels for Hydrophobic Drug Delivery. Classification, Synthesis and
Applications.
AB - Hydrogels have been shown to be very useful in the field of drug delivery due to
their high biocompatibility and ability to sustain delivery. Therefore, the
tuning of their properties should be the focus of study to optimise their
potential. Hydrogels have been generally limited to the delivery of hydrophilic
drugs. However, as many of the new drugs coming to market are hydrophobic in
nature, new approaches for integrating hydrophobic drugs into hydrogels should be
developed. This article discusses the possible new ways to incorporate
hydrophobic drugs within hydrogel structures that have been developed through
research. This review describes hydrogel-based systems for hydrophobic compound
delivery included in the literature. The section covers all the main types of
hydrogels, including physical hydrogels and chemical hydrogels. Additionally,
reported applications of these hydrogels are described in the subsequent
sections.
PMID- 29364835
TI - Effective Capture of Carbon Dioxide Using Hydrated Sodium Carbonate Powders.
AB - The emission of CO2 has been considered a major cause of greenhouse effects and
global warming. The current CO2 capture approaches have their own advantages and
weaknesses. We found that free-flowing hydrated sodium carbonate (Na2CO3) powders
with 30 wt % water can achieve a very high CO2 sorption capacity of 282 mg/g
within 60 min and fast CO2 uptake (90% saturation uptake within 16 min). The
results suggest that the alkaline solution resulting from the dissolution of
partial Na2CO3 can freely attach onto the hydrated Na2CO3 particles, which
provides an excellent gas-liquid interface for CO2 capture, leading to
significantly enhanced CO2 sorption capacity and kinetics.
PMID- 29364834
TI - Quercetin Suppresses CYR61-Mediated Multidrug Resistance in Human Gastric
Adenocarcinoma AGS Cells.
AB - Cysteine-rich angiogenic inducer 61 (CYR61) is an extracellular matrix-associated
protein involved in survival, tumorigenesis, and drug resistance. Therefore, we
examined the effects of flavones against CYR61-overexpressing human gastric
adenocarcinoma AGS (AGS-cyr61) cells, which show remarkable resistance to 5
fluorouracil (5-FU), adriamycin (ADR), tamoxifen (TAM), paclitaxel (PAC), and
docetaxel (DOC). Among the tested flavones, quercetin had the lowest 50%
inhibitory concentration (IC50) and significantly reduced the viability of AGS
cyr61 cells compared with AGS cells. Quercetin: (1) reduced multidrug resistance
associated protein 1 and nuclear factor (NF)-kappa B p65 subunit levels; (2)
reversed multidrug resistance (MDR); (3) inhibited colony formation and induced
caspase-dependent apoptosis; and (4) suppressed migration and down-regulated
epithelial-mesenchymal transition-related proteins in AGS-cyr61. Moreover, AGS
cyr61 cells treated with quercetin concentrations close to the IC50 and
simultaneously treated with 5-FU or ADR in the sub-lethal range showed strong
synergism between quercetin and these two drugs. These findings indicate that
CYR61 is a potential regulator of drug resistance and that quercetin may be a
novel agent for improving the efficacy of anticancer drugs in AGS-cyr61 cells.
PMID- 29364836
TI - A Stretchable Alternating Current Electroluminescent Fiber.
AB - Flexible, stretchable electroluminescent fibers are of significance to meet the
escalating requirements of increasing complexity and multifunctionality of smart
electronics. We report a stretchable alternating current electroluminescent
(ACEL) fiber by a low-cost and all solution-processed scalable process. The ACEL
fiber provides high stretchability, decent light-emitting performance, with
excellent stability and nearly zero hysteresis. It can be stretched up to 80%
strain. Our ACEL fiber device maintained a stable luminance for over 6000 stretch
release cycles at 50% strain. The mechanical stretchability and optical stability
of our ACEL fiber device provides new possibilities towards next-generation
stretchable displays, electronic textiles, advanced biomedical imaging and
lighting, conformable visual readouts in arbitrary shapes, and novel health
monitoring devices.
PMID- 29364837
TI - Volumetric Modulated Arc (Radio) Therapy in Pets Treatment: The "La Cittadina
Fondazione" Experience.
AB - Volumetric Modulated Arc Therapy (VMAT) is a modern technique, widely used in
human radiotherapy, which allows a high dose to be delivered to tumor volumes and
low doses to the surrounding organs at risk (OAR). Veterinary clinics takes
advantage of this feature due to the small target volumes and distances between
the target and the OAR. Sparing the OAR permits dose escalation, and
hypofractionation regimens reduce the number of treatment sessions with a simpler
manageability in the veterinary field. Multimodal volumes definition is mandatory
for the small volumes involved and a positioning device precisely reproducible
with a setup confirmation is needed before each session for avoiding missing the
target. Additionally, the elaborate treatment plan must pursue hard constraints
and objectives, and its feasibility must be evaluated with a per patient quality
control. The aim of this work is to report results with regard to brain
meningiomas and gliomas, trigeminal nerve tumors, brachial plexus tumors, adrenal
tumors with vascular invasion and rabbit thymomas, in comparison with literature
to determine if VMAT is a safe and viable alternative to surgery or chemotherapy
alone, or as an adjuvant therapy in pets.
PMID- 29364838
TI - Informing Efforts to Develop Nitroreductase for Amine Production.
AB - Nitroreductases (NRs) hold promise for converting nitroaromatics to aromatic
amines. Nitroaromatic reduction rate increases with Hammett substituent constant
for NRs from two different subgroups, confirming substrate identity as a key
determinant of reactivity. Amine yields were low, but compounds yielding amines
tend to have a large pi system and electron withdrawing substituents. Therefore,
we also assessed the prospects of varying the enzyme. Several different subgroups
of NRs include members able to produce aromatic amines. Comparison of four NR
subgroups shows that they provide contrasting substrate binding cavities with
distinct constraints on substrate position relative to the flavin. The unique
architecture of the NR dimer produces an enormous contact area which we propose
provides the stabilization needed to offset the costs of insertion of the active
sites between the monomers. Thus, we propose that the functional diversity
included in the NR superfamily stems from the chemical versatility of the flavin
cofactor in conjunction with a structure that permits tremendous active site
variability. These complementary properties make NRs exceptionally promising
enzymes for development for biocatalysis in prodrug activation and conversion of
nitroaromatics to valuable aromatic amines. We provide a framework for
identifying NRs and substrates with the greatest potential to advance.
PMID- 29364839
TI - The Functional Amyloid Curli Protects Escherichia coli against Complement
Mediated Bactericidal Activity.
AB - Escherichia coli strains may be beneficial or pathogenic. Many E. coli strains
that cause human disease, especially those responsible for bacteremia and sepsis,
express virulence factors that impart resistance to the complement system. The
bacterial amyloid curli functions in bacterial adherence and enhances the
formation of biofilms. Survival of curli-producing parental and curli-deficient
mutant E. coli in the context of a human complement response was evaluated using
an in vivo murine model of bacteremia. Results showed that curli production
enhanced E. coli survival, which suggests that curli defends against complement
mediated killing. This observation was supported by the results of in vitro
assays comparing bacterial survival in human serum. Experiments in which the
classical or alternative complement pathways were blocked indicated that the
classical pathway is the major contributor to complement activation and that
curli inhibits this activity. Our analyses indicate that curli does not appear to
play a role in protecting E. coli against alternative pathway complement
activation. We found that curli increases binding of E. coli cells to complement
component Complement component 1q (C1q) but does not affect Complement component
3b (C3b) binding. We conclude that curli defends E. coli against complement
mediated killing via inhibition of the classical complement pathway.
PMID- 29364840
TI - Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin
Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions.
AB - This work investigated the suppression of photocatalytic activity of titanium
dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films
deposited via an atomic-layer-deposition-type process using trimethylaluminum
(TMA) and H2O as precursors. The deposition was performed on multiple grams of
TiO2 powder at room temperature and atmospheric pressure in a fluidized bed
reactor, resulting in the growth of uniform and conformal Al2O3 films with
thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited
excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a
thickness of 1 nm could efficiently suppress the photocatalytic activities of
rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical
properties. In addition, the influence of high-temperature annealing on the
properties of the Al2O3 layers was investigated, revealing the possibility of
achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and
simple route to coating Al2O3 films on TiO2 pigment powders at the multigram
scale, and showed great potential for large-scale production development.
PMID- 29364841
TI - Phytochemical and Pharmacological Properties of Capparis spinosa as a Medicinal
Plant.
AB - Over the past decades, there has been increasing attention on polyphenol-rich
foods including fruits and vegetables on human health. Polyphenols have been
shown to possess some potential beneficial effects on human health and they are
widely found in foods consumed by populations worldwide. Capparis spinosa (C.
spinosa) is an important source of different secondary metabolites of interest to
humankind. The traditional therapeutic applications of C. spinosa have been
reported in Ancient Romans. Numerous bioactive phytochemical constituents have
been isolated and identified from different parts (aerial parts, roots and seeds)
of C. spinosa which are responsible alone or in combination for its various
pharmacological activities. Therefore, this paper is a review of publications on
the phytochemical and pharmacological properties of C. spinosa. There is
insufficient evidence to suggest that C. spinosa or its extracts are able to
improve the biomarkers of cardiovascular disease and diabetes. However, these
studies used different parts of C. spinosa plant, methods of preparation and
types of solvents, which cause the evaluation of activity of C. spinosa difficult
and involve quite heterogeneous data. There is also evidence, although limited,
to suggest benefits of C. spinosa in improving human health. Therefore, the
relationship between C. spinosa and improved human health outcomes requires
further study.
PMID- 29364844
TI - Application of High-Density Electropulsing to Improve the Performance of Metallic
Materials: Mechanisms, Microstructure and Properties.
AB - The technology of high-density electropulsing has been applied to increase the
performance of metallic materials since the 1990s and has shown significant
advantages over traditional heat treatment in many aspects. However, the
microstructure changes in electropulsing treatment (EPT) metals and alloys have
not been fully explored, and the effects vary significantly on different
material. When high-density electrical pulses are applied to metals and alloys,
the input of electric energy and thermal energy generally leads to structural
rearrangements, such as dynamic recrystallization, dislocation movements and
grain refinement. The enhanced mechanical properties of the metals and alloys
after high-density electropulsing treatment are reflected by the significant
improvement of elongation. As a result, this technology holds great promise in
improving the deformation limit and repairing cracks and defects in the plastic
processing of metals. This review summarizes the effect of high-density
electropulsing treatment on microstructural properties and, thus, the enhancement
in mechanical strength, hardness and corrosion performance of metallic materials.
It is noteworthy that the change of some properties can be related to the
structure state before EPT (quenched, annealed, deformed or others). The
mechanisms for the microstructural evolution, grain refinement and formation of
oriented microstructures of different metals and alloys are presented. Future
research trends of high-density electrical pulse technology for specific metals
and alloys are highlighted.
PMID- 29364845
TI - High-Quality GaSe Single Crystal Grown by the Bridgman Method.
AB - A high-quality GaSe single crystal was grown by the Bridgman method. The X-ray
rocking curve for the studied GaSe sample is symmetric and the Full Width at Half
Maximum (FWHM) is only 46 arcs, which is the smallest value ever reported for
GaSe crystals. The IR-transmittance is about 66% in the range from 500 to 4000 cm
1. The photoluminescence spectrum at 9.2 K shows a symmetric and sharp excition
peak in 2.1046 eV. The results indicate that the as-grown GaSe crystal is of high
crystalline quality. The as-grown epsilon -GaSe crystal has a p-type conductance
with the resistivity of 103 Omega/cm, and the Hall mobility is ~25 cm2 V-1 s-1.
Few-layer GaSe crystals were prepared through mechanical exfoliation from this
high-quality crystal sample. Few-layer GaSe-based photodetectors were fabricated,
which exhibit an on/off ratio of 104, a field-effect differential mobility of 0.4
cm2 V-1 s-1, and have a fast response time less than 60 ms under light
illumination.
PMID- 29364843
TI - Proteomic Analyses of the Unexplored Sea Anemone Bunodactis verrucosa.
AB - Cnidarian toxic products, particularly peptide toxins, constitute a promising
target for biomedicine research. Indeed, cnidarians are considered as the largest
phylum of generally toxic animals. However, research on peptides and toxins of
sea anemones is still limited. Moreover, most of the toxins from sea anemones
have been discovered by classical purification approaches. Recently, high
throughput methodologies have been used for this purpose but in other Phyla.
Hence, the present work was focused on the proteomic analyses of whole-body
extract from the unexplored sea anemone Bunodactis verrucosa. The proteomic
analyses applied were based on two methods: two-dimensional gel electrophoresis
combined with MALDI-TOF/TOF and shotgun proteomic approach. In total, 413
proteins were identified, but only eight proteins were identified from gel-based
analyses. Such proteins are mainly involved in basal metabolism and biosynthesis
of antibiotics as the most relevant pathways. In addition, some putative toxins
including metalloproteinases and neurotoxins were also identified. These findings
reinforce the significance of the production of antimicrobial compounds and
toxins by sea anemones, which play a significant role in defense and feeding. In
general, the present study provides the first proteome map of the sea anemone B.
verrucosa stablishing a reference for future studies in the discovery of new
compounds.
PMID- 29364846
TI - Synthesis and Optical Properties of Near-Infrared meso-Phenyl-Substituted
Symmetric Heptamethine Cyanine Dyes.
AB - Heptamethine cyanine dyes are a class of near infrared fluorescence (NIRF) probes
of great interest in bioanalytical and imaging applications due to their
modifiability, allowing them to be tailored for particular applications.
Generally, modifications at the meso-position of these dyes are achieved through
Suzuki-Miyaura C-C coupling and SRN1 nucleophilic substitution of the chlorine
atom at the meso-position of the dye. Herein, a series of 15 meso phenyl
substituted heptamethine cyanines was synthesized utilizing a modified dianil
linker. Their optical properties, including molar absorptivity, fluorescence,
Stokes shift, and quantum yield were measured. The HSA binding affinities of two
representative compounds were measured and compared to that of a series of
trimethine cyanines previously synthesized by our lab. The results indicate that
the binding of these compounds to HSA is not only dependent on hydrophobicity,
but may also be dependent on steric interferences in the binding site and
structural dynamics of the NIRF compounds.
PMID- 29364847
TI - Sensing Performance Analysis on Quartz Tuning Fork-Probe at the High Order
Vibration Mode for Multi-Frequency Scanning Probe Microscopy.
AB - Multi-frequency scanning near-field optical microscopy, based on a quartz tuning
fork-probe (QTF-p) sensor using the first two orders of in-plane bending
symmetrical vibration modes, has recently been developed. This method can
simultaneously achieve positional feedback (based on the 1st in-plane mode called
the low mode) and detect near-field optically induced forces (based on the 2nd in
plane mode called the high mode). Particularly, the high mode sensing performance
of the QTF-p is an important issue for characterizing the tip-sample interactions
and achieving higher resolution microscopic imaging but the related researches
are insufficient. Here, we investigate the vibration performance of QTF-p at high
mode based on the experiment and finite element method. The frequency spectrum
characteristics are obtained by our homemade laser Doppler vibrometer system. The
effects of the properties of the connecting glue layer and the probe features on
the dynamic response of the QTF-p sensor at the high mode are investigated for
optimization design. Finally, compared with the low mode, an obvious improvement
of quality factor, of almost 50%, is obtained at the high mode. Meanwhile, the
QTF-p sensor has a high force sensing sensitivity and a large sensing range at
the high mode, indicating a broad application prospect for force sensing.
PMID- 29364842
TI - Natural Dietary Pigments: Potential Mediators against Hepatic Damage Induced by
Over-The-Counter Non-Steroidal Anti-Inflammatory and Analgesic Drugs.
AB - Over-the-counter (OTC) analgesics are among the most widely prescribed and
purchased drugs around the world. Most analgesics, including non-steroidal anti
inflammatory drugs (NSAIDs) and acetaminophen, are metabolized in the liver. The
hepatocytes are responsible for drug metabolism and detoxification. Cytochrome
P450 enzymes are phase I enzymes expressed mainly in hepatocytes and they account
for ~75% of the metabolism of clinically used drugs and other xenobiotics. These
metabolic reactions eliminate potentially toxic compounds but, paradoxically,
also result in the generation of toxic or carcinogenic metabolites. Cumulative or
overdoses of OTC analgesic drugs can induce acute liver failure (ALF) either
directly or indirectly after their biotransformation. ALF is the result of
massive death of hepatocytes induced by oxidative stress. There is an increased
interest in the use of natural dietary products as nutritional supplements and/or
medications to prevent or cure many diseases. The therapeutic activity of natural
products may be associated with their antioxidant capacity, although additional
mechanisms may also play a role (e.g., anti-inflammatory actions). Dietary
antioxidants such as flavonoids, betalains and carotenoids play a preventive role
against OTC analgesics-induced ALF. In this review, we will summarize the
pathobiology of OTC analgesic-induced ALF and the use of natural pigments in its
prevention and therapy.
PMID- 29364848
TI - An EEG-Based Person Authentication System with Open-Set Capability Combining Eye
Blinking Signals.
AB - The electroencephalogram (EEG) signal represents a subject's specific brain
activity patterns and is considered as an ideal biometric given its superior
forgery prevention. However, the accuracy and stability of the current EEG-based
person authentication systems are still unsatisfactory in practical application.
In this paper, a multi-task EEG-based person authentication system combining eye
blinking is proposed, which can achieve high precision and robustness. Firstly,
we design a novel EEG-based biometric evoked paradigm using self- or non-self
face rapid serial visual presentation (RSVP). The designed paradigm could obtain
a distinct and stable biometric trait from EEG with a lower time cost. Secondly,
the event-related potential (ERP) features and morphological features are
extracted from EEG signals and eye blinking signals, respectively. Thirdly,
convolutional neural network and back propagation neural network are severally
designed to gain the score estimation of EEG features and eye blinking features.
Finally, a score fusion technology based on least square method is proposed to
get the final estimation score. The performance of multi-task authentication
system is improved significantly compared to the system using EEG only, with an
increasing average accuracy from 92.4% to 97.6%. Moreover, open-set
authentication tests for additional imposters and permanence tests for users are
conducted to simulate the practical scenarios, which have never been employed in
previous EEG-based person authentication systems. A mean false accepted rate
(FAR) of 3.90% and a mean false rejected rate (FRR) of 3.87% are accomplished in
open-set authentication tests and permanence tests, respectively, which
illustrate the open-set authentication and permanence capability of our systems.
PMID- 29364849
TI - Multiple Attribute Group Decision-Making Methods Based on Trapezoidal Fuzzy Two
Dimensional Linguistic Partitioned Bonferroni Mean Aggregation Operators.
AB - In this paper, we investigate multiple attribute group decision making (MAGDM)
problems where decision makers represent their evaluation of alternatives by
trapezoidal fuzzy two-dimensional uncertain linguistic variable. To begin with,
we introduce the definition, properties, expectation, operational laws of
trapezoidal fuzzy two-dimensional linguistic information. Then, to improve the
accuracy of decision making in some case where there are a sort of
interrelationship among the attributes, we analyze partition Bonferroni mean
(PBM) operator in trapezoidal fuzzy two-dimensional variable environment and
develop two operators: trapezoidal fuzzy two-dimensional linguistic partitioned
Bonferroni mean (TF2DLPBM) aggregation operator and trapezoidal fuzzy two
dimensional linguistic weighted partitioned Bonferroni mean (TF2DLWPBM)
aggregation operator. Furthermore, we develop a novel method to solve MAGDM
problems based on TF2DLWPBM aggregation operator. Finally, a practical example is
presented to illustrate the effectiveness of this method and analyses the impact
of different parameters on the results of decision-making.
PMID- 29364850
TI - Protective Immunity Induced by DNA Vaccination against Ranavirus Infection in
Chinese Giant Salamander Andrias davidianus.
AB - Andrias davidianus ranavirus (ADRV) is an emerging viral pathogen that causes
severe systemic hemorrhagic disease in Chinese giant salamanders. There is an
urgent need for developing an effective vaccine against this fatal disease. In
this study, DNA vaccines containing the ADRV 2L gene (pcDNA-2L) and the 58L gene
(pcDNA-58L) were respectively constructed, and their immune protective effects
were evaluated in Chinese giant salamanders. In vitro and in vivo expression of
the vaccine plasmids were confirmed in transfected cells and muscle tissues of
vaccinated Chinese giant salamanders by using immunoblot analysis or RT-PCR.
Following ADRV challenge, the Chinese giant salamanders vaccinated with pcDNA-2L
showed a relative percent survival (RPS) of 66.7%, which was significant higher
than that in Chinese giant salamanders immunized with pcDNA-58L (RPS of 3.3%).
Moreover, the specific antibody against ADRV was detected in Chinese giant
salamanders vaccinated with pcDNA-2L at 14 and 21 days post-vaccination by
indirect enzyme-linked immunosorbent assay (ELISA). Transcriptional analysis
revealed that the expression levels of immune-related genes including type I
interferon (IFN), myxovirus resistance (Mx), major histocompatibility complex
class IA (MHCIA), and immunoglobulin M (IgM) were strongly up-regulated after
vaccination with pcDNA-2L. Furthermore, vaccination with pcDNA-2L significantly
suppressed the virus replication, which was seen by a low viral load in the
spleen of Chinese giant salamander survivals after ADRV challenge. These results
indicated that pcDNA-2L could induce a significant innate immune response and an
adaptive immune response involving both humoral and cell-mediated immunity that
conferred effective protection against ADRV infection, and might be a potential
vaccine candidate for controlling ADRV disease in Chinese giant salamanders.
PMID- 29364851
TI - Optimum Water Quality Monitoring Network Design for Bidirectional River Systems.
AB - Affected by regular tides, bidirectional water flows play a crucial role in
surface river systems. Using optimization theory to design a water quality
monitoring network can reduce the redundant monitoring nodes as well as save the
costs for building and running a monitoring network. A novel algorithm is
proposed to design an optimum water quality monitoring network for tidal rivers
with bidirectional water flows. Two optimization objectives of minimum pollution
detection time and maximum pollution detection probability are used in our
optimization algorithm. We modify the Multi-Objective Particle Swarm Optimization
(MOPSO) algorithm and develop new fitness functions to calculate pollution
detection time and pollution detection probability in a discrete manner. In
addition, the Storm Water Management Model (SWMM) is used to simulate hydraulic
characteristics and pollution events based on a hypothetical river system studied
in the literature. Experimental results show that our algorithm can obtain a
better Pareto frontier. The influence of bidirectional water flows to the network
design is also identified, which has not been studied in the literature. Besides
that, we also find that the probability of bidirectional water flows has no
effect on the optimum monitoring network design but slightly changes the mean
pollution detection time.
PMID- 29364852
TI - Workers' Exposure to Nano-Objects with Different Dimensionalities in R&D
Laboratories: Measurement Strategy and Field Studies.
AB - With the increasing interest in the potential benefits of nanotechnologies,
concern is still growing that they may present emerging risks for workers.
Various strategies have been developed to assess the exposure to nano-objects and
their agglomerates and aggregates (NOAA) in the workplace, integrating different
aerosol measurement instruments and taking into account multiple parameters that
may influence NOAA toxicity. The present study proposes a multi-metric approach
for measuring and sampling NOAA in the workplace, applied to three case studies
in laboratories each dedicated to materials with different shapes and
dimensionalities: graphene, nanowires, and nanoparticles. The study is part of a
larger project with the aim of improving risk management tools in nanomaterials
research laboratories. The harmonized methodology proposed by the Organization
for Economic Cooperation and Development (OECD) has been applied, including
information gathering about materials and processes, measurements with easy-to
use and hand-held real-time devices, air sampling with personal samplers, and off
line analysis using scanning electron microscopy. Significant values beyond which
an emission can be attributed to the NOAA production process were identified by
comparison of the particle number concentration (PNC) time series and the
corresponding background levels in the three laboratories. We explored the
relations between background PNC and microclimatic parameters. Morphological and
elemental analysis of sampled filters was done to identify possible emission
sources of NOAA during the production processes: rare particles, spherical, with
average diameter similar to the produced NOAA were identified in the
nanoparticles laboratory, so further investigation is recommended to confirm the
potential for worker exposure. In conclusion, the information obtained should
provide a valuable basis for improving risk management strategies in the
laboratory at work.
PMID- 29364853
TI - Examining the Impact of Maternal Individual Features on Children's Behavioral
Problems in Adoptive Families: The Role of Maternal Temperament and
Neurobiological Markers.
AB - The first year after adoption constitutes a sensitive period for both
strengthening the new emotional bond in the family and checking its appropriate
development by adoption services. A key variable for children's catch-up are
adoptive parents' socioemotional and individual features. The aim of this study
is to investigate links between adoptive mothers' individual features and
behavioral problems in their children in the first year after adoption placement,
by testing the moderating role of both age at adoption and maternal genetic
polymorphisms. Seventy-eight adoptive mothers completed temperament and genetic
measures. Mothers showed a specific pattern of interaction between basic
temperament traits and genetic markers in their assessment of children's
behavioral problems; dopamine D4 receptor gene and children's age at adoption are
two moderators in the association in which mothers' temperament was affecting the
evaluation of their children's behavioral problems. Findings highlight a still
undervalued area of parenting resources in the process of post-institutionalized
children's catch-up after adoption placement, by showing how individual features
count in the commonly measured variable of children's behavioral and emotional
problems. This could help in orienting identification and choice of key variables
for family assessment after adoption placement, thus contributing in fostering
children's healthy development.
PMID- 29364854
TI - Cytoprotective Mechanisms in Fatty Liver Preservation against Cold Ischemia
Injury: A Comparison between IGL-1 and HTK.
AB - Institute Goeorges Lopez 1 (IGL-1) and Histidine-Tryptophan-Ketoglutarate (HTK)
preservation solutions are regularly used in clinical for liver transplantation
besides University of Wisconsin (UW) solution and Celsior. Several clinical
trials and experimental works have been carried out comparing all the solutions,
however the comparative IGL-1 and HTK appraisals are poor; especially when they
deal with the underlying protection mechanisms of the fatty liver graft during
cold storage. Fatty livers from male obese Zucker rats were conserved for 24 h at
4 degrees C in IGL-1 or HTK preservation solutions. After organ recovery and
rinsing of fatty liver grafts with Ringer Lactate solution, we measured the
changes in mechanistic target of rapamycin (mTOR) signaling activation, liver
autophagy markers (Beclin-1, Beclin-2, LC3B and ATG7) and apoptotic markers
(caspase 3, caspase 9 and TUNEL). These determinations were correlated with the
prevention of liver injury (aspartate and alanine aminostransferase (AST/ALT),
histology) and mitochondrial damage (glutamate dehydrogenase (GLDH) and confocal
microscopy findings). Liver grafts preserved in IGL-1 solution showed a marked
reduction on p-TOR/mTOR ratio when compared to HTK. This was concomitant with
significant increased cyto-protective autophagy and prevention of liver
apoptosis, including inflammatory cytokines such as HMGB1. Together, our results
revealed that IGL-1 preservation solution better protected fatty liver grafts
against cold ischemia damage than HTK solution. IGL-1 protection was associated
with a reduced liver damage, higher induced autophagy and decreased apoptosis.
All these effects would contribute to limit the subsequent extension of
reperfusion injury after graft revascularization in liver transplantation
procedures.
PMID- 29364856
TI - New Fault Recognition Method for Rotary Machinery Based on Information Entropy
and a Probabilistic Neural Network.
AB - Feature recognition and fault diagnosis plays an important role in equipment
safety and stable operation of rotating machinery. In order to cope with the
complexity problem of the vibration signal of rotating machinery, a feature
fusion model based on information entropy and probabilistic neural network is
proposed in this paper. The new method first uses information entropy theory to
extract three kinds of characteristics entropy in vibration signals, namely,
singular spectrum entropy, power spectrum entropy, and approximate entropy. Then
the feature fusion model is constructed to classify and diagnose the fault
signals. The proposed approach can combine comprehensive information from
different aspects and is more sensitive to the fault features. The experimental
results on simulated fault signals verified better performances of our proposed
approach. In real two-span rotor data, the fault detection accuracy of the new
method is more than 10% higher compared with the methods using three kinds of
information entropy separately. The new approach is proved to be an effective
fault recognition method for rotating machinery.
PMID- 29364855
TI - Comparative Digital Gene Expression Analysis of Tissue-Cultured Plantlets of
Highly Resistant and Susceptible Banana Cultivarsin Response to Fusarium
oxysporum.
AB - Banana Fusarium wilt caused by Fusarium oxysporum f. sp. cubense (Foc) is one of
the most destructive soil-borne diseases. In this study, young tissue-cultured
plantlets of banana (Musa spp. AAA) cultivars differing in Foc susceptibility
were used to reveal their differential responses to this pathogen using digital
gene expression (DGE). Data were evaluated by various bioinformatic tools (Venn
diagrams, gene ontology (GO) annotation and Kyoto encyclopedia of genes and
genomes (KEGG) pathway analyses) and immunofluorescence labelling method to
support the identification of gene candidates determining the resistance of
banana against Foc. Interestingly, we have identified MaWRKY50 as an important
gene involved in both constitutive and induced resistance. We also identified new
genes involved in the resistance of banana to Foc, including several other
transcription factors (TFs), pathogenesis-related (PR) genes and some genes
related to the plant cell wall biosynthesis or degradation (e.g.,
pectinesterases, beta-glucosidases, xyloglucan endotransglucosylase/hydrolase and
endoglucanase). The resistant banana cultivar shows activation of PR-3 and PR-4
genes as well as formation of different constitutive cell barriers to restrict
spreading of the pathogen. These data suggest new mechanisms of banana resistance
to Foc.
PMID- 29364857
TI - Managing Exposure to Benzene and Total Petroleum Hydrocarbons at Two Oil
Refineries 1977-2014.
AB - Air concentrations of and inhalation exposure to total petroleum hydrocarbons
(TPH) and benzene was monitored separately at two oil refineries from 1977 to
2014. Prevention policies and control measures that may explain changes were
surveyed. The aim was to evaluate how the application of of Occupational Health
and Safety Assessment Series OHSAS 18001.04 principles as well as Environmental
protection Agency EPA and European Oil Company Organisation for Environment,
Health and Safety CONCAWE practices have influenced air concentrations. Benzene
air concentrations declined in 11 of 17 units, six of which were associated with
declining exposures. Benzene air concentrations declined across all units on
average by 46%. This amounts to an average yearly decline of 1.7%. TPH air
concentrations declined in 10 of 17 units, seven of which were associated with
declining exposures. The average decline in TPH air concentrations was 49%,
corresponding to 1.3% per year. As a result, average working day exposure in 10
of 17 units have declined significantly and today, benzene and TPH exposure in
most units are well below 10% of the current Occupational Exposure Limit
(OEL8h:s). A decline in air concentrations have coincided with consistent
implementation of control measures. Such measures include on-line monitoring of
leaks; benzene recovery; floating container roofs; improved valves and seals;
hermetic pumps; recovery of loading gases and instalment of torches in terminals;
cutback in coke combustion; a new production line spanning directly from the dock
to aromatics production; and recovery of loading gases in the doc. Other tools in
exposure management include personal leak monitors, on-line measurements,
monitoring campaigns, risk assessment, and availability and user training of
protective equipment. However, improvements are still needed. Hydrocarbon or
benzene air concentrations have not declined in 8 of 17 units, in some of which
concentrations exceed 10% of the relevant OEL8h value. In addition, for benzene
even 10% of the current OEL, 0.1 ppm, might still possess a risk. With this in
mind, methods to estimate exposure at the refineries need to be improved to
enable measuring benzene concentrations <0.1 ppm. Shut downs of the refinery have
been associated with peaks in exposure concentrations. Consequently, effort
should be placed on safe working methods pertaining to shutdowns. Also, the
connection and detachment of hoses continues to be problematic from the point of
view of controlling exposure.
PMID- 29364859
TI - Nanofiltration and Tight Ultrafiltration Membranes for the Recovery of
Polyphenols from Agro-Food By-Products.
AB - Pressure-driven membrane-based technologies represent a valid approach to reduce
the environmental pollution of several agro-food by-products. Recently, in
relation to the major interest for natural compounds with biological activities,
their use has been also addressed to the recovery, separation and fractionation
of phenolic compounds from such by-products. In particular, tight ultrafiltration
(UF) and nanolfiltration (NF) membranes have been recognized for their capability
to recover phenolic compounds from several types of agro-food by-products. The
separation capability of these membranes, as well as their productivity, depends
on multiple factors such as membrane material, molecular weight cut-off (MWCO)
and operating conditions (e.g., pressure, temperature, feed flow rate, volume
reduction factor, etc.). This paper aims at providing a critical overview of the
influence of these parameters on the recovery of phenolic compounds from agro
food by-products by using tight UF and NF membranes. The literature data are
analyzed and discussed in relation to separation processes, molecule properties,
membrane characteristics and other phenomena occurring in the process. Current
extraction methodologies of phenolic compounds from raw materials are also
introduced in order to drive the implementation of integrated systems for the
production of actractive phenolic formulations of potential interest as food
antioxidants.
PMID- 29364860
TI - Exploring the Framing of Animal Farming and Meat Consumption: On the Diversity of
Topics Used and Qualitative Patterns in Selected Demographic Contexts.
AB - In various contexts, people talk about animal farming and meat consumption using
different arguments to construct and justify their (non-)acceptability. This
article presents the results of an in-depth qualitative inquiry into the content
of and contextual patterns in the everyday-life framing regarding this issue,
performed among consumers in various settings in two extremes in the European
sphere: the Netherlands and Turkey. We describe the methodological steps of
collecting, coding, and organizing the variety of encountered framing topics, as
well as our search for symbolic convergence in groups of consumers from different
selected demographic contexts (country, urban-rural areas, gender, age, and
education level). The framing of animal farming and meat consumption in everyday
life is not a simple one-issue rational display of facts; people referred to a
vast range of topics in the categories knowledge, convictions, pronounced
behaviour, values, norms, interests, and feelings. Looking at framing in relation
to the researched demographic contexts, most patterns were found on the level of
topics; symbolic convergence in lines of reasoning and composite framing was less
prominent in groups based on single demographic contexts than anticipated. An
explanation for this lies in the complexity of frame construction, happening in
relation with multiple interdependent contextual features.
PMID- 29364861
TI - Soft Material-Enabled, Flexible Hybrid Electronics for Medicine, Healthcare, and
Human-Machine Interfaces.
AB - Flexible hybrid electronics (FHE), designed in wearable and implantable
configurations, have enormous applications in advanced healthcare, rapid disease
diagnostics, and persistent human-machine interfaces. Soft, contoured geometries
and time-dynamic deformation of the targeted tissues require high flexibility and
stretchability of the integrated bioelectronics. Recent progress in developing
and engineering soft materials has provided a unique opportunity to design
various types of mechanically compliant and deformable systems. Here, we
summarize the required properties of soft materials and their characteristics for
configuring sensing and substrate components in wearable and implantable devices
and systems. Details of functionality and sensitivity of the recently developed
FHE are discussed with the application areas in medicine, healthcare, and machine
interactions. This review concludes with a discussion on limitations of current
materials, key requirements for next generation materials, and new application
areas.
PMID- 29364863
TI - The AMP-Activated Protein Kinase Homolog Snf1 Concerts Carbon Utilization,
Conidia Production and the Biosynthesis of Secondary Metabolites in the Taxol
Producer Pestalotiopsis microspora.
AB - Highly conserved, the Snf1/AMPK is a central regulator of carbon metabolism and
energy production in the eukaryotes. However, its function in filamentous fungi
has not been well established. In this study, we reported functional
characterization of Snf1/AMPK in the growth, development and secondary metabolism
in the filamentous fungus Pestalotiopsis microspora. By deletion of the yeast
SNF1 homolog, we found that it regulated the utilization of carbon sources, e.g.,
sucrose, demonstrating a conserved function of this kinase in filamentous fungus.
Importantly, several novel functions of SNF1 were unraveled. For instance, the
deletion strain displayed remarkable retardation in vegetative growth and
pigmentation and produced a diminished number of conidia, even in the presence of
the primary carbon source glucose. Deletion of the gene caused damages in the
cell wall as shown by its hypersensitivities to Calcofluor white and Congo red,
suggesting a critical role of Snf1 in maintaining cell wall integrity.
Furthermore, the mutant strain Deltasnf1 was hypersensitive to stress, e.g.,
osmotic pressure (1 M sorbitol), drug G418 and heat shock, though the mechanism
remains to be illustrated. Significantly, disruption of the gene altered the
production of secondary metabolites. By high-performance liquid chromatography
(HPLC) profiling, we found that Deltasnf1 barely produced secondary metabolites,
e.g., the known product pestalotiollide B. This study suggests that Snf1 is a key
regulator in filamentous fungus Pestalotiopsis microspora concerting carbon
metabolism and the filamentous growth, conidiation, cell wall integrity, stress
tolerance and the biosynthesis of secondary metabolites.
PMID- 29364864
TI - Development and In Vitro Release of Isoniazid and Rifampicin-Loaded Bovine Serum
Albumin Nanoparticles.
AB - BACKGROUND Bovine serum albumin nanoparticles loaded with isoniazid and
rifampicin (INH-RFP-BSA-NPs) were prepared and their release characteristics were
studied in vitro. MATERIAL AND METHODS The INH-RFP-BSA-NPs were prepared by a
modified self-emulsion solvent diffusion method, with albumin and polylactic acid
used as carriers and to form the nanoparticles structure. Transmission electron
microscopy was used to observe the morphology of the INH-RFP-BSA-NPs. The size
distribution of the INH-RFP-BSA-NPs were assessed using a submicron particle-size
analyzer for drug loadings, and the coating rate of the INH-RFP-BSA-NPs was
measured by high-performance liquid chromatography. A dynamic membrane dialysis
method was used to study the in vitro release characteristics of the INH-RFP-BSA
NPs. RESULTS The INH-RFP-BSA-NPs were smooth, sphere-like, relatively uniform in
size, and well-dispersed, and the average diameter was 60.5+/-4.6 nm. Drug
loading and entrapment efficiencies were high, at 19.8% and 87.8% for isoniazid,
respectively, and 20.1% and 98.0% for rifampicin, respectively. Drug release was
slow and sustained with 97.02% INH cumulative release at 6 days, and full release
of RFP requiring 5 days. CONCLUSIONS INH-RFP-BSA-NPs exhibit uniform NP diameter,
good dispersion, high drug loading and encapsulation rates, and have sustained
release properties.
PMID- 29364865
TI - Age-dependent susceptibility to reovirus encephalitis in mice is influenced by
maturation of the type-I interferon response.
AB - BackgroundInfants and young children are particularly susceptible to viral
encephalitis; however, the mechanisms are unknown. We determined the age
dependent contribution of innate and adaptive immune functions to reovirus
induced encephalitis in mice.MethodsNewborn wild-type mice, 2-20 days of age,
were inoculated with reovirus or diluent and monitored for mortality, weight
gain, and viral load. Four- and fifteen-day-old IFNAR-/- and RAG2-/- mice were
inoculated with reovirus and similarly monitored.ResultsWeight gain was impaired
in mice inoculated with reovirus at 8 days of age or less. Clinical signs of
encephalitis were detected in mice inoculated at 10 days of age or less.
Mortality decreased when mice were inoculated after 6 days of age. Survival was
<=15% in wild type (WT), RAG2-/-, and IFNAR-/- mice inoculated at 4 days of age.
All WT mice, 92% of RAG2-/- mice, and only 48% of IFNAR-/- mice survived
following inoculation at 15 days of age.ConclusionsSusceptibility of mice to
reovirus-induced disease decreases between 6 and 8 days of age. Enhanced reovirus
virulence in IFNAR-/- mice relative to WT and RAG2-/- mice inoculated at 15 days
of age suggests that maturation of the type-I interferon response contributes to
age-related mortality following reovirus infection.
PMID- 29364862
TI - Genomic Diversity in the Endosymbiotic Bacterium Rhizobium leguminosarum.
AB - Rhizobium leguminosarum bv. viciae is a soil alpha-proteobacterium that
establishes a diazotrophic symbiosis with different legumes of the Fabeae tribe.
The number of genome sequences from rhizobial strains available in public
databases is constantly increasing, although complete, fully annotated genome
structures from rhizobial genomes are scarce. In this work, we report and analyse
the complete genome of R. leguminosarum bv. viciae UPM791. Whole genome
sequencing can provide new insights into the genetic features contributing to
symbiotically relevant processes such as bacterial adaptation to the rhizosphere,
mechanisms for efficient competition with other bacteria, and the ability to
establish a complex signalling dialogue with legumes, to enter the root without
triggering plant defenses, and, ultimately, to fix nitrogen within the host.
Comparison of the complete genome sequences of two strains of R. leguminosarum
bv. viciae, 3841 and UPM791, highlights the existence of different symbiotic
plasmids and a common core chromosome. Specific genomic traits, such as plasmid
content or a distinctive regulation, define differential physiological
capabilities of these endosymbionts. Among them, strain UPM791 presents unique
adaptations for recycling the hydrogen generated in the nitrogen fixation
process.
PMID- 29364866
TI - IFN-gamma-dependent epigenetic regulation instructs colitogenic
monocyte/macrophage lineage differentiation in vivo.
AB - Colonic macrophages induce pathogenic inflammation against commensal bacteria,
leading to inflammatory bowel disease (IBD). Although the ontogeny of colonic
macrophages has been well studied in the past decade, how macrophages gain
colitogenic properties during the development of colitis is unknown. Using a
chemically induced colitis model, we showed that accumulated Ly6C+ cells
consisting of inflammatory monocytes and inflammatory macrophages strongly
expressed representative colitogenic mediators such as tumor necrosis factor
alpha (TNF-alpha) and inducible nitric oxide synthase (iNOS). The interferon
gamma-signal transducer and activator of transcription 1 (IFN-gamma-Stat1)
pathway was required for generating colitogenic macrophages, given that Stat1-/-
mice had less severe colitis and fewer colitogenic macrophages. Notably, IFN
gamma induced histone acetylation at the promoter regions of the Tnf and Nos2
loci in the monocyte and macrophage lineage, indicating that IFN-gamma-dependent
epigenetic regulation instructs the development of the colitogenic monocyte and
macrophage lineage in vivo. Collectively, our results provide the essential
mechanism by which dysregulated colitogenic monocytes/macrophages develop at the
colon mucosa during inflammation, and suggest a new drug target for treating IBD.
PMID- 29364868
TI - Mitochondria-lysosome contacts regulate mitochondrial fission via RAB7 GTP
hydrolysis.
AB - Both mitochondria and lysosomes are essential for maintaining cellular
homeostasis, and dysfunction of both organelles has been observed in multiple
diseases. Mitochondria are highly dynamic and undergo fission and fusion to
maintain a functional mitochondrial network, which drives cellular metabolism.
Lysosomes similarly undergo constant dynamic regulation by the RAB7 GTPase, which
cycles from an active GTP-bound state into an inactive GDP-bound state upon GTP
hydrolysis. Here we have identified the formation and regulation of mitochondria
lysosome membrane contact sites using electron microscopy, structured
illumination microscopy and high spatial and temporal resolution confocal live
cell imaging. Mitochondria-lysosome contacts formed dynamically in healthy
untreated cells and were distinct from damaged mitochondria that were targeted
into lysosomes for degradation. Contact formation was promoted by active GTP
bound lysosomal RAB7, and contact untethering was mediated by recruitment of the
RAB7 GTPase-activating protein TBC1D15 to mitochondria by FIS1 to drive RAB7 GTP
hydrolysis and thereby release contacts. Functionally, lysosomal contacts mark
sites of mitochondrial fission, allowing regulation of mitochondrial networks by
lysosomes, whereas conversely, mitochondrial contacts regulate lysosomal RAB7
hydrolysis via TBC1D15. Mitochondria-lysosome contacts thus allow bidirectional
regulation of mitochondrial and lysosomal dynamics, and may explain the
dysfunction observed in both organelles in various human diseases.
PMID- 29364870
TI - A parsec-scale optical jet from a massive young star in the Large Magellanic
Cloud.
AB - Highly collimated parsec-scale jets, which are generally linked to the presence
of an accretion disk, are commonly observed in low-mass young stellar objects. In
the past two decades, a few of these jets have been directly (or indirectly)
observed from higher-mass (larger than eight solar masses) young stellar objects,
adding to the growing evidence that disk-mediated accretion also occurs in high
mass stars, the formation mechanism of which is still poorly understood. Of the
observed jets from massive young stars, none is in the optical regime (massive
young stars are typically highly obscured by their natal material), and none is
found outside of the Milky Way. Here we report observations of HH 1177, an
optical ionized jet that originates from a massive young stellar object located
in the Large Magellanic Cloud. The jet is highly collimated over its entire
measured length of at least ten parsecs and has a bipolar geometry. The presence
of a jet indicates ongoing, disk-mediated accretion and, together with the high
degree of collimation, implies that this system is probably formed through a
scaled-up version of the formation mechanism of low-mass stars. We conclude that
the physics that govern jet launching and collimation is independent of stellar
mass.
PMID- 29364869
TI - The honeycomb maze provides a novel test to study hippocampal-dependent spatial
navigation.
AB - Here we describe the honeycomb maze, a behavioural paradigm for the study of
spatial navigation in rats. The maze consists of 37 platforms that can be raised
or lowered independently. Place navigation requires an animal to go to a goal
platform from any of several start platforms via a series of sequential choices.
For each, the animal is confined to a raised platform and allowed to choose
between two of the six adjacent platforms, the correct one being the platform
with the smallest angle to the goal-heading direction. Rats learn rapidly and
their choices are influenced by three factors: the angle between the two choice
platforms, the distance from the goal, and the angle between the correct platform
and the direction of the goal. Rats with hippocampal damage are impaired in
learning and their performance is affected by all three factors. The honeycomb
maze represents a marked improvement over current spatial navigation tests, such
as the Morris water maze, because it controls the choices of the animal at each
point in the maze, provides the ability to assess knowledge of the goal direction
from any location, enables the identification of factors influencing task
performance and provides the possibility for concomitant single-cell recording.
PMID- 29364867
TI - Evolutionary routes and KRAS dosage define pancreatic cancer phenotypes.
AB - The poor correlation of mutational landscapes with phenotypes limits our
understanding of the pathogenesis and metastasis of pancreatic ductal
adenocarcinoma (PDAC). Here we show that oncogenic dosage-variation has a
critical role in PDAC biology and phenotypic diversification. We find an increase
in gene dosage of mutant KRAS in human PDAC precursors, which drives both early
tumorigenesis and metastasis and thus rationalizes early PDAC dissemination. To
overcome the limitations posed to gene dosage studies by the stromal richness of
PDAC, we have developed large cell culture resources of metastatic mouse PDAC.
Integration of cell culture genomes, transcriptomes and tumour phenotypes with
functional studies and human data reveals additional widespread effects of
oncogenic dosage variation on cell morphology and plasticity, histopathology and
clinical outcome, with the highest KrasMUT levels underlying aggressive
undifferentiated phenotypes. We also identify alternative oncogenic gains (Myc,
Yap1 or Nfkb2), which collaborate with heterozygous KrasMUT in driving
tumorigenesis, but have lower metastatic potential. Mechanistically, different
oncogenic gains and dosages evolve along distinct evolutionary routes, licensed
by defined allelic states and/or combinations of hallmark tumour suppressor
alterations (Cdkn2a, Trp53, Tgfbeta-pathway). Thus, evolutionary constraints and
contingencies direct oncogenic dosage gain and variation along defined routes to
drive the early progression of PDAC and shape its downstream biology. Our study
uncovers universal principles of Ras-driven oncogenesis that have potential
relevance beyond pancreatic cancer.
PMID- 29364871
TI - The genome of Schmidtea mediterranea and the evolution of core cellular
mechanisms.
AB - The planarian Schmidtea mediterranea is an important model for stem cell research
and regeneration, but adequate genome resources for this species have been
lacking. Here we report a highly contiguous genome assembly of S. mediterranea,
using long-read sequencing and a de novo assembler (MARVEL) enhanced for low
complexity reads. The S. mediterranea genome is highly polymorphic and
repetitive, and harbours a novel class of giant retroelements. Furthermore, the
genome assembly lacks a number of highly conserved genes, including critical
components of the mitotic spindle assembly checkpoint, but planarians maintain
checkpoint function. Our genome assembly provides a key model system resource
that will be useful for studying regeneration and the evolutionary plasticity of
core cell biological mechanisms.
PMID- 29364872
TI - The axolotl genome and the evolution of key tissue formation regulators.
AB - Salamanders serve as important tetrapod models for developmental, regeneration
and evolutionary studies. An extensive molecular toolkit makes the Mexican
axolotl (Ambystoma mexicanum) a key representative salamander for molecular
investigations. Here we report the sequencing and assembly of the 32-gigabase
pair axolotl genome using an approach that combined long-read sequencing, optical
mapping and development of a new genome assembler (MARVEL). We observed a size
expansion of introns and intergenic regions, largely attributable to
multiplication of long terminal repeat retroelements. We provide evidence that
intron size in developmental genes is under constraint and that species
restricted genes may contribute to limb regeneration. The axolotl genome assembly
does not contain the essential developmental gene Pax3. However, mutation of the
axolotl Pax3 paralogue Pax7 resulted in an axolotl phenotype that was similar to
those seen in Pax3-/- and Pax7-/- mutant mice. The axolotl genome provides a rich
biological resource for developmental and evolutionary studies.
PMID- 29364873
TI - Small-scale soft-bodied robot with multimodal locomotion.
AB - Untethered small-scale (from several millimetres down to a few micrometres in all
dimensions) robots that can non-invasively access confined, enclosed spaces may
enable applications in microfactories such as the construction of tissue
scaffolds by robotic assembly, in bioengineering such as single-cell manipulation
and biosensing, and in healthcare such as targeted drug delivery and minimally
invasive surgery. Existing small-scale robots, however, have very limited
mobility because they are unable to negotiate obstacles and changes in texture or
material in unstructured environments. Of these small-scale robots, soft robots
have greater potential to realize high mobility via multimodal locomotion,
because such machines have higher degrees of freedom than their rigid
counterparts. Here we demonstrate magneto-elastic soft millimetre-scale robots
that can swim inside and on the surface of liquids, climb liquid menisci, roll
and walk on solid surfaces, jump over obstacles, and crawl within narrow tunnels.
These robots can transit reversibly between different liquid and solid terrains,
as well as switch between locomotive modes. They can additionally execute pick
and-place and cargo-release tasks. We also present theoretical models to explain
how the robots move. Like the large-scale robots that can be used to study
locomotion, these soft small-scale robots could be used to study soft-bodied
locomotion produced by small organisms.
PMID- 29364874
TI - Biomechanics of predator-prey arms race in lion, zebra, cheetah and impala.
AB - The fastest and most manoeuvrable terrestrial animals are found in savannah
habitats, where predators chase and capture running prey. Hunt outcome and
success rate are critical to survival, so both predator and prey should evolve to
be faster and/or more manoeuvrable. Here we compare locomotor characteristics in
two pursuit predator-prey pairs, lion-zebra and cheetah-impala, in their natural
savannah habitat in Botswana. We show that although cheetahs and impalas were
universally more athletic than lions and zebras in terms of speed, acceleration
and turning, within each predator-prey pair, the predators had 20% higher muscle
fibre power than prey, 37% greater acceleration and 72% greater deceleration
capacity than their prey. We simulated hunt dynamics with these data and showed
that hunts at lower speeds enable prey to use their maximum manoeuvring capacity
and favour prey survival, and that the predator needs to be more athletic than
its prey to sustain a viable success rate.
PMID- 29364876
TI - A major lineage of non-tailed dsDNA viruses as unrecognized killers of marine
bacteria.
AB - The most abundant viruses on Earth are thought to be double-stranded DNA (dsDNA)
viruses that infect bacteria. However, tailed bacterial dsDNA viruses
(Caudovirales), which dominate sequence and culture collections, are not
representative of the environmental diversity of viruses. In fact, non-tailed
viruses often dominate ocean samples numerically, raising the fundamental
question of the nature of these viruses. Here we characterize a group of marine
dsDNA non-tailed viruses with short 10-kb genomes isolated during a study that
quantified the diversity of viruses infecting Vibrionaceae bacteria. These
viruses, which we propose to name the Autolykiviridae, represent a novel family
within the ancient lineage of double jelly roll (DJR) capsid viruses.
Ecologically, members of the Autolykiviridae have a broad host range, killing on
average 34 hosts in four Vibrio species, in contrast to tailed viruses which kill
on average only two hosts in one species. Biochemical and physical
characterization of autolykiviruses reveals multiple virion features that cause
systematic loss of DJR viruses in sequencing and culture-based studies, and we
describe simple procedural adjustments to recover them. We identify DJR viruses
in the genomes of diverse major bacterial and archaeal phyla, and in marine water
column and sediment metagenomes, and find that their diversity greatly exceeds
the diversity that is currently captured by the three recognized families of such
viruses. Overall, these data suggest that viruses of the non-tailed dsDNA DJR
lineage are important but often overlooked predators of bacteria and archaea that
impose fundamentally different predation and gene transfer regimes on microbial
systems than on tailed viruses, which form the basis of all environmental models
of bacteria-virus interactions.
PMID- 29364875
TI - Tissue-selective effects of nucleolar stress and rDNA damage in developmental
disorders.
AB - Many craniofacial disorders are caused by heterozygous mutations in general
regulators of housekeeping cellular functions such as transcription or ribosome
biogenesis. Although it is understood that many of these malformations are a
consequence of defects in cranial neural crest cells, a cell type that gives rise
to most of the facial structures during embryogenesis, the mechanism underlying
cell-type selectivity of these defects remains largely unknown. By exploring
molecular functions of DDX21, a DEAD-box RNA helicase involved in control of both
RNA polymerase (Pol) I- and II-dependent transcriptional arms of ribosome
biogenesis, we uncovered a previously unappreciated mechanism linking nucleolar
dysfunction, ribosomal DNA (rDNA) damage, and craniofacial malformations. Here we
demonstrate that genetic perturbations associated with Treacher Collins syndrome,
a craniofacial disorder caused by heterozygous mutations in components of the Pol
I transcriptional machinery or its cofactor TCOF1 (ref. 1), lead to
relocalization of DDX21 from the nucleolus to the nucleoplasm, its loss from the
chromatin targets, as well as inhibition of rRNA processing and downregulation of
ribosomal protein gene transcription. These effects are cell-type-selective, cell
autonomous, and involve activation of p53 tumour-suppressor protein. We further
show that cranial neural crest cells are sensitized to p53-mediated apoptosis,
but blocking DDX21 loss from the nucleolus and chromatin rescues both the
susceptibility to apoptosis and the craniofacial phenotypes associated with
Treacher Collins syndrome. This mechanism is not restricted to cranial neural
crest cells, as blood formation is also hypersensitive to loss of DDX21
functions. Accordingly, ribosomal gene perturbations associated with Diamond
Blackfan anaemia disrupt DDX21 localization. At the molecular level, we
demonstrate that impaired rRNA synthesis elicits a DNA damage response, and that
rDNA damage results in tissue-selective and dosage-dependent effects on
craniofacial development. Taken together, our findings illustrate how disruption
in general regulators that compromise nucleolar homeostasis can result in tissue
selective malformations.
PMID- 29364877
TI - Tet2 promotes pathogen infection-induced myelopoiesis through mRNA oxidation.
AB - Varieties of RNA modification form the epitranscriptome for post-transcriptional
regulation. 5-Methylcytosine (5-mC) is a sparse RNA modification in messenger RNA
(mRNA) under physiological conditions. The function of RNA 5
hydroxymethylcytosine (5-hmC) oxidized by ten-eleven translocation (Tet) proteins
in Drosophila has been revealed more recently. However, the turnover and function
of 5-mC in mammalian mRNA have been largely unknown. Tet2 suppresses myeloid
malignancies mostly in an enzymatic activity-dependent manner, and is important
in resolving inflammatory response in an enzymatic activity-independent way.
Myelopoiesis is a common host immune response in acute and chronic infections;
however, its epigenetic mechanism needs to be identified. Here we demonstrate
that Tet2 promotes infection-induced myelopoiesis in an mRNA oxidation-dependent
manner through Adar1-mediated repression of Socs3 expression at the post
transcription level. Tet2 promotes both abdominal sepsis-induced emergency
myelopoiesis and parasite-induced mast cell expansion through decreasing mRNA
levels of Socs3, a key negative regulator of the JAK-STAT pathway that is
critical for cytokine-induced myelopoiesis. Tet2 represses Socs3 expression
through Adar1, which binds and destabilizes Socs3 mRNA in a RNA editing
independent manner. For the underlying mechanism of Tet2 regulation at the mRNA
level, Tet2 mediates oxidation of 5-mC in mRNA. Tet2 deficiency leads to the
transcriptome-wide appearance of methylated cytosines, including ones in the 3'
untranslated region of Socs3, which influences double-stranded RNA formation for
Adar1 binding, probably through cytosine methylation-specific readers, such as
RNA helicases. Our study reveals a previously unknown regulatory role of Tet2 at
the epitranscriptomic level, promoting myelopoiesis during infection in the
mammalian system by decreasing 5-mCs in mRNAs. Moreover, the inhibitory function
of cytosine methylation on double-stranded RNA formation and Adar1 binding in
mRNA reveals its new physiological role in the mammalian system.
PMID- 29364878
TI - Innate and adaptive lymphocytes sequentially shape the gut microbiota and lipid
metabolism.
AB - The mammalian gut is colonized by numerous microorganisms collectively termed the
microbiota, which have a mutually beneficial relationship with their host.
Normally, the gut microbiota matures during ontogeny to a state of balanced
commensalism marked by the absence of adverse inflammation. Subsets of innate
lymphoid cells (ILCs) and conventional T cells are considered to have redundant
functions in containment and clearance of microbial pathogens, but how these two
major lymphoid-cell populations each contribute to shaping the mature commensal
microbiome and help to maintain tissue homeostasis has not been determined. Here
we identify, using advanced multiplex quantitative imaging methods, an extensive
and persistent phosphorylated-STAT3 signature in group 3 ILCs and intestinal
epithelial cells that is induced by interleukin (IL)-23 and IL-22 in mice that
lack CD4+ T cells. By contrast, in immune-competent mice, phosphorylated-STAT3
activation is induced only transiently by microbial colonization at weaning. This
early signature is extinguished as CD4+ T cell immunity develops in response to
the expanding commensal burden. Physiologically, the persistent IL-22 production
from group 3 ILCs that occurs in the absence of adaptive CD4+ T-cell activity
results in impaired host lipid metabolism by decreasing lipid transporter
expression in the small bowel. These findings provide new insights into how
innate and adaptive lymphocytes operate sequentially and in distinct ways during
normal development to establish steady-state commensalism and tissue metabolic
homeostasis.
PMID- 29364880
TI - Strong disk winds traced throughout outbursts in black-hole X-ray binaries.
AB - Recurring outbursts associated with matter flowing onto compact stellar remnants
(such as black holes, neutron stars and white dwarfs) in close binary systems
provide a way of constraining the poorly understood accretion process. The light
curves of these outbursts are shaped by the efficiency of angular-momentum (and
thus mass) transport in the accretion disks, which has traditionally been encoded
in a viscosity parameter, alpha. Numerical simulations of the magneto-rotational
instability that is believed to be the physical mechanism behind this transport
yield values of alpha of roughly 0.1-0.2, consistent with values determined from
observations of accreting white dwarfs. Equivalent viscosity parameters have
hitherto not been estimated for disks around neutron stars or black holes. Here
we report the results of an analysis of archival X-ray light curves of 21
outbursts in black-hole X-ray binaries. By applying a Bayesian approach to a
model of accretion, we determine corresponding values of alpha of around 0.2-1.0.
These high values may be interpreted as an indication either of a very high
intrinsic rate of angular-momentum transport in the disk, which could be
sustained by the magneto-rotational instability only if a large-scale magnetic
field threads the disk, or that mass is being lost from the disk through
substantial outflows, which strongly shape the outburst in the black-hole X-ray
binary. The lack of correlation between our estimates of alpha and the accretion
state of the binaries implies that such outflows can remove a substantial
fraction of the disk mass in all accretion states and therefore suggests that the
outflows correspond to magnetically driven disk winds rather than thermally
driven ones, which require specific radiative conditions.
PMID- 29364879
TI - Mitochondrial translation requires folate-dependent tRNA methylation.
AB - Folates enable the activation and transfer of one-carbon units for the
biosynthesis of purines, thymidine and methionine. Antifolates are important
immunosuppressive and anticancer agents. In proliferating lymphocytes and human
cancers, mitochondrial folate enzymes are particularly strongly upregulated. This
in part reflects the need for mitochondria to generate one-carbon units and
export them to the cytosol for anabolic metabolism. The full range of uses of
folate-bound one-carbon units in the mitochondrial compartment itself, however,
has not been thoroughly explored. Here we show that loss of the catalytic
activity of the mitochondrial folate enzyme serine hydroxymethyltransferase 2
(SHMT2), but not of other folate enzymes, leads to defective oxidative
phosphorylation in human cells due to impaired mitochondrial translation. We find
that SHMT2, presumably by generating mitochondrial 5,10
methylenetetrahydrofolate, provides methyl donors to produce the
taurinomethyluridine base at the wobble position of select mitochondrial tRNAs.
Mitochondrial ribosome profiling in SHMT2-knockout human cells reveals that the
lack of this modified base causes defective translation, with preferential
mitochondrial ribosome stalling at certain lysine (AAG) and leucine (UUG) codons.
This results in the impaired expression of respiratory chain enzymes. Stalling at
these specific codons also occurs in certain inborn errors of mitochondrial
metabolism. Disruption of whole-cell folate metabolism, by either folate
deficiency or antifolate treatment, also impairs the respiratory chain. In
summary, mammalian mitochondria use folate-bound one-carbon units to methylate
tRNA, and this modification is required for mitochondrial translation and thus
oxidative phosphorylation.
PMID- 29364882
TI - Quantitative and histological assessment of maternal-fetal transmission of
Trypanosoma cruzi in guinea pigs: An experimental model of congenital Chagas
disease.
AB - OBJECTIVE: We evaluated the effect of Trypanosoma cruzi infection on fertility,
gestation outcome, and maternal-fetal transmission in guinea pigs (Cavia
porcellus). METHODS: Animals were infected with T. cruzi H4 strain (TcI lineage)
before gestation (IBG) or during gestation (IDG). Tissue and sera samples of dams
and fetuses were obtained near parturition. RESULTS: All IBG and IDG dams were
seropositive by two tests, and exhibited blood parasite load of 1.62+/-2.2 and
50.1+/-62 parasites/MUl, respectively, by quantitative PCR. Histological
evaluation showed muscle fiber degeneration and cellular necrosis in all infected
dams. Parasite nests were not detected in infected dams by histology. However,
qPCR analysis detected parasites-eq/g heart tissue of 153+/-104.7 and 169.3+/
129.4 in IBG and IDG dams, respectively. All fetuses of infected dams were
positive for anti-parasite IgG antibodies and tissue parasites by qPCR, but
presented a low level of tissue inflammatory infiltrate. Fetuses of IDG (vs. IBG)
dams exhibited higher degree of muscle fiber degeneration and cellular necrosis
in the heart and skeletal tissues. The placental tissue exhibited no inflammatory
lesions and amastigote nests, yet parasites-eq/g of 381.2+/-34.3 and 79.2+/-84.9
were detected in IDG and IBG placentas, respectively. Fetal development was
compromised, and evidenced by a decline in weight, crow-rump length, and
abdominal width in both groups. CONCLUSIONS: T. cruzi TcI has a high capacity of
congenital transmission even when it was inoculated at a very low dose before or
during gestation. Tissue lesions, parasite load, and fetal under development
provide evidence for high virulence of the parasite during pregnancy. Despite
finding of high parasite burden by qPCR, placentas were protected from cellular
damage. Our studies offer an experimental model to study the efficacy of vaccines
and drugs against congenital transmission of T. cruzi. These results also call
for T. cruzi screening in pregnant women and adequate follow up of the newborns
in endemic areas.
PMID- 29364883
TI - Multifaceted functional implications of an endogenously expressed tRNA fragment
in the vector mosquito Aedes aegypti.
AB - The mosquito Aedes aegypti is the primary vector of human arboviral diseases
caused by dengue, chikungunya and Zika viruses. Many studies have shown the
potential roles of small RNA molecules such as microRNA, small interfering RNA
and PIWI-interacting RNA in vector mosquitoes. The function of tRNA fragments
(tRF), the newly discovered class of small RNAs, in mosquitoes is not known. In
this study, we show that specific tRFs are expressed in significantly
differential manner between males and females of Ae. aegypti strains. Specific
tRFs also show differential response during developmental transition from larvae
to adults, as well as after blood feeding of adult females. The expression
pattern of tRFs upon blood feeding varied depending upon if the blood contained
dengue virus, and also if the females were treated with antibiotic prior to
feeding to cleanse of the gut bacteria. Our findings show that a single tRF
derived from the precursor sequences of a tRNA-Gly was differentially expressed
between males and females, developmental transitions and also upon blood feeding
by females of two laboratory strains that vary in midgut susceptibility to dengue
virus infection. The multifaceted functional implications of this specific tRF
suggest that biogenesis of small regulatory molecules from a tRNA can have wide
ranging effects on key aspects of Ae. aegypti vector biology.
PMID- 29364884
TI - The cost-effectiveness of alternative vaccination strategies for polyvalent
meningococcal vaccines in Burkina Faso: A transmission dynamic modeling study.
AB - BACKGROUND: The introduction of a conjugate vaccine for serogroup A Neisseria
meningitidis has dramatically reduced disease in the African meningitis belt. In
this context, important questions remain about the performance of different
vaccine policies that target remaining serogroups. Here, we estimate the health
impact and cost associated with several alternative vaccination policies in
Burkina Faso. METHODS AND FINDINGS: We developed and calibrated a mathematical
model of meningococcal transmission to project the disability-adjusted life years
(DALYs) averted and costs associated with the current Base policy (serogroup A
conjugate vaccination at 9 months, as part of the Expanded Program on
Immunization [EPI], plus district-specific reactive vaccination campaigns using
polyvalent meningococcal polysaccharide [PMP] vaccine in response to outbreaks)
and three alternative policies: (1) Base Prime: novel polyvalent meningococcal
conjugate (PMC) vaccine replaces the serogroup A conjugate in EPI and is also
used in reactive campaigns; (2) Prevention 1: PMC used in EPI and in a nationwide
catch-up campaign for 1-18-year-olds; and (3) Prevention 2: Prevention 1, except
the nationwide campaign includes individuals up to 29 years old. Over a 30-year
simulation period, Prevention 2 would avert 78% of the meningococcal cases (95%
prediction interval: 63%-90%) expected under the Base policy if serogroup A is
not replaced by remaining serogroups after elimination, and would avert 87% (77%
93%) of meningococcal cases if complete strain replacement occurs. Compared to
the Base policy and at the PMC vaccine price of US$4 per dose, strategies that
use PMC vaccine (i.e., Base Prime and Preventions 1 and 2) are expected to be
cost saving if strain replacement occurs, and would cost US$51 (-US$236, US$490),
US$188 (-US$97, US$626), and US$246 (-US$53, US$703) per DALY averted,
respectively, if strain replacement does not occur. An important potential
limitation of our study is the simplifying assumption that all circulating
meningococcal serogroups can be aggregated into a single group; while this
assumption is critical for model tractability, it would compromise the insights
derived from our model if the effectiveness of the vaccine differs markedly
between serogroups or if there are complex between-serogroup interactions that
influence the frequency and magnitude of future meningitis epidemics.
CONCLUSIONS: Our results suggest that a vaccination strategy that includes a
catch-up nationwide immunization campaign in young adults with a PMC vaccine and
the addition of this new vaccine into EPI is cost-effective and would avert a
substantial portion of meningococcal cases expected under the current World
Health Organization-recommended strategy of reactive vaccination. This analysis
is limited to Burkina Faso and assumes that polyvalent vaccines offer equal
protection against all meningococcal serogroups; further studies are needed to
evaluate the robustness of this assumption and applicability for other countries
in the meningitis belt.
PMID- 29364885
TI - Does a narcissism epidemic exist in modern western societies? Comparing
narcissism and self-esteem in East and West Germany.
AB - Narcissism scores are higher in individualistic cultures compared with more
collectivistic cultures. However, the impact of sociocultural factors on
narcissism and self-esteem has not been well described. Germany was formerly
divided into two different social systems, each with distinct economic, political
and national cultures, and was reunified in 1989/90. Between 1949 and 1989/90,
West Germany had an individualistic culture, whereas East Germany had a more
collectivistic culture. The German reunification provides an exceptional
opportunity to investigate the impact of sociocultural and generational
differences on narcissism and self-esteem. In this study, we used an anonymous
online survey to assess grandiose narcissism with the Narcissistic Personality
Inventory (NPI) and the Pathological Narcissism Inventory (PNI) to assess
grandiose and vulnerable aspects of narcissism, and self-esteem with the
Rosenberg Self-Esteem Scale (RSE) in 1,025 German individuals. Data were analyzed
according to age and place of birth. Our results showed that grandiose narcissism
was higher and self-esteem was lower in individuals who grew up in former West
Germany compared with former East Germany. Further analyses indicated no
significant differences in grandiose narcissism, vulnerable narcissism or self
esteem in individuals that entered school after the German reunification (<= 5
years of age in 1989). In the middle age cohort (6-18 years of age in 1989),
significant differences in vulnerable narcissism, grandiose narcissism and self
esteem were observed. In the oldest age cohort (> 19 years of age in 1989),
significant differences were only found in one of the two scales assessing
grandiose narcissism (NPI). Our data provides empirical evidence that
sociocultural factors are associated with differences in narcissism and self
esteem.
PMID- 29364886
TI - Safety and pharmacokinetics of the Fc-modified HIV-1 human monoclonal antibody
VRC01LS: A Phase 1 open-label clinical trial in healthy adults.
AB - BACKGROUND: VRC01 is a human broadly neutralizing monoclonal antibody (bnMAb)
against the CD4-binding site of the HIV-1 envelope glycoprotein (Env) that is
currently being evaluated in a Phase IIb adult HIV-1 prevention efficacy trial.
VRC01LS is a modified version of VRC01, designed for extended serum half-life by
increased binding affinity to the neonatal Fc receptor. METHODS AND FINDINGS:
This Phase I dose-escalation study of VRC01LS in HIV-negative healthy adults was
conducted by the Vaccine Research Center (VRC) at the National Institutes of
Health (NIH) Clinical Center (Bethesda, MD). The age range of the study
volunteers was 21-50 years; 51% of study volunteers were male and 49% were
female. Primary objectives were safety and tolerability of VRC01LS intravenous
(IV) infusions at 5, 20, and 40 mg/kg infused once, 20 mg/kg given three times at
12-week intervals, and subcutaneous (SC) delivery at 5 mg/kg delivered once, or
three times at 12-week intervals. Secondary objectives were pharmacokinetics
(PK), serum neutralization activity, and development of antidrug antibodies.
Enrollment began on November 16, 2015, and concluded on August 23, 2017. This
report describes the safety data for the first 37 volunteers who received
administrations of VRC01LS. There were no serious adverse events (SAEs) or dose
limiting toxicities. Mild malaise and myalgia were the most common adverse events
(AEs). There were six AEs assessed as possibly related to VRC01LS administration,
and all were mild in severity and resolved during the study. PK data were modeled
based on the first dose of VRC01LS in the first 25 volunteers to complete their
schedule of evaluations. The mean (+/-SD) serum concentration 12 weeks after one
IV administration of 20 mg/kg or 40 mg/kg were 180 +/- 43 MUg/mL (n = 7) and 326
+/- 35 MUg/mL (n = 5), respectively. The mean (+/-SD) serum concentration 12
weeks after one IV and SC administration of 5 mg/kg were 40 +/- 3 MUg/mL (n = 2)
and 25 +/- 5 MUg/mL (n = 9), respectively. Over the 5-40 mg/kg IV dose range (n =
16), the clearance was 36 +/- 8 mL/d with an elimination half-life of 71 +/- 18
days. VRC01LS retained its expected neutralizing activity in serum, and anti
VRC01 antibody responses were not detected. Potential limitations of this study
include the small sample size typical of Phase I trials and the need to further
describe the PK properties of VRC01LS administered on multiple occasions.
CONCLUSIONS: The human bnMAb VRC01LS was safe and well tolerated when delivered
intravenously or subcutaneously. The half-life was more than 4-fold greater when
compared to wild-type VRC01 historical data. The reduced clearance and extended
half-life may make it possible to achieve therapeutic levels with less frequent
and lower-dose administrations. This would potentially lower the costs of
manufacturing and improve the practicality of using passively administered
monoclonal antibodies (mAbs) for the prevention of HIV-1 infection. TRIAL
REGISTRATION: ClinicalTrials.gov NCT02599896.
PMID- 29364888
TI - Predicting peak spectral sensitivities of vertebrate cone visual pigments using
atomistic molecular simulations.
AB - Vision is the dominant sensory modality in many organisms for foraging, predator
avoidance, and social behaviors including mate selection. Vertebrate visual
perception is initiated when light strikes rod and cone photoreceptors within the
neural retina of the eye. Sensitivity to individual colors, i.e., peak spectral
sensitivities (lambdamax) of visual pigments, are a function of the type of
chromophore and the amino acid sequence of the associated opsin protein in the
photoreceptors. Large differences in peak spectral sensitivities can result from
minor differences in amino acid sequence of cone opsins. To determine how minor
sequence differences could result in large spectral shifts we selected a
spectrally-diverse group of 14 teleost Rh2 cone opsins for which sequences and
lambdamax are experimentally known. Classical molecular dynamics simulations were
carried out after embedding chromophore-associated homology structures within
explicit bilayers and water. These simulations revealed structural features of
visual pigments, particularly within the chromophore, that contributed to
diverged spectral sensitivities. Statistical tests performed on all the observed
structural parameters associated with the chromophore revealed that a two-term,
first-order regression model was sufficient to accurately predict lambdamax over
a range of 452-528 nm. The approach was accurate, efficient and simple in that
site-by-site molecular modifications or complex quantum mechanics models were not
required to predict lambdamax. These studies identify structural features
associated with the chromophore that may explain diverged spectral sensitivities,
and provide a platform for future, functionally predictive opsin modeling.
PMID- 29364887
TI - Mutations in THAP1/DYT6 reveal that diverse dystonia genes disrupt similar
neuronal pathways and functions.
AB - Dystonia is characterized by involuntary muscle contractions. Its many forms are
genetically, phenotypically and etiologically diverse and it is unknown whether
their pathogenesis converges on shared pathways. Mutations in THAP1 [THAP
(Thanatos-associated protein) domain containing, apoptosis associated protein 1],
a ubiquitously expressed transcription factor with DNA binding and protein
interaction domains, cause dystonia, DYT6. There is a unique, neuronal 50-kDa
Thap1-like immunoreactive species, and Thap1 levels are auto-regulated on the
mRNA level. However, THAP1 downstream targets in neurons, and the mechanism via
which it causes dystonia are largely unknown. We used RNA-Seq to assay the in
vivo effect of a heterozygote Thap1 C54Y or DeltaExon2 allele on the gene
transcription signatures in neonatal mouse striatum and cerebellum. Enriched
pathways and gene ontology terms include eIF2alpha Signaling, Mitochondrial
Dysfunction, Neuron Projection Development, Axonal Guidance Signaling, and
Synaptic LongTerm Depression, which are dysregulated in a genotype and tissue
dependent manner. Electrophysiological and neurite outgrowth assays were
consistent with those enrichments, and the plasticity defects were partially
corrected by salubrinal. Notably, several of these pathways were recently
implicated in other forms of inherited dystonia, including DYT1. We conclude that
dysfunction of these pathways may represent a point of convergence in the
pathophysiology of several forms of inherited dystonia.
PMID- 29364889
TI - Serum metabolome changes in adult patients with severe dengue in the critical and
recovery phases of dengue infection.
AB - Dengue virus (DENV) is the most prevalent arbovirus leading to an estimated 100
million symptomatic dengue infections every year. DENV can cause a spectrum of
clinical manifestations, ranging from mild dengue fever (DF) to more life
threatening forms such as dengue hemorrhagic fever (DHF). The clinical symptoms
of DHF become evident typically at the critical phase of infection (5-7 days
after onset of fever), yet the mechanisms that trigger transition from DF to DHF
are not well understood. We performed a mass spectrometry-based metabolomic
profiling of sera from adult DF and DHF patients at the critical and recovery
phases of infection. There were 29 differentially expressed metabolites
identified between DF and DHF at the critical phase. These include bile acids,
purines, acylcarnitines, phospholipids, and amino acids. Bile acids were observed
up to 5 fold higher levels among DHF compared to DF patients and were
significantly correlated to the higher levels of aspartate transaminase (AST) and
alanine transaminase (ALT), suggestive of liver injury among DHF. Uric acid, the
most abundant antioxidant in the blood, was observed to be 1.5 fold lower among
DHF compared to DF patients. This could result in decreased capacity of
endogenous antioxidant defense and elevated oxidative stress among DHF patients.
In the recovery phase, the levels of eight metabolites were still significantly
higher or lower among DHF patients, including chenodeoxyglycocholic acid, one of
the bile acids observed at the critical phase. This indicates potential prolonged
adverse impact on the liver due to DENV infection in DHF patients. Our study
identified altered metabolic pathways linked to DHF in the critical and recovery
phases of dengue infection and provided insights into the different host and DENV
interactions between DF and DHF. The results advance our understanding on the
mechanisms of DHF pathogenesis, alluding to possible novel therapeutic targets to
dengue management.
PMID- 29364890
TI - 5-aminolevulinic acid (ALA) deficiency causes impaired glucose tolerance and
insulin resistance coincident with an attenuation of mitochondrial function in
aged mice.
AB - In vertebrates, the initial step in heme biosynthesis is the production of 5
aminolevulinic acid (ALA) by ALA synthase (ALAS). ALA formation is believed to be
the rate-limiting step for cellular heme production. Recently, several cohort
studies have demonstrated the potential of ALA as a treatment for individuals
with prediabetes and type-2 diabetes mellitus. These studies imply that a
mechanism exists by which ALA or heme can control glucose metabolism. The ALAS1
gene encodes a ubiquitously expressed isozyme. Mice heterozygous null for ALAS1
(A1+/-s) experience impaired glucose tolerance (IGT) and insulin resistance (IR)
beyond 20-weeks of age (aged A1+/-s). IGT and IR were remedied in aged A1+/-s by
the oral administration of ALA for 1 week. However, the positive effect of ALA
proved to be reversible and was lost upon termination of ALA administration. In
the skeletal muscle of aged A1+/-s an attenuation of mitochondrial function is
observed, coinciding with IGT and IR. Oral administration of ALA for 1-week
brought about only a partial improvement in mitochondrial activity however, a 6
week period of ALA treatment was sufficient to remedy mitochondrial function.
Studies on differentiated C2C12 myocytes indicate that the impairment of glucose
metabolism is a cell autonomous effect and that ALA deficiency ultimately leads
to heme depletion. This sequela is evidenced by a reduction of glucose uptake in
C2C12 cells following the knockdown of ALAS1 or the inhibition of heme
biosynthesis by succinylacetone. Our data provide in vivo proof that ALA
deficiency attenuates mitochondrial function, and causes IGT and IR in an age
dependent manner. The data reveals an unexpected metabolic link between heme and
glucose that is relevant to the pathogenesis of IGT/IR.
PMID- 29364891
TI - Move faster, think later: Women who play action video games have quicker visually
guided responses with later onset visuomotor-related brain activity.
AB - A history of action video game (AVG) playing is associated with improvements in
several visuospatial and attention-related skills and these improvements may be
transferable to unrelated tasks. These facts make video games a potential medium
for skill-training and rehabilitation. However, examinations of the neural
correlates underlying these observations are almost non-existent in the
visuomotor system. Further, the vast majority of studies on the effects of a
history of AVG play have been done using almost exclusively male participants.
Therefore, to begin to fill these gaps in the literature, we present findings
from two experiments. In the first, we use functional MRI to examine brain
activity in experienced, female AVG players during visually-guided reaching. In
the second, we examine the kinematics of visually-guided reaching in this
population. Imaging data demonstrate that relative to women who do not play, AVG
players have less motor-related preparatory activity in the cuneus, middle
occipital gyrus, and cerebellum. This decrease is correlated with estimates of
time spent playing. Further, these correlations are strongest during the
performance of a visuomotor mapping that spatially dissociates eye and arm
movements. However, further examinations of the full time-course of visuomotor
related activity in the AVG players revealed that the decreased activity during
motor preparation likely results from a later onset of activity in AVG players,
which occurs closer to beginning motor execution relative to the non-playing
group. Further, the data presented here suggest that this later onset of
preparatory activity represents greater neural efficiency that is associated with
faster visually-guided responses.
PMID- 29364892
TI - Presence of B. thailandensis and B. thailandensis expressing B. pseudomallei-like
capsular polysaccharide in Thailand, and their associations with serological
response to B. pseudomallei.
AB - BACKGROUND: Burkholderia pseudomallei is an environmental Gram-negative bacillus
and the cause of melioidosis. B. thailandensis, some strains of which express a
B. pseudomallei-like capsular polysaccharide (BTCV), is also commonly found in
the environment in Southeast Asia but is considered non-pathogenic. The aim of
the study was to determine the distribution of B. thailandensis and its capsular
variant in Thailand and investigate whether its presence is associated with a
serological response to B. pseudomallei. METHODOLOGY/PRINCIPAL FINDINGS: We
evaluated the presence of B. pseudomallei and B. thailandensis in 61 rice fields
in Northeast (n = 21), East (n = 19) and Central (n = 21) Thailand. We found BTCV
in rice fields in East and Central but not Northeast Thailand. Fourteen fields
were culture positive for B. pseudomallei alone, 8 for B. thailandensis alone, 11
for both B. pseudomallei and B. thailandensis, 6 for both B. thailandensis and
BTCV, and 5 for B. pseudomallei, B. thailandensis and BTCV. Serological testing
using the indirect hemagglutination assay (IHA) of 96 farmers who worked in the
study fields demonstrated that farmers who worked in B. pseudomallei-positive
fields had higher IHA titers than those who worked in B. pseudomallei-negative
fields (median 1:40 [range: <1:10-1:640] vs. <1:10 [range: <1:10-1:320], p =
0.002). In a multivariable ordered logistic regression model, IHA titers were
significantly associated with the presence of B. pseudomallei (aOR = 3.7; 95% CI
1.8-7.8, p = 0.001) but were not associated with presence of B. thailandensis (p
= 0.32) or BTCV (p = 0.32). One sequence type (696) was identified for the 27
BTCV isolates tested. CONCLUSIONS/SIGNIFICANCE: This is the first report of BTCV
in Thailand. The presence of B. pseudomallei and B. thailandensis in the same
field was not uncommon. Our findings suggest that IHA positivity of healthy rice
farmers in Thailand is associated with the presence of B. pseudomallei in rice
fields rather than B. thailandensis or BTCV.
PMID- 29364893
TI - Sequence determinants of protein phase behavior from a coarse-grained model.
AB - Membraneless organelles important to intracellular compartmentalization have
recently been shown to comprise assemblies of proteins which undergo liquid
liquid phase separation (LLPS). However, many proteins involved in this phase
separation are at least partially disordered. The molecular mechanism and the
sequence determinants of this process are challenging to determine experimentally
owing to the disordered nature of the assemblies, motivating the use of
theoretical and simulation methods. This work advances a computational framework
for conducting simulations of LLPS with residue-level detail, and allows for the
determination of phase diagrams and coexistence densities of proteins in the two
phases. The model includes a short-range contact potential as well as a
simplified treatment of electrostatic energy. Interaction parameters are
optimized against experimentally determined radius of gyration data for multiple
unfolded or intrinsically disordered proteins (IDPs). These models are applied to
two systems which undergo LLPS: the low complexity domain of the RNA-binding
protein FUS and the DEAD-box helicase protein LAF-1. We develop a novel
simulation method to determine thermodynamic phase diagrams as a function of the
total protein concentration and temperature. We show that the model is capable of
capturing qualitative changes in the phase diagram due to phosphomimetic
mutations of FUS and to the presence or absence of the large folded domain in LAF
1. We also explore the effects of chain-length, or multivalency, on the phase
diagram, and obtain results consistent with Flory-Huggins theory for polymers.
Most importantly, the methodology presented here is flexible so that it can be
easily extended to other pair potentials, be used with other enhanced sampling
methods, and may incorporate additional features for biological systems of
interest.
PMID- 29364894
TI - Serum calcification propensity is independently associated with disease activity
in systemic lupus erythematosus.
AB - BACKGROUND: Systemic lupus erythematosus (SLE) is associated with severe
cardiovascular complications. The T50 score is a novel functional blood test
quantifying calcification propensity in serum. High calcification propensity (or
low T50) is a strong and independent determinant of all-cause mortality in
various patient populations. METHODS: A total of 168 patients with >= 4 American
College of Rheumatology (ACR) diagnostic criteria from the Swiss Systemic lupus
erythematosus Cohort Study (SSCS) were included in this analysis. Serum
calcification propensity was assessed using time-resolved nephelometry. RESULTS:
The cohort mainly consisted of female (85%), middle-aged (43+/-14 years)
Caucasians (77%). The major determinants of T50 levels included hemoglobin, serum
creatinine and serum protein levels explaining 43% of the variation at baseline.
Integrating disease activity (SELENA-SLEDAI) into this multivariate model
revealed a significant association between disease activity and T50 levels. In a
subgroup analysis considering only patients with active disease (SELENA-SLEDAI
score >=4) we found a negative association between T50 and SELENA-SLEDAI score at
baseline (Spearman's rho -0.233, P = 0.02). CONCLUSIONS: Disease activity and T50
are closely associated. Moreover, T50 levels identify a subgroup of SLE patients
with ongoing systemic inflammation as mirrored by increased disease activity. T50
could be a promising biomarker reflecting SLE disease activity and might offer an
earlier detection tool for high-risk patients.
PMID- 29364895
TI - Difficult decisions: Evaluating individual and couple-level fertility intentions
and HIV acquisition among HIV serodiscordant couples in Zambia.
AB - INTRODUCTION: Attempts to conceive and pregnancy may increase HIV transmission to
sex partners and infants. Our study evaluated the association between fertility
intentions and HIV acquisition among Zambian HIV-serodiscordant couples. METHODS:
We collected demographic, behavioral, clinical exposures, and data on fertility
intentions in a cohort of HIV-serodiscordant couples in Lusaka, Zambia from 2005
to 2012. We evaluated factors associated with fertility intentions stratified by
gender using multivariable logistic regression. Multivariable Cox proportional
hazard models were used to evaluate the associations between fertility intentions
and HIV acquisition controlling for a priori confounders and covariates that
substantially (>10%) changed the effect estimates in univariate analyses.
RESULTS: Among 1,029 serodiscordant couples, 311 agreed that they wanted children
in the future (30%), 368 agreed they did not want children (36%), and 344 couples
disagreed about having children (34%), with men more likely than women to want
children. Women wanting child(ren) was associated with increased odds of baseline
pregnancy (adjusted odds ratio [aOR] = 4.80 (95% confidence interval [CI] = 2.93,
7.85)), fewer previous pregnancies (aOR = 0.85 per additional pregnancy (95% CI =
0.78, 0.93)), and partner fertility intention (aOR = 2.89 (95% CI = 2.14, 3.91))
adjusting for woman's age, literacy, years cohabiting and HIV status. Men wanting
child(ren) was associated with younger age (aOR = 0.96 per year (95% CI = 0.93,
0.99)), fewer years cohabiting (aOR = 0.95 (95% CI = 0.92, 0.98)), number of
previous partners' pregnancies (aOR = 0.90 (95% CI = 0.82, 0.98)), and partner
fertility intention (aOR = 3.00 (95% CI = 2.21, 4.07)) adjusting for partner's
age, literacy, HIV status and partner's baseline pregnancy. In adjusted survival
analyses, HIV-negative women were more likely to seroconvert if they themselves
wanted children (aHR = 2.36 (95% CI = 1.41, 3.96)) vs. did not want children, or
if their partner wanted children (aHR = 2.34 (95% CI = 1.33, 4.11)) vs. did not
want children, or if the couple agreed that they wanted children (aHR = 2.08 (95%
CI = 1.01, 4.30)), adjusting for women's age, women's literacy, previous
pregnancies and time in study. HIV-negative men were more likely to seroconvert
if their female partner wanted a child in the next 12-months (aHR = 1.94 (95% CI
= 1.02, 3.68)) vs. did not want children, and when both partners wanted children
(aHR = 2.02 (CI = 1.09, 3.73)) vs. they did not want children, adjusting for
men's age and literacy, couple income, number of live children, male circumcision
status and time in study. CONCLUSION: Women had increased risk of HIV acquisition
if they and/or their partner wanted a child, while men had increased risk of HIV
acquisition when their partner or if both partners agreed that they wanted
children. Safer-conception interventions are needed to protect HIV uninfected
women and men from HIV acquisition in HIV-serodiscordant couples who want
children.
PMID- 29364896
TI - Ac-SDKP decreases mortality and cardiac rupture after acute myocardial
infarction.
AB - The natural peptide N-Acetyl-Seryl-Aspartyl-Lysyl-Proline (Ac-SDKP) decreases
inflammation in chronic diseases such as hypertension and heart failure. However,
Ac-SDKP effects on acute inflammatory responses during myocardial infarction (MI)
are unknown. During the first 72 hours post-MI, neutrophils, M1 macrophages (pro
inflammatory), and M2 macrophages (pro-resolution) and release of myeloperoxidase
(MPO) and matrix metalloproteinases (MMP) are involved in cardiac rupture. We
hypothesized that in the acute stage of MI, Ac-SDKP decreases the incidence of
cardiac rupture and mortality by preventing immune cell infiltration as well as
by decreasing MPO and MMP expression. MI was induced by ligating the left
descending coronary artery in C57BL/6 mice. Vehicle or Ac-SDKP (1.6 mg/kg/d) was
infused via osmotic minipump. Cardiac immune cell infiltration was assessed by
flow cytometry, cardiac MPO and MMP levels were measured at 24-48 hrs post-MI.
Cardiac rupture and mortality incidence were determined at 7 days post-MI. In
infarcted mice, Ac-SDKP significantly decreased cardiac rupture incidence from
51.0% (26 of 51 animals) to 27.3% (12 of 44) and mortality from 56.9% (29 of 51)
to 31.8% (14 of 44). Ac-SDKP reduced M1 macrophages in cardiac tissue after MI,
without affecting M2 macrophages and neutrophils. Ac-SDKP decreased MMP-9
activation in infarcted hearts with no changes on MPO expression. Ac-SDKP
prevents cardiac rupture and decreases mortality post-acute MI. These protective
effects of Ac-SDKP are associated with decreased pro-inflammatory M1 macrophage
infiltration and MMP-9 activation.
PMID- 29364897
TI - Slower saccadic reading in Parkinson's disease.
AB - Idiopathic Parkinson's Disease (PD) is characterized by degeneration of
dopaminergic and other neurons, leading to motor and non-motor deficits. Abnormal
eye movements in PD, including fixations, saccades, and convergence, are well
described. However, saccadic reading, which requires serial and alternating
saccades and fixations, is not well studied, despite its obvious impact on the
quality of life. In this study, we assessed saccadic reading using variations of
the King-Devick (KD) test, a rapid single digit number naming test, as a way to
assess the ability to make serial left-to-right ocular motor movements necessary
for reading. We recruited 42 treated PD patients and 80 age-matched controls and
compared their reading times with a variety of measures, including age, duration
of disease, Unified Parkinson's Disease Rating Scale (UPDRS), the National Eye
Institute 25-Item Visual Functioning Questionnaire 25 (VFQ-25), and Montreal
Cognitive assessment (MoCA) test. The subjects performed 4 trials of reading 120
single digit numbers aloud as fast as possible without making errors. In each
trial, they read 3 pages (KD1, KD2, and KD3), and each page contained 40 numbers
per page in 8 lines with 5 numbers/line. We found that PD patients read about 20%
slower than controls on all tests (KD1, 2, and 3 tests) (p < 0.02), and both
groups read irregularly spaced numbers slower than regularly spaced numbers.
Having lines between numbers to guide reading (KD1 tests) did not impact reading
time in both PD and controls, but increased visual crowding as a result of
decreased spacing between numbers (KD3 tests) was associated with significantly
slower reading times in both PD and control groups. Our study revealed that
saccadic reading is slower in PD, but controls and PD patients are both impacted
by visuospatial planning challenges posed by increased visual crowding and
irregularity of number spacing. Reading time did not correlate with UPDRS or MoCA
scores in PD patients but significantly correlated with age, duration of disease,
and VFQ-25 scores. The presence of convergence insufficiency did not
significantly correlate with reading time in PD patients, although on average
there was slower reading time in those with convergence insufficiency by 8 s (p =
0.2613). We propose that a simple reading task using 120 single-digit numbers can
be used as a screening tool in the clinical setting to assess functional ocular
motor difficulties in Parkinson's disease that can have a profound impact on
quality of life.
PMID- 29364898
TI - Vocal complexity and sociality in spotted paca (Cuniculus paca).
AB - The evolution of sociality is related to many ecological factors that act on
animals as selective forces, thus driving the formation of groups. Group size
will depend on the payoffs of group living. The Social Complexity Hypothesis for
Communication (SCHC) predicts that increases in group size will be related to
increases in the complexity of the communication among individuals. This
hypothesis, which was confirmed in some mammal societies, may be useful to trace
sociality in the spotted paca (Cuniculus paca), a Neotropical caviomorph rodent
reported as solitary. There are, however, sightings of groups in the wild, and
farmers easily form groups of spotted paca in captivity. Thus, we aimed to
describe the acoustic repertoire of captive spotted paca to test the SCHC and to
obtain insights about the sociability of this species. Moreover, we aimed to
verify the relationship between group size and acoustic repertoire size of
caviomorph rodents, to better understand the evolution of sociality in this
taxon. We predicted that spotted paca should display a complex acoustic
repertoire, given their social behavior in captivity and group sightings in the
wild. We also predicted that in caviomorph species the group size would increase
with acoustic repertoire, supporting the SCHC. We performed a Linear Discriminant
Analysis (LDA) based on acoustic parameters of the vocalizations recorded. In
addition, we applied an independent contrasts approach to investigate sociality
in spotted paca following the social complexity hypothesis, independent of
phylogeny. Our analysis showed that the spotted paca's acoustic repertoire
contains seven vocal types and one mechanical signal. The broad acoustic
repertoire of the spotted paca might have evolved given the species' ability to
live in groups. The relationship between group size and the size of the acoustic
repertoires of caviomorph species was confirmed, providing additional support for
the SCHC in yet another group of diverse mammals-caviomorph rodents.
PMID- 29364899
TI - Contextual correlates of happiness in European adults.
AB - OBJECTIVES: We aimed to examine the associations of both objectively assessed and
perceived physical and social neighborhood characteristics with happiness in
European adults. In addition, we aimed to study how these associations differed
among subgroups. METHODS: Participants (N = 6037) of the cross-sectional
SPOTLIGHT survey reported on their level of happiness using a 5-point Likert
scale, and on perceived physical and social environmental neighborhood
characteristics. Objective physical environmental characteristics were assessed
using a Google Street View-based neighborhood audit. Associations of 14 physical
and social environmental characteristics with happiness were analyzed using
multivariable multinomial regression analyses with clustered standard errors.
RESULTS: Living in neighborhoods with higher levels of aesthetics and more water
and green space was associated with being very happy. Individuals who perceived
their neighborhood to be safer, more functional and more aesthetic were more
likely to be very happy. The associations of functionality and aesthetics with
happiness were strongest in the Ghent region (Belgium), the Randstad (the
Netherlands) and Greater London (United Kingdom). Perceived absence of air
pollution was only associated with higher levels of happiness in more highly
educated participants. Individuals with a larger social network, more social
cohesion and who trusted their neighbors were more likely to be very happy. The
association between social networks and happiness was somewhat stronger in men
than in women. In general, the associations between environmental characteristics
and happiness had similar directions and sizes across socio-economic and socio
demographic subgroups. CONCLUSIONS: This European study provided evidence that
both objectively assessed and perceived physical and social characteristics of
the neighborhood environment are associated with the happiness of its residents.
PMID- 29364900
TI - De Novo characterization of transcriptomes from two North American Papaipema stem
borers (Lepidoptera: Noctuidae).
AB - Stem-borers in the genus Papaipema (Lepidoptera: Noctuidae) range from highly
polyphagous agricultural pests to specialists on more than 20 families of
flowering plants, many of them highly toxic. Papaipema is the largest genus of
noctuids endemic to North America and provides an excellent study system for the
evolution of noctuid host plant use. To improve the availability of genomic
resources for such investigations, we performed de novo transcriptome sequencing
and assembly for two specialist Papaipema with unusual larval hosts: P.
speciosissima, which is associated with ferns, and the undescribed P. "sp. 4,"
which is associated with bamboo. The resulting transcriptomes were similar in
terms of completeness, gene count, and gene identity, but we identified some
8,000 genes (~17% of each transcriptome) not shared between the two species.
While some of these have identifiable orthologs in other Lepidoptera, ~5% of each
transcriptome consists of species-specific genes. We examine the function of
these genes and find that almost half have retrotransposon-related functional
domains. The potential role of species-specific genes is discussed, and the
expansion of certain retrotransposon families in Papaipema is examined.
PMID- 29364901
TI - Testing spatial heterogeneity with stock assessment models.
AB - This paper describes a methodology that combines meta-population theory and stock
assessment models to gain insights about spatial heterogeneity of the meta
population in an operational time frame. The methodology was tested with
stochastic simulations for different degrees of connectivity between sub
populations and applied to two case studies, North Sea cod (Gadus morua) and
Northeast Atlantic sardine (Sardina pilchardus). Considering that the biological
components of a population can be partitioned into discrete spatial units, we
extended this idea into a property of additivity of sub-population abundances. If
the additivity results hold true for putative sub-populations, then assessment
results based on sub-populations will provide information to develop and monitor
the implementation of finer scale/local management. The simulation study
confirmed that when sub-populations are independent and not too heterogeneous
with regards to productivity, the sum of stock assessment model estimates of sub
populations' SSB is similar to the SSB estimates of the meta-population. It also
showed that a strong diffusion process can be detected and that the stronger the
connection between SSB and recruitment, the better the diffusion process will be
detected. On the other hand it showed that weak to moderate diffusion processes
are not easy to identify and large differences between sub-populations
productivities may be confounded with weak diffusion processes. The application
to North Sea cod and Atlantic sardine exemplified how much insight can be gained.
In both cases the results obtained were sufficiently robust to support the
regional analysis.
PMID- 29364902
TI - Marine biodiversity at the end of the world: Cape Horn and Diego Ramirez islands.
AB - The vast and complex coast of the Magellan Region of extreme southern Chile
possesses a diversity of habitats including fjords, deep channels, and extensive
kelp forests, with a unique mix of temperate and sub-Antarctic species. The Cape
Horn and Diego Ramirez archipelagos are the most southerly locations in the
Americas, with the southernmost kelp forests, and some of the least explored
places on earth. The giant kelp Macrocystis pyrifera plays a key role in
structuring the ecological communities of the entire region, with the large brown
seaweed Lessonia spp. forming dense understories. Kelp densities were highest
around Cape Horn, followed by Diego Ramirez, and lowest within the fjord region
of Francisco Coloane Marine Park (mean canopy densities of 2.51 kg m-2, 2.29 kg m
2, and 2.14 kg m-2, respectively). There were clear differences in marine
communities among these sub-regions, with the lowest diversity in the fjords. We
observed 18 species of nearshore fishes, with average species richness nearly 50%
higher at Diego Ramirez compared with Cape Horn and Francisco Coloane. The number
of individual fishes was nearly 10 times higher at Diego Ramirez and 4 times
higher at Cape Horn compared with the fjords. Dropcam surveys of mesophotic
depths (53-105 m) identified 30 taxa from 25 families, 15 classes, and 7 phyla.
While much of these deeper habitats consisted of soft sediment and cobble, in
rocky habitats, echinoderms, mollusks, bryozoans, and sponges were common. The
southern hagfish (Myxine australis) was the most frequently encountered of the
deep-sea fishes (50% of deployments), and while the Fueguian sprat (Sprattus
fuegensis) was the most abundant fish species, its distribution was patchy. The
Cape Horn and Diego Ramirez archipelagos represent some of the last intact sub
Antarctic ecosystems remaining and a recently declared large protected area will
help ensure the health of this unique region.
PMID- 29364903
TI - Novel Naja atra cardiotoxin 1 (CTX-1) derived antimicrobial peptides with broad
spectrum activity.
AB - Naja atra subsp. atra cardiotoxin 1 (CTX-1), produced by Chinese cobra snakes,
belonging to Elapidae family, is included in the three-finger toxin family and
exerts high cytotoxicity and antimicrobial activity too. Using as template mainly
the tip and the subsequent beta-strand of the first "finger" of this toxin,
different sequences of 20 amino acids linear peptides have been designed in order
to avoid toxic effects but to maintain or even strengthen the partial
antimicrobial activity already seen for the complete toxin. As a result, the
sequence NCP-0 (Naja Cardiotoxin Peptide-0) was designed as ancestor and
subsequently 4 other variant sequences of NCP-0 were developed. These synthesized
variant sequences have shown microbicidal activity towards a panel of reference
and field strains of Gram-positive and Gram-negative bacteria. The sequence named
NCP-3, and its variants NCP-3a and NCP-3b, have shown the best antimicrobial
activity, together with low cytotoxicity against eukaryotic cells and low
hemolytic activity. Bactericidal activity has been demonstrated by minimum
bactericidal concentration (MBC) assay at values below 10 MUg/ml for most of the
tested bacterial strains. This potent antimicrobial activity was confirmed even
for unicellular fungi Candida albicans, Candida glabrata and Malassezia
pachydermatis (MBC 50-6.3 MUg/ml), and against the fast-growing mycobacteria
Mycobacterium smegmatis and Mycobacterium fortuitum. Moreover, NCP-3 has shown
virucidal activity on Bovine Herpesvirus 1 (BoHV1) belonging to Herpesviridae
family. The bactericidal activity is maintained even in a high salt concentration
medium (125 and 250 mM NaCl) and phosphate buffer with 20% Mueller Hinton (MH)
medium against E. coli, methicillin resistant Staphylococcus aureus (MRSA) and
Pseudomonas aeruginosa reference strains. Considering these in vitro obtained
data, the search for active sequences within proteins presenting an intrinsic
microbicidal activity could provide a new way for discovering a large number of
novel and promising antimicrobial peptides families.
PMID- 29364904
TI - Development of an activity-based probe for acyl-protein thioesterases.
AB - Protein palmitoylation is a dynamic post-translational modification (PTM)
important for cellular functions such as protein stability, trafficking,
localization, and protein-protein interactions. S-palmitoylation occurs via the
addition of palmitate to cysteine residues via a thioester linkage, catalyzed by
palmitoyl acyl transferases (PATs), with removal of the palmitate catalyzed by
acyl protein thioesterases (APTs) and palmitoyl-protein thioesterases (PPTs).
Tools that target the regulators of palmitoylation-PATs, APTs and PPTs-will
improve understanding of this essential PTM. Here, we describe the synthesis and
application of a cell-permeable activity-based probe (ABP) that targets APTs in
intact mammalian cells and the parasite Toxoplasma gondii. Using a focused
library of substituted chloroisocoumarins, we identified a probe scaffold with
nanomolar affinity for human APTs (HsAPT1 and HsAPT2) and synthesized a
fluorescent ABP, JCP174-BODIPY TMR (JCP174-BT). We use JCP174-BT to profile HsAPT
activity in situ in mammalian cells, to detect an APT in T. gondii (TgPPT1). We
show discordance between HsAPT activity levels and total protein concentration in
some cell lines, indicating that total protein levels may not be representative
of APT activity in complex systems, highlighting the utility of this probe.
PMID- 29364905
TI - A wolf in sheep's clothing: The description of a fly resembling jumping spider of
the genus Scoturius Simon, 1901 (Araneae: Salticidae: Huriini).
AB - Fly resemblance in arthropods is much less common than e.g., resemblance to ants
or wasps, and has been mainly observed in beetles. Putative fly mimicry in
arachnids has been reported only from the jumping spider genus Saitis. However,
recent literature has attributed the fly-resembling characteristics in Saitis to
sexual signalling during courtship. The lack of observation of fly mimicry in
spiders is not surprising as flies belong to the most important prey item group
of spiders. In this study, a new fly-resembling species of the jumping spider
tribe Huriini, Scoturius dipterioides sp. nov., from the pre-Andean Chiquitano
forest at the Bolivian orocline is described and illustrated. The new species was
tentatively placed into Scoturius because the epigynum has a single large
elliptical opening. Scoturius dipterioides sp. nov. is distinguished from all
other species of this tribe by a combination of following characteristics:
epigynum with a large anterior elliptical atrium and initial portion of the
copulation ducts dilated as a chamber (shared with Urupuyu); relatively joined
copulation openings and short copulation ducts; kidney-shaped spermathecae,
advanced at the atrium level. Several somatic features, two of them found
exclusively in S. dipterioides sp. nov., increase the resemblance to flies. The
Huriini are currently the only spider tribe that is suggested to feature fly
mimics.
PMID- 29364906
TI - A natural human monoclonal antibody targeting Staphylococcus Protein A protects
against Staphylococcus aureus bacteremia.
AB - Staphylococcus aureus can cause devastating and life-threatening infections. With
the increase in multidrug resistant strains, novel therapies are needed. Limited
success with active and passive immunization strategies have been attributed to
S. aureus immune evasion. Here, we report on a monoclonal antibody, 514G3, that
circumvents a key S. aureus evasion mechanism by targeting the cell wall moiety
Protein A (SpA). SpA tightly binds most subclasses of immunoglobulins via their
Fc region, neutralizing effector function. The organism can thus shield itself
with a protective coat of serum antibodies and render humoral immunity
ineffective. The present antibody reactivity was derived from an individual with
natural anti-SpA antibody titers. The monoclonal antibody is of an IgG3 subclass,
which differs critically from other immunoglobulin subclasses since its Fc is not
bound by SpA. Moreover, it targets a unique epitope on SpA that allows it to bind
in the presence of serum antibodies. Consequently, the antibody opsonizes S.
aureus and maintains effector function to enable natural immune mediated
clearance. The data presented here provide evidence that 514G3 antibody is able
to successfully rescue mice from S. aureus mediated bacteremia.
PMID- 29364907
TI - HPV integration hijacks and multimerizes a cellular enhancer to generate a viral
cellular super-enhancer that drives high viral oncogene expression.
AB - Integration of human papillomavirus (HPV) genomes into cellular chromatin is
common in HPV-associated cancers. Integration is random, and each site is unique
depending on how and where the virus integrates. We recently showed that tandemly
integrated HPV16 could result in the formation of a super-enhancer-like element
that drives transcription of the viral oncogenes. Here, we characterize the
chromatin landscape and genomic architecture of this integration locus to
elucidate the mechanisms that promoted de novo super-enhancer formation. Using
next-generation sequencing and molecular combing/fiber-FISH, we show that ~26
copies of HPV16 are integrated into an intergenic region of chromosome 2p23.2,
interspersed with 25 kb of amplified, flanking cellular DNA. This interspersed,
co-amplified viral-host pattern is frequent in HPV-associated cancers and here we
designate it as Type III integration. An abundant viral-cellular fusion
transcript encoding the viral E6/E7 oncogenes is expressed from the integration
locus and the chromatin encompassing both the viral enhancer and a region in the
adjacent amplified cellular sequences is strongly enriched in the super-enhancer
markers H3K27ac and Brd4. Notably, the peak in the amplified cellular sequence
corresponds to an epithelial-cell-type specific enhancer. Thus, HPV16 integration
generated a super-enhancer-like element composed of tandem interspersed copies of
the viral upstream regulatory region and a cellular enhancer, to drive high
levels of oncogene expression.
PMID- 29364908
TI - Landscapes with different biodiversity influence distribution of small mammals
and their ectoparasitic chigger mites: A comparative study from southwest China.
AB - From a previous field investigation in Yunnan, southwest China between 2001 and
2015, we selected two types of landscapes to make a retrospectively comparative
study on the distribution of small mammals and their ectoparasitic chigger mites.
One landscape is "mountainous uncultivated land (MUL)" with higher biodiversity,
which is located in a famous "World Nature Heritage Site", the Three-Parallel
Rivers Region in the northwest of Yunnan. The other is "cultivated flatland
landscape (CFL)" with lower biodiversity, which is located in the south of
Yunnan. The landscapes with different biodiversity apparently influenced the
distribution of small mammals and their ectoparasitic chigger mites. Much more
species of small mammals and mites were found in MUL than in CFL. A total of
3,177 small mammals captured from MUL were identified as 55 species, 30 genera
and 10 families in five orders. From these small mammal hosts, 5,882 chigger
mites were collected and identified as 127 species, 15 genera and 3 subfamilies
in two families. A total of 1,112 small mammals captured from CFL were identified
as 19 species, 12 genera and 5 families in three orders. From these hosts, 17,742
chiggers were collected and identified as 86 species, 12 genera and 3 subfamilies
in two families. Both the species diversity (S = 55) and community diversity (H =
2.673) of small mammals in MUL were much higher than those in CFL (S = 19; H =
0.926). There were also higher values of beta diversity in MUL than in CFL.
Different main reservoir rodent hosts of zoonoses (including tsutsugamushi
disease) were found in two types of landscapes. Rattus tanezumi (one main
reservoir host) was most abundant in CFL, which accounted for 80.22% of all the
small mammals. Another two main reservoir hosts, Eothenomys miletus and Apodemus
chevrieri were the dominant species in MUL, but they were not as abundant as R.
tanezumi in CFL. Different vector species of chigger mites also existed in MUL
and CFL. Leptotrombidium deliense (a main and powerful vector of tsutsugamushi
disease in China) and Ascoschoengastia indica (a potential vector of
tsutsugamushi disease) were the dominant species of chigger mites in CFL (Cr =
25.81% for A. indica; Cr = 23.47% for L. deliense). Leptotrombidium scutellare
(also a main vector of tsutsugamushi disease in China) was the dominant chigger
species in MUL (Cr = 26.09%). Higher infestation of vector mites on small mammals
was found in the simple landscape with lower biodiversity (CFL) than in the
complex landscape with higher biodiversity (MUL). The overall prevalence (P),
mean abundance (MA) and mean intensity (MI) of chigger mites on small mammals
were much higher in CFL than in MUL. The main vector mite species on their main
rodent hosts also showed a higher P, MA and MI in CFL than in MUL.
PMID- 29364909
TI - Intestinal fibrosis is associated with lack of response to Infliximab therapy in
Crohn's disease.
AB - INTRODUCTION: Overt fibrostenotic disease is a relative contraindication for anti
TNF therapy in Crohn's disease. We hypothesized that subclinical fibrosis may
also contribute to an incomplete response to anti-TNF therapy before the onset of
symptomatic stenosis. METHODS: In a previous trial, patients with ileocecal
Crohn's disease were randomized to either immediate ileocecal resection or
medical treatment with Infliximab. In case of insufficient response to
Infliximab, the latter underwent secondary ileocecal resection. We compared
specimens from those patients undergoing immediate resection (Infliximab naive, n
= 20) to those who failed Infliximab therapy (n = 20). RESULTS: Infliximab naive
and Infliximab failure patients had similar severity of inflammation when
assessed by CRP levels (median 14 vs 9 mg/L) and histology (Geboes-D'Haens-score,
median 10 vs 11 points). On immunohistochemistry, collagen-III and fibronectin
depositions were increased in patients previously exposed to Infliximab compared
to patients naive to Infliximab. On mRNA level, procollagen peptidase showed
significantly more mucosal mRNA expression in Crohn's disease patients who failed
Infliximab. Infliximab responders showed no increase of this marker after 4 weeks
of successful Infliximab treatment. DISCUSSION: Failure to Infliximab therapy is
associated with subclinical fibrosis in Crohn's disease.
PMID- 29364910
TI - Climatic shocks associate with innovation in science and technology.
AB - Human history is shaped by landmark discoveries in science and technology.
However, across both time and space the rate of innovation is erratic: Periods of
relative inertia alternate with bursts of creative science and rapid cascades of
technological innovations. While the origins of the rise and fall in rates of
discovery and innovation remain poorly understood, they may reflect adaptive
responses to exogenously emerging threats and pressures. Here we examined this
possibility by fitting annual rates of scientific discovery and technological
innovation to climatic variability and its associated economic pressures and
resource scarcity. In time-series data from Europe (1500-1900CE), we indeed found
that rates of innovation are higher during prolonged periods of cold (versus
warm) surface temperature and during the presence (versus absence) of volcanic
dust veils. This negative temperature-innovation link was confirmed in annual
time-series for France, Germany, and the United Kingdom (1901-1965CE). Combined,
across almost 500 years and over 5,000 documented innovations and discoveries, a
0.5 degrees C increase in temperature associates with a sizable 0.30-0.60
standard deviation decrease in innovation. Results were robust to controlling for
fluctuations in population size. Furthermore, and consistent with economic theory
and micro-level data on group innovation, path analyses revealed that the
relation between harsher climatic conditions between 1500-1900CE and more
innovation is mediated by climate-induced economic pressures and resource
scarcity.
PMID- 29364912
TI - Left atrial emptying fraction predicts recurrence of atrial fibrillation after
radiofrequency catheter ablation.
AB - BACKGROUND: Compared with left atrial (LA) dimension, LA emptying fraction (LAEF)
has received less emphasis as a predictor of atrial fibrillation (AF) recurrence
after radiofrequency catheter ablation (RFCA). In addition, patients experiencing
post-RFCA AF recurrence may respond to previously ineffective antiarrhythmic
drugs (AADs). Classifying these patients into a third RFCA outcome category is
recommended. OBJECTIVE: To identify predictors of RFCA outcome classified into
three categories, and to build proportional odds logistic regression models for
clinical applicability to predict AF recurrence. METHODS: Data were
retrospectively collected from 483 consecutive patients with drug-refractory AF
undergoing RFCA (328 men; age 58.4 +/- 11.5 years; 383 paroxysmal). Patients were
classified into 3 groups based on the last RFCA outcome: group 1, free from AF
without AADs; group 2, free from AF with AADs; and group 3, recurrence of AADs
refractory atrial tachyarrhythmia. RESULTS: After a mean follow-up duration of
64.5 +/- 43.2 months and mean ablation procedure number of 1.37 +/- 0.68, the
RFCA outcome showed 76.0%, 9.5% and 14.5% of patients in groups 1, 2, and 3,
respectively. In multivariate analysis, LAEF was the most stable and important
predictor of AF recurrence, followed by body mass index, stroke, AF duration,
mitral regurgitation, and LA linear ablation. For patients undergoing repeat
RFCA, LAEF was the only independent predictor (cutoffs: 43% and 35% for groups 1
and 3, respectively). CONCLUSION: LAEF provides optimal prognostic information
regarding the risk stratification of AF patients undergoing RFCA.
PMID- 29364911
TI - Scholastic performance and functional connectivity of brain networks in children.
AB - One of the keys to understanding scholastic success is to determine the neural
processes involved in school performance. The present study is the first to use a
whole-brain connectivity approach to explore whether functional connectivity of
resting state brain networks is associated with scholastic performance in seventy
four 7- to 9-year-old children. We demonstrate that children with higher
scholastic performance across reading, math and language have more integrated and
interconnected resting state networks, specifically the default mode network,
salience network, and frontoparietal network. To add specificity, core regions of
the dorsal attention and visual networks did not relate to scholastic
performance. The results extend the cognitive role of brain networks in children
as well as suggest the importance of network connectivity in scholastic success.
PMID- 29364914
TI - Relation of body mass index to risk of developing inflammatory bowel disease
amongst women in the Danish National Birth Cohort.
AB - BACKGROUND: Crohn's disease (CD) has traditionally been associated with weight
loss and low BMI, yet paradoxically obesity has recently been suggested as a risk
factor for CD, but not for ulcerative colitis (UC). We therefore hypothesized
that the relation between BMI and CD is U shaped. AIM: To conduct a large
population-based prospective cohort study of BMI and later risk of IBD, taking
age at IBD diagnosis into account. METHODS: A cohort of 74,512 women from the
Danish National Birth Cohort, with BMI measured pre-pregnancy and 18 months after
delivery, was followed for 1,022,250 person-years for development of IBD,
according to the Danish National Patient Register. Associations were tested by
Cox regression. RESULTS: Overweight subjects (25<=BMI<30 kg/m2) had the lowest
risk of CD, whereas obesity (BMI>=30kg/m2) increased the risk of CD at all ages,
and low BMI (BMI<18.5kg/m2) associated with CD diagnosed at age 18-<40 years.
Hence, using normal weight subjects as the reference, adjusted HRs for risk of
developing CD (at age 18-<40 years) were 1.8(95%CI, 0.9-3.7) for underweight,
0.6(0.3-1.2) for overweight, and 1.5(0.8-2.7) for obese individuals (pre
pregnancy BMI). HRs were greater for BMI determined 18 months after delivery.
Splines for CD risk according to waist:height ratio confirmed a U-shaped
relationship with CD occurring <40 years, and a linear relationship with CD
diagnosed at age 40+. There was no relationship between BMI and risk of UC.
CONCLUSION: For the first time, we demonstrate that both high BMI and low BMI are
risk factors for CD. Underweight may be a pre-clinical manifestation of disease
being present many years before onset with obesity being a true risk factor. This
raises the question as to whether there may be two distinct forms of CD.
PMID- 29364915
TI - Helicobacter pylori moderates the association between 5-MTHF concentration and
cognitive function in older adults.
AB - OBJECTIVE: To explore potential interactions between folate-cycle factors and
Helicobacter pylori seropositivity in the prediction of cognitive function.
METHODS: We used data obtained from the 1999-2000 continuous National Health and
Nutrition Examination Survey produced by the United States' Centers for Disease
Control and Prevention. Using Ordinary Least Squares regression, we tested for
associations between multiple folate-cycle factors, Helicobacter pylori
seropositivity, and cognitive function assessed by the digit symbol coding
subtest of the Wechsler Adult Intelligence Scale-III. We then tested for
interactions between each of the folate-cycle factors and Helicobacter pylori in
the prediction of cognitive function. RESULTS: Although Helicobacter pylori
seropositivity, 5-methyltetrahydrofolate, vitamin B-12, and homocysteine were not
associated with performance on the digit symbol coding task, Helicobacter pylori
seropositivity interacted with 5-methyltetrahydrofolate concentration to predict
performance on the digit symbol coding task. The Helicobacter pylori seropositive
group performed worse on the digit symbol coding task as 5-methyltetrahydrofolate
concentration decreased. CONCLUSION: The interaction between Helicobacter pylori
seropositivity and reduced folate-cycle factor 5-methyltetrahydrofolate might
impair aspects of cognitive function.
PMID- 29364913
TI - Effect of different levels of feed restriction and fish oil fatty acid
supplementation on fat deposition by using different techniques, plasma levels
and mRNA expression of several adipokines in broiler breeder hens.
AB - BACKGROUND: Reproductive hens are subjected to a restricted diet to limit the
decline in fertility associated with change in body mass. However, endocrine and
tissue responses to diet restriction need to be documented. OBJECTIVE: We
evaluated the effect of different levels of feed restriction, with or without
fish oil supplementation, on metabolic parameters and adipokine levels in plasma
and metabolic tissues of reproductive hens. METHODS: We designed an in vivo
protocol involving 4 groups of hens; RNS: restricted (Rt) unsupplemented, ANS: ad
libitum (Ad, receiving an amount of feed 1.7 times greater than animals on the
restricted diet) unsupplemented, RS: Rt supplemented, and AS: Ad supplemented.
The fish oil supplement was used at 1% of the total diet composition. RESULTS:
Hens fed with the Rt diet had a significantly (P < 0.0001) lower growth than Ad
hens, while the fish oil supplementation had no effect on these parameters.
Furthermore, the bioelectrical impedance analysis (BIA) and the fat
ultrasonographic examinations produced similar results to the other methods that
required animals to be killed (carcass analysis and weight of adipose tissue). In
addition, the Rt diet significantly (P < 0.05) decreased plasma levels of
triglycerides, phospholipids, glucose and ADIPOQ, and fish oil supplementation
decreased plasma levels of RARRES2. We also showed a positive correlation between
insulin values and ADIPOQ or NAMPT or RARRES2 values, and a negative correlation
of fat percentage to RARRES2 values. Moreover, the effects of the Rt diet and
fish oil supplementation on the mRNA expression depended on the factors tested
and the hen age. CONCLUSIONS: Rt diet and fish oil supplementation are able to
modulate metabolic parameters and the expression of adipokines and their
receptors in metabolic tissue.
PMID- 29364916
TI - Coexistence of coyotes (Canis latrans) and red foxes (Vulpes vulpes) in an urban
landscape.
AB - Urban environments are increasing worldwide and are inherently different than
their rural counterparts, with a variety of effects on wildlife due to human
presence, increased habitat fragmentation, movement barriers, and access to
anthropogenic food sources. Effective management of urban wildlife requires an
understanding of how urbanization affects their behavior and ecology. The spatial
activity and interactions of urban wildlife, however, have not been as rigorously
researched as in rural areas. From January 2015 to December 2016, we captured,
radio-collared, and tracked 11 coyotes and 12 red foxes in Madison, WI. Within
our study area, coyotes strongly selected home ranges with high proportions of
natural areas; conversely, red foxes selected home ranges with open space and
moderately developed areas. Use of highly developed areas best explained
variation among individual home range sizes and inversely affected home range
size for coyotes and red foxes. Coyote and red fox home ranges showed some degree
of spatial and temporal overlap, but generally appeared partitioned by habitat
type within our study area. Coyotes and red foxes were both active at similar
times of the day, but their movement patterns differed based on species-specific
habitat use. This spatial partitioning may promote positive co-existence between
these sympatric canids in urban areas, and our findings of spatial activity and
interactions will better inform wildlife managers working in urban areas.
PMID- 29364917
TI - Motivational power of future time perspective: Meta-analyses in education, work,
and health.
AB - Future time perspective (FTP) may predict individual attitudes and behaviors.
However, FTP research includes different FTP conceptualizations and outcomes
which hinder generalizing its findings. To solve the inconsistencies in FTP
research and generalize the magnitude of FTP as a driver of motivation and
behavior, we conducted the first systematical synthesis of FTP relationships in
three crucial life domains. Our meta-analyses of FTP studies in education (k =
28), work (k = 17), and health (k = 32) involved N = 31,558 participants, and
used a conceptual model for grouping FTP constructs. To address different outcome
types, we applied the Theory of Planned Behavior when coding the studies. FTP
relationships with outcomes were small-to-medium, were generalizable across
domains, and were strongest when the FTP construct included a mixture of
cognition, behavioral intention, and affect and, in education, when the FTP
measure was domain specific rather than general. There were cross-cultural
differences in FTP-outcome relationships. The strength of the FTP-outcome types
relationship varied for attitudes, perceived behavioral control, behavioral
intention, and behaviors. The lowest effect sizes were found for FTP predicting
actual behaviors in education, work, and health and between FTP and health
attitudes. Theoretical implications of the findings and future research
directions are discussed.
PMID- 29364918
TI - Riociguat versus sildenafil on hypoxic pulmonary vasoconstriction and
ventilation/perfusion matching.
AB - INTRODUCTION: Current treatment with vasodilators for pulmonary hypertension
associated with respiratory diseases is limited by their inhibitory effect on
hypoxic pulmonary vasoconstriction (HPV) and uncoupling effects on ventilation
perfusion (V'/Q'). Hypoxia is also a well-known modulator of the nitric oxide
(NO) pathway, and may therefore differentially affect the responses to
phosphodiesterase 5 (PDE5) inhibitors and soluble guanylyl cyclase (sGC)
stimulators. So far, the effects of the sGC stimulator riociguat on HPV have been
poorly characterized. MATERIALS AND METHODS: Contraction was recorded in
pulmonary arteries (PA) in a wire myograph. Anesthetized rats were catheterized
to record PA pressure. Ventilation and perfusion were analyzed by micro-CT-SPECT
images in rats with pulmonary fibrosis induced by bleomycin. RESULTS: The PDE5
inhibitor sildenafil and the sGC stimulator riociguat similarly inhibited HPV in
vitro and in vivo. Riociguat was more effective as vasodilator in isolated rat
and human PA than sildenafil. Riociguat was ~3-fold more potent under hypoxic
conditions and it markedly inhibited HPV in vivo at a dose that barely affected
the thromboxane A2 (TXA2) mimetic U46619-induced pressor responses. Pulmonary
fibrosis was associated with V'/Q' uncoupling and riociguat did not affect the
V'/Q' ratio. CONCLUSION: PDE5 inhibitors and sGC stimulators show a different
vasodilator profile. Riociguat was highly effective and potentiated by hypoxia in
rat and human PA. In vivo, riociguat preferentially inhibited hypoxic than non
hypoxic vasoconstriction. However, it did not worsen V'/Q' coupling in a rat
model of pulmonary fibrosis.
PMID- 29364919
TI - Genome-wide analyses of the Bemisia tabaci species complex reveal contrasting
patterns of admixture and complex demographic histories.
AB - Once considered a single species, the whitefly, Bemisia tabaci, is a complex of
numerous morphologically indistinguishable species. Within the last three
decades, two of its members (MED and MEAM1) have become some of the world's most
damaging agricultural pests invading countries across Europe, Africa, Asia and
the Americas and affecting a vast range of agriculturally important food and
fiber crops through both feeding-related damage and the transmission of numerous
plant viruses. For some time now, researchers have relied on a single
mitochondrial gene and/or a handful of nuclear markers to study this species
complex. Here, we move beyond this by using 38,041 genome-wide Single Nucleotide
Polymorphisms, and show that the two invasive members of the complex are closely
related species with signatures of introgression with a third species (IO). Gene
flow patterns were traced between contemporary invasive populations within MED
and MEAM1 species and these were best explained by recent international trade.
These findings have profound implications for delineating the B. tabaci species
status and will impact quarantine measures and future management strategies of
this global pest.
PMID- 29364920
TI - Correlated microtiming deviations in jazz and rock music.
AB - Musical rhythms performed by humans typically show temporal fluctuations. While
they have been characterized in simple rhythmic tasks, it is an open question
what is the nature of temporal fluctuations, when several musicians perform music
jointly in all its natural complexity. To study such fluctuations in over 100
original jazz and rock/pop recordings played with and without metronome we
developed a semi-automated workflow allowing the extraction of cymbal beat onsets
with millisecond precision. Analyzing the inter-beat interval (IBI) time series
revealed evidence for two long-range correlated processes characterized by power
laws in the IBI power spectral densities. One process dominates on short
timescales (t < 8 beats) and reflects microtiming variability in the generation
of single beats. The other dominates on longer timescales and reflects slow tempo
variations. Whereas the latter did not show differences between musical genres
(jazz vs. rock/pop), the process on short timescales showed higher variability
for jazz recordings, indicating that jazz makes stronger use of microtiming
fluctuations within a measure than rock/pop. Our results elucidate principles of
rhythmic performance and can inspire algorithms for artificial music generation.
By studying microtiming fluctuations in original music recordings, we bridge the
gap between minimalistic tapping paradigms and expressive rhythmic performances.
PMID- 29364922
TI - Correction: FGF Signalling Regulates Chromatin Organisation during Neural
Differentiation via Mechanisms that Can Be Uncoupled from Transcription.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1003614.].
PMID- 29364921
TI - Luteal and hypophyseal expression of the canine relaxin (RLN) system during
pregnancy: Implications for luteotropic function.
AB - By acting through its receptors (RXFP1, RXFP2), relaxin (RLN) exerts species
specific effects during pregnancy; possible luteotropic effects through
stimulation of prolactin (PRL) release have been suggested. In the domestic dog
(Canis lupus familiaris) serum PRL increases in pregnant bitches shortly after
RLN appears in the circulation, and a possible functional relationship between
the RLN and the PRL systems in regulating progesterone secretion has been
implied. Therefore, here (Study 1) the luteal expression and localization of the
RLN system was investigated by immunohistochemistry using custom-made antibodies
and semi-quantitative PCR, at selected time points during gestation: pre
implantation (d. 8-12), post-implantation (d. 18-25), mid-gestation (d. 35-40)
and at normal and antigestagen-induced luteolysis. Further, (Study 2) hypophyseal
expression of the RLN system and its spatial association with PRL was assessed.
Luteal expression of RLN, but not of its receptors, was time-dependent: it
increased significantly following implantation towards mid-gestation and
decreased at prepartum. Antigestagen treatment resulted in downregulation of RLN
and RXFP2. Whereas RLN was localized in steroidogenic cells, RXFP1 and RXFP2 also
stained strongly in macrophages and vascular endothelial cells. The RLN system
was detected in the canine adenohypophysis and was co-localized with PRL in
hypophyseal lactotrophs. The intraluteal RLN seems to be involved in regulating
the canine corpus luteum (CL) in a time-dependent manner. The presence of RLN
family members in the adenohypophysis implies their possible involvement in
regulating the availability of PRL and other pituitary hormones.
PMID- 29364923
TI - The clinical impact of bacteremia on outcomes in elderly patients with
pyelonephritis or urinary sepsis: A prospective multicenter study.
AB - BACKGROUND: Bacteremia is common in severe urinary infections, but its influence
on the outcomes is not well established. The aim of this study was to assess the
association of bacteremia with outcomes in elderly patients admitted to hospital
with pyelonephritis or urinary sepsis. METHODS: This prospective muticenter
observational study was conducted at 5 Spanish hospitals. All patients aged >65
years with pyelonephritis or urinary sepsis admitted to the departments of
internal medicine and with urine and blood cultures obtained at admission to
hospital were eligible. Transfer to ICU, length of hospital stay, hospital
mortality and all cause 30-day mortality in bacteremic and non-bacteremic groups
were compared. Risk factors for all cause 30-day mortality was also estimated.
RESULTS: Of the 424 patients included in the study 181 (42.7%) had bacteremia.
Neither transfer to ICU (4.4% vs. 2.9%, p = 0.400), nor length of hospital stay
(9.7+/-4.6 days vs. 9.0+/-7.3 days, p = 0.252), nor hospital mortality (3.3% vs.
6.2%, p = 0.187), nor all cause 30-day mortality (9.4% vs. 13.2%, p = 0.223) were
different between bacteremic and non-bacteremic groups. By multivariate analysis,
risk factors for all cause 30-day mortality were age (OR 1.05, 95% CI 1.00-1.10),
McCabe index >=2 (OR 10.47, 95% CI 2.96-37.04) and septic shock (OR 8.56, 95% CI
2.86-25.61); whereas, bacteremia was inversely associated with all cause 30-day
mortality (OR 0.33, 95% CI 0.15-0.71). CONCLUSIONS: In this cohort, bacteremia
was not associated with a worse prognosis in elderly patients with pyelonephritis
or urinary sepsis.
PMID- 29364924
TI - Adipose tissue depot volume relationships with spinal trabecular bone mineral
density in African Americans with diabetes.
AB - Changes in select adipose tissue volumes may differentially impact bone mineral
density. This study was performed to assess cross-sectional and longitudinal
relationships between computed tomography-determined visceral (VAT), subcutaneous
(SAT), inter-muscular (IMAT), and pericardial adipose tissue (PAT) volumes with
respective changes in thoracic vertebral and lumbar vertebral volumetric
trabecular bone mineral density (vBMD) in African Americans with type 2 diabetes.
Generalized linear models were fitted to test relationships between baseline and
change in adipose volumes with change in vBMD in 300 African American-Diabetes
Heart Study participants; adjustment was performed for age, sex, diabetes
duration, study interval, smoking, hypertension, BMI, kidney function, and
medications. Participants were 50% female with mean +/- SD age 55.1+/-9.0 years,
diabetes duration 10.2+/-7.2 years, and BMI 34.7+/-7.7 kg/m2. Over 5.3 +/- 1.4
years, mean vBMD decreased in thoracic/lumbar spine, while mean adipose tissue
volumes increased in SAT, IMAT, and PAT, but not VAT depots. In fully-adjusted
models, changes in lumbar and thoracic vBMD were positively associated with
change in SAT (beta[SE] 0.045[0.011], p<0.0001; 0.40[0.013], p = 0.002,
respectively). Change in thoracic vBMD was positively associated with change in
IMAT (p = 0.029) and VAT (p = 0.016); and change in lumbar vBMD positively
associated with baseline IMAT (p<0.0001). In contrast, vBMD was not associated
with change in PAT. After adjusting for BMI, baseline and change in volumes of
select adipose depots were associated with increases in thoracic and lumbar
trabecular vBMD in African Americans. Effects of adiposity on trabecular bone
appear to be site-specific and related to factors beyond mechanical load.
PMID- 29364925
TI - The predictive value of bedside ultrasound to restore spontaneous circulation in
patients with pulseless electrical activity: A systematic review and meta
analysis.
AB - BACKGROUND: The prognosis of pulseless electrical activity is dismal. However, it
is still challengable to decide when to terminate or continue resuscitation
efforts. The aim of this study was to determine whether the use of bedside
ultrasound (US) could predict the restoration of spontaneous circulation (ROSC)
in patients with pulseless electrical activity (PEA) through the identification
of cardiac activity. METHODS: This was a systematic review and meta-analysis of
studies that used US to predict ROSC. A search of electronic databases (Cochrane
Central, MEDLINE, EMBASE) was conducted up to June 2017, and the assessment of
study quality was performed with the Newcastle-Ottawa Scale. Statistical analysis
was performed with Review Manager 5.3 and Stata 12. RESULTS: Eleven studies that
enrolled a total of 777 PEA patients were included. A total of 230 patients
experienced ROSC. Of these, 188 had sonographically identified cardiac activity
(pseudo-PEA). A meta-analysis showed that PEA patients with cardiac activity on
US were more likely to obtain ROSC compared to those with cardiac standstill:
risk ratio (RR) = 4.35 (95% confidence interval [CI], 2.20-8.63; p<0,00001) with
significant statistical heterogeneity (I2 = 60%). Subgroup analyses were
conducted: US evaluation using only on the subxiphoid view: RR = 1.99 (95% CI,
0.79-5.02; p = 0.15); evaluation using various views: RR = 4.09 (95% CI,2.70
6.02; p<0.00001). CONCLUSIONS: In cardiac arrest patients who present with PEA,
bedside US has an important role in predicting ROSC. The presence of cardiac
activity in PEA patients may encourage more aggressive resuscitation.
PMID- 29364926
TI - Bias due to differential participation in case-control studies and review of
available approaches for adjustment.
AB - OBJECTIVES: Low response rates in epidemiologic research potentially lead to the
recruitment of a non-representative sample of controls in case-control studies.
Problems in the unbiased estimation of odds ratios arise when characteristics
causing the probability of participation are associated with exposure and
outcome. This is a specific setting of selection bias and a realistic hazard in
many case-control studies. This paper formally describes the problem and shows
its potential extent, reviews existing approaches for bias adjustment applicable
under certain conditions, compares and applies them. METHODS: We focus on two
scenarios: a characteristic C causing differential participation of controls is
linked to the outcome through its association with risk factor E (scenario I),
and C is additionally a genuine risk factor itself (scenario II). We further
assume external data sources are available which provide an unbiased estimate of
C in the underlying population. Given these scenarios, we (i) review available
approaches and their performance in the setting of bias due to differential
participation; (ii) describe two existing approaches to correct for the bias in
both scenarios in more detail; (iii) present the magnitude of the resulting bias
by simulation if the selection of a non-representative sample is ignored; and
(iv) demonstrate the approaches' application via data from a case-control study
on stroke. FINDINGS: The bias of the effect measure for variable E in scenario I
and C in scenario II can be large and should therefore be adjusted for in any
analysis. It is positively associated with the difference in response rates
between groups of the characteristic causing differential participation, and
inversely associated with the total response rate in the controls. Adjustment in
a standard logistic regression framework is possible in both scenarios if the
population distribution of the characteristic causing differential participation
is known or can be approximated well.
PMID- 29364927
TI - Viral protein Nef is detected in plasma of half of HIV-infected adults with
undetectable plasma HIV RNA.
AB - OBJECTIVE: To address the role of translationally active HIV reservoir in chronic
inflammation and non-AIDS related disorders, we first need a simple and accurate
assay to evaluate viral protein expression in virally suppressed subjects.
DESIGN: We optimized an HIV Nef enzyme-linked immunosorbent assay (ELISA) and
used it to quantify plasma Nef levels as an indicator of the leaky HIV reservoir
in an HIV-infected cohort. METHODS: This study accessed 134 plasma samples from a
well-characterized cohort study of HIV-infected and uninfected adults in San
Francisco (the SCOPE cohort). We optimized an ELISA for detection of plasma Nef
in HIV-negative subjects and HIV-infected non-controllers, and evaluated its
utility to quantify plasma Nef levels in a cross-sectional study of ART
suppressed and elite controller HIV-infected subjects. RESULTS: Here, we describe
the performance of an optimized HIV Nef ELISA. When we applied this assay to the
study cohort we found that plasma Nef levels were correlated with plasma HIV RNA
levels in untreated disease. However, we were able to detect Nef in plasma of
approximately half of subjects on ART or with elite control, despite the lack of
detectable plasma HIV RNA levels using standard assays. Plasma Nef levels were
not consistently associated with CD4+ T-cell count, CD8+ T-cell count, self
reported nadir CD4+ T-cell count or the CD4+/CD8+ T-cell ratio in HIV-infected
subjects. CONCLUSION: Since plasma HIV RNA levels are undetectable in virally
suppressed subjects, it is reasonable to assume that viral protein expression in
leaky reservoir, and not plasma virions, is the source of Nef accumulating in
plasma. To examine this further, improvements of the assay sensitivity, by
lowering the background through improvements in the quality of Nef antibodies,
and detailed characterization of the HIV reservoirs are needed.
PMID- 29364928
TI - Prevalence and predictors of depressive symptoms among HIV-positive men who
inject drugs in Vietnam.
AB - BACKGROUND: HIV infection is common among people who inject drugs (PWID), and HIV
positive PWID may be particularly vulnerable to depression. This study measured
the prevalence of depressive symptoms and the factors associated with severe
symptoms among 455 HIV-positive PWID in Thai Nguyen, Vietnam. METHODS: We used
cross-sectional data from PWID in a randomized controlled trial of an
intervention to reduce high-risk injecting and sexual behaviors in Thai Nguyen
from 2009-2013. Depressive symptoms were measured with the Center for
Epidemiologic Studies Depression Scale (CES-D). We used logistic regression to
assess demographic, clinical, and psychosocial predictors of severe depressive
symptoms (CES-D>=23) with prevalence odds ratios (POR) and 95% confidence
intervals (CI). RESULTS: The prevalence of severe depressive symptoms (CES-D>=23)
was 44%. 25% of participants had mild to moderate depressive symptoms (16<=CES
D<23), and 31% experienced no depressive symptoms (CES-D<16). Not being married,
self-rated poor health, greater frequency of injection drug use, history of
overdose, no alcohol use, and daily cigarette smoking were positively associated
with severe depressive symptoms in unadjusted models and remained predictive in a
multivariable model. The strongest predictors of depressive symptoms were self
reported poor health (POR = 2.94, 95% CI: 1.82, 4.76), no current alcohol use
(POR = 2.35, 95% CI: 1.47, 3.77), and not currently married or cohabitating (POR
= 2.21, 95% CI = 1.40, 3.47). CONCLUSION: Severe depressive symptoms were common
among HIV-positive PWID in Thai Nguyen and were strongly associated with
demographic, clinical, and psychosocial factors. Interventions that promote
social support from family and reduce drug dependence may particularly benefit
PWID experiencing severe depressive symptoms. Greater recognition and treatment
of depressive symptoms has the potential to enhance quality of life and improve
HIV clinical outcomes for PWID.
PMID- 29364929
TI - Real-life effectiveness and safety of salbutamol Steri-NebTM vs. Ventolin
Nebules(r) for exacerbations in patients with COPD: Historical cohort study.
AB - INTRODUCTION: Ventolin Nebules(r) (reference product; GlaxoSmithKline) was the
first licensed nebulizer solution containing the rapid-onset, short-acting beta2
agonist salbutamol. Salbutamol Steri-NebTM (comparator; Teva Pharmaceuticals,
Inc.) has the same chemical composition as the reference product. This study
evaluated whether the effectiveness of the comparator is non-inferior to the
reference product alongside concomitant medications during real-life clinical
management of COPD exacerbations. Safety in terms of adverse events (AEs) was
also examined. METHODS: This matched (1:1) historical cohort study evaluated data
from 2 UK primary care databases on patients prescribed the salbutamol comparator
or reference. The study included a 1-year baseline period, starting 1 year before
the index prescription date, and 1-year outcome period. Cohorts were matched for
baseline COPD respiratory medications. The primary outcome was analysis of non
inferiority for the comparator versus reference product for the rate of moderate
and severe COPD exacerbations. Non-inferiority was satisfied if the 95%
confidence interval (CI) upper limit for mean differences in proportions between
treatments was <15%. Secondary outcomes were examined through rate ratios (RR) of
severe exacerbations and AEs. RESULTS: After matching, 1191 patients were
included in each cohort. Adjusted upper 95% CI for the difference in proportion
of patients experiencing moderate or severe exacerbations between comparator and
reference groups was 0.032 (3.2%), demonstrating non-inferiority. No significant
differences were observed in rates of moderate and severe exacerbations (RR:
1.00; 95% CI: 0.91, 1.10), severe exacerbations (RR: 0.76; 95% CI: 0.49, 1.17),
or AEs (RR: 0.98; 95% CI: 0.78, 1.22) after adjusting for baseline confounders.
No significant differences across cohorts were observed for rates of any AE or
death. CONCLUSION: This matched cohort study of real-life management of COPD
patients supports the salbutamol comparator as non-inferior to the reference
product, providing an effective treatment alternative for COPD exacerbations.
Comparator and reference safety profiles were similar.
PMID- 29364930
TI - Detection of DNA oligonucleotides with base mutations by terahertz spectroscopy
and microstructures.
AB - DNA oligonucleotides with a 5-base mutation at the 3'-terminus were investigated
by terahertz (THz) spectroscopy in a marker-free manner. The four single-stranded
oligonucleotides with 17nt have been detected with specificity on a microfluidic
chip, and corroborated by spectral measurements with split-ring resonators. The
number of hydrogen bonds formed between the oligonucleotide and its surrounding
water molecules, deemed a key contribution to the THz absorption of biological
solutions, was explored by molecular dynamics simulations to explain the
experimental findings. Our work underlies the feasibility of THz spectroscopy
combined with microstructures for marker-free detection of DNA, which may form
the basis of a prospective diagnostic tool for studying genic mutation.
PMID- 29364931
TI - Object-based attention modulates the discrimination of level increments in stop
consonant noise bursts.
AB - This study tested the hypothesis that object-based attention modulates the
discrimination of level increments in stop-consonant noise bursts. With consonant
vowel-consonant (CvC) words consisting of an ~80-dB vowel (v), a pre-vocalic (Cv)
and a post-vocalic (vC) stop-consonant noise burst (~60-dB SPL), we measured
discrimination thresholds (LDTs) for level increments (DeltaL) in the noise
bursts presented either in CvC context or in isolation. In the 2-interval 2
alternative forced-choice task, each observation interval presented a CvC word
(e.g., /paek/ /paek/), and normal-hearing participants had to discern DeltaL in
the Cv or vC burst. Based on the linguistic word labels, the auditory events of
each trial were perceived as two auditory objects (Cv-v-vC and Cv-v-vC) that
group together the bursts and vowels, hindering selective attention to DeltaL. To
discern DeltaL in Cv or vC, the events must be reorganized into three auditory
objects: the to-be-attended pre-vocalic (Cv-Cv) or post-vocalic burst pair (vC
vC), and the to-be-ignored vowel pair (v-v). Our results suggest that instead of
being automatic this reorganization requires training, in spite of using familiar
CvC words. Relative to bursts in isolation, bursts in context always produced
inferior DeltaL discrimination accuracy (a context effect), which depended
strongly on the acoustic separation between the bursts and the vowel, being much
keener for the object apart from (post-vocalic) than for the object adjoining
(pre-vocalic) the vowel (a temporal-position effect). Variability in CvC
dimensions that did not alter the noise-burst perceptual grouping had minor
effects on discrimination accuracy. In addition to being robust and persistent,
these effects are relatively general, evincing in forced-choice tasks with one or
two observation intervals, with or without variability in the temporal position
of DeltaL, and with either fixed or roving CvC standards. The results lend
support to the hypothesis.
PMID- 29364932
TI - Prediction of movement intention using connectivity within motor-related network:
An electrocorticography study.
AB - Most brain-machine interface (BMI) studies have focused only on the active state
of which a BMI user performs specific movement tasks. Therefore, models developed
for predicting movements were optimized only for the active state. The models may
not be suitable in the idle state during resting. This potential maladaptation
could lead to a sudden accident or unintended movement resulting from prediction
error. Prediction of movement intention is important to develop a more efficient
and reasonable BMI system which could be selectively operated depending on the
user's intention. Physical movement is performed through the serial change of
brain states: idle, planning, execution, and recovery. The motor networks in the
primary motor cortex and the dorsolateral prefrontal cortex are involved in these
movement states. Neuronal communication differs between the states. Therefore,
connectivity may change depending on the states. In this study, we investigated
the temporal dynamics of connectivity in dorsolateral prefrontal cortex and
primary motor cortex to predict movement intention. Movement intention was
successfully predicted by connectivity dynamics which may reflect changes in
movement states. Furthermore, dorsolateral prefrontal cortex is crucial in
predicting movement intention to which primary motor cortex contributes. These
results suggest that brain connectivity is an excellent approach in predicting
movement intention.
PMID- 29364933
TI - Long-term dietary replacement of fishmeal and fish oil in diets for rainbow trout
(Oncorhynchus mykiss): Effects on growth, whole body fatty acids and intestinal
and hepatic gene expression.
AB - The effects of replacing fishmeal and fish oil with a plant-based diet were
studied in juvenile (10g) and ongrowing (250-350g) rainbow trout from first
feeding. Feed-related differences in the intestinal and hepatic transcriptome
were examined in juveniles after 7 months of feeding at 7 degrees C. Based on
microarray results obtained for juveniles, the expression of selected genes
related to lipid, cholesterol and energy metabolisms, was assessed by RT-qPCR in
ongrowing trout after 6 additional months of feeding at 17 degrees C. Plasma
glucose and cholesterol, lipid content and fatty acid profile of whole body were
analyzed at both stages. After 7 months at 7 degrees C, all juveniles reached the
same body weight (10g), while at 13 months ongrowing fish fed the totally plant
based diet exhibited lower body weight (234 vs 330-337g). Body lipid content was
higher in juveniles fed the totally plant-based diet (13.2 vs 9.4-9.9%), and
plasma cholesterol was about 2-times lower in trout fed the plant-based diets at
both stages. Fatty acid profile mirrored that of the respective diet, with low
proportions of long-chain n-3 polyunsaturated fatty acids in fish fed plant-based
diets. Genes involved in protein catabolism, carbohydrate metabolism and
trafficking were down-regulated in the intestines of juveniles fed the plant
based diets. This was not true for ongrowing fish. Genes involved in lipid and
cholesterol metabolisms were up-regulated in the livers of fish fed plant-based
diets for both stages. In this study, feeding trout a totally plant-based diet
from first-feeding affect a relatively low proportion of metabolism-related
genes. In the longer term, when fish were reared at a higher temperature, only
some of these changes were maintained (i.e. up-regulation of lipid/cholesterol
metabolism). Although the plant-based diets tested in this study had no major
deficiencies, small adjustments in the feed-formula are needed to further
optimize growth performance while sparing marine resources.
PMID- 29364934
TI - Least-detectable and age-related local in vivo bone remodelling assessed by time
lapse HR-pQCT.
AB - We previously developed an image analysis approach for the determination of local
sites of bone remodelling using time-lapse in vivo HR-pQCT. The involved image
filtering for removing noise was chosen rather aggressively, and also removed
some effects of the bone remodelling. In this paper, we quantify these filtering
settings using ex vivo reproducibility HR-pQCT images, and determine the least
detectable bone remodelling using in vivo reproducibility HR-pQCT images, as well
as testing whether the approach is capable of capturing age-related bone
remodelling by use of in vivo long-term HR-pQCT images. We found that a threshold
value of 225 mg HA/cm3 for the filtering led to acceptable results with falsely
determined bone remodelling of less than 0.5%, and that the least-detectable bone
formation and bone resorption are 2.0 +/- 1.0% and 2.2 +/- 0.7% respectively. We
also found that age-related local bone remodelling can be captured satisfactorily
in postmenopausal women. The latter revealed new insights into the effect of
ageing on bone remodelling, and showed that bone remodelling seems to take place
through a few small formation packets and many large resorption volumes leading
to a net bone loss. We conclude that local in vivo bone remodelling can be
successfully assessed with time-lapse in vivo HR-pQCT capable of assessing age
related changes in bone remodelling.
PMID- 29364936
TI - Empirical study of lane-changing behavior on three Chinese freeways.
AB - Lane-changing (LC) behavior is investigated on Chinese freeways, where the
driving circumstances are relatively aggressive. Three data sets were collected
from urban expressways and an intercity highway in the form of traffic videos.
Different aspects of LC behaviors are analyzed, i.e., the LC rate, motivation,
target lane choice and impact on traffic. The results suggest that LC is a
transient behavior that randomly occurs with high frequency, which is the main
feature of aggressive driving. Several LC patterns and the combination effect of
ramps, fast lanes and various vehicle types are presented. The influence of LC on
local traffic endures for approximately 15 to 30 s, which rapidly increases and
slowly declines. LC behavior will increase the risk of high-speed car-following.
All results are obtained from the empirical data; they will be useful for traffic
management and traffic modeling.
PMID- 29364935
TI - Effects of Mindfulness-Based Stress Reduction on employees' mental health: A
systematic review.
AB - OBJECTIVES: The purpose of this exploratory study was to obtain greater insight
into the effects of Mindfulness-Based Stress Reduction (MBSR) and Mindfulness
Based Cognitive Therapy (MBCT) on the mental health of employees. METHODS: Using
PsycINFO, PubMed, and CINAHL, we performed a systematic review in October 2015 of
studies investigating the effects of MBSR and MBCT on various aspects of
employees' mental health. Studies with a pre-post design (i.e. without a control
group) were excluded. RESULTS: 24 articles were identified, describing 23
studies: 22 on the effects of MBSR and 1 on the effects of MBSR in combination
with some aspects of MBCT. Since no study focused exclusively on MBCT, its
effects are not described in this systematic review. Of the 23 studies, 2 were of
high methodological quality, 15 were of medium quality and 6 were of low quality.
A meta-analysis was not performed due to the emergent and relatively uncharted
nature of the topic of investigation, the exploratory character of this study,
and the diversity of outcomes in the studies reviewed. Based on our analysis, the
strongest outcomes were reduced levels of emotional exhaustion (a dimension of
burnout), stress, psychological distress, depression, anxiety, and occupational
stress. Improvements were found in terms of mindfulness, personal accomplishment
(a dimension of burnout), (occupational) self-compassion, quality of sleep, and
relaxation. CONCLUSION: The results of this systematic review suggest that MBSR
may help to improve psychological functioning in employees.
PMID- 29364937
TI - The impact of stillbirth on bereaved parents: A qualitative study.
AB - PURPOSE: To explore the lived experiences and personal impact of stillbirth on
bereaved parents. METHODS: Semi-structured in-depth interviews analysed by
Interpretative Phenomenological Analysis (IPA) on a purposive sample of parents
of twelve babies born following fetal death at a tertiary university maternity
hospital in Ireland with a birth rate of c8,500 per annum and a stillbirth rate
of 4.6/1000. RESULTS: Stillbirth had a profound and enduring impact on bereaved
parents. Four superordinate themes relating to the human impact of stillbirth
emerged from the data: maintaining hope, importance of the personhood of the
baby, protective care and relationships (personal and professional). Bereaved
parents recalled in vivid detail their experiences of care following diagnosis of
stillbirth and their subsequent care. The time between diagnosis of a life
limiting anomaly or stillbirth and delivery is highlighted as important for
parents as they find meaning in their loss. CONCLUSIONS: The impact of stillbirth
on bereaved parents is immense and how parents are cared for is recalled in
precise detail as they revisit their experience. Building on existing literature,
these data bring to light the depth of personal experience and impact of
stillbirth for parents and provides medical professionals with valuable insights
to inform their care of bereaved parents and the importance of clear and
sensitive communication.
PMID- 29364938
TI - Health-related quality of life inequalities by sexual orientation: Results from
the Barcelona Health Interview Survey.
AB - BACKGROUND: Studies on health-related quality of life (HRQoL) inequalities
according to sexual orientation are scarce. The aim of this study was to assess
HRQoL inequalities between lesbian, gay, and bisexual (LGB) people and
heterosexuals in the 2011 Barcelona population, to describe the extent to which
sociodemographic characteristics, health-related behaviors, and chronic
conditions could explain such inequalities, and to understand if they are sexual
orientation inequities. METHODS: In the 2011 Barcelona Health Interview Survey
3277 adults answered the EQ-5D, which measures five dimensions of HRQoL
summarized into a single utility index (1 = perfect health, 0 = death). To assess
HRQoL differences by sexual orientation we constructed Tobit models for the EQ-5D
index, and Poisson regression models for the EQ-5D dimensions. In both cases,
nested models were constructed to assess the mediator role of selected variables.
RESULTS: After adjusting by socio-demographic variables, the LGB group presented
a significantly lower EQ-5D index than heterosexuals, and higher prevalence
ratios of problems in physical EQ-5D dimensions among both genders: adjusted
prevalence ratio (aPR) = 1.70 for mobility (p = 0.046) and 2.11 for usual
activities (p = 0.019). Differences in mental dimensions were only observed among
men: aPR = 3.15 for pain/discomfort (p = 0.003) and 2.49 for anxiety/depression
(p = 0.030). All these differences by sexual orientation disappeared after adding
chronic conditions and health-related behaviors in the models. CONCLUSION: The
LGB population presented worse HRQoL than heterosexuals in the EQ-5D index and
most dimensions. Chronic conditions, health-related behaviors and gender play a
major role in explaining HRQoL differences by sexual orientation. These findings
support the need of including sexual orientation into the global agenda of health
inequities.
PMID- 29364940
TI - Similarities and differences among half-marathon runners according to their
performance level.
AB - This study aimed to identify the similarities and differences among half-marathon
runners in relation to their performance level. Forty-eight male runners were
classified into 4 groups according to their performance level in a half-marathon
(min): Group 1 (n = 11, < 70 min), Group 2 (n = 13, < 80 min), Group 3 (n = 13, <
90 min), Group 4 (n = 11, < 105 min). In two separate sessions, training-related,
anthropometric, physiological, foot strike pattern and spatio-temporal variables
were recorded. Significant differences (p<0.05) between groups (ES = 0.55-3.16)
and correlations with performance were obtained (r = 0.34-0.92) in training
related (experience and running distance per week), anthropometric (mass, body
mass index and sum of 6 skinfolds), physiological (VO2max, RCT and running
economy), foot strike pattern and spatio-temporal variables (contact time, step
rate and length). At standardized submaximal speeds (11, 13 and 15 km.h-1), no
significant differences between groups were observed in step rate and length,
neither in contact time when foot strike pattern was taken into account. In
conclusion, apart from training-related, anthropometric and physiological
variables, foot strike pattern and step length were the only biomechanical
variables sensitive to half-marathon performance, which are essential to achieve
high running speeds. However, when foot strike pattern and running speeds were
controlled (submaximal test), the spatio-temporal variables were similar. This
indicates that foot strike pattern and running speed are responsible for spatio
temporal differences among runners of different performance level.
PMID- 29364939
TI - Association between dietary protein intake and grip strength among adults aged 51
years and over: What We Eat in America, National Health and Nutrition Examination
Survey 2011-2014.
AB - INTRODUCTION: Distributing daily protein intake evenly across meals (~25
30g/meal) has been suggested to improve muscle mass. The aim of this research is
to examine the association between grip strength, total protein intake and its
distribution across day's meals in older adults. METHODS: Nationally
representative dietary intake data of adults aged 51 years and older (n = 4,123)
who participated in What We Eat in America, NHANES 2011-2014 were analyzed.
Protein intake per day and per eating occasion (breakfast, lunch, dinner, and
snack) were determined. Combined grip strength was calculated and expressed in
kilograms. Grip strength of individuals consuming >=25g protein at 1 eating
occasion was compared with those consuming same level of protein at 2 and 3 or
more eating occasions. Grip strength of individuals in quartile 1 of daily
protein intake was compared to those in the other quartiles. All associations
were examined without and with adjustment for age, race/ethnicity, physical
activity, health status, and smoking status. The comparison involving eating
occasions and protein intake quartiles were further adjusted for daily protein
intake and energy intake, respectively. RESULTS: Only 33% of men and 19% of women
had protein intake of >=25g at 2 or more eating occasions. These individuals also
had higher grip strength and daily protein intake. Grip strength was positively
associated with consumption of >=25g protein at 2 eating occasions as compared to
consumption of same level of protein at 1 eating occasion (p<0.05) in unadjusted
model, but not when adjusted. Grip strength was positively associated with daily
protein intake among women in quartiles 3 and 4 (p<0.05) of protein intake in
both unadjusted and adjusted models compared to lowest protein intake. Among men,
grip strength was associated with daily protein intake in quartiles 3 and 4
(p<0.05) in the unadjusted model, but not when adjusted. CONCLUSION: In a
nationally representative sample of older adults, consuming >=25g protein at 2 or
more eating occasions was not associated with grip strength. However, higher
daily protein intake was positively associated with grip strength in women.
PMID- 29364941
TI - Prognostic value of presepsin in adult patients with sepsis: Systematic review
and meta-analysis.
AB - OBJECTIVE: Presepsin is a novel biomarker to diagnose sepsis but its prognostic
value has not been comprehensively reviewed. We conducted this meta-analysis to
evaluate the mortality prediction value of presepsin in sepsis. METHODS: We
searched comprehensive electronic databases from PubMed, EMBASE, and Cochrane
Library through September 2017 using the key words of ('presepsin' or 'sCD14-ST'
or 'soluble CD14 subtype') and ('sepsis' or 'septic shock') and ('prognosis' or
'prognostic value' or 'prognostic biomarker' or 'mortality'). We extracted the
presepsin levels in survivors and non-survivors from each individual study and
evaluated the standardized mean difference (SMD) using a web-based meta-analysis
with the R statistical analysis program. RESULTS: A total of 10 studies and 1617
patients were included. Presepsin levels in the first sampling (within 24 hours)
were significantly lower among survivors as compared with non-survivors: the
pooled SMD between survivors and non-survivors was 0.92 (95% CI: 0.62-1.22) in
the random effects model (I2 = 79%, P< 0.01). In subgroups, divided by the sepsis
severity or study site, pooled SMD was consistently noting higher presepsin
levels in non-survivals (P< 0.05). CONCLUSION: This meta-analysis demonstrates
some mortality prediction value in presepsin in patients with sepsis. Further
studies are needed to define the optimal cut-off point to predict mortality in
sepsis.
PMID- 29364942
TI - Seed germination response to high temperature and water stress in three invasive
Asteraceae weeds from Xishuangbanna, SW China.
AB - Crassocephalum crepidioides, Conyza canadensis, and Ageratum conyzoides are alien
annuals naturalized in China, which produce a large number of viable seeds every
year. They widely grow in Xishuangbanna, becoming troublesome weeds that compete
with crops for water and nutrients. As seed germination is among the most
important life-stages which contribute to plant distribution and invasiveness,
its adaptation to temperature and water stress were investigated in these three
species. Results showed that: (1) These three species have wide temperature
ranges to allow seed germination, i.e., high germination and seedling percentages
were achieved between 15 degrees C and 30 degrees C, but germination was
seriously inhibited at 35 degrees C; only A. conyzoides demonstrated relative
preference for warmer temperatures with approximately 25% germination and
seedling percentage at 35 degrees C; (2) light was a vital germination
prerequisite for C. crepidioides and A. conyzoides, whereas most C. canadensis
seeds germinated in full darkness; (3) Although all three species have good
adaptation to bare ground habitat characterized by high temperatures and water
stress, including their tolerance to soil surface temperatures of 70 degrees C in
air-dried seeds, A. conyzoides seeds exhibited higher tolerance to both
continuous and daily periodic high-temperature treatment at 40 degrees C, and to
water restriction (e.g., ca. 65% seeds germinated to -0.8 MPa created by NaCl),
which is consistent with their field behavior in Xishuangbanna. This study
suggests that seed high-temperature tolerance contributes to the weed attributes
of these three species, and that adaptation to local micro-habitats is a critical
determinant for invasiveness of an alien plant.
PMID- 29364943
TI - Area-level income inequality and oral health among Australian adults-A population
based multilevel study.
AB - BACKGROUND: A lack of evidence exists on the association between area-level
income inequality and oral health within Australia. This study examined
associations between area-level income inequality and oral health outcomes
(inadequate dentition (<21 teeth) and poor self-rated oral health) among
Australian adults. Variations in the association between area-level income
inequality and oral health outcomes according to area-level mean income were also
assessed. Finally, household-income gradients in oral health outcomes according
to area-level income inequality were compared. METHODS: For the analyses, data on
Australian dentate adults (n = 5,165 nested in 435 Local Government Areas (LGAs))
was obtained from the National Dental Telephone Interview Survey-2013. Multilevel
multivariable logistic regression models with random intercept and fixed slopes
were fitted to test associations between area-level income inequality and oral
health outcomes, examine variations in associations according to area-level mean
income, and examine variations in household-income gradients in outcomes
according to area-level income inequality. Covariates included age, sex, LGA
level mean weekly household income, geographic remoteness and household income.
RESULTS: LGA-level income inequality was not associated with poor self-rated oral
health and inversely associated with inadequate dentition (OR: 0.64; 95% CI:
0.48, 0.87) after adjusting for covariates. Inverse association between income
inequality and inadequate dentition at the individual level was limited to LGAs
within the highest tertile of mean weekly household income. Household income
gradients in both outcomes showed poorer oral health at lower levels of household
income. The household income gradients for inadequate dentition varied according
to the LGA-level income inequality. CONCLUSION: Findings suggest that income
inequality at the LGA-level in Australia is not positively associated with poorer
oral health outcomes. Inverse association between income inequality and
inadequate dentition is likely due to the contextual differences between
Australia and other high-income countries.
PMID- 29364946
TI - Correction: Specific and Evolving Resting-State Network Alterations in Post
Concussion Syndrome Following Mild Traumatic Brain Injury.
AB - [This corrects the article DOI: 10.1371/journal.pone.0065470.].
PMID- 29364944
TI - Associations between sexual habits, menstrual hygiene practices, demographics and
the vaginal microbiome as revealed by Bayesian network analysis.
AB - The vaginal microbiome plays an influential role in several disease states in
reproductive age women, including bacterial vaginosis (BV). While demographic
characteristics are associated with differences in vaginal microbiome community
structure, little is known about the influence of sexual and hygiene habits.
Furthermore, associations between the vaginal microbiome and risk symptoms of
bacterial vaginosis have not been fully elucidated. Using Bayesian network (BN)
analysis of 16S rRNA gene sequence results, demographic and extensive
questionnaire data, we describe both novel and previously documented associations
between habits of women and their vaginal microbiome. The BN analysis approach
shows promise in uncovering complex associations between disparate data types.
Our findings based on this approach support published associations between
specific microbiome members (e.g., Eggerthella, Gardnerella, Dialister, Sneathia
and Ruminococcaceae), the Nugent score (a BV diagnostic) and vaginal pH (a risk
symptom of BV). Additionally, we found that several microbiome members were
directly connected to other risk symptoms of BV (such as vaginal discharge, odor,
itch, irritation, and yeast infection) including L. jensenii, Corynebacteria, and
Proteobacteria. No direct connections were found between the Nugent Score and
risk symptoms of BV other than pH, indicating that the Nugent Score may not be
the most useful criteria for assessment of clinical BV. We also found that
demographics (i.e., age, ethnicity, previous pregnancy) were associated with the
presence/absence of specific vaginal microbes. The resulting BN revealed several
as-yet undocumented associations between birth control usage, menstrual hygiene
practices and specific microbiome members. Many of these complex relationships
were not identified using common analytical methods, i.e., ordination and
PERMANOVA. While these associations require confirmatory follow-up study, our
findings strongly suggest that future studies of the vaginal microbiome and
vaginal pathologies should include detailed surveys of participants' sanitary,
sexual and birth control habits, as these can act as confounders in the
relationship between the microbiome and disease. Although the BN approach is
powerful in revealing complex associations within multidimensional datasets, the
need in some cases to discretize the data for use in BN analysis can result in
loss of information. Future research is required to alleviate such limitations in
constructing BN networks. Large sample sizes are also required in order to allow
for the incorporation of a large number of variables (nodes) into the BN,
particularly when studying associations between metadata and the microbiome. We
believe that this approach is of great value, complementing other methods, to
further our understanding of complex associations characteristic of microbiome
research.
PMID- 29364947
TI - The Berkeley Dry Eye Flow Chart: A fast, functional screening instrument for
contact lens-induced dryness.
AB - PURPOSE: In this article, we introduce a novel flow chart-based screening tool
for the categorization of contact lens-induced dryness (CLIDE) and its impact on
daily visual activities: the Berkeley Dry Eye Flow Chart (DEFC). METHODS: One
hundred thirty (130) experienced soft contact lens wearers discontinued lens wear
for 24 hrs, passed a baseline screening and eye health examination, completed the
Ocular Surface Disease Index (OSDI) then were dispensed fresh pairs of their
habitual lenses. After 6 hrs of wear, subjects were administered a battery of
symptom questionnaires, and underwent non-invasive tear breakup time (NITBUT)
measurement, grading of distortion in reflected topographer mires, grading of
lens surface wettability, and a fluorescein examination of the ocular surface.
Subjects returned after at least 48 hrs and repeated all assessments after 6 hrs
of wear of a second fresh pair of habitual lenses. RESULTS: The repeatability of
the DEFC between visits was within 1%, and Limits of Agreement and Coefficient of
Repeatability were comparable to those of the other CLIDE assessments. Higher
DEFC score was significantly related to shorter pre-lens NITBUT, higher OSDI
score, and higher Visual Analog Scale (VAS) ratings of average and end-of-day
severity and frequency of dryness (all p < 0.001). For CLIDE as diagnosed based
on DEFC score, the highest sensitivities and specificities were achieved by the
OSDI and VAS ratings; pre-lens NITBUT exhibited good sensitivity but poor
specificity. The optimum pre-lens NITBUT diagnostic threshold was found to be <=
2.0 sec for debilitating CLIDE, and the OSDI threshold was >= 11.4. CONCLUSIONS:
The DEFC provides a means of quickly categorizing CLIDE patients based on
severity and frequency of symptoms, and on the degree to which symptoms impact
daily life. The DEFC has several potential advantages as a CLIDE screening and
monitoring tool, has good repeatability, and is significantly related to commonly
employed clinical assessments for CLIDE.
PMID- 29364948
TI - MRI reveals menstrually-related muscle edema that negatively affects athletic
agility in young women.
AB - CONTEXT: About 10% of Japanese female athletes are afflicted by menstrually
related edema, mainly in the lower limbs, and, with few studies on this problem,
the effect on performance remains unclear. OBJECTIVE: To quantitatively evaluate
fluid retention in the calf in female students over their menstrual cycle using
magnetic resonance imaging (MRI) and to determine the relationship of MRI changes
and athletic performance. DESIGN: The menstrual cycle was divided into 5 phases:
menstrual, follicular, ovulatory, early luteal, and late luteal with sampling
done in either morning (AM) or afternoon (PM) sessions. At each phase, MRI of the
calf (7:00-8:00, 14:00-16:00), body composition and hormones (7:00-8:00), and
athletic performance (14:00-16:00) were evaluated. PARTICIPANTS: 13 adult healthy
Japanese female students with eumenorrhea. RESULTS: Estradiol levels decreased
significantly in the menstrual phase and the follicular phase compared to the
early luteal phase (P = 0.001, P = 0.024 respectively). Menstrual phase estradiol
levels were significantly lower compared to the ovulatory phase (P = 0.015), and
the late luteal phase (P = 0.003). Progesterone levels decreased significantly in
the menstrual phase and the follicular phase compared to the ovulatory phase (P =
0.012, P = 0.009 respectively), the early luteal phase (both P = 0.007), and the
late luteal phase (P = 0.028, P = 0.029 respectively), and it along with a
significant decrease in the ovulatory phase compared to the early luteal phase (P
= 0.010). AM T2 signals were significantly lower in the menstrual phase compared
to the ovulatory phase (P = 0.043) but not other phases. PM T2 signals increased
significantly in the menstrual phase compared to the follicular phase (P =
0.003), ovulatory phase (P = 0.009), and the late luteal phase (P = 0.032), and
the difference between the AM and PM values increased significantly in the
menstrual phase compared to the other 4 phases (P<0.01). A negative correlation
between fluid retention and agility was observed. CONCLUSION: In female students
fluid retention during the menstrual phase could be a factor that influences
athletic agility.
PMID- 29364949
TI - Projected impacts of climate change on habitat availability for an endangered
parakeet.
AB - In tropical montane cloud forests, climate change can cause upslope shifts in the
distribution ranges of species, leading to reductions in distributional range.
Endemic species with small ranges are particularly vulnerable to such decreases
in range size, as the population size may be reduced significantly. To ensure the
survival of cloud forest species in the long term, it is crucial to quantify
potential future shifts in their distribution ranges and the related changes in
habitat availability in order to assure the long-term effectiveness of
conservation measures. In this study, we assessed the influence of climate change
on the availability of forested habitat for the endemic El Oro parakeet. We
investigated the future range shift by modelling the climatic niche of the El Oro
parakeets and projecting it to four different climate change scenarios. Depending
on the intensity of climate change, the El Oro parakeets shift their range
between 500 and 1700 m uphill by the year 2100. On average, the shift is
accompanied by a reduction in range size to 15% and a reduction in forested
habitat to only 10% of the original extent. Additionally, the connectivity
between populations in different areas is decreasing in higher altitudes. To
prevent a population decline due to habitat loss following an upslope range
shift, it will be necessary to restore habitat across a large elevational span in
order to allow for movement of El Oro parakeets into higher altitudes.
PMID- 29364950
TI - The structure of FIV reverse transcriptase and its implications for non
nucleoside inhibitor resistance.
AB - Reverse transcriptase (RT) is the target for the majority of anti-HIV-1 drugs. As
with all anti-AIDS treatments, continued success of RT inhibitors is persistently
disrupted by the occurrence of resistance mutations. To explore latent resistance
mechanisms potentially accessible to therapeutically challenged HIV-1 viruses, we
examined RT from the related feline immunodeficiency virus (FIV). FIV closely
parallels HIV-1 in its replication and pathogenicity, however, is resistant to
all non-nucleoside inhibitors (NNRTI). The intrinsic resistance of FIV RT is
particularly interesting since FIV harbors the Y181 and Y188 sensitivity residues
absent in both HIV-2 and SIV. Unlike RT from HIV-2 or SIV, previous efforts have
failed to make FIV RT susceptible to NNRTIs concluding that the structure or
flexibility of the feline enzyme must be profoundly different. We report the
first crystal structure of FIV RT and, being the first structure of an RT from a
non-primate lentivirus, enrich the structural and species repertoires available
for RT. The structure demonstrates that while the NNRTI binding pocket is
conserved, minor subtleties at the entryway can render the FIV RT pocket more
restricted and unfavorable for effective NNRTI binding. Measuring NNRTI binding
affinity to FIV RT shows that the "closed" pocket configuration inhibits NNRTI
binding. Mutating the loop residues rimming the entryway of FIV RT pocket allows
for NNRTI binding, however, it does not confer sensitivity to these inhibitors.
This reveals a further layer of resistance caused by inherent FIV RT variances
that could have enhanced the dissociation of bound inhibitors, or, perhaps,
modulated protein plasticity to overcome inhibitory effects of bound NNRTIs. The
more "closed" conformation of FIV RT pocket can provide a template for the
development of innovative drugs that could unlock the constrained pocket, and the
resilient mutant version of the enzyme can offer a fresh model for the study of
NNRTI-resistance mechanisms overlooked in HIV-1.
PMID- 29364951
TI - Seasonal differences of corticosterone metabolite concentrations and parasite
burden in northern bald ibis (Geronticus eremita): The role of affiliative
interactions.
AB - The reproductive season is energetically costly as revealed by elevated
glucocorticoid concentrations, constrained immune functions and an increased risk
of infections. Social allies and affiliative interactions may buffer
physiological stress responses and thereby alleviate associated effects. In the
present study, we investigated the seasonal differences of immune reactive
corticosterone metabolite concentrations, endoparasite burden (nematode eggs and
coccidian oocysts) and affiliative interactions in northern bald ibis (Geronticus
eremita), a critically endangered bird. In total, 43 individually marked focal
animals from a free-ranging colony were investigated. The analyses included a
description of initiated and received affiliative interactions, pair bond status
as well as seasonal patterns of hormone and endoparasite levels. During the
reproductive season, droppings contained parasite eggs more often and
corticosterone metabolite levels were higher as compared to the period after
reproduction. The excretion rate of endoparasite products was lower in paired
individuals than in unpaired ones, but paired animals exhibited higher
corticosterone metabolite concentrations than unpaired individuals. Furthermore,
paired individuals initiated affiliative behaviour more frequently than unpaired
ones. This suggests that the reproductive season influences the excretion
patterns of endoparasite products and corticosterone metabolites and that
affiliative interactions between pair partners may positively affect endoparasite
burden during periods of elevated glucocorticoid levels. Being embedded in a pair
bond may have a positive impact on individual immune system and parasite
resistance.
PMID- 29364952
TI - Association between socioeconomic status and cerebral palsy.
AB - BACKGROUND: The present study investigated the annual prevalence of cerebral
palsy (CP) among children aged <7 years in Taiwan and the association between
socioeconomic status and CP prevalence. METHODS: Data from the Taiwan National
Health Insurance Research Database for the 2002-2008 period were used in this
population-based study. Severe and total CP were defined according to
catastrophic illness certificate and medical claim records, respectively. The
annual CP prevalence was calculated as the number of children with CP among all
children aged <7 years. RESULTS: From 2002 to 2008, the annual prevalence of
total and severe CP ranged from 1.9 to 2.8 and from 1.1 to 1.4 per 1000 children,
respectively. Boys were 30% more likely to have CP than girls [adjusted relative
risk (RR) and 95% confidence interval (CI) ranged from 1.3 (1.2-1.4) to 1.4 (1.2
1.5)]. Low family income was associated with a higher CP prevalence [adjusted RR
(95% CI) ranged from 5.1 (4.2-6.2) to 6.4 (5.4-7.6)]. The prevalence of CP in
rural area was higher than that in urban or suburban areas. The mortality rate of
severe CP ranged from 12.2-22.7 per 1000 children within the 7 years study
period. CONCLUSIONS: The prevalence of CP in Taiwan is similar to that in Western
countries. A higher prevalence of CP is associated with male sex, low income, and
rural residential location. Our findings provide insights into CP epidemiology
among the Chinese population.
PMID- 29364953
TI - Can data from disparate long-term fish monitoring programs be used to increase
our understanding of regional and continental trends in large river assemblages?
AB - Understanding trends in the diverse resources provided by large rivers will help
balance tradeoffs among stakeholders and inform strategies to mitigate the
effects of landscape scale stressors such as climate change and invasive species.
Absent a cohesive coordinated effort to assess trends in important large river
resources, a logical starting point is to assess our ability to draw inferences
from existing efforts. In this paper, we use a common analytical framework to
analyze data from five disparate fish monitoring programs to better understand
the nature of spatial and temporal trends in large river fish assemblages. We
evaluated data from programs that monitor fishes in the Colorado, Columbia,
Illinois, Mississippi, and Tallapoosa rivers using non-metric dimensional scaling
ordinations and associated tests to evaluate trends in fish assemblage structure
and native fish biodiversity. Our results indicate that fish assemblages
exhibited significant spatial and temporal trends in all five of the rivers. We
also document native species diversity trends that were variable within and
between rivers and generally more evident in rivers with higher species richness
and programs of longer duration. We discuss shared and basin-specific landscape
level stressors. Having a basic understanding of the nature and extent of trends
in fish assemblages is a necessary first step towards understanding factors
affecting biodiversity and fisheries in large rivers.
PMID- 29364954
TI - Correction: Hepatic Lipid Accumulation Alters Global Histone H3 Lysine 9 and 4
Trimethylation in the Peroxisome Proliferator-Activated Receptor Alpha Network.
AB - [This corrects the article DOI: 10.1371/journal.pone.0044345.].
PMID- 29364955
TI - Removal of stabilizers from human serum albumin by adsorbents and dialysis used
in blood purification.
AB - INTRODUCTION: Human serum albumin (HSA) is a monomeric multi-domain protein that
possesses an extraordinary binding capacity. It plays an important role in
storing and transporting endogenous substances, metabolites, and drugs throughout
the human circulatory system. Clinically, HSA is used to treat a variety of
diseases such as hypovolemia, shock, burns, hemorrhage, and trauma in critically
ill patients. Pharmaceutical-grade HSA contains the stabilizers sodium caprylate
and N-acetyltryptophanate to protect the protein from oxidative stress and to
stabilize it for heat treatment which is applied for virus inactivation. MATERIAL
AND METHODS: The aim of this study was to determine if the two stabilizers can be
depleted by adsorbent techniques. Several, adsorbents, some of them are in
clinical use, were tested in batch and in a dynamic setup for their ability to
remove the stabilizers. Furthermore, the removal of the stabilizers was tested
using a pediatric high flux dialyzer. RESULTS: The outcome of this study shows
that activated charcoal based adsorbents are more effective in removal of N
acetylthryptophanate, whereas polystyrene based adsorbents are better for the
removal of caprylate from HSA solutions. An adsorbent cartridge which contains a
mix of activated charcoal and polystyrene based material could be used to remove
both stabilizers effectively. After 4 hours treatment with a high flux dialyzer,
N-acetyltryptophanate was totally removed whereas 20% of caprylate remained in
the HSA solution.
PMID- 29364956
TI - The long noncoding RNA lncR492 inhibits neural differentiation of murine
embryonic stem cells.
AB - RNA interference (RNAi) screens have been shown to be valuable to study embryonic
stem cell (ESC) self-renewal and they have been successfully applied to identify
coding as well as noncoding genes required for maintaining pluripotency. Here, we
used an RNAi library targeting >640 long noncoding RNAs (lncRNA) to probe for
their role in early cell differentiation. Utilizing a Sox1-GFP ESC reporter cell
line, we identified the lncRNA lncR492 as lineage-specific inhibitor of
neuroectodermal differentiation. Molecular characterization showed that lncR492
interacts with the mRNA binding protein HuR and facilitates its inhibitory
function by activation of Wnt signaling. Thus, lncRNAs modulate the fate decision
of pluripotent stem cells.
PMID- 29364957
TI - Impact of gender, organized athletics, and video gaming on driving skills in
novice drivers.
AB - Given that novice drivers tend to be young, and teenagers and young adult drivers
are involved in the greatest number of accidents, it is important that we
understand what factors impact the driving skills of this population of drivers.
The primary aim of the present study was to understand the impact of gender,
organized athletics, and video gaming on driving skills of novice drivers under
real-world driving conditions. Novice driving students having less than five
hours driving experience previous to a normal driving lesson were evaluated on
their self-confidence (self-reported) prior to the lesson and driving skill
evaluated by their instructor during the course of the lesson. Information was
collected about gender, age, whether or not the students were involved in
organized athletics, and the extent of their video game playing. There was no
impact of gender or extent of video game playing on driving skills. Females were
significantly less self-confident with driving than males, but this did not
translate to gender differences in driving skills. Being involved in organized
athletics-either currently or in the past-significantly enhanced driving skills
in both females and males. Finally, novice drivers' age was negatively correlated
with driving skills. That is, younger novice drivers (especially males) had
better driving skills than older novice drivers. This is counter to popular
belief that young drivers lack technical driving skills because they have less
experience behind the wheel. Based on the results of the current study, we
hypothesize that the relatively high accident rate of younger drivers (especially
male drivers) is most likely due to inattention to safety considerations rather
than lack of technical driving ability.
PMID- 29364958
TI - Comparisons of oncological outcomes and perioperative complications between
laparoscopic and open radical nephrectomies in patients with clinical T2 renal
cell carcinoma (>=7cm).
AB - PURPOSE: Although minimal invasive techniques have been widely accepted in
contemporary urology, the perioperative outcomes of laparoscopy in patients with
clinical T2 renal cell carcinoma (RCC) have not been clearly evaluated. We aimed
to compare the outcomes of laparoscopic radical nephrectomy (LRN) with those of
open radical nephrectomy (ORN) in patients with clinical T2 RCC. METHODS: We
retrospectively analyzed the data of 835 patients who underwent radical
nephrectomy for localized clinical T2 RCC (>=7 cm). The survival rates and
postoperative complications were compared between the LRN and ORN groups.
Multivariate Cox regression tests were performed to identify the independent
predictors of each survival outcome. RESULTS: There were 578 (69.2%) subjects in
ORN group and 257 (30.8%) in LRN group, respectively. The LRN group showed a
significant male predominance (p = 0.013), higher pathological stage (p = 0.02),
and higher cellular grade (p = 0.010) compared with the ORN group. No significant
differences in progression-free (p = 0.070), cancer-specific (p = 0.472), or
overall survival (p = 0.249) were found between the two groups. In the
multivariate analysis, the type of surgery did not show any significant
associations with all three survival outcomes (all p > 0.2). Furthermore, there
was no significant difference in postoperative complication rate between the two
groups (p = 0.595). In the subgroup analysis according to tumor histology, no
significant relationships were observed between survival outcome and surgery
type. CONCLUSION: The LRN and ORN groups showed similar oncological outcomes in
patients with clinical T2 RCC. Early postoperative complications were also
comparable between LRN and ORN.
PMID- 29364961
TI - Correction: A Functional Screen Identifies Specific MicroRNAs Capable of
Inhibiting Human Melanoma Cell Viability.
AB - [This corrects the article DOI: 10.1371/journal.pone.0043569.].
PMID- 29364960
TI - Empiric tuberculosis treatment in South African primary health care facilities -
for whom, where, when and why: Implications for the development of tuberculosis
diagnostic tests.
AB - BACKGROUND: The extent and circumstances under which empiric tuberculosis (TB)
treatment (treatment without microbiological confirmation at treatment
initiation) is administered in primary health care settings in South Africa are
not well described. METHODS: We used data from a pragmatic evaluation of Xpert
MTB/RIF in which persons undergoing TB investigations by PHC nurses were followed
for six months. Following Xpert or smear-microscopy at enrolment, investigations
for tuberculosis were undertaken at the discretion of health care workers. We
identified persons whose TB treatment was initiated empirically (no
microbiological confirmation at time of treatment initiation at a primary health
care facility) and describe pathways to treatment initiation. RESULTS: Of 4665
evaluable participants, 541 persons were initiated on treatment of whom 167 (31%)
had negative sputum tests at enrolment. Amongst these 167, the median number of
participant visits to health care providers prior to treatment initiation was 3
(interquartile range [IQR] 2-4). Chest radiography, sputum culture or hospital
referral was done in 106/167 (63%). Reasons for TB treatment start were: 1)
empiric (n = 82, 49%); 2) a positive laboratory test (n = 49, 29%); 3) referral
and treatment start at a higher level of care (n = 28, 17%); and 4)
indeterminable (n = 8, 5%). Empiric treatment accounted for 15% (82/541) of all
TB treatment initiations and 1.7% (82/4665) of all persons undergoing TB
investigations. Chest radiography findings compatible with TB (63/82 [77%]) were
the basis for treatment initiation amongst the majority of empirically treated
participants. Microbiological confirmation of TB was subsequently obtained for
11/82 (13%) empirically-treated participants. Median time to empiric treatment
start was 3.9 weeks (IQR 1.4-11 weeks) after enrolment. CONCLUSION: Uncommon
prescription of empiric TB treatment with reliance on chest radiography in a
nurse-managed programme underscores the need for highly sensitive TB diagnostics
suitable for point-of-care, and strong health systems to support TB diagnosis in
this setting.
PMID- 29364959
TI - The influence of racism on cigarette smoking: Longitudinal study of young people
in a British multiethnic cohort.
AB - INTRODUCTION: Studies, predominantly from the US, suggest that positive
parenting, social support, academic achievement, and ethnic identity may buffer
the impact of racism on health behaviours, including smoking, but little is known
about how such effects might operate for ethnically diverse young people in the
United Kingdom. We use the Determinants of young Adult Social well-being and
Health (DASH), the largest UK longitudinal study of ethnically diverse young
people, to address the following questions: a) Is racism associated with smoking?
b) Does the relationship between racism and smoking vary by gender and by
ethnicity? (c) Do religious involvement, parenting style and relationship with
parents modify any observed relationship? and d) What are the qualitative
experiences of racism and how might family or religion buffer the impact?
METHODS: The cohort was recruited from 51 London schools. 6643 were seen at 11
13y and 4785 seen again at 14-16y. 665 participated in pilot follow-up at 21-23y,
42 in qualitative interviews. Self-report questionnaires included lifestyles,
socio-economic and psychosocial factors. Mixed-effect models examined the
associations between racism and smoking. RESULTS: Smoking prevalence increased
from adolescence to age 21-23y, although ethnic minorities remained less likely
to smoke. Racism was an independent longitudinal correlate of ever smoking
throughout adolescence (odds ratio 1.77, 95% Confidence Interval 1.45-2.17) and
from early adolescence to early 20s (1.90, 95% CI 1.25-2.90). Smoking initiation
in late adolescence was associated with cumulative exposure to racism (1.77, 95%
CI 1.23-2.54). Parent-child relationships and place of worship attendance were
independent longitudinal correlates that were protective of smoking. Qualitative
narratives explored how parenting, religion and cultural identity buffered the
adverse impact of racism. CONCLUSIONS: Racism was associated with smoking
behaviour from early adolescence to early adulthood, regardless of gender,
ethnicity or socio-economic circumstances adding to evidence of the need to
consider racism as an important social determinant of health across the life
course.
PMID- 29364962
TI - A network approach to decentralized coordination of energy production-consumption
grids.
AB - Energy grids are facing a relatively new paradigm consisting in the formation of
local distributed energy sources and loads that can operate in parallel
independently from the main power grid (usually called microgrids). One of the
main challenges in microgrid-like networks management is that of self-adapting to
the production and demands in a decentralized coordinated way. Here, we propose a
stylized model that allows to analytically predict the coordination of the
elements in the network, depending on the network topology. Surprisingly, almost
global coordination is attained when users interact locally, with a small
neighborhood, instead of the obvious but more costly all-to-all coordination. We
compute analytically the optimal value of coordinated users in random homogeneous
networks. The methodology proposed opens a new way of confronting the analysis of
energy demand-side management in networked systems.
PMID- 29364964
TI - Correction: Properties and Molecular Determinants of the Natural Flavone Acacetin
for Blocking hKv4.3 Channels.
AB - [This corrects the article DOI: 10.1371/journal.pone.0057864.].
PMID- 29364963
TI - Association of usual sleep quality and glycemic control in type 2 diabetes in
Japanese: A cross sectional study. Sleep and Food Registry in Kanagawa (SOREKA).
AB - OBJECTIVES: Excessively short and long sleep durations are associated with type 2
diabetes, but there is limited information about the association between sleep
quality and diabetes. Accordingly, the present study was performed to investigate
this relationship. MATERIALS AND METHODS: The subjects were 3249 patients with
type 2 diabetes aged 20 years or older. Sleep quality was assessed by using the
Pittsburgh Sleep Quality Index (PSQI). A higher global PSQI score indicates worse
sleep quality, and a global PSQI score >5 differentiates poor sleepers from good
sleepers. RESULTS: The mean global PSQI score was 5.94 +/- 3.33, and 47.6% of the
patients had a score of 6 or higher. Regarding the components of the PSQI, the
score was highest for sleep duration, followed by subjective sleep quality and
then sleep latency in decreasing order. When the patients were assigned to HbA1c
quartiles (<= 6.5%, 6.6-7.0%, 7.1-7.8%, and >= 7.9%), the top quartile had a
significantly higher global PSQI score than the other quartiles. The top HbA1c
quartile had a sleep duration of only 6.23 +/- 1.42 hours, which was
significantly shorter than in the other quartiles. Also, sleep latency was 25.3
+/- 31.8 minutes in the top quartile, which was significantly longer (by
approximately 20 minutes) than in the other quartiles. When analysis was
performed with adjustment for age, gender, BMI, smoking, and other confounders,
the global PSQI score was still significantly higher and sleep duration was
shorter in the top HbA1c quartile (HbA1c >= 7.9%). CONCLUSIONS: Japanese patients
with type 2 diabetes were found to have poor subjective sleep quality
independently of potential confounders, especially those with inadequate glycemic
control. Impairment of sleep quality was associated with both increased sleep
latency and a shorter duration of sleep.
PMID- 29364965
TI - Correction: Comparison of the Predicted Population Coverage of Tuberculosis
Vaccine Candidates Ag85B-ESAT-6, Ag85B-TB10.4, and Mtb72f via a Bioinformatics
Approach.
AB - [This corrects the article DOI: 10.1371/journal.pone.0040882.].
PMID- 29364967
TI - Correction: Validity of U.S. Nutritional Surveillance: National Health and
Nutrition Examination Survey Caloric Energy Intake Data, 1971-2010.
AB - [This corrects the article DOI: 10.1371/journal.pone.0076632.].
PMID- 29364966
TI - Novel anti-cancer drug COTI-2 synergizes with therapeutic agents and does not
induce resistance or exhibit cross-resistance in human cancer cell lines.
AB - Emerging drug-resistance and drug-associated toxicities are two major factors
limiting successful cancer therapy. Combinations of chemotherapeutic drugs have
been used in the clinic to improve patient outcome. However, cancer cells can
acquire resistance to drugs, alone or in combination. Resistant tumors can also
exhibit cross-resistance to other chemotherapeutic agents, resulting in sub
optimal treatment and/or treatment failure. Therefore, developing novel oncology
drugs that induce no or little acquired resistance and with a favorable safety
profile is essential. We show here that combining COTI-2, a novel clinical stage
agent, with multiple chemotherapeutic and targeted agents enhances the activity
of these drugs in vitro and in vivo. Importantly, no overt toxicity was observed
in the combination treatment groups in vivo. Furthermore, unlike the tested
chemotherapeutic drugs, cancer cells did not develop resistance to COTI-2.
Finally, some chemo-resistant tumor cell lines only showed mild cross-resistance
to COTI-2 while most remained sensitive to it.
PMID- 29364968
TI - Dental disease and dietary isotopes of individuals from St Gertrude Church
cemetery, Riga, Latvia.
AB - This research explores oral health indicators and stable carbon and nitrogen
isotope data to explore diet, and differences in diet, between people buried in
the four different contexts of the St Gertrude Church cemetery (15th- 17th
centuries AD): the general cemetery, two mass graves, and a collective mass
burial pit within the general cemetery. The main aim is to assess whether people
buried in the mass graves were rural immigrants, or if they were more likely to
be the victims of plague (or another epidemic) who lived in Riga and its suburbs.
The data produced (from dental disease assessments and isotope analyses) were
compared within, as well as between, the contexts. Most differences emerged when
comparing the prevalence rates of dental diseases and other oral health
indicators in males and females between the contexts, while isotope analysis
revealed more individual, rather than context-specific, differences. The data
suggested that the populations buried in the mass graves were different from
those buried in the general cemetery, and support the theory that rural
immigrants were buried in both mass graves. Significant differences were observed
in some aspects of the data between the mass graves, however, possibly indicating
that the people buried in them do not represent the same community.
PMID- 29364969
TI - Contribution of oxidative stress and growth factor receptor transactivation in
natriuretic peptide receptor C-mediated attenuation of hyperproliferation of
vascular smooth muscle cells from SHR.
AB - Earlier studies have shown the implication of growth factor receptor activation
in angiotensin II (Ang II)-induced hyperproliferation of aortic VSMC as well as
in hyperproliferation of VSMC from spontaneously hypertensive rats (SHR). We
previously showed that NPR-C specific agonist C-ANP4-23 attenuates the
hyperproliferation of VSMC from SHR through the inhibition of MAP kinase, Gialpha
protein signaling and overexpression of cell cycle proteins. The aim of the
present study was to investigate if C-ANP4-23- mediated attenuation of
hyperproliferation of VSMC from SHR also involves growth factor receptor
activation and upstream signaling molecules. For this study, C-ANP 4-23 (10
nmole/kg body weight) was injected intraperitoneally into 2 week-old
prehypertensive SHR and Wistar Kyoto (WKY) rats twice per week for 6 weeks. The
blood pressure in SHR was significantly attenuated by C-ANP4-23 treatment. In
addition, C-ANP4-23 treatment also attenuated the hyperproliferation of VSMC from
SHR as well as the enhanced phosphorylation of EGF-R, PDGF-R, IGF-R and c-Src.
Furthermore, the enhanced levels of superoxide anion, NADPH oxidase activity, and
enhanced expression of Nox4,Nox1,Nox2 and P47phox in SHR compared to WKY rats was
also significantly attenuated by C-ANP4-23 treatment. In addition, N-acetyl
cysteine (NAC), a scavenger of O2-, inhibitors of growth factor receptors and of
c-Src, all inhibited the overexpression of cell cycle proteins cyclin D1 and cdk4
in VSMC from SHR. These results suggest that in vivo treatment of SHR with C-ANP4
23 inhibits the enhanced oxidative stress, c-Src and EGF-R, PDGF-R, IGF-R
activation which through the inhibition of overexpression of cell cycle proteins
result in the attenuation of hyperproliferation of VSMC.
PMID- 29364970
TI - Correction: Global computational mutagenesis provides a critical stability
framework in protein structures.
AB - [This corrects the article DOI: 10.1371/journal.pone.0189064.].
PMID- 29364971
TI - Correction: Partial Agonism of Taurine at Gamma-Containing Native and Recombinant
GABAA Receptors.
AB - [This corrects the article DOI: 10.1371/journal.pone.0061733.].
PMID- 29364972
TI - Socioeconomic differences among community-dwelling diabetic adults screened for
diabetic retinopathy and nephropathy: The 2015 Korean Community Health Survey.
AB - We investigated the association between socioeconomic status (SES) and screening
for diabetic retinopathy (DR) and diabetic nephropathy (DN) in community-dwelling
diabetics. We analyzed data from 22,134 people with diabetes aged >=19 years at
the time of the nationwide 2015 Korean Community Health Survey. Multiple logistic
regression analysis was used to explore the relationship between SES and
screening for DR and DN both before and after adjustment for health behaviors,
comorbidities, and educational level. Of all diabetic subjects, 33.9% and 38.1%
underwent DR and DN screening, respectively. In the fully adjusted model, the
extent of the DR and DN screening trended significantly lower as the educational
level fell. Monthly household income was positively associated with DR screening,
but a lower odds ratio (OR) for DN screening was evident only when the lowest and
highest income groups were compared. Compared with managers/professionals,
agricultural/forestry/fishery workers (OR 0.81, 95% confidence interval [CI] 0.69
0.96) and mechanical/manual laborers (OR 0.83, 95% CI 0.71-0.97) had lower ORs
for DN screening. Residents in rural (compared with urban) areas and
widows/widowers (compared with members of couples) were significantly less likely
to undergo screening for DR and DN. Similar findings were obtained when the
analysis was limited to those who had been educated about diabetes. In
conclusion, socioeconomic inequalities were evident in terms of screening for DR
and DN in community-dwelling Korean diabetics, regardless of whether they had
reported receiving diabetes education. Tailored public health policies (and
societal attention) are required to aid the socioeconomically disadvantaged.
PMID- 29364973
TI - Correction: Effet of Combined Nitrogen Dioxide and Carbon Nanoparticle Exposure
on Lung Function During Ovalbumin Sensitization in Brown Norway Rat.
AB - [This corrects the article DOI: 10.1371/journal.pone.0045687.].
PMID- 29364974
TI - Correction: Exposure of bighorn sheep to domestic goats colonized with Mycoplasma
ovipneumoniae induces sub-lethal pneumonia.
AB - [This corrects the article DOI: 10.1371/journal.pone.0178707.].
PMID- 29364975
TI - Correction: Spatial Analysis of Soil Organic Carbon in Zhifanggou Catchment of
the Loess Plateau.
AB - [This corrects the article DOI: 10.1371/journal.pone.0083061.].
PMID- 29364976
TI - Correction: Gene Regulatory Networks Controlling Hematopoietic Progenitor Niche
Cell Production and Differentiation in the Drosophila Lymph Gland.
AB - [This corrects the article DOI: 10.1371/journal.pone.0041604.].
PMID- 29364977
TI - Identification of microRNA that represses IRS-1 expression in liver.
AB - MicroRNAs (miRNAs) are short, non-coding RNAs that post-transcriptionally
regulate gene expression and have been shown to participate in almost every
cellular process. Several miRNAs have recently been implicated in glucose
metabolism, but the roles of miRNAs in insulin-resistant conditions, such as
obesity or type 2 diabetes, are largely unknown. Herein, we focused on miR-222,
the expression of which was increased in the livers of high fat/high sucrose diet
fed mice injected with gold thioglucose (G+HFHSD). Overexpression of miR-222 in
primary mouse hepatocytes attenuated Akt phosphorylation induced by insulin,
indicating that miR-222 negatively regulates insulin signaling. As per in silico
analysis, miR-222 potentially binds to the 3' untranslated region (3' UTR) of the
IRS-1 gene, a key insulin signaling molecule. In fact, IRS-1 protein expression
was decreased in the livers of G+HFHSD-fed mice. We further confirmed a direct
interaction between miR-222 and the 3' UTR of IRS-1 via luciferase assays. Our
findings suggest that up-regulation of miR-222 followed by reduction in IRS-1
expression may be a viable mechanism of insulin resistance in the liver.
PMID- 29364980
TI - Correction: Linear Decay of Retrotransposon Antisense Bias across Genes Is
Contingent upon Tissue Specificity.
AB - [This corrects the article DOI: 10.1371/journal.pone.0079402.].
PMID- 29364979
TI - Navigating the risks of prevention of mother to child transmission (PMTCT) of HIV
services in Kibera, Kenya: Barriers to engaging and remaining in care.
AB - Within the first year of implementation, 43% of women who tested HIV positive at
their first antenatal care visit were no longer retained and being followed in
the free prevention of mother to child transmission (PMTCT) of HIV program
offered by the Kenyan Ministry of Health and Medecins Sans Frontieres in the
informal settlement of Kibera, Nairobi. This study aimed to explore barriers to
enrolling and remaining engaged in PMTCT services throughout the pregnancy and
postpartum periods. Qualitative data from 31 focus group discussions and 35 in
depth interviews across six stakeholder groups that included women, men, and
PMTCT service providers were analyzed. Using an inductive exploratory approach,
four researchers coded the data and identified key themes. Five themes emerged
from the data that may influence attrition from PMTCT service in this setting: 1)
HIV in the context of Kibera, 2) knowledge of HIV status, 3) knowledge of PMTCT,
4) disclosure of HIV status, and 5) male partner support for PMTCT services. A
new HIV diagnosis during pregnancy immediately triggered an ongoing risk
assessment of perceived hazards in the home, community, and clinic environments
that could occur as a result of female participation in PMTCT services. Male
partners were a major influence in this risk assessment, but were generally
unaware of PMTCT services. To preserve relationships with male partners, meet
community expectations of womanhood, and maintain confidentiality while following
recommendations of healthcare providers, women had to continuously weigh the
risks and benefits of PMTCT services and interventions. Community-based HIV
testing and PMTCT education, male involvement in antenatal care, and counseling
customized to assist each woman in her own unique risk assessment, may improve
uptake of and retention in care and optimize the HIV prevention benefit of PMTCT
interventions.
PMID- 29364978
TI - Physical multimorbidity and loneliness: A population-based study.
AB - Multimorbidity has been linked to a variety of negative outcomes although as yet,
there has been little research on its association with loneliness. This study
examined the association between physical multimorbidity (>= 2 physical diseases)
and loneliness in the general population and its potential mediators. Data came
from the Adult Psychiatric Morbidity Survey 2007 (N = 7403, aged >=16 years).
Information was obtained on 20 doctor diagnosed physical conditions that were
present in the previous year. An item from the Social Functioning Questionnaire
(SFQ) was used to obtain information on loneliness. Multivariable logistic
regression analysis was used to examine associations. An increasing number of
physical diseases was associated with higher odds for loneliness. Compared to no
physical diseases, the odds ratio (OR) (95% confidence interval: CI) for
loneliness increased from 1.34 (1.13-1.59) to 2.82 (2.11-3.78) between one and
>=5 physical diseases. This association was particularly strong in the youngest
age group (i.e. 16-44 years). The loneliness-physical multimorbidity association
was significantly mediated by stressful life events (% mediated 11.1%-30.5%),
anxiety (30.2%), and depression (15.4%). Physical multimorbidity is associated
with increased odds for loneliness. Prospective research is now needed to further
elucidate this association and the factors that underlie it.
PMID- 29364981
TI - Conditional mutagenesis in vivo reveals cell type- and infection stage-specific
requirements for LANA in chronic MHV68 infection.
AB - Gammaherpesvirus (GHV) pathogenesis is a complex process that involves productive
viral replication, dissemination to tissues that harbor lifelong latent
infection, and reactivation from latency back into a productive replication
cycle. Traditional loss-of-function mutagenesis approaches in mice using murine
gammaherpesvirus 68 (MHV68), a model that allows for examination of GHV
pathogenesis in vivo, have been invaluable for defining requirements for specific
viral gene products in GHV infection. But these approaches are insufficient to
fully reveal how viral gene products contribute when the encoded protein
facilitates multiple processes in the infectious cycle and when these functions
vary over time and from one host tissue to another. To address this complexity,
we developed an MHV68 genetic platform that enables cell-type-specific and
inducible viral gene deletion in vivo. We employed this system to re-evaluate
functions of the MHV68 latency-associated nuclear antigen (mLANA), a protein with
roles in both viral replication and latency. Cre-mediated deletion in mice of
loxP-flanked ORF73 demonstrated the necessity of mLANA in B cells for MHV68
latency establishment. Impaired latency during the transition from draining lymph
nodes to blood following mLANA deletion also was observed, supporting the
hypothesis that B cells are a major conduit for viral dissemination. Ablation of
mLANA in infected germinal center (GC) B cells severely impaired viral latency,
indicating the importance of viral passage through the GC for latency
establishment. Finally, induced ablation of mLANA during latency resulted in
complete loss of affected viral genomes, indicating that mLANA is critically
important for maintenance of viral genomes during stable latency. Collectively,
these experiments provide new insights into LANA homolog functions in GHV
colonization of the host and highlight the potential of a new MHV68 genetic
platform to foster a more complete understanding of viral gene functions at
discrete stages of GHV pathogenesis.
PMID- 29364982
TI - Correction: In Silico Study on Binding Specificity of Gonadotropins and Their
Receptors: Design of a Novel and Selective Peptidomimetic for Human Follicle
Stimulating Hormone Receptor.
AB - [This corrects the article DOI: 10.1371/journal.pone.0064475.].
PMID- 29364983
TI - Correction: Identification of a Predicted Trimeric Autotransporter Adhesin
Required for Biofilm Formation of Burkholderia pseudomallei.
AB - [This corrects the article DOI: 10.1371/journal.pone.0079461.].
PMID- 29364984
TI - Correction: Uniparental Markers of Contemporary Italian Population Reveals
Details on Its Pre-Roman Heritage.
AB - [This corrects the article DOI: 10.1371/journal.pone.0050794.].
PMID- 29364986
TI - Investigation on size tolerance of pore defect of girth weld pipe.
AB - Welding quality control is an important parameter for safe operation of oil and
gas pipes, especially for high-strength steel pipes. Size control of welding
defect is a bottleneck problem for current pipe construction. As a key part of
construction procedure for butt-welding of pipes, pore defects in girth weld is
difficult to ignore. A three-dimensional non-linear finite element numerical
model is established to study applicability of size control indices based on
groove shape and softening phenomenon of material in heat-affected zone of
practical pipe girth weld. Taking design criteria of pipe as the basis, basic
tensile, extremely tensile and extremely compressive loading conditions are
determined for pipe stress analysis, and failure criteria based on flow stress is
employed to perform stress analysis for pipe girth weld with pore defect. Results
show that pipe girth welding stresses of pores at various radial locations are
similar. Whereas, stress for pores of different sharpness varied significantly.
Besides, tolerance capability of API 5L X90 grade pipe to pore defect of girth
weld is lower than that of API 5L X80 grade pipe, and size control index of 3 mm
related to pore defect in current standards is applicable to API 5L X80 and X90
grade girth welded pipes with radially non-sharp pore defects.
PMID- 29364987
TI - Correction: Maternal Obesity in Early Pregnancy and Risk of Adverse Outcomes.
AB - [This corrects the article DOI: 10.1371/journal.pone.0080410.].
PMID- 29364985
TI - The Socio-Moral Image Database (SMID): A novel stimulus set for the study of
social, moral and affective processes.
AB - A major obstacle for the design of rigorous, reproducible studies in moral
psychology is the lack of suitable stimulus sets. Here, we present the Socio
Moral Image Database (SMID), the largest standardized moral stimulus set
assembled to date, containing 2,941 freely available photographic images,
representing a wide range of morally (and affectively) positive, negative and
neutral content. The SMID was validated with over 820,525 individual judgments
from 2,716 participants, with normative ratings currently available for all
images on affective valence and arousal, moral wrongness, and relevance to each
of the five moral values posited by Moral Foundations Theory. We present a
thorough analysis of the SMID regarding (1) inter-rater consensus, (2) rating
precision, and (3) breadth and variability of moral content. Additionally, we
provide recommendations for use aimed at efficient study design and
reproducibility, and outline planned extensions to the database. We anticipate
that the SMID will serve as a useful resource for psychological, neuroscientific
and computational (e.g., natural language processing or computer vision)
investigations of social, moral and affective processes. The SMID images, along
with associated normative data and additional resources are available at
https://osf.io/2rqad/.
PMID- 29364988
TI - Socio-affective touch expression database.
AB - Socio-affective touch communication conveys a vast amount of information about
emotions and intentions in social contexts. In spite of the complexity of the
socio-affective touch expressions we use daily, previous studies addressed only a
few aspects of social touch mainly focusing on hedonics, such as stroking,
leaving a wide range of social touch behaviour unexplored. To overcome this
limit, we present the Socio-Affective Touch Expression Database (SATED), which
includes a large range of dynamic interpersonal socio-affective touch events
varying in valence and arousal. The original database contained 26 different
social touch expressions each performed by three actor pairs. To validate each
touch expression, we conducted two behavioural experiments investigating
perceived naturalness and affective values. Based on the rated naturalness and
valence, 13 socio-affective touch expressions along with 12 corresponding non
social touch events were selected as a complete set, achieving 75 video clips in
total. Moreover, we quantified motion energy for each touch expression to
investigate its intrinsic correlations with perceived affective values and its
similarity among actor- and action-pairs. As a result, the touch expression
database is not only systematically defined and well-controlled, but also
spontaneous and natural, while eliciting clear affective responses. This database
will allow a fine-grained investigation of complex interpersonal socio-affective
touch in the realm of social psychology and neuroscience along with potential
application areas in affective computing and neighbouring fields.
PMID- 29364990
TI - Correction: Kar3Vik1 Uses a Minus-End Directed Powerstroke for Movement along
Microtubules.
AB - [This corrects the article DOI: 10.1371/journal.pone.0053792.].
PMID- 29364989
TI - Towards the description of livestock mobility in Sahelian Africa: Some results
from a survey in Mauritania.
AB - Understanding spatio-temporal patterns of host mobility is a key factor to
prevent and control animal and human diseases. This is utterly important in low
income countries, where animal disease epidemics have strong socio-economic
impacts. In this article we analyzed a livestock mobility database, whose data
have been collected by the Centre National d'Elevage et de Recherches
Veterinaires (CNERV) Mauritania, to describe its patterns and temporal evolution.
Data were collected through phone and face-to-face interviews in almost all the
regions in Mauritania over a period of roughly two weeks during June 2015. The
analysis has shown the existence of two mobility patterns throughout the year:
the first related to routine movements from January to August; the second
strictly connected to the religious festivity of Tabaski that in 2014 occurred at
the beginning of October. These mobility patterns are different in terms of
animals involved (fewer cattle and dromedaries are traded around Tabaski), the
means of transportation (the volume of animals moved by truck raises around
Tabaski) and destinations (most of the animals are traded nationally around
Tabaski). Due to the differences between these two periods, public health
officers, researchers and other stakeholders should take account of the time of
the year when implementing vaccination campaigns or creating surveillance
networks.
PMID- 29364991
TI - Periprocedural Bridging Anticoagulation.
PMID- 29364992
TI - Implementation of a Process for Initiating Naltrexone in Patients Hospitalized
for Alcohol Detoxification or Withdrawal.
AB - BACKGROUND: Naltrexone trials have demonstrated improved outcomes for patients
with alcohol use disorders. Hospital initiation of naltrexone has had limited
study. OBJECTIVE: To describe the implementation and impact of a process for
counseling hospitalized patients with alcohol withdrawal about naltrexone.
DESIGN: A pre-post study analysis. SETTING: A tertiary academic center. PATIENTS:
Patients hospitalized for alcohol withdrawal. INTERVENTION: (1) Provider
education about the efficacy and contraindications of naltrexone and (2)
algorithms for evaluating patients for naltrexone. MEASUREMENTS: The percentages
of patients counseled about and prescribed naltrexone before discharge and the
percentages of pre- and postintervention patients with 30-day emergency
department (ED) revisits and rehospitalizations. RESULTS: We identified 128
patient encounters before and 114 after implementation. The percentage of
patients counseled about naltrexone rose from 1.6% preimplementation to 63.2%
postimplementation (P<.001); the percentage of patients prescribed naltrexone
rose from 1.6% to 28.1% (P<.001). Comparing preintervention versus
postintervention groups, there were no unadjusted differences in 30-day ED
revisits (25.8% vs 19.3%; P=.23) or rehospitalizations (10.2% vs 11.4%; P=.75).
When adjusted for demographics and comorbidities, postintervention patients had
lower odds of 30-day ED revisits (odds ratio [OR]=0.47; 95% confidence interval
[CI], 0.24-0.94) but no significant difference in rehospitalizations (OR=0.76;
95% CI, 0.30-1.92). In subgroup analysis, postintervention patients counseled
versus those not counseled about naltrexone were less likely to have 30-day ED
revisits (9.7% vs 35.7%; P=.001) and rehospitalizations (2.8% vs 26.2%; P<.001).
CONCLUSIONS: The implementation of a process for counseling patients hospitalized
for alcohol withdrawal about using naltrexone for the maintenance of sobriety was
associated with lower 30-day ED revisits but no statistically significant
difference in rehospitalizations.
PMID- 29364993
TI - Ab initio surface properties of Ag-Sn alloys: implications for lead-free
soldering.
AB - Ag and Sn are the major components of solder alloys adopted to assemble printed
circuit boards. The qualities that make them the alloys of choice for the modern
electronic industry are related to their physical and chemical properties. For
corrosion resistance and solderability, surface properties are particularly
important. Yet, atomic-level information about the surfaces of these alloys is
not known. Here we fill this gap by presenting an extensive ab initio
investigation of composition, energetics, structure and reactivity of Ag-Sn alloy
surfaces. The structure and stability of various surfaces is evaluated, and the
main factors determining the energetics of surface formation are uncovered.
Oxygen and sulphur chemisorptions are studied and discussed in the framework of
corrosion tendency, an important issue for printed circuit boards. Adsorption
energy trends are rationalized based on the analysis of structural and electronic
features.
PMID- 29364994
TI - JBP and bibliometric indices.
PMID- 29364995
TI - The difficult task of searching for tools that help predict mechanical ventilator
weaning success.
PMID- 29364996
TI - Thickening of the tracheal wall.
PMID- 29364997
TI - Prognostic studies for health care decision making.
PMID- 29364998
TI - Usefulness of radiological signs of pulmonary congestion in predicting failed
spontaneous breathing trials.
AB - Inspiratory fall in intrathoracic pressure during a spontaneous breathing trial
(SBT) may precipitate cardiac dysfunction and acute pulmonary edema. We aimed to
determine the relationship between radiological signs of pulmonary congestion
prior to an SBT and weaning outcomes. This was a post hoc analysis of a
prospective cohort study involving patients in an adult medical-surgical ICU. All
enrolled individuals met the eligibility criteria for liberation from mechanical
ventilation. Tracheostomized subjects were excluded. The primary endpoint was SBT
failure, defined as the inability to tolerate a T-piece trial for 30-120 min. An
attending radiologist applied a radiological score on interpretation of digital
chest X-rays performed before the SBT. A total of 170 T-piece trials were carried
out; SBT failure occurred in 28 trials (16.4%), and 133 subjects (78.3%) were
extubated at first attempt. Radiological scores were similar between SBT-failure
and SBT-success groups (median [interquartile range] = 3 [2-4] points vs. 3 [2-4]
points; p = 0.15), which, according to the score criteria, represented
interstitial lung congestion. The analysis of ROC curves demonstrated poor
accuracy (area under the curve = 0.58) of chest x-rays findings of congestion
prior to the SBT for discriminating between SBT failure and SBT success. No
correlation was found between fluid balance in the 48 h preceding the SBT and
radiological score results (rho = -0.13). Radiological findings of pulmonary
congestion should not delay SBT indication, given that they did not predict
weaning failure in the medical-surgical critically ill population.
(ClinicalTrials.gov identifier: NCT02022839 [http://www.clinicaltrials.gov/]).
PMID- 29364999
TI - Laryngotracheobronchial papillomatosis: chest CT findings.
AB - To evaluate the findings on chest CTs in 16 patients (8 men and 8 women) with
laryngotracheobronchial papillomatosis. This was a retrospective study involving
patients ranging from 2 to 72 years of age. The evaluation of the CT scans was
independently performed by two observers, and discordant results were resolved by
consensus. The inclusion criteria were presence of abnormalities on the CT scans,
and the diagnosis was confirmed by anatomopathological examination of the
papillomatous lesions. The most common symptoms were hoarseness, cough, dyspnea,
and recurrent respiratory infections. The major CT findings were nodular
formations in the trachea, solid or cavitated nodules in the lung parenchyma, air
trapping, masses, and consolidation. Nodular formations in the trachea were
observed in 14 patients (87.5%). Only 2 patients had lesions in lung parenchyma
without tracheal involvement. Only 1 patient had no pulmonary dissemination of
the disease, showing airway involvement only. Solid and cavitated lung nodules
were observed in 14 patients (87.5%) and 13 (81.2%), respectively. Masses were
observed in 6 patients (37.5%); air trapping, in 3 (18.7%); consolidation in 3
(18.7%); and pleural effusion, in 1 (6.3%). Pulmonary involvement was bilateral
in all cases. The most common tomography findings were nodular formations in the
trachea, as well as solid or cavitated nodules and masses in the lung parenchyma.
Malignant transformation of the lesions was observed in 5 cases.
PMID- 29365000
TI - Translation and cultural adaptation of a specific instrument for measuring asthma
control and asthma status: the Asthma Control and Communication Instrument.
AB - OBJECTIVE: To translate the Asthma Control and Communication Instrument (ACCI) to
Portuguese and adapt it for use in Brazil. METHODS: The ACCI was translated to
Portuguese and adapted for use in Brazil in accordance with internationally
accepted guidelines. The protocol included the following steps: permission and
rights of use granted by the original author; translation of the ACCI from
English to Portuguese; reconciliation; back-translation; review and harmonization
of the back-translation; approval from the original author; review of the
Portuguese version of the ACCI by an expert panel; cognitive debriefing (the
clarity, understandability, and acceptability of the translated version being
tested in a sample of the target population); and reconciliation and preparation
of the final version. RESULTS: During the cognitive debriefing process, 41 asthma
patients meeting the inclusion criteria completed the ACCI and evaluated the
clarity of the questions/statements. The clarity index for all ACCI items was >
0.9, meaning that all items were considered to be clear. CONCLUSIONS: The ACCI
was successfully translated to Portuguese and culturally adapted for use in
Brazil, the translated version maintaining the psychometric properties of the
original version. The ACCI can be used in clinical practice because it is easy to
understand and easily applied.
PMID- 29365001
TI - High-resolution computed tomography findings of pulmonary tuberculosis in lung
transplant recipients.
AB - OBJECTIVE: Respiratory infections constitute a major cause of morbidity and
mortality in solid organ transplant recipients. The incidence of pulmonary
tuberculosis is high among such patients. On imaging, tuberculosis has various
presentations. Greater understanding of those presentations could reduce the
impact of the disease by facilitating early diagnosis. Therefore, we attempted to
describe the HRCT patterns of pulmonary tuberculosis in lung transplant
recipients. METHODS: From two hospitals in southern Brazil, we collected the
following data on lung transplant recipients who developed pulmonary
tuberculosis: gender; age; symptoms; the lung disease that led to
transplantation; HRCT pattern; distribution of findings; time from
transplantation to pulmonary tuberculosis; and mortality rate. The HRCT findings
were classified as miliary nodules; cavitation and centrilobular nodules with a
tree-in-bud pattern; ground-glass attenuation with consolidation; mediastinal
lymph node enlargement; or pleural effusion. RESULTS: We evaluated 402 lung
transplant recipients, 19 of whom developed pulmonary tuberculosis after
transplantation. Among those 19 patients, the most common HRCT patterns were
ground-glass attenuation with consolidation (in 42%); cavitation and
centrilobular nodules with a tree-in-bud pattern (in 31.5%); and mediastinal
lymph node enlargement (in 15.7%). Among the patients with cavitation and
centrilobular nodules with a tree-in-bud pattern, the distribution was within the
upper lobes in 66.6%. No pleural effusion was observed. Despite treatment, one
year mortality was 47.3%. CONCLUSIONS: The predominant HRCT pattern was ground
glass attenuation with consolidation, followed by cavitation and centrilobular
nodules with a tree-in-bud pattern. These findings are similar to those reported
for immunocompetent patients with pulmonary tuberculosis and considerably
different from those reported for AIDS patients with the same disease.
PMID- 29365002
TI - Pneumonia mortality trends in all Brazilian geographical regions between 1996 and
2012.
AB - OBJECTIVE: To analyze the temporal trends in pneumonia mortality rates
(standardized by age, using the 2010 population of Brazil as the standard) in all
Brazilian geographical regions between 1996 and 2012. METHODS: This was an
ecological time-series study examining secondary data from the Mortality Database
maintained by the Information Technology Department of the Brazilian Unified
Health Care System. Polynomial and joinpoint regression models, and corresponding
95% CIs, were used for trend analysis. RESULTS: The pneumonia mortality rates in
the South, Southeast, and Central-West showed a decreasing behavior until 2000,
followed by increases, whereas, in the North and Northeast, they showed
increasing trends virtually throughout the period studied. There was variation in
annual percent change in pneumonia mortality rates in all regions except the
North. The Central-West had the greatest decrease in annual percent change
between 1996 and 2000, followed by an increase of the same magnitude until 2005.
The 80 years and over age group was the one most influencing the trend behavior
of pneumonia mortality rates in all regions. CONCLUSIONS: In general, pneumonia
mortality trends reversed, with an important increase occurring in the years
after 2000.
PMID- 29365003
TI - Can the six-minute walk distance predict the occurrence of acute exacerbations of
COPD in patients in Brazil?
AB - OBJECTIVE: To evaluate whether a six-minute walk distance (6MWD) of < 80% of the
predicted value can predict the occurrence of acute exacerbations of COPD in
patients in Brazil over a 2-year period. METHODS: This was a retrospective cross
sectional study involving 50 COPD patients in Brazil. At enrollment,
anthropometric data were collected and patients were assessed for pulmonary
function (by spirometry) and functional exercise capacity (by the 6MWD). The
patients were subsequently divided into two groups: 6MWD <= 80% of predicted and
6MWD > 80% of predicted. The occurrence of acute exacerbations of COPD over 2
years was identified by analyzing medical records and contacting patients by
telephone. RESULTS: In the sample as a whole, there was moderate-to-severe
airflow obstruction (mean FEV1 = 41 +/- 12% of predicted) and the mean 6MWD was
469 +/- 60 m (86 +/- 10% of predicted). Over the 2-year follow-up period, 25
patients (50%) experienced acute exacerbations of COPD. The Kaplan-Meier method
showed that the patients in whom the 6MWD was <= 80% of predicted were more
likely to have exacerbations than were those in whom the 6MWD was > 80% of
predicted (p = 0.01), whereas the Cox regression model showed that the former
were 2.6 times as likely to have an exacerbation over a 2-year period as were the
latter (p = 0.02). CONCLUSIONS: In Brazil, the 6MWD can predict acute
exacerbations of COPD over a 2-year period. The risk of experiencing an acute
exacerbation of COPD within 2 years is more than twice as high in patients in
whom the 6MWD is <= 80% of predicted.
PMID- 29365004
TI - Sleep quality in medical students: a comparison across the various phases of the
medical course.
AB - OBJECTIVE: To evaluate and compare subjective sleep quality in medical students
across the various phases of the medical course. METHODS: This was a cross
sectional study involving medical undergraduates at one medical school in the
city of Botucatu, Brazil. All first- to sixth-year students were invited to
complete the Pittsburgh Sleep Quality Index, which has been validated for use in
Brazil. Participants were divided into three groups according to the phase of the
medical course: group A (first- and second-years); group B (third- and fourth
years); and group C (fifth- and sixth-years). The results obtained for the
instrument components were analyzed for the total sample and for the groups.
RESULTS: Of the 540 students invited to participate, 372 completed the instrument
fully. Of those, 147 (39.5%) reported their sleep quality to be either very or
fairly bad; 110 (29.5%) reported taking more than 30 min to fall asleep; 253
(68.0%) reported sleeping 6-7 h per night; 327 (87.9%) reported adequate sleep
efficiency; 315 (84.6%) reported no sleep disturbances; 32 (8.6%) reported using
sleeping medication; and 137 (36.9%) reported difficulty staying awake during the
day at least once a week. Group comparison revealed that students in group A had
worse subjective sleep quality and greater daytime dysfunction than did those in
groups B and C. CONCLUSIONS: Medical students seem to be more exposed to sleep
disturbance than other university students, and first- and second-years are more
affected than those in other class years because they have worse subjective sleep
quality. Active interventions should be implemented to improve sleep hygiene in
medical students.
PMID- 29365006
TI - Multislice CT in the diagnosis of bronchopleural fistula.
PMID- 29365007
TI - The reversed halo sign: also think about chronic eosinophilic pneumonia.
PMID- 29365005
TI - Recommendations for the pharmacological treatment of COPD: questions and answers.
AB - The treatment of COPD has become increasingly effective. Measures that range from
behavioral changes, reduction in exposure to risk factors, education about the
disease and its course, rehabilitation, oxygen therapy, management of
comorbidities, and surgical and pharmacological treatments to end-of-life care
allow health professionals to provide a personalized and effective therapy. The
pharmacological treatment of COPD is one of the cornerstones of COPD management,
and there have been many advances in this area in recent years. Given the greater
availability of drugs and therapeutic combinations, it has become increasingly
challenging to know the indications for, limitations of, and potential risks and
benefits of each treatment modality. In order to critically evaluate recent
evidence and systematize the major questions regarding the pharmacological
treatment of COPD, 24 specialists from all over Brazil gathered to develop the
present recommendations. A visual guide was developed for the classification and
treatment of COPD, both of which were adapted to fit the situation in Brazil. Ten
questions were selected on the basis of their relevance in clinical practice.
They address the classification, definitions, treatment, and evidence available
for each drug or drug combination. Each question was answered by two specialists,
and then the answers were consolidated in two phases: review and consensus by all
participants. The questions answered are practical questions and help select from
among the many options the best treatment for each patient and his/her
peculiarities.
PMID- 29365008
TI - Pneumothorax: between the beach and the stratosphere.
PMID- 29365009
TI - A Dietary Pattern of Higher Fish, Egg, Milk, Nut, Vegetable and Fruit, and Lower
Salt Intake Correlates With the Prevalence and Control of Hypertension.
AB - BACKGROUND: The effects of food on the prevalence and control of hypertension are
unclear. We aimed to investigate whether a dietary pattern of higher fish, egg,
milk, nut, vegetable and fruit consumption, and lower salt intake was associated
with hypertension in China. METHODS: A total of 15,303 subjects were recruited
from September 2012 to December 2014. Groups with (n = 1,604) and without (n =
13,660) hypertension were formed for a case-control study. The hypertensive
participants were classified into the controlled blood pressure (BP) subgroup (n
= 397) and the uncontrolled BP subgroup (n = 1,207). Data on the average weekly
intake of fish, eggs, milk, nuts, vegetables, fruit, and salt in the past year
were collected. Higher intake was defined as greater than or equal to median food
intake. RESULTS: Higher fish, egg, milk, nut, vegetable, and fruit intake
correlated with lower hypertension prevalence, and fish and fruit intake were the
strongest associated factors. Meanwhile, higher fruit intake, the highest
quartile of egg or milk intake, and the lowest quartile of salt intake correlated
with better BP control. Furthermore, the dietary pattern was associated with
lower hypertension prevalence (odds ratio [OR]: 0.88, 95% confidence interval
[CI]: 0.84-0.92; P < 0.001) and better BP control (OR: 1.11, 95% CI: 1.03-1.21; P
= 0.011). However, the dietary pattern did not correlate with BP control after
excluding fruit intake. CONCLUSIONS: The dietary pattern correlated with lower
hypertension prevalence and better BP control, and its association with BP
control might be driven by higher fruit consumption.
PMID- 29365011
TI - Incorrect Degree in Byline.
PMID- 29365012
TI - Sun Protection Behaviors in Head Start and Other Early Childhood Education
Programs in Illinois.
AB - Importance: Sun safety attitudes developed in early childhood can reduce lifetime
UV radiation exposure and the risk of skin cancer. Objective: To assess the
current policies, practices, and attitudes among caregivers regarding sun
protection in children aged 2 to 6 years. Design, Setting, and Participants: A
survey of 202 administrators or managers and teachers of Illinois Head
Start/Early Head Start (HS/EHS) and day care centers was conducted from July 3
through 21, 2017. Organizations were randomly selected from 4 lists of urban,
suburban, town, and rural locations and stratified to ensure population-based
proportional representation of the Illinois population vulnerable to UV exposure.
Program administrators or managers participated in a 5- to 10-minute telephone
interview that assessed importance of health and sun protection behaviors,
program practices, and demographic characteristics. Data analysis was conducted
August 2, 2017. Main Outcomes and Measures: Outcomes included attitudes toward
the importance of health behaviors in comparison with sun protective behaviors,
reported use of sun protection (seeking shade, scheduling outdoor activities, sun
protective clothing, and sunscreen practices), and sunburn prevalence. Results:
Respondents (from 102 HS/EHS programs with 52% boys overall and a mean [SD] child
age of 2.5 [0.5] y and 100 day care programs with 49% boys and age of 2.3 [0.4]
y) stated that the 3 most important habits were good nutrition (66 [64.7%]
HS/EHS, 71 [71.0%] day care), adequate exercise (41 [40.2%] HS/EHS, 55 [55.0%]
day care), and brushing teeth (35 [34.3%] HS/EHS, 38 [38.0 %] day care).
Scheduling outdoor activities to avoid peak sun intensity was performed less by
HS/EHS programs (46 [45.1%]) in comparison with day care programs (71 [71.0%]; P
< .001). Sunscreen was provided for students in 109 programs, but 84 (77.1%) did
not allow children to apply sunscreen themselves. Half of the programs (100 of
202 [50.0%]) used spray sunscreen to avoid unnecessarily touching children. Most
programs did not report any children having sunburns (129 [63.9%]) and followed
heat index guidelines (114 [56.4%]). Conclusions and Relevance: Administrators
and teachers did not identify sun safety as one of the most important health
habits. While spray sunscreen was used frequently, avoiding spraying sunscreen
directly on a child's face, which may get it into the child's eyes, was not done.
The heat index was widely used to determine outdoor playtime. Adopting UV index
policies could help prevent sunburns in early spring when the heat index is low
but UV index is high. Dermatologists may assume responsibility for educating the
administrators about the danger of spraying sunscreen into a child's face and the
advantage of using the UV index to determine when sun protection is needed.
PMID- 29365010
TI - T-Cell Large Granular Lymphocytic Leukemia and Coexisting B-Cell Lymphomas: A
Study From the Bone Marrow Pathology Group.
AB - Objective: T-cell large granular lymphocytic (T-LGL) leukemia is associated with
B-cell lymphomas (BCLs), especially small BCLs. We aimed to explore and expand
upon its association with BCLs. Methods: We retrospectively studied
clinicopathologic features of T-LGL leukemia patients with coexisting BCL from
January 2001 to December 2016. Results: Among 432 patients with T-LGL leukemia,
22 (5.1%) had an associated B-cell non-Hodgkin lymphoma. Thirteen (59%) patients
had large and nine (41%) had small BCL. T-LGL leukemia occurred synchronously
with BCL in five, preceded BCL in three, and followed BCL in 14 patients. Anemia
was the most common cytopenia (68%). Only one patient had a history of rheumatoid
arthritis. Conclusion: To our knowledge, this is the first multicenter study
looking at the spectrum and incidence of BCLs in patients with T-LGL leukemia and
highlights its association with large BCLs (3% of T-LGL leukemias).
PMID- 29365013
TI - A New Year's Wish on Opioids.
PMID- 29365016
TI - Incorrect Wave 4 Reporting.
PMID- 29365015
TI - Progress Toward Elucidating Commonalities in Mental Disorders Using Brain Imaging
and Publicly Available Data.
PMID- 29365014
TI - Type of Menopause, Age at Menopause, and Risk of Developing Obstructive Sleep
Apnea in Postmenopausal Women.
AB - Despite established sex differences and longstanding hypotheses of sex hormone
influence in the etiology of obstructive sleep apnea (OSA), we have found no
studies that evaluated type of menopause and age at menopause, which affect
postmenopausal hormonal milieu, in relation to OSA risk in women. We followed
50,473 postmenopausal women from the Nurses' Health Study during 2002-2012 and
53,827 postmenopausal women from the Nurses' Health Study II during 1995-2013,
with 1,712 and 2,560 incident OSA diagnoses, respectively. Compared with natural
menopause, the pooled hazard ratio for OSA was 1.27 (95% confidence interval
(CI): 1.17, 1.38) for surgical menopause by hysterectomy/oophorectomy. The
association remained the same after further accounting for age at menopause
(hazard ratio = 1.26, 95% CI: 1.15, 1.38). The risk associated with surgical
menopause was higher among women who were not obese as well as among women who
never used hormone therapy (P for interaction < 0.05). Earlier menopause was
associated with higher OSA risk prior to adjustment for type of menopause
(comparing those aged <40 years versus those aged 50-54 years, hazard ratio =
1.21, 95% CI: 1.08, 1.35; P for trend = 0.008), although no association was
observed after the adjustment. Surgical as compared with natural menopause was
independently associated with higher OSA risk in postmenopausal women. Our
results provide additional evidence for a role for sex hormones, particularly
abrupt hormonal changes, in modulating OSA risk.
PMID- 29365017
TI - Association of Reperfusion With Brain Edema in Patients With Acute Ischemic
Stroke: A Secondary Analysis of the MR CLEAN Trial.
AB - Importance: It is uncertain whether therapeutic reperfusion with endovascular
treatment yields more or less brain edema. Objective: To elucidate the
association between reperfusion and brain edema. The secondary objectives were to
evaluate whether brain edema could partially be responsible for worse outcomes in
patients with later reperfusion or lower Alberta Stroke Program Early Computed
Tomography Score. Design, Setting, and Participants: This was a post hoc analysis
of the Multicenter Randomized Clinical Trial of Endovascular Treatment for Acute
Ischemic Stroke in the Netherlands (MR CLEAN), which was a prospective,
randomized, multicenter clinical trial of endovascular treatment compared with
conventional care of patients with acute anterior circulation ischemic stroke. Of
502 patients enrolled from December 2010 to June 2014, 2 patients declined to
participate. Additionally, exclusion criteria were absence of follow-up imaging
or presence of parenchymal hematoma, resulting in 462 patients included in this
study. Brain edema was assessed retrospectively, from December 10, 2016, to July
24, 2017, by measuring midline shift (MLS) in all available follow-up scans.
Observers were blinded to clinical data. Main Outcomes and Measures: Midline
shift was assessed as present or absent and as a continuous variable. Reperfusion
status was assessed by the modified thrombolysis in cerebral infarction score in
the endovascular treatment arm. The modified arterial occlusive lesion score was
used to evaluate the recanalization status in both arms. The modified Rankin
scale score at 90 days was used for functional outcome. Results: Of 462 patients,
the mean (SD) age was 65 (11) years, and 41.8% (n = 193) were women. Successful
reperfusion and recanalization were associated with a reduced likelihood of
having MLS (adjusted common odds ratio, 0.25; 95% CI, 0.12-0.53; P < .001 and
adjusted common odds ratio, 0.34; 95% CI, 0.21-0.55; P < .001, respectively).
Midline shift was partially responsible for worse modified Rankin scale scores in
patients without reperfusion or recanalization (MLS changed the logistic
regression coefficients by 30.3% and 12.6%, respectively). In patients with
delayed reperfusion or lower Alberta Stroke Program Early Computed Tomography
Score, MLS mediated part of the worse modified Rankin scale scores, corresponding
to a change in the regression coefficient of 33.3% and 64.2%, respectively.
Conclusions and Relevance: Successful reperfusion was associated with reduced
MLS. This study identifies an additional benefit of reperfusion in relation to
edema, as well as rescuing ischemic brain tissue at risk for infarction. Trial
Registration: Netherlands Trial Registry number: NTR1804 and Current Controlled
Trials number: ISRCTN10888758.
PMID- 29365018
TI - Overexpression of Forkhead Box O3a and Its Association With Aggressive Phenotypes
and Poor Prognosis in Human Hepatocellular Carcinoma.
AB - Objectives: Recent research has demonstrated that forkhead box O3a (FoxO3a) may
function as an oncogenic transcription factor. We sought to validate the
clinicopathologic significance of FoxO3a expression in hepatocellular carcinoma
(HCC). Methods: Western blotting and immunohistochemistry were used to determine
FoxO3a expression. In vitro cell proliferation and migration assays were
performed in a HepG2 cell line. Results: FoxO3a was overexpressed in 121 (64.71%)
cases of HCC. FoxO3a overexpression was associated with aggressive phenotypes of
HCC, such as histologic grade (P < .001), stage (P = .031), and small vessel
invasion (P < .001). FoxO3a overexpression was also correlated with poor disease
free survival in both univariate and multivariate survival analyses (P = .001 and
P = .018, respectively). Downregulation of FoxO3a in a HepG2 cell line inhibited
cell proliferation and migration. Conclusions: These results suggest a role for
FoxO3a in HCC progression and support the potential use as a prognostic
biomarker.
PMID- 29365019
TI - Surgical Residents and Medical Malpractice-Reply.
PMID- 29365020
TI - Transparency and Dermatologic Device Approval by the US Food and Drug
Administration.
AB - Importance: The US Food and Drug Administration approves Class III medical
devices via the premarket approval pathway, often requiring clinical data on
safety and efficacy. Manufacturers can submit incremental device changes via
supplemental applications, which are not subjected to such vetting measures and
can cause understudied changes that lead to drift from a device's original
design. Objectives: To characterize the postapproval changes to Class III
dermatologic devices and to evaluate inconsistencies in the use of the premarket
approval pathway. Design, Setting, and Participants: This study was a cross
sectional retrospective cohort analysis of a public US Food and Drug
Administration database for premarket approval of devices. Included were
dermatologic devices approved by the US Food and Drug Administration between
January 1, 1980, and November 1, 2016, through the premarket pathway for device
approval. Main Outcomes and Measures: Original devices were identified, and their
supplements were characterized chronologically, by review track, and by
modification category. Results: The 27 dermatologic devices studied consisted of
14 injectables, 11 photodynamic therapies, a dermal replacement matrix, and a
diagnostic imaging instrument. Supplemental applications are increasingly used:
the data-requiring panel-track pathway was the least common approach (2.8% [16 of
562 supplements]), while the 30-day track, which does not require clinical data,
was most frequently used (42.5% [239 of 562 supplements]). Four devices (14.8%)
underwent low-risk recalls (Class II or Class III), and 10 devices (37.0%) were
voluntarily withdrawn. Conclusions and Relevance: As manufacturers make
increasing use of supplemental applications, minor device changes may occur
without supporting clinical data, which could pose a safety risk to patients.
PMID- 29365022
TI - Anhydramnios in Patients With Pemphigoid Gestationis.
PMID- 29365021
TI - Association of Resting Heart Rate and Temporal Changes in Heart Rate With
Outcomes in Participants of the Atherosclerosis Risk in Communities Study.
AB - Importance: Time-updated heart rate (HR) and temporal change in HR (DeltaHR) are
associated with outcome in individuals with established heart failure (HF).
Whether these factors are associated with outcomes in a community-based cohort is
unclear. Objective: To determine whether the time-updated analysis of resting HR,
defined as the most recent HR value measured before occurrence of an event or the
end of study, and DeltaHR over time are associated with outcomes in a community
based cohort. Design, Setting, and Participants: A total of 15 680 participants
were enrolled in the Atherosclerosis Risk in Communities cohort study, with HR
recorded at baseline and during 3 follow-up visits from 1987 to 1998, with a
median interval between visits of 3.0 (interquartile range, 2.9-4.0) years. The
DeltaHR was calculated by assessing a change in HR from the preceding visit.
Participants were followed up until December 31, 2014, equating to 28 years of
follow-up. The present study was conducted from March 2014 to June 2016 with
updated analysis. Main Outcomes and Measures: Baseline HR, time-updated HR, and
DeltaHR associated with outcomes, adjusted for established baseline and time
updated risk factors and medications. The main outcomes measures included all
cause mortality, incident HF, incident myocardial infarction, stroke, and
cardiovascular and noncardiovascular death. Results: Of the 15 680 participants,
8656 (55.2%) were women, mean (SD) age was 54 (6) years, and 4218 (26.9%) were
African American. Time-updated HR and DeltaHR were associated with death,
incident HF, incident myocardial infarction, stroke, and cardiovascular and
noncardiovascular death compared with baseline HR. For example, a DeltaHR from
the preceding visit was significantly associated with increased risk of all-cause
mortality (adjusted hazard ratio, 1.12; 95% CI, 1.10-1.15; P < .001 for every 5
bpm increase in HR from the preceding visit) and time-updated HR was also
significantly associated with increased risk of all-cause mortality (adjusted
hazard ratio, 1.14; 95% CI, 1.12-1.17; P < .001 for every 5-bpm higher time
updated HR). Conclusions and Relevance: In a community-based cohort, time-updated
HR and DeltaHR are associated with mortality and nonfatal outcomes of incident
HF, myocardial infarction, and stroke.
PMID- 29365023
TI - Comparison of a Medical-Grade Monitor vs Commercial Off-the-Shelf Display for
Mitotic Figure Enumeration and Small Object (Helicobacter pylori) Detection.
AB - Objectives: To examine the performance of a commercial off-the-shelf (COTS)
monitor vs a medical-grade (MG) monitor for small object enumeration in
standardized digital pathology images. Methods: Pathologists reviewed 35 melanoma
or 35 gastric biopsy images using the MG and COTS displays, with a 2-week washout
period. Mitotic figure or Helicobacter pylori burden enumerations were compared
with reference values reported by an expert subspecialist pathologist using a
light microscope. Subjective evaluations of image color, brightness, and overall
quality were also obtained. Results: There was substantial agreement between the
mitotic counts obtained by the evaluating pathologists between monitors and the
reference mitotic figure or H pylori burden assessments. Six of the nine
evaluating pathologists subjectively evaluated the monitors as substantially
similar. Conclusions: These findings are consistent with previous studies
demonstrating that color calibration has limited impact on diagnostic accuracy
and suggest that noncalibrated displays could be considered for fine assessment
tasks.
PMID- 29365024
TI - The US Food and Drug Administration's Approach for Safe Innovation of Medical
Devices in Dermatology.
PMID- 29365027
TI - Surgical Residents and Medical Malpractice.
PMID- 29365026
TI - Association of Heritable Cognitive Ability and Psychopathology With White Matter
Properties in Children and Adolescents.
AB - Importance: Many mental disorders emerge during adolescence, which may reflect a
cost of the potential for brain plasticity offered during this period. Brain
dysconnectivity has been proposed as a common factor across diagnostic
categories. Objective: To investigate the hypothesis that brain dysconnectivity
is a transdiagnostic phenotype in adolescence with increased susceptibility and
symptoms of psychiatric disease. Design, Setting, and Participants: We
investigated clinical symptoms as well as cognitive function in 6487 individuals
aged 8 to 21 years from November 1, 2009, to November 30, 2011, in the
Philadelphia Neurodevelopmental Cohort and analyzed diffusion magnetic resonance
imaging brain scans for 748 of the participants. Main Outcomes and Measures:
Independent component analysis was used to derive dimensional psychopathology
scores, and genome-wide complex trait analysis was used to estimate its
heritability. Multimodal fusion simultaneously modeled contributions of the
diffusion magnetic resonance imaging metrics fractional anisotropy, mean
diffusivity, radial diffusivity, L1 (the principal diffusion tensor imaging eigen
value), mode of anisotropy, as well as dominant and secondary fiber orientations,
and structural connectivity density, and their association with general
psychopathology and cognition. Results: Machine learning with 10-fold cross
validation and permutation testing in 729 individuals (aged 8 to 22 years; mean
[SD] age, 15.1 [3.3] years; 343 females [46%]) revealed significant association
with general psychopathology levels (r = 0.24, P < .001) and cognition (r = 0.39,
P < .001). A brain white matter pattern reflecting frontotemporal connectivity
and crossing fibers in the uncinate fasciculus was the most associated feature
for both traits. Univariate analysis across a range of clinical domains and
cognitive test scores confirmed its transdiagnostic importance. Both the general
psychopathology (16%; SE, 0.095; P = .05) and cognitive (18%; SE, 0.09; P = .01)
factor were heritable and showed a negative genetic correlation. Conclusion and
relevance: Dimensional and heritable general cognitive and psychopathology
factors are associated with specific patterns of white matter properties,
suggesting that dysconnectivity is a transdiagnostic brain-based phenotype in
individuals with increased susceptibility and symptoms of psychiatric disorders.
PMID- 29365028
TI - Incorrect Wave 4 Reporting.
PMID- 29365025
TI - Incidence and Prognosis of Primary Gastrinomas in the Hepatobiliary Tract.
PMID- 29365029
TI - Association of the Affordable Care Act Medicaid Expansion With Access to and
Quality of Care for Surgical Conditions.
PMID- 29365030
TI - Practical Diagnostic Approach to the Presence of Hyphae in Neuropathology
Specimens With Three Illustrative Cases.
AB - Objectives: Early and accurate diagnosis remains crucial in the therapeutic
management of invasive central nervous system fungal infections. Different molds
have intrinsic resistance to antifungal agents; thus, morphologic differentiation
is helpful to clinicians. Methods: Using three examples, we present a guide on
how to approach neuropathology specimens where hyphae are identified on initial
histologic examination. Results: Hyphae can be classified into three basic
groups: hyaline pauciseptated, hyaline septated, and pigmented or dematiaceous.
The hyaline pauciseptated group includes the order of the Mucorales (previously
Zygomyces) and is frequent in patients with decompensated diabetes and severe
neutropenia. Aspergillus species constitutes the most frequently isolated mold in
the hyaline septated group. However, other invasive hyaline septated molds
include Fusarium species, which is frequently resistant to multiple antifungals,
and Candida species Last, dematiaceous molds, although infrequent, can be found
in neuropathology specimens, as happened during the outbreak of Exserohilum
associated with manufacturing practices in a compound pharmacy. Conclusions:
Categorizing hyphae into the three groups described allows pathologists to
provide information that is useful for infectious disease treatment with an
inclusive differential diagnosis of diverse fungal genera that share the same
morphological features.
PMID- 29365031
TI - Unravelling triple-negative breast cancer molecular heterogeneity using an
integrative multiomic analysis.
AB - Background: Recent efforts of genome-wide gene expression profiling analyses have
improved our understanding of the biological complexity and diversity of triple
negative breast cancers (TNBCs) reporting, at least six different molecular
subtypes of TNBC namely Basal-like 1 (BL1), basal-like 2 (BL2), immunomodulatory
(IM), mesenchymal (M), mesenchymal stem-like (MSL) and luminal androgen receptor
(LAR). However, little is known regarding the potential driving molecular events
within each subtype, their difference in survival and response to therapy.
Further insight into the underlying genomic alterations is therefore needed.
Patients and methods: This study was carried out using copy-number aberrations,
somatic mutations and gene expression data derived from the Molecular Taxonomy of
Breast Cancer International Consortium (METABRIC) and The Cancer Genome Atlas.
TNBC samples (n = 550) were classified according to Lehmann's molecular subtypes
using the TNBCtype online subtyping tool (http://cbc.mc.vanderbilt.edu/tnbc/).
Results: Each subtype showed significant clinic-pathological characteristic
differences. Using a multivariate model, IM subtype showed to be associated with
a better prognosis (HR = 0.68; CI = 0.46-0.99; P = 0.043) whereas LAR subtype was
associated with a worst prognosis (HR = 1.47; CI = 1.0-2.14; P = 0.046). BL1
subtype was found to be most genomically instable subtype with high TP53 mutation
(92%) and copy-number deletion in genes involved in DNA repair mechanism (BRCA2,
MDM2, PTEN, RB1 and TP53). LAR tumours were associated with higher mutational
burden with significantly enriched mutations in PI3KCA (55%), AKT1 (13%) and CDH1
(13%) genes. M and MSL subtypes were associated with higher signature score for
angiogenesis. Finally, IM showed high expression levels of immune signatures and
check-point inhibitor genes such as PD1, PDL1 and CTLA4. Conclusion: Our findings
highlight for the first time the substantial genomic heterogeneity that
characterize TNBC molecular subtypes, allowing for a better understanding of the
disease biology as well as the identification of several candidate targets paving
novel approaches for the development of anticancer therapeutics for TNBC.
PMID- 29365033
TI - Guideline Update on Evaluation and Selection of Prosthetic Valves.
PMID- 29365032
TI - Alcohol Content in the 'Hyper-Reality' MTV Show 'Geordie Shore'.
AB - Aim: To quantify the occurrence of alcohol content, including alcohol branding,
in the popular primetime television UK Reality TV show 'Geordie Shore' Series 11.
Methods: A 1-min interval coding content analysis of alcohol content in the
entire DVD Series 11 of 'Geordie Shore' (10 episodes). Occurrence of alcohol use,
implied use, other alcohol reference/paraphernalia or branding was recorded.
Results: All categories of alcohol were present in all episodes. 'Any alcohol'
content occurred in 78%, 'actual alcohol use' in 30%, 'inferred alcohol use' in
72%, and all 'other' alcohol references occurred in 59% of all coding intervals
(ACIs), respectively. Brand appearances occurred in 23% of ACIs. The most
frequently observed alcohol brand was Smirnoff which appeared in 43% of all brand
appearances. Episodes categorized as suitable for viewing by adolescents below
the legal drinking age of 18 years comprised of 61% of all brand appearances.
Conclusions: Alcohol content, including branding, is highly prevalent in the UK
Reality TV show 'Geordie Shore' Series 11. Two-thirds of all alcohol branding
occurred in episodes age-rated by the British Board of Film Classification (BBFC)
as suitable for viewers aged 15 years. The organizations OfCom, Advertising
Standards Authority (ASA) and the Portman Group should implement more effective
policies to reduce adolescent exposure to on-screen drinking. The drinks industry
should consider demanding the withdrawal of their brands from the show. Short
Summary: Alcohol content, including branding, is highly prevalent in the MTV
reality TV show 'Geordie Shore' Series 11. Current alcohol regulation is failing
to protect young viewers from exposure to such content.
PMID- 29365034
TI - Simple Models and Ice Bucket Challenge Fuel Progress in ALS Treatment.
PMID- 29365035
TI - Integrating the Genetics of Race and Ethnicity Into Cancer Research: Trailing
Jane and John Q. Public.
PMID- 29365037
TI - An update on the progress of transcriptomic profiles of human endometrial
receptivity.
AB - Despite advances in our understanding of fertility, implantation failure remains
a significant problem for both spontaneous and assisted pregnancies. Most
research efforts concerning the process of implantation are embryo-centric, with
a dearth of studies on endometrial factors. Currently, there are no practical and
effective diagnostic tools available to precisely predict endometrial
receptivity. Transcriptomics, a field based on microarray technology, has a
number of procedures for clinical applications, although the functional relevance
of most identified genes remains unclear. Importantly, RNA sequencing will
further improve the precision and broaden the clinical use of the transcriptome
by detecting previously undiscovered genes, which could be used to further our
understanding of endometrial receptivity. In this review, potential biomarkers
based on endometrium gene expression profiles of human endometrial receptivity
were described and compared in natural and stimulated cycles toward discovering
future prospects for personalized medical approaches. The intent of this
synthesis is to provide researchers, doctors, and clinicians in the field with a
better understanding of endometrium receptivity, promote further study in the
transcriptome in embryo implantation, and ultimately, improve pregnancy outcome.
PMID- 29365036
TI - Real World Home Blood Pressure Variability in Over 56,000 Individuals With Nearly
17 Million Measurements.
AB - BACKGROUND: Using the data from 56,365 individuals, from 185 countries, and a
Nokia Health Wireless blood pressure (BP) monitor, we investigated real-world
characteristics of BP variability (BPV). METHODS: All included individuals self
measured and uploaded their BP using Bluetooth at least 20 times over a period of
>=1 month at a frequency and duration of their choosing. In total, 16,904,844 BP
measurements were analyzed, with a median of 146 measurements per person
(interquartile range [IQR] 73-321) over a median of 14 months (IQR 7-31). SD,
coefficient of variation, maximum BP, and maximum minus minimum BP difference
were all calculated as measures of BPV. RESULTS: BPV showed a distinct pattern,
influenced by season of year, day of week, and time of day. BPV index was higher
in females compared with males (P < 0.001) and increased with age (P < 0.001).
Compared to the weekend, the weekday BPV index was significantly higher, and this
finding was more prominent in females (P = 0.001). In multivariate analysis, BPV
index were significantly associated with age, gender, geographic location, and
mean BP values. CONCLUSION: Using the largest BP data set we are aware of, with
the benefits and limitations of real-world measurement, we could show the pattern
of BPV and provide reference values that may be helpful in understanding the
nature of BPV as self-measurement at home becomes more common, and help guide
individualized management.
PMID- 29365038
TI - Mastopexy and Mastopexy-Augmentation.
AB - Mastopexy and mastopexy-augmentation are aesthetic breast surgeries that seek to
create youthful, beautiful appearing breasts. Age, hormonal changes, or weight
loss can lead to alterations that require addressing the skin envelope and breast
parenchyma. Many surgical approaches have been described including periareolar,
vertical, and Wise pattern techniques, but most modern mastopexies include
manipulation of the breast parenchyma to improve the longevity of breast ptosis
correction. Mesh support of the ptotic breast is an extension of this paradigm
shift and seeks to restore the lost strength of the support structures of the
breast. Despite initial controversy, single stage mastopexy-augmentation has been
demonstrated to be a safe option for appropriately selected and informed patients
who desire both correction of shape and volume. Revisions may still be necessary
based on patient and surgeon goals for correction. Evolving technologies will
likely continue to enhance the ability of aesthetic plastic surgeons to provide
pleasing, durable correction of breast ptosis.
PMID- 29365039
TI - Response to "Comments on 'Long-Term Safety of Textured and Smooth Breast
Implants' and a Plea to Abandon the Use of the MAUDE Database".
PMID- 29365040
TI - Adjusting for unmeasured spatial confounding with distance adjusted propensity
score matching.
AB - Propensity score matching is a common tool for adjusting for observed confounding
in observational studies, but is known to have limitations in the presence of
unmeasured confounding. In many settings, researchers are confronted with
spatially-indexed data where the relative locations of the observational units
may serve as a useful proxy for unmeasured confounding that varies according to a
spatial pattern. We develop a new method, termed distance adjusted propensity
score matching (DAPSm) that incorporates information on units' spatial proximity
into a propensity score matching procedure. We show that DAPSm can adjust for
both observed and some forms of unobserved confounding and evaluate its
performance relative to several other reasonable alternatives for incorporating
spatial information into propensity score adjustment. The method is motivated by
and applied to a comparative effectiveness investigation of power plant emission
reduction technologies designed to reduce population exposure to ambient ozone
pollution. Ultimately, DAPSm provides a framework for augmenting a "standard"
propensity score analysis with information on spatial proximity and provides a
transparent and principled way to assess the relative trade-offs of prioritizing
observed confounding adjustment versus spatial proximity adjustment.
PMID- 29365041
TI - The role of biomass allocation between lamina and petioles in a game of light
competition in a dense stand of an annual plant.
AB - Background and Aims: Models of plant three-dimensional (3-D) architecture have
been used to find optimal morphological characteristics for light capture or
carbon assimilation of a solitary plant. However, optimality theory is not
necessarily useful to predict the advantageous strategy of an individual in dense
stands, where light capture of an individual is influenced not only by its
architecture but also by the architecture of its neighbours. Here, we analysed
optimal and evolutionarily stable biomass allocation between the lamina and
petiole (evolutionarily stable strategy; ESS) under various neighbour conditions
using a 3-D simulation model based on the game theory. Methods: We obtained 3-D
information of every leaf of actual Xanthium canadense plants grown in a dense
stand using a ruler and a protractor. We calculated light capture and carbon
assimilation of an individual plant when it stands alone and when it is
surrounded by neighbours in the stand. We considered three trade-offs in petiole
length and lamina area: biomass allocation, biomechanical constraints and
photosynthesis. Optimal and evolutionarily stable biomass allocation between
petiole and lamina were calculated under various neighbour conditions. Key
Results: Optimal petiole length varied depending on the presence of neighbours
and on the architecture of neighbours. The evolutionarily stable petiole length
of plants in the stand tended to be longer than the optimal length of solitary
plants. The mean of evolutionarily stable petiole length in the stand was similar
to the real one. Trade-offs of biomechanical constraint and photosynthesis had
minor effects on optimal and evolutionarily stable petiole length. Conclusion:
Actual plants realize evolutionarily stable architecture in dense stands.
Interestingly, there were multiple evolutionarily stable petiole lengths even in
one stand, suggesting that plants with different architectures can coexist across
plant communities.
PMID- 29365043
TI - Why the Micromort Concept Falls Short in Breast Implant-Associated Anaplastic
Large Cell Lymphoma (BIA-ALCL) Risk Analysis.
PMID- 29365042
TI - Elevated androgen levels induce hyperinsulinemia through increase in Ins1
transcription in pancreatic beta cells in female rats.
AB - Hyperandrogenism is associated with hyperinsulinemia and insulin resistance in
adult females. We tested whether androgens dysregulate pancreatic beta cell
function to induce hyperinsulinemia through transcriptional regulation of insulin
gene (Ins) in the islets. Adult female Wistar rats implanted with
dihydrotestosterone (DHT; 7.5-mg, 90-d release) or placebo pellets were examined
after 10 weeks. DHT exposure increased plasma DHT levels by 2-fold similar to
that in polycystic ovary syndrome in women. DHT exposure induced hyperinsulinemia
with increased HOMA-IR index in fasting state and glucose intolerance and
exaggerated insulin responses following glucose tolerance test. DHT females had
no change in islet number, size and beta cell proliferation/apoptosis but
exhibited significant mitochondrial dysfunction (higher ADP/ATP ratio, decreased
mtDNA copy number, increased reactive oxygen production and downregulation of
mitochondrial biogenesis) and enhanced glucose-stimulated insulin secretion. Ins
expression was increased in DHT islets. In vitro incubation of control islets
with DHT dose dependently stimulated Ins transcription. Analysis of Ins1 gene
revealed a putative androgen responsive element in the promoter. Chromatin
immunoprecipitation assays showed that androgen receptors bind to this element in
response to DHT stimulation. Furthermore, reporter assays showed that the
promoter element is highly responsive to androgens. Insulin-stimulated glucose
uptake in skeletal muscle was decreased with associated decrease in IRbeta
expression in DHT females. Our studies identified a novel androgen-mediated
mechanism for the control of Ins expression via transcriptional regulation
providing a molecular mechanism linking elevated androgens and hyperinsulemia.
Decreased IRbeta expression in the skeletal muscles may contribute, in part, to
glucose intolerance in this model.
PMID- 29365044
TI - Calcium oscillations in fertilized pig oocytes are associated with repetitive
interactions between STIM1 and ORAI1.
AB - The Ca2+ entry mechanism that sustains the Ca2+ oscillations in fertilized pig
oocytes was investigated. Stromal interaction molecule 1 (STIM1) and ORAI1
proteins tagged with various fluorophores were expressed in the oocytes. In some
cells, the Ca2+ stores were depleted using cyclopiazonic acid (CPA); others were
inseminated. Changes in the oocytes' cytosolic free Ca2+ concentration were
monitored, while interaction between the expressed fusion proteins was
investigated using fluorescence resonance energy transfer (FRET). Store depletion
led to an increase of the FRET signal in oocytes co-expressing mVenus-STIM1 and
mTurquoise2-ORAI1, indicating that Ca2+ release was followed by an interaction
between these proteins. A similar FRET increase in response to CPA was also
detected in oocytes co-expressing mVenus-STIM1 and mTurquoise2-STIM1, which is
consistent with STIM1 forming punctae after store depletion. ML-9, an inhibitor
that can interfere with STIM1 puncta formation, blocked store-operated Ca2+ entry
(SOCE) induced by Ca2+ add-back after a CPA treatment; it also disrupted the Ca2+
oscillations in fertilized oocytes. In addition, oocytes overexpressing mVenus
STIM1 showed high-frequency Ca2+ oscillations when fertilized, arguing for an
active role of the protein. High-frequency Ca2+ oscillations were also detected
in fertilized oocytes co-expressing mVenus-STIM1 and mTurquoise2-ORAI1, and both
of these high-frequency Ca2+ oscillations could be stopped by inhibitors of SOCE.
Importantly, in oocytes co-expressing mVenus-STIM1 and mTurquoise2-ORAI1, we were
also able to detect cyclic increases of the FRET signal indicating repetitive
interactions between STIM1 and ORAI1. The results confirm the notion that in pig
oocytes, SOCE is involved in the maintenance of the repetitive Ca2+ transients at
fertilization.
PMID- 29365045
TI - DincRNA: a comprehensive web-based bioinformatics toolkit for exploring disease
associations and ncRNA function.
AB - Summary: DincRNA aims to provide a comprehensive web-based bioinformatics toolkit
to elucidate the entangled relationships among diseases and non-coding RNAs
(ncRNAs) from the perspective of disease similarity. The quantitative way to
illustrate relationships of pair-wise diseases always depends on their molecular
mechanisms, and structures of the directed acyclic graph of Disease Ontology
(DO). Corresponding methods for calculating similarity of pair-wise diseases
involve Resnik's, Lin's, Wang's, PSB and SemFunSim methods. Recently, disease
similarity was validated suitable for calculating functional similarities of
ncRNAs and prioritizing ncRNA-disease pairs, and it has been widely applied for
predicting the ncRNA function due to the limited biological knowledge from wet
lab experiments of these RNAs. For this purpose, a large number of algorithms and
priori knowledge need to be integrated. e.g. 'pair-wise best, pairs-average'
(PBPA) and 'pair-wise all, pairs-maximum' (PAPM) methods for calculating
functional similarities of ncRNAs, and random walk with restart (RWR) method for
prioritizing ncRNA-disease pairs. To facilitate the exploration of disease
associations and ncRNA function, DincRNA implemented all of the above eight
algorithms based on DO and disease-related genes. Currently, it provides the
function to query disease similarity scores, miRNA and lncRNA functional
similarity scores, and the prioritization scores of lncRNA-disease and miRNA
disease pairs. Availability and implementation: http://bio
annotation.cn:18080/DincRNAClient/. Contact: biofomeng@hotmail.com or
qhjiang@hit.edu.cn. Supplementary information: Supplementary data are available
at Bioinformatics online.
PMID- 29365046
TI - Commentary on: The Ideal Thigh: A Crowdsourcing-Based Assessment of Ideal Thigh
Aesthetic and Implications for Gluteal Fat Grafting.
PMID- 29365047
TI - Commentary on: Rejuvenating the Face: An Analysis of 100 Absorbable Suture
Suspension Patients.
PMID- 29365048
TI - Survival of LA-MRSA in Dust from Swine Farms.
AB - Dust is suspected to be an important factor in transmission of livestock
associated methicillin-resistant Staphylococcus aureus (LA-MRSA) between pigs and
pig farmers and their families. The aim of this study was to determine the rate
of decay for Staphylococcus aureus and LA-MRSA in dust from swine farms.
Electrostatic dust fall collectors (EDCs) were used for passive sampling of
settling airborne dust in 11 stable sections from six swine farms. Extraction,
plating, identification, and enumeration of cultivable S. aureus and LA-MRSA from
the EDCs were performed after storage for 0-30 days postsampling. The survival of
S. aureus was measured in 196 dust samples from all farms, and data were used to
estimate the decay constant lambda according to a model for exponential decay:
N(t) = N0 * e-lambdat. The number of S. aureus colonies was up to 600-fold higher
than the number of LA-MRSA colonies on MRSA selective agar. The data showed a
good fit to the model (lambda = 0.13, r2 = 0.86) even with a large difference in
initial concentrations of S. aureus between stables. The loads of S. aureus and
LA-MRSA in the dust were significantly reduced by storage time, and the half-life
was 5 days for both S. aureus and LA-MRSA. In dust samples with high initial
concentrations, LA-MRSA and S. aureus could still be cultivated 30 days after
sampling. On all farms MRSA isolates belonged to the clonal complex (CC) 398, and
at one farm some isolates also belonged to CC30. A screening for other
Staphylococcus species in the farm dust revealed 13 different species numerically
dominated by Staphylococcus equorum. Based on the exponential decay model, S.
equorum had a half-life of 4 days. In conclusion, the presence of MRSA in
airborne dust from five of six farms indicates that dust might be an important
vehicle for transmission of LA-MRSA. LA-MRSA and S. aureus was found to survive
well in farm dust with half-lives of 5 days, and dependent on the initial
concentration they could be found in farm dust for weeks. The 99.9% die-off rate
was 66 days for LA-MRSA. Thus, farm dust can pose an exposure risk for humans in
the farm environment, but also when transported to other environments. On the
other hand, the risk will decrease by time. These results provide important
knowledge to diminish spread from farm environments to other environments on,
e.g., tools or clothing, and in relation to cleaning of emptied LA-MRSA-positive
stables.
PMID- 29365049
TI - Reproductive failure in mice expressing transgenic follicle-stimulating hormone
is not caused by loss of oocyte quality.
AB - Human female reproductive aging features declining ovarian follicle reserve and
oocyte quality, and rising levels of circulating follicle-stimulating hormone
(FSH). We determined the effects of elevated FSH on oocyte-embryo development in
mature mice exhibiting premature infertility caused by progressively rising
transgenic human FSH (TgFSH) levels. Oocyte-embryo developmental competence and
quality were examined using oocyte maturation and aneuploidy rates, biomarkers of
oocyte quality, and reciprocal embryo transfers assessed for implantation and
pregnancy. In vitro maturation suggested that TgFSH exposure only hindered oocyte
developmental competence in old females, as significantly more oocytes from >=12
month-old TgFSH females remained at germinal vesicle stage compared with age
matched control oocytes. Aneuploidy rates were equivalent in oocytes from aging
TgFSH compared with wildtype females. Cumulus cell expression levels of candidate
biomarker Inhba, Egfr, and Rgs2 transcripts were elevated in associated aneuploid
vs euploid oocytes from both TgFSH and wildtype females. In vivo, embryos
transferred from subfertile 6-month-old TgFSH females to wildtype recipients
yielded normal implantation rates and more pups born compared with controls.
Transfer of wildtype embryos rescued the fertility of 6-month-old TgFSH-recipient
females, although pup birth weight was reduced in TgFSH vs wildtype recipients.
Our current findings show that elevated FSH had minimal disruption of either
embryo developmental capacity or uterine function when examined in isolation, and
the subfertility of TgFSH female mice was not caused by altered oocyte aneuploidy
or quality.
PMID- 29365051
TI - The Nasal Ligaments and Tip Support in Rhinoplasty: An Anatomical Study.
AB - Background: In 1971, Janeke and Wright1 published a now classic study on the
support of the nasal tip in which they found four areas of anatomic support.
These findings led to the "tripod concept" of tip support. Recently, surgeons
have begun repairing and/or preserving the nasal ligaments as a method to control
tip projection and rotation. Therefore, a reassessment of the nasal ligaments and
tip support is warranted. Objectives: The present study was done to investigate
the ligamentous and structural support of the nasal tip. Clinically, surgeons are
aware of the role of the nasal ligaments and are beginning to utilize tip suture
techniques to achieve greater tip refinement and long-term support. Methods:
Anatomic studies were conducted on 24 fresh cadavers at the time of autopsy. The
two groups consisted of the following: (1) group 1 included dissection of 10
cadavers concentrated on the various ligaments of the nose; and (2) group 2
involved dissections of 14 cadavers analyzing the relationship between the alar
domes and the anterior septal angle (ASA). Results: Regarding the ligaments of
the nose, we were able to consistently identify the following ligaments: (1)
interdomal; (2) intercrural; (3) Pitanguy's midline; (4) pyriform; and (5) a
scroll ligament complex consisting of the longitudinal and vertical scroll
ligaments. We did not find two commonly accepted ligaments: (1) a "footplate
ligament" from the footplate of the medial crus to the caudal septum; and (2) a
"sesamoid ligament" attachment from the accessory cartilage to the pyriform
aperture. Dissections done to study the relationship between the domes and ASA
revealed that the domes projected an average of 5.7 mm (range, 2.2-9.6 mm) above
the ASA and were longitudinally 5.5 mm (range, 2.9-9.5 mm) caudal to the ASA.
Thus, there was no direct support from the ASA to the domes. Conclusions: It is
our recommendation that surgeons should consider preservation of the nasal
ligaments whenever possible and utilize them to manipulate tip projection,
position, and rotation. Awareness of the relationship between the dome and the
caudal septum will hopefully minimize problems with the tongue-in-groove
operation.
PMID- 29365052
TI - Commentary on: Effect of a Facial Muscle Exercise Device on Facial Rejuvenation.
PMID- 29365050
TI - Effect of a Facial Muscle Exercise Device on Facial Rejuvenation.
AB - Background: The efficacy of facial muscle exercises (FMEs) for facial
rejuvenation is controversial. In the majority of previous studies,
nonquantitative assessment tools were used to assess the benefits of FMEs.
Objectives: This study examined the effectiveness of FMEs using a Pao (MTG,
Nagoya, Japan) device to quantify facial rejuvenation. Methods: Fifty females
were asked to perform FMEs using a Pao device for 30 seconds twice a day for 8
weeks. Facial muscle thickness and cross-sectional area were measured
sonographically. Facial surface distance, surface area, and volumes were
determined using a laser scanning system before and after FME. Facial muscle
thickness, cross-sectional area, midfacial surface distances, jawline surface
distance, and lower facial surface area and volume were compared bilaterally
before and after FME using a paired Student t test. Results: The cross-sectional
areas of the zygomaticus major and digastric muscles increased significantly
(right: P < 0.001, left: P = 0.015), while the midfacial surface distances in the
middle (right: P = 0.005, left: P = 0.047) and lower (right: P = 0.028, left: P =
0.019) planes as well as the jawline surface distances (right: P = 0.004, left: P
= 0.003) decreased significantly after FME using the Pao device. The lower facial
surface areas (right: P = 0.005, left: P = 0.006) and volumes (right: P = 0.001,
left: P = 0.002) were also significantly reduced after FME using the Pao device.
Conclusions: FME using the Pao device can increase facial muscle thickness and
cross-sectional area, thus contributing to facial rejuvenation. Level of Evidence
4:
PMID- 29365053
TI - Predicting progression from normal cognition to mild cognitive impairment for
individuals at 5 years.
AB - Recent evidence indicates that measures from cerebrospinal fluid, MRI scans and
cognitive testing obtained from cognitively normal individuals can be used to
predict likelihood of progression to mild cognitive impairment several years
later, for groups of individuals. However, it remains unclear whether these
measures are useful for predicting likelihood of progression for an individual.
The increasing focus on early intervention in clinical trials for Alzheimer's
disease emphasizes the importance of improving the ability to identify which
cognitively normal individuals are more likely to progress over time, thus
allowing researchers to efficiently screen participants, as well as determine the
efficacy of any treatment intervention. The goal of this study was to determine
which measures, obtained when individuals were cognitively normal, predict on an
individual basis, the onset of clinical symptoms associated with a diagnosis of
mild cognitive impairment due to Alzheimer's disease. Cognitively normal
participants (n = 224, mean baseline age = 57 years) were evaluated with a range
of measures, including: cerebrospinal fluid amyloid-beta and phosphorylated-tau,
hippocampal and entorhinal cortex volume, cognitive tests scores and APOE
genotype. They were then followed to determine which individuals developed mild
cognitive impairment over time (mean follow-up = 11 years). The primary outcome
was progression from normal cognition to the onset of clinical symptoms of mild
cognitive impairment due to Alzheimer's disease at 5 years post-baseline. Time
dependent receiver operating characteristic analyses examined the sensitivity and
specificity of individual measures, and combinations of measures, as predictors
of the outcome. Six measures, in combination, were the most parsimonious
predictors of transition to mild cognitive impairment 5 years after baseline
(area under the curve = 0.85; sensitivity = 0.80, specificity = 0.75). The
addition of variables from each domain significantly improved the accuracy of
prediction. The incremental accuracy of prediction achieved by adding individual
measures or sets of measures successively to one another was also examined, as
might be done when enrolling individuals in a clinical trial. The results
indicate that biomarkers obtained when individuals are cognitively normal can be
used to predict which individuals are likely to develop clinical symptoms at 5
years post-baseline. As a number of the measures included in the study could also
be used as subject selection criteria in a clinical trial, the findings also
provide information about measures that would be useful for screening in a
clinical trial aimed at individuals with preclinical Alzheimer's disease.
PMID- 29365054
TI - GP73 promotes epithelial-mesenchymal transition and invasion partly by activating
TGF-beta1/Smad2 signaling in hepatocellular carcinoma.
AB - The transforming growth factor-beta1 (TGF-beta1) signaling pathways contribute to
cell metastasis and epithelial-mesenchymal transition (EMT). Golgi protein 73
(GP73), a type II transmembrane protein in the Golgi apparatus, was initially
regarded as a potential biomarker for the diagnosis of hepatocellular carcinoma
(HCC). Recently, it was reported that GP73 acts as a key oncogene by promoting
HCC growth and metastasis. However, the role of GP73 in metastasis, especially
when involving signaling pathways, is uncertain. Here, we report that GP73, which
is upregulated in HCC tissues and cell lines, is associated with tumor size,
tumor node metastasis stage, distant metastasis and vascular invasion. The
ectopic overexpression of GP73 increased HCC cell invasion, EMT and metastasis
both in vitro and in vivo. Conversely, GP73 knockdown inhibited invasion and EMT.
Moreover, GP73 enhanced p-Smad2 and p-Smad3 levels by mediating TGF-beta1, thus
leading to the promotion of EMT and invasion in HCC cells. In contrast, we used
SB431542 (SB) to repress p-Smad2 and p-Smad3 expression, which resulted in a
reversion of EMT. Furthermore, when the TGF-beta1/Smad pathway was blocked,
upregulation of GP73 still caused an enhanced EMT and invasion, and
downregulation of GP73 resulted in a decreased in EMT and invasion. In clinical
HCC samples, GP73 positively correlated with TGF-beta1/Smad2, which was
upregulated in HCC. Taken together, our findings highlight the important role of
GP73 in regulating EMT and metastasis in HCC partly by targeting TGF-beta1/Smad2
signaling, suggesting that GP73 may represent a novel potential therapeutic
target and prognostic marker for the treatment and diagnosis of HCC.
PMID- 29365055
TI - Comments on "Long-Term Safety of Textured and Smooth Breast Implants" and a Plea
to Abandon the Use of the MAUDE Database.
PMID- 29365056
TI - The Ideal Thigh: A Crowdsourcing-Based Assessment of Ideal Thigh Aesthetic and
Implications for Gluteal Fat Grafting.
AB - Background: As the popularity of aesthetic gluteoplasty continues to grow, there
is renewed focus on defining the ideal buttocks. However, the literature lacks
studies characterizing an ideal thigh, despite the impact of thigh contour on
overall gluteal aesthetic. Objectives: The authors performed the first population
analysis of the characteristics of perception of attractive thighs, to identify a
role for fat grafting of the thigh in gluteoplasty. Methods: Survey images were
digitally modified to create thighs of varying widths and angles relative to
fixed buttocks. Thigh-to-buttock ratios and the buttock-thigh junction were
studied. Data were stratified and analyzed according to age, gender, and
ethnicity of the respondents. Amazon Mechanical Turk was used as a novel
crowdsourcing platform for surveying aesthetic preferences. Results: A total of
1034 responses were included of whom 54.4% were male, and 45.6% were female. All
age groups and ethnicities were represented. Overall, 43.8% of respondents
preferred the widest buttock-thick junction angle on posterior view. There was no
clear preference between larger or smaller thigh-to-hip ratios on lateral view.
Conclusions: Characteristics of the ideal thigh include wider thighs with greater
horizontal projection, creating a more natural contour from the augmented
buttock. These findings represent a paradigm shift from the traditionally assumed
preference for slender thighs. Plastic surgeons should carefully consider thigh
anatomy in their gluteal augmentation patients, as simultaneous thigh
augmentation may lead to a more aesthetically pleasing outcome. Further research
is needed into best practices and techniques to attain ideal thigh proportions.
PMID- 29365057
TI - Computational drug repositioning using low-rank matrix approximation and
randomized algorithms.
AB - Motivation: Computational drug repositioning is an important and efficient
approach towards identifying novel treatments for diseases in drug discovery. The
emergence of large-scale, heterogeneous biological and biomedical datasets has
provided an unprecedented opportunity for developing computational drug
repositioning methods. The drug repositioning problem can be modeled as a
recommendation system that recommends novel treatments based on known drug
disease associations. The formulation under this recommendation system is matrix
completion, assuming that the hidden factors contributing to drug-disease
associations are highly correlated and thus the corresponding data matrix is low
rank. Under this assumption, the matrix completion algorithm fills out the
unknown entries in the drug-disease matrix by constructing a low-rank matrix
approximation, where new drug-disease associations having not been validated can
be screened. Results: In this work, we propose a drug repositioning
recommendation system (DRRS) to predict novel drug indications by integrating
related data sources and validated information of drugs and diseases. Firstly, we
construct a heterogeneous drug-disease interaction network by integrating drug
drug, disease-disease and drug-disease networks. The heterogeneous network is
represented by a large drug-disease adjacency matrix, whose entries include drug
pairs, disease pairs, known drug-disease interaction pairs and unknown drug
disease pairs. Then, we adopt a fast Singular Value Thresholding (SVT) algorithm
to complete the drug-disease adjacency matrix with predicted scores for unknown
drug-disease pairs. The comprehensive experimental results show that DRRS
improves the prediction accuracy compared with the other state-of-the-art
approaches. In addition, case studies for several selected drugs further
demonstrate the practical usefulness of the proposed method. Availability and
implementation:
http://bioinformatics.csu.edu.cn/resources/softs/DrugRepositioning/DRRS/index.htm
. Contact: yaohang@cs.odu.edu or jxwang@mail.csu.edu.cn. Supplementary
information: Supplementary data are available at Bioinformatics online.
PMID- 29365058
TI - Colorectal cancer (CRC) monitoring by 6-monthly 18FDG-PET/CT: an open-label
multicentre randomised trial.
AB - Background: [18F]2-fluoro-2-deoxy-d-glucose positron emission tomography/computed
tomography (18FDG-PET/CT) has high sensitivity for detecting recurrences of
colorectal cancer (CRC). Our objective was to determine whether adding routine 6
monthly 18FDG-PET/CT to our usual monitoring strategy improved patient outcomes
and to assess the effect on costs. Patients and methods: In this open-label
multicentre trial, patients in remission of CRC (stage II perforated, stage III,
or stage IV) after curative surgery were randomly assigned (1 : 1) to usual
monitoring alone (3-monthly physical and tumour marker assays, 6-monthly liver
ultrasound and chest radiograph, and 6-monthly whole-body computed tomography) or
with 6-monthly 18FDG-PET/CT, for 3 years. A multidisciplinary committee reviewed
each patient's data every 3 months and classified the recurrence status as
yes/no/doubtful. Recurrences were treated with curative surgery alone if feasible
and with chemotherapy otherwise. The primary end point was treatment failure
defined as unresectable recurrence or death. Relative risks were estimated, and
survival was analysed using the Kaplan-Meier method, log-rank test, and Cox
models. Direct costs were compared. Results: Of the 239 enrolled patients, 120
were in the intervention arm and 119 in the control arm. The failure rate was
29.2% (31 unresectable recurrences and 4 deaths) in the intervention group and
23.7% (27 unresectable recurrences and 1 death) in the control group (relative
risk = 1.23; 95% confidence interval, 0.80-1.88; P = 0.34). The multivariate
analysis also showed no significant difference (hazards ratio, 1.33; 95%
confidence interval, 0.8-2.19; P = 0.27). Median time to diagnosis of
unresectable recurrence (months) was significantly shorter in the intervention
group [7 (3-20) versus 14.3 (7.3-27), P = 0.016]. Mean cost/patient was higher in
the intervention group (18 192 +/- 27 679 ? versus 11 131 +/- 13 ?, P < 0.033).
Conclusion: 18FDG-PET/CT, when added every 6 months, increased costs without
decreasing treatment failure rates in patients in remission of CRC. The control
group had very close follow-up, and any additional improvement (if present) would
be small and hard to detect. ClinicalTrials.gov identifier: NCT00624260.
PMID- 29365059
TI - Response to "Why the Micromort Concept Falls Short in Breast Implant-Associated
Anaplastic Large Cell Lymphoma (BIA-ALCL) Risk Analysis".
PMID- 29365060
TI - Next-generation immunotherapies for lymphoma: one foot in the future.
AB - Improved understanding of the interactions between cancer cells and the immune
system combined with technological advances has led to the development of novel
types of immunotherapies. These include checkpoint inhibitors, T-cell engager
antibodies and chimeric antigen receptor T cells which have demonstrated
remarkable efficacy in B-cell malignancies, including anti-PD1 antibodies in
Hodgkin lymphoma, and T-cell engager antibodies and chimeric antigen receptor T
cells in B-cell acute lymphoblastic leukemia, leading to their approval in these
indications. Recent clinical data suggest that these immunotherapies may also
benefit patients with other types of hematologic malignancies, particularly
patients with Hodgkin and non-Hodgkin lymphomas. Here, we review the most recent
clinical data regarding these different immunotherapies in patients with
lymphoma. Ongoing and future studies should further define which immunotherapy
may best apply to a given patient in order to provide a 'personalized
immunotherapy'.
PMID- 29365061
TI - Nanofat Grafting for Scar Treatment and Skin Quality Improvement.
AB - Background: Fat grafting has been gaining attention in tissue augmentation over
the past decade, not only for lipofilling, but also for its observed regenerative
properties and overall skin texture improvement. Objectives: The aim of this
study was to analyze the effect of nanofat grafting on scars, wrinkles, and skin
discolorations in our clinic. Methods: Nanofat was prepared by a standard
emulsification and filtration protocol. The resulting liquid was injected
intradermally or directly into the scar tissue. Skin quality was evaluated based
on a scoring system, and patient satisfaction was documented. Three physicians
compared and analyzed standardized pre- and posttreatment photographs in respect
to general improvement of skin aesthetics. Results: Fifty-two patients were
treated with nanofat from November 2013 to April 2016. The mean (+/- standard
deviation) posttreatment follow up was 155 +/- 49 days and average volume of
harvested fat amounted to 165 cc. The primary harvesting areas were the abdomen
and flanks, and the injected volume of nanofat ranged from 1 to 25 mL (mean, 4.6
mL). A total of 40 scars (76% of all patient defects) were effectively treated as
well as 6 patients with wrinkles, and 6 patients with discoloration.
Posttreatment clinical evaluations showed a marked improvement of scar quality
and a high patient satisfaction. The results in our clinic showed that nanofat
grafting softened the scars, made discolorations less pronounced, and wrinkles
appeared less prominent. Conclusions: Nanofat grafting has been shown to have
beneficial effects in the treatment of scars, wrinkles, and skin discolorations.
Level of Evidence 4:
PMID- 29365062
TI - Social relationships in physicians' work moderate relationship between workload
and wellbeing-9-year follow-up study.
AB - Background: Increasing wellbeing problems among physicians may lead to serious
consequences in health care and means to prevent such development are called for.
This study examined longitudinal associations between workload and changes in
distress, sleep quality and workability in physicians and whether positive social
relations at work would protect from such problems. Methods: A baseline survey
was conducted in 2006 for a random sample of 5000 physicians (n = 2841, response
rate 57%). In 2015, the follow-up survey was sent to those 2 206 physicians who
gave their consent (n = 1462, response rate 68.3%). The survey included scales
for distress, sleeping problems, workability, workload, team climate, collegial
support and questions for background information. Results: Increased workload was
associated with increased psychological distress, sleeping problems and decreased
workability during the 9-year follow-up. Good team climate and collegial support
were related to decreased distress and sleep quality and enhanced workability.
Good collegial support buffered the associations of workload changes on distress
and sleep quality changes. Team climate was more strongly associated with changes
in sleep quality and workability among younger and middle aged physicians than
older physicians. Also collegial support had a stronger association with sleep
quality change among younger or middle aged physicians than older physicians.
These associations were robust to adjustments for age, gender, specialization,
leadership position, marital status and baseline wellbeing. Conclusions: Health
care organizations should take measures to decrease workload and to increase
availability of social support for physicians in order to protect physicians from
declining wellbeing.
PMID- 29365064
TI - Prepectoral Revision Breast Reconstruction for Treatment of Implant-Associated
Animation Deformity: A Review of 102 Reconstructions.
AB - Background: Animation deformity is a direct consequence of subpectoral implant
placement for breast reconstruction following mastectomy. Current treatment
options ameliorate but do not address the source of the problem. Moving the
implant from subpectoral to prepectoral has the potential to eliminate animation
deformity. Objectives: Describe the technique and outcomes of prepectoral
revision reconstruction in over 100 cases and discuss patient selection criteria
for a successful outcome. Methods: Patients who presented with animation
deformity following two-stage implant reconstruction were included in this
retrospective study. Revision surgery involved removal of the existing implant
via the previous incision site along the inframammary fold, suturing of the
pectoralis major muscle back to the chest wall, creation of a prepectoral pocket
for the new implant, use of acellular dermal matrix to reinforce the prepectoral
pocket and completely cover the implant, and fat grafting to enhance soft tissue.
Patients were evaluated for resolution of animation deformity and occurrence of
complications during follow up. Results: Fifty-seven patients (102 breasts)
underwent prepectoral revision reconstruction with complete resolution of
animation deformity. Complications occurred in 4 breasts (3.9%) and included
seroma (2 breasts), skin necrosis (3 breasts), and wound dehiscence (1 breast).
All 4 breasts with complications had their implants removed and replaced. There
were no incidences of infection or clinically significant capsular contracture in
this series. Conclusions: Revision reconstruction with prepectoral implant
placement and complete coverage with acellular dermal matrix resolves animation
deformity and results in aesthetically pleasing soft breasts. Patient selection
is critical for the success of this technique. Level of Evidence 4:
PMID- 29365065
TI - Does biventricular conversion bring survival benefits to patients with an
unbalanced atrioventricular septal defect?
PMID- 29365063
TI - De novo mutations in GRIN1 cause extensive bilateral polymicrogyria.
AB - Polymicrogyria is a malformation of cortical development. The aetiology of
polymicrogyria remains poorly understood. Using whole-exome sequencing we found
de novo heterozygous missense GRIN1 mutations in 2 of 57 parent-offspring trios
with polymicrogyria. We found nine further de novo missense GRIN1 mutations in
additional cortical malformation patients. Shared features in the patients were
extensive bilateral polymicrogyria associated with severe developmental delay,
postnatal microcephaly, cortical visual impairment and intractable epilepsy.
GRIN1 encodes GluN1, the essential subunit of the N-methyl-d-aspartate receptor.
The polymicrogyria-associated GRIN1 mutations tended to cluster in the S2 region
(part of the ligand-binding domain of GluN1) or the adjacent M3 helix. These
regions are rarely mutated in the normal population or in GRIN1 patients without
polymicrogyria. Using two-electrode and whole-cell voltage-clamp analysis, we
showed that the polymicrogyria-associated GRIN1 mutations significantly alter the
in vitro activity of the receptor. Three of the mutations increased agonist
potency while one reduced proton inhibition of the receptor. These results are
striking because previous GRIN1 mutations have generally caused loss of function,
and because N-methyl-d-aspartate receptor agonists have been used for many years
to generate animal models of polymicrogyria. Overall, our results expand the
phenotypic spectrum associated with GRIN1 mutations and highlight the important
role of N-methyl-d-aspartate receptor signalling in the pathogenesis of
polymicrogyria.
PMID- 29365067
TI - Impairment of Validity of Comparison Between Short-Course and Prolonged-Course
Antibiotic Therapy Using Propensity Score Matching.
PMID- 29365068
TI - Genetic transformation of cell-walled plant and algae cells: delivering DNA
through the cell wall.
AB - Transformation techniques are a fundamental tool for functional genomics studies.
These techniques are routinely used in many prokaryotic and eukaryotic organisms,
but in eukaryotes that are surrounded by a cell wall, these protocols have proven
difficult to successfully deliver heterologous or homologous DNA within their
cytoplasm and nucleus. Such cell-walled organisms represent a challenge that
requires the development of genetic transformation techniques that are able to
overcome their natural barrier, to achieve targeted gene expression. Here, we
review the techniques that have been proven successful and applied to these cell
walled eukaryotic organisms. We focus, especially, on plant cells, microalgae,
and the latest approaches to mediate DNA uptake by the photosynthetic
dinoflagellate Symbiodinium.
PMID- 29365069
TI - Management of expanding aortic arch aneurysm after hybrid endovascular and
debranching repair.
AB - Hybrid procedures are used to treat aneurysms of the transverse aortic arch
(TAA), combining debranching of the brachiocephalic vessels with endovascular
approaches. Continued enlargement of the aneurysmal sac is a late complication. A
60-year-old man presented with an expanding transverse aortic arch aneurysm after
prior hybrid repair and underwent left posterolateral thoracotomy, partial
excision of the previous stent graft and replacement with an interposition graft.
PMID- 29365070
TI - Encoding of Auditory Temporal Gestalt in the Human Brain.
AB - The perception of an acoustic rhythm is invariant to the absolute temporal
intervals constituting a sound sequence. It is unknown where in the brain
temporal Gestalt, the percept emerging from the relative temporal proximity
between acoustic events, is encoded. Two different relative temporal patterns,
each induced by three experimental conditions with different absolute temporal
patterns as sensory basis, were presented to participants. A linear support
vector machine classifier was trained to differentiate activation patterns in
functional magnetic resonance imaging data to the 2 different percepts. Across
the sensory constituents the classifier decoded which percept was perceived. A
searchlight analysis localized activation patterns specific to the temporal
Gestalt bilaterally to the temporoparietal junction, including the planum
temporale and supramarginal gyrus, and unilaterally to the right inferior frontal
gyrus (pars opercularis). We show that auditory areas not only process absolute
temporal intervals, but also integrate them into percepts of Gestalt and that
encoding of these percepts persists in high-level associative areas. The findings
complement existing knowledge regarding the processing of absolute temporal
patterns to the processing of relative temporal patterns relevant to the
sequential binding of perceptual elements into Gestalt.
PMID- 29365071
TI - Trends in prescribing of non-steroidal anti-inflammatory drugs in patients with
cardiovascular disease: influence of national guidelines in UK primary care.
AB - Background: Non-steroidal anti-inflammatory drugs (NSAIDs) are commonly used to
treat pain, but have potential side effects in patients with cardiovascular
disease (CVD). Objectives: To determine trends in NSAIDs prescribing between 2002
and 2010 in patients with CVD, and ascertain whether prescribing patterns changed
following publication of major national (the Medicines and Healthcare products
Regulatory Agency (MHRA) and the National Institute for Health and Clinical
Excellence (NICE)) guidance to GPs. Methods: This was an observational database
study of adult patients in 11 practices (Staffordshire, England). NSAIDs were
categorised into basic, COX-2 and topical. Study duration was divided on a
quarterly basis from 2002-quarter-1 to 2010q4. CVD patients were identified using
pre-defined Read Codes recorded in the two years prior to each quarter. Quarterly
prevalence was determined. Times of significant changes in prescribing trends
were determined using Joinpoint Regression, and compared to dates of the five
major guidelines (in 2004q4, 2005q1, 2005q3, 2006q4, 2008q1). Results: In CVD
patients, the prescription of basic NSAIDs showed a decreasing trend throughout
the study period, from 774 (95% CI, 691-863) per 10000 patients in 2002q1 to 245
(204-291) in 2010q4. COX-2 prescribing increased from 232/10000 (187-286) in
2002q1 to 403/10000 (348-464) in 2004q3. Prescribing then fell sharply to
102/10000 (76-134) in 2005q2 before stabilising around 55/10000. Topical NSAIDs
prescribing showed a steady increase, starting at 115/10000 (108-123) in 2002q1
and ending at 270/10000 (258-281) in 2010q4. Similar trends were observed in
patients without CVD, particularly a sharp drop in COX-2 prescribing also
occurred from 2004q4 when initial MHRA guidance was issued. Conclusion: Despite
guidelines and a trend toward decreased prescribing, the use of potentially
harmful NSAIDs continued in CVD patients. The MHRA directives potentially might
have affected patients without CVD who may have inappropriately restricted their
use of COX-2.
PMID- 29365072
TI - Outcomes of a total cavopulmonary connection in patients with impaired
ventricular function.
AB - OBJECTIVES: Our aim was to evaluate outcomes following a total cavopulmonary
connection (TCPC) in patients with preoperatively impaired ventricular function
(VF). METHODS: Of 483 consecutive TCPC patients, 44 (9.1%) had impaired VF
(ejection fraction <50%, Group A), and 439 patients had normal VF (ejection
fraction >=50%, Group B). We compared the clinical outcomes between the groups.
RESULTS: The median age at TCPC was 2.8 (interquartile range 1.9-8.3) years in
Group A and 2.3 (1.8-3.5) years in Group B (P = 0.025). An atrioventricular valve
(AVV) operation prior to (38.6 vs 27.1%, P < 0.001) and concomitant with (31.8 vs
12.1%, P < 0.001) the TCPC was performed more frequently in Group A. The median
intensive care unit stay (7.0 vs 7.0 days, P = 0.737) and 30-day survival (97.7
vs 98.4%, P = 0.737) were not significantly different between groups. Freedom
from death, transplantation (P = 0.115) and catheter intervention (P = 0.603)
showed no difference between groups. However, freedom from cardiac reoperation
was significantly lower in Group A (P < 0.001). VF was resolved in 22 of the 39
(56.4%) survivors in Group A. The recovered patients had a lower incidence of AVV
reoperation (0 vs 6, P = 0.002) and pacemaker rhythm (0 vs 5, P = 0.006).
CONCLUSIONS: In patients planned for TCPC, impaired VF is often associated with
AVV regurgitation. TCPC can be performed with low risk and comparable clinical
results except for cardiac reoperation in patients with impaired VF when compared
to patients with normal VF. Following TCPC, VF recovers in half of the survivors.
A competent AVV and sinus rhythm are prerequisites for recovery.
PMID- 29365066
TI - Structural brain abnormalities in the common epilepsies assessed in a worldwide
ENIGMA study.
AB - Progressive functional decline in the epilepsies is largely unexplained. We
formed the ENIGMA-Epilepsy consortium to understand factors that influence brain
measures in epilepsy, pooling data from 24 research centres in 14 countries
across Europe, North and South America, Asia, and Australia. Structural brain
measures were extracted from MRI brain scans across 2149 individuals with
epilepsy, divided into four epilepsy subgroups including idiopathic generalized
epilepsies (n =367), mesial temporal lobe epilepsies with hippocampal sclerosis
(MTLE; left, n = 415; right, n = 339), and all other epilepsies in aggregate (n =
1026), and compared to 1727 matched healthy controls. We ranked brain structures
in order of greatest differences between patients and controls, by meta-analysing
effect sizes across 16 subcortical and 68 cortical brain regions. We also tested
effects of duration of disease, age at onset, and age-by-diagnosis interactions
on structural measures. We observed widespread patterns of altered subcortical
volume and reduced cortical grey matter thickness. Compared to controls, all
epilepsy groups showed lower volume in the right thalamus (Cohen's d = -0.24 to
0.73; P < 1.49 * 10-4), and lower thickness in the precentral gyri bilaterally (d
= -0.34 to -0.52; P < 4.31 * 10-6). Both MTLE subgroups showed profound volume
reduction in the ipsilateral hippocampus (d = -1.73 to -1.91, P < 1.4 * 10-19),
and lower thickness in extrahippocampal cortical regions, including the
precentral and paracentral gyri, compared to controls (d = -0.36 to -0.52; P <
1.49 * 10-4). Thickness differences of the ipsilateral temporopolar,
parahippocampal, entorhinal, and fusiform gyri, contralateral pars triangularis,
and bilateral precuneus, superior frontal and caudal middle frontal gyri were
observed in left, but not right, MTLE (d = -0.29 to -0.54; P < 1.49 * 10-4).
Contrastingly, thickness differences of the ipsilateral pars opercularis, and
contralateral transverse temporal gyrus, were observed in right, but not left,
MTLE (d = -0.27 to -0.51; P < 1.49 * 10-4). Lower subcortical volume and cortical
thickness associated with a longer duration of epilepsy in the all-epilepsies,
all-other-epilepsies, and right MTLE groups (beta, b < -0.0018; P < 1.49 * 10-4).
In the largest neuroimaging study of epilepsy to date, we provide information on
the common epilepsies that could not be realistically acquired in any other way.
Our study provides a robust ranking of brain measures that can be further
targeted for study in genetic and neuropathological studies. This worldwide
initiative identifies patterns of shared grey matter reduction across epilepsy
syndromes, and distinctive abnormalities between epilepsy syndromes, which inform
our understanding of epilepsy as a network disorder, and indicate that certain
epilepsy syndromes involve more widespread structural compromise than previously
assumed.
PMID- 29365073
TI - Primary safety results from the LEADLESS Observational Study.
AB - Aims: A prospective, single-arm, multicentre, post-market study was conducted to
confirm the short-term safety of the NanostimTM leadless pacemaker (LP). In this
study, we report the primary results of the LEADLESS Observational Study. Methods
and results: Subjects meeting VVIR pacemaker indications were enrolled and
followed up after successful LP implantation, prior to discharge and post
implantation at 90 days, 180 days, and every 6 months thereafter for the
assessment of adverse events. The primary safety endpoint was evaluated in terms
of freedom from serious adverse device effects (SADEs) at 6 months in 300
subjects. Data for all enrolled subjects were also presented. A total of 470
subjects were enrolled (75.8 +/- 13.1 years, 62.8% male). The study paused in
April 2014 following the occurrence of perforation events that led to changes in
the protocol and investigator training. Freedom from SADEs, evaluated in 300
subjects enrolled post-pause, was 94.6% (95% confidence interval 91.0-97.2%) and
demonstrated non-inferiority to a performance goal of 86% (P < 0.0001). Eighteen
SADEs were observed in 16 (5.3%) subjects. The most frequently occurring events
were cardiac perforation (1.3%), device dislodgement (0.3%), and vascular
complications (1.3%). In the 470 subjects, 34 similar SADEs were observed in 31
(6.6%) subjects. After stratifying the results in relation to the study pause,
there was a statistically significant difference in the final LP location (septum
vs. apex) (P < 0.0001) and the number of repositioning attempts (<2 vs. >=2) (P =
0.05) and a decreasing trend in the rates of cardiac perforation and device
dislodgement. Conclusion: The primary safety endpoint at 6 months was
successfully met for the Nanostim LP. The occurrence of cardiac perforation and
device dislodgement declined after changes following the study pause.
PMID- 29365074
TI - A successful permanent pacemaker implantation in a challenging case with giant
heart.
PMID- 29365075
TI - Reply to Al-Hasan et al.
PMID- 29365076
TI - Precision of CAPILLARYS 2 for the Detection of Hemoglobin Variants Based on Their
Migration Positions.
AB - Objectives: In this report, we evaluated utility of the capillary electrophoresis
(CE) migration position of the CAPILLARYS 2 CE instrument. Methods: The precision
of this x-axis number was determined on a selection of common hemoglobin (Hb)
variants (Hb S, Hb C, Hb D-Punjab, Hb E, Hb Hope), and the reproducibility of
this number was evaluated by comparing the results obtained by two large
reference laboratories on 81 Hb variants. Additionally, the CE migration position
is given for a total of 409 Hb variants. Results: The x-axis migration position
showed excellent intra- and interassay precision. Comparison of Hb variants seen
by both laboratories showed that 83% had a difference in migration position of 1
unit or less. Only three rare Hb variants showed a difference of more than 2
units. Conclusion: In summary, the CE migration position is a reproducible value
and can be used as an aid in the identification of Hb variants.
PMID- 29365077
TI - Programmed electrical stimulation-guided encircling cryoablation concomitant to
surgical ventricular reconstruction for primary prevention of ventricular
arrhythmias.
AB - OBJECTIVES: Surgical ventricular reconstruction (SVR) is an effective treatment
to improve left ventricular (LV) function in patients with ischaemic heart
failure and an LV anterior-apical aneurysm. Ventricular arrhythmia (VA) is an
important cause for morbidity and mortality in these patients. Therefore,
encircling cryoablation targeting the VA substrate may be required. Programmed
electrical stimulation (PES) can identify patients at risk for VA. The objective
of this study was to evaluate the incidence and type of VA during long-term
follow-up after PES-guided encircling cryoablation concomitant to SVR for primary
prevention of VA. METHODS: Thirty-eight patients without spontaneous VA referred
for SVR who underwent preoperative PES were included (PES group); 27 (71%)
patients inducible for aneurysm-related VA received cryoablation. A historical
cohort of 39 patients without spontaneous VA, preoperative PES and antiarrhythmic
surgery served as the control group. Patients were discharged with an implantable
cardioverter defibrillator (ICD). RESULTS: During 74 +/- 35 months of follow-up,
no arrhythmic deaths occurred. Five-year survival for the total study population
was 78%. Twenty-eight (36%) patients experienced >=1 VA. There were no
differences in the number and type of ICD therapies between groups: shocks, P =
0.699 and antitachypacing, P = 0.403. Five-year VA-free survival was 61% for the
PES group and 65% for the control group (hazard ratio 1.67, P = 0.290).
CONCLUSIONS: The majority of the patients referred for SVR without previously
documented VA was inducible for aneurysm-related VA. During the follow-up, more
than one-third of the patients experienced sustained VA and 25% received
appropriate ICD therapy. No difference in VA occurrence or ICD therapy was
observed between groups.
PMID- 29365078
TI - Neural Evidence for the Contribution of Active Suppression During Working Memory
Filtering.
AB - In order to efficiently process incoming visual information, selective attention
acts as a filter that enhances relevant and suppresses irrelevant information. In
this study, we used an event-related potential (ERP) approach with systematic
lateralization to investigate enhancement and suppression during encoding of
information into visual working memory (WM) separately. We used a change
detection task in which observers had to memorize some items while ignoring other
items. We found that the to-be-ignored items elicited a PD component in the ERP,
suggesting that irrelevant information is actively suppressed from WM. The PD
amplitude increased with distractor load and decreased with the ability to group
distractors according to Gestalt principles. This suggests that the PD can be
used as an indicator of how efficiently items can be suppressed from entering WM.
Furthermore, while lateral memory-targets elicited a "traditional" CDA (starting
~300 ms), lateral memory-distractors elicited a sustained positivity
contralateral to memory-distractors (CDAp, starting ~400 ms). In sum the results
suggest that inhibition of irrelevant information is an important factor for
efficient WM and is reflected in spontaneous (PD) and sustained suppression
(CDAp).
PMID- 29365079
TI - Endogenous Sonic Hedgehog limits inflammation and angiogenesis in the ischaemic
skeletal muscle of mice.
AB - Aims: Hedgehog (Hh) signalling has been shown to be re-activated in ischaemic
tissues and participate in ischaemia-induced angiogenesis. Sonic Hedgehog (Shh)
is upregulated by more than 80-fold in the ischaemic skeletal muscle, however its
specific role in ischaemia-induced angiogenesis has not yet been fully
investigated. The purpose of the present study was to investigate the role of
endogenous Shh in ischaemia-induced angiogenesis. Methods and results: To this
aim, we used inducible Shh knock-out (KO) mice and unexpectedly found that
capillary density was significantly increased in re-generating muscle of Shh
deficient mice 5 days after hind limb ischaemia was induced, demonstrating that
endogenous Shh does not promote angiogenesis but more likely limits it. Myosin
and MyoD expression were equivalent in Shh deficient mice and control mice,
indicating that endogenous Shh is not required for ischaemia-induced myogenesis.
Additionally, we observed a significant increase in macrophage infiltration in
the ischaemic muscle of Shh deficient mice. Our data indicate that this was due
to an increase in chemokine expression by myoblasts in the setting of impaired Hh
signalling, using tissue specific Smoothened conditional KO mice. The increased
macrophage infiltration in mice deficient for Hh signalling in myocytes was
associated with increased VEGFA expression and a transiently increased
angiogenesis, demonstrating that Shh limits inflammation and angiogenesis
indirectly by signalling to myocytes. Conclusion: Although ectopic administration
of Shh has previously been shown to promote ischaemia-induced angiogenesis, the
present study reveals that endogenous Shh does not promote ischaemia-induced
angiogenesis. On the contrary, the absence of Shh leads to aberrant ischaemic
tissue inflammation and a transiently increased angiogenesis.
PMID- 29365080
TI - Identification of cardiac long non-coding RNA profile in human dilated
cardiomyopathy.
AB - Aims: Dilated cardiomyopathy (DCM) induced chronic heart failure is a life
threatening disease worldwide. Long non-coding RNAs (lncRNAs) are potential new
therapeutic targets and may provide new pathophysiological mechanisms for
development of DCM. Methods and results: Microarray assays in 14 DCM and 10
control human heart samples identified 313 significantly differentially expressed
lncRNAs. SiRNAs were used to explore the potential function of specific lncRNAs
(RP11-544D21.2 and XLOC_014288) in human cardiac myocytes, human cardiac
fibroblasts and human cardiac microvascular endothelial cells, respectively. RNA
seq and pathway analyses revealed the function of lncRNA RP11-544D21.2 and
XLOC_014288 in three heart cells. Furthermore, impaired tube formation and
migration were observed in si-RP11-544D21.2-treated endothelial cells. Moreover,
TAF10 was predicted and verified to be one of the causes of some up-regulated
lncRNAs. Then, we found that the left ventricular ejection fraction correlated
with these changed lncRNAs. Conclusions: This study provides a comparison of
human cardiac lncRNA profiles in control and DCM. It illustrates the function and
complex roles of the differentially expressed lncRNAs in different cell types in
the heart, which may lead to new insights into the mechanisms and treatment
strategies for DCM in the future.
PMID- 29365081
TI - Neonatal Hypoxia-Ischemia Causes Functional Circuit Changes in Subplate Neurons.
AB - Neonatal hypoxia-ischemia (HI) in the preterm human results in damage to
subcortical developing white matter and cognitive impairments. Subplate neurons
(SPNs) are among the first-born cortical neurons and are necessary for normal
cerebral development. While moderate or severe HI at P1 in rats leads to SPN
loss, it is unclear if HI, esp. forms not associated with overt cell loss lead to
altered SPN circuits. Thus, we used two HI models with different severities in P1
rats. Cauterization of the common carotid artery (CCA) causes a largely transient
and thus milder ischemia (HI-Caut) while CCA ligation causes more severe ischemia
(HI-Lig). While HI-Lig caused subplate damage, HI-Caut did not cause overt
histological damage on the light microscopic level. We used laser-scanning
photostimulation (LSPS) in acute thalamocortical slices of auditory cortex during
P5-10 to study the functional connectivity of SPNs. Both HI categories resulted
in hyperconnectivity of excitatory and inhibitory circuits to SPNs. Thus,
alterations on the circuit level are present in the absence of cell loss. Our
results show that SPN circuits are uniquely susceptible to HI. Given the key
developmental role of SPNs, our results suggest that altered SPN circuits might
underlie the abnormal development of cortical function after HI.
PMID- 29365082
TI - Early microbial colonization affects DNA methylation of genes related to
intestinal immunity and metabolism in preterm pigs.
AB - Epigenetic regulation may play an important role in mediating microbe-host
interactions and adaptation of intestinal gene expression to bacterial
colonization just after birth. This is particularly important after preterm birth
because the immature intestine is hypersensitive to invading bacteria. We
compared the intestinal DNA methylome and microbiome between conventional (CON)
and antibiotics-treated (AB) preterm pigs, used as a model for preterm infants.
Oral AB treatment reduced bacterial density (~100-fold), diversity and
fermentation, improved the resistance to necrotizing enterocolitis (NEC) and
changed the genome-wide DNA methylation in the distal small intestine.
Integration of epigenome data with previously obtained proteome data showed that
intestinal immune-metabolic pathways were affected by the AB-induced delay in
bacterial colonization. DNA methylation and expression of intestinal genes,
related to innate immune response, phagocytosis, endothelial homeostasis and
tissue metabolism (e.g. CPN1, C3, LBP, HIF1A, MicroRNA-126, PTPRE), differed
between AB and CON pigs even before any evidence of NEC lesions. Our findings
document that the newborn immature intestine is influenced by bacterial
colonization via DNA methylation changes. Microbiota-dependent epigenetic
programming of genes related to gut immunity, vascular integrity and metabolism
may be critical for short- and long-term intestinal health in preterm neonates.
PMID- 29365084
TI - An integrative view of cell cycle control in Escherichia coli.
AB - Bacterial proliferation depends on the cells' capability to proceed through
consecutive rounds of the cell cycle. The cell cycle consists of a series of
events during which cells grow, copy their genome, partition the duplicated DNA
into different cell halves and, ultimately, divide to produce two newly formed
daughter cells. Cell cycle control is of the utmost importance to maintain the
correct order of events and safeguard the integrity of the cell and its genomic
information. This review covers insights into the regulation of individual key
cell cycle events in Escherichia coli. The control of initiation of DNA
replication, chromosome segregation and cell division is discussed. Furthermore,
we highlight connections between these processes. Although detailed mechanistic
insight into these connections is largely still emerging, it is clear that the
different processes of the bacterial cell cycle are coordinated to one another.
This careful coordination of events ensures that every daughter cell ends up with
one complete and intact copy of the genome, which is vital for bacterial
survival.
PMID- 29365085
TI - Blood pressure variability and risk of cardiovascular events and death in
patients with hypertension and different baseline risks.
AB - Aims: Blood pressure variability is associated with increased risk of
cardiovascular events, particularly in high-risk patients. We assessed if
variability was associated with increased risk of cardiovascular events and death
in hypertensive patients at different risk levels. Methods and results: The
Valsartan Antihypertensive Long-term Use Evaluation trial was a randomized
controlled trial of valsartan vs. amlodipine in patients with hypertension and
different risks of cardiovascular events, followed for a mean of 4.2 years. We
calculated standard deviation (SD) of mean systolic blood pressure from visits
from 6 months onward in patients with >=3 visits and no events during the first 6
months. We compared the risk of cardiovascular events in the highest and lowest
quintile of visit-to-visit blood pressure variability, using Cox regression. For
analysis of death, variability was analysed as a continuous variable. Of 13 803
patients included, 1557 (11.3%) had a cardiovascular event and 1089 (7.9%) died.
Patients in the highest quintile of SD had an increased risk of cardiovascular
events [hazard ratio (HR) 2.1, 95% confidence interval (95% CI) 1.7-2.4; P <
0.0001], and a 5 mmHg increase in SD of systolic blood pressure was associated
with a 10% increase in the risk of death (HR 1.10, 95% CI 1.04-1.17; P = 0.002).
Associations were stronger among younger patients and patients with lower
systolic blood pressure, and similar between patients with different baseline
risks, except for higher risk of death among patients with established
cardiovascular disease. Conclusion: Higher visit-to-visit systolic blood pressure
variability is associated with increased risk of cardiovascular events in
patients with hypertension, irrespective of baseline risk of cardiovascular
events. Associations were stronger in younger patients and in those with lower
mean systolic blood pressure.
PMID- 29365087
TI - Duration of Antimicrobial Therapy for Enterobacteriaceae Bacteremia: Using
Convenient End Points for Convenient Conclusions.
PMID- 29365083
TI - As-Needed Vs Immediate Etoposide Chemotherapy in Combination With Antiretroviral
Therapy for Mild-to-Moderate AIDS-Associated Kaposi Sarcoma in Resource-Limited
Settings: A5264/AMC-067 Randomized Clinical Trial.
AB - Background: Mild-to-moderate AIDS-associated Kaposi sarcoma (KS) often responds
to antiretroviral therapy (ART) alone; the role of chemotherapy is unclear. We
assessed the impact of immediate vs as-needed oral etoposide (ET) among human
immunodeficiency virus (HIV)-infected individuals with mild-to-moderate KS
initiating ART. Methods: Chemotherapy-naive, HIV type 1-infected adults with mild
to-moderate KS initiating ART in Africa and South America were randomized to ART
(tenofovir/emtricitabine/efavirenz) alone (chemotherapy "as-needed" arm) vs ART
plus up to 8 cycles of oral ET (immediate arm). Participants with KS progression
on ART alone received ET as part of the as-needed strategy. Primary outcome was
ordinal as follows: failure, stable, and response at 48 weeks. Secondary outcomes
included time to initial KS progression, KS-associated immune reconstitution
inflammatory syndrome (KS-IRIS), and KS response. Results: Of 190 randomized
participants (as-needed = 94, immediate = 96), the majority were men (71%) and
African (93%). Failure (53.8% vs 56.6%), stable (16.3% vs 10.8%), and response
(30% vs 32.5%) did not differ between arms (as-needed vs immediate) among those
with week 48 data potential (N = 163, P = .91). Time to KS progression (P =
.021), KS-IRIS (P = .003), and KS response (P = .003) favored the immediate arm.
Twenty-five participants died (13%). Mortality, adverse events, CD4+ T-cell
changes, and HIV RNA suppression were similar at 48 weeks. Conclusions: Among HIV
infected adults with mild-to-moderate KS, immediate ET provided early, nondurable
clinical benefits. By 48 weeks, no clinical benefit was observed compared to use
of ET as needed. Mortality was high and tumor response was low. Clinical Trials
Registration: NCT01352117.
PMID- 29365086
TI - Genetic landscape of ultra-stable chronic lymphocytic leukemia patients.
AB - Background: Chronic lymphocytic leukemia (CLL) has a heterogeneous clinical
course. Beside patients requiring immediate treatment, others show an initial
indolent phase followed by progression and others do not progress for decades.
The latter two subgroups usually display mutated IGHV genes and a favorable FISH
profile. Patients and methods: Patients with absence of disease progression for
over 10 years (10-34) from diagnosis were defined as ultra-stable CLL (US-CLL).
Forty US-CLL underwent extensive characterization including whole exome
sequencing (WES), ultra-deep sequencing and copy number aberration (CNA) analysis
to define their unexplored genetic landscape. Microarray analysis, comparing US
CLL with non-US-CLL with similar immunogenetic features (mutated IGHV/favorable
FISH), was also carried out to recognize US-CLL at diagnosis. Results: WES was
carried out in 20 US-CLL and 84 non-silent somatic mutations in 78 genes were
found. When re-tested in a validation cohort of 20 further US-CLL, no recurrent
lesion was identified. No clonal mutations of NOTCH1, BIRC3, SF3B1 and TP53 were
found, including ATM and other potential progression driving mutations. CNA
analysis identified 31 lesions, none with known poor prognostic impact. No novel
recurrent lesion was identified: most cases showed no lesions (38%) or an
isolated del(13q) (31%). The expression of 6 genes, selected from a gene
expression profile analysis by microarray and quantified by droplet digital PCR
on a cohort of 79 CLL (58 US-CLL and 21 non-US-CLL), allowed to build a decision
tree capable of recognizing at diagnosis US-CLL patients. Conclusions: The
genetic landscape of US-CLL is characterized by the absence of known unfavorable
driver mutations/CNA and of novel recurrent genetic lesions. Among CLL patients
with favorable immunogenetics, a decision-tree based on the expression of 6 genes
may identify at diagnosis patients who are likely to maintain an indolent disease
for decades.
PMID- 29365088
TI - Reply to Buratto et al.
PMID- 29365089
TI - Stat5-dependent cardioprotection in late remote ischaemia preconditioning.
AB - Aims: To study the protective effects of late remote ischaemic preconditioning
(RIPC) against myocardial ischaemia/reperfusion (I/R) injury and determine
whether Stat5 is involved in this protection by using cardiomyocyte-specific
Stat5 knockout mice (Stat5-cKO). Methods and results: Mice were exposed to lower
limb RIPC or sham ischaemia. After 24 h, the left anterior descending artery
(LAD) was ligated for 30 min, then reperfused for 180 min. The myocardial infarct
size (IS), apoptotic rate of cardiomyocytes, and serum myocardial enzymes were
measured to evaluate for cardioprotective effects. Heart tissues were harvested
to determine the cardiomyocytes' anti-apoptotic and survival signaling. When
compared with the Stat5fl/fl mice without RIPC, Stat5fl/fl mice with RIPC
(Stat5fl/fl+RIPC + I/R) displayed a decreased myocardial IS/LV (16 +/- 1.5 vs.
30.1 +/- 3.1%, P < 0.01; IS/ area at risk (AAR), 42.2 +/- 3.5 vs. 69.2 +/- 4.9%,
P < 0.01), a reduced cardiomyocyte apoptotic rate (2.1 +/- 0.37 vs. 5.5 +/-
0.53%, P < 0.01), and lower creatine kinase (CK), lactate dehydrogenase (LDH),
and creatine kinase-MB (CK-MB) levels. To the contrary, the Stat5-cKO mice
(Stat5fl/fl; Tnnt2Cremice with Doxycycline treatment for 7 days) did not exhibit
any effect of RIPC-induced cardioprotection. Activation of STAT5 protein was
significantly higher in the Stat5fl/fl+RIPC + I/R group than in the
Stat5fl/fl+I/R group, while there was no significant difference between the Stat5
cKO + RIPC + I/R and the Stat5-cKO + I/R group. Further analyses with heart
tissues detected decreased protein expressions of cytochrome c (Cyt c) and
cleaved Caspase-3 in the Stat5fl/fl+RIPC + I/R mice, along with increased anti
apoptotic molecules, including B-cell lymphoma-extra large (Bcl-xL) and B-cell
lymphoma-2 (Bcl-2); such changes were not noted in the Stat5-cKO + RIPC + I/R
mice. Additionally, RIPC increased cardiac hypoxia inducible factor-1 (HIF
1alpha) and interleukin-10 (IL10) protein levels and caused activation of AKT,
phosphatidylinositol 3 kinase (PI3K), and vascular endothelial growth factor in
the heart of the Stat5fl/fl mice. However, these changes were completely
inhibited by the absence of Stat5. Conclusions: These results suggest that RIPC
induced late cardioprotection against myocardial I/R injury is Stat5-dependent
and is correlated with the activation of anti-apoptotic signaling and
cardiomyocyte-survival signaling.
PMID- 29365090
TI - Long-term results of pulmonary valve annular enlargement with valve repair in
tetralogy of Fallot.
AB - OBJECTIVES: We adopted an operative technique of pulmonary valve (PV) annular
enlargement with valve repair in tetralogy of Fallot (TOF) correction to reduce
postoperative pulmonary regurgitation (PR) 16 years ago. Here, we have evaluated
the long-term results. METHODS: Between April 2000 and August 2005, 43 patients
(26 men) with tetralogy of Fallot with pulmonary stenosis underwent PV annular
enlargement with valve repair. The median age and body weight at the time of
surgery were 14 months and 10.2 kg, respectively. RESULTS: There was no operative
mortality. Mean postoperative PR grade at discharge was 0.93 +/- 0.40 (none or
trivial in 10 patients, mild in 27 patients, mild to moderate in 5 patients and
moderate in 1 patient), and the mean postoperative pressure gradient across PV
was 13.0 +/- 10.9 mmHg. The mean follow-up duration was 131.9 +/- 42.9 months.
During follow-up, 1 reoperation was performed for residual ventricular septal
defect. The mean PR grade at the last follow-up echocardiography was 1.59 +/-
0.60 (mild in 17 patients, mild to moderate in 8 patients, moderate in 14
patients, moderate to severe in 1 patient and severe in 3 patients), and the mean
pressure gradient was 22.7 +/- 9.9 mmHg. We have compared the incidence of
moderate or more PR with the incidence of patients who underwent simple
transannular patch enlargement through propensity score matching. The PV repair
group had a lower incidence of moderate or more PR compared with the simple
transannular patch group (40% vs 68%, P = 0.04). CONCLUSIONS: PV annular
enlargement with valve repair has reasonable long-term results and yields a lower
long-term incidence of significant PR compared with the simple transannular patch
enlargement technique.
PMID- 29365091
TI - NMR metabolomic signatures reveal predictive plasma metabolites associated with
long-term risk of developing breast cancer.
AB - Background: Combination of metabolomics and epidemiological approaches opens new
perspectives for ground-breaking discoveries. The aim of the present study was to
investigate for the first time whether plasma untargeted metabolomic profiles,
established from a simple blood draw from healthy women, could contribute to
predict the risk of developing breast cancer within the following decade and to
better understand the aetiology of this complex disease. Methods: A prospective
nested case-control study was set up in the Supplementation en Vitamines et
Mineraux Antioxydants (SU.VI.MAX) cohort, including 206 breast cancer cases
diagnosed during a 13-year follow-up and 396 matched controls. Untargeted nuclear
magnetic resonance (NMR) metabolomic profiles were established from baseline
plasma samples. Multivariable conditional logistic regression models were
computed for each individual NMR variable and for combinations of variables
derived by principal component analysis. Results: Several metabolomic variables
from 1D NMR spectroscopy were associated with breast cancer risk. Women
characterized by higher fasting plasma levels of valine, lysine, arginine,
glutamine, creatine, creatinine and glucose, and lower plasma levels of
lipoproteins, lipids, glycoproteins, acetone, glycerol-derived compounds and
unsaturated lipids had a higher risk of developing breast cancer. P-values ranged
from 0.00007 [odds ratio (OR)T3vsT1=0.37 (0.23-0.61) for glycerol-derived
compounds] to 0.04 [ORT3vsT1=1.61 (1.02-2.55) for glutamine]. Conclusion: This
study highlighted associations between baseline NMR plasma metabolomic signatures
and long-term breast cancer risk. These results provide interesting insights to
better understand complex mechanisms involved in breast carcinogenesis and evoke
plasma metabolic disorders favourable for carcinogenesis initiation. This study
may contribute to develop screening strategies for the identification of at-risk
women for breast cancer well before symptoms appear.
PMID- 29365092
TI - The influence of advanced age on venous-arterial extracorporeal membrane
oxygenation outcomes.
AB - OBJECTIVES: Ethical and health care economic concerns surround the use of venous
arterial extracorporeal membrane oxygenation (VA-ECMO) in elderly patients.
Patients requiring VA-ECMO are often in critical condition and the decision to
cannulate is time-sensitive. We investigated the relationship between age and VA
ECMO outcomes to better inform this decision. METHODS: This is a retrospective
study of 355 patients placed on VA-ECMO between March 2007 and August 2016 at our
institution. Using piecewise modelling, age became associated with in-hospital
mortality after 63 years. Based on further analysis with the chi2 statistic
maximization, patients were divided into 2 age groups: <=72 years old [Group Y
(Young), n = 310] and >72 years old [Group O (Old), n = 45]. Multivariable
logistic regression was performed to identify preoperative predictors of in
hospital mortality. RESULTS: Patients over the age of 72 had a significantly
higher prevalence of comorbidities, including coronary disease, previous strokes
and chronic kidney disease. Weaning from ECMO was achieved in 76% of Group Y and
47% of Group O (P < 0.001). In-hospital mortality was 52% among Group Y and 69%
among Group O (P = 0.037). Multivariable logistic regression using preoperative
risk factors identified coronary artery disease, acute decompensated heart
failure and an age >72 years as independent predictors of mortality (age >72
years: odds ratio 2.71, 95% confidence interval 1.22-6.00; P = 0.01).
CONCLUSIONS: VA-ECMO in-hospital mortality is considerable across all age groups.
However, age only becomes associated with mortality after 63 years and rises
dramatically after 72 years. This study provides useful insight into these time
sensitive decisions for the development of possible practice guidelines.
PMID- 29365093
TI - Ketamine intervention limits pathogen expansion in vitro.
AB - Ketamine is one of several clinically important drugs whose therapeutic efficacy
is due in part to their ability to act upon ion channels prevalent in nearly all
biological systems. In studying eukaryotic and prokaryotic organisms in vitro, we
show that ketamine short-circuits the growth and spatial expansion of three
microorganisms, Stachybotrys chartarum, Staphylococcus epidermidis and Borrelia
burgdorferi, at doses efficient at reducing depression-like behaviors in mouse
models of clinical depression. Although our findings do not reveal the
mechanism(s) by which ketamine mediates its antifungal and antibacterial effects,
we hypothesize that a function of L-glutamate signal transduction is associated
with the ability of ketamine to limit pathogen expansion. In general, our
findings illustrate the functional similarities between fungal, bacterial and
human ion channels, and suggest that ketamine or its metabolites not only act in
neurons, as previously thought, but also in microbial communities colonizing
human body surfaces.
PMID- 29365095
TI - An easy and efficient approach for testing identifiability.
AB - Motivation: The feasibility of uniquely estimating parameters of dynamical
systems from observations is a widely discussed aspect of mathematical modelling.
Several approaches have been published for analyzing this so-called
identifiability of model parameters. However, they are typically computationally
demanding, difficult to perform and/or not applicable in many application
settings. Results: Here, an approach is presented which enables quickly testing
of parameter identifiability. Numerical optimization with a penalty in radial
direction enforcing displacement of the parameters is used to check whether
estimated parameters are unique, or whether the parameters can be altered without
loss of agreement with the data indicating non-identifiability. This
Identifiability-Test by Radial Penalization (ITRP) can be employed for every
model where optimization-based parameter estimation like least-squares or maximum
likelihood is feasible and is therefore applicable for all typical systems
biology models. The approach is illustrated and tested using 11 ordinary
differential equation (ODE) models. Availability and implementation: The
presented approach can be implemented without great efforts in any modelling
framework. It is available within the free Matlab-based modelling toolbox
Data2Dynamics. Source code is available at https://github.com/Data2Dynamics.
Contact: ckreutz@fdm.uni-freiburg.de. Supplementary information: Supplementary
data are available at Bioinformatics online.
PMID- 29365094
TI - A multiplex lateral flow immunoassay for the rapid identification of NDM-, KPC-,
IMP- and VIM-type and OXA-48-like carbapenemase-producing Enterobacteriaceae.
AB - Objectives: The global spread of carbapenemase-producing Enterobacteriaceae
represents a substantial challenge in clinical practice and rapid and reliable
detection of these organisms is essential. The aim of this study was to develop
and validate a lateral flow immunoassay (Carba5) for the detection of the five
main carbapenemases (KPC-, NDM-, VIM- and IMP-type and OXA-48-like). Methods:
Carba5 was retrospectively and prospectively evaluated using 296 enterobacterial
isolates from agar culture. An isolated colony was suspended in extraction buffer
and then loaded on the manufactured Carba5. Results: All 185 isolates expressing
a carbapenemase related to one of the Carba5 targets were correctly and
unambiguously detected in <15 min. All other isolates gave negative results
except those producing OXA-163 and OXA-405, which are considered low-activity
carbapenemases. No cross-reaction was observed with non-targeted carbapenemases,
ESBLs, AmpCs or oxacillinases (OXA-1, -2, -9 and -10). Overall, this assay
reached 100% sensitivity and 95.3% (retrospectively) to 100% (prospectively)
specificity. Conclusions: Carba5 is efficient, rapid and easy to implement in the
routine workflow of a clinical microbiology laboratory for confirmation of the
five main carbapenemases encountered in Enterobacteriaceae.
PMID- 29365096
TI - Immune neutralization of the receptor for advanced glycation end products reduce
liver oxidative damage induced by an acute systemic injection of
lipopolysaccharide.
AB - The receptor for advanced glycation end products (RAGE) is a multi-ligand
receptor, which activation amplifies and perpetuates inflammatory reactions. RAGE
activation also strongly stimulates the production of reactive oxygen species,
leading an imbalance of redox cellular state. The extent of liver damage caused
by inflammation is crucial to the systemic response during proinflammatory
episodes. To investigate the role of RAGE in liver damage caused by systemic
inflammation, we evaluated the effect of RAGE blocking in oxidative stress
parameters induced by systemic lipopolysaccharide (LPS) injection. Wistar rats
received an intraperitoneal injection of RAGE antibody (50 mg/kg), 1 h prior
intraperitoneal injection of LPS (5 mg/kg). Twenty-four hours later, the liver
was isolated for analysis. The LPS-induced effect in protein oxidative damage,
mitochondrial complex II activity, catalase activity, signal transducer and
activator of transcription 3 phosphorylation and caspase 3 activation was
prevented by prior treatment with RAGE antibody. However, RAGE blocking was not
able to inhibit reactive oxygen species production and the impairment in non
enzymatic antioxidant capacity induced by LPS. The present results indicate that
RAGE is an important mediator of liver oxidative damage induced by an acute
systemic injection of LPS, although other mechanisms may also be responsible for
liver function impairment during inflammation.
PMID- 29365097
TI - Impact in stability during sequential CDR grafting to construct camelid VHH
antibodies against zinc oxide and gold.
AB - Biomolecules which recognize inorganic materials and metal surfaces gain much
attention for creating new type of nanomaterials and sensors. 4F2, a camelid VHH
antibody, recognizes ZnO surface and has been applied for sensor applications.
4F2 was constructed sequential complementarity determining region (CDR)
replacement on the parental VHH antibody, termed the Construction of Antibody by
Integrating Grafting and Evolution Technology; CAnIGET procedure. Here, we
evaluate the influence of CDR replacements during 4F2 generation using
calorimetric technique. We found that the initial peptide grafting at CDR1
results in the stability reduction and subsequent CDR3 randomize and selection
restore the stability during the construction of 4F2. Further examination using
anti-gold VHH, AuE32, revealed that the final CDR3 randomize and selection step
has little effect in stability while the initial CDR1 grafting reduces the
stability as same as the case for 4F2. Our results showing here provide the
detailed view of the stability alteration during the CAnIGET procedure.
PMID- 29365098
TI - Safety of perioperative cerebral oxygen saturation during debranching in patients
with incomplete circle of Willis.
AB - OBJECTIVES: The consequences of common carotid artery (CCA) cross-clamping during
debranching before thoracic endovascular aortic repair are unclear. We examined
the safety of a simple CCA cross-clamping procedure under regional cerebral
oxygen saturation monitoring (rSO2) in patients with a complete or incomplete
circle of Willis (CoW) anatomy. METHODS: Twenty-eight patients with thoracic
aneurysm underwent elective debranching thoracic endovascular aortic repair with
bilateral frontal rSO2 monitoring at our institution between January 2012 and
October 2015. Before CCA cross-clamping, we maintained a systemic mean arterial
pressure of >100 mm Hg with a vasopressor. We recorded the bilateral frontal rSO2
before, during and after CCA cross-clamping. RESULTS: The CoW was incomplete in
11 (39.3%) patients. Of these, 6 patients had a complication of ischaemic
potential. The left frontal rSO2 was <50% in 3 patients but did not fall below
40%. Compared with baseline values (mean +/- SD 64.6 +/- 6.9%), the left frontal
rSO2 showed no significant change perioperatively in those with a complete CoW on
the left CCA cross-clamping (during: 61.0 +/- 7.9%, P = 0.17; after: 65.1 +/-
5.9%, P = 0.09). In patients with an incomplete CoW with ischaemic potential, the
left frontal rSO2 did not change significantly after cross-clamping (baseline:
59.8 +/- 3.2%, during: 55.5 +/- 5.0%; P = 0.10) but increased significantly on
declamping (62.8 +/- 4.5%, P = 0.023). The extent of the changes in the mean left
frontal rSO2 on clamping and declamping decreased and increased by 7.3% and
11.7%, respectively, in patients with an incomplete CoW, when compared with 5.3%
and 5.8% in those with a complete CoW (P = 0.65 and 0.31, respectively). No
perioperative cerebrovascular events were observed. CONCLUSIONS: Simple CCA cross
clamping during debranching was safe when arterial pressure was supported and
rSO2 was monitored, even with an incomplete CoW and ischaemic potential.
PMID- 29365099
TI - Effect of Teriparatide Treatment on Circulating Periostin and Its Relationship to
Regulators of Bone Formation and BMD in Postmenopausal Women With Osteoporosis.
AB - Context: Treatment of postmenopausal osteoporosis with teriparatide parathyroid
hormone amino terminal 1-34 increases bone formation and improves bone
microarchitecture. A possible modulator of action is periostin. In vitro
experiments have shown that periostin might regulate osteoblast differentiation
and bone formation through Wnt signaling. The effect of teriparatide on periostin
is not currently known. Objectives: To determine the effect of teriparatide
treatment on circulating levels of periostin and other regulators of bone
formation and investigate how changes in periostin relate to changes in bone
turnover markers, regulators of bone formation, and bone mineral density (BMD).
Participants and Design: Twenty women with osteoporosis; a 2-year open-label
single-arm study. Intervention: Teriparatide 20 ug was administered by
subcutaneous injection daily for 104 weeks. Periostin, sclerostin, and Dickkopf
related protein 1, procollagen type I N-terminal propeptide (PINP), and C
telopeptide of type I collagen were measured in fasting serum collected at
baseline (two visits) and then at weeks 1, 2, 4, 12, 26, 52, 78, and 104. BMD was
measured at the lumbar spine, total hip, and femoral neck using dual energy x-ray
absorptiometry. Results: Periostin levels increased by 6.6% [95% confidence
interval (CI), -0.4 to 13.5] after 26 weeks of teriparatide treatment and
significantly by 12.5% (95% CI, 3.3 to 21.0; P < 0.01) after 52 weeks. The change
in periostin correlated positively with the change in the lumbar spine BMD at
week 52 (r = 0.567; 95% CI, 0.137 to 0.817; P < 0.05) and femoral neck BMD at
week 104 (r = 0.682; 95% CI, 0.261 to 0.885; P < 0.01). Conclusions: Teriparatide
therapy increases periostin secretion; it is unclear whether this increase
mediates the effect of the drug on bone.
PMID- 29365100
TI - Proteomic analysis of FOXP proteins reveals interactions between cortical
transcription factors associated with neurodevelopmental disorders.
AB - FOXP transcription factors play important roles in neurodevelopment, but little
is known about how their transcriptional activity is regulated. FOXP proteins
cooperatively regulate gene expression by forming homo- and hetero-dimers with
each other. Physical associations with other transcription factors might also
modulate the functions of FOXP proteins. However, few FOXP-interacting
transcription factors have been identified so far. Therefore, we sought to
discover additional transcription factors that interact with the brain-expressed
FOXP proteins, FOXP1, FOXP2 and FOXP4, through affinity-purifications of protein
complexes followed by mass spectrometry. We identified seven novel FOXP
interacting transcription factors (NR2F1, NR2F2, SATB1, SATB2, SOX5, YY1 and
ZMYM2), five of which have well-estabslished roles in cortical development.
Accordingly, we found that these transcription factors are co-expressed with
FoxP2 in the deep layers of the cerebral cortex and also in the Purkinje cells of
the cerebellum, suggesting that they may cooperate with the FoxPs to regulate
neural gene expression in vivo. Moreover, we demonstrated that etiological
mutations of FOXP1 and FOXP2, known to cause neurodevelopmental disorders,
severely disrupted the interactions with FOXP-interacting transcription factors.
Additionally, we pinpointed specific regions within FOXP2 sequence involved in
mediating these interactions. Thus, by expanding the FOXP interactome we have
uncovered part of a broader neural transcription factor network involved in
cortical development, providing novel molecular insights into the transcriptional
architecture underlying brain development and neurodevelopmental disorders.
PMID- 29365101
TI - Physiologically based pharmacokinetic modelling prediction of the effects of dose
adjustment in drug-drug interactions between levonorgestrel contraceptive
implants and efavirenz-based ART.
AB - Background: HIV-positive women receiving efavirenz-based ART and levonorgestrel
contraceptive implants are at risk of low levonorgestrel exposure and unintended
pregnancy. Objectives: To investigate clinically applicable dose-adjustment
strategies to overcome the known drug-drug interaction (DDI) between
levonorgestrel and efavirenz, using a physiologically based pharmacokinetic
(PBPK) modelling-based approach. Methods: A PBPK model was qualified against
clinical data to predict levonorgestrel plasma concentrations when standard-dose
(150 mg) levonorgestrel implants were administered alone (control group), as well
as when standard-dose or increased-dose (300 mg) levonorgestrel implants were
coadministered with either 600 or 400 mg of efavirenz. Results: No difference was
seen between in vivo clinical and PBPK-model-simulated levonorgestrel plasma
concentrations (P > 0.05). Simulated levonorgestrel plasma concentrations were
~50% lower at 48 weeks post-implant-placement in virtual individuals receiving
standard-dose levonorgestrel with either 600 or 400 mg of efavirenz compared with
the control group (efavirenz:control geometric mean ratio = 0.42 and 0.49,
respectively). Conversely, increased-dose levonorgestrel in combination with
either 600 or 400 mg of efavirenz was sufficient to restore levonorgestrel
concentrations to levels similar to those observed in the 150 mg levonorgestrel
control group 48 weeks post-implant-placement (efavirenz:control geometric mean
ratio = 0.86 and 1.03, respectively). Conclusions: These results suggest that the
clinically significant DDI between efavirenz and levonorgestrel is likely to
persist despite efavirenz dose reduction, whereas dose escalation of implantable
levonorgestrel may represent a successful clinical strategy to circumvent
efavirenz-levonorgestrel DDIs and will be of use to inform clinical trial design
to assess coadministration of efavirenz and levonorgestrel implants.
PMID- 29365103
TI - Characterization of a yeast sporulation-specific P450 family protein, Dit2, using
an in vitro assay to crosslink formyl tyrosine.
AB - The outermost layer of the yeast Saccharomyces cerevisiae spore, termed the
dityrosine layer, is primarily composed of bisformyl dityrosine. Bisformyl
dityrosine is produced in the spore cytosol by crosslinking of two formyl
tyrosine molecules, after which it is transported to the nascent spore wall and
assembled into the dityrosine layer by an unknown mechanism. A P450 family
protein, Dit2, is believed to mediate the crosslinking of bisformyl dityrosine
molecules. To characterize Dit2 and gain insight into the biological process of
dityrosine layer formation, we performed an in vitro assay to crosslink formyl
tyrosine with using permeabilized cells. For an unknown reason, the production of
bisformyl dityrosine could not be confirmed under our experimental conditions,
but dityrosine was detected in acid hydrolysates of the reaction mixtures in a
Dit2 dependent manner. Thus, Dit2 mediated the crosslinking of formyl tyrosine in
vitro. Dityrosine was detected when formyl tyrosine, but not tyrosine, was used
as a substrate and the reaction required NADPH as a cofactor. Intriguingly, apart
from Dit2, we found that the spore wall, but not the vegetative cell wall,
contains bisformyl dityrosine crosslinking activity. This activity may be
involved in the assembly of the dityrosine layer.
PMID- 29365102
TI - Falls and Hospitalizations Among Persons With Dementia and Associated Caregiver
Emotional Difficulties.
AB - Background and Objectives: Falls and hospitalizations are adverse health events
commonly experienced by persons with dementia (PWDs). These events often require
urgent care from a family caregiver and may increase caregiver stress. We examine
falls and hospitalizations among PWDs as predictors of caregivers' reported care
related emotional difficulty, in addition to care-related stressors. Research
Design and Methods: Cross-sectional telephone survey of 652 informal caregivers
for PWDs. A multinomial logistic regression examined falls (last month) and
hospitalizations (prior year) experienced by PWDs as predictors of caregivers'
care-related emotional difficulty, accounting for demographic characteristics and
primary and secondary caregiving stressors. Results: Over 20% of caregivers
reported high levels of care-related emotional difficulty. Controlling for
demographic characteristics and primary and secondary caregiving stressors, the
PWD's prior month fall was significantly associated with greater care-related
emotional difficulty; the PWD's hospitalizations were not associated with care
related emotional difficulty. Discussion and Implications: Approximately 30% of
PWDs had experienced a past year hospitalization and prior month fall, and one in
five caregivers reported high emotional difficulty related to care. Although
secondary strains and resources of caregiving were strong predictors of care
related emotional difficulty, PWDs' falls represent a significant stressor that
increases odds of caregiver emotional difficulty over and above other strains.
Consequently, a fall experienced by a PWD may represent a key time for clinicians
to assess caregiver well-being.
PMID- 29365105
TI - Three plural medical systems in East Asia: interpenetrative pluralism in China,
exclusionary pluralism in Korea and subjugatory pluralism in Japan.
AB - Amid persistent interest in and concerns about traditional, complementary and
alternative medicine (TCAM) in low-, middle- and high-income countries, the
global community of healthcare is in need of learning ways to institutionalize
TCAM with biomedicine. By investigating how traditional East Asian medicine
(TEAM), one of the most popular forms of TCAM in the world, is institutionalized
in China, Korea and Japan, this study finds three different ways of instituting a
plural medical system in which TCAM and biomedicine intersect with each other. In
the interpenetrative pluralism in China and the exclusionary pluralism in Korea,
TEAM and biomedicine are institutionalized as independent and equivalent systems
of medical practices. However, TEAM and biomedicine are conditioned to cross over
into each other unconditionally in practice in the former, whereas the two
exclude each other very strictly in the latter. In the subjugatory pluralism in
Japan, the crisscrossing of TEAM and biomedicine is allowed, yet in an
asymmetrical way whereby the practice of TEAM is dependent upon and subordinated
into biomedicine. The practice of various TEAM modalities is overseen by TEAM
doctors, biomedicine doctors or integrative TEAM-biomedicine doctors in
interpenetrative pluralism, by TEAM doctors only in exclusionary pluralism, and
by biomedicine doctors only in subjugatory pluralism. These varying
characteristics demonstrate a variety of plural medical systems. They also
provide useful cues in accounting for the varying behaviours of medical service
providers and users who encounter TCAM as well as biomedicine in their everyday
practices. In addition, the growing literature about the outcomes of TCAM and
plural medical systems can take advantage of these findings.
PMID- 29365104
TI - Homozygous missense mutation L673P in adenylate kinase 7 (AK7) leads to primary
male infertility and multiple morphological anomalies of the flagella but not to
primary ciliary dyskinesia.
AB - Motile cilia and sperm flagella share an extremely conserved microtubule-based
cytoskeleton, called the axoneme, which sustains beating and motility of both
organelles. Ultra-structural and/or functional defects of this axoneme are well
known to cause primary ciliary dyskinesia (PCD), a disorder characterized by
recurrent respiratory tract infections, chronic otitis media, situs inversus,
male infertility and in most severe cases, hydrocephalus. Only recently,
mutations in genes encoding axonemal proteins with preferential expression in the
testis were identified in isolated male infertility; in those cases, individuals
displayed severe asthenozoospermia due to Multiple Morphological Abnormalities of
the sperm Flagella (MMAF) but not PCD features. In this study, we performed
genetic investigation of two siblings presenting MMAF without any respiratory PCD
features, and we report the identification of the c.2018T > G (p.Leu673Pro)
transversion in AK7, encoding an adenylate kinase, expressed in ciliated tissues
and testis. By performing transcript and protein analyses of biological samples
from individual carrying the transversion, we demonstrate that this mutation
leads to the loss of AK7 protein in sperm cells but not in respiratory ciliated
cells, although both cell types carry the mutated transcript and no tissue
specific isoforms were detected. This work therefore, supports the notion that
proteins shared by both cilia and sperm flagella may have specific properties
and/or function in each organelle, in line with the differences in their mode of
assembly and organization. Overall, this work identifies a novel genetic cause of
asthenozoospermia due to MMAF and suggests that in humans, more deleterious
mutations of AK7 might induce PCD.
PMID- 29365107
TI - CORRIGENDUM FOR "Identification of Novel Potentially Pleiotropic Variants
Associated With Osteoporosis and Obesity Using the cFDR Method".
PMID- 29365108
TI - Novel fast-track recovery protocol for alternative access transcatheter aortic
valve replacement: application to non-femoral approaches.
AB - OBJECTIVES: Although the transfemoral approach for transcatheter aortic valve
replacement is the preferred choice, alternative access remains indicated for
inadequate iliofemoral vessels. We report the successful implementation of a
novel fast-track (FT) protocol for patients undergoing alternative access
transcatheter aortic valve replacement compared with conventional controls.
METHODS: Between September 2014 and January 2017, 31 and 23 patients underwent
alternative access transcatheter aortic valve replacement under FT and pre-fast
track (p-FT) protocols, respectively. Comparisons of outcomes (in terms of
mortality, complications, readmissions and resource utilization) were made before
and after the implantation of the FT protocol in September 2015. RESULTS:
Overall, mean age was 78.7 years in FT and 79.6 years in p-FT patients (P =
0.71). There were no significant differences in procedural (3.2% vs 13.0%, P =
0.301) or 90-day mortality (3.2% vs 17.4%, P = 0.151) between the FT and p-FT
groups, respectively. Compared with p-FT patients, FT patients had significantly
shorter intensive care unit stays (12 h vs 27 h, P = 0.006) and a trend towards
more discharges within 3 days (41.9% vs 17.4%, P = 0.081). Resource utilization
analyses projected a 56% and 17% reduction in the mean intensive care unit time
(hours) per 100 patients and the total length of stay (days) per 100 patients,
respectively, with respect to the FT approach. CONCLUSIONS: This pilot study
demonstrates the feasibility and safety of the novel FT protocol for alternative
access transcatheter aortic valve replacement, resulting in shorter intensive
care unit stays, without increasing procedural complications or readmissions.
With the expected increase in transcatheter aortic valve replacement utilization,
FT protocols should be integrated with a multidisciplinary heart team approach to
enhance patient recovery and optimize resource utilization.
PMID- 29365109
TI - Surgicel(r) packing remnants mimicking mediastinitis after adult cardiac surgery.
AB - Surgicel(r) is one of the most commonly used bioabsorbable topical haemostatic
agents. Some articles have reported that Surgicel(r) remnants might be
misdiagnosed as an abscess. However, the number of reports in the cardiothoracic
surgical field is limited. Herein, we report a 65-year-old woman who was
suspected of having mediastinitis on computed tomography after redo-Bentall
operation. Reoperation revealed that internal accumulation of Surgicel(r)
remnants mimicked mediastinitis. It is important to share the use and location of
Surgicel(r) with radiologists. If mediastinitis cannot be ruled out, the next
step of reoperation or magnetic resonance imaging should be promptly taken.
PMID- 29365106
TI - Psychosocial adversity and socioeconomic position during childhood and epigenetic
age: analysis of two prospective cohort studies.
AB - Psychosocial adversity in childhood (e.g. abuse) and low socioeconomic position
(SEP) can have significant lasting effects on social and health outcomes. DNA
methylation-based biomarkers are highly correlated with chronological age;
departures of methylation-predicted age from chronological age can be used to
define a measure of age acceleration, which may represent a potential biological
mechanism linking environmental exposures to later health outcomes. Using data
from two cohorts of women Avon Longitudinal Study of Parents and Children,
(ALSPAC), N = 989 and MRC National Survey of Health and Development, NSHD, N =
773), we assessed associations of SEP, psychosocial adversity in childhood
(parental physical or mental illness or death, parental separation, parental
absence, sub-optimal maternal bonding, sexual, emotional and physical abuse and
neglect) and a cumulative score of these psychosocial adversity measures, with
DNA methylation age acceleration in adulthood (measured in peripheral blood at
mean chronological ages 29 and 47 in ALSPAC and buccal cells at age 53 in NSHD).
Sexual abuse was strongly associated with age acceleration in ALSPAC (sexual
abuse data were not available in NSHD), e.g. at the 47-year time point sexual
abuse associated with a 3.41 years higher DNA methylation age (95% CI 1.53 to
5.29) after adjusting for childhood and adulthood SEP. No associations were
observed between low SEP, any other psychosocial adversity measure or the
cumulative psychosocial adversity score and age acceleration. DNA methylation age
acceleration is associated with sexual abuse, suggesting a potential mechanism
linking sexual abuse with adverse outcomes. Replication studies with larger
sample sizes are warranted.
PMID- 29365110
TI - Steven Nissen MD.
PMID- 29365111
TI - Handheld Echography devices: ready for prime time?
PMID- 29365112
TI - What is CMR doing for patients today?
PMID- 29365113
TI - A new Research Centre in Russia.
PMID- 29365114
TI - A new Paediatric Centre in Russia.
PMID- 29365115
TI - Frontiers in cardiac imaging: diagnostic and prognostic validity and safety.
PMID- 29365117
TI - Progression of tremor in early stages of Parkinson's disease: a clinical and
neuroimaging study.
AB - Rest tremor is one of the cardinal signs of Parkinson's disease. Kinetic and
postural tremors may also occur. The coexistence of these three types of tremor
at disease onset and their subsequent progression could have important clinical
and therapeutic implications but remain to be fully elucidated. We aimed to: (i)
evaluate prevalence and progression of these three types of tremor in early
stages of the disease; and (ii) investigate longitudinally the relationship
between dopaminergic and serotonergic terminal dysfunction, rest tremor severity
and its response to dopaminergic therapy. The Parkinson's Progressive Markers
Initiative database provided the baseline and 2-year follow-up clinical ratings
and 123ioflupane-fluoropropyl-carbomethoxy-3-beta-4-iodophenyltropane (123I-FP
CIT) single photon emission computed tomography images for this study. 123I-FP
CIT measured putamen dopamine transporter and median raphe serotonin transporter
availability. A raphe/putamen uptake ratio was calculated for each patient as an
index of relative involvement of these structures. Clinical analysis of tremor
was conducted on 378 patients: 87.8% presented with tremor at baseline; rest
tremor occurred in 69.6% of patients at baseline; and 67.9% at follow-up.
Postural and kinetic tremors occurred in about 50% of patients at both baseline
and follow-up. Over 20% of patients presenting with tremor did not exhibit a rest
component at baseline. The number of patients with isolated rest tremor was
halved at follow-up. In tremor predominant patients, rest tremor severity was
inversely correlated with raphe serotonin transporter availability both at
baseline and follow-up (baseline: constancy P < 0.05, tremor index P < 0.05;
follow-up: amplitude P < 0.05, constancy P < 0.05, tremor index P < 0.05). In the
entire cohort, more severe tremor scores correlated with lower raphe/putamen
uptake ratio values, indicative of more severe raphe dysfunction (baseline:
constancy P < 0.01, tremor index P < 0.05; follow-up: amplitude P < 0.01,
constancy P < 0.001, tremor index P < 0.001). The percentage of improvement in
rest tremor amplitude after acute dopaminergic therapy was smaller in patients
with lower raphe/putamen uptake ratio values (P < 0.01). Rest tremor is the most
represented type of tremor in early Parkinson's disease. However, postural and
kinetic tremor can affect approximately half of these patients and can occur in
absence of resting tremor. As disease progresses, both raphe serotonergic
dysfunction and putamen dopamine depletion could contribute to the occurrence of
rest tremor. The former is linked to more severe tremor scores and poorer
response to dopaminergic therapy. Non-dopaminergic treatments might be beneficial
for patients whose tremor is associated with a raphe-predominant dysfunction.
PMID- 29365116
TI - Prepartum fatty acid supplementation in sheep. II. Supplementation of
eicosapentaenoic acid and docosahexaenoic acid during late gestation alters the
fatty acid profile of plasma, colostrum, milk and adipose tissue, and increases
lipogenic gene expression of adipose tissue.
AB - The objectives of this study were as follows: 1) to establish whether feeding a
source of eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) to ewes
during late gestation changes the fatty acid profile of colostrum, milk, ewe
adipose tissue, and plasma and subsequently lamb plasma and red blood cells
(RBC), and 2) to investigate the effects of EPA and DHA on mRNA expression in ewe
adipose tissue. Eighty-four gestating ewes (28 pens, three per pen) were blocked
by lambing day and assigned to a diet with an addition of fat at 0.39% of the DM
during the last 50 d of gestation using Ca salts of a palm fatty acid distillate
(PFAD) high in palmitic and oleic acids or EPA + DHA. Blood samples were taken
from ewes on days 20, 1 (parturition), and 30 and from lambs on days 1 and 30 for
plasma fatty acid analysis. Fatty analysis of lamb RBC was performed on day 1.
Colostrum samples were taken at lambing and milk samples on day 30 for fatty acid
analysis. Subcutaneous adipose tissue biopsies were taken from one ewe per pen on
day 20 for fatty acid analysis and gene expression analysis of 27 genes.
Treatment * day interactions (P < 0.10) were observed for several isomers of
C18:1, with concentrations that were greater in plasma of EPA + DHA ewes on day
20, but were not different on day 1 or 30. Plasma concentrations of EPA tended to
be greater (P = 0.07), whereas DHA was greater (P < 0.001) in EPA + DHA ewes
compared with PFAD ewes. There was no difference in EPA or DHA in adipose tissue
with EPA + DHA vs. PFAD supplementation (P > 0.10). Concentrations of fatty acids
with 6 to 10 carbons were significantly increased (P < 0.05) in colostrum and
milk of EPA + DHA ewes. There was a treatment * day interaction with EPA + DHA
ewes yielding greater EPA (P = 0.03) and DHA (P = 0.04) concentrations than PFAD
in colostrum, but not in milk. Treatment * day interactions (P < 0.05) were
observed for several C18:1 isomers with concentrations that were greater in EPA +
DHA ewe colostrum, but were not different between treatments in milk. In lamb
plasma and RBC, EPA and DHA were not different between treatments (P > 0.10). The
expression of fatty acid synthase and leptin was significantly increased (P <
0.05), whereas the expression of diacylglycerol acyltransferase 2 tended to be
increased (P = 0.08) by supplementation of EPA + DHA vs. PFAD. These results
suggest that supplementation with EPA and DHA to ewes during late gestation
alters the fatty acid profile of plasma, colostrum, and milk and may increase
lipogenesis.
PMID- 29365118
TI - Initial in vitro testing of a paediatric continuous-flow total artificial heart.
AB - OBJECTIVES: Mechanical circulatory support has become standard therapy for adult
patients with end-stage heart failure; however, in paediatric patients with
congenital heart disease, the options for chronic mechanical circulatory support
are limited to paracorporeal devices or off-label use of devices intended for
implantation in adults. Congenital heart disease and cardiomyopathy often involve
both the left and right ventricles; in such cases, heart transplantation, a
biventricular assist device or a total artificial heart is needed to adequately
sustain both pulmonary and systemic circulations. We aimed to evaluate the in
vitro performance of the initial prototype of our paediatric continuous-flow
total artificial heart. METHODS: The paediatric continuous-flow total artificial
heart pump was downsized from the adult continuous-flow total artificial heart
configuration by a scale factor of 0.70 (1/3 of total volume) to enable
implantation in infants. System performance of this prototype was evaluated using
the continuous-flow total artificial heart mock loop set to mimic paediatric
circulation. We generated maps of pump performance and atrial pressure
differences over a wide range of systemic vascular resistance/pulmonary vascular
resistance and pump speeds. RESULTS: Performance data indicated left pump flow
range of 0.4-4.7 l/min at 100 mmHg delta pressure. The left/right atrial pressure
difference was maintained within +/-5 mmHg with systemic vascular
resistance/pulmonary vascular resistance ratios between 1.4 and 35, with/without
pump speed modulation, verifying expected passive self-regulation of atrial
pressure balance. CONCLUSIONS: The paediatric continuous-flow total artificial
heart prototype met design requirements for self-regulation and performance; in
vivo pump performance studies are ongoing.
PMID- 29365119
TI - Letter to the Editor: "Myonectin Predicts the Development of Type 2 Diabetes".
PMID- 29365120
TI - Antibiotic resistance in Timor-Leste: a systematic review of evidence.
PMID- 29365121
TI - New Tools for Old Questions: How Strictly Human Are "Human Schistosomes"-And Does
It Matter?
PMID- 29365122
TI - Rapid immunosurveillance by recirculating lymphocytes in the rat intestine:
critical role of unsulfated sialyl-Lewis X on high endothelial venules of the
Peyer's patches.
AB - Naive lymphocytes systemically recirculate for immunosurveillance inspecting
foreign antigens and pathogens in the body. Trafficking behavior such as the
migration pathway and transit time within the gastrointestinal tract, however,
remains to be elucidated. Rat thoracic duct lymphocytes (TDLs) were transferred
to a congeneic host that had undergone mesenteric lymphadenectomy. The migration
pathway was investigated using newly developed four-color immunohistochemistry
and immunofluorescence. Donor TDLs showed rapid transition in gut tissues from
which they emerged in mesenteric lymph around 4 h after intravenous injection.
Immunohistochemistry showed that donor TDLs predominantly transmigrated across
high endothelial venules (HEVs) at the interfollicular area of the Peyer's
patches (PPs), then exited into the LYVE-1+ efferent lymphatics, that were close
to the venules. The rapid recirculation depended largely on the local expression
of unsulfated sialyl-Lewis X on these venules where putative dendritic cells
(DCs) were associated underneath. Recruited naive T cells briefly made contact
with resident DCs before exiting to the lymphatics in the steady state. In some
transplant settings, however, the T cells retained contact with DCs and were
sensitized and differentiated into activated T cells. In conclusion, we directly
demonstrated that lymphocyte recirculation within the gut is a very rapid
process. The interfollicular area of PPs functions as a strategically central
site for rapid immunosurveillance where HEVs, efferent lymphatics and resident
DCs converge. PPs can, however, generate alloreactive T cells, leading to
exacerbation of graft-versus-host disease or gut allograft rejection.
PMID- 29365123
TI - How far is mixed methods research in the field of health policy and systems in
Africa? A scoping review.
AB - Both the academic and the policy community are calling for wider application of
mixed methods research, suggesting that combined use of quantitative and
qualitative methods is most suitable to assess and understand the complexities of
health interventions. In spite of recent growth in mixed methods studies, limited
efforts have been directed towards appraising and synthetizing to what extent and
how mixed methods have been applied specifically to Health Policy and Systems
Research (HPSR) in low- and middle-income countries (LMICs). We aimed at filling
this gap in knowledge, by exploring the scope and quality of mixed methods
research in the African context. We conducted a scoping review applying the
framework developed by Arksey and O'Malley and modified by Levac et al. to
identify and extract data from relevant studies published between 1950 and 2013.
We limited our search to peer-reviewed HPSR publications in English, which
combined at least one qualitative and one quantitative method and focused on
Africa. Among the 105 studies that were retained for data extraction, over 60%
were published after 2010. Nearly 50% of all studies addressed topics relevant to
Health Systems, while Health Policy and Health Outcomes studies accounted
respectively for 40% and 10% of all publications. The quality of the application
of mixed methods varied greatly across studies, with a relatively small
proportion of studies stating clearly defined research questions and
differentiating quantitative and qualitative elements, including sample sizes and
analytical approaches. The methodological weaknesses observed could be linked to
the paucity of specific training opportunities available to people interested in
applying mixed methods to HPSR in LMICs as well as to the limitations on word
limit, scope and peer-review processes at the journals levels. Increasing
training opportunities and enhancing journal flexibility may result in more and
better quality mixed methods publications.
PMID- 29365124
TI - Effects of high condensed-tannin substrate, prior dietary tannin exposure,
antimicrobial inclusion, and animal species on fermentation parameters following
a 48 h in vitro incubation.
AB - Condensed tannins (CT), prior dietary CT exposure, animal species, and
antimicrobial inclusion effects on 48 h extent of in vitro fermentation were
measured in an experiment with a 3 * 2 * 2 * 3 factorial arrangement of
treatments. Treatments included species of inoculum donor (Bos taurus, Ovis
aries, or Capra hircus; n = 3/species), prior adaptation to dietary CT (not
adapted or adapted), culture substrate (low-CT or high-CT), and antimicrobial
additive (none, bacterial suppression with penicillin + streptomycin, or fungal
suppression with cycloheximide). Low-CT or high-CT substrates were incubated in
vitro using inoculum from animals either not exposed (period 1) or previously
exposed to dietary CT (period 2). The extent of IVDMD after 48 h of incubation
was greater (P < 0.001) for cultures with low-CT substrate (21.5%) than for
cultures with high-CT substrate (16.5%). Cultures with high-CT substrate or with
suppressed bacterial activity had less (P < 0.001) gas pressure than cultures
with low-CT substrate or cultures with suppressed fungal activity. Total VFA
concentrations were greater (P < 0.001) in low-CT cultures when inoculum donors
were without prior CT exposure (83.7 mM) than when inoculum was from CT-exposed
animals (79.6 mM). Conversely, total VFA concentrations were greater (P < 0.001)
in high-CT cultures with tannin-exposed inoculum (59.4 mM) than with nonexposed
inoculum (52.6 mM). As expected, CT and suppression of bacterial fermentative
activities had strong negative effects on fermentation; however, prior exposure
to dietary CT attenuated some negative effects of dietary CT on fermentation. In
our experiment, the magnitude of inoculum-donor species effects on fermentation
was minor.
PMID- 29365126
TI - CORRIGENDUM FOR "The Role of Episodic Postprandial Peptides in Exercise-Induced
Compensatory Eating".
PMID- 29365127
TI - Genome scan identifies flowering-independent effects of barley HsDry2.2 locus on
yield traits under water deficit.
AB - Increasing crop productivity under conditions of climate change requires the
identification, selection, and utilization of novel alleles for breeding. In this
study, we analysed the genotype and field phenotype of the barley HEB-25 multi
parent mapping population under well-watered and water-limited environments for
two years. A genome-wide association study (GWAS) for genotype * environment
interactions was performed for 10 traits including flowering time (heading time,
HEA) and plant grain yield (PGY). Comparison of the GWAS for traits per se (i.e.
regardless of the environment) with a study for quantitative trait loci (QTLs) *
environment interactions (Q*E), indicates the prevalence of Q*E mostly for
reproductive traits. One Q*E locus on chromosome 2, Hordeum spontaneum Dry2.2
(HsDry2.2), showed a positive and conditional effect on PGY and grain number
(GN). The wild allele significantly reduced HEA; however, this earliness was not
conditioned by water deficit. Furthermore, BC2F1 lines segregating for the
HsDry2.2 locus showed that the wild allele conferred an advantage over the
cultivated allele in PGY, GN, and harvest index, as well as modified shoot
morphology, a longer grain-filling period, and reduced senescence (only under
drought). This suggests the presence of an adaptation mechanism against water
deficit rather than an escape mechanism. The study highlights the value of
evaluating wild relatives in search of novel alleles and provides clues to
resilience mechanisms underlying crop adaptations to abiotic stress.
PMID- 29365128
TI - Surveillance for Tick-Borne Viruses Near the Location of a Fatal Human Case of
Bourbon Virus (Family Orthomyxoviridae: Genus Thogotovirus) in Eastern Kansas,
2015.
AB - Bourbon virus (Family Orthomyxoviridae: Genus Thogotovirus) was first isolated
from a human case-patient residing in Bourbon County, Kansas, who subsequently
died. Before becoming ill in late spring of 2014, the patient reported several
tick bites. In response, we initiated tick surveillance in Bourbon County and
adjacent southern Linn County during spring and summer of 2015. We collected
20,639 host-seeking ticks representing four species from 12 sites. Amblyomma
americanum (L.) (Acari: Ixodidae) and Dermacentor variabilis (Say) (Acari:
Ixodidae) accounted for nearly all ticks collected (99.99%). Three tick pools,
all composed of adult A. americanum ticks collected in Bourbon County, were virus
positive. Two pools were Heartland virus (Family Bunyaviridae: Genus Phlebovirus)
positive, and one was Bourbon virus positive. The Bourbon virus positive tick
pool was composed of five adult females collected on a private recreational
property on June 5. Detection of Bourbon virus in the abundant and aggressive
human-biting tick A. americanum in Bourbon County supports the contention that A.
americanum is a vector of Bourbon virus to humans. The current data combined with
virus detections in Missouri suggest that Bourbon virus is transmitted to humans
by A. americanum ticks, including both the nymphal and adult stages, that ticks
of this species become infected as either larvae, nymphs or both, perhaps by
feeding on viremic vertebrate hosts, by cofeeding with infected ticks, or both,
and that Bourbon virus is transstadially transmitted. Multiple detections of
Heartland virus and Bourbon virus in A. americanum ticks suggest that these
viruses share important components of their transmission cycles.
PMID- 29365129
TI - Delayed pollination and low availability of assimilates are major factors causing
maize kernel abortion.
AB - Selective seed abortion is a survival strategy adopted by many species that
sacrifices some seeds to allow the remaining ones to set. While in evolutionary
terms this is a successful approach, it causes huge losses to crop yields. A
pollination time gap (PTG) has been suggested to be associated with position
related grain abortion. To test this hypothesis, we developed a novel approach to
alter the natural pattern of maize (Zea mays L.) pollination and to examine the
impact of PTGs on kernel growth and the underlying physiological basis. When
apical and basal kernels were synchronously pollinated, the basal kernels set and
matured but the apical kernels were aborted at an early stage. Delaying
pollination to the basal ovaries suppressed their development and reduced
invertase activity and sugar levels, which allowed the apical kernels to set and
grow normally. In situ localization revealed normal cell wall invertase activity
in apical and basal kernels under synchronous pollination but reduced activity in
the delayed-pollinated kernels independent of their position. Starch, which was
abundant in basal kernel areas, was absent in the apical kernel regions under
synchronous pollination but apparent with delayed pollination. Our analyses
identified PTG-related sink strength and a low level of local assimilates as the
main causes of grain abortion.
PMID- 29365131
TI - A Downside to Hepatitis C Virus Cure? Vigilance Is Needed Regarding Hepatitis B
Virus Reactivation, Organ Rejection, or Hepatocellular Carcinoma Progression.
AB - Cure of hepatitis C virus has become feasible in almost all patients. However,
vigilance is needed in 3 scenarios: previous exposure to hepatitis B virus (HBV),
history of organ transplantation, and history of cured hepatocellular carcinoma
(HCC). The current data suggest that HBV reactivation occurs in about 10% of
hepatitis B surface antigen (HBsAg)-positive patients and approximately 1% of
hepatitis B core antibody-positive but HBsAg-negative patients. The risk of organ
rejection is also around 1%, but can be fatal if not acted on immediately.
Finally, the risk of early HCC recurrence may be increased but should not delay
initiation of antiviral therapy in the setting of cured HCC; however, increased
surveillance may be warranted.
PMID- 29365130
TI - Prevalence and Geographic Distribution of Borrelia miyamotoi in Host-Seeking
Ixodes pacificus (Acari: Ixodidae) Nymphs in Mendocino County, California.
AB - Borrelia miyamotoi is an increasingly recognized human pathogen transmitted by
Ixodes ticks in the Northern Hemisphere. In North America, infection prevalences
of B. miyamotoi are characteristically low (<10%) in Ixodes scapularis (Say;
Acari: Ixodidae) and Ixodes pacificus (Cooley & Kohls; Acari: Ixodidae), both of
which readily bite humans. We tested 3,255 host-seeking I. pacificus nymphs
collected in 2004 from 79 sites throughout Mendocino County in north-coastal
California for presence of B. miyamotoi. The collection sites represented a
variety of forest types ranging from hot, dry oak woodlands in the southeast, to
coastal redwoods in the west, and Ponderosa pine and Douglas fir-dominated areas
in the northern part of the county. We found that B. miyamotoi was geographically
widespread, but infected I. pacificus nymphs infrequently (cumulative prevalence
of 1.4%). Infection prevalence was not significantly associated with geographic
region or woodland type, and neither density of host-seeking nymphs, nor
infection with Borrelia burgdorferi sensu stricto was associated with B.
miyamotoi infection status in individual ticks. Because B. burgdorferi prevalence
at the same sites was previously associated with woodland type and nymphal
density, our results suggest that despite sharing a common vector, the primary
modes of enzootic maintenance for the two pathogens are likely different.
PMID- 29365125
TI - Decreased darunavir concentrations during once-daily co-administration with
maraviroc and raltegravir: OPTIPRIM-ANRS 147 trial.
AB - Background: The OPTIPRIM-ANRS 147 trial compared intensive combination ART
(darunavir/ritonavir, tenofovir disoproxil fumarate/emtricitabine, raltegravir
and maraviroc) started early during primary HIV-1 infection with standard
tritherapy with darunavir/ritonavir, tenofovir disoproxil fumarate and
emtricitabine. From month 6 to 18, the percentage of viral load values <50
copies/mL was lower in the pentatherapy arm than in the tritherapy arm. Here we
compared antiretroviral drug concentrations between the two arms. Methods: Plasma
samples were collected from 50 patients at various times after drug
administration. A Bayesian approach based on published population pharmacokinetic
models was used to estimate residual drug concentrations (Ctrough) and exposures
(AUC) in each patient. A mixed linear regression model was then used to compare
the AUC and Ctrough values of each drug used in both groups. Results: Published
models adequately described our data and could be used to predict Ctrough and
AUC. No significant difference in tenofovir disoproxil fumarate, emtricitabine
and ritonavir parameters was found between the two arms. However, darunavir
Ctrough and AUC were significantly lower in the pentatherapy arm than in the
tritherapy arm (P = 0.03 and P = 0.04, respectively). Conclusions: Adding
maraviroc and raltegravir to darunavir-based tritherapy decreased darunavir
concentrations. Compliance issues, maraviroc-darunavir interaction and
raltegravir-darunavir interaction were suspected and may affect the kinetics of
viral decay during pentatherapy. A specific pharmacokinetic interaction study is
needed to explore the interactions between darunavir and maraviroc and
raltegravir.
PMID- 29365133
TI - Periprocedural myocardial infarction and injury in elective coronary stenting.
AB - Aims: To assess the incidence, risk factors and prognosis of periprocedural
myocardial infarction (MI) and myocardial injury in patients undergoing elective
percutaneous coronary intervention (PCI). Methods and results: We included all
consecutive patients who underwent elective PCI with a negative troponin level at
admission from 1 January 2014 to 31 December 2015. The primary endpoint was
defined as the composite of periprocedural MI (Type 4a MI), stent thrombosis
(Type 4b MI), and myocardial injury according to the Third universal definition
of MI. Multivariable analysis was performed to identify independent predictors of
periprocedural MI and myocardial injury and its relation to 30-day and 1-year
clinical outcome. Of the 1390 elective PCI patients, the primary endpoint
occurred in 28.7% of patients, including 7.0% of Type 4a MI, 0.14% of Type 4b MI,
and 21.6% of myocardial injury. Independent risk factors for the occurrence of
the primary endpoint were left main PCI, total stent length >30 mm, multiple
stenting, chronic kidney disease (estimated glomerular filtration rate <60
mL/min) and age >75 years. At 30 days, patients with periprocedural MI and
myocardial injury had a higher rate of cardiovascular events [5.5% vs. 1.2%,
adjusted hazard ratio (adjHR) = 3.8, 95% confidence interval (CI) 1.9-6.9; P <
0.001] mainly driven by ischaemic events (3.2% vs. 0.6%, HR 5.9, 95% CI 2.9-20; P
< 0.0001). At 1-year, the risk of ischemic events remained higher in the
periprocedural MI and myocardial injury group (adjHR = 1.7, 95% CI 1.1-2.6; P =
0.004). Conclusions: Periprocedural MI and injury are frequent complications of
elective PCI associated with an increased rate of cardiovascular events at 30
days and 1 year.
PMID- 29365132
TI - Transcriptional stimulation of rate-limiting components of the autophagic pathway
improves plant fitness.
AB - Autophagy is a major catabolic process whereby autophagosomes deliver cytoplasmic
content to the lytic compartment for recycling. Autophagosome formation requires
two ubiquitin-like systems conjugating Atg12 with Atg5, and Atg8 with lipid
phosphatidylethanolamine (PE), respectively. Genetic suppression of these systems
causes autophagy-deficient phenotypes with reduced fitness and longevity. We show
that Atg5 and the E1-like enzyme, Atg7, are rate-limiting components of Atg8-PE
conjugation in Arabidopsis. Overexpression of ATG5 or ATG7 stimulates Atg8
lipidation, autophagosome formation, and autophagic flux. It also induces
transcriptional changes opposite to those observed in atg5 and atg7 mutants,
favoring stress resistance and growth. As a result, ATG5- or ATG7-overexpressing
plants exhibit increased resistance to necrotrophic pathogens and oxidative
stress, delayed aging and enhanced growth, seed set, and seed oil content. This
work provides an experimental paradigm and mechanistic insight into genetic
stimulation of autophagy in planta and shows its efficiency for improving plant
productivity.
PMID- 29365134
TI - Effects of Mosquito Control Adulticides on Sterile Cochliomyia hominivorax
(Diptera: Calliphoridae).
AB - Effects of mosquito control adulticides on sterile screwworm flies, Cochliomyia
hominivorax (Coquerel) (Diptera: Calliphoridae), were investigated via bottle
bioassays, outdoor cage tests, and exposure to treated vegetation. In bottle
bioassays, 43 MUg of permethrin via dilution of Evoluer, 474.56 MUg of malathion
via dilution of Fyfanon, and 25 MUg of naled via dilution of Dibrom Concentrate
were used to challenge screwworm flies. Permethrin was more toxic to screwworm
flies than was malathion, which was more toxic than naled. On succeeding days,
permethrin was still lethal to the flies, whereas malathion and naled were less
toxic. During outdoor cage trials, screwworm mortality declined as distance from
the spray truck increased. Sterile screwworm flies were killed by lower
concentrations of permethrin needed to kill black salt marsh mosquitoes, Aedes
taeniorhynchus (Wiedemann) (Diptera: Culicidae). Flies exposed to treated
vegetation taken from the path of the spray cloud died more quickly than did
flies exposed to leaves taken 5 ft inside the canopy. Fly mortality increased as
volume mean diameter of droplets increased. In spite of the toxicity of Evoluer
to screwworm flies, aspects of their biology make it unlikely that mosquito
control operations would affect released flies.
PMID- 29365135
TI - Comparative Assessment of Four Steinernematidae and Three Heterorhabditidae
Species for Infectivity of Larval Diabrotica Virgifera Virgifera.
AB - Larval Diabrotica virgifera virgifera LeConte (Coleoptera: Chrysomelidae) were
exposed to seven different entomopathogenic nematode species to test their
potential infectivity in a laboratory setting. Known D. virgifera-infecting
nematode species Heterorhabditis bacteriophora Poinar, Heterorhabditis megidis
Poinar, Jackson & Klein, Steinernema feltiae Filipjev, and Steinernema
carpocapsae Weiser were tested in a concerted experiment alongside Steinernema
diaprepesi Nguyen & Duncan, Steinernema riobrave Cabanillas, Poinar & Raulston,
and a Missouri wild-type H. bacteriophora which have not been previously tested
on D. virgifera. The species S. rarum Doucet was tested separately for D.
virgifera infectivity. Third-instar D. virgifera were exposed to either 60 or 120
nematodes per larva for 6 d. Following exposure, mortality was recorded and
larvae were examined to determine the presence of active nematode infections.
Results indicated a significantly higher proportion of larvae with active
infections from the Heterorhabditidae species and S. diaprepesi than the other
Steinernematidae species for both exposure rates; mortality data indicated a
similar trend. Steinernema rarum showed almost no infectivity in laboratory
experiments.
PMID- 29365136
TI - Overexpression of miR164b-resistant OsNAC2 improves plant architecture and grain
yield in rice.
AB - Plant architecture is a major target of rice (Oryza sativa) breeding and
selection, but the underlying regulatory networks remain unclear. Here, we
overexpressed an OsNAC2 mutant (OErN) that cannot be cleaved by the miRNA
miR164b. OErN plants had better plant architecture and longer panicles, and
produced more grains. The parental line averaged 12.2 primary and 31.5 secondary
branches in the main panicles; two OErN lines averaged 15.0 and 15.2 primary, and
41.5 and 44.3 secondary branches. In large-scale field trials, OErN plants
produced at least 58.62% more total grain (by weight) compared with the parental
line. They also had more large and small vascular bundles in the stem internodes
and leaves. Overexpression of miR164b or down-regulation of OsNAC2 led to
decreased panicle length and grain yield in the main panicle. The OErN plants
showed significant up-regulation of the grain number and plant architecture
related genes IPA1 and DEP1. A survey of >3000 rice varieties found no natural
mutations in the miR164b-binding site of OsNAC2. OErN increased yield in
Nipponbare and the commonly grown Yangyujing 3 cultivars. In summary, we
identified an efficient new strategy to increase rice yield substantially and
improve plant architecture through overexpression of OsmiR164b-resistant OsNAC2.
PMID- 29365137
TI - Comparison of Commercial Lures and Food Baits for Early Detection of Fruit
Infestation Risk by Drosophila suzukii (Diptera: Drosophilidae).
AB - Drosophila suzukii (Matsumura; Diptera: Drosophilidae) is one of the most serious
invasive pests of berries and cherries worldwide. Several adult monitoring
systems are available to time foliar application of insecticides with the
expectation of detecting the presence of D. suzukii before they infest
susceptible crops. We tested this by comparing four different trapping systems
based on two homemade baits, apple cider vinegar (ACV) or fermenting dough, and
two fermentation volatile-based commercial lures, Scentry and Trece. Traps baited
with dough or Scentry captured more D. suzukii than traps baited with ACV or
Trece in blueberries and traps baited with Trece in raspberries. In blueberries,
traps baited with Scentry, Trece and dough provided 11-21 d of warning prior to
first detection of fruit infestation. However, these traps were not as effective
in summer floricane raspberries. The Scentry lure baited traps detected D.
suzukii on the same week as the first detection of fruit infestation and other
trapping systems detected the fly 4 to 11 d after the first detection, suggesting
the need for an improved D. suzukii detection system in raspberries. Both
synthetic lures (Scentry and Trece) were significantly more selective for D.
suzukii than dough bait, although the selectivity of all four tested lures/baits
were relatively low at <20%. Our results suggest that in locations where D.
suzukii adults are not trapped in late winter and spring, adult monitoring of D.
suzukii using a sensitive trapping system may provide early warning of pending
infestation risk thereby potentially reducing unnecessary insecticide
applications.
PMID- 29365138
TI - NADPH oxidase 4 function as a hydrogen peroxide sensor.
AB - Nox4, a member of the NADPH- and oxygen-dependent oxidoreductases that generate
reactive oxygen species (ROS), is widely expressed and constitutively active. To
understand better its function and regulation, specific mutations in the Nox4
dehydrogenase (DH) domain were examined for effects on Nox4 oxidase activity.
Transfection of His6-tagged Nox4 increased the amount of p22phox subunit in
HEK293 cells, and a higher level of the heterodimer was observed in the nucleus
enriched fraction (NEF). NEF from Nox4-expressing HEK293 cells exhibited oxygen
and H2O2 concentration-dependent NADPH oxidation rate. In Nox4-expressing cells,
NEF and its partially purified form, the Nox4(P437H) mutant almost completely
lost its oxidase activity, while Nox4(C546S), Nox4(C546L) or/and (C547L) had a
significantly decreased rate of ROS production. The NADPH-dependent reduction of
cytochrome c or cytochrome b5 by purified Nox4 DH domain was found regulated by
the H2O2 concentration, and C546L and C547L mutants showed lower rates of the
hemeprotein reduction. These conserved Cys residues in the DH domain respond to
the cytosolic H2O2 concentration to regulate Nox4 activity.
PMID- 29365139
TI - Rodents as Natural Hosts of Zoonotic Schistosoma Species and Hybrids: An
Epidemiological and Evolutionary Perspective From West Africa.
AB - The complex multi-host disease dynamics of schistosomiasis and Schistosoma spp.,
including the emergence of zoonotic parasite hybrids, remain largely unexplored
in West Africa. We elucidated the role of wild small mammals as reservoir for
zoonotic Schistosoma species and hybrids in endemic areas of Senegal. We
identified Schistosoma mansoni, Schistosoma bovis, and a Schistosoma
haematobium/S. bovis hybrid, with local prevalence in wild rodents ranging from
1.9% to 28.6%. Our findings indicate that rodents may be an important local
reservoir for zoonotic schistosomiasis in endemic areas of West Africa,
amplifying transmission to humans and acting as natural definitive hosts of
schistosome hybrids.
PMID- 29365140
TI - Development of a High-Throughput Laboratory Bioassay for Testing Potential
Attractants for Terrestrial Snails and Slugs.
AB - Invasive snails and slugs are among the most damaging pests of vegetables,
fruits, ornamentals, grains, and forage throughout the world. Current control
strategies are focused almost exclusively on molluscicides, which are ineffective
under some conditions, and which can have serious nontarget effects. A major aim
of this study was to develop a generic high-throughput bioassay method for use in
identifying attractants for terrestrial gastropods, with the overall goal of
developing attractant-based control methods for pest gastropods. Here, we
demonstrate the use of the bioassay method in screening a wide variety of
foodstuffs and other possible sources of attractants, using the pest snail Cornu
aspersum Muller (Pulmonata, Helicidae) and the pest slug Deroceras reticulatum
Muller (Pulmonata, Agriolimacidae) as test animals. Among a large number of food
items and previously reported attractants tested, chopped fresh cucumber (Cucumis
sativus) was the most attractive substrate for both species. Our results also
showed that previous feeding experience influences subsequent food choice to some
extent, but regardless of previous feeding experience, chopped cucumber was as
attractive or more attractive than any other substrate tested.
PMID- 29365141
TI - Attraction of Aphidius ervi (Hymenoptera: Braconidae) and Aphidoletes aphidimyza
(Diptera: Cecidomyiidae) to Sweet Alyssum and Assessment of Plant Resources
Effects on their Fitness.
AB - The green peach aphid Myzus persicae (Sulzer) (Hemiptera: Aphididae) is one of
the most economically important aphid species affecting crops worldwide. Since
many natural enemies of this aphid have been recorded, biological control of this
pest might be a viable alternative to manage it. Selected plant species in field
margins might help to provide the natural enemies with food sources to enhance
their fitness. This study aimed to investigate if sweet alyssum, Lobularia
maritima (L.) (Brassicaceae), is a potential food source for the parasitoid
Aphidius ervi Haliday (Hymenoptera: Braconidae) and the predator Aphidoletes
aphidimyza (Rondani) (Diptera: Cecidomyiidae), and whether this flower could
contribute to enhance the biological control of M. persicae. Volatiles produced
by alyssum, with and without flowers, attracted both natural enemies. This
attractiveness to alyssum flowers was disrupted when compared with peach shoots
recently infested with a relatively low number of aphids. When aphids were
absent, parasitoids exposed to alyssum survived longer than those that fed on a
sugar solution or on water. In the case of the predator, alyssum flowers did not
benefit longevity since the nectaries were inaccessible to females. However, our
results provide evidence that A. aphidimyza would be able to feed on nectar if
accessible. The floral resource did not improve the reproductive capacity of the
two natural enemies, but the 10% sugar solution increased the egg load of the
predator. Provision of other sugar resources, such as flowers with exposed
nectaries and extra floral nectar may also be a viable option to improve the
biological control of M. persicae.
PMID- 29365142
TI - Intramuscular Adeno-Associated Virus-Mediated Expression of Monoclonal Antibodies
Provides 100% Protection Against Ebola Virus Infection in Mice.
AB - The 2013-2016 West Africa outbreak demonstrated the epidemic potential of Ebola
virus and highlighted the need for counter strategies. Monoclonal antibody (mAb)
based therapies hold promise as treatment options for Ebola virus infections.
However, production of clinical-grade mAbs is labor intensive, and immunity is
short lived. Conversely, adeno-associated virus (AAV)-mediated mAb gene transfer
provides the host with a genetic blueprint to manufacture mAbs in vivo, leading
to steady release of antibody over many months. Here we demonstrate that AAV
mediated expression of nonneutralizing mAb 5D2 or 7C9 confers 100% protection
against mouse-adapted Ebola virus infection, while neutralizing mAb 2G4 was 83%
protective. A 2-component cocktail, AAV-2G4/AAV-5D2, provided complete protection
when administered 7 days prior to challenge and was partially protective with a 3
day lead time. Finally, AAV-mAb therapies provided sustained protection from
challenge 5 months following AAV administration. AAV-mAb may be a viable
alternative strategy for vaccination against emerging infectious diseases.
PMID- 29365143
TI - Improved Sweetpotato Whitefly and Potato Psyllid Control in Tomato by Combining
the Mirid Dicyphus hesperus (Heteroptera: Miridae) With Specialist Parasitic
Wasps.
AB - Bemisia tabaci Gennadius (Hemiptera: Aleyrodidae) and Bactericera cockerelli
Sulcer (Hemiptera: Psyllidae) are important pests in tomato, and the mirid
Dicyphus hesperus Knight (Heteroptera: Miridae) has been shown as an effective
predator of both pests. Although the predator was able to suppress populations of
both pests, the remaining levels could still exceed tolerable levels. Thus, we
here hypothesized whether the combination of D. hesperus with the specialist
parasitoids Eretmocerus eremicus Rose y Zolnerowich (Hymenoptera: Aphelinidae)
(whitefly) and Tamarixia triozae (Burks) (Hymenoptera: Eulophidae) (psyllid)
would result in better pest control on a greenhouse scale. For that, we conducted
a trial in which we compared the results against B. tabaci and B. cockerelli in
greenhouses treated with D. hesperus alone or the predator in combination with
the specialist parasitoids. The results showed that the predator was able to
establish and suppress B. tabaci and B. cockerelli in tomato, but the addition of
the specialist parasitoids resulted in better and more cost-effective pest
control. Implementation of this method would therefore increase the robustness
and reliability of biocontrol-based integrated pest management programmes for
tomato crops, over methods based exclusively on D. hesperus release.
PMID- 29365144
TI - Immune-Active Microenvironment in Small Cell Carcinoma of the Ovary,
Hypercalcemic Type: Rationale for Immune Checkpoint Blockade.
AB - Small cell carcinoma of the ovary, hypercalcemic type (SCCOHT), is a highly
aggressive monogenic cancer driven by SMARCA4 mutations. Here, we report
responses to anti-PD1 immunotherapy in four patients and characterize the immune
landscape of SCCOHT tumors using quantitative immunofluorescence and gene
expression profiling. Unexpectedly for a low mutation burden cancer, the majority
of the tumors (eight of 11 cases) demonstrated PD-L1 expression with strong
associated T-cell infiltration (R2 = 0.60-0.95). PD-L1 expression was detected in
both tumor and stromal cells, with macrophages being the most abundant PD-L1
positive cells in some tumors (three of 11 cases). Transcriptional profiling
revealed increased expression of genes related to Th1 and cytotoxic cell function
in PD-L1-high tumors, suggesting that PD-L1 acts as a pathway of adaptive immune
resistance in SCCOHT. These findings suggest that although SCCOHT are low
mutational burden tumors, their immunogenic microenvironment resembles the
landscape of tumors that respond well to treatment with PD-1/PD-L1 blockade.
PMID- 29365146
TI - Rat Poisoning: A Challenging Diagnosis With Clinical and Psychological
Implications.
AB - Rat poisoning should be considered in the differential diagnosis of a patient
presenting with signs and symptoms of vitamin K deficiency without a more likely
explanation. However, confirming this diagnosis may be difficult due to the
varying sensitivities of available assays. A 40-year-old Caucasian woman
presented to our hospital with chronic abdominal pain, hematuria, and a history
of diarrhea of unknown etiology, despite an extensive work-up. Her laboratory
evaluation results were consistent with vitamin K deficiency. Because she
reported that she had not ingested warfarin, rat poisoning was suspected;
however, the results of the first assay were negative. A second specimen was sent
to another reference laboratory with a more sensitive assay, and the diagnosis of
brodifacoum poisoning was confirmed. The patient was treated with oral vitamin K.
If a patient presents with unexplained signs and symptoms of vitamin K
deficiency, toxicological evaluation should be performed and repeat testing may
be warranted, depending on the sensitivity of the original testing method.
PMID- 29365145
TI - PhyloChromoMap, a Tool for Mapping Phylogenomic History along Chromosomes,
Reveals the Dynamic Nature of Karyotype Evolution in Plasmodium falciparum.
AB - The genome of Plasmodium falciparum, the causative agent of malaria in Africa,
has been extensively studied since it was first fully sequenced in 2002. However,
many open questions remain, including understanding the chromosomal context of
molecular evolutionary changes (e.g., relationship between chromosome map and
phylogenetic conservation, patterns of gene duplication, and patterns of
selection). Here, we present PhyloChromoMap, a method that generates a
phylogenomic map of chromosomes from a custom-built bioinformatics pipeline.
Using P. falciparum 3D7 as a model, we analyze 2,116 genes with homologs in up to
941 diverse eukaryotic, bacterial and archaeal lineages. We estimate the level of
conservation along chromosomes based on conservation across clades, and identify
"young" regions (i.e., those with recent or fast evolving genes) that are
enriched in subtelomeric regions as compared with internal regions. We also
demonstrate that patterns of molecular evolution for paralogous genes differ
significantly depending on their location as younger paralogs tend to be found in
subtelomeric regions whereas older paralogs are enriched in internal regions.
Combining these observations with analyses of synteny, we demonstrate that
subtelomeric regions are actively shuffled among chromosome ends, which is
consistent with the hypothesis that these regions are prone to ectopic
recombination. We also assess patterns of selection by comparing dN/dS ratios of
gene family members in subtelomeric versus internal regions, and we include the
important antigenic gene family var. These analyses illustrate the highly dynamic
nature of the karyotype of P. falciparum, and provide a method for exploring
genome dynamics in other lineages.
PMID- 29365147
TI - Prepartum fatty acid supplementation in sheep I. Eicosapentaenoic and
docosahexaenoic acid supplementation do not modify ewe and lamb metabolic status
and performance through weaning.
AB - Fatty acids are involved in the regulation of many physiological pathways,
including those involved in gene expression and energy metabolism. Through
effects on these pathways, fatty acids may have lifelong impacts on offspring
development and metabolism via maternal supplementation. Therefore, our objective
was to investigate the impact of supplementing a source of omega-3 fatty acids
eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) during late gestation
on productive and metabolic responses of ewes and their offspring. Eighty-four
gestating ewes (28 pens) were blocked and randomly assigned to a diet with 0.39%
added fat during the last 50 d of gestation (d -0). The fat sources were Ca salts
of a palmitic fatty acid distillate (PFAD) or EPA + DHA. After lambing (d 1), all
ewes and lambs were placed on the same pasture. The ewes were weighed and BCS was
measured on d -50, -20, 30, and 60 (weaning) of the experiment. Blood samples
were taken from the ewes on d -50, -20, 1 (lambing), 30, and 60. Milk yield and
composition were measured at 30 d postpartum. Lambs were weighed and bled at d 1,
30, and 60, and ADG was calculated. All plasma samples were analyzed for glucose
and NEFA. Ghrelin, prostaglandin E metabolites (PGEM), and the prostaglandin D2
metabolite 11beta-PGF2alpha were measured in d -20 ewe samples. Insulin and
adropin were measured in lamb samples at d 60. There was no difference on ewe BW
(P = 0.48) or BCS (P = 0.55), or plasma concentrations of glucose (P = 0.57),
NEFA (P = 0.44), ghrelin (P = 0.36), PGEM (P = 0.32), and 11beta-PGF2alpha (P =
0.86) between ewes supplemented with PFAD or EPA + DHA. Neither milk yield nor
its composition was different (P > 0.10) among treatments. Lambs born from ewes
supplemented with PFAD or EPA + DHA did not have different BW (P = 0.22), ADG (P
= 0.21) or plasma NEFA (P = 0.52), glucose (P = 0.50), insulin (P = 0.59), and
adropin (P = 0.72) concentrations. These results suggest that supplementation of
EPA and DHA during late gestation did not affect ewe metabolic profile or milk
production. Lamb performance and metabolism through weaning were not affected by
maternal supplementation with an enriched source of EPA and DHA.
PMID- 29365148
TI - Spinosad- and Deltamethrin-Induced Impact on Mating and Reproductive Output of
the Maize Weevil Sitophilus zeamais.
AB - Assessments of acute insecticide toxicity frequently focus on the lethal effects
on individual arthropod pest species and populations neglecting the impacts and
consequences of sublethal exposure. However, the sublethal effects of
insecticides may lead to harmful, neutral, or even beneficial responses that may
affect (or not) the behavior and sexual fitness of the exposed insects.
Intriguingly, little is known about such effects on stored product insect pests
in general and the maize weevil in particular. Thus, we assessed the sublethal
effects of spinosad and deltamethrin on female mate-searching, mating behavior,
progeny emergence, and grain consumption by maize weevils. Insecticide exposure
did not affect the resting time, number of stops, and duration of mate-searching
by female weevils, but their walking velocity was compromised. Maize weevil
couples sublethally exposed to deltamethrin and spinosad exhibited altered
reproductive behavior (walking, interacting, mounting, and copulating), but
deltamethrin caused greater impairment. Curiously, higher grain consumption and
increased progeny emergence were observed in deltamethrin-exposed insects,
suggesting that this pyrethroid insecticide elicits hormesis in maize weevils
that may compromise control efficacy by this compound. Although spinosad has less
of an impact on weevil reproductive behavior than deltamethrin, this
bioinsecticide also benefited weevil progeny emergence, but did not affect grain
consumption. Therefore, our findings suggest caution using either compound, and
particularly deltamethrin, for controlling the maize weevil, as they may actually
favor this species population growth when in sublethal exposure requiring further
assessments. The same concern may be valid for other insecticides as well, what
deserves future attention.
PMID- 29365149
TI - A Proposed Concentration-Controlled New Protocol for Optimal Corneal Crosslinking
Efficacy in the Anterior Stroma.
PMID- 29365150
TI - Quantification of Macular Microvascular Changes in Patients With Retinitis
Pigmentosa Using Optical Coherence Tomography Angiography.
AB - Purpose: To evaluate the microvascular changes in eyes with RP quantitatively
using optical coherence tomography angiography (OCTA) and to determine whether
the correlations between these indices and the severity of RP are significant.
Methods: This was a retrospective, observational study. The medical records of 53
RP patients and 46 controls were reviewed. The OCTA images were obtained with the
Cirrus 5000 with Angioplex, and an automated program was used to analyze the
microvascular patterns. The perfusion density (PD) and vessel length density
(VLD) were used as indices of the microvascular density, whereas the vessel
diameter index (VDI) was used as a measure of the caliber of the vessels. The
width of the ellipsoid zone (EZ) in the OCT images and the mean deviation (MD) of
the Humphry Field Analyzer (HFA) were used to determine the severity of the RP.
Student's t-tests and Spearman's correlation tests were used. Results: Both the
PD and VLD in the superficial and deep plexuses and the whole retina were
significantly reduced, and the VDI was significantly increased in RP patients
compared with the corresponding values of the controls (P < 0.001). Spearman's
rank tests indicated the RP severity was significantly correlated with the PD and
VLD in all three layers (P < 0.001, r ranging from 0.50 to 0.87) and
significantly correlated with VDI in the deep and the whole retina (P < 0.001,
ranging from -0.64 to -0.73). Conclusions: Quantitative changes in the
microvascular density might be useful for examining the pathophysiology of RP.
PMID- 29365151
TI - Lack of Evidence for Transovarial Transmission of the Lyme Disease Spirochete
Borrelia mayonii by Infected Female Ixodes scapularis (Acari: Ixodidae) Ticks.
AB - The recently described Lyme disease spirochete Borrelia mayonii is associated
with human illness in the Upper Midwest of the United States. Experimental
laboratory studies and field observations on natural infection indicate that B.
mayonii is maintained by horizontal transmission between tick vectors and
vertebrate reservoirs. While maintaining a colony of Ixodes scapularis Say
(Acari: Ixodidae) ticks infected with the B. mayonii type strain (MN14-1420), we
had an opportunity to examine whether infected females may pass this spirochete
transovarially to their offspring. We found no evidence of B. mayonii infection
in subsets of larvae originating from 18 infected I. scapularis females (grand
total of 810 larvae tested), or in mice exposed to larval feeding.
PMID- 29365152
TI - Protein-mediated looping of DNA under tension requires supercoiling.
AB - Protein-mediated DNA looping is ubiquitous in chromatin organization and gene
regulation, but to what extent supercoiling or nucleoid associated proteins
promote looping is poorly understood. Using the lac repressor (LacI), a
paradigmatic loop-mediating protein, we measured LacI-induced looping as a
function of either supercoiling or the concentration of the HU protein, an
abundant nucleoid protein in Escherichia coli. Negative supercoiling to
physiological levels with magnetic tweezers easily drove the looping probability
from 0 to 100% in single DNA molecules under slight tension that likely exists in
vivo. In contrast, even saturating (micromolar) concentrations of HU could not
raise the looping probability above 30% in similarly stretched DNA or 80% in DNA
without tension. Negative supercoiling is required to induce significant looping
of DNA under any appreciable tension.
PMID- 29365153
TI - Screening Arabidopsis thaliana mutants for low sensitivity to manganese
identifies novel alleles of NRAMP1 and PGSIP6.
AB - Manganese (Mn) is an essential micronutrient; however, few genes required for
growth under low-Mn conditions have been identified. In this study, we isolated
Arabidopsis thaliana mutants sensitive to low-Mn conditions from ethyl
methanesulfonate-mutagenized seeds. Among them, we identified the causal genes of
two mutants. One mutant (35-34) exhibited a short root phenotype and low Mn
concentration in the shoots. The other mutant (30-11) exhibited a small shoot
phenotype with Mn concentrations similar to the control. Genetic mapping,
allelism tests, and gene complementation tests identified the causal genes as
At1g80830 (NRAMP1) for 35-34 and At5g18480 (PGSIP6) for 30-11. NRAMP1 was
previously reported to be essential for Mn uptake under low-Mn conditions, thus
validating our screening method. PGSIP6 encodes inositol phosphorylceramide
glucuronosyltransferase, which is involved in glycosyl inositol
phosphorylceramide sphingolipid glycosylation. PGSIP6-green fluorescent protein
was localized to the Golgi apparatus, which is consistent with its function in
the glycosylation of sphingolipids. Our screening identified a novel gene
required for low-Mn tolerance, and we also provide new insights towards
understanding the physiological function of PGSIP6.
PMID- 29365154
TI - Spatial Distribution and Sampling Plans With Fixed Level of Precision for Citrus
Aphids (Hom., Aphididae) on Two Orange Species.
AB - Aphis spiraecola Patch, Aphis gossypii Glover, and Toxoptera aurantii Boyer de
Fonscolombe are three important aphid pests of citrus orchards. In this study,
spatial distributions of the aphids on two orange species, Satsuma mandarin and
Thomson navel, were evaluated using Taylor's power law and Iwao's patchiness. In
addition, a fixed-precision sequential sampling plant was developed for each
species on the host plant by Green's model at precision levels of 0.25 and 0.1.
The results revealed that spatial distribution parameters and therefore the
sampling plan were significantly different according to aphid and host plant
species. Taylor's power law provides a better fit for the data than Iwao's
patchiness regression. Except T. aurantii on Thomson navel orange, spatial
distribution patterns of the aphids were aggregative on both citrus. T. aurantii
had regular dispersion pattern on Thomson navel orange. Optimum sample size of
the aphids varied from 30-2061 and 1-1622 shoots on Satsuma mandarin and Thomson
navel orange based on aphid species and desired precision level. Calculated stop
lines of the aphid species on Satsuma mandarin and Thomson navel orange ranged
from 0.48 to 19 and 0.19 to 80.4 aphids per 24 shoots according to aphid species
and desired precision level. The performance of the sampling plan was validated
by resampling analysis using resampling for validation of sampling plans (RVSP)
software. This sampling program is useful for IPM program of the aphids in citrus
orchards.
PMID- 29365155
TI - Comparison of Palivizumab-Like Antibody Binding to Different Conformations of the
RSV F Protein in RSV-Infected Adult Hematopoietic Cell Transplant Recipients.
AB - Background: Most respiratory syncytial virus (RSV) vaccine candidates include
fusion (F) protein in different conformations. Antigenic site II found in the
different F conformations is the target of palivizumab, the only US Food and Drug
Administration approved monoclonal antibody (mAb). Serum palivizumab-like
antibody (PLA) is a potential serologic correlate of immunity. Our objective was
to determine if different conformations of F protein in a palivizumab competitive
antibody (PCA) assay affect the PLA concentrations. Methods: Four PCA assays were
standardized using mAbs. Each contained prefusion, postfusion, or intermediate F
forms. PLA concentrations were measured in acute and convalescent sera from 22
RSV/A and 18 RSV/B-infected adult hematopoietic cell transplant (HCT) recipients.
PLA concentrations were calculated using a 4-parameter logistic regression model
and analyzed for statistical significance. Results: PCA assays revealed
significantly greater PLA concentrations in convalescent sera; comparable
increases in PLA concentration in RSV/A and RSV/B-infected HCT recipients; and
significantly reduced PLA concentrations in HCT recipients who shed RSV >=14
days. A significant positive correlation was observed between PCA assays and RSV
neutralizing antibody titers. Conclusions: F protein conformation does not appear
to have a measurable impact on PCA assays for measuring PLA induced by RSV/A or
RSV/B infection.
PMID- 29365156
TI - Response of Pediculus humanus capitis (Phthiraptera: Pediculidae) to Volatiles of
Whole and Individual Components of the Human Scalp.
AB - The head louse Pediculus humanus capitis (De Geer) (Phthiraptera: Pediculidae) is
a cosmopolitan human ectoparasite causing pediculosis, one of the most common
arthropod parasitic conditions of humans. The mechanisms and/or chemicals
involved in host environment recognition by head lice are still unknown. In this
study, we evaluated the response of head lice to volatiles that emanate from the
human scalp. In addition, we identified the volatile components of the odor and
evaluated the attractive or repellent activity of their pure main components. The
volatiles were collected by means of Solid Phase microextraction and the extract
obtained was chemically analyzed by gas chromatograph-mass spectrometer. Twenty
four volatile were identified in the human scalp odor, with the main compounds
being the following: nonanal, sulcatone, geranylacetone, and palmitic acid. Head
lice were highly attracted by the blend human scalp volatiles, as well as by the
individual major components. A significant finding of our study was to
demonstrate that nonanal activity depends on the mass of the compound as it is
repellent at high concentrations and an attractant at low concentrations. The
results of this study indicate that head lice may use chemical signals in
addition to other mechanisms to remain on the host.
PMID- 29365157
TI - Inferring Smoking Status from User Generated Content in an Online Cessation
Community.
AB - Introduction: User generated content (UGC) is a valuable but underutilized source
of information about individuals who participate in online cessation
interventions. This study represents a first effort to passively detect smoking
status among members of an online cessation program using UGC. Methods: Secondary
data analysis was performed on data from 826 participants in a web-based smoking
cessation randomized trial that included an online community. Domain experts from
the online community reviewed each post and comment written by participants and
attempted to infer the author's smoking status at the time it was written.
Inferences from UGC were validated by comparison with self-reported 30-day point
prevalence abstinence (PPA). Following validation, the impact of this method was
evaluated across all individuals and timepoints in the study period. Results: Of
the 826 participants in the analytic sample, 719 had written at least one post
from which content inference was possible. Among participants for whom
unambiguous smoking status was inferred during the 30 days preceding their 3
month follow-up survey, concordance with self-report was almost perfect (kappa =
0.94). Posts indicating abstinence tended to be written shortly after enrollment
(median = 14 days). Conclusions: Passive inference of smoking status from UGC in
online cessation communities is possible and highly reliable for smokers who
actively produce content. These results lay the groundwork for further
development of observational research tools and intervention innovations.
PMID- 29365158
TI - Reduced Insecticide Susceptibility in Aedes vexans (Diptera: Culicidae) Where
Agricultural Pest Management Overlaps With Mosquito Abatement.
AB - Mosquito abatement programs in Midwestern communities frequently exist within
landscapes dominated by agriculture. Although separately managed, both
agricultural pests and mosquitoes are targeted by similar classes of
insecticides. As a result, there is the potential for unintended insecticide
exposure to mosquito populations from agricultural pest management. To determine
the impact that agricultural management practices have on mosquito insecticide
susceptibility we compared the mortality of Aedes vexans (Meigen; Diptera:
Culicidae) between populations sampled from locations with and without mosquito
abatement in South Dakota, a region dominated by agricultural production.
Collection locations were either within towns with mosquito abatement programs (n
= 2; Brookings and Sioux Falls, SD) or located > 16 km from towns with mosquito
abatement programs (n = 2; areas near Harrold and Willow Lake, SD). WHO bioassays
were used to test susceptibly of adults to differing insecticide classes relative
to their respective controls; 1) an organochlorine (dieldrin 4%), 2) an
organophosphate (malathion 5%), and 3) a pyrethroid (lambda-cyhalothrin 0.05%).
Corrected mortality did not significantly differ between locations with or
without abatement; however, when locations were analized by proportion of
developed land within the surrounding landscape pyrethroid mortality was
significantly lower where crop production dominated the surrounding landscape and
mosquito abatement was present. These data suggest that agricultural pest
management may incidentally contribute to reduced mosquito susceptibility where
overlap between agricultural pest management and mosquito abatement exists.
Decoupling insecticide classes used by both agricultural and public health pest
management programs may be necessary to ensure continued efficacy of pest
management tools.
PMID- 29365159
TI - Improvement of the nutritional value of high-protein sunflower meal for broiler
chickens using multi-enzyme mixtures.
AB - Two experiments were conducted to determine the effect of replacing soybean meal
(SBM) with a high-protein sunflower meal (HiSFM) without or with multi-enzyme
mixtures on growth performance, nutrient utilization, jejunal digesta viscosity,
and excreta moisture in broiler chickens. In experiment 1, 400 chicks were
divided into 40 replicates of 10 birds and fed for 35 d a corn-soybean meal
(CSBM) control diet or CSBM with 25 (HiSFM25), 50 (HiSFM50), 75 (HiSFM75), or
100% (HiSFM100) of total SBM replaced by HiSFM. During d 0 to 35, increasing
HiSFM content in diets linearly reduced (P < 0.0001) BW, FI, and BW gain (BWG).
Feeding HiSFM25 or HiSFM50 resulted in similar growth performance as CSBM (P >
0.05). In experiment 2, 120 chicks were divided into 24 replicates of 5 birds and
fed CSBM or HiSFM75 diet without or with enzyme A (supplying 4,000, 500, and
8,000 U/kg of xylanase, alpha-amylase, and protease, respectively) or enzyme B
(supplying 1,700, 1,100, 240, 30, 1,200, 360, 1,500, and 120 U/kg of cellulase,
pectinase, mannanase, galactanase, xylanase, glucanase, amylase, and protease,
respectively) for 21 days. Excreta moisture content was determined weekly, and
birds were euthanized on d 22 to collect jejunal and ileal digesta for viscosity
and apparent ileal digestibility (AID) measurements, respectively. Compared with
CSBM, feeding HiSFM75 reduced (P < 0.05) BWG and G: F, enzymes A and D alleviated
BWG and G: F reduction (P < 0.05), and enzyme A reduced (P = 0.037) the excreta
moisture content, whereas the treatments did not influence AID or jejunal digesta
viscosity measurements. In conclusion, experiment 1 results show that HiSFM can
replace up to 50% of SBM without depressing growth performance in either the
starter or finisher phase, whereas experiment 2 shows that enzymes A and B
supplementation can alleviate the growth depression associated with feeding
HiSFM75. These results suggest that enzyme supplementation can enhance HiSFM
inclusion in broiler diets without affecting productivity or barn hygiene
management.
PMID- 29365160
TI - Scope and Nature of Pain- and Analgesia-Related Content of the United States
Medical Licensing Examination (USMLE).
AB - Background: "The ongoing opioid crisis lies at the intersection of two
substantial public health challenges-reducing the burden of suffering from pain
and containing the rising toll of the harms that can result from the use of
opioid medications" [1]. Improved pain education for health care providers is an
essential component of the multidimensional response to both still-unmet
challenges [2,3]. Despite the importance of licensing examinations in assuring
competency in health care providers, there has been no prior appraisal of pain
and related content within the United States Medical Licensing Examination
(USMLE). Methods: An expert panel developed a novel methodology for
characterizing USMLE questions based on pain core competencies and topical and
public health relevance. Results: Under secure conditions, raters used this
methodology to score 1,506 questions, with 28.7% (432) identified as including
the word "pain." Of these, 232 questions (15.4% of the 1,506 USMLE questions
reviewed) were assessed as being fully or partially related to pain, rather than
just mentioning pain but not testing knowledge of its mechanisms and their
implications for treatment. The large majority of questions related to pain (88%)
focused on assessment rather than safe and effective pain management, or the
context of pain. Conclusions: This emphasis on assessment misses other important
aspects of safe and effective pain management, including those specific to opioid
safety. Our findings inform ways to improve the long-term education of our
medical and other graduates, thereby improving the health care of the populations
they serve.
PMID- 29365161
TI - Evaluation of dietary calcium level and source and phytase on growth performance,
serum metabolites, and ileum mineral contents in broiler chicks fed adequate
phosphorus diets from one to 28 days of age.
AB - A total of 936 one-day-old broiler chicks (Ross 308) was used to evaluate the
effects of dietary calcium (Ca) source (1.0 and 0.6% from Ca carbonate [CC], or
0.6% from Celtic sea minerals [CSM]) on broiler chick response to phytase
supplementation (0, 500, or 2,500 FTU per kg of diet) in phosphorus (P) adequate
diets. Birds were randomly assigned to 9 treatments, each replicated 8 times (4
repeats of male and 4 repeats of female birds; 13 birds per replicate) in a
completely randomized design. Results showed that birds fed low Ca CC diets had
significantly (P <= 0.05) lower body weight at 14 and 21 d; weight gain during 1
to 14 d; feed intake during 1 to 14 d and 1 to 28 d; and toe and tibia ash
content, ileum ash, and Ca, and P contents at 28 days. Feed conversion ratio and
feed intake between 21 and 28 d, and serum Ca, Fe, and alkaline phosphatase
levels at 28 d of age were not affected by dietary Ca level. The negative
influence of reducing the dietary Ca level on body weight and weight gain was
less severe when CC was replaced with CSM, and birds fed the CSM diet had a
significantly lower feed conversion ratio and higher tibia P content compared to
CC. Phytase did not have a significant influence (P > 0.05) on most measured
parameters, but significantly reduced ileum P and ash contents, especially at the
higher level of 2,500 vs. 500 units of phytase per kg of diet. These results
confirm that CSM has a valuable potential to improve feed conversion ratio, and
that phytase was less effective in compensating for a significantly reduced
dietary Ca level as it is for P.
PMID- 29365162
TI - MicroRNA1917 targets CTR4 splice variants to regulate ethylene responses in
tomato.
AB - Ethylene perception is regulated by receptors, and the downstream protein
CONSTITUTIVE TRIPLE RESPONSE1 is a key suppressor of ethylene signalling. The non
conserved tomato (Solanum lycopersicum) microRNA1917 (Sly-miR1917) mediates
degradation of SlCTR4 splice variants (SlCTR4sv) but the molecular details of
this pathway remain unknown. Sly-miR1917 and the targeted SlCTR4sv are
ubiquitously expressed in all tomato organs. Overexpression of Sly-miR1917
enhances ethylene responses, including the triple response in etiolated
seedlings, in the absence of ethylene, as well as epinastic petiole growth,
accelerated pedicel abscission, and fruit ripening. Enhanced ethylene signalling
in Sly-miR1917-overexpressing plants (1917-OE) is accompanied by up-regulation of
ethylene biosynthesis and signalling genes, and increased ethylene emission.
These phenotypes were recovered by repressing the positive ethylene regulator
EIN2. Moreover, the Sly-miR1917-targeted SlCTR4 splice variant SlCTR4sv3,
expressed specifically in the abscission zone, exhibited the opposite expression
pattern to Sly-miR1917. Complementation of the Arabidopsis thaliana ctr-1 mutant
and yeast two-hybrid and bimolecular fluorescence complementation assays
suggested that SlCTR4sv3 functions in ethylene signalling. Co-expression of Sly
miR1917 and SlCTR4sv3 in Nicotiana benthamiana further suggested that Sly-miR1917
cleaves SlCTR4sv3 in vivo. Database homology searching revealed a Solanum
tuberosum CTR-like splice variant containing a Sly-miR1917 binding sequence, and
a homologue of mature Sly-miR1917 in potato, indicating a conserved function for
miR1917 and the regulatory miRNA-mediated ethylene network in solanaceous
species.
PMID- 29365163
TI - Extra-Articular Retained Missiles; Is Surveillance of Lead Levels Needed?
AB - Background: Although gunshot wounds are relatively common, lead toxicity
associated with extra-articular retained missiles (EARMs) is an uncommon, yet
potentially devastating, complication. Although the risk of lead toxicity with
intra-articular retained missiles is well known, EARMs are routinely left in situ
or only removed in selected circumstances secondary to the relatively rare
occurrence of complications. Methods: We first describe a patient with systemic
lead poisoning associated with retained lead fragments after a gunshot-induced
left femoral shaft fracture. We then performed a systematic review of the
literature to answer the following questions: (1) In the setting of retained
extra-articular bullets and/or bullet fragments, is regular monitoring and/or
surveillance of lead levels in the blood routinely indicated? and, if so, (2)
what are the selected factors that portend an increased risk for elevations in
blood lead levels in the setting of retained extra-articular bullets and/or
bullet fragments? The systematic review was conducted according to the PRISMA
(Preferred Reporting Items for Systematic Reviews and Meta-Analyses) reporting
guidelines, of the English language literature utilizing Medline (PubMed),
EMBASE, Cochrane, and CINAHL on the topic of lead poisoning, retained bullet, and
gunshot wound, and then searched for additional references by manually searching
of bibliographies of the included references. Studies were included if they
provided clinical data on one or both of our study questions; included studies
were evaluated using the accepted levels of evidence. Findings: Routine
monitoring or surveillance of lead levels in blood is recommended in all cases of
EARM at the time of hospital admission and again at discharge, followed by
monthly intervals until 3 mo post-injury and then again at 1 yr post-injury. The
studies identified demonstrated significant risk factors for elevated blood lead
levels in the setting of EARM, which included the number of retained missiles and
concomitant fracture. Discussion: Recommendations for routine monitoring and
surveillance of blood lead levels in all cases of EARM are conflicting, but such
monitoring appears to be warranted given that the potential risks and morbidity
associated with systemic lead poisoning are outweighed by any potential harm of
short-term, blood lead level monitoring. Outside of concomitant fracture, the
evidence for making further clinical recommendations regarding selected risk
factors that portend an increased risk for elevated blood lead levels after
gunshot injury is weak. Larger level II and III studies are needed to determine
the indications for and frequency of lead toxicity screening after retained EARM.
PMID- 29365164
TI - Reaction norm for yearling weight in beef cattle using single-step genomic
evaluation.
AB - When the environment on which the animals are raised is very diverse, selecting
the best sires for different environments may require the use of models that
account for genotype by environment interaction (G * E). The main objective of
this study was to evaluate the existence of G * E for yearling weight (YW) in
Nellore cattle using reaction norm models with only pedigree and pedigree
combined with genomic relationships. Additionally, genomic regions associated
with each environment gradient were identified. A total of 67,996 YW records were
used in reaction norm models to calculate EBV and genomic EBV. The method of
choice for genomic evaluations was single-step genomic BLUP (ssGBLUP).
Traditional and genomic models were tested on the ability to predict future
animal performance. Genetic parameters for YW were obtained with the average
information restricted maximum likelihood method, with and without adding genomic
information for 5,091 animals. Additive genetic variances explained by windows of
200 adjacent SNP were used to identify genomic regions associated with the
environmental gradient. Estimated variance components for the intercept and the
slope in traditional and genomic models were similar. In both models, the
observed changes in heritabilities and genetic correlations for YW across
environments indicate the occurrence of genotype by environment interactions.
Both traditional and genomic models were capable of identifying the genotype by
environment interaction; however, the inclusion of genomic information in
reaction norm models improved the ability to predict animals' future performance
by 7.9% on average. The proportion of genetic variance explained by the top SNP
window was 0.77% for the regression intercept (BTA5) and 0.82% for the slope
(BTA14). Single-step GBLUP seems to be a suitable model to predict genetic values
for YW in different production environments.
PMID- 29365165
TI - Microbial community and short-chain fatty acid profile in gastrointestinal tract
of goose.
AB - Goose is an economically important herbivore waterfowl supplying nutritious meat
and eggs, high-quality liver fat, and feathers. However, biogeograhpy of the gut
microbiome of goose remains limited. The aim of this study was to investigate the
microbiota inhabiting 7 different gastrointestinal locations (proventriculus,
gizzard, duodenum, jejunum, ileum, cecum, and rectum) of 180-day-old geese and
the short-chain fatty acids (SCFA) of their metabolites based on 16S rRNA gene
sequences and gas chromatography, respectively. Consequently, 3,886,340 sequences
were identified into 29 phyla and 359 genera. Proteobacteria, Firmicutes,
Bacteroidetes, Cyanobacteria, and Actinobacteria were the major phyla, in which
Bacteroidetes (28%) and Fusobacteria (0.8%) in the cecum were significantly
higher than those in other sections (~4.4 and 0.1%, respectively). In addition,
Cyanobacteria in the gizzard (4.9%) was significantly higher than those in other
gut sections except the proventriculus (2.4%). At the genus level, Bacteroides
was the most dominant group in the cecum at 23.7%, which was much more than those
in the 6 other sections (less than 4.6%). Moreover, Faecalibacterium and
Butyricicoccus were significantly high in the cecum (P < 0.05). Results of SCFA
showed that acetic and butyric acids in the cecum were significantly higher than
those in the 6 other sections (P < 0.05); this result was consistent with the
high abundance of Bacteroides, Faecalibacterium, Prevotella, and Butyricicoccus
in the cecum. Additionally, isobutyric, isovaleric, and valeric acids were found
only in the cecum. The different microbial compositions among the 7
gastrointestinal locations might be a cause and consequence of gut functional
differences. All these results could offer some information for future study of
the relationship between gastrointestinal microbiota and the ability of fiber
utilization and adaptability.
PMID- 29365167
TI - Refining the Trauma and Injury Severity Score (TRISS) to Measure the Performance
of the UK Combat Casualty Care System.
AB - Introduction: The Trauma and Injury Severity Score (TRISS) methodology is used in
both the UK and US Military trauma registries. The method relies on dividing
casualties according to mechanism, penetrating or blunt, and uses different
weighting coefficients accordingly. The UK Military Joint Theatre Trauma Registry
uses the original coefficients devised in 1987, whereas the US military registry
uses updated civilian coefficients, but it is not clear how either registry
analyzes explosive casualties according to the TRISS methodology. This study aims
to use the UK Military Joint Theatre Trauma Registry to calculate new TRISS
coefficients for contemporary battlefield casualties injured by either gunshot or
explosive mechanisms. The secondary aim of this study is to apply the revised
TRISS coefficients to examine the survival trends of UK casualties from recent
military conflicts. Materials and Methods: The Joint Theatre Trauma Registry was
searched for all UK casualties injured or killed in Iraq and Afghanistan by
explosive or gunshot mechanisms between January 1, 2003 and December 31, 2014.
Details of these casualties including injuries and vital signs were reviewed.
Logistic regression analysis was performed to devise new TRISS coefficients;
these were then used to examine survival over the 12 yr of the study. Results:
Comparing the predictions from the gunshot TRISS model to the observed outcomes,
it demonstrates a sensitivity of 98.1% and a specificity of 96.8% and an overall
accuracy of 97.8%. With respect to the explosive TRISS model, there is a
sensitivity of 98.6%, a specificity of 97.4%, and an overall accuracy of 98.4%.
When this updated and mechanism-specific TRISS methodology was used to measure
changes in survival over the study period, survival following these injuries
improved until 2012 when performance was maintained for the last 2 yr of the
study. Conclusion: This study for the first time refines the TRISS methodology
with coefficients appropriate for use within combat casualty care systems. This
improved methodology reveals that UK combat casualty care performance appears to
have improved until 2012 when this standard was maintained.
PMID- 29365166
TI - Toward Return to Duty Decision-Making After Military Mild Traumatic Brain Injury:
Preliminary Validation of the Charge of Quarters Duty Test.
AB - Introduction: Determining duty-readiness after mild traumatic brain injury (mTBI)
remains a priority of the United States Department of Defense as warfighters in
both deployed and non-deployed settings continue to sustain these injuries in
relatively large numbers. Warfighters with mTBI may experience unresolved
sensorimotor, emotional, cognitive sequelae including problems with executive
functions, a category of higher order cognitive processes that enable people to
regulate goal-directed behavior. Persistent mTBI sequelae interfere with
warfighters' proficiency in performing military duties and signal the need for
graded return to activity and possibly rehabilitative services. Although
significant strides have been carried out in recent years to enhance the
identification and management of mTBI in garrison (EXORD 165-13) and deployed
settings (EXORD 242-11; DoDI 6,490.11), the Department of Defense still lacks
reliable, valid, and clinically feasible functional assessments to help inform
duty-readiness decisions. Traditional functional assessments lack face validity
for warfighters and may have ceiling effects, especially as related to executive
functions. Performance-based multitasking assessments have been shown to be
sensitive to executive dysfunction after acquired brain injury but no
multitasking assessments have been validated in adults with mTBI. Existing
multitasking assessments are not ecologically valid relative to military
contexts. A multidisciplinary military-civilian team of researchers developed and
evaluated a performance-based assessment called the Assessment of Military
Multitasking Performance. One of the Assessment of Military Multitasking
Performance multitasks, the Charge of Quarters Duty Test (CQDT), was designed to
challenge the divided attention, foresight, and planning dimensions of executive
functions. Here, we report on the preliminary validation results of the CQDT.
Materials and Methods: The team conducted a measurement development study at Fort
Bragg, NC, enrolling 83 service members (33 with mTBI and 50 healthy controls).
Discriminant validity was evaluated by comparing differences in CQDT sub-scores
of warfighters with mTBI and healthy controls. Associations between CQDT sub
scores and neurocognitive measures known to be sensitive to mTBI were examined to
explore convergent validity. The study was approved by the Womack Army Medical
Center Institutional Review Board (Fort Bragg). Results: There were significant
between-group differences in two of the four CQDT sub-scores (number of visits, p
= 0.012; and performance accuracy, p = 0.020). Correlations between the CQDT sub
scores and some neurocognitive measures were statistically significant but weak,
ranging from 0.287 (CQDT performance accuracy and NAB Numbers and Letters, Part
D) to -0.421 (CQDT total number of visits and Automated Neuropsychological
Assessment Metrics Tower Task). There were group differences in terms of
participants' reading level, education, years in military, and stress symptoms;
some of these characteristics may have influenced CQDT performance. Conclusions:
The CQDT demonstrated initial evidence of discriminant validity. Further study is
warranted to more formally evaluate convergent/divergent validity and ultimately
how and whether this performance-based multitasking measure can inform readiness
to return to duty after mTBI.
PMID- 29365168
TI - Effects of the supplemental chromium form on performance and metabolic profile in
laying hens exposed to heat stress.
AB - This experiment was conducted to compare the effect of the supplemental chromium
(Cr) form on performance, egg quality, and metabolic profile in laying hens
exposed to heat stress (HS). Laying hens (n = 1800; 16-wk-old; Lohmann LSL-Lite)
were kept in cages in temperature-controlled rooms at either 22 +/- 2 degrees C
for 24 h/d (thermoneutral, TN) or 34 +/- 2 degrees C for 8 h/d, from 08:00 to
17:00 h, followed by 22 degrees C for 16 h (HS) for 12 wks. Hens reared under
both environmental conditions were fed 1 of 3 diets: a basal diet and the basal
diet supplemented with either 1.600 mg of chromium-picolinate (CrPic, 12.43% Cr)
or 0.788 mg of chromium-histidinate (CrHis, 25.22% Cr) per kg of diet, delivering
200 MUg elemental Cr per kg diet. Data were analyzed by 2-way ANOVA. Exposure to
HS caused decreases in feed intake (P < 0.0001), egg production (P < 0.0001), egg
weight (P < 0.0001), eggshell weight (P < 0.0009), eggshell thickness (P <
0.0001), eggshell strength (P < 0.0001), and Haugh unit (P < 0.0001),
deterioration in feed conversion ratio (P < 0.0001), increases in serum glucose
and cholesterol concentrations (P < 0.0001 for both), decreases in serum and egg
yolk Cr concentrations (P < 0.0001 for both), and decreases in serum Na (P <
0.002) and K (P < 0.01) concentrations. Both Cr sources were equally effective in
alleviating performance variables under the HS condition. However, neither Cr
sources alleviated deteriorations in egg quality parameters and serum
electrolytes. Both Cr sources decreased serum glucose and cholesterol
concentrations and increased serum and egg yolk Cr concentrations under the HS
condition. In conclusion, HS adversely affected laying performance, egg quality,
and metabolic profile. Both CrPic and CrHis partially alleviated the adverse
effect of HS on these parameters. Inclusion of either Cr source could be a part
of nutritional management strategies to overcome the adverse effects of HS
performance and metabolic profile in laying hens.
PMID- 29365170
TI - Origin of Pest Lineages of the Colorado Potato Beetle (Coleoptera:
Chrysomelidae).
AB - Colorado potato beetle (Leptinotarsa decemlineata Say [Coleoptera:
Chrysomelidae]) is a pest of potato throughout the Northern Hemisphere, but
little is known about the beetle's origins as a pest. We sampled the beetle from
uncultivated Solanum host plants in Mexico, and from pest and non-pest
populations in the United States and used mitochondrial DNA and nuclear loci to
examine three hypotheses on the origin of the pest lineages: 1) the pest beetles
originated from Mexican populations, 2) they descended from hybridization between
previously divergent populations, or 3) they descended from populations that are
native to the Plains states in the United States. Mitochondrial haplotypes of non
pest populations from Mexico and Arizona differed substantially from beetles
collected from the southern plains and potato fields in the United States,
indicating that beetles from Mexico and Arizona did not contribute to founding
the pest lineages. Similar results were observed for AFLP and microsatellite data
. In contrast, non-pest populations from the states of Colorado, Kansas,
Nebraska, New Mexico, and Texas were genetically similar to U.S. pest
populations, indicating that they contributed to the founding of the pest
lineages. Most of the pest populations do not show a significant reduction in
genetic diversity compared to the plains populations in the United States. We
conclude that genetically heterogeneous beetle populations expanded onto potato
from native Solanum hosts. This mode of host range expansion may have contributed
to the abundant genetic diversity of contemporary populations, perhaps
contributing to the rapid evolution of climate tolerance, host range, and
insecticide resistance.
PMID- 29365169
TI - Non-B-Form DNA Is Enriched at Centromeres.
AB - Animal and plant centromeres are embedded in repetitive "satellite" DNA, but are
thought to be epigenetically specified. To define genetic characteristics of
centromeres, we surveyed satellite DNA from diverse eukaryotes and identified
variation in <10-bp dyad symmetries predicted to adopt non-B-form conformations.
Organisms lacking centromeric dyad symmetries had binding sites for sequence
specific DNA-binding proteins with DNA-bending activity. For example, human and
mouse centromeres are depleted for dyad symmetries, but are enriched for non-B
form DNA and are associated with binding sites for the conserved DNA-binding
protein CENP-B, which is required for artificial centromere function but is
paradoxically nonessential. We also detected dyad symmetries and predicted non-B
form DNA structures at neocentromeres, which form at ectopic loci. We propose
that centromeres form at non-B-form DNA because of dyad symmetries or are
strengthened by sequence-specific DNA binding proteins. This may resolve the CENP
B paradox and provide a general basis for centromere specification.
PMID- 29365171
TI - Epigenomics in 3D: importance of long-range spreading and specific interactions
in epigenomic maintenance.
AB - Recent progresses of genome-wide chromatin conformation capture techniques have
shown that the genome is segmented into hierarchically organized spatial
compartments. However, whether this non-random 3D organization only reflects or
indeed contributes-and how-to the regulation of genome function remain to be
elucidated. The observation in many species that 3D domains correlate strongly
with the 1D epigenomic information along the genome suggests a dynamic coupling
between chromatin organization and epigenetic regulation. Here, we posit that
chromosome folding may contribute to the maintenance of a robust epigenomic
identity via the formation of spatial compartments like topologically-associating
domains. Using a novel theoretical framework, the living chromatin model, we show
that 3D compartmentalization leads to the spatial colocalization of epigenome
regulators, thus increasing their local concentration and enhancing their ability
to spread an epigenomic signal at long-range. Interestingly, we find that the
presence of 1D insulator elements, like CTCF, may contribute greatly to the
stable maintenance of adjacent antagonistic epigenomic domains. We discuss the
generic implications of our findings in the light of various biological contexts
from yeast to human. Our approach provides a modular framework to improve our
understanding and to investigate in details the coupling between the structure
and function of chromatin.
PMID- 29365173
TI - Transgenerationally Precipitated Meiotic Chromosome Instability Fuels Rapid
Karyotypic Evolution and Phenotypic Diversity in an Artificially Constructed
Allotetraploid Wheat (AADD).
AB - Although a distinct karyotype with defined chromosome number and structure
characterizes each biological species, it is intrinsically labile. Polyploidy or
whole-genome duplication has played a pervasive and ongoing role in the evolution
of all eukaryotes, and is the most dramatic force known to cause rapid karyotypic
reconfiguration, especially at the initial stage. However, issues concerning
transgenerational propagation of karyotypic heterogeneity and its translation to
phenotypic diversity in nascent allopolyploidy, at the population level, have yet
to be studied in detail. Here, we report a large-scale examination of
transgenerationally propagated karyotypic heterogeneity and its phenotypic
manifestation in an artificially constructed allotetraploid with a genome
composition of AADD, that is, involving two of the three progenitor genomes of
polyploid wheat. Specifically, we show that 1) massive organismal karyotypic
heterogeneity is precipitated after 12 consecutive generations of selfing from a
single euploid founder individual, 2) there exist dramatic differences in
aptitudes between subgenomes and among chromosomes for whole-chromosome gain
and/or loss and structural variations, 3) majority of the numerical and
structural chromosomal variations are concurrent due to mutual contingency and
possible functional constraint, 4) purposed and continuous selection and
propagation for euploidy over generations did not result in enhanced karyotype
stabilization, and 5) extent of karyotypic variation correlates with variability
of phenotypic manifestation. Together, our results document that
allopolyploidization catalyzes rampant and transgenerationally heritable
organismal karyotypic heterogeneity that drives population-level phenotypic
diversification, which lends fresh empirical support to the still contentious
notion that whole-genome duplication enhances organismal evolvability.
PMID- 29365172
TI - Rice DWARF14 acts as an unconventional hormone receptor for strigolactone.
AB - Strigolactones (SLs) act as an important class of phytohormones to regulate plant
shoot branching, and also serve as rhizosphere signals to mediate interactions of
host plants with soil microbes and parasitic weeds. SL receptors in dicots, such
as DWARF14 in Arabidopsis (AtD14), RMS3 in pea, and ShHTL7 in Striga, serve as
unconventional receptors that hydrolyze SLs into a D-ring-derived intermediate
CLIM and irreversibly bind CLIM to trigger SL signal transduction. Here, we show
that D14 from the monocot rice can complement Arabidopsis d14 mutant and interact
with the SL signaling components in Arabidopsis. Our results further reveal that
rice D14, similar to SL receptors in dicots, also serves as an unconventional
hormone receptor that generates and irreversibly binds the active form of SLs.
These findings uncover the conserved functions of D14 proteins in monocots and
dicots.
PMID- 29365174
TI - Breast Cancer Treatment and Survival Among Department of Defense Beneficiaries:
An Analysis by Benefit Type and Care Source.
AB - Background: Use of treatment for breast cancer is dependent on the patient's
cancer characteristics and willingness to undergo treatment and provider
treatment recommendations. Receipt of breast cancer treatment varies by insurance
status and type. It is not clear whether different benefit types and care sources
differ in breast cancer treatment and outcomes among Department of Defense
beneficiaries. Methods: The objectives of this study are to assess whether
receipt of breast cancer treatment varied by benefit type (TRICARE Prime vs non
Prime) or care source (direct care, purchased care, and both) and to examine
whether survival and recurrence differed by benefit type and/or care source among
female Department of Defense beneficiaries with the disease. Study subjects were
women aged 40-64 yr, diagnosed with malignant breast cancer between 2003 and
2007. Multivariable logistic regression analyses were conducted to assess the
likelihood of receiving treatment by benefit type or care source. Multivariable
Cox proportional hazard models were used to investigate differences in survival
and recurrence by benefit type or care source. Findings: A total of 2,668 women
were included in this study. Those with Prime were more likely to have
chemotherapy, radiation, hormone therapy, breast-conserving surgery, surveillance
mammography, and recurrence than women with non-Prime. Survival was high, with
94.86% of those with Prime and 92.58% with non-Prime alive at the end of the
study period. Women aged 50-59 yr with non-Prime benefit type had better survival
than women with Prime of the same age. No survival differences were seen by care
source. In regard to recurrence, women aged 60-64 yr with TRICARE Prime were more
likely to have recurrent breast cancer than women with non-Prime. Additionally,
women aged 50-59 yr who used purchased care were less likely to have a recurrence
than women who used direct care only. Discussion/Impact/Recommendations: To our
knowledge, this is the first study to examine breast cancer treatment and
survival by care source and benefit type in the Military Health System. In this
equal access health care system, no differences in treatment, except mastectomy,
by benefit type, were observed. There were no overall differences in survival,
although patients with non-Prime tended to have better survival in the age group
of 50-59 yr. In regard to care source, women who utilized mostly purchased care
or utilized both direct and purchased care were more likely to receive certain
types of treatment, such as chemotherapy and radiation, as compared with women
who used direct care only. However, survival did not differ between different
care sources. Future research is warranted to further investigate variations in
breast cancer treatment and its survival gains by benefit type and care source
among Department of Defense beneficiaries.
PMID- 29365175
TI - Variation in Inspection Efficacy by Member States of Wood Packaging Material
Entering the European Union.
AB - The use of wood packaging materials (WPMs) in international trade is recognized
as a pathway for the movement of invasive pests and as the origin of most
introductions of Asian longhorned beetle, Anoplophora glabripennis (Motschulsky)
(Coleoptera: Cerambycidae) in Europe and North America. Following several pest
interceptions on WPM associated with stone imports from China, the European Union
(EU) agreed to survey certain categories of imports based on the EU Combined
Nomenclature Codes for imports, which are based on the international Harmonized
System. Between April 2013 and March 2015, 72,263 relevant consignments were
received from China in the EU and 26,008 were inspected. Harmful organisms were
detected in 0.9% of the consignments, and 1.1% of the imports did not have
markings compliant with the international standard for treating WPM, ISPM 15.
There were significant differences between the detection rates of harmful
organisms among EU member states. In member states that inspected at least 500
consignments, the rate of detection ranged from 6.9% in Austria and France to
0.0% in Spain and Poland. If this difference in detection rate is the result of
differences in the methods and intensity of inspection in different member states
then an approximate sevenfold increase in the interception of harmful organisms
may be achieved if all states were to achieve detection rates achieved by Austria
and France. The EU data from 1999 to 2014 indicated an increasing number of
interceptions of Bostrichidae and Cerambycidae since 2010. This study
demonstrates that there is an ongoing threat of non-native forest pests being
imported on WPM.
PMID- 29365176
TI - An Algal Diet Accelerates Larval Growth of Anopheles gambiae (Diptera: Culicidae)
and Anopheles arabiensis (Diptera: Culicidae).
AB - The population sizes of Anopheles gambiae Giles (Diptera: Culicidae) and
Anopheles arabiensis Patton (Diptera: Culicidae) increase dramatically with the
onset of the rainy season in sub-Saharan Africa, but the ecological mechanisms
underlying the increases are not well understood. As a first step toward to
understand, we investigated the proliferation of algae, the major food of
mosquito larvae, in artificial fresh water bodies exposed to sunlight for a short
period, and old water bodies exposed to sunlight for a long period, and the
effects thereof on the development of these anopheline larvae. We found that an
epizoic green algal species of the genus Rhopalosolen (Chlorophyta:
Chlorophyceae) proliferated immediately after water freshly taken from a spring
was placed in sunlight. This alga proliferated only briefly (for ~10 d) even if
the water was repeatedly exposed to sunlight. However, various algal species were
observed in water that remained under sunlight for 40 d or longer (i.e., in old
water bodies). The growth performance of larvae was higher in sunlight-exposed
(alga-rich) water than in shade-stored (alga-poor) water. Stable isotope analysis
suggested that these two anopheline species fed on Rhopalosolen algae in fresh
water bodies but hardly at all on other algae occurring in the old water bodies.
We concluded that freshly formed ground water pools facilitate high production of
anopheline species because of the proliferation of Rhopalosolen algae therein,
and the increase in the number of such pools in the rainy season, followed by
rapid increases in A. gambiae and A. arabiensis numbers.
PMID- 29365177
TI - Corrigendum to: Sleep deprivation affects fear memory consolidation: bi-stable
amygdala connectivity with insula and ventromedial prefrontal cortex.
PMID- 29365178
TI - Dietary Supplements: Regulatory Challenges and Issues in the Department of
Defense.
PMID- 29365179
TI - Collapse in the Heat - From Overhydration to the Emergency Room - Three Cases of
Exercise-Associated Hyponatremia Associated with Exertional Heat Illness.
AB - Exertional heat illness and exercise-associated hyponatremia continue to be a
problem in military and recreational events. Symptoms of hyponatremia can be
mistaken for heat exhaustion or heat stroke. We describe three cases of
symptomatic hyponatremia initially contributed to heat illnesses. The first
soldier was a 31-yr-old female who "took a knee" at mile 6 of a 12-mile foot
march. She had a core temperature of 100.9 degrees F, a serum sodium level of 129
mmol/L, and drank approximately 4.5 quarts of water in 2 h. The second case was a
27-yr-old female soldier who collapsed at mile 11 of a 12-mile march. Her core
temperature was 102.9 degrees F and sodium level was 131 mmol/L. She drank 5
quarts in 2.5 h. The third soldier was a 27-yr-old male who developed nausea and
vomiting while conducting an outdoor training event. His core temperature was
98.7 degrees F and sodium level was 125 mmol/L. He drank 6 quarts in 2 h to
combat symptoms of heat. All the three cases developed symptomatic hyponatremia
by overconsumption of fluids during events lasting less than 3 h. Obtaining point
of-care serum sodium may improve recognition of hyponatremia and guide management
for the patient with suspected heat illness and hyponatremia. Depending on
severity of symptoms, exercise-associated hyponatremia can be managed by fluid
restriction, oral hypertonic broth, or with intravenous 3% saline. Utilizing an
ad libitum approach or limiting fluid availability during field or recreational
events of up to 3 h may prevent symptomatic hyponatremia while limiting
significant dehydration.
PMID- 29365180
TI - Characteristics of the antimicrobial resistance of Staphylococcus aureus isolated
from chicken meat produced by different integrated broiler operations in Korea.
AB - Vertical integration of the broiler industry allows producers to combine
different biosecurity and sanitation practices, housing technologies, and feeding
regimens to improve food safety. The purpose of this study was to investigate the
prevalence of Staphylococcus aureus (S. aureus) and to characterize the
antimicrobial-resistant isolates recovered from 7 different integrated broiler
operation systems in Korea. Among 200 chicken meat samples, 94 were observed to
be positive for S. aureus. However, the prevalence varied from 25.0 to 58.3% in
chicken meats, indicating variation in S. aureus occurrence among the operations.
Four methicillin-resistant S. aureus isolates (MRSA) were recovered from 3
different operations. A high proportion of the S. aureus isolates were resistant
to penicillins (51.2%), tetracycline (38.8%), and ciprofloxacin (CIP; 33.9%).
Especially, 3 different operations showed a high number of CIP resistance
(45.5~100%) and multidrug resistance (50.0~100%). Among 41 CIP-resistant S.
aureus isolates, 75.6% showed a double amino-acid exchange of both gyrA and parC,
with CIP minimum inhibitory concentrations (MIC) of >=32 MUg/mL. Four MRSA
isolates showed resistance to 5 or 7 classes of antimicrobial agents, exhibiting
oxacillin, CIP, and enrofloxacin MIC ranges of 16 to 128, 32 to 64, and 8 to 128
MUg/mL, respectively, and had double mutations of S84L/S80F in gyrA/parC. Our
findings suggest that S. aureus with resistance to important antimicrobial
compounds can now be found in association with integrated broiler operations,
providing the data to support the development of a monitoring and prevention
program in integrated operations.
PMID- 29365181
TI - Comparison of Body Composition Metrics for United States Air Force Airmen.
AB - Background: The United States Air Force currently uses AFI 36-2905 for
cardiovascular fitness standards and evaluation. Regarding its fitness test, the
Air Force considers waist circumference (WC) twice as important as push-ups or
sit-ups. Because of this weighting, one assumes that the Air Force considers WC
relatively correlated with overall fitness or at least cardiovascular fitness. To
our knowledge, the Air Force has not considered on a large scale how body mass
index (BMI), height-to-weight ratio (H-W), or waist-to-height ratio (WHtR)
compares with WC with respect to its fitness test. Methods: Using a 5.38 million
record database from the Air Force Fitness Management System, we evaluated how
WC, BMI, WHtR, and H-W correlate with fitness as assessed by the 1.5-mile run in
addition to total fitness, which incorporates the 1.5-mile run time, number of
push-ups and sit-ups. As this previously collected data were anonymous to us,
this study fell under the definition of exempt status and approved by the
institutional review board overseeing Joint Base San Antonio. For each waist
metric, we performed a simple ordinary least squares regression to ascertain the
correlation between that particular metric and either run time or total fitness;
when incorporating more than one explanatory variable or covariate (to control
for age and/or sex), we performed multiple ordinary least squares regressions.
Due to the large database size and to mitigate against a type I error, we used an
alpha of 0.001 for all statistical hypothesis tests. Findings: Approximately 18%
of the 5.38 million records belonged to women. With respect to sex differences,
males appeared noticeably faster and performed more push-ups on average than
females. The number of sit-ups completed was more comparable, with males having a
slight advantage. Males also appeared to have larger WC, BMI, H-W, and WHtR
measurements. We compared the ordinary least squares results between WC, H-W,
WHtR, and BMI and ranked them by R2. Models varied in R2 from 1% to 46% depending
on the covariates in the model, with sex having a greater effect than age.
Whether individually or adjusting for age and sex, WHtR performed better than the
other body composition variables with an average rank score of 1.1 and a median
improvement of approximately 4% to the current Air Force metric of WC.
Discussion: From our findings, we present a 20-point WHtR scoring system for the
Air Force to use in lieu of its traditional usage of WC. We used this assessment
chart to score all Airmen in our database and compared the results to their
current scores on the abdominal circumference portion of the test with respect to
predicting run time, after accounting for sex, age, and number of push-ups and
sit-ups. The R2 value improved from 40.3 to 43.6, a relative improvement of
approximately 8%, a fairly significant effect given the database consisted of
over 5 million records. Future studies should investigate the longitudinal effect
of varying waist metrics over time on run time or total fitness performance.
PMID- 29365182
TI - pepKalc: scalable and comprehensive calculation of electrostatic interactions in
random coil polypeptides.
AB - Motivation: Polypeptide sequence length is the single dominant factor hampering
the effectiveness of currently available software tools for de novo calculation
of amino acid-specific protonation constants in disordered polypeptides. Results:
We have developed pepKalc, a robust simulation software for the comprehensive
evaluation of protein electrostatics in unfolded states. Our software completely
removes the limitations of the previously reported Monte-Carlo approaches in the
computation of protein electrostatics by using a hybrid approach that effectively
combines exact and mean-field calculations to rapidly obtain accurate results.
Paired with a modern architecture GPU, pepKalc is capable of evaluating
protonation behavior for an arbitrary-size polypeptide in a sub-second time
regime. Availability and implementation: http://protein-nmr.org and
https://github.com/PeptoneInc/pepkalc.
PMID- 29365183
TI - Drug immunogenicity in patients with inflammatory arthritis and secondary failure
to tumour necrosis factor inhibitor therapies: the REASON study.
AB - Objectives: The aims were to evaluate the prevalence of anti-drug antibodies
(ADA) in patients with RA or SpA experiencing secondary failure to anti-TNF
therapy and to correlate ADA presence with anti-TNF concentration and clinical
response. Methods: This was a cross-sectional, observational study of patients
with active RA or SpA experiencing secondary failure to etanercept (ETN),
infliximab (INF) or adalimumab (ADL). Concomitant non-biologic DMARDs were
permitted. Serum anti-TNF and ADA levels were measured with two-site ELISA.
Results: Among 570 evaluable patients, those with RA (n = 276) were mostly female
(80 vs 39%), older (56 vs 48 years), received concomitant DMARDs (83 vs 47%) and
had maintained good clinical disease control for longer (202 vs 170 weeks)
compared with patients with SpA (n = 294). ADA were found in 114/570 (20.0%)
patients; 51/188 (27.1%) against INF and 63/217 (29.0%) against ADL; none against
ETN. Of these 114 patients, 92 (81%) had no detectable serum drug concentrations.
Proportionately more patients with SpA (31.3%) had anti-INF antibodies than those
with RA (21.1%; P = 0.014). A significantly lower proportion of patients
receiving concomitant DMARDs (16.5%) developed ADA than those on monotherapy
(26.4%; P < 0.05). Conclusion: In patients with RA or SpA and secondary failure,
the development of ADA against ADL or INF, but not ETN, appears to be one of the
main reasons for secondary treatment failure, but not the only one. Further
investigations are needed to determine other causes of anti-TNF failure.
PMID- 29365184
TI - The unique epigenetic features of Pack-MULEs and their impact on chromosomal base
composition and expression spectrum.
AB - Acquisition and rearrangement of host genes by transposable elements (TEs) is an
important mechanism to increase gene diversity as exemplified by the ~3000 Pack
Mutator-like TEs in the rice genome which have acquired gene sequences (Pack
MULEs), yet remain enigmatic. To identify signatures of functioning Pack-MULEs
and Pack-MULE evolution, we generated transcriptome, translatome, and epigenome
datasets and compared Pack-MULEs to genes and other TE families. Approximately
40% of Pack-MULEs were transcribed with 9% having translation evidence, clearly
distinguishing them from other TEs. Pack-MULEs exhibited a unique expression
profile associated with specificity in reproductive tissues that may be
associated with seed traits. Expressed Pack-MULEs resemble regular protein-coding
genes as exhibited by a low level of DNA methylation, association with active
histone marks and DNase I hypersensitive sites, and an absence of repressive
histone marks, suggesting that a substantial fraction of Pack-MULEs are
potentially functional in vivo. Interestingly, the expression capacity of Pack
MULEs is independent of the local genomic environment, and the insertion and
expression of Pack-MULEs may have altered the local chromosomal expression
pattern as well as counteracted the impact of recombination on chromosomal base
composition, which has profound consequences on the evolution of chromosome
structure.
PMID- 29365185
TI - Antibody-based targeted delivery of interleukin-4 synergizes with dexamethasone
for the reduction of inflammation in arthritis.
AB - Objectives: We have previously reported that F8-IL4, a fusion protein consisting
of the F8 antibody specific to the alternatively-spliced extra domain A of
fibronectin and of murine IL-4, cures mice with established arthritis, when used
in combination with dexamethasone (DXM). The goal of this study was to assess
whether other therapeutic agents, besides DXM, could induce cures in combination
with F8-IL4 and to elucidate which leucocytes are most affected by the
pharmacological treatment. Methods: We performed therapy experiments in mice with
CIA, using intravenous administrations of F8-IL4 in combination with DXM, MTX,
murine cytotoxic T-lymphocyte-associated protein 4 fused to the fragment
crystallizable portion of murine IgG2a, as well as mAbs to murine IL17A or the
p40 subunit of murine IL12/IL23. Histology and immunohistochemistry for the
identification of the various leucocytes were performed on the paws of mice
euthanized at different therapy time points. Results: Only the use of F8-IL4 in
combination with DXM induced complete remissions, while all other combinations
did not lead to cures. The light microscopical evaluation of paws with arthritis
revealed a predominant infiltration of neutrophils, which substantially decreased
24 h after treatment with F8-IL4 and DXM. Conclusion: The combination of F8-IL4
with DXM promotes a rapid anti-arthritic action by potently inhibiting neutrophil
activity. A fully human analogue of F8-IL4 may find clinical utility for the
treatment of neutrophil-driven chronic inflammatory conditions.
PMID- 29365186
TI - Dietary manganese supplementation affects mammillary knobs of eggshell
ultrastructure in laying hens.
AB - This study evaluated the mechanism by which dietary manganese (Mn)
supplementation-in either an organic or inorganic form-affects mammillary knobs
of the eggshell ultrastructure in laying hens. A total of 225 54-week-old Hy-Line
Brown laying hens were fed a basal diet containing 27.5 mg Mn/kg feed for 2 wk,
after which they were randomly allocated into 3 groups and fed a basal diet
(control) or a basal diet supplemented with 120 mg Mn/kg feed from monohydrate Mn
sulfate (an inorganic source of Mn) or with 80 mg Mn/kg feed from an amino acid
Mn complex (an organic source of Mn) for 10 wk. For each group, 5 replicates of
15 hens each were used with 1 hen per cage. Compared with the control, dietary Mn
supplementation increased the mammillary-knob density of eggs at 9.5 h post
oviposition (P < 0.05). The Mn content in both blood and eggshell gland was
increased with the supplementation of Mn in inorganic and organic forms (P <
0.05), but the blood Mn content was higher after inorganic-Mn supplementation as
compared with organic-Mn supplementation (P < 0.05). RNA sequencing and
quantitative real-time PCR analysis of the eggshell gland showed that dietary Mn
supplementation increased the expression of genes encoding some proteoglycans,
glycoproteins, and calcium-binding proteins in the eggshell gland (P < 0.05), and
involved in the process of the protein glycosylation and glycan metabolism in the
eggshell gland (P < 0.05). Overall, dietary Mn supplementation can involve in the
process of protein glycosylation and glycan metabolism and improve the expression
of genes encoding proteoglycans and glycoproteins in the eggshell gland, thus
increasing the mammillary-knob density during the initial deposition stage of
shell formation.
PMID- 29365187
TI - Effectiveness of clinical practice change strategies in improving dietitian care
for head and neck cancer patients according to evidence-based clinical
guidelines: a stepped-wedge, randomized controlled trial.
AB - Best practice guidelines make a number of recommendations regarding dietitian
management of head and neck cancer (HNC) patients. Randomized trials assessing
the effectiveness of clinical practice change strategies for improving the
nutritional management of HNC patients have not previously been conducted. The
purpose of this study was to evaluate the effect of practice change strategies on
improving the implementation of best practice guideline recommendations for the
nutritional management of HNC patients. Four Australian radiotherapy departments
participated in a stepped-wedge, randomized controlled trial. Baseline data were
collected across all sites simultaneously, and the intervention was then
introduced to each site sequentially, in a randomly determined order. During the
intervention phase, sites received a range of supportive clinical practice change
strategies to facilitate dietitian adherence to clinical practice guidelines. To
assess the associated practice change by dietetic staff, we evaluated the change
in implementation of six guideline recommendations for dietitians from
preintervention to postintervention periods. Adherence to the clinical practice
guidelines during the preintervention period was generally very low. The clinical
practice change strategies significantly improved the odds of provision of four
of the six guideline recommendations. The study found the intervention
significantly enhanced dietitian provision of recommended care for HNC patients
during the postintervention period. This finding holds clinical importance for
clinician and health service effective implementation of guideline
recommendations as well as HNC patient treatment outcomes. Trial registration
number ACTRN12613000320752, https://www.anzctr.org.au.
PMID- 29365188
TI - Overuse Injuries in the IDF's Combat Training Units: Rates, Types, and Mechanisms
of Injury.
AB - Introduction: Overuse injuries are responsible for most lost training days and
attrition from combat training in the Israeli Defense Forces (IDF) as in armies
around the world. The purpose of this study is to understand the rates, types,
and mechanism of occurrence of overuse injuries in the IDF in order to provide
the IDF's commanders a detailed updated situation report in order to enable
commanders decision-making, prevention policy, and further research of this
highly significant military public health issue. Methods: A cross-sectional study
including 20,000 soldiers recruited to combat units during the year of 2013 was
performed. Most of the data were collected from the IDF's computerized medical
consultation records package. Descriptive statistics (percent, mean, standard
deviation, and median) were used in order to express results. The study was
approved by the IDF's institutional review board. Results: The overall injury
rate was 24.5%. The total number of injuries was 6,393 with an average of 1.32 +/
0.22 injuries per injured soldier. The injury rate was 18.4% in the infantry
units and 36.1% in non-infantry units. Of all injuries, 87% occurred in the lower
back and lower limb regions. The most frequent injury sites were the calf and
ankle (34%), the knee region (22%), and the lower back (19%). Of all injuries,
74% occurred during running (45%) or long-distance walking (29%). The average
lost training days due to injuries was 9 d per soldier and 6.5 d per injury. The
total number of stress fractures was 494-2.5% of all soldiers (four fractures per
100 person years). The calf and ankle region was the most frequent site of stress
fractures and accounted for 84% of all stress fractures, the vast majority of
them (95%) were fractures of the distal tibia. The average number of lost
training days due to stress fractures was 16 +/- 6.1 per fracture. Conclusions:
As in other armies around the world, overuse injuries in the IDF are a major
public health problem and poses a significant challenge to the IDF's commanders
and the medical corps policy leaders. Further studies should be performed in
order to identify the risk factors for these injuries especially in the lower
back and the lower limb regions as part of the effort to try and reduce the rates
of these injuries as much as possible. This study emphasizes the need for a
continuous surveillance and monitoring system for overuse injuries as a
significant and integral component of any intervention plan in the domain of
overuse injuries.
PMID- 29365189
TI - Picking up the Pieces.
PMID- 29365190
TI - Bone disease in nephropathic cystinosis is related to cystinosin-induced
osteoclastic dysfunction.
AB - Background: Bone impairment is a poorly described complication of nephropathic
cystinosis (NC). The objectives of this study were to evaluate in vitro effects
of cystinosin (CTNS) mutations on bone resorption and of cysteamine treatment on
bone cells [namely human osteoclasts (OCs) and murine osteoblasts]. Methods:
Human OCs were differentiated from peripheral blood mononuclear cells (PBMCs) of
patients and healthy donors (HDs). Cells were treated with increasing doses of
cysteamine in PBMCs or on mature OCs to evaluate its impact on differentiation
and resorption, respectively. Similarly, cysteamine-treated osteoblasts derived
from murine mesenchymal stem cells were assessed for differentiation and activity
with toxicity and proliferation assays. Results: CTNS was expressed in human OCs
derived from HDs; its expression was regulated during monocyte colony-stimulating
factor- and receptor activator of nuclear factor-kappaB-dependent
osteoclastogenesis and required for efficient bone resorption. Cysteamine had no
impact on osteoclastogenesis but inhibited in vitro HD osteoclastic resorption;
however, NC OC-mediated bone resorption was impaired only at high doses. Only low
concentrations of cysteamine (50 MUM) stimulated osteoblastic differentiation and
maturation, while this effect was no longer observed at higher concentrations
(200 uM). Conclusion: CTNS is required for proper osteoclastic activity. In vitro
low doses of cysteamine have beneficial antiresorptive effects on healthy human
derived OCs and may partly correct the CTNS-induced osteoclastic dysfunction in
patients with NC. Moreover, in vitro low doses of cysteamine also stimulate
osteoblastic differentiation and mineralization, with an inhibitory effect at
higher doses, likely explaining, at least partly, the bone toxicity observed in
patients receiving high doses of cysteamine.
PMID- 29365191
TI - Vape and Aviate: Electronic-Cigarette Use and Misuse in Naval Aviation.
AB - Introduction: Electronic cigarettes (EC) are an emerging form of nicotine
replacement that has had a discernible increase in prevalence in the general
population. Little is known regarding EC use among different military demographic
groups or the extent of influence that social determinants of health may have on
the behavior. The purpose of this study was to assess the prevalence and
correlates of EC use in a select population of active duty U.S. Naval personnel.
This study is unique in that it allows for EC behavior comparison between a
traditionally healthy demographic (aviators, a subset of aircrew) and a
representative general military population sample. Materials and methods: Cross
sectional survey data were collected anonymously and analyzed in 2015-2016.
Active duty Naval personnel (n = 977) were asked about ever trying ECs, frequency
of use, and when was the last time an EC was used. Participants were assessed
similarly regarding cigarette use. Descriptive and inferential statistics as well
as multinomial logistic regression analyses were conducted using categorical and
ordinal variables assigned to usage and demographic factors. This protocol was
approved by the Institutional Review Board at Naval Hospital Portsmouth located
in Portsmouth, Virginia. Results: Within the study population, 31.4% have tried
ECs, 9.3% were current users, and only 3.8% were dual (EC and cigarettes) users.
EC use was significantly associated with paygrade (enlisted), primary job duty
(non-aircrew), and education (less than a bachelor degree, p < 0.001, p < 0.01,
and p < 0.001, respectively). ECs were used at some point as a smoking cessation
tool for 43.6% of current EC users (p < 0.001), 21.6% of current smokers, and
only 5.6% of former smokers. Continued EC use after ever trying ECs (30.0%) was
only slightly more prevalent as continued cigarette use after ever trying
cigarettes (27.3%). Smokers were nearly five times more likely to currently use
ECs compared with non-smokers. Trying cigarettes was associated with nearly 12
times the risk for trying ECs compared with those who never tried cigarettes. No
significant misuse of ECs was noted; however, several cases of drinking, tasting,
and touching EC fluid were reported. Conclusion: This study is unique in that it
examines the use of electronic cigarettes among selected healthy and baseline
groups within the active duty U.S. Navy population. The current prevalence of EC
use among active duty personnel is much higher than previously thought. Continued
use of ECs after ever trying them appears to exceed that of cigarettes even among
healthy populations such as aircrew. The findings of increased risk of EC use
among those with lower income and less than a bachelor's degree suggest social
determinants of health implications. This study sheds new light on EC use,
characteristics of use, addiction implications, and highlights concerns for a
growing health risk behavior.
PMID- 29365192
TI - Stability of New Erythrocyte and Reticulocyte Parameters in Testing for Anemia on
the Sysmex XN 9000.
AB - Background: New erythrocyte and reticulocyte parameters provide improved
classification of anemia and monitoring of erythropoeitic activity. Parameters
available on the Sysmex XN analyzers include the percentage of microcytic red
blood cells (%Micro-R), percentage of macrocytic red blood cells (%Macro-R),
percentage of hypochromic red blood cells (%Hypo-He), percentage of hyperchromic
red blood cells (%Hyper-He), reticulocyte hemoglobin content (Ret-He), and
immature reticulocyte fraction (IRF). However, use of these parameters is limited
by specimen stability. Methods: To verify the stability of the new erythrocyte
and reticulocyte parameters during prolonged storage, 20 blood specimens were
analyzed on the Sysmex XN-9000 hematology analyzer. The specimens included
healthy patients (60%) and patients with anemia (40%). The specimens were stored
at room temperature (RT) and at 4 degrees to 8 degrees C. Analysis was performed
after 12, 24, 48, and 72 hours of storage. Results: Reticulocyte parameters IRF
and Ret-He were precise and stable for at least 72 hours after collection when
stored at RT and 4 degrees to 8 degrees C. The volume-dependent parameters,
%Macro-R and %Micro-R, were stable for less than 12 hours after collection at RT
(mean [SD%], 6.55 [3.19%] and -20.70 [10.37%], respectively). Storage at 4
degrees to 8 degrees C showed a reduction in osmotic swelling. However, %Macro-R
and %Micro-R were stable for less than 12 hours after collection (mean [SD%],
4.89 [2.02%] and -17.17 [8.38%], respectively). Similarly, %Hypo-He showed a mean
(SD%) increase of 0.73 (4.05%) and %Hyper-He showed a decrease of -0.70 (9.72%)
at less than 12 hours after storage at 4 degrees to 8 degrees C. Conclusion: New
reticulocyte parameters stored at RT and 4 degrees to 8 degrees C are suitable
for testing on the Sysmex XN analyzer.
PMID- 29365193
TI - Prognostic value of coronary computed tomographic angiography findings in
asymptomatic individuals: a 6-year follow-up from the prospective multicentre
international CONFIRM study.
AB - Aim: The long-term prognostic benefit of coronary computed tomographic
angiography (CCTA) findings of coronary artery disease (CAD) in asymptomatic
populations is unknown. Methods and results: From the prospective multicentre
international CONFIRM long-term study, we evaluated asymptomatic subjects without
known CAD who underwent both coronary artery calcium scoring (CACS) and CCTA (n =
1226). Coronary computed tomographic angiography findings included the severity
of coronary artery stenosis, plaque composition, and coronary segment location.
Using the C-statistic and likelihood ratio tests, we evaluated the incremental
prognostic utility of CCTA findings over a base model that included a panel of
traditional risk factors (RFs) as well as CACS to predict long-term all-cause
mortality. During a mean follow-up of 5.9 +/- 1.2 years, 78 deaths occurred.
Compared with the traditional RF alone (C-statistic 0.64), CCTA findings
including coronary stenosis severity, plaque composition, and coronary segment
location demonstrated improved incremental prognostic utility beyond traditional
RF alone (C-statistics range 0.71-0.73, all P < 0.05; incremental chi2 range 20.7
25.5, all P < 0.001). However, no added prognostic benefit was offered by CCTA
findings when added to a base model containing both traditional RF and CACS (C
statistics P > 0.05, for all). Conclusions: Coronary computed tomographic
angiography improved prognostication of 6-year all-cause mortality beyond a set
of conventional RF alone, although, no further incremental value was offered by
CCTA when CCTA findings were added to a model incorporating RF and CACS.
PMID- 29365194
TI - Delusion Proneness is Linked to a Reduced Usage of Prior Beliefs in Perceptual
Decisions.
AB - Predictive coding theories state an aberrant weighting of prior beliefs and
present sensory information as a core computational pathology in psychosis.
Specifically, it has been proposed that the influence of prior beliefs which
attenuate improbable sensory information is weakened, resulting in an
overweighing of this potentially misleading information. However, it is currently
unclear whether this alteration is specific to perceptual processes or whether it
represents a more pervasive deficit that extends to cognitive processes. Here, we
carried out 2 behavioral experiments that probed the usage of priors during
perceptual and cognitive processes, respectively, in 123 healthy individuals with
varying degrees of delusion proneness. In an audio-visual perceptual
discrimination task, participants had to judge the global motion direction of
random dot kinematograms. Prior beliefs were induced by auditory cues that
probabilistically predicted the global motion direction of the dot kinematograms,
allowing us to measure the impact of prior beliefs on perceptual decision making.
A control experiment paralleled the design of the perceptual decision making task
in the domain of cognitive decision making. By fitting the participants'
responses with a probabilistic decision model, we quantified the impact of prior
beliefs on participants' decisions in both tasks. With growing delusion
proneness, we found a decreased impact of prior beliefs on perceptual but not on
cognitive decision making. Our results show that delusion proneness is linked to
a specifically reduced usage of prior beliefs in perceptual decisions, thereby
empirically substantiating predictive coding theories of psychosis.
PMID- 29365195
TI - Estimation of broiler responses to increased dietary methionine hydroxy analogue
[DL-2-hydroxy-(4-methylthio) butanoic acid] using linear and nonlinear regression
models.
AB - As the first limiting amino acid in corn-soy broiler diets, methionine (Met) is
supplemented using commercial synthetic sources as demanded to obtain economic
feed formulations. The Met analogue DL-2-hydroxy-4-(methylthio)-butanoic acid
(HMTBA) is largely utilized with that objective. This study intended to obtain
responses of broilers fed with increasing levels of HMTBA, from 28 to 42 d, such
that economic returns can be calculated. A total of 2,106 Cobb * Cobb 500 one-day
old male broilers was randomly placed in 81 floor pens (2.7 m2 each). Birds were
fed conventional starter (zero to 14 d) and grower (14 to 28 d) diets. Starting
at 28 d of age, pens of 26 birds were randomly allocated into 9 feed treatments
with 9 replications having increasing supplementations with HMTBA (0.00, 0.07,
0.14, 0.21, 0.28, 0.35, 0.42, 0.49 and 0.56%). These were prepared by mixing
different proportions of corn-soy dilution and summit diets, which had the same
formulated concentration of nutrients and energy [19.7% CP, 0.90% Ca, 0.45% Av.
P, 0.95% digestible Lys, and 3,150 kcal/kg AMEn], with the exception of HMTBA
[0.56% in the summit but not supplemented in the corn-soy dilution diet (0.52%
digestible TSAA)]]. Growth performance was evaluated until 42 d when carcass
yield and commercial cuts were evaluated using 6 birds randomly taken from each
pen. Body weight gain (BWG), feed conversion ratio (FCR), proportion of breast
fillets, and abdominal fat were adjusted using linear broken-line, exponential
asymptotic and quadratic polynomial regression models (P < 0.05). Estimations of
maximum responses for supplemented HMTBA by the linear broken-line model were
0.17% for BWG, 0.14% for FCR, and 0.29% for breast fillets. Using exponential and
quadratic regressions, optimized HMTBA supplementations were obtained at 0.34 and
0.35% for BWG, 0.20 and 0.33% for FCR, and 0.31 and 0.36% for breast fillets,
respectively. Supplemental levels of HMTBA that optimize growth performance and
breast meat in male broilers from 28 to 42 d, using different regression models,
varied from 0.14 to 0.36%.
PMID- 29365196
TI - Effect of Antenatal Steroids on Respiratory Morbidity of Late Preterm Newborns: A
Randomized Controlled Trial.
AB - Objective: The objective of this article was to study the effect of antenatal
dexamethasone on the respiratory morbidity of late preterm newborns. Study
design: A randomized controlled trial, conducted in Obstetrics and Gynecology
Department in collaboration with Neonatology department at JIPMER, India. In
total, 155 women were studied in each group. Intention to treat analysis and per
protocol analysis were done. Results: Overall 31 (10%) newborns were admitted to
intensive care unit. The composite respiratory morbidity (defined as respiratory
distress syndrome and/or transient tachypnea of newborn) was observed in 64
(41.6%) infants in the study and 56 (36.2%) infants in the control group. On
multivariable-adjusted analysis, use of steroids was not found to be associated
with decrease in composite respiratory morbidity [adjusted relative risk 0.91
(95% confidence interval: 0.7-1.2)]. Conclusions: Antenatal dexamethasone does
not reduce the composite respiratory morbidity of babies born vaginally or by
emergency cesarean to women with late preterm labor.
PMID- 29365197
TI - Salicylic Acid and Jasmonic Acid Pathways are Activated in Spatially Different
Domains Around the Infection Site During Effector-Triggered Immunity in
Arabidopsis thaliana.
PMID- 29365198
TI - Altered Functional Connectivity of the Default Mode Network in Patients With
Schizo-obsessive Comorbidity: A Comparison Between Schizophrenia and Obsessive
compulsive Disorder.
AB - Clinical and neuroimaging data support the idea that schizo-obsessive comorbidity
(SOC), similar to obsessive-compulsive disorder (OCD) and schizophrenia (SCZ),
may be a distinct brain disorder. In this study, we examined the strength of
resting-state functional connectivity (rsFC) between 19 subregions of the default
mode network (DMN) and whole brain voxels in 22 patients with SOC features, 20
patients with SCZ alone, 22 patients with OCD, and 22 healthy controls (HC). The
main results demonstrated that patients with SOC exhibited the highest rsFC
strength within subregions of the DMN and the lowest rsFC strength between the
DMN and subregions of the salience network (SN) compared with the other 3 groups.
In addition, compared with HCs, all 3 patient groups exhibited increased rsFC
between subregions of the DMN and the executive control network (ECN). The SOC
and SCZ group both exhibited increased rsFC between subregions of the DMN and the
middle temporal gyrus, but the OCD group exhibited decreased rsFC between them.
These findings highlight a specific alteration in functional connectivity in the
DMN in patients with SOC, and provide new insights into the dysfunctional brain
organization of different mental disorders.
PMID- 29365201
TI - International Cognition and Cancer Task Force Recommendations for Neuroimaging
Methods in the Study of Cognitive Impairment in Non-CNS Cancer Patients.
AB - Cancer- and treatment-related cognitive changes have been a focus of increasing
research since the early 1980s, with meta-analyses demonstrating poorer
performance in cancer patients in cognitive domains including executive
functions, processing speed, and memory. To facilitate collaborative efforts, in
2011 the International Cognition and Cancer Task Force (ICCTF) published
consensus recommendations for core neuropsychological tests for studies of cancer
populations. Over the past decade, studies have used neuroimaging techniques,
including structural and functional magnetic resonance imaging (fMRI) and
positron emission tomography, to examine the underlying brain basis for cancer-
and treatment-related cognitive declines. As yet, however, there have been no
consensus recommendations to guide researchers new to this field or to promote
the ability to combine data sets. We first discuss important methodological
issues with regard to neuroimaging study design, scanner considerations, and
sequence selection, focusing on concerns relevant to cancer populations. We
propose a minimum recommended set of sequences, including a high-resolution T1
weighted volume and a resting state fMRI scan. Additional advanced imaging
sequences are discussed for consideration when feasible, including task-based
fMRI and diffusion tensor imaging. Important image data processing and analytic
considerations are also reviewed. These recommendations are offered to facilitate
increased use of neuroimaging in studies of cancer- and treatment-related
cognitive dysfunction. They are not intended to discourage investigator-initiated
efforts to develop cutting-edge techniques, which will be helpful in advancing
the state of the knowledge. Use of common imaging protocols will facilitate
multicenter and data-pooling initiatives, which are needed to address critical
mechanistic research questions.
PMID- 29365200
TI - Effects of supplementation with vegetable oils, including castor oil, on milk
production of ewes and on growth of their lambs.
AB - The objectives in this experiment were to compare the effects of castor oil,
canola oil, or sunflower oil on lactation performance, milk composition, and milk
fatty acid (FA) profile in Santa Ines ewes and on growth of lambs. Forty-four
ewes (66.9 +/- 4.7 kg of initial BW, mean +/- SD) were penned individually with
their lambs and used in a randomized complete block design with 11 blocks and
four diets. The experimental diets were as follows: 1) basal diet without added
oil (control), 2) 30 g FA/kg DM of canola oil (CAN), 3) 30 g FA/kg DM of
sunflower oil (SUN), and 4) 30 g FA/kg DM of castor oil (CAS). The oils were
added to a basal diet containing 50% of roughage. Once a week, from the 2nd to
8th wk of lactation, ewes were separated from their lambs, injected with
oxytocin, and mechanically milked to empty the udder. After 3 h, using the same
procedure, milk production was recorded, and milk was sampled for composition and
FA profile determination. The growth of the lambs was monitored weekly. Ewes fed
the control diet had greater (P < 0.05) dry matter intake (DMI) than those fed
the oil-supplemented diets. No effect was observed on milk yield and on final BW
of lambs. Milk fat and milk total solid concentrations were greater (P < 0.05)
with the supply of CAS. Supplementation with CAN and SUN, but not with CAS,
reduced (P < 0.05) the sum of FA with 14 or less carbon chains and increased (P <
0.05) the c9-18:1, 18:0 and most of the biohydrogenation intermediates, including
the t10-18:1, t11-18:1, and c9,t11-18:2. All oil-supplemented diets reduced (P <
0.05) the content of 16:0 when compared with the control. Milk from ewes fed CAS
presented only small proportion of 12-OH,c9-18:1 (0.31% of total FA) but much
larger proportions of 12-OH-18:0 (1.58% of total FA) and particularly of 12-oxo
18:0 (2.95 % of total FA), which suggests that 12-OH,c9-18:1 was extensively
metabolized in the rumen. Concluding, CAS increased milk fat and modified the
milk FA composition by increasing the hydroxy- and oxo-FA. The potential health
promoting proprieties and technological advantages of milk enriched with hydroxy-
and oxo-FA are not know at present but deserve to be explored.
PMID- 29365202
TI - Pediatric low-grade gliomas: a brave new world.
PMID- 29365199
TI - Neurobiological correlates of emotional intelligence in voice and face perception
networks.
AB - Facial expressions and voice modulations are among the most important
communicational signals to convey emotional information. The ability to correctly
interpret this information is highly relevant for successful social interaction
and represents an integral component of emotional competencies that have been
conceptualized under the term emotional intelligence. Here, we investigated the
relationship of emotional intelligence as measured with the Salovey-Caruso
Emotional-Intelligence-Test (MSCEIT) with cerebral voice and face processing
using functional and structural magnetic resonance imaging. MSCEIT scores were
positively correlated with increased voice-sensitivity and gray matter volume of
the insula accompanied by voice-sensitivity enhanced connectivity between the
insula and the temporal voice area, indicating generally increased salience of
voices. Conversely, in the face processing system, higher MSCEIT scores were
associated with decreased face-sensitivity and gray matter volume of the fusiform
face area. Taken together, these findings point to an alteration in the balance
of cerebral voice and face processing systems in the form of an attenuated face
vs-voice bias as one potential factor underpinning emotional intelligence.
PMID- 29365203
TI - Meeting Update-Society for Neuro-Oncology 2017 Annual Meeting.
PMID- 29365204
TI - Ritanserin, a novel agent targeting the mesenchymal subtype of glioblastomas.
PMID- 29365205
TI - The siren song of bevacizumab: swan song or clarion call?
PMID- 29365206
TI - Leg movement activity during sleep in school-age children and adolescents: a
detailed study in normal controls and participants with restless legs syndrome
and narcolepsy type 1.
AB - Study Objectives: To evaluate leg movement activity during sleep (LMS) in normal
school-age children and adolescents, to eventually establish age-specific
periodic LMS (PLMS) index thresholds that support the diagnosis of restless legs
syndrome (RLS), and to evaluate the utility of other LMS indices. Methods:
Polysomnographic recordings from 61 controls, 46 children with RLS, and 44
children with narcolepsy type 1 (NT1) were analyzed for total leg movements
(LMS), PLMS, and isolated leg movements (ISOLMS) duration and indices, separately
for school-age children and adolescents. Moreover, intermovement interval (IMI)
graphs and time-of-night distribution of LMS were analyzed, and cut-off
thresholds for PLMS index and total LMS index were assessed for the separation of
RLS from controls. Results: All indices tended to decrease from school-age
children to adolescents in normal controls and in NT1, whereas in RLS, only PLMS
index increased. All school-age children had a similar IMI distribution with a
single peak at IMI 2-4 s followed by gradual decline. In adolescents with RLS, a
second peak at IMI 10-50 s was seen. Time-of-night distribution of most indices
decreased in RLS, whereas most tended to increase in NT1. A PLMS index cutoff of
2 per hour best differentiated RLS from controls in school-age children (accuracy
70.0%) and in adolescents (accuracy 70.8%); however, most participants with NT1
also showed PLMS indices higher than this threshold. Conclusions: PLMS index
alone does not reliably predict the diagnosis of RLS in children and adolescents.
However, analyses of IMI distribution and time-of-night distribution provide
additional elements to support a diagnosis of RLS.
PMID- 29365207
TI - Effects of maternal dietary canthaxanthin and 25-hydroxycholecalciferol
supplementation on antioxidant status and calcium-phosphate metabolism of progeny
ducks.
AB - Three experiments were conducted to investigate the effects of maternal dietary
canthaxanthin (CX) and 25-hydroxycholecalciferol (25-OH-D3) supplementation on
antioxidant status and calcium-phosphate metabolism of progeny ducks. Cherry
Valley duck breeders (38 wk old) were fed either a control diet or the same diet
plus CX (6 mg/kg) and 25-OH-D3 (0.069 mg/kg) for 32 weeks. Experiments 1, 2, and
3 were conducted with progeny ducks hatched from eggs laid by duck breeder hens
at 54, 62, and 70 wk of age, respectively. Progeny ducks from both maternal
treatments were fed with the same NRC (1994) vitamin regimen starter (1 to 14 d)
and finisher (15 to 35 d) diets in experiments 1 and 2, and fed with the same
high vitamin regimen starter (1 to 14 d) and finisher (15 to 35 d) diets in
experiments 3. High vitamin regimen had higher levels of all vitamins, except
biotin, than the NRC (1994) vitamin regimen. In experiment 1, maternal CX and 25
OH-D3 increased (P < 0.05) shank pigmentation and tibiotarsus ash and tended to
decrease (P < 0.1) liver total superoxide dismutase activity (T-SOD) of one-day
old progeny ducks; and increased (P < 0.05) shank pigmentation, decreased (P =
0.05) liver protein carbonyl, and tended to increase (P < 0.1) liver total
antioxidant capacity (T-AOC) of 14-day-old progeny ducks. In experiment 2,
maternal CX and 25-OH-D3 increased (P < 0.05) shank pigmentation and liver T-AOC
and decreased (P < 0.05) liver protein carbonyl of one-day-old progeny ducks, but
increased (P < 0.05) the serum phosphate level of 14-day-old progeny ducks. In
experiment 3, maternal CX and 25-OH-D3 increased (P < 0.05) shank pigmentation of
one-, 14-, and 35-day-old progeny ducks and tended to increase (P < 0.1) liver T
SOD and tibiotarsus ash, but decrease (P < 0.1) liver malondialdehyde of one-day
old progeny ducks. It can be concluded that progeny dietary high vitamin regimen
could partially prevent maternal CX-derived progeny shank pigmentation from
bleaching. Maternal CX- and 25-OH-D3-derived effects are influenced by the hen's
age and progeny's dietary vitamin regimen.
PMID- 29365208
TI - Salmonella isolated from chicken carcasses from a slaughterhouse in the state of
Mato Grosso, Brazil: antibiotic resistance profile, serotyping, and
characterization by repetitive sequence-based PCR system.
AB - Salmonella is one of the major causative agents of foodborne infections.
Salmonellosis becomes more dangerous when strains resistant to several
antibiotics are found in food, especially in chicken, one of the primary
transmission vehicles of this pathogen for humans. The present study aimed to
estimate the occurrence of Salmonella in chicken carcasses from the state of Mato
Grosso, Brazil, as well as determine the antibiotic resistance profile and
genotypic characteristic of multi-drug resistant (MDR) isolates. During a 15
month period, from 01/2014 to 05/2015, 850 samples of chilled fresh chicken
carcasses were sampled from a slaughterhouse and submitted to Salmonella
determinations according to the ISO-6579/2002 method, serotyping and multiplex
PCR. The disc diffusion test was applied for 17 antibiotics, according to CLSI
(2014). Five isolates were genotyped by repetitive sequence-based PCR using the
semi-automated DiversiLab (bioMerieux(r)) system. The occurrence of Salmonella in
chicken carcasses was of 3.7% (31/850), with only 4 strains (12.9%) presenting as
MDR, and 6 strains (19.35%) displaying ESBL. The predominant serovars were
Salmonella Infantis (35.4%, 11/31), and S. Abony (25.8%, 8/31), followed by
serovars S. Agona (12.9%, 4/31), S. Schwarzengrund (9.7%, 3/31), S. Anatum and
Salmonella enterica O:4,5 (6.5%, 2/31), and only one Salmonella enterica O:6,7
strain (3.2%, 1/31). All isolates were resistant to one to 5 classes of
antibiotics in decreasing order: folate pathway inhibitors, beta-lactams
(cephalosporins, penicillin, monobactams), tetracyclines, chloramphenicol, and
gentamicin. However, strains sensitive to florfenicol, streptomycin, nalidixic
acid, ciprofloxacin, enrofloxacin, and nitrofurantoin were also found in this
study. Genotyping revealed 98 to 99% homology between 3 Salmonella strains, which
displayed high phenotypic resistance similarity to beta-lactams and folate
pathway inhibitors. Detection of MDR non-typhoid Salmonella in chicken
slaughterhouses with quality assurance systems such as Hazard Analysis and
Critical Points and Implemented Good Manufacturing Practices is a concern,
reinforcing the need for constant monitoring of these pathogens, with the purpose
of safeguarding the safety of their products.
PMID- 29365209
TI - The dynamic life of arbuscular mycorrhizal fungal symbionts.
PMID- 29365210
TI - Impact of priming on global soil carbon stocks.
AB - Fresh carbon input (above and belowground) contributes to soil carbon
sequestration, but also accelerates decomposition of soil organic matter through
biological priming mechanisms. Currently, poor understanding precludes the
incorporation of these priming mechanisms into the global carbon models used for
future projections. Here, we show that priming can be incorporated based on a
simple equation calibrated from incubation and verified against independent
litter manipulation experiments in the global land surface model, ORCHIDEE. When
incorporated into ORCHIDEE, priming improved the model's representation of global
soil carbon stocks and decreased soil carbon sequestration by 51% (12 +/- 3 Pg C)
during the period 1901-2010. Future projections with the same model across the
range of CO2 and climate changes defined by the IPCC-RCP scenarios reveal that
priming buffers the projected changes in soil carbon stocks - both the increases
due to enhanced productivity and new input to the soil, and the decreases due to
warming-induced accelerated decomposition. Including priming in Earth system
models leads to different projections of soil carbon changes, which are
challenging to verify at large spatial scales.
PMID- 29365212
TI - Iodine(III) Derivatives as Halogen Bonding Organocatalysts.
AB - Hypervalent iodine(III) derivatives are known as versatile reagents in organic
synthesis, but there is only one previous report on their use as Lewis acidic
organocatalysts. Herein, we present first strong indications for the crucial role
of halogen bonding in this kind of catalyses. To this end, the solvolysis of
benzhydryl chloride and the Diels-Alder reaction of cyclopentadiene with methyl
vinyl ketone served as benchmark reactions for halide abstraction and the
activation of neutral compounds. Iodolium compounds (cyclic diaryl iodonium
species) were used as activators or catalysts, and we were able to markedly
reduce or completely switch off their activity by sterically blocking one or two
of their electrophilic axes. Compared with previously established bidentate
cationic halogen bond donors, the monodentate organoiodine derivatives used
herein are at least similarly active (in the Diels-Alder reaction) or even
decidedly more active (in benzhydryl chloride solvolysis).
PMID- 29365211
TI - Yeasts of the soil - obscure but precious.
AB - Pioneering studies performed in the nineteenth century demonstrated that yeasts
are present in below-ground sources. Soils were regarded more as a reservoir for
yeasts that reside in habitats above it. Later studies showed that yeast
communities in soils are taxonomically diverse and different from those above
ground. Soil yeasts possess extraordinary adaptations that allow them to survive
in a wide range of environmental conditions. A few species are promising sources
of yeast oils and have been used in agriculture as potential antagonists of soil
borne plant pathogens or as plant growth promoters. Yeasts have been studied
mainly in managed soils such as vineyards, orchards and agricultural fields, and
to a lesser extent under forests and grasslands. Our knowledge of soil yeasts is
further biased towards temperate and boreal forests, whereas data from Africa,
the Americas and Asia are scarce. Although soil yeast communities are often
species-poor in a single sample, they are more diverse on the biotope level. Soil
yeasts display pronounced endemism along with a surprisingly high proportion of
currently unidentified species. However, like other soil inhabitants, yeasts are
threatened by habitat alterations owing to anthropogenic activities such as
agriculture, deforestation and urbanization. In view of the rapid decline of many
natural habitats, the study of soil yeasts in undisturbed or low-managed biotopes
is extremely valuable. The purpose of this review is to encourage researchers,
both biologists and soil scientists, to include soil yeasts in future studies.
PMID- 29365213
TI - Tailored Organic Electrode Material Compatible with Sulfide Electrolyte for
Stable All-Solid-State Sodium Batteries.
AB - All-solid-state sodium batteries (ASSSBs) with nonflammable electrolytes and
ubiquitous sodium resource are a promising solution to the safety and cost
concerns for lithium-ion batteries. However, the intrinsic mismatch between low
anodic decomposition potential of superionic sulfide electrolytes and high
operating potentials of sodium-ion cathodes leads to a volatile cathode
electrolyte interface and undesirable cell performance. Here we report a high
capacity organic cathode, Na4 C6 O6 , that is chemically and electrochemically
compatible with sulfide electrolytes. A bulk-type ASSSB shows high specific
capacity (184 mAh g-1 ) and one of the highest specific energies (395 Wh kg-1 )
among intercalation compound-based ASSSBs. The capacity retentions of 76 % after
100 cycles at 0.1 C and 70 % after 400 cycles at 0.2 C represent the record
stability for ASSSBs. Additionally, Na4 C6 O6 functions as a capable anode
material, enabling a symmetric all-organic ASSSB with Na4 C6 O6 as both cathode
and anode materials.
PMID- 29365214
TI - Reaction Pathway Dependence in Plasmonic Catalysis: Hydrogenation as a Model
Molecular Transformation.
AB - The localized surface plasmon resonance (LSPR) excitation in plasmonic
nanoparticles can enhance or mediate chemical transformations. Increased reaction
rates for several reactions have been reported due to this phenomenon; however,
the fundamental understanding of mechanisms and factors that affect activities
remains limited. Here, by investigating hydrogenation reactions as a model
transformation and employing different reducing agents, H2 and NaBH4 , which led
to different hydrogenation reaction pathways, we observed that plasmonic
excitation of Au nanoparticle catalysts can lead to negative effects over the
activities. The underlying physical reason was explored using density functional
theory calculations. We observed that positive versus negative effects on the
plasmonic catalytic activity is reaction-pathway dependent. These results shed
important insights on our current understanding of plasmonic catalysis,
demonstrating reaction pathways must be taken into account for the design of
plasmonic nanocatalysts.
PMID- 29365215
TI - Single-Molecule Determination of the Isomers of d-Glucose and d-Fructose that
Bind to Boronic Acids.
AB - Monosaccharides, such as d-glucose and d-fructose, exist in aqueous solution as
an equilibrium mixture of cyclic isomers and can be detected with boronic acids
by the reversible formation of boronate esters. The engineering of accurate,
discriminating and continuous monitoring devices relies on knowledge of which
cyclic isomer of a sugar binds to a boronic acid receptor. Herein, by monitoring
fluctuations in ionic current, we show that an engineered alpha-hemolysin
(alphaHL) nanopore modified with a boronic acid reacts reversibly with d-glucose
as the pyranose isomer (alpha-d-glucopyranose) and d-fructose as either the
furanose (beta-d-fructofuranose) or the pyranose (beta-d-fructopyranose). Both of
these binding modes contradict current binding models. With this knowledge, we
distinguished the individual sugars in a mixture of d-maltose, d-glucose, and d
fructose.
PMID- 29365216
TI - Insight into Metal Removal from Peptides that Sequester Copper for Methane
Oxidation.
AB - Methanobactins (Mbns) are modified peptides that sequester copper (Cu)
methanotrophs use to oxidize methane. Limited structural information is available
for this class of natural products, as is an understanding of how cells are able
to utilize Mbn-bound Cu. The crystal structure of Methylosinus sporium NR3K CuI
Mbn provides further information about the structural diversity of Mbns and the
first insight into their Cu-release mechanism. Nitrogen ligands from oxazolone
and pyrazinediol rings chelate CuI along with adjacent coordinating sulfurs from
thioamides. In vitro solution data are consistent with a CuI -Mbn monomer as
found for previously characterized Mbns. In the crystal structure, the N-terminal
region has undergone a conformational change allowing the formation of a CuI2
Mbn2 dimer with CuI sites bound by chelating units from adjacent chains. Such a
structural alteration will facilitate CuI release from Mbns.
PMID- 29365220
TI - H. pylori Infection: ACG Updates Treatment Recommendations.
PMID- 29365221
TI - Colorectal Cancer Screening and Surveillance in Individuals at Increased Risk.
AB - Individuals at increased risk of developing colorectal cancer include those with
a personal or family history of advanced adenomas or colorectal cancer, a
personal history of inflammatory bowel disease, or genetic polyposis syndromes.
In general, these persons should undergo more frequent or earlier testing than
individuals at average risk. Individuals who have a first-degree relative with
colorectal cancer or advanced adenoma diagnosed before 60 years of age or two
first-degree relatives diagnosed at any age should be advised to start screening
colonoscopy at 40 years of age or 10 years younger than the earliest diagnosis in
their family, whichever comes first. In individuals with ulcerative colitis or
Crohn disease with colonic involvement, colonoscopy should begin eight to 10
years after the onset of symptoms and be repeated every one to three years.
Individuals who have a first-degree relative with hereditary nonpolyposis
colorectal cancer should begin colonoscopy at 25 years of age and repeat
colonoscopy every one to two years. In persons with a family history of
adenomatous polyposis syndromes, screening should begin at 10 years of age or in
a person's mid-20s, depending on the syndrome; repeat colonoscopy is typically
required every one to two years. Screening colonoscopy should begin at eight
years of age in individuals with Peutz-Jeghers syndrome. If results are normal,
colonoscopy can be repeated at 18 years of age and then every three years.
Persons with sessile serrated adenomatous polyposis should begin annual
colonoscopy as soon as the diagnosis is established.
PMID- 29365222
TI - Heel Pain: Diagnosis and Management.
AB - The differential diagnosis of heel pain is extensive, but a mechanical etiology
is the most common. The specific anatomic location of the pain can help guide
diagnosis. The most common diagnosis is plantar fasciitis, which leads to medial
plantar heel pain, especially with the first weight-bearing steps after rest.
Other causes of plantar heel pain include calcaneal stress fractures
(progressively worsening pain after an increase in activity or change to a harder
walking surface), nerve entrapment or neuroma (pain accompanied by burning,
tingling, or numbness), heel pad syndrome (deep, bruise-like pain in the middle
of the heel), and plantar warts. Achilles tendinopathy is a common cause of
posterior heel pain; other tendinopathies result in pain localized to the
insertion site of the affected tendon. Posterior heel pain can also be attributed
to Haglund deformity (a prominence of the calcaneus that may lead to
retrocalcaneal bursa inflammation) or Sever disease (calcaneal apophysitis common
in children and adolescents). Medial midfoot heel pain, particularly with
prolonged weight bearing, may be due to tarsal tunnel syndrome, which is caused
by compression of the posterior tibial nerve. Sinus tarsi syndrome manifests as
lateral midfoot heel pain and a feeling of instability, particularly with
increased activity or walking on uneven surfaces.
PMID- 29365223
TI - Thirty Years of AFP: Having Fun Painting the Fence.
PMID- 29365217
TI - Will imaging individual raphe nuclei in males with major depressive disorder
enhance diagnostic sensitivity and specificity?
AB - BACKGROUND: Positron emission tomography (PET) studies in major depressive
disorder (MDD) have reported higher serotonin 1A (5-HT1A ) autoreceptor binding
in the raphe. In males, the difference is so large that it can potentially be
used as the first biological marker for MDD. However, the raphe includes several
nuclei, which project to different regions of the brain and spinal cord and may
be differentially involved in disease. We aimed to identify 5-HT1A differences in
individual raphe nuclei using PET in order to determine whether use of subnuclei
would provide greater sensitivity and specificity of diagnosing MDD. METHODS: We
identified individual nuclei using a hybrid set-level technique on an average [11
C]-WAY100635 PET image derived from 52 healthy volunteers (HV). We delineated
three nuclei: dorsal raphe nucleus (DRN), median raphe nucleus (MRN), and raphe
magnus (RMg). An atlas image of these nuclei was created and nonlinearly warped
to each subject (through an associated MRI) in a separate sample of 41 males (25
HV, 16 MDD) who underwent [11 C]-WAY100635 PET. RESULTS: 5-HT1A binding was
elevated in DRN in MDD (P < .01), and was not different in the RMg and MRN
between groups. Receiver operating characteristic (ROC) curves showed that
combining DRN and MRN produces highest sensitivity (94%) and specificity (84%) to
identify MDD. CONCLUSION: In agreement with postmortem studies, we found higher 5
HT1A autoreceptor binding in MDD selectively in the DRN. 5-HT1A autoreceptor
binding in the combined DRN and MRN is a better biomarker for MDD than in the
raphe as a whole.
PMID- 29365224
TI - Nebivolol/Valsartan (Byvalson) for Hypertension.
PMID- 29365227
TI - Screening for Preeclampsia: Recommendation Statement.
PMID- 29365226
TI - Noninfectious Penile Lesions.
AB - Noninfectious penile lesions are classified by clinical presentation as
papulosquamous (e.g., psoriasis), inflammatory (e.g., lichen sclerosus, lichen
nitidus, lichen planus), vascular (e.g., angiokeratomas), or neoplastic (e.g.,
carcinoma in situ, invasive squamous cell carcinoma). Psoriasis presents as red
or salmon-colored plaques with overlying silvery scales, often with extragenital
cutaneous lesions. Lichen sclerosus presents as a phimotic, hypopigmented prepuce
or glans penis with a cellophane-like texture. Lichen nitidus usually produces
asymptomatic pinhead-sized, hypopigmented papules. The lesions of lichen planus
are pruritic, violaceous, polygonal papules that are typically systemic.
Angiokeratomas are typically asymptomatic, well-circumscribed, red or blue
papules, often with annular or figurate configurations. Carcinoma in situ should
be suspected if there are velvety red or keratotic plaques on the glans penis or
prepuce, whereas invasive squamous cell carcinoma presents as a painless lump,
ulcer, or fungating mass. Some benign lesions, such as psoriasis and lichen
planus, may mimic carcinoma in situ or invasive squamous cell carcinoma. Biopsy
is indicated if the diagnosis is in doubt or neoplasm cannot be excluded. The
management of benign noninfectious penile lesions usually involves observation,
topical corticosteroids, or topical calcineurin inhibitors. Neoplastic lesions
generally warrant organ-sparing surgery.
PMID- 29365228
TI - Screening for Preeclampsia.
PMID- 29365230
TI - Tuberculosis: Guidelines for Diagnosis from the ATS, IDSA, and CDC.
PMID- 29365229
TI - Abrupt Onset of a Tender Rash on the Upper and Lower Extremities.
PMID- 29365231
TI - Long-Term Use of Bisphosphonates Increases the Risk of Fractures in Older Women.
PMID- 29365232
TI - Delayed Antibiotic Prescription for New-Onset Cough Associated with Decreased
Reconsulatation.
PMID- 29365233
TI - Ear Pain: Diagnosing Common and Uncommon Causes.
AB - Otalgia (ear pain) is a common presentation in the primary care setting with many
diverse causes. Pain that originates from the ear is called primary otalgia, and
the most common causes are otitis media and otitis externa. Examination of the
ear usually reveals abnormal findings in patients with primary otalgia. Pain that
originates outside the ear is called secondary otalgia, and the etiology can be
difficult to establish because of the complex innervation of the ear. The most
common causes of secondary otalgia include temporomandibular joint syndrome and
dental infections. Primary otalgia is more common in children, whereas secondary
otalgia is more common in adults. History and physical examination usually lead
to the underlying cause; however, if the diagnosis is not immediately clear, a
trial of symptomatic treatment, imaging studies, and consultation may be
reasonable options. Otalgia may be the only presenting symptom in several serious
conditions, such as temporal arteritis and malignant neoplasms. When risk factors
for malignancy are present (e.g., smoking, alcohol use, diabetes mellitus, age 50
years or older), computed tomography, magnetic resonance imaging, or
otolaryngology consultation may be warranted.
PMID- 29365234
TI - Effectiveness of Moisturizers in the Treatment of Patients with Eczema.
PMID- 29365235
TI - Female Genital Mutilation or Cutting.
PMID- 29365236
TI - Burning on the Tip of the Tongue.
PMID- 29365237
TI - Intensive Blood Pressure Control in Older Patients Can Decrease Renal Function.
PMID- 29365238
TI - Immediate Postpartum LARC: An Underused Contraceptive Option.
PMID- 29365240
TI - Type 2 Diabetes Mellitus: Outpatient Insulin Management.
AB - In patients with type 2 diabetes mellitus, insulin may be used to augment therapy
with oral glycemic medications or as insulin replacement therapy. The American
Diabetes Association suggests the use of long-acting (basal) insulin to augment
therapy with one or two oral agents or one oral agent plus a glucagon-like
peptide 1 receptor agonist when the A1C level is 9% or more, especially if the
patient has symptoms of hyperglycemia or catabolism. Insulin regimens should be
adjusted every three or four days until targets of self-monitored blood glucose
levels are reached. A fasting and premeal blood glucose goal of 80 to 130 mg per
dL and a two-hour postprandial goal of less than 180 mg per dL are recommended.
Insulin use is associated with hypoglycemia and weight gain. Insulin analogues
are as effective as human insulin at lowering A1C levels with lower risk of
hypoglycemia, but they have significantly higher cost. Patients with one or more
episodes of severe hypoglycemia (i.e., requiring assistance from others for
treatment) may benefit from a short-term relaxation of glycemic targets. Several
new insulin formulations have been approved recently that are associated with
less risk of hypoglycemia compared with older formulations. The goals of therapy
should be individualized based on many factors, including age, life expectancy,
comorbid conditions, duration of diabetes, risk of hypoglycemia, cost, patient
motivation, and quality of life.
PMID- 29365241
TI - Pityriasis Rosea: Diagnosis and Treatment.
AB - Pityriasis rosea is a common self-limiting rash that usually starts with a herald
patch on the trunk and progresses along the Langer lines to a generalized rash
over the trunk and limbs. The diagnosis is based on clinical and physical
examination findings. The herald patch is an erythematous lesion with an elevated
border and depressed center. The generalized rash usually presents two weeks
after the herald patch. Patients can develop general malaise, fatigue, nausea,
headaches, joint pain, enlarged lymph nodes, fever, and sore throat before or
during the course of the rash. The differential diagnosis includes secondary
syphilis, seborrheic dermatitis, nummular eczema, pityriasis lichenoides
chronica, tinea corporis, viral exanthems, lichen planus, and pityriasis rosea
like eruption associated with certain medications. Treatment is aimed at
controlling symptoms and consists of corticosteroids or antihistamines. In some
cases, acyclovir can be used to treat symptoms and reduce the length of disease.
Ultraviolet phototherapy can also be considered for severe cases. Pityriasis
rosea during pregnancy has been linked to spontaneous abortions.
PMID- 29365242
TI - Dietary Interventions for Recurrent Abdominal Pain in Childhood.
PMID- 29365243
TI - Stents for Stable Coronary Artery Disease.
PMID- 29365244
TI - Oral Steroids Not Helpful for Acute Lower Respiratory Tract Infection in
Nonasthmatic Adults.
PMID- 29365245
TI - Young Febrile Infants: Step-by-Step Evaluation.
PMID- 29365246
TI - Discuss Oral Health with All Women of Child-Bearing Age.
PMID- 29365247
TI - Medications to Treat Obesity Lack Patient-Oriented Evidence.
PMID- 29365248
TI - Pityriasis Rosea.
PMID- 29365249
TI - Toward a Microparticle-Based System for Pooled Assays of Small Molecules in
Cellular Contexts.
AB - Experimental approaches to the discovery of small molecule probes and drug
candidates often use biochemical or cell-based screening of large libraries
(>105) of small molecules. Small molecules of interest are tested one at a time
in individual wells of a microtiter plate, at a significant cost in time and
resources. Furthermore, evaluation of large numbers of compounds in such assays
requires robust cellular or biochemical screening formats that may not be
relevant to the contexts found in human patients. We envision a solution to these
issues that involves a pooled system of small molecule screening, which would
require development of numerous new technologies, and solutions to several key
challenges. We report here that a microparticle-based screening system can allow
for screening of small molecules in such a pooled fashion, analogous to the
pooled screens of genetic reagents that have been powerfully deployed in recent
years. We developed a cleavable linker that can link small molecules of interest
to silica microparticle beads, a DNA tag encoding the identity of the small
molecule on each bead that was attached to the silica beads through a
photocleavable linker to enable its amplification, and a bead-based fluorescent
sensor that can report on the activity of small molecules in cells. We suggest
that this pooled small molecule screening system could ultimately be useful for
drug and probe discovery, allowing rapid and inexpensive screening of small
molecules in assays of relevance to human diseases.
PMID- 29365250
TI - Proteolytic Nanoparticles Replace a Surgical Blade by Controllably Remodeling the
Oral Connective Tissue.
AB - Surgical blades are common medical tools. However, blades cannot distinguish
between healthy and diseased tissue, thereby creating unnecessary damage,
lengthening recovery, and increasing pain. We propose that surgical procedures
can rely on natural tissue remodeling tools-enzymes, which are the same tools our
body uses to repair itself. Through a combination of nanotechnology and a
controllably activated proteolytic enzyme, we performed a targeted surgical task
in the oral cavity. More specifically, we engineered nanoparticles that contain
collagenase in a deactivated form. Once placed at the surgical site, collagenase
was released at a therapeutic concentration and activated by calcium, its
biological cofactor that is naturally present in the tissue. Enhanced periodontal
remodeling was recorded due to enzymatic cleavage of the supracrestal collagen
fibers that connect the teeth to the underlying bone. When positioned in their
new orientation, natural tissue repair mechanisms supported soft and hard tissue
recovery and reduced tooth relapse. Through the combination of nanotechnology and
proteolytic enzymes, localized surgical procedures can now be less invasive.
PMID- 29365251
TI - Highly Efficient All-Solution Processed Inverted Quantum Dots Based Light
Emitting Diodes.
AB - In all-solution processed inverted quantum dots based light emitting diodes
(QLEDs), the solvent erosion on the quantum dot (QD) layer prevents devices from
reaching high performance. By employing an orthogonal solvent 1,4-dioxane for the
hole transport layer (HTL) poly(9-vinlycarbazole) (PVK), the external quantum
efficiencies (EQE) of red QLED is increased 4-fold, while the luminous
efficiencies (LE) of blue QLED is enhanced by 25 times, compared to the previous
devices' record. To further improve the device efficiency and reduce the
efficiency roll-off, solution processed PVK/poly [(9,9-dioctylfluorenyl-2,7-diyl)
co-(4,4'-(N-(p-butylphenyl))diphenylamine)] (TFB) double-layer HTL is introduced
to facilitate hole injection with stepwise energy level. By reducing the hole
injection barrier, the turn-on voltage of QLEDs decreases from 3.4 to 2.7 V for
red, from 5.1 to 2.7 V for green, and from 5.3 to 4.1 V for blue. The peak LE
reach 22.1 cd/A, 21.4 cd/A, and 1.99 cd/A, while the maximum EQE reach 12.7%,
5.29%, and 5.99%, for red, green, and blue QLEDs, respectively. To the best of
our knowledge, the red and blue QLEDs exhibit the best device performance among
all the all-solution processed inverted QLEDs. In addition, the blue QLED is the
champion among all the inverted QLEDs, including the devices fabricated by
thermal evaporation.
PMID- 29365252
TI - Room-Temperature Lasing from Monolithically Integrated GaAs Microdisks on
Silicon.
AB - Additional functionalities on semiconductor microchips are progressively
important in order to keep up with the ever-increasing demand for more powerful
computational systems. Monolithic III-V integration on Si promises to merge
mature Si CMOS processing technology with III-V semiconductors possessing
superior material properties, e. g., in terms of carrier mobility or band
structure (direct band gap). In particular, Si photonics would strongly benefit
from an integration scheme for active III-V optoelectronic devices in order to
enable low-cost and power-efficient electronic-photonic integrated circuits. We
report on room-temperature lasing from AlGaAs/GaAs microdisk cavities
monolithically integrated on Si(001) using a selective epitaxial growth technique
called template-assisted selective epitaxy. The grown gain material possesses
high optical quality without indication of threading dislocations, antiphase
boundaries, or twin defects. The devices exhibit single-mode lasing at T < 250 K
and lasing thresholds between 2 and 18 pJ/pulse depending on the cavity size (1-3
MUm in diameter).
PMID- 29365253
TI - Structural Directed Growth of Ultrathin Parallel Birnessite on beta-MnO2 for High
Performance Asymmetric Supercapacitors.
AB - Two-dimensional birnessite has attracted attention for electrochemical energy
storage because of the presence of redox active Mn4+/Mn3+ ions and spacious
interlayer channels available for ions diffusion. However, current strategies are
largely limited to enhancing the electrical conductivity of birnessite. One key
limitation affecting the electrochemical properties of birnessite is the poor
utilization of the MnO6 unit. Here, we assemble beta-MnO2/birnessite core-shell
structure that exploits the exposed crystal face of beta-MnO2 as the core and
ultrathin birnessite sheets that have the structure advantage to enhance the
utilization efficiency of the Mn from the bulk. Our birnessite that has sheets
parallel to each other is found to have unusual crystal structure with interlayer
spacing, Mn(III)/Mn(IV) ratio and the content of the balancing cations differing
from that of the common birnessite. The substrate directed growth mechanism is
carefully investigated. The as-prepared core-shell nanostructures enhance the
exposed surface area of birnessite and achieve high electrochemical performances
(for example, 657 F g-1 in 1 M Na2SO4 electrolyte based on the weight of parallel
birnessite) and excellent rate capability over a potential window of up to 1.2 V.
This strategy opens avenues for fundamental studies of birnessite and its
properties and suggests the possibility of its use in energy storage and other
applications. The potential window of an asymmetric supercapacitor that was
assembled with this material can be enlarged to 2.2 V (in aqueous electrolyte)
with a good cycling ability.
PMID- 29365254
TI - Multiple Hydrogen Bond Tethers for Grazing Formic Acid in Its Complexes with
Phenylacetylene.
AB - Complexes of phenylacetylene (PhAc) and formic acid (FA) present an interesting
picture, where the two submolecules are tethered, sometimes multiply, by hydrogen
bonds. The multiple tentacles adopted by PhAc-FA complexes stem from the fact
that both submolecules can, in the same complex, serve as proton acceptors and/or
proton donors. The acetylenic and phenyl pi systems of PhAc can serve as proton
acceptors, while the =C-H or -C-H of the phenyl ring can act as a proton donor.
Likewise, FA also is amphiprotic. Hence, more than 10 hydrogen-bonded structures,
involving O-H...pi, C-H...pi, and C-H...O contacts, were indicated by our
computations, some with multiple tentacles. Interestingly, despite the multiple
contacts in the complexes, the barrier between some of the structures is small,
and hence, FA grazes around PhAc, even while being tethered to it, with hydrogen
bonds. We used matrix isolation infrared spectroscopy to experimentally study the
PhAc-FA complexes, with which we located global and a few local minima, involving
primarily an O-H...pi interaction. Experiments were corroborated by ab initio
computations, which were performed using MP2 and M06-2X methods, with 6-311++G
(d,p) and aug-cc-pVDZ basis sets. Single-point energy calculations were also done
at MP2/CBS and CCSD(T)/CBS levels. The nature, strength, and origin of these
noncovalent interactions were studied using AIM, NBO, and LMO-EDA analysis.
PMID- 29365255
TI - Probing Anisotropic Surface Properties and Surface Forces of Fluorite Crystals.
AB - Fluorite is the most important mineral source for producing fluorine-based
chemicals and materials in a wide range of engineering and technological
applications. In this work, atomic force microscopy was employed, for the first
time, to probe the surface interactions and adhesion energy of model oleic acid
(a commonly used surface modification organics for fluorite) molecules on
fluorite surfaces with different orientations in both air and aqueous solutions
at different pH conditions. Fitted with the Derjaguin-Landau-Verwey-Overbeek
theory, the force results during surface approaching demonstrate the anisotropy
in the surface charge of different orientations, with the {111} surface
exhibiting a higher magnitude of surface charge, which could be attributed to the
difference in the atomic composition. The adhesion measured during surface
retraction shows that model oleic acid molecules have a stronger adhesion with
the {100} surface than with the {111} surface in both air and aqueous solutions.
The anisotropic adhesion energy was analyzed in relation to the surface atom
(especially calcium) activity, which was supported by the surface free energy
results calculated based on a three-probe-liquid method. Each calcium atom on the
{100} surface with four dangling bonds is more active than the calcium atom on
the {111} surface with only one dangling bond, supported by a larger value of the
Lewis acid component for the {100} surface. The model oleic acid molecules
present in the ionic form at pH 9 exhibit a higher adhesion energy with fluorite
surfaces as compared to their molecular form at pH 6, which was related to the
surface activity of different forms. The adhesion energy measured in solution is
much lower than that in air, indicating that the solvent exerts an important
influence on the interactions of organic molecules with mineral surfaces. The
results provide useful information on the fundamental understanding of surface
interactions and adhesion energy of organic molecules on mineral surfaces with
different orientations, and the methodology can be extended to many other crystal
surfaces in various interfacial processes.
PMID- 29365256
TI - What is the Key for Accurate Absorption and Emission Calculations, Energy or
Geometry?
AB - Using a hierarchy of wave function methods, namely ADC(2), CC2, CCSD, CCSDR(3),
and CC3, we investigate the absorption and emission energies in a set of 24
organic compounds. For all molecules, reference values are determined at the
CC3//CC3 or CCSDR(3)//CCSDR(3) levels and the energetic and geometric effects are
decomposed considering all possible methodological combinations between the five
considered methods. For absorption, it is found that the errors are mainly energy
driven for ADC(2), CC2, and CCSDR(3), but not for CCSD. There is also an error
compensation between the errors made on the geometries and transition energies
for the two former approaches. For emission, the total errors are significantly
larger than for absorption due to the significant increase of the structural
component of the error. Therefore, the selection of a very refined method to
compute the fluorescence energy will not systematically provide high accuracy if
the excited-state geometry is not also optimized at a suitable level of theory.
This is further demonstrated using results obtained from TD-DFT and hybrid TD
DFT/wave function protocols. We also found that, compared to full CC3, only
CCSDR(3) is able to deliver errors below the 0.1 eV threshold, a statement
holding for both absorption (mean absolute error of 0.033 eV) and emission (mean
absolute error of 0.066 eV).
PMID- 29365257
TI - Scalable Super-Resolution Synthesis of Core-Vest Composites Assisted by Surface
Plasmons.
AB - The behavior of composite nanostructures depends on both size and elemental
composition. Accordingly, concurrent control of size, shape, and composition of
nanoparticles is key to tuning their functionality. In typical core-shell
nanoparticles, the high degree of symmetry during shell formation results in
fully encapsulated cores with severed access to the surroundings. We commingle
light parameters (wavelength, intensity, and pulse duration) with the physical
properties of nanoparticles (size, shape, and composition) to form hitherto
unrealized core-vest composite nanostructures (CVNs). Unlike typical core-shells,
the plasmonic core of the resulting CVNs selectively maintains physical access to
its surrounding. Tunable variations in local temperature profiles ?50 degrees C
are plasmonically induced over starburst-shaped nanoparticles as small as 50-100
nm. These temperature variations result in CVNs where the shell coverage mirrors
the temperature variations. The precision thus offered individually tailors
access pathways of the core and the shell.
PMID- 29365258
TI - Porphyrin-Loaded Pluronic Nanobubbles: A New US-Activated Agent for Future
Theranostic Applications.
AB - Sonodynamic therapy (SDT) has become a promising noninvasive approach for cancer
therapy. The treatment exploits the ability of particular molecules (i.e.,
porphyrins) to be excited by ultrasound and produce reactive oxygen species (ROS)
during their decay process. These reactive species, in turn, result in cell
death. To capitalize on the real-time visualization and on-demand delivery of
ultrasound contrast agents, this study aims to combine porphyrins with
nanobubbles (NBs) to obtain an ultrasound-activated theranostic agent that
exploits the SDT activity in vitro. Two porphyrin classes, exposing different
hydrophobic side chains, were synthesized. NB size and encapsulation efficiency
were markedly dependent on the porphyrin structure. The combination of these
porphyrin and NBs resulted in a significant reduction in cell viability upon
sonication in pilot studies performed on the LS 174T colorectal cancer cell line.
PMID- 29365259
TI - Light-Emitting Halide Perovskite Nanoantennas.
AB - Nanoantennas made of high-index dielectrics with low losses in visible and
infrared frequency ranges have emerged as a novel platform for advanced
nanophotonic devices. On the other hand, halide perovskites are known to possess
high refractive index, and they support excitons at room temperature with high
binding energies and quantum yield of luminescence that makes them very
attractive for all-dielectric resonant nanophotonics. Here we employ halide
perovskites to create light-emitting nanoantennas with enhanced photoluminescence
due to the coupling of their excitons to dipolar and multipolar Mie resonances.
We demonstrate that the halide perovskite nanoantennas can emit light in the
range of 530-770 nm depending on their composition. We employ a simple technique
based on laser ablation of thin films prepared by wet-chemistry methods as a
novel cost-effective approach for the fabrication of resonant perovskite
nanostructures.
PMID- 29365260
TI - Variable-Temperature NMR Spectroscopy, Conformational Analysis, and Thermodynamic
Parameters of Cyclic Adenosine 5'-Diphosphate Ribose Agonists and Antagonists.
AB - Cyclic adenosine 5'-diphosphate ribose (cADPR) is a ubiquitous Ca2+-releasing
second messenger. Knowledge of its conformational landscape is an essential tool
for unraveling the structure-activity relationship (SAR) in cADPR. Variable
temperature 1H NMR spectroscopy, in conjunction with PSEUROT and population
analyses, allowed us to determine the conformations and thermodynamic parameters
of the furanose rings, gamma-bonds (C4'-C5'), and beta-bonds (C5'-O5') in the
cADPR analogues 2'-deoxy-cADPR, 7-deaza-cADPR, and 8-bromo-cADPR. A significant
finding was that, although the analogues are similar to each other and to cADPR
itself in terms of overall conformation and population (DeltaG degrees ), there
were subtle yet important differences in some of thermodynamic properties (DeltaH
degrees , DeltaS degrees ) associated with each of the conformational equilibria.
These differences prompted us to propose a model for cADPR in which the
interactions between the A2'-N3, A5"-N3, and H2-R5' atoms serve to fine-tune the
N-glycosidic torsion angles (chi).
PMID- 29365261
TI - Proximity-Induced Superconductivity and Quantum Interference in Topological
Crystalline Insulator SnTe Thin-Film Devices.
AB - Topological crystalline insulators represent a new state of matter, in which the
electronic transport is governed by mirror-symmetry protected Dirac surface
states. Due to the helical spin-polarization of these surface states, the
proximity of topological crystalline matter to a nearby superconductor is
predicted to induce unconventional superconductivity and, thus, to host Majorana
physics. We report on the preparation and characterization of Nb-based
superconducting quantum interference devices patterned on top of topological
crystalline insulator SnTe thin films. The SnTe films show weak anti
localization, and the weak links of the superconducting quantum interference
devices (SQUID) exhibit fully gapped proximity-induced superconductivity. Both
properties give a coinciding coherence length of 120 nm. The SQUID oscillations
induced by a magnetic field show 2pi periodicity, possibly dominated by the bulk
conductivity.
PMID- 29365262
TI - Dielectric Engineering of Electronic Correlations in a van der Waals
Heterostructure.
AB - Heterostructures of van der Waals bonded layered materials offer unique means to
tailor dielectric screening with atomic-layer precision, opening a fertile field
of fundamental research. The optical analyses used so far have relied on
interband spectroscopy. Here we demonstrate how a capping layer of hexagonal
boron nitride (hBN) renormalizes the internal structure of excitons in a WSe2
monolayer using intraband transitions. Ultrabroadband terahertz probes
sensitively map out the full complex-valued mid-infrared conductivity of the
heterostructure after optical injection of 1s A excitons. This approach allows us
to trace the energies and line widths of the atom-like 1s-2p transition of
optically bright and dark excitons as well as the densities of these
quasiparticles. The excitonic resonance red shifts and narrows in the WSe2/hBN
heterostructure compared to the bare monolayer. Furthermore, the ultrafast
temporal evolution of the mid-infrared response function evidences the formation
of optically dark excitons from an initial bright population. Our results provide
key insight into the effect of nonlocal screening on electron-hole correlations
and open new possibilities of dielectric engineering of van der Waals
heterostructures.
PMID- 29365263
TI - Synthesizing 1T-1H Two-Phase Mo1-xWxS2 Monolayers by Chemical Vapor Deposition.
AB - 1T-1H metal-semiconductor interfaces in two-dimensional (2D) transition-metal
dichalcogenides (TMDs) play a crucial role in utilizing the band gaps of TMDs for
applications in electronic devices. Although the 1T-1H two-phase structure has
been observed in exfoliated 2D nanosheets and chemically or physically treated
TMDs, it cannot in principle be achieved in large-scale TMD monolayers grown by
chemical vapor deposition (CVD), which is a fabrication method for electronic
device applications, because of the metastable nature of the 1T phase. In this
study we report CVD growth of 1T-1H two phase TMD monolayers by controlling
thermal strains and alloy compositions. It was found that in-plane thermal
strains arising from the difference in thermal expansion coefficients between TMD
monolayers and substrates can drive the 1H to 1T transition during cooling after
CVD growth. Moreover, grain boundaries in the 2D crystals act as the nucleation
sites of the 1T phase and the lattice strain perturbations from alloying
noticeably promote the formation of the metastable 1T phase. This work has an
important implication in tailoring structure and properties of CVD grown 2D TMDs
by phase engineering.
PMID- 29365264
TI - Deterministic Nanopatterning of Diamond Using Electron Beams.
AB - Diamond is an ideal material for a broad range of current and emerging
applications in tribology, quantum photonics, high-power electronics, and
sensing. However, top-down processing is very challenging due to its extreme
chemical and physical properties. Gas-mediated electron beam-induced etching
(EBIE) has recently emerged as a minimally invasive, facile means to dry etch and
pattern diamond at the nanoscale using oxidizing precursor gases such as O2 and
H2O. Here we explain the roles of oxygen and hydrogen in the etch process and
show that oxygen gives rise to rapid, isotropic etching, while the addition of
hydrogen gives rise to anisotropic etching and the formation of topographic
surface patterns. We identify the etch reaction pathways and show that the
anisotropy is caused by preferential passivation of specific crystal planes. The
anisotropy can be controlled by the partial pressure of hydrogen and by using a
remote RF plasma source to radicalize the precursor gas. It can be used to
manipulate the geometries of topographic surface patterns as well as nano- and
microstructures fabricated by EBIE. Our findings constitute a comprehensive
explanation of the anisotropic etch process and advance present understanding of
electron-surface interactions.
PMID- 29365265
TI - Solenoid Driven Pressure Valve System: Toward Versatile Fluidic Control in Paper
Microfluidics.
AB - As paper-based diagnostics has become predominantly driven by more advanced
microfluidic technology, many of the research efforts are still focused on
developing reliable and versatile fluidic control devices, apart from improving
sensitivity and reproducibility. In this work, we introduce a novel and robust
paper fluidic control system enabling versatile fluidic control. The system
comprises a linear push-pull solenoid and an Arduino Uno microcontroller. The
precisely controlled pressure exerted on the paper stops the flow. We first
determined the stroke distance of the solenoid to obtain a constant pressure
while examining the fluidic time delay as a function of the pressure. Results
showed that strips of grade 1 chromatography paper had superior reproducibility
in fluid transport. Next, we characterized the reproducibility of the fluidic
velocity which depends on the type and grade of paper used. As such, we were able
to control the flow velocity on the paper and also achieve a complete stop of
flow with a pressure over 2.0 MPa. Notably, after the actuation of the pressure
driven valve (PDV), the previously pressed area regained its original flow
properties. This means that, even on a previously pressed area, multiple valve
operations can be successfully conducted. To the best of our knowledge, this is
the first demonstration of an active and repetitive valve operation in paper
microfluidics. As a proof of concept, we have chosen to perform a multistep
detection system in the form of an enzyme-linked immunosorbent assay with mouse
IgG as the target analyte.
PMID- 29365267
TI - Decoding the Three-Pronged Mechanism of NO3* Radical Formation in HNO3 Solutions
at 22 and 80 degrees C Using Picosecond Pulse Radiolysis.
AB - With nitric acid (HNO3) being at the core of nuclear technology through actinides
separation and extraction processes, achieving a complete characterization of the
complex processes involving concentrated HNO3 solutions under ionizing radiation
equates bringing efficiency and safety into their operation. In this work, the
three mechanisms contributing to the formation of nitrate radicals (NO3*) in
concentrated nitric acid were investigated by measuring the radiolytic yield of
NO3* in HNO3 solutions (0.5-23.5 M) at room (22.5 degrees C) and elevated (80
degrees C) temperatures on time scales spanning from picosecond to microsecond by
pulse radiolysis measurements. We conclude that the formation yield of NO3*, just
after the 7 ps electron pulse, is due to the direct effect and to the ultrafast
electron transfer reaction between NO3- and the water cation radical, H2O*+. The
absolute formation yield of NO3* radicals due to the direct effect, GNO3*dir, is
found to be (3.4 +/- 0.1) * 10-7 mol.J-1, irrespective of the concentration and
temperature. On longer time scales, >1 ns, an additional contribution to NO3*
formation from the reaction between *OH radicals and undissociated HNO3 is
observed. The rate constant of this reaction, which is activation-controlled, was
determined to be (5.3 +/- 0.2) * 107 M-1.s-1 for 22.5 degrees C, reaching a
value of (1.1 +/- 0.2) * 108 M-1.s-1 at 80 degrees C.
PMID- 29365266
TI - Effect of Osmolytes on the Conformational Behavior of a Macromolecule in a
Cytoplasm-like Crowded Environment: A Femtosecond Mid-IR Pump-Probe Spectroscopy
Study.
AB - Osmolytes found endogenously in almost all living beings play an important role
in regulating cell volume under harsh environment. Here, to address the
longstanding questions about the underlying mechanism of osmolyte effects, we use
femtosecond mid-IR pump-probe spectroscopy with two different IR probes that are
the OD stretching mode of HDO and the azido stretching mode of azido-derivatized
poly(ethylene glycol) dimethyl ether (PEGDME). Our experimental results show that
protecting osmolytes bind strongly with water molecules and dehydrate polymer
surface, which results in promoting intramolecular interactions of the polymer.
By contrast, urea behaves like water molecules without significantly disrupting
water H-bonding network and favors extended and random-coil segments of the
polymer chain by directly participating in solvation of the polymer. Our findings
highlight the importance of direct interaction between urea and macromolecule,
while protecting osmolytes indirectly affect the macromolecule through enhancing
the water-osmolyte interaction in a crowded environment, which is the case that
is often encountered in real biological systems.
PMID- 29365268
TI - Monitoring Self-Assembly and Ligand Exchange of PbS Nanocrystal Superlattices at
the Liquid/Air Interface in Real Time.
AB - We investigate in situ the structural changes during self-assembly of PbS
nanocrystals from colloidal solution into superlattices, solvent evaporation, and
ligand exchange at the acetonitrile/air interface by grazing incidence small
angle X-ray scattering (GISAXS). We simulate and fit the diffraction peaks under
the distorted wave Born approximation (DWBA) to determine the lattice parameters.
We observe a continuous isotropic contraction of the superlattice in two
different assembly steps, preserving the body-centered cubic lattice with an
overall decrease in the lattice constants of 11%. We argue that the first
contraction period is due to a combination of solvent evaporation/annealing and
capillary forces acting on the superlattice, whereas the second period is
dominated by the effect of replacing oleic acid on the nanocrystal surface with
the short and rigid cross-linker tetrathiafulvalene dicarboxylate. This work
provides guidelines for optimized ligand exchange conditions and highlights the
structural particularities arising from assembling NCs on liquid surfaces.
PMID- 29365269
TI - Magneto-Spin-Orbit Graphene: Interplay between Exchange and Spin-Orbit Couplings.
AB - A rich class of spintronics-relevant phenomena require implementation of robust
magnetism and/or strong spin-orbit coupling (SOC) to graphene, but both
properties are completely alien to it. Here, we for the first time experimentally
demonstrate that a quasi-freestanding character, strong exchange splitting and
giant SOC are perfectly achievable in graphene at once. Using angle- and spin
resolved photoemission spectroscopy, we show that the Dirac state in the Au
intercalated graphene on Co(0001) experiences giant splitting (up to 0.2 eV)
while being by no means distorted due to interaction with the substrate. Our
calculations, based on the density functional theory, reveal the splitting to
stem from the combined action of the Co thin film in-plane exchange field and Au
induced Rashba SOC. Scanning tunneling microscopy data suggest that the peculiar
reconstruction of the Au/Co(0001) interface is responsible for the exchange field
transfer to graphene. The realization of this "magneto-spin-orbit" version of
graphene opens new frontiers for both applied and fundamental studies using its
unusual electronic bandstructure.
PMID- 29365270
TI - Creation and Annihilation of Charge Traps in Silicon Nanocrystals: Experimental
Visualization and Spectroscopy.
AB - Recent studies have shown the presence of an amorphous surface layer in nominally
crystalline silicon nanocrystals (SiNCs) produced by some of the most common
synthetic techniques. The amorphous surface layer can serve as a source of deep
charge traps, which can dramatically affect the electronic and photophysical
properties of SiNCs. We present results of a scanning tunneling
microscopy/scanning tunneling spectroscopy (STM/STS) study of individual intragap
states observed on the surfaces of hydrogen-passivated SiNCs deposited on the
Au(111) surface. STS measurements show that intragap states can be formed
reversibly when appropriate voltage-current pulses are applied to individual
SiNCs. Analysis of STS spectra suggests that the observed intragap states are
formed via self-trapping of charge carriers injected into SiNCs from the STM tip.
Our results provide a direct visualization of the charge trap formation in
individual SiNCs, a level of detail which until now had been achieved only in
theoretical studies.
PMID- 29365272
TI - Awake or Sedate . . . Do We Know the Best State?
PMID- 29365271
TI - Full-Dimensional Quantum Dynamics of SiO in Collision with H2.
AB - We report the first full-dimensional potential energy surface (PES) and quantum
mechanical close-coupling calculations for scattering of SiO due to H2. The full
dimensional interaction potential surface was computed using the explicitly
correlated coupled-cluster (CCSD(T)-F12b) method and fitted using an invariant
polynomial approach. Pure rotational quenching cross sections from initial states
v1 = 0, j1 = 1-5 of SiO in collision with H2 are calculated for collision
energies between 1.0 and 5000 cm-1. State-to-state rotational rate coefficients
are calculated at temperatures between 5 and 1000 K. The rotational rate
coefficients of SiO with para-H2 (p-H2) are compared with previous approximate
results which were obtained using SiO-He PESs or scaled from SiO-He rate
coefficients. Rovibrational state-to-state and total quenching cross sections and
rate coefficients for initially excited SiO (v1 = 1, j1 = 0 and 1) in collisions
with p-H2 (v2 = 0, j2 = 0) and ortho-H2 (o-H2) (v2 = 0, j2 = 1) are also
obtained. The application of the current collisional rate coefficients to
astrophysics is briefly discussed.
PMID- 29365274
TI - 2-Methoxyestradiol causes matrix metalloproteinase 9-mediated transactivation of
epidermal growth factor receptor and angiotensin type 1 receptor downregulation
in rat aortic smooth muscle cells.
AB - Studies have demonstrated the therapeutic potential of estrogen metabolite 2
methoxyestradiol (2ME2) in several cardiovascular disorders, including
hypertension. However, the exact mechanism(s) remains unknown. In this study,
primary rat aortic smooth muscle cells (RASMCs) were exposed to 2ME2, and
angiotensin type 1 receptor (AT1R) expression, function, and associated signaling
pathways were evaluated. In RASMCs, 2ME2 downregulated AT1R expression in a
concentration- and time-dependent manner, which was correlated with reduced mRNA
expression. The 2ME2 effect was through G protein-coupled receptor 30 (GPR30)
that inhibits second messenger cAMP. Moreover, 2ME2 exposure phosphorylated
ERK1/2 that was sensitive to MEK inhibitor PD98059. Selective epidermal growth
factor receptor (EGFR) inhibitor AG1478 blocked 2ME2-induced EGFR transactivation
and attenuated subsequent phosphorylation of ERK1/2 preventing AT1R
downregulation. The transactivation was dependent on 2ME2-induced release of
matrix metalloproteinase 9 (MMP9) and epidermal growth factor demonstrated by
ELISA. Furthermore, transfection with small interfering (si) RNA targeting MMP9
impeded ERK1/2 activation and AT1R downregulation in response to 2ME2 and G1
stimulation. Interestingly, under similar conditions, stimulation of GPR30 with
the selective agonist G1 elicited similar signaling pathways and downregulated
the AT1R expression that was reversed by GPR30 antagonist G15. Furthermore, 2ME2
and G1 inhibited angiotensin II (ANG II) induced Ca2+ release, a response
consistent with AT1R downregulation. Collectively, our study demonstrates for the
first time that 2ME2 binding to GPR30 induces MMP9 specific transactivation of
EGFR that mediates ERK1/2-dependent downregulation of AT1R in RASMCs. The study
provides critical insights into the newly discovered role and signaling pathways
of 2ME2 in the regulation of AT1R in vascular cells and its potential to be
developed as a therapeutic agent that ameliorates hypertension.
PMID- 29365273
TI - P2Y receptor regulation of K2P channels that facilitate K+ secretion by human
mammary epithelial cells.
AB - The objective of this study was to determine the molecular identity of ion
channels involved in K+ secretion by the mammary epithelium and to examine their
regulation by purinoceptor agonists. Apical membrane voltage-clamp experiments
were performed on human mammary epithelial cells where the basolateral membrane
was exposed to the pore-forming antibiotic amphotericin B dissolved in a solution
with intracellular-like ionic composition. Addition of the Na+ channel inhibitor
benzamil reduced the basal current, consistent with inhibition of Na+ uptake
across the apical membrane, whereas the KCa3.1 channel blocker TRAM-34 produced
an increase in current resulting from inhibition of basal K+ efflux. Treatment
with two-pore potassium (K2P) channel blockers quinidine, bupivacaine and a
selective TASK1/TASK3 inhibitor (PK-THPP) all produced concentration-dependent
inhibition of apical K+ efflux. qRT-PCR experiments detected mRNA expression for
nine K2P channel subtypes. Western blot analysis of biotinylated apical membranes
and confocal immunocytochemistry revealed that at least five K2P subtypes (TWIK1,
TREK1, TREK2, TASK1, and TASK3) are expressed in the apical membrane. Apical UTP
also increased the current, but pretreatment with the PKC inhibitor GF109203X
blocked the response. Similarly, direct activation of PKC with phorbol 12
myristate 13-acetate produced a similar increase in current as observed with UTP.
These results support the conclusion that the basal level of K+ secretion
involves constitutive activity of apical KCa3.1 channels and multiple K2P channel
subtypes. Apical UTP evoked a transient increase in KCa3.1 channel activity, but
over time caused persistent inhibition of K2P channel function leading to an
overall decrease in K+ secretion.
PMID- 29365275
TI - Complementing Lung Cancer: How Tumor Cells Co-opt the Host Complement System to
Reach Bone.
PMID- 29365276
TI - Galectin-3 Promotes Vascular Remodeling and Contributes to Pulmonary
Hypertension.
PMID- 29365277
TI - IFN-beta Improves Sepsis-related Alveolar Macrophage Dysfunction and Postseptic
Acute Respiratory Distress Syndrome-related Mortality.
AB - IFN-beta is reported to improve survival in patients with acute respiratory
distress syndrome (ARDS), possibly by preventing sepsis-induced
immunosuppression, but its therapeutic nature in ARDS pathogenesis is poorly
understood. We investigated the therapeutic effects of IFN-beta for postseptic
ARDS to better understand its pathogenesis in mice. Postseptic ARDS was
reproduced in mice by cecal ligation and puncture to induce sepsis, followed 4
days later by intratracheal instillation of Pseudomonas aeruginosa to cause
pneumonia with or without subcutaneous administration of IFN-beta 1 day earlier.
Sepsis induced prolonged increases in alveolar TNF-alpha and IL-10 concentrations
and innate immune reprogramming; specifically, it reduced alveolar macrophage
(AM) phagocytosis and KC (CXCL1) secretion. Ex vivo AM exposure to TNF-alpha or
IL-10 duplicated cytokine release impairment. Compared with sepsis or pneumonia
alone, pneumonia after sepsis was associated with blunted alveolar KC responses
and reduced neutrophil recruitment into alveoli despite increased neutrophil
burden in lungs (i.e., "incomplete alveolar neutrophil recruitment"), reduced
bacterial clearance, increased lung injury, and markedly increased mortality.
Importantly, IFN-beta reversed the TNF-alpha/IL-10-mediated impairment of AM
cytokine secretion in vitro, restored alveolar innate immune responsiveness in
vivo, improved alveolar neutrophil recruitment and bacterial clearance, and
consequently reduced the odds ratio for 7-day mortality by 85% (odds ratio, 0.15;
95% confidence interval, 0.03-0.82; P = 0.045). This mouse model of sequential
sepsis -> pneumonia infection revealed incomplete alveolar neutrophil recruitment
as a novel pathogenic mechanism for postseptic ARDS, and systemic IFN-beta
improved survival by restoring the impaired function of AMs, mainly by recruiting
neutrophils to alveoli.
PMID- 29365278
TI - CT imaging features of antiresorptive agent-related osteonecrosis of the
jaw/medication-related osteonecrosis of the jaw.
AB - OBJECTIVES: Antiresorptive agent-related osteonecrosis of the jaw
(ARONJ)/medication-related osteonecrosis of the jaw (MRONJ) include both
bisphosphonate-related osteonecrosis of jaw (BRONJ) and denosumab-related
osteonecrosis of jaw (DRONJ). The purpose of this study is to study radiological
characteristics of ARONJ/MRONJ. These imaging features may serve as one useful
aid for assessing ARONJ/MRONJ. METHODS: CT scans of 74 Japanese patients, who
were clinically diagnosed by inclusion criteria of ARONJ/MRONJ, obtained between
April 1, 2011 and September 30, 2016, were evaluated. We investigated the CT
imaging features of ARONJ/MRONJ, and clarified radiological differentiation
between BRONJ and DRONJ, BRONJ due to oral bisphosphonate administration and due
to intravenous bisphosphonate administration, BRONJ with respective kinds of
medication, BRONJ with long-term administration and short-term administration,
BRONJ with each clinical staging respectively. Fisher's exact test, chi2 test,
Student's t-test and analysis of variance were performed in the statistical
analyses. RESULTS: Unilateral maxillary sinusitis was detected in all patients
with upper ARONJ/MRONJ (100%). DRONJ showed large sequestrum more frequently than
BRONJ (3/4, 75 vs 3/35, 8.6%, p < 0.05). DRONJ showed periosteal reaction more
frequently than BRONJ (4/10, 40 vs 7/65, 10.1%, p < 0.05). Patients of BRONJ
resulting from intravenous bisphosphonate administration showed larger and more
frequent buccolingual cortical bone perforations than BRONJ resulting from oral
bisphosphonate administration (7/8, 87.5 vs 11/30, 36.7%, p < 0.05). There was no
significant correlation between CT findings and respective kinds of medication,
long/short-term administration, clinical stages of BRONJ. CONCLUSIONS:
ARONJ/MRONJ has characteristic CT image findings which could be useful for its
assessment.
PMID- 29365279
TI - HIV gp120 in the Lungs of Antiretroviral Therapy-treated Individuals Impairs
Alveolar Macrophage Responses to Pneumococci.
AB - RATIONALE: People living with HIV are at significantly increased risk of invasive
pneumococcal disease, despite long-term antiretroviral therapy (ART). The
mechanism explaining this observation remains undefined. OBJECTIVES: To determine
if apoptosis-associated microbicidal mechanisms, required to clear intracellular
pneumococci that survive initial phagolysosomal killing, are perturbed. METHODS:
Alveolar macrophages (AM) were obtained by BAL from healthy donors or HIV-1
seropositive donors on long-term ART with undetectable plasma viral load.
Monocyte-derived macrophages (MDM) were obtained from healthy donors and infected
with HIV-1BaL or treated with gp120. Macrophages were challenged with opsonized
serotype 2 Streptococcus pneumoniae and assessed for apoptosis, bactericidal
activity, protein expression, and mitochondrial reactive oxygen species (mROS).
AM phenotyping, ultrasensitive HIV-1 RNA quantification, and gp120 measurement
were also performed in BAL. MEASUREMENTS AND MAIN RESULTS: HIV-1BaL infection
impaired apoptosis, induction of mROS, and pneumococcal killing by MDM. Apoptosis
associated pneumococcal killing was also reduced in AM from ART-treated HIV-1
seropositive donors. BAL fluid from these individuals demonstrated persistent
lung CD8+ T lymphocytosis, and gp120 or HIV-1 RNA was also detected. Despite
this, transcriptional activity in AM freshly isolated from people living with HIV
was broadly similar to healthy volunteers. Instead, gp120 phenocopied the defect
in pneumococcal killing in healthy MDM through post-translational modification of
Mcl-1, preventing apoptosis induction, caspase activation, and increased mROS
generation. Moreover, gp120 also inhibited mROS-dependent pneumococcal killing in
MDM. CONCLUSIONS: Despite ART, HIV-1, via gp120, drives persisting innate immune
defects in AM microbicidal mechanisms, enhancing susceptibility to pneumococcal
disease.
PMID- 29365280
TI - Microparticulate Caspase 1 Regulates Gasdermin D and Pulmonary Vascular
Endothelial Cell Injury.
AB - Lung endothelial cell apoptosis and injury occur throughout all stages of acute
lung injury/acute respiratory distress syndrome and impact disease progression.
Caspases 1, 4, and 5 are essential for completion of the apoptotic program known
as pyroptosis that also involves proinflammatory cytokines. Because gasdermin D
(GSDMD) mediates pyroptotic death and is essential for pore formation, we
hypothesized that it might direct caspase 1-encapsulated microparticle (MP)
release and mediate endothelial cell death. Our present work provides evidence
that GSDMD is released by LPS-stimulated THP-1 monocytic cells, where it is
packaged into microparticles together with active caspase 1. Furthermore, only MP
released from stimulated monocytic cells that contain both cleaved GSDMD and
active caspase 1 induce endothelial cell apoptosis. MPs pretreated with caspase 1
inhibitor Y-VAD or pan-caspase inhibitor Z-VAD do not contain cleaved GSDMD. MPs
from caspase 1-knockout cells are also deficient in p30 active GSDMD, further
confirming that caspase 1 regulates GSDMD function. Although control MPs
contained cleaved GSDMD without caspase 1, these fractions were unable to induce
cell death, suggesting that encapsulation of both caspase 1 and GSDMD is
essential for cell death induction. Release of microparticulate active caspase 1
was abrogated in GSDMD knockout cells, although cytosolic caspase 1 activation
was not impaired. Last, higher concentrations of microparticulate GSDMD were
detected in the plasma of septic patients with acute respiratory distress
syndrome than in that of healthy donors. Taken together, these findings suggest
that GSDMD regulates the release of microparticulate active caspase 1 from
monocytes essential for induction of cell death and thereby may play a critical
role in sepsis-induced endothelial cell injury.
PMID- 29365281
TI - Moving Ahead with a New NEJM.org.
PMID- 29365283
TI - Discounted Drugs for Needy Patients and Hospitals - Understanding the 340B
Debate.
PMID- 29365282
TI - Consequences of the 340B Drug Pricing Program.
AB - BACKGROUND: The 340B Drug Pricing Program entitles qualifying hospitals to
discounts on outpatient drugs, increasing the profitability of drug
administration. By tying the program eligibility of hospitals to their
Disproportionate Share Hospital (DSH) adjustment percentage, which reflects the
proportion of hospitalized patients who are low-income, the program is intended
to expand resources for underserved populations but provides no direct incentives
for hospitals to use financial gains to enhance care for low-income patients.
METHODS: We used Medicare claims and a regression-discontinuity design, taking
advantage of the threshold for program eligibility among general acute care
hospitals (DSH percentage, >11.75%), to isolate the effects of the program on
hospital-physician consolidation (i.e., acquisition of physician practices or
employment of physicians by hospitals) and on the outpatient administration of
parenteral drugs by hospital-owned facilities in three specialties in which
parenteral drugs are frequently used. For low-income patients, we also assessed
the effects of the program on the provision of care by hospitals and on
mortality. RESULTS: Hospital eligibility for the 340B Program was associated with
2.3 more hematologist-oncologists practicing in facilities owned by the hospital,
or 230% more hematologist-oncologists than expected in the absence of the program
(P=0.02), and with 0.9 (or 900%) more ophthalmologists per hospital (P=0.08) and
0.1 (or 33%) more rheumatologists per hospital (P=0.84). Program eligibility was
associated with significantly higher numbers of parenteral drug claims billed by
hospitals for Medicare patients in hematology-oncology (90% higher, P=0.001) and
ophthalmology (177% higher, P=0.03) but not rheumatology (77% higher, P=0.12).
Program eligibility was associated with lower proportions of low-income patients
in hematology-oncology and ophthalmology and with no significant differences in
hospital provision of safety-net or inpatient care for low-income groups or in
mortality among low-income residents of the hospitals' local service areas.
CONCLUSIONS: The 340B Program has been associated with hospital-physician
consolidation in hematology-oncology and with more hospital-based administration
of parenteral drugs in hematology-oncology and ophthalmology. Financial gains for
hospitals have not been associated with clear evidence of expanded care or lower
mortality among low-income patients. (Funded by the Agency for Healthcare
Research and Quality and others.).
PMID- 29365285
TI - Ectopic expression of Miro 1 ameliorates seizures and inhibits hippocampal
neurodegeneration in a mouse model of pilocarpine epilepsy.
AB - Epilepsy is a common disease of the central nervous system. This study aimed to
investigate the role of mitochondrial Rho (Miro) 1 in epilepsy, using a mouse
model of pilocarpine-induced status epilepticus (SE). Intraperitoneal injection
of pilocarpine induced epileptic seizures in mice and significantly decreased
Miro 1 expression in the hippocampus. Moreover, pilocarpine treatment increased
the serum levels of heat shock protein 70 (HSP70) and S100 calcium binding
protein B (S100B) and led to hippocampal neuronal injury and apoptosis. The
intrinsic apoptotic pathway was activated in the hippocampal neurons following
pilocarpine-induced SE, as evidenced by increased levels of cleaved caspase-3 and
Bax, downregulation of Bcl-2, and the release of cytochrome c from mitochondria
to cytoplasm. By contrast, forced expression of Miro 1 by lateral ventricular
administration of adenovirus mitigated pilocarpine-induced epileptic seizures,
reduced the elevation of HSP70 and S100B, and inhibited hippocampal neuronal
apoptosis by suppressing the intrinsic apoptotic pathway. In summary, our data
demonstrates that ectopic expression of Miro 1 alleviated pilocarpine-induced SE
and protected hippocampal neurons by inhibiting the intrinsic apoptotic pathway.
These findings provide new insights into epileptic disorders and suggest a
potential neuroprotective value of Miro 1 in the treatment of epilepsy.
PMID- 29365286
TI - Effect of cluster set warm-up configurations on sprint performance in collegiate
male soccer players.
AB - The purpose of this study was to determine if back squat cluster sets (CS) with
varying inter-repetition rest periods would potentiate greater sprint performance
compared with a traditional set parallel back squat in collegiate soccer players.
Twelve collegiate male soccer players (age, 21.0 +/- 2.0 years; height, 180.0 +/-
9.0 cm; body mass, 79.0 +/- 9.5 kg) performed a 20-m sprint prior to a
potentiation complex and at 1, 4, 7, and 10 min postexercise on 3 separate,
randomized occasions. On each occasion, the potentiation complex consisted of 1
set of 3 repetitions at 85% 1-repetition maximum (1RM) for the traditional
parallel back squat. However, on 1 occasion the 3-repetition set was performed in
a traditional manner (i.e., continuously), whereas on the other 2 occasions, 30s
(CS30) and 60 s (CS60) of rest were allotted between each repetition. Repeated
measures ANOVA revealed greater (p = 0.022) mean barbell velocity on CS60
compared with the traditional set. However, faster (p < 0.040) 20-m sprint times
were observed for CS30 (3.15 +/- 0.16 s) compared with traditional (3.20 +/- 0.17
s) only at 10 min postexercise. No other differences were observed. These data
suggest that a single cluster set of 3 repetitions with 30-s inter-repetition
rest periods at 85% 1RM acutely improves 20-m sprinting performance. Strength and
conditioning professionals and their athletes might consider its inclusion during
the specific warm-up to acutely improve athletic performance during the onset
(<=10 min) of training or competition.
PMID- 29365284
TI - Obesity, body weight regulation and the brain: insights from fMRI.
AB - Obesity constitutes a major global health threat. Despite the success of
bariatric surgery in delivering sustainable weight loss and improvement in
obesity-related morbidity, effective non-surgical treatments are urgently needed,
necessitating an increased understanding of body weight regulation. Neuroimaging
studies undertaken in people with healthy weight, overweight, obesity and
following bariatric surgery have contributed to identifying the
neurophysiological changes seen in obesity and help increase our understanding of
the mechanisms driving the favourable eating behaviour changes and sustained
weight loss engendered by bariatric surgery. These studies have revealed a key
interplay between peripheral metabolic signals, homeostatic and hedonic brain
regions and genetics. Findings from brain functional magnetic resonance imaging
(fMRI) studies have consistently associated obesity with an increased
motivational drive to eat, increased reward responses to food cues and impaired
food-related self-control processes. Interestingly, new data link these obesity
associated changes with structural and connectivity changes within the central
nervous system. Moreover, emerging data suggest that bariatric surgery leads to
neuroplastic recovery. A greater understanding of the interactions between
peripheral signals of energy balance, the neural substrates that regulate eating
behaviour, the environment and genetics will be key for the development of novel
therapeutic strategies for obesity. This review provides an overview of our
current understanding of the pathoaetiology of obesity with a focus upon the role
that fMRI studies have played in enhancing our understanding of the central
regulation of eating behaviour and energy homeostasis.
PMID- 29365287
TI - Platelets Play a Central Role in Sensitization to Allergen.
AB - Platelet activation occurs in patients with allergic inflammation, and platelets
can be activated directly by allergen via an IgE-dependent process. Platelets
have been shown to activate APCs such as CD11c+ dendritic cells in vitro.
Although CD11c+ dendritic cells are a requisite for allergen sensitization, the
role of platelets in this process is unknown. In this study, we investigated
whether platelets were necessary for allergen sensitization. Balb/c mice
sensitized to ovalbumin were exposed to subsequent aerosolized allergen
(ovalbumin challenge). We analyzed lung CD11c+ cell activation, colocalization
with platelets, and some other indices of inflammation. The role of platelets at
the time of allergen sensitization was assessed through platelet depletion
experiments restricted to the period of sensitization. Platelets colocalized with
airway CD11c+ cells, and this association increased after allergen sensitization
as well as after subsequent allergen exposure. Temporary platelet depletion
(>95%) at the time of allergen sensitization led to a suppression of IgE and IL-4
synthesis and to a decrease in the pulmonary recruitment of eosinophils,
macrophages, and lymphocytes after subsequent allergen exposure. Furthermore, in
mice previously depleted of platelets at the time of sensitization, the recovered
platelet population was shown to have reduced expression of FcepsilonRI.
Pulmonary CD11c+ cell recruitment was suppressed in these mice after allergen
challenge, suggesting that the migration of CD11c+ cells in vivo may be dependent
on direct platelet recognition of allergen. We conclude that platelets are
necessary for efficient host sensitization to allergen. This propagates the
subsequent inflammatory response during secondary allergen exposure and increases
platelet association with airway CD11c+ cells.
PMID- 29365288
TI - Influences of Cesarean Delivery on Breastfeeding Practices and Duration: A
Prospective Cohort Study.
AB - BACKGROUND: Mothers are encouraged to exclusively breastfeed for the first 6
months. However, cesarean delivery rates have increased worldwide, which may
affect breastfeeding. Research aim: This study aimed to determine the potential
effects of cesarean delivery on breastfeeding practices and breastfeeding
duration. METHODS: This was a 6-month cohort study extracted from a 24-month
prospective cohort study of mother-infant pairs in three communities in Hunan,
China. Data about participants' characteristics, delivery methods, breastfeeding
initiation, use of formula in the hospital, exclusive breastfeeding, and any
breastfeeding were collected at 1, 3, and 6 months following each infant's birth.
The chi-square test, logistic regression model, and Cox proportional hazard
regression model were used to examine the relationship between breastfeeding
practices and cesarean delivery. RESULTS: The number of women who had a cesarean
delivery was 387 (40.6%), and 567 (59.4%) women had a vaginal delivery. The
exclusive breastfeeding rates at 1, 3, and 6 months were 80.2%, 67.4%, and 21.5%,
respectively. Women who had a cesarean delivery showed a lower rate of exclusive
breastfeeding and any breastfeeding than those who had a vaginal delivery ( p <
.05). In addition, cesarean delivery was related with using formula in the
hospital and delayed breastfeeding initiation. Cesarean delivery also shortened
the breastfeeding duration (hazard ratio = 1.40, 95% confidence interval [1.06,
1.84]). CONCLUSION: Healthcare professionals should provide more breastfeeding
skills to women who have a cesarean delivery and warn mothers about the dangers
of elective cesarean section for breastfeeding practices.
PMID- 29365289
TI - Comparative genome analysis to identify SNPs associated with high oleic acid and
elevated protein content in soybean.
AB - The objective of this study was to determine the genetic relationship between the
oleic acid and protein content. The genotypes having high oleic acid and elevated
protein (HOEP) content were crossed with five elite lines having normal oleic
acid and average protein (NOAP) content. The selected accessions were grown at
six environments in three different locations and phenotyped for protein, oil,
and fatty acid components. The mean protein content of parents, HOEP, and NOAP
lines was 34.6%, 38%, and 34.9%, respectively. The oleic acid concentration of
parents, HOEP, and NOAP lines was 21.7%, 80.5%, and 20.8%, respectively. The HOEP
plants carried both FAD2-1A (S117N) and FAD2-1B (P137R) mutant alleles
contributing to the high oleic acid phenotype. Comparative genome analysis using
whole-genome resequencing data identified six genes having single nucleotide
polymorphism (SNP) significantly associated with the traits analyzed. A single
SNP in the putative gene Glyma.10G275800 was associated with the elevated protein
content, and palmitic, oleic, and linoleic acids. The genes from the marker
intervals of previously identified QTL did not carry SNPs associated with protein
content and fatty acid composition in the lines used in this study, indicating
that all the genes except Glyma.10G278000 may be the new genes associated with
the respective traits.
PMID- 29365291
TI - A comparative study on the effects of high-fat diet and endurance training on the
PGC-1alpha-FNDC5/irisin pathway in obese and nonobese male C57BL/6 mice.
AB - The present study was performed to clarify how a combined exercise/diet treatment
could affect the expression level of the muscle fibronectin type III domain
containing 5 (Fndc5) with respect to body fat mass. Male C57BL/6 mice were
divided into 2 groups including low-fat (LF) and high-fat (HF) diets for 12
weeks. Then, LF fed (nonobese) and HF fed mice (obese) were divided into the
following 4 groups: HF-Exercise, HF-Sedentary, LF-Exercise, and LF-Sedentary. The
exercise group exercised on a motor-driven treadmill for 45 min/day, 5 days/week
for 8 weeks. Mice were sacrificed 24 h after the final exercise session.
Gastrocnemius muscle and the visceral adipose tissue were excised and frozen for
the assessment of proliferator-activated receptor gamma coactivator 1 alpha (Pgc
1alpha) and Fndc5 messenger RNA (mRNA) and protein levels. Data indicated that
protein level of muscle PGC-1alpha was decreased in HF versus LF groups and in
obese versus nonobese mice. Moreover, Fndc5 mRNA levels were increased in the
muscle tissue of HF versus LF groups and in obese versus nonobese mice. Also, in
the gastrocnemius skeletal muscle, protein levels of FNDC5 were significantly
higher in the HF fed mice, as compared with their low-fat fed counterparts,
similar to what was observed for exercised versus sedentary mice. Overall, we
found that the HF diet increased Fndc5 transcript levels in the skeletal muscle,
but exercise had a minimal effect on the transcript level of Fndc5, whereas
endurance training increased the protein content of FNDC5 in the skeletal muscle.
PMID- 29365290
TI - Gray scale and doppler ultrasonography features of the carcinoma ex pleomorphic
adenoma.
AB - OBJECTIVES: For lesions in the salivary glands, ultrasonography (US) is an ideal
tool for initial assessment. The aim of this study was to characterize and
differentiate between the Gray scale and Doppler US findings of intracapsular
carcinoma ex pleomorphic adenoma (Ic-CxPA) and invasive carcinoma ex pleomorphic
adenoma (Inv-CxPA). METHODS: A total of 87 patients (89 lesions) with
histopathologically proven CxPA underwent US examination. The following
characteristics were assessed on US images: size, shape, border, echogenicity,
echo texture, posterior echo, vascularity, and regional lymph node enlargement.
One-way analysis of variance (ANOVA), nonparametric test, Fisher's exact test,
chi-square test and receiver operating characteristic (ROC) curve were used for
the analyses of the US findings of Ic-CxPAs and Inv-CxPAs. RESULTS: We found that
on US images, nearly all Ic-CxPAs (10/11) and a few of Inv-CxPAs (17/78) showed
benign features, such as a regular shape, a well-defined border, and enhancement
of posterior echo, while most Inv-CxPAs (61/78) showed one or more following
features: an irregular shape, an ill-defined border, and lack of enhancement of
posterior echo. CONCLUSIONS: Ic-CxPAs tended to exhibit benign features, whereas
most Inv-CxPAs tended to exhibit one or more invasive features. US can provide
strong evidence in differentiating Inv-CxPAs from Ic-CxPAs.
PMID- 29365292
TI - 3D cinematic rendering of the calvarium, maxillofacial structures, and skull
base: preliminary observations.
AB - Three-dimensional (3D) visualizations of volumetric data from CT have gained
widespread clinical acceptance and are an important method for evaluating complex
anatomy and pathology. Recently, cinematic rendering (CR), a new 3D visualization
methodology, has become available. CR utilizes a lighting model that allows for
the production of photorealistic images from isotropic voxel data. Given how new
this technique is, studies to evaluate its clinical utility and any potential
advantages or disadvantages relative to other 3D methods such as volume rendering
have yet to be published. In this pictorial review, we provide examples of normal
calvarial, maxillofacial, and skull base anatomy and pathological conditions that
highlight the potential for CR images to aid in patient evaluation and treatment
planning. The highly detailed images and nuanced shadowing that are intrinsic to
CR are well suited to the display of the complex anatomy in this region of the
body. We look forward to studies with CR that will ascertain the ultimate value
of this methodology to evaluate calvarium, maxillofacial, and skull base
morphology as well as other complex anatomic structures.
PMID- 29365293
TI - Telehealth.
PMID- 29365295
TI - Amyloid-Beta Solubility in the Treatment of Alzheimer's Disease.
PMID- 29365294
TI - Trial of Solanezumab for Mild Dementia Due to Alzheimer's Disease.
AB - BACKGROUND: Alzheimer's disease is characterized by amyloid-beta (Abeta) plaques
and neurofibrillary tangles. The humanized monoclonal antibody solanezumab was
designed to increase the clearance from the brain of soluble Abeta, peptides that
may lead to toxic effects in the synapses and precede the deposition of
fibrillary amyloid. METHODS: We conducted a double-blind, placebo-controlled,
phase 3 trial involving patients with mild dementia due to Alzheimer's disease,
defined as a Mini-Mental State Examination (MMSE) score of 20 to 26 (on a scale
from 0 to 30, with higher scores indicating better cognition) and with amyloid
deposition shown by means of florbetapir positron-emission tomography or Abeta1
42 measurements in cerebrospinal fluid. Patients were randomly assigned to
receive solanezumab at a dose of 400 mg or placebo intravenously every 4 weeks
for 76 weeks. The primary outcome was the change from baseline to week 80 in the
score on the 14-item cognitive subscale of the Alzheimer's Disease Assessment
Scale (ADAS-cog14; scores range from 0 to 90, with higher scores indicating
greater cognitive impairment). RESULTS: A total of 2129 patients were enrolled,
of whom 1057 were assigned to receive solanezumab and 1072 to receive placebo.
The mean change from baseline in the ADAS-cog14 score was 6.65 in the solanezumab
group and 7.44 in the placebo group, with no significant between-group difference
at week 80 (difference, -0.80; 95% confidence interval, -1.73 to 0.14; P=0.10).
As a result of the failure to reach significance with regard to the primary
outcome in the prespecified hierarchical analysis, the secondary outcomes were
considered to be descriptive and are reported without significance testing. The
change from baseline in the MMSE score was -3.17 in the solanezumab group and
3.66 in the placebo group. Adverse cerebral edema or effusion lesions that were
observed on magnetic resonance imaging after randomization occurred in 1 patient
in the solanezumab group and in 2 in the placebo group. CONCLUSIONS: Solanezumab
at a dose of 400 mg administered every 4 weeks in patients with mild Alzheimer's
disease did not significantly affect cognitive decline. (Funded by Eli Lilly;
EXPEDITION3 ClinicalTrials.gov number, NCT01900665 .).
PMID- 29365296
TI - To Care Is Human - Collectively Confronting the Clinician-Burnout Crisis.
PMID- 29365297
TI - Fertility Preservation in Women.
PMID- 29365298
TI - PEPFAR - 15 Years and Counting the Lives Saved.
PMID- 29365299
TI - Surgery for Drug-Resistant Epilepsy in Children.
PMID- 29365300
TI - Calcified Spleen and Gallstones.
PMID- 29365301
TI - Beyond Burnout - Redesigning Care to Restore Meaning and Sanity for Physicians.
PMID- 29365302
TI - Rivaroxaban in Stable Cardiovascular Disease.
PMID- 29365303
TI - Hemorrhagic Shock.
PMID- 29365304
TI - Creutzfeldt-Jakob Disease.
PMID- 29365305
TI - Acute Myocardial Infarction after Laboratory-Confirmed Influenza Infection.
AB - BACKGROUND: Acute myocardial infarction can be triggered by acute respiratory
infections. Previous studies have suggested an association between influenza and
acute myocardial infarction, but those studies used nonspecific measures of
influenza infection or study designs that were susceptible to bias. We evaluated
the association between laboratory-confirmed influenza infection and acute
myocardial infarction. METHODS: We used the self-controlled case-series design to
evaluate the association between laboratory-confirmed influenza infection and
hospitalization for acute myocardial infarction. We used various high-specificity
laboratory methods to confirm influenza infection in respiratory specimens, and
we ascertained hospitalization for acute myocardial infarction from
administrative data. We defined the "risk interval" as the first 7 days after
respiratory specimen collection and the "control interval" as 1 year before and 1
year after the risk interval. RESULTS: We identified 364 hospitalizations for
acute myocardial infarction that occurred within 1 year before and 1 year after a
positive test result for influenza. Of these, 20 (20.0 admissions per week)
occurred during the risk interval and 344 (3.3 admissions per week) occurred
during the control interval. The incidence ratio of an admission for acute
myocardial infarction during the risk interval as compared with the control
interval was 6.05 (95% confidence interval [CI], 3.86 to 9.50). No increased
incidence was observed after day 7. Incidence ratios for acute myocardial
infarction within 7 days after detection of influenza B, influenza A, respiratory
syncytial virus, and other viruses were 10.11 (95% CI, 4.37 to 23.38), 5.17 (95%
CI, 3.02 to 8.84), 3.51 (95% CI, 1.11 to 11.12), and 2.77 (95% CI, 1.23 to 6.24),
respectively. CONCLUSIONS: We found a significant association between respiratory
infections, especially influenza, and acute myocardial infarction. (Funded by the
Canadian Institutes of Health Research and others.).
PMID- 29365306
TI - Treating and Preventing HIV with Generic Drugs - Barriers in the United States.
PMID- 29365307
TI - Monitoring Neuromuscular Function.
PMID- 29365308
TI - Case 3-2018: A 5-Month-Old Boy with Hypoglycemia.
PMID- 29365310
TI - Aquaporin 11 is related to cryotolerance and fertilising ability of frozen?thawed
bull spermatozoa.
AB - Aquaporins (AQPs) are channel proteins involved in the transport of water and
solutes across biological membranes. In the present study we identified and
localised aquaporin 11 (AQP11) in bull spermatozoa and investigated the
relationship between the relative AQP11 content, sperm cryotolerance and the
fertilising ability of frozen-thawed semen. Bull ejaculates were classified into
two groups of good and poor freezability and assessed through immunofluorescence
and immunoblotting analyses before and after cryopreservation. AQP11 was
localised throughout the entire tail and along the sperm head. These findings
were confirmed through immunoblotting, which showed a specific band of
approximately 50 kDa corresponding to AQP11. The relative amount of AQP11 was
significantly (P<0.05) higher in both fresh and frozen-thawed spermatozoa from
bull ejaculates with good freezability compared with those with poorer
freezability. In addition, in vitro oocyte penetration rates and non-return rates
56 days after AI were correlated with the relative AQP11 content in fresh
spermatozoa. In conclusion, AQP11 is present in the head and tail of bull
spermatozoa and its relative amount in fresh and frozen-thawed spermatozoa is
related to the resilience of the spermatozoa to withstand cryopreservation and
the fertilising ability of frozen-thawed spermatozoa. Further research is needed
to elucidate the actual role of sperm AQP11 in bovine fertility.
PMID- 29365309
TI - Glecaprevir-Pibrentasvir for 8 or 12 Weeks in HCV Genotype 1 or 3 Infection.
AB - BACKGROUND: Glecaprevir and pibrentasvir are direct-acting antiviral agents with
pangenotypic activity and a high barrier to resistance. We evaluated the efficacy
and safety of 8-week and 12-week courses of treatment with 300 mg of glecaprevir
plus 120 mg of pibrentasvir in patients without cirrhosis who had hepatitis C
virus (HCV) genotype 1 or 3 infection. METHODS: We conducted two phase 3,
randomized, open-label, multicenter trials. Patients with genotype 1 infection
were randomly assigned in a 1:1 ratio to receive once-daily glecaprevir
pibrentasvir for either 8 or 12 weeks. Patients with genotype 3 infection were
randomly assigned in a 2:1 ratio to receive 12 weeks of treatment with either
glecaprevir-pibrentasvir or sofosbuvir-daclatasvir. Additional patients with
genotype 3 infection were subsequently enrolled and nonrandomly assigned to
receive 8 weeks of treatment with glecaprevir-pibrentasvir. The primary end point
was the rate of sustained virologic response 12 weeks after the end of treatment.
RESULTS: In total, 1208 patients were treated. The rate of sustained virologic
response at 12 weeks among genotype 1-infected patients was 99.1% (95% confidence
interval [CI], 98 to 100) in the 8-week group and 99.7% (95% CI, 99 to 100) in
the 12-week group. Genotype 3-infected patients who were treated for 12 weeks had
a rate of sustained virologic response at 12 weeks of 95% (95% CI, 93 to 98; 222
of 233 patients) with glecaprevir-pibrentasvir and 97% (95% CI, 93 to 99.9; 111
of 115) with sofosbuvir-daclatasvir; 8 weeks of treatment with glecaprevir
pibrentasvir yielded a rate of 95% (95% CI, 91 to 98; 149 of 157 patients).
Adverse events led to discontinuation of treatment in no more than 1% of patients
in any treatment group. CONCLUSIONS: Once-daily treatment with glecaprevir
pibrentasvir for either 8 weeks or 12 weeks achieved high rates of sustained
virologic response among patients with HCV genotype 1 or 3 infection who did not
have cirrhosis. (Funded by AbbVie; ENDURANCE-1 and ENDURANCE-3 ClinicalTrials.gov
numbers, NCT02604017 and NCT02640157 .).
PMID- 29365311
TI - Biocompatible coupling of therapeutic fusion proteins to human erythrocytes.
AB - Carriage of drugs by red blood cells (RBCs) modulates pharmacokinetics,
pharmacodynamics, and immunogenicity. However, optimal targets for attaching
therapeutics to human RBCs and adverse effects have not been studied. We
engineered nonhuman-primate single-chain antibody fragments (scFvs) directed to
human RBCs and fused scFvs with human thrombomodulin (hTM) as a representative
biotherapeutic cargo (hTM-scFv). Binding fusions to RBCs on band 3/glycophorin A
(GPA; Wright b [Wrb] epitope) and RhCE (Rh17/Hr0 epitope) similarly endowed RBCs
with hTM activity, but differed in their effects on RBC physiology. scFv and hTM
scFv targeted to band 3/GPA increased membrane rigidity and sensitized RBCs to
hemolysis induced by mechanical stress, while reducing sensitivity to hypo
osmotic hemolysis. Similar properties were seen for other ligands bound to GPA
and band 3 on human and murine RBCs. In contrast, binding of scFv or hTM-scFv to
RhCE did not alter deformability or sensitivity to mechanical and osmotic stress
at similar copy numbers bound per RBCs. Contrasting responses were also seen for
immunoglobulin G antibodies against band 3, GPA, and RhCE. RBC-bound hTM-scFv
generated activated protein C (APC) in the presence of thrombin, but RhCE
targeted hTM-scFv demonstrated greater APC generation per bound copy. Both Wrb-
and RhCE-targeted fusion proteins inhibited fibrin deposition induced by tumor
necrosis factor-alpha in an endothelialized microfluidic model using human whole
blood. RhCE-bound hTM-scFv more effectively reduced platelet and leukocyte
adhesion, whereas anti-Wrb scFv appeared to promote platelet adhesion. These data
provide a translational framework for the development of engineered affinity
ligands to safely couple therapeutics to human RBCs.
PMID- 29365313
TI - The human IL-15 superagonist ALT-803 directs SIV-specific CD8+ T cells into B
cell follicles.
AB - Sequestering of latent HIV in follicular helper T cells within B-cell follicles
that largely exclude cytotoxic T cells is a major barrier to cellular immune
based approaches to eradicate HIV. Here, we show that the clinical-grade human
interleukin-15 (IL-15) superagonist ALT-803 activates and redirects simian
immunodeficiency virus (SIV)-specific CD8+ T cells from the peripheral blood into
B-cell follicles. In agreement with the increased trafficking of SIV-specific
cytotoxic T cells to sites of cryptic viral replication, lymph nodes of elite
controlling macaques contained fewer cells expressing SIV RNA or harboring SIV
DNA post-ALT-803 treatment. These data establish ALT-803 as an immunotherapeutic
for HIV and other chronic viral pathogens that evade host immunity by persisting
in B-cell follicles.
PMID- 29365315
TI - Kwun J, Burghuber C, Manook M, et al. Successful desensitization with proteasome
inhibition and costimulation blockade in sensitized nonhuman primates. Blood Adv.
2017;1(24):2115-2119.
PMID- 29365312
TI - Mutational dynamics of early and late relapsed childhood ALL: rapid clonal
expansion and long-term dormancy.
AB - Childhood acute lymphoblastic leukemia (cALL) is the most frequent pediatric
cancer. Refractory/relapsed cALL presents a survival rate of ~45% and is still
one of the leading causes of death by disease among children. Mechanisms, such as
clonal competition and evolutionary adaptation, govern treatment resistance.
However, the underlying clonal dynamics leading to multiple relapses and
differentiating early (<36 months postdiagnosis) from late relapse events remain
elusive. Here, we use an integrative genome-based analysis combined with serial
sampling of relapsed tumors (from primary tumor to <=4 relapse events) from 19
pre-B-cell cALL patients (8 early and 11 late relapses) to assess the fitness of
somatic mutations and infer their ancestral relationships. By quantifying both
general clonal dynamics and newly acquired subclonal diversity, we show that 2
distinct evolutionary patterns govern early and late relapse: on one hand, a
highly dynamic pattern, sustained by a putative defect of DNA repair processes,
illustrating the quick emergence of fitter clones, and on the other hand, a quasi
inert evolution pattern, suggesting the escape from dormancy of leukemia stem
cells likely spared from initial cytoreductive therapy. These results offer new
insights into cALL relapse mechanisms and highlight the pressing need for adapted
treatment strategies to circumvent resistance mechanisms.
PMID- 29365314
TI - Factor XI contributes to myocardial ischemia-reperfusion injury in mice.
PMID- 29365316
TI - Manipulating hemoglobin oxygenation using silica nanoparticles: a novel prospect
for artificial oxygen carriers.
AB - Recently, nanoparticles have attracted much attention as new scaffolds for
hemoglobin-based oxygen carriers (HBOCs). Indeed, the development of
bionanotechnology paves the way for the rational design of blood substitutes,
providing that the interaction between the nanoparticles and hemoglobin at a
molecular scale and its effect on the oxygenation properties of hemoglobin are
finely controlled. Here, we show that human hemoglobin has a high affinity for
silica nanoparticles, leading to the adsorption of hemoglobin tetramers on the
surface. The adsorption process results in a remarkable retaining of the
oxygenation properties of human adult hemoglobin and sickle cell hemoglobin,
associated with an increase of the oxygen affinity. The cooperative oxygen
binding exhibited by adsorbed hemoglobin and the comparison with the oxygenation
properties of diaspirin cross-linked hemoglobin confirmed the preservation of the
tetrameric structure of hemoglobin loaded on silica nanoparticles. Our results
show that silica nanoparticles can act as an effector for human native and mutant
hemoglobin. Manipulating hemoglobin oxygenation using nanoparticles opens the way
to the design of novel HBOCs.
PMID- 29365317
TI - Quantitative proteomics of plasma vesicles identify novel biomarkers for
hemoglobin E/beta-thalassemic patients.
AB - Hemoglobin E (HbE)/beta-thalassemia has a wide spectrum of clinical
manifestations that cannot be explained purely by its genetic background.
Circulating extracellular vesicles (EVs) are one factor that likely contributes
to disease severity. This study has explored the differences in protein
composition and quantity between EVs from HbE/beta-thalassemic patients and
healthy individuals. We used tandem mass tag labeling mass spectrometry to
analyze the EV proteins isolated from the plasma of 15 patients compared with the
controls. To reduce biological variation between individuals, the EV proteins
isolated from randomly assigned groups of 5 HbE/beta-thalassemic patients were
pooled and compared with 5 pooled age- and sex-matched controls in 3 separate
experiments. Alpha hemoglobin-stabilizing protein had the highest fold increase.
Catalase, superoxide dismutase, T-complex proteins, heat shock proteins,
transferrin receptor, ferritin, and cathepsin S were also upregulated in
thalassemic circulating EVs. Importantly, haptoglobin and hemopexin were
consistently reduced in patients' EVs across all data sets, in keeping with the
existing hemolysis that occurs in thalassemia. The proteomic data analysis of EV
samples isolated from 6 individual HbE/beta-thalassemic patients and western
blotting results corroborated these findings. In conclusion, we have successfully
identified consistent alterations of protein quantity between EVs from HbE/beta
thalassemic and healthy individuals. This work highlights haptoglobin, hemopexin,
and cathepsin S as potential clinically relevant biomarkers for levels of
hemolysis and inflammation. Monitoring of these plasma proteins could help in the
clinical management of thalassemia.
PMID- 29365319
TI - Survival of ethnic and racial minority patients with multiple myeloma treated
with newer medications.
PMID- 29365318
TI - Recipient priming to one RBC alloantigen directly enhances subsequent
alloimmunization in mice.
AB - Individuals that become immunized to red blood cell (RBC) alloantigens can
experience an increased rate of antibody formation to additional RBC alloantigens
following subsequent transfusion. Despite this, how an immune response to one RBC
immunogen may impact subsequent alloimmunization to a completely different RBC
alloantigen remains unknown. Our studies demonstrate that Kell blood group
antigen (KEL) RBC transfusion in the presence of inflammation induced by poly
(I:C) (PIC) not only enhances anti-KEL antibody production through a CD4+ T-cell
dependent process but also directly facilitates anti-HOD antibody formation
following subsequent exposure to the disparate HOD (hen egg lysozyme, ovalbumin,
fused to human blood group antigen Duffy b) antigen. PIC/KEL priming of the anti
HOD antibody response required that RBCs express both the KEL and HOD antigens
(HOD * KEL RBCs), as transfusion of HOD RBCs plus KEL RBCs or HOD RBCs alone
failed to impact anti-HOD antibody formation in recipients previously primed with
PIC/KEL. Transfer of CD4+ T cells from PIC/KEL-primed recipients directly
facilitated anti-HOD antibody formation following (HOD * KEL) RBC transfusion.
RBC alloantigen priming was not limited to PIC/KEL enhancement of anti-HOD
alloantibody formation, as HOD-reactive CD4+ T cells enhanced anti-glycophorin A
(anti-GPA) antibody formation in the absence of inflammation following
transfusion of RBCs coexpressing GPA and HOD. These results demonstrate that
immune priming to one RBC alloantigen can directly enhance a humoral response to
a completely different RBC alloantigen, providing a potential explanation for why
alloantibody responders may exhibit increased immune responsiveness to additional
RBC alloantigens following subsequent transfusion.
PMID- 29365320
TI - Poor outcome with hematopoietic stem cell transplantation for bone marrow failure
and MDS with severe MIRAGE syndrome phenotype.
PMID- 29365322
TI - Rivaroxaban dose adjustment using thrombin generation in severe congenital
protein C deficiency and warfarin-induced skin necrosis.
PMID- 29365321
TI - Immune rebound associates with a favorable clinical response to autologous HSCT
in systemic sclerosis patients.
AB - To evaluate the immunological mechanisms associated with clinical outcomes after
autologous hematopoietic stem cell transplantation (AHSCT), focusing on
regulatory T- (Treg) and B- (Breg) cell immune reconstitution, 31 systemic
sclerosis (SSc) patients underwent simultaneous clinical and immunological
evaluations over 36-month posttransplantation follow-up. Patients were
retrospectively grouped into responders (n = 25) and nonresponders (n = 6),
according to clinical response after AHSCT. Thymic function and B-cell neogenesis
were respectively assessed by quantification of DNA excision circles generated
during T- and B-cell receptor rearrangements. At the 1-year post-AHSCT evaluation
of the total set of transplanted SSc patients, thymic rebound led to renewal of
the immune system, with higher T-cell receptor (TCR) diversity, positive
correlation between recent thymic emigrant and Treg counts, and higher expression
of CTLA-4 and GITR on Tregs, when compared with pretransplant levels. In
parallel, increased bone marrow output of newly generated naive B-cells, starting
at 6 months after AHSCT, renovated the B-cell populations in peripheral blood. At
6 and 12 months after AHSCT, Bregs increased and produced higher interleukin-10
levels than before transplant. When the nonresponder patients were evaluated
separately, Treg and Breg counts did not increase after AHSCT, and high TCR
repertoire overlap between pre- and posttransplant periods indicated maintenance
of underlying disease mechanisms. These data suggest that clinical improvement of
SSc patients is related to increased counts of newly generated Tregs and Bregs
after AHSCT as a result of coordinated thymic and bone marrow rebound.
PMID- 29365323
TI - Prognostic tumor sequencing panels frequently identify germ line variants
associated with hereditary hematopoietic malignancies.
AB - Next-generation sequencing (NGS)-based targeted gene capture panels are used to
profile hematopoietic malignancies to guide prognostication and treatment
decisions. Because these panels include genes associated with hereditary
hematopoietic malignancies (HHMs), we hypothesized that these panels could
identify pathogenic germ line variants in malignant cells, thereby identifying
patients at risk for HHMs. In total, pathogenic or likely pathogenic variants in
ANKRD26, CEBPA, DDX41, ETV6, GATA2, RUNX1, or TP53 were identified in 74 (21%) of
360 patients. Germ line tissue was available for 24 patients with 25 pathogenic
or likely pathogenic variants with variant allele frequencies >0.4. Six (24%) of
these 25 variants were of germ line origin. Three DDX41 variants, 2 GATA2
variants, and a TP53 variant previously implicated in Li-Fraumeni syndrome were
of germ line origin. No likely pathogenic/pathogenic germ line variants possessed
variant allele frequencies <0.4. This study demonstrates that NGS-based
prognostic panels may identify individuals at risk for HHMs despite not being
designed for this purpose. Furthermore, variants known to cause Li-Fraumeni
syndrome as well as known pathogenic variants in genes such as DDX41 and GATA2
are especially likely to be of germ line origin. Thus, tumor-based panels may
augment, but should not replace, comprehensive germ line-based testing and
counseling.
PMID- 29365325
TI - Choosing for whom to recommend allogeneic transplantation for acute myeloid
leukemia in CR1: a continued, complicated conversation.
PMID- 29365324
TI - Expression profiling of snoRNAs in normal hematopoiesis and AML.
AB - Small nucleolar RNAs (snoRNAs) are noncoding RNAs that contribute to ribosome
biogenesis and RNA splicing by modifying ribosomal RNA and spliceosome RNAs,
respectively. We optimized a next-generation sequencing approach and a custom
analysis pipeline to identify and quantify expression of snoRNAs in acute myeloid
leukemia (AML) and normal hematopoietic cell populations. We show that snoRNAs
are expressed in a lineage- and development-specific fashion during
hematopoiesis. The most striking examples involve snoRNAs located in 2 imprinted
loci, which are highly expressed in hematopoietic progenitors and downregulated
during myeloid differentiation. Although most snoRNAs are expressed at similar
levels in AML cells compared with CD34+, a subset of snoRNAs showed consistent
differential expression, with the great majority of these being decreased in the
AML samples. Analysis of host gene expression, splicing patterns, and whole
genome sequence data for mutational events did not identify transcriptional
patterns or genetic alterations that account for these expression differences.
These data provide a comprehensive analysis of the snoRNA transcriptome in normal
and leukemic cells and should be helpful in the design of studies to define the
contribution of snoRNAs to normal and malignant hematopoiesis.
PMID- 29365326
TI - rRNA-gene methylation and biological aging.
PMID- 29365327
TI - Histologic Funisitis and Likelihood of Intrauterine Inflammation or Infection: A
Case-Control Study.
AB - OBJECTIVE: The objective of this study was to ascertain the likelihood of
isolated maternal fever and suspected intrauterine inflammation or infection or
both (Triple I) among cases of histologic chorioamnionitis with funisitis (HCF)
at term. STUDY DESIGN: In this case-control study, placental pathology records
were reviewed to identify term singleton laboring patients with HCF. Controls
(1:1) were matched for gestational age. RESULTS: During the 6-month period,
there were 2,399 term deliveries of laboring women. Of 1,552 (65%) term placentas
examined, 4% (n = 60) had HCF.Features of Triple I were significantly more common
among cases than controls: (1) isolated maternal fever of >=100.4 degrees F,
twice, at least 30 minutes apart (p = 0.014); (2) fever with fetal tachycardia (p
= 0.029); 3) fever with either fetal tachycardia or white blood cell count
greater than 15,000 per mm3 (p = 0.034). The feature of Triple I with the highest
sensitivity at 10% (95% confidence intervals [CI] 4-21%) was isolated maternal
fever using >=100.4 degrees F on two occasions. The specificity for all features
was consistently 100% (95% CI 91-100%). CONCLUSION: To our knowledge, this is
the first report on HCF and Triple I features. Though the sensitivity of Triple I
to identify HCF is low, specificity is excellent.
PMID- 29365328
TI - Outcomes of Spontaneous Labor in Women Undergoing Trial of Labor after Cesarean
as Compared with Nulliparous Women: A Retrospective Cohort Study.
AB - OBJECTIVE: The objective of this study was to compare spontaneous labor outcomes
in women undergoing trial of labor after cesarean (TOLAC) and nulliparas to
better counsel women. STUDY DESIGN: A 4-year retrospective cohort. We included
women at term in spontaneous labor with vertex singletons and no more than one
prior cesarean delivery. In planned secondary analysis, we focused on a subset of
women with a prior cesarean and a predicted likelihood of a successful vaginal
delivery of 70% or more based on the Maternal-Fetal Medicine Units-vaginal birth
after cesarean (VBAC) calculator. RESULTS: Our cohort included 606 TOLACS and
606 nulliparas. Women undergoing TOLAC were more likely to undergo cesarean
delivery (25.7 vs. 14.7%; p < 0.001). Severe maternal hemorrhage (1.5 vs. 0.2%; p
= 0.02) and uterine rupture (1.9 vs. 0.0%; p < 0.01) were more likely in the
TOLAC group. For the subset of women with a predicted likelihood of VBAC of 70%
or more, there were no differences in cesarean delivery (16.7 vs. 14.7%; p =
0.51), maternal, or immediate neonatal complications. CONCLUSION: Women
undergoing TOLAC were more likely to have a cesarean delivery, hemorrhage, or
uterine rupture. Those with more than 70% predicted likelihood of VBAC were no
more likely to experience these outcomes. These findings help contextualize the
risks of TOLAC for women considering this option.
PMID- 29365329
TI - The Relationship between Body Mass Index in Pregnancy and Adverse Maternal,
Perinatal, and Neonatal Outcomes in Rural India and Pakistan.
AB - OBJECTIVE: The objective of this study was to describe the relationship between
early pregnancy body mass index (BMI) and maternal, perinatal, and neonatal
outcomes in rural India and Pakistan. STUDY DESIGN: In a prospective, population
based pregnancy registry implemented in communities in Thatta, Pakistan and
Nagpur and Belagavi, India, we obtained women's BMI prior to 12 weeks' gestation
(categorized as underweight, normal, overweight, and obese following World Health
Organization criteria). Outcomes were assessed 42 days postpartum. RESULTS: The
proportion of women with an adverse maternal outcome increased with increasing
maternal BMI. Less than one-third of nonoverweight/nonobese women, 47.2% of
overweight women, and 56.0% of obese women experienced an adverse maternal
outcome. After controlling for site, maternal age and parity, risks of
hypertensive disease/severe preeclampsia/eclampsia, cesarean/assisted delivery,
and antibiotic use were higher among women with higher BMIs. Overweight women
also had significantly higher risk of perinatal and early neonatal mortality
compared with underweight/normal BMI women. Overweight women had a significantly
higher perinatal mortality rate. CONCLUSION: High BMI in early pregnancy was
associated with increased risk of adverse maternal, perinatal, and neonatal
outcomes in rural India and Pakistan. These findings present an opportunity to
inform efforts for women to optimize weight prior to conception to improve
pregnancy outcomes.
PMID- 29365330
TI - Is Uterocervical Angle Associated with Gestational Latency after Physical Exam
Indicated Cerclage?
AB - OBJECTIVE: Prediction of gestational latency after placement of physical exam
indicated cerclage (PEIC) is limited. Uterocervical angle (UCA) has been
associated with spontaneous preterm delivery in the general population. Our
objective was to examine whether UCA is associated with gestational latency in
women with PEIC. STUDY DESIGN: This retrospective cohort included women with a
singleton gestation who had PEIC placed at a single tertiary care center between
January 2010 and September 2015. Ultrasound images of the cervix obtained prior
to placement of PEIC were reviewed. Spearman's correlation coefficient for the
relationship between UCA and gestational latency was estimated. UCA was
dichotomized at 95 degrees and 105 degrees . Survival analyses were performed
and Cox proportional hazard ratios were calculated. RESULTS: Sixty women met the
inclusion criteria. Median gestational latency was 93 days (IQR 39-121 d). There
was no significant correlation between UCA and gestational latency (Spearman's
rho 0.08, p = 0.54). Survival analyses demonstrated no significant difference in
gestational latency stratified by UCA >= 95 degrees (HR 1.19, 95% CI 0.70-2.04)
or UCA >= 105 degrees (HR 0.95, 95% CI 0.56-1.63). Findings persisted after
adjusting for potential confounders (aHR 1.29, 95% CI 0.74-2.23 for UCA >= 95
degrees and aHR 1.04, 95% CI 0.60-1.82). CONCLUSION: UCA is not associated with
gestational latency in women with PEIC.
PMID- 29365331
TI - ?
PMID- 29365332
TI - Cardiovascular Risk Factors in Acromegaly: What's the Impact of Disease Control?
AB - OBJECTIVE: Cardiovascular disease is one of the most important causes of death in
acromegalic patients. The aim of this study is to compare the prevalence of
cardiovascular risk factors among acromegalic patients and to evaluate the impact
of disease control on these factors. MATERIAL AND METHODS: 11 acromegalic
patients with active disease and 12 controlled patients were evaluated for blood
pressure, body mass index, glucose, coagulation status, and lipid profile. A
group of 11 patients with non-functioning pituitary adenomas was used as control
population. RESULTS: Significant differences were found in lipid profile, glucose
and coagulation status in both active and controlled patients. Higher levels of
fasting glucose (151.2+/-102.5 mg/dL, p=0.05 and 108.3+/-23.4 mg/dL, p=0.02 for
active and controlled patients respectively) and fibrinogen (427.1+/-61.9 mg/dL,
p=0.02 and 437.3+/-106.6 mg/dL, p=0.04 for active and controlled patients
respectively) were present in both acromegalic groups. Active patients had higher
levels of antithrombin III (1.1+/-0.1 U/mL, p=0.005) and the controlled ones had
higher levels of high density lipoprotein cholesterol (56.1+/-12.5 mg/dL,
p=0.05), compared with the non-functioning group. The differences between active
and controlled acromegalic patients are that the latter have reduced total
cholesterol (170.4+/-31.7 vs 201.7+/-34.6 mg/dL, p=0.02), lower density
lipoprotein cholesterol (96,8+/-25,2 vs 130.8+/-31.5 mg/dL, p=0.01) and
antithrombin III (1.0+/-0.2 vs 1.1+/-0.1 U/mL, p=0.05). CONCLUSION: There is some
reduction in cardiovascular risk factors with control of the disease, but
possibly without the return to basal levels.
PMID- 29365333
TI - Effects of Coenzyme Q10 Supplementation on Serum Values of Gamma-glutamyl
transferase, Pseudocholinesterase, Bilirubin, Ferritin, and High-Sensitivity C
Reactive Protein in Women with Type 2 Diabetes.
AB - BACKGROUND: Type 2 diabetes mellitus (T2DM) is a disease associated with
increased oxidative stress which results from mitochondrial dysfunction. Coenzyme
Q10 (CoQ10) is an essential antioxidant for energy production in mitochondria.
The purpose of this randomized double-blind clinical trial study was to evaluate
the effects of CoQ10 supplementation on serum values of gamma-glutamyl
transferase (GGT), pseudocholinesterase (PchE), bilirubin, ferritin, and high
sensitivity c-reactive protein (hs-CRP) and metabolic syndrome biomarkers in
women with T2DM. MATERIAL & METHODS: Eighty women with T2DM enrolled in this
study. Thirty six of them were randomized in the drug group (receiving 100 mg/day
of CoQ10) and 44 women were randomized in placebo group. Intervention was
continued for 12 weeks. In both groups 35 subjects finished the study and were
included in the analysis. Serum levels of the variables were measured before and
after supplementation. RESULTS: Serum values of FBS (P=0.039), HOMA-IR (P=0.01),
ferritin (P<0.001), total cholesterol (TC) (P=0.006), LDL-C (P=0.007) decreased
and HDL-C (P=0.02) increased significantly in the drug group after intervention.
Serum levels of triglyceride (P=0.09) decreased marginally in CoQ10 group.
CONCLUSIONS: The results of the current study had shown that after
supplementation with 100 mg/day of CoQ10 for 12 weeks, serum values of FBS, HOMA
IR, TC, LDL-C and ferritin were decreased and values of HDL-C were increased in
women with T2DM.
PMID- 29365334
TI - Berberine Modulates Gut Microbiota and Reduces Insulin Resistance via the TLR4
Signaling Pathway.
AB - Berberine, a natural compound extracted from several Chinese herbs including
Coptis chinensis, has been shown to have anti-obesity effects and prevents
insulin resistance in high-fat diet (HFD)-fed obese rats by modulating the gut
microbiota; however, the molecular mechanisms underlying these activities remain
unknown. We investigated the effects of berberine on obesity and insulin
resistance by examining the lipopolysaccharide (LPS)/toll-like receptor 4
(TLR4)/tumor necrosis factor (TNF)-alpha signaling pathway in livers of HFD-fed
obese rats. Our results showed that 8-week berberine (200 mg/kg) treatment
significantly reduced fasting blood glucose, triglyceride, low-density
lipoprotein-cholesterol and insulin resistance in HFD-fed obese rats. However,
berberine had no significant effects on body weight, visceral fat mass or the
visceral fat to body weight ratio. Berberine also attenuated HFD-induced hepatic
steatosis. A prolonged HFD altered the gut microbiota composition by reducing
protective bacteria like Bifidobacterium and increasing gram negative bacteria
like Escherichia coli, which resulted in increased LPS release into plasma.
Berberine reversed these effects and inhibited LPS-induced TLR4/TNF-alpha
activation, resulting in increased insulin receptor and insulin receptor
substrate-1 expression in the liver. These findings suggested that berberine may
reduce insulin resistance, at least in part by modulating the gut microbiota
along with inhibiting LPS/TLR4/TNF-alpha signaling in the liver.
PMID- 29365335
TI - Screening for Hypogonadism in Primary Healthcare: How to do this Effectively.
AB - BACKGROUND: Testosterone, the most important androgen produced by the testes,
plays an integral role in male health. Testosterone levels are increasingly being
checked in primary healthcare as awareness of the risks of male hypogonadism
grows. AIM: To investigate what tests are performed to screen for hypogonadism
and to exclude secondary hypogonadism. DESIGN AND SETTING: All participants
attended general practices in the UK. METHODS: Data search was performed using
the EMIS(r): clinical database (provider of the majority of GP operating systems
in Cheshire). The anonymised records of male patients aged 18-98 years who had
undergone a check of serum testosterone during a 10-year period were analysed.
RESULTS: Overall screening rate was 4.3%. Of 8 788 men with a testosterone
result, 1 924 men (21.9%) had a total testosterone level <10 nmol/L. Just 689 of
8 788 men (7.8%) had a sex hormone-binding globulin (SHBG) result, corresponding
to 30.5% of those potentially hypogonadal. Estimated free testosterone was
negatively associated with BMI (Spearman's rho -0.2, p<0.001) as was total
testosterone in the over 50 s. Of 1 924 potentially hypogonadal men with a serum
testosterone <10 nmol/L, 588 of 1 924 (30.6%) had a check of serum prolactin.
46.3% and 41.7% had LH and FSH measured, respectively. Only 19.1% of 1 924 men
with a hypogonadal total testosterone level were subsequently put on testosterone
replacement. The percentage of men in the relatively socially disadvantaged
category was similar for both eugonadal and hypogonadal men with a much higher
rate of screening for hypogonadism in more socially advantaged men. CONCLUSIONS:
Screening in primary healthcare identified a significant minority of men who had
potential hypogonadism. Interpretation of a low serum testosterone requires
measurement of serum prolactin, LH and FSH in order to rule out secondary
hypogonadism. We suggest that this becomes part of routine screening with a
balanced screening approach across the socioeconomic spectrum.
PMID- 29365337
TI - Muscle Oxygenation Responses to Low-intensity Steady Rate Concentric and
Eccentric Cycling.
AB - Muscle deoxygenation responses provide information about the training impulse of
an exercise session enabling adaptation to be predicted. Our aim was to
investigate muscle oxygenation profiles during prolonged low-intensity eccentric
and concentric cycling. Twelve healthy men performed two 45-min exercise sessions
of concentric (CON) and eccentric (ECC) cycling, matched for the same heart rate
at the start of each session. Mechanical power output during ECC was ~2.5 times
that of CON (210+/-40 W vs. 82+/-16 W). Oxygen uptake, blood lactate, cardiac
output and systolic arterial pressure responses did not differ between exercises.
Heart rate was similar at 5 min of each exercise bout but progressively increased
during ECC and was higher at 15, 30 and 45 min of ECC compared to CON (+10 bpm),
with a trend for a lower stroke volume. Diastolic and mean blood pressures were
higher during ECC. No significant differences were observed in muscle oxygenation
profiles. Muscle oxygenation responses during prolonged low-intensity exercise
were not affected by the type of muscle action at the same metabolic demand and
cardiac output.
PMID- 29365339
TI - Confirming Maximal Oxygen Uptake: Is Heart Rate the Answer?
AB - This study investigates heart rate (HR), in 11 young adults (22.4+/-3.21yr), at
VO2max, to ascertain whether measured maximal heart rate (HRmax), as determined
by a plateau in HR (HRplat), can reliably confirm VO2max. VO2max and HRplat were
determined, using the parameters of a VO2<=50 ml*min-1 and a ?HR<=2b*min-1,
respectively, over the final 60 s of sampling. VO2 was also independently
determined using a verification phase protocol. A HRplat was achieved by 91% of
participants (?HR=1.3+/-1b*min-1) and critically the time at which HRmax was
reached coincided with that at which VO2max was achieved. Moreover RER and
DeltaRER criteria were reached significantly earlier (p<0.05) than VO2max, whilst
age-related heart rate maximums (HRage), were not achieved by many participants.
The results suggest that a HRplat <=2 b*min-1 is a more accurate method, within
the group tested, to determine whether a 'true' VO2max has been achieved, than
other secondary criteria and potentially avoids the requirement for an additional
verification phase.
PMID- 29365338
TI - Muscle Thickness and Passive Muscle Stiffness in Elite Athletes: Implications of
the Effect of Long-Term Daily Training on Skeletal Muscle.
AB - To examine the effect of long-term daily training on athletes' skeletal muscle,
this study determined the relation between their muscle thickness and passive
muscle stiffness, and compared the muscle thickness and muscle stiffness between
athletes and non-athletes. Participants were elite Japanese athletes (278 men,
200 women) from various sports and non-athletes (35 men, 35 women). Rectus
femoris (RF) muscle thickness was measured using B-mode ultrasonography and was
normalized to the total body mass (muscle thickness/body mass1/3). RF passive
muscle stiffness (shear modulus) was assessed by ultrasound shear-wave
elastography. There was a negligibly significant correlation between muscle
thickness and muscle stiffness in male athletes (p=0.003; r=-0.18) but not in
female athletes (p=0.764; r=0.02). Among men, muscle thickness was significantly
greater in athletes than non-athletes (p<0.001), whereas muscle stiffness was
significantly less in athletes than non-athletes (p=0.020). Among women, muscle
thickness was significantly greater in athletes than non-athletes (p<0.001),
whereas muscle stiffness did not differ significantly between athletes and non
athletes (p=0.412). These results suggest that the effect of long-term daily
training performed by athletes on muscle stiffness is more complicated than that
on muscle thickness.
PMID- 29365340
TI - Towards Implementation of OMOP in a German University Hospital Consortium.
AB - BACKGROUND: In 2015, the German Federal Ministry of Education and Research
initiated a large data integration and data sharing research initiative to
improve the reuse of data from patient care and translational research. The
Observational Medical Outcomes Partnership (OMOP) common data model and the
Observational Health Data Sciences and Informatics (OHDSI) tools could be used as
a core element in this initiative for harmonizing the terminologies used as well
as facilitating the federation of research analyses across institutions.
OBJECTIVE: To realize an OMOP/OHDSI-based pilot implementation within a
consortium of eight German university hospitals, evaluate the applicability to
support data harmonization and sharing among them, and identify potential
enhancement requirements. METHODS: The vocabularies and terminological mapping
required for importing the fact data were prepared, and the process for importing
the data from the source files was designed. For eight German university
hospitals, a virtual machine preconfigured with the OMOP database and the OHDSI
tools as well as the jobs to import the data and conduct the analysis was
provided. Last, a federated/distributed query to test the approach was executed.
RESULTS: While the mapping of ICD-10 German Modification succeeded with a rate of
98.8% of all terms for diagnoses, the procedures could not be mapped and hence an
extension to the OMOP standard terminologies had to be made.Overall, the data of
3 million inpatients with approximately 26 million conditions, 21 million
procedures, and 23 million observations have been imported.A federated query to
identify a cohort of colorectal cancer patients was successfully executed and
yielded 16,701 patient cases visualized in a Sunburst plot. CONCLUSION:
OMOP/OHDSI is a viable open source solution for data integration in a German
research consortium. Once the terminology problems can be solved, researchers can
build on an active community for further development.
PMID- 29365341
TI - Improving the Accuracy of a Clinical Decision Support System for Cervical Cancer
Screening and Surveillance.
AB - BACKGROUND: Clinical decision support systems (CDSS) for cervical cancer
prevention are generally limited to identifying patients who are overdue for
their next routine/next screening, and they do not provide recommendations for
follow-up of abnormal results. We previously developed a CDSS to automatically
provide follow-up recommendations based on the American Society of Colposcopy and
Cervical Pathology (ASCCP) guidelines for women with both previously normal and
abnormal test results leveraging information available in the electronic medical
record (EMR). OBJECTIVE: Enhance the CDSS by improving its accuracy and
incorporating changes to reflect the latest revision of the guidelines. METHODS:
After making enhancements to the CDSS, we evaluated the performance of the
clinical recommendations on 393 patients selected through stratified sampling
from a set of 3,704 patients in a nonclinical setting. We performed chart review
of individual patient's record to evaluate the performance of the system. An
expert clinician assisted by a resident manually reviewed the recommendation made
by the system and verified whether the recommendations were as per the ASCCP
guidelines. RESULTS: The recommendation accuracy of the enhanced CDSS improved to
93%, which is a substantial improvement over the 84% reported previously. A
detailed analysis of errors is presented in this article. We fixed the errors
identified in this evaluation that were amenable to correction to further improve
the accuracy of the system. The source code of the updated CDSS is available at
https://github.com/ohnlp/MayoNlpPapCdss. CONCLUSION: We made substantial
enhancements to our earlier prototype CDSS with the updated ASCCP guidelines and
performed a thorough evaluation in a nonclinical setting to improve the accuracy
of the CDSS. The CDSS will be further refined as it is utilized in the practice.
PMID- 29365342
TI - Improving estimation and prediction in linear regression incorporating external
information from an established reduced model.
AB - We consider a situation where there is rich historical data available for the
coefficients and their standard errors in a linear regression model describing
the association between a continuous outcome variable Y and a set of predicting
factors X, from a large study. We would like to use this summary information for
improving inference in an expanded model of interest, Y given X,B. The additional
variable B is a new biomarker, measured on a small number of subjects in a new
dataset. We formulate the problem in an inferential framework where the
historical information is translated in terms of nonlinear constraints on the
parameter space and propose both frequentist and Bayes solutions to this problem.
We show that a Bayesian transformation approach proposed by Gunn and Dunson is a
simple and effective computational method to conduct approximate Bayesian
inference for this constrained parameter problem. The simulation results
comparing these methods indicate that historical information on E(Y|X) can
improve the efficiency of estimation and enhance the predictive power in the
regression model of interest E(Y|X,B). We illustrate our methodology by enhancing
a published prediction model for bone lead levels in terms of blood lead and
other covariates, with a new biomarker defined through a genetic risk score.
PMID- 29365343
TI - Extracorporeal liver assist device for alcoholic hepatitis: A potential silver
lining?
PMID- 29365344
TI - Dystrophinopathy muscle biopsies in the genetic testing ERA: One center's data.
AB - INTRODUCTION: Comprehensive genetic testing for dystrophinopathy can detect ~95%
of pathogenic variants in the dystrophin gene (DMD) and is often the preferred
diagnostic approach. METHODS: We reviewed pathology reports for muscle biopsies
evaluated at the University of Iowa with a pathological diagnosis of
dystrophinopathy based on dystrophic histopathology and abnormal
immunofluorescence staining: reduced to absent dystrophin, expression of
utrophin, and loss of neuronal nitric oxide synthase. RESULTS: The percentage of
muscle biopsies with dystrophinopathy has been stable since 1997. Among 2,298
biopsies evaluated between 2011 and 2016, 72 (3.1%) had pathologic features of
dystrophinopathy. Median age at biopsy was 8 years (range, 0.66-84). Half had
undergone DMD genetic testing prior to biopsy. Clinical phenotypes recorded on
requisitions were typical of muscular dystrophy for 57 (79%) biopsies.
DISCUSSION: Muscle biopsy continues to play an important role in the diagnosis of
dystrophinopathy, particularly in patients with later symptom onset,
comorbidities, or normal DMD genetic testing results. Muscle Nerve, 2018.
PMID- 29365345
TI - Reply.
PMID- 29365346
TI - Interventions for increasing fruit and vegetable consumption in children aged
five years and under.
AB - BACKGROUND: Insufficient consumption of fruits and vegetables in childhood
increases the risk of future chronic diseases, including cardiovascular disease.
OBJECTIVES: To assess the effectiveness, cost effectiveness and associated
adverse events of interventions designed to increase the consumption of fruit,
vegetables or both amongst children aged five years and under. SEARCH METHODS: We
searched the Cochrane Central Register of Controlled Trials (CENTRAL) in the
Cochrane Library, MEDLINE and Embase to identify eligible trials on 25 September
2017. We searched Proquest Dissertations and Theses and two clinical trial
registers in November 2017. We reviewed reference lists of included trials and
handsearched three international nutrition journals. We contacted authors of
included studies to identify further potentially relevant trials. SELECTION
CRITERIA: We included randomised controlled trials, including cluster-randomised
controlled trials and cross-over trials, of any intervention primarily targeting
consumption of fruit, vegetables or both among children aged five years and
under, and incorporating a dietary or biochemical assessment of fruit or
vegetable consumption. Two review authors independently screened titles and
abstracts of identified papers; a third review author resolved disagreements.
DATA COLLECTION AND ANALYSIS: Two review authors independently extracted data and
assessed the risks of bias of included studies; a third review author resolved
disagreements. Due to unexplained heterogeneity, we used random-effects models in
meta-analyses for the primary review outcomes where we identified sufficient
trials. We calculated standardised mean differences (SMDs) to account for the
heterogeneity of fruit and vegetable consumption measures. We conducted
assessments of risks of bias and evaluated the quality of evidence (GRADE
approach) using Cochrane procedures. MAIN RESULTS: We included 55 trials with 154
trial arms and 11,108 participants. Thirty-three trials examined the impact of
child-feeding practices (e.g. repeated food exposure) in increasing child
vegetable intake. Thirteen trials examined the impact of parent nutrition
education in increasing child fruit and vegetable intake. Eight studies examined
the impact of multicomponent interventions (e.g. parent nutrition education and
preschool policy changes) in increasing child fruit and vegetable intake. One
study examined the effect of a nutrition intervention delivered to children in
increasing child fruit and vegetable intake.We judged 14 of the 55 included
trials as free from high risks of bias across all domains; performance, detection
and attrition bias were the most common domains judged at high risk of bias for
the remaining studies.Meta-analysis of trials examining child-feeding practices
versus no intervention revealed a positive effect on child vegetable consumption
(SMD 0.38, 95% confidence interval (CI) 0.15 to 0.61; n = 1509; 11 studies; very
low-quality evidence), equivalent to a mean difference of 4.03 g of vegetables.
There were no short-term differences in child consumption of fruit and vegetables
in meta-analyses of trials examining parent nutrition education versus no
intervention (SMD 0.11, 95% CI -0.05 to 0.28; n = 3023; 10 studies; very low
quality evidence) or multicomponent interventions versus no intervention (SMD
0.28, 95% CI -0.06 to 0.63; n = 1861; 4 studies; very low-quality
evidence).Insufficient data were available to assess long-term effectiveness,
cost effectiveness and unintended adverse consequences of interventions. Studies
reported receiving governmental or charitable funds, except for three studies
reporting industry funding. AUTHORS' CONCLUSIONS: Despite identifying 55 eligible
trials of various intervention approaches, the evidence for how to increase
children's fruit and vegetable consumption remains sparse. There was very low
quality evidence that child-feeding practice interventions are effective in
increasing vegetable consumption in children aged five years and younger, however
the effect size was very small and long-term follow-up is required. There was
very low-quality evidence that parent nutrition education and multicomponent
interventions are not effective in increasing fruit and vegetable consumption in
children aged five years and younger. All findings should be considered with
caution, given most included trials could not be combined in meta-analyses. Given
the very low-quality evidence, future research will very likely change estimates
and conclusions. Such research should adopt more rigorous methods to advance the
field.This is a living systematic review. Living systematic reviews offer a new
approach to review updating, in which the review is continually updated,
incorporating relevant new evidence as it becomes available. Please refer to the
Cochrane Database of Systematic Reviews for the current status of this review.
PMID- 29365347
TI - Whole brain radiotherapy for the treatment of newly diagnosed multiple brain
metastases.
AB - BACKGROUND: This is an update to the review published in the Cochrane Library
(2012, Issue 4).It is estimated that 20% to 40% of people with cancer will
develop brain metastases during the course of their illness. The burden of brain
metastases impacts quality and length of survival. OBJECTIVES: To assess the
effectiveness and adverse effects of whole brain radiotherapy (WBRT) given alone
or in combination with other therapies to adults with newly diagnosed multiple
brain metastases. SEARCH METHODS: We searched the Cochrane Central Register of
Controlled Trials (CENTRAL), MEDLINE, and Embase to May 2017 and the National
Cancer Institute Physicians Data Query for ongoing trials. SELECTION CRITERIA: We
included phase III randomised controlled trials (RCTs) comparing WBRT versus
other treatments for adults with newly diagnosed multiple brain metastases. DATA
COLLECTION AND ANALYSIS: Two review authors independently assessed trial quality
and abstracted information in accordance with Cochrane methods. MAIN RESULTS: We
added 10 RCTs to this updated review. The review now includes 54 published trials
(45 fully published reports, four abstracts, and five subsets of data from
previously published RCTs) involving 11,898 participants.Lower biological WBRT
doses versus controlThe hazard ratio (HR) for overall survival (OS) with lower
biological WBRT doses as compared with control (3000 cGy in 10 daily fractions)
was 1.21 (95% confidence interval (CI) 1.04 to 1.40; P = 0.01; moderate-certainty
evidence) in favour of control. The HR for neurological function improvement
(NFI) was 1.74 (95% CI 1.06 to 2.84; P = 0.03; moderate-certainty evidence) in
favour of control fractionation.Higher biological WBRT doses versus controlThe HR
for OS with higher biological WBRT doses as compared with control (3000 cGy in 10
daily fractions) was 0.97 (95% CI 0.83 to 1.12; P = 0.65; moderate-certainty
evidence). The HR for NFI was 1.14 (95% CI 0.92 to 1.42; P = 0.23; moderate
certainty evidence).WBRT and radiosensitisersThe addition of radiosensitisers to
WBRT did not confer additional benefit for OS (HR 1.05, 95% CI 0.99 to 1.12; P =
0.12; moderate-certainty evidence) or for brain tumour response rates (odds ratio
(OR) 0.84, 95% CI 0.63 to 1.11; P = 0.22; high-certainty evidence).Radiosurgery
and WBRT versus WBRT aloneThe HR for OS with use of WBRT and radiosurgery boost
as compared with WBRT alone for selected participants was 0.61 (95% CI 0.27 to
1.39; P = 0.24; moderate-certainty evidence). For overall brain control at one
year, the HR was 0.39 (95% CI 0.25 to 0.60; P < 0.0001; high-certainty evidence)
favouring the WBRT and radiosurgery boost group.Radiosurgery alone versus
radiosurgery and WBRTThe HR for local brain control was 2.73 (95% CI 1.87 to
3.99; P < 0.00001; high-certainty evidence)favouring the addition of WBRT to
radiosurgery. The HR for distant brain control was 2.34 (95% CI 1.73 to 3.18; P <
0.00001; high-certainty evidence) favouring WBRT and radiosurgery. The HR for OS
was 1.00 (95% CI 0.80 to 1.25; P = 0.99; moderate-certainty evidence). Two trials
reported worse neurocognitive outcomes and one trial reported worse quality of
life outcomes when WBRT was added to radiosurgery.We could not pool data from
trials related to chemotherapy, optimal supportive care (OSC), molecular targeted
agents, neurocognitive protective agents, and hippocampal sparing WBRT. However,
one trial reported no differences in quality-adjusted life-years for selected
participants with brain metastases from non-small-cell lung cancer randomised to
OSC and WBRT versus OSC alone. AUTHORS' CONCLUSIONS: None of the trials with
altered higher biological WBRT dose-fractionation schemes reported benefit for
OS, NFI, or symptom control compared with standard care. However, OS and NFI were
worse for lower biological WBRT dose-fractionation schemes than for standard dose
schedules.The addition of WBRT to radiosurgery improved local and distant brain
control in selected people with brain metastases, but data show worse
neurocognitive outcomes and no differences in OS.Selected people with multiple
brain metastases from non-small-cell lung cancer may show no difference in OS
when OSC is given and WBRT is omitted.Use of radiosensitisers, chemotherapy, or
molecular targeted agents in conjunction with WBRT remains experimental.Further
trials are needed to evaluate the use of neurocognitive protective agents and
hippocampal sparing with WBRT. As well, future trials should examine homogeneous
participants with brain metastases with focus on prognostic features and
molecular markers.
PMID- 29365348
TI - Volumetric muscle loss: Including nerves into the equation.
PMID- 29365349
TI - Transdifferentiation of adipocytes to osteoblasts: potential for orthopaedic
treatment.
AB - OBJECTIVES: As both adipocytes and osteoblasts originate from the same pool of
mesenchymal stem cells, increasing clinical evidence has emerged of the
plasticity between the two lineages. For instance, the downregulation of
osteoblast differentiation and upregulation of adipogenesis are common features
of conditions such as multiple myeloma, obesity and drug-induced bone loss in
diabetes mellitus. However, despite in-vitro and in-vivo observations of
adipocyte transdifferentiation into osteoblasts, little is known of the
underlying mechanisms. KEY FINDINGS: This review summarises the current knowledge
of this particular transdifferentiation process whereby the Wnt/beta-catenin
signalling pathway and Runx2 overexpression have been postulated to play a
critical role. SUMMARY: Furthermore, due to the possibility of a novel therapy in
the treatment of bone conditions, a number of agents with the potential to induce
adipo-to-osteoblast transdifferentiation have been investigated such as all-trans
retinoic acid, bone morphogenetic protein-9 and vascular endothelial growth
factor.
PMID- 29365350
TI - Transforaminal lumbar puncture for intrathecal nusinersen administration.
PMID- 29365352
TI - Lambert-Eaton myasthenic syndrome and cerebellar ataxia: is Response to
immunotherapy a clue to pathogenesis?
PMID- 29365351
TI - Effect of Vascepa (icosapent ethyl) on progression of coronary atherosclerosis in
patients with elevated triglycerides (200-499 mg/dL) on statin therapy: Rationale
and design of the EVAPORATE study.
AB - Despite reducing progression and promoting regression of coronary
atherosclerosis, statin therapy does not fully address residual cardiovascular
(CV) risk. High-purity eicosapentaenoic acid (EPA) added to a statin has been
shown to reduce CV events and induce regression of coronary atherosclerosis in
imaging studies; however, data are from Japanese populations without high
triglyceride (TG) levels and baseline EPA serum levels greater than those in
North American populations. Icosapent ethyl is a high-purity prescription EPA
ethyl ester approved at 4 g/d as an adjunct to diet to reduce TG levels in adults
with TG levels >499 mg/dL. The objective of the randomized, double-blind, placebo
controlled EVAPORATE study is to evaluate the effects of icosapent ethyl 4 g/d on
atherosclerotic plaque in a North American population of statin-treated patients
with coronary atherosclerosis, TG levels of 200 to 499 mg/dL, and low-density
lipoprotein cholesterol levels of 40 to 115 mg/dL. The primary endpoint is change
in low-attenuation plaque volume measured by multidetector computed tomography
angiography. Secondary endpoints include incident plaque rates; quantitative
changes in different plaque types and morphology; changes in markers of
inflammation, lipids, and lipoproteins; and the relationship between these
changes and plaque burden and/or plaque vulnerability. Approximately 80 patients
will be followed for 9 to 18 months. The clinical implications of icosapent ethyl
4 g/d treatment added to statin therapy on CV endpoints are being evaluated in
the large CV outcomes study REDUCE-IT. EVAPORATE will provide important imaging
derived data that may add relevance to the clinically derived outcomes from
REDUCE-IT.
PMID- 29365353
TI - Can available mathematical models predict serum digoxin levels in Thai patients?
AB - WHAT IS KNOWN AND OBJECTIVE: Digoxin is commonly prescribed for heart failure
patients with reduced ejection fraction (HFrEF) and patients with atrial
fibrillation (AF). Due to digoxin's narrow therapeutic range, monitoring the
serum digoxin concentration (SDC) is important. However, the SDC measurement is
not widely available. Equations using clinical parameters can be employed to
estimate the SDC but have never been studied in the Thai population. Therefore,
we conducted this study to evaluate the correlation between the measured SDC and
predicted digoxin level using 2 commonly used equations: the Konishi equation and
the Koup and Jusko equation. METHODS: This report describes prospective, cross
sectional study conducted at Chiang Mai University. One hundred and fourteen
patients were recruited in the study. All of the patients were diagnosed as
having HFrEF, AF or both and had been receiving digoxin for at least 4 weeks. The
SDC of each patient was measured at steady state and assigned to one of 3 groups
according to the classifications of the Digitalis Investigation Group (DIG)
trial: in the therapeutic range, over the therapeutic range and in the suboptimal
range. RESULTS AND DISCUSSION: There were significant correlations between the
measured and predicted SDCs using both the Konishi equation and the Koup and
Jusko equation, which had correlation coefficients (R) of 0.69 and 0.31 (P < .05
for both), respectively. The percentages of patients with measured SDCs in the
therapeutic range, over the therapeutic range and in the suboptimal range were
27.2%, 9.6% and 63.2%, respectively. The sensitivity and specificity of the
Konishi equation in predicting SDCs in the over the therapeutic range were 72.73%
(95% Confidence interval (CI): 39.03%-93.98%) and 80.58% (95% CI: 71.62%-87.72%),
respectively. Of the 5 patients (4.4%) who were rehospitalized, 2 patients
(0.01%) were readmitted due to acute decompensated heart failure (ADHF). One of
the patients had an SDC that was over the therapeutic range. None of the
readmitted patients had ventricular arrhythmia. WHAT IS NEW AND CONCLUSIONS: The
Konishi equation yielded better predictions of the SDC, especially in the
subgroup of HFrEF patients. Furthermore, the prediction of SDCs in the over the
therapeutic range using this equation was superior to that of the Koup and Jusko
equation. With further validation in a larger population, this equation should
facilitate the detection of patients who are over the therapeutic range in
clinical practice.
PMID- 29365354
TI - Leg muscle MRI in identical twin boys with duchenne muscular dystrophy.
PMID- 29365355
TI - Comprehensive drug utilization review in neonates: liposomal amphotericin B.
AB - OBJECTIVES: This drug utilization evaluation aims to review current evidence on
safety and efficacy of using liposomal amphotericin B (LAMB) in newborns with
candidiasis, and compare it to the conventional preparation. Conventional
amphotericin B deoxycholate (DAMB) is more commonly used in newborns, but dose
limiting adverse effects may compromise its efficacy. This review will examine
the advantages and disadvantages of liposomal amphotericin B and define its place
in current practice. KEY FINDINGS: The terms 'AmBisome' or 'liposomal
amphotericin B' and 'neonatal candidiasis' were entered in both PubMed and Ovid;
studies included focused on safety and efficacy of liposomal amphotericin B in
newborns with candidiasis, as well as studies comparing the conventional and the
liposomal formulations in newborns as monotherapy. Pertinent references obtained
from this search were also included. Additionally, pharmacokinetic studies were
reviewed to include available data on dosing. Single case reports were not
included in the review due to the limited conclusions that can be drawn from such
sample sizes and quality of data. SUMMARY: Although liposomal amphotericin B may
be better tolerated and as efficacious as the conventional formulation based on
the published literature, the weakness of the studies available on the subject
cannot be overlooked. Additional randomized controlled trials are needed to
determine the true benefits of this medication.
PMID- 29365356
TI - Treatment for hepatitis delta virus with the prenylation inhibitor lonafarnib:
It's getting closer.
PMID- 29365357
TI - Intraregional model for end-stage liver disease score variation in liver
transplantation: Disparity in our own backyard.
AB - Variation in average Model for End-Stage Liver Disease (MELD) score at liver
transplantation (LT) by United Network for Organ Sharing (UNOS) regions is well
documented. The present study aimed to investigate MELD variation at the
interregional, intraregional, and intra-donation service area (DSA) levels.
Patients undergoing LT between 2015 and 2016 were obtained from the UNOS standard
analysis and research file. The distribution of allocation MELD score including
median, skew, and kurtosis was examined for all transplant programs.
Intraregional median allocation MELD varied significantly within all 11 UNOS
regions. The largest variation between programs was seen in region 5 (MELD 24.0
versus 38.5) and region 3 (MELD 20.5 versus 32.0). Regions 1, 5, and 9 had the
largest proportion of programs with a highly negative skewed MELD score (50%,
57%, and 57%, respectively), whereas regions 3, 6, 10, and 11 did not have any
programs with a highly negative skew. MELD score distribution was also examined
in programs located in the same DSA, where no barriers exist and theoretically no
significant difference in allocation should be observed. The largest DSA
variation in median allocation MELD score was seen in NYRT-OP1 LiveOnNY (MELD
score variation 11), AZOB-OP1 Donor Network of Arizona (MELD score variation 11),
MAOB-OP1 New England Organ Bank (MELD score variation 9), and TXGC-OP1 LifeGift
Organ Donation Ctr (MELD score variation 9). In conclusion, the present study
demonstrates that this MELD disparity is not only present at the interregional
level but can be seen within regions and even within DSAs between programs
located as close as several city blocks away. Although organ availability likely
accounts for a component of this disparity, the present study suggests that
transplant center behavior may also play a significant role. Liver
Transplantation 24 488-496 2018 AASLD.
PMID- 29365359
TI - Mid-Upper Arm Circumference Z-Score as Determinant of Nutrition Status: Does
Occam's Razor Apply?
PMID- 29365358
TI - Perioperative loss of psoas muscle is associated with patient survival in living
donor liver transplantation.
AB - Patients with end-stage liver disease show sarcopenia, and preoperative
sarcopenia is independently associated with patient mortality after liver
transplantation. However, few studies have examined the relationship between
perioperative loss of core muscle and patient mortality in living donor liver
transplantation (LDLT). This study was performed to investigate the association
between a perioperative decrease in the psoas muscle index (PMI) and patient
mortality after LDLT. Adult patients (age >= 18 years) undergoing LDLT between
January 2009 and December 2016 were classified into low-loss (>25th quartile)
versus high-loss (<=25th quartile) groups according to PMI change between the day
before surgery and postoperative day (POD) 7. Patient survival was compared
between the 2 groups, and factors affecting survival were analyzed. The median
(interquartile range) level of PMI change from the day before surgery to POD 7
was -4.8% (-11.7%-1.2%). Although there was no preoperative difference in PMI
between the low-loss and high-loss groups, patients with PMI change <=-11.7%
showed poorer survival than those with PMI change >-11.7% during the follow-up
period. A PMI decrease <=-11.7% between the day before surgery and POD 7 is an
independent predictor of patient mortality after LDLT. In addition,
intraoperative packed red blood cell transfusion, graft fat percentage, and
reoperation and infection after surgery were significantly associated with
patient mortality. In conclusion, a PMI decrease <=-11.7% between the day before
surgery and POD 7 is an independent predictor of patient mortality after LDLT. It
is necessary to identify the factors responsible for the perioperative decrease
in skeletal muscle mass and to ascertain if they are modifiable to improve
patient survival after LDLT. Liver Transplantation 24 623-633 2018 AASLD.
PMID- 29365360
TI - Drug Shortages: Effect on Parenteral Nutrition Therapy.
AB - Drug shortages continue to be a threat to the health and welfare of numerous
patients in the United States. For patients who depend on parenteral nutrition
(PN) for survival, these shortages pose an even greater threat. Almost 75% of
active drug shortages are sterile injectables, which includes PN components.
Providing PN therapy is particularly challenging for clinicians because this is a
complex medication and may contain 40 or more individual ingredients, of which
multiple components may simultaneously be in limited supply. The availability of
PN components must be considered during every step of the PN use process from
ordering the PN prescription to administering this therapy to a patient.
Alterations to a standardized process can lead to medication errors that can
adversely affect patient outcomes and consume healthcare resources.
PMID- 29365361
TI - Enteral Access Devices: Types, Function, Care, and Challenges.
AB - Enteral access feeding devices are placed in patients who have a functional and
accessible gastrointestinal (GI) tract but are not able to consume or absorb
enough nutrients to sustain adequate nutrition and hydration. For many
individuals, enteral nutrition support is a lifesaving modality to prevent or
treat a depleted nutrient state that can lead to tissue breakdown, compromised
immune function, and poor wound healing. Psychological well-being is also
affected with malnutrition and dehydration, triggering feelings of apathy,
depression, fatigue, and loss of morale, negatively impacting a patient's ability
for self-care. A variety of existing devices can be placed through the nares,
mouth, stomach or small intestine to provide liquid nutrition, fluids, and
medications directly to the GI tract. If indicated, some of the larger-bore
devices may be used for gastric decompression and drainage. These enteral access
devices need to be cared for properly to avert patient discomfort, mechanical
device-related complications, and interruptions in the delivery of needed
nutrients, hydration, and medications. Clinicians who seek knowledge about
enteral access devices and actively participate in the selection and care of
these devices will be an invaluable resource to any healthcare team. This article
will review the types, care, proper positioning, and replacement schedules of the
various enteral access devices, along with the prevention and troubleshooting of
potential problems.
PMID- 29365362
TI - Graduation Day: Healthcare Transition From Pediatric to Adult.
AB - Because more patients with pediatric-onset chronic conditions are surviving into
adulthood, they are graduating from pediatric healthcare to self-management and
adult healthcare. This transfer of care needs to be a process of transitioning
medical and nutrition care. Despite having position statements from professional
organizations and several proposed models, issues in the transition process have
been well described, and gaps in transition care persist. Healthcare providers
need to be aware of special needs of emerging adults related to education on
chronic condition and self-management skills, emotional support before and after
transition, and legal rights for both the patient and the parent if the emerging
adult is not developmentally appropriate to make his or her own healthcare
decisions. Both pediatric and adult providers need to be in active communication
with each other and the patient to develop trusting relationships and actively
support the transition of care. This review of literature describes several
models for transitioning, measureable outcomes, insurance provider issues, and
legal issues pertaining to healthcare transition.
PMID- 29365364
TI - Determining Efficacy, Safety, and Preparation of Standardized Parenteral
Nutrition.
PMID- 29365366
TI - Letter from New Zealand ... and Season's greetings.
PMID- 29365365
TI - Hepatocyte-induced CD4+ T cell alloresponse is associated with major
histocompatibility complex class II up-regulation on hepatocytes and suppressible
by regulatory T cells.
AB - Hepatocyte transplantation is a promising therapeutic approach for various liver
diseases. Despite the liver's tolerogenic potential, early immune-mediated loss
of transplanted cells is observed, and longterm acceptance has not been achieved
yet. Patients deemed tolerant after liver transplantation presented an increased
frequency of regulatory T cells (Tregs), which therefore also might enable
reduction of posttransplant cell loss and enhance longterm allograft acceptance.
We hence characterized hepatocyte-induced immune reactions and evaluated the
immunomodulatory potential of Tregs applying mixed lymphocyte cultures and mixed
lymphocyte hepatocyte cultures. These were set up using peripheral blood
mononuclear cells and primary human hepatocytes, respectively. Polyclonally
expanded CD4+ CD25high CD127low Tregs were added to cocultures in single-/trans
well setups with/without supplementation of anti-interferon gamma (IFNgamma)
antibodies. Hepatocyte-induced alloresponses were then analyzed by multicolor
flow cytometry. Measurements indicated that T cell response upon stimulation was
associated with IFNgamma-induced major histocompatibility complex (MHC) class II
up-regulation on hepatocytes and mediated by CD4+ T cells. An indirect route of
antigen presentation could be ruled out by use of fragmented hepatocytes and
culture supernatants of hepatocytes. Allospecific proliferation was accompanied
by inflammatory cytokine secretion. CD8+ T cells showed early up-regulation of
CD69 despite lack of cell proliferation in the course of coculture.
Supplementation of Tregs effectively abrogated hepatocyte-induced alloresponses
and was primarily cell contact dependent. In conclusion, human hepatocytes induce
a CD4+ T cell alloresponse in vitro, which is associated with MHC class II up
regulation on hepatocytes and is susceptible to suppression by Tregs. Liver
Transplantation 24 407-419 2018 AASLD.
PMID- 29365368
TI - Genetic screening and functional analysis of CASP9 mutations in a Chinese cohort
with neural tube defects.
AB - AIM: Neural tube defects (NTDs) are birth defects of the nervous system and are
the second most frequent cause of birth defects worldwide. The etiology of NTDs
is complicated and involves both genetic and environmental factors. CASP9 is an
initiator caspase in the intrinsic apoptosis pathway, which in Casp9-/- mice has
been shown to result in NTDs because of decreased apoptosis. The aim of this
study was to evaluate the potential genetic contribution of the CASP9 gene in
human NTDs. METHODS: High-throughput sequencing was performed to screen genetic
variants of CASP9 genes in 355 NTD cases and 225 matched controls. Apoptosis
relevant assays were performed on transiently transfected E9 neuroepithelial
cells or human embryonic kidney 293T cells, to determine the functional
characteristics of NTD-specific rare variants under complete or low folic acid
(FA) status. RESULTS: We found significant expression of CASP9 rare variants in
NTDs and identified 4 NTD-specific missense variants. Functional assays
demonstrated that a p.Y251C variant attenuates apoptosis by reducing CASP9
protein expression and decreasing activity of the intrinsic apoptosis pathway.
From this, we conclude that this variant may represent a loss-of-function
mutation. A 4-time recurrent p.R191G variant did not affect intrinsic apoptosis
in complete medium, while it completely inhibited apoptosis induced by low FA
medium. CONCLUSION: Our findings identify a genetic link for apoptosis in human
NTDs and highlight the effect of gene-environment interactions in a complex
disease.
PMID- 29365367
TI - Vitamin D and respiratory health in the Busselton Healthy Ageing Study.
AB - BACKGROUND AND OBJECTIVE: The relationship between vitamin D and respiratory
disease was examined by cross-sectional analysis of a large community-based
sample. METHODS: Serum 25-hydroxyvitamin D (25OHD) and history of respiratory
disease, symptoms (recorded by questionnaire) and spirometry were measured in
5011 adults aged 45-69 years. Adjustments were made for age, sex, season and
smoking (Model A), plus body mass index (BMI) and physical activity level (Model
B), plus history of chronic diseases (Model C). RESULTS: Mean (SD) age was 58 (SD
6) years with 45% males, 10% current smokers and 12% taking vitamin D
supplements. The prevalence of 25OHD level <50 nmol/L was 8.0%. In all the three
models, 25OHD <50 nmol/L was significantly associated with asthma (Model C: odds
ratio (OR): 1.32; 95% CI: 1.00, 1.73), bronchitis (1.54; 1.17, 2.01), wheeze
(1.37; 1.10, 1.71) and chest tightness (1.42; 1.10, 1.83). Participants with
vitamin D level > 100 nmol/L had higher forced vital capacity (FVC) in all the
three models (1.17% higher, compared with the 50-100 nmol/L group in Model C).
CONCLUSION: Low levels of serum 25OHD were independently associated with asthma,
bronchitis, wheeze and chest tightness after three levels of adjustment for
potential confounders. Higher vitamin D levels were associated with higher levels
of lung function.
PMID- 29365369
TI - The nutritional care of people living with dementia at home: A scoping review.
AB - There are an increasing number of people with dementia living in their own home
for longer, often supported by a family member. The symptoms of dementia can
affect an individual's nutritional status, which can lead to a reduced quality of
life for the person with dementia and their family members. A scoping review was
conducted from July 2016 until September 2016, using a recognised framework, to
explore what is currently known, and identify any gaps in the research regarding
the nutritional care of people living with dementia at home. This included any
interventions that may have been trialled or implemented, and the views of those
living with dementia, carers and clinicians. Six electronic databases were
searched from inception to July 2016. A review team was involved in screening and
data extraction for selected articles. Published qualitative and quantitative
studies were included that explored the nutritional care of people living with
dementia at home. Methods included data extraction and conventional content
analysis. Stakeholders were involved in the development of final categories.
Following screening, 61 studies reported in 63 articles were included. Most
studies were cross-sectional (n = 24), cohort (n = 15) or qualitative (n = 9).
Only three were randomised controlled trials. Three overarching categories
represented the results: Timely identification of nutritional risk and subsequent
regular monitoring of nutritional status, multi-component tailored interventions
and the influence of the care-giving dyad on nutritional status. Many studies
identify people living at home with dementia as a vulnerable group prone to
malnutrition; however, a lack of interventions exists to address the increased
risk. There is a lack of research exploring the role of home care providers and
healthcare professionals in the provision of nutritional care. Further research
is required to explore how the emotional aspect of the care-giving dyad
influences nutritional care.
PMID- 29365370
TI - Candesartan ameliorates brain inflammation associated with Alzheimer's disease.
AB - AIMS: Alzheimer's disease (AD) pathology is associated with brain inflammation
involving microglia and astrocytes. The renin-angiotensin system contributes to
brain inflammation associated with AD pathology. This study aimed to investigate
the role of candesartan, an angiotensin II type 1 receptor blocker, in modulation
of glial functions associated with AD. METHODS: Focusing on the role of
candesartan in glial inflammation, we evaluated inflammatory mediators' levels,
secreted by lipopolysaccharide-induced microglia following candesartan treatment.
Also, short-term intranasal candesartan effects on amyloid burden and microglial
activation were investigated in 5 familial AD mice. RESULTS: Candesartan showed
anti-inflammatory effects and shifted microglial activation toward a more
neuroprotective phenotype. Candesartan decreased the lipopolysaccharide-induced
nitric oxide synthase and cyclooxygenase-2 expression levels, which was
accompanied by an induction of arginase-1 expression levels and enhanced Abeta1
42 uptake by microglia. Moreover, intranasally administered candesartan to AD
mice model significantly reduced the amyloid burden and microglia activation in
the hippocampus. CONCLUSIONS: These results thus shed light on the
neuroprotective role of candesartan in the early stage of AD, which might relate
to modulation of microglial activation states.
PMID- 29365371
TI - [Running a high-quality periodical, building a communication platform].
PMID- 29365373
TI - [Evaluation and treatment of children's laryngeal clefts].
AB - Objectives: To provide the experience about the diagnostic process and following
management, and to discuss the outcome and predictors in children with laryngeal
cleft (LC). Methods: A retrospective case study was conducted at an academic
children's hospital. Thirty children were diagnosed as laryngeal cleft between
January 2016 and April 2017.Airway evaluations were performed using both flexible
and rigid endoscopy, and swallowing evaluations were performed using fiberoptic
endoscopic examination of swallowing or modified barium swallow. Results: Of 30
cases, 18 were male and 12 were female, ranging in age from birth to 8 years. Two
cases were diagnosed as type 0 LC, and they were offered thickened liquid without
medication. Throughout follow-up, they remained asymptomatic and showed no
respiratory complications. Nineteen children were diagnosed as type I LC. Six of
them were significantly improved by anti-reflux therapy and feeding instructions.
Four children were concomitant with swallowing dysfunction and/or neuromuscular
disorders, and they were given a tracheotomy and routine management. Another 4
children were submitted surgical repair when routine treatment failed, and their
symptoms were relieved. Five children were concomitant with larygomalacia, and
their symptoms were totally ameliorated by supraglottoplasty. Three children were
diagnosed as type II LC. Two of them received surgical repair and clinically
improved, and the rest one was treated by anti-reflux therapy and still under
follow-up. Three children were diagnosed as type III LC. One of them was
underwent surgical repair and clinically improved. Two children were
tracheotomized and treated by anti-reflux therapy. Three cases were diagnosed as
type IV LC at birth and no one survived. Conclusions: Laryngeal cleft is a rare
congenital anomaly manifesting with a variety of symptoms, including swallowing
disorder, aspirations, dyspnea, stridor and hoarseness. Diagnosis and treatment
of laryngeal clefts is a challenge. The best way to evaluate the LC is FEES by
laryngeal endoscopy combined with MLB. Cases with type 0-I mostly were
significantly improved by anti-reflux therapy and feeding instructions. When
routine treatment failed, surgical repair is needed. All the cases with LC type
II-III need surgical repair as soon as possible. For type IV cases, early
diagnosis, appropriate treatment and management help to reduce mortality and
morbidity.
PMID- 29365372
TI - [Correlation between the changes of fibrinogen and the treatment effect of all
frequency sudden deafness].
AB - Objective: To analyze the correlation between the changes of fibrinogen and the
treatment effect of all-frequency sudden deafness, and to explore the
individualized treatment strategy for the use of Batroxobin. Methods: Patients
with all-frequency sudden deafness who were admitted to Department of
Otorhinolaryngology, People's Hospital of Peking University, from January 2010 to
September 2016 were selected. All patients were given standard treatment and
regular use of Batroxobin. Value of fibrinogen on D1 (before treatment) / D3 / D7
(+/-1) and D14 (+/-2) were recorded, at the same time, the correlation between
the changes of fibrinogen and prognosis of all-frequency sudden deafness by the
audiograms of onset and after-treatment of all patients were analyzed.
Independent t-test was used to analyze normal distributed measurement data and
chi square linear trend test was used to analyze the curative effect of different
fibrinogen groups. Results: A total of 148 patients were included, the outcomes
were worst when the patient's fibrinogen was below 2 g/L or above 4 g/L before
treatment, ineffective rate were both 50%. The fibrinogen was lowest when the
treatment came to the third day. Normally, the patient's prognosis was best when
this value waved between 0.7 and 0.9 g/L, with a total effective rate between
73.9% and 83.3%. The fibrinogen value of the 7th day was a good indicator of the
outcome, and Fib7 value was significant lower in patients of effective group than
ineffective ones ((1.25+/-0.37)g/L vs (1.38+/-0.35) g/L, t=-0.27, P=0.04).
Patients found a best recovery when Fib7 was below 1 g/L, and the higher the Fib7
value, the higher the inefficiency (chi(2)=7.55, P=0.01). Batroxobin showed
safety during the treatment and found no complications. Conclusion: The change of
fibrinogen in the process of all-frequency sudden deafness is closely related to
the curative effect.
PMID- 29365374
TI - [Clinical application of supraclavicular flap for oncologic reconstruction of
hypopharynx and upper esophagus].
AB - Objective: To assess the efficacy of supraclavicular artery island flap (SCAIF)
for the reconstruction of hypopharynx and upper esophagus. Methods: The SCAIF
procedure on was used in 10 patients, including 8 with hypopharygeal carcinomas,
1 with esophageal carcinoma and neck skin invasion and 1 with hypopharyngeal
leiomyosarcoma, at the Otorhinolaryngology Hospital, the First Affiliated
Hospital, Sun Yatsen University between December 2015 and June 2017. The sizes of
the flaps were measured in (4-8) cm*(5-12) cm. Clinical indexes such as
harvesting time and survival were recorded. Results: Harvesting time for SCAIF
ranged from 20 to 30 minutes, averaging 26 minutes. Nine flaps survived, one flap
had partially necrosis. Functional outcomes were excellent and the donor sites
were direct closed without complications. Conclusions: SCAIF is a versatile,
reliable, and easily harvested flap, with good cosmetic and functional outcomes
for reconstructing the defects of hypopharynx and upper esophagus.
PMID- 29365375
TI - [Assessment of psychological status of inpatients with head and neck cancer
before surgery].
AB - Objective: To investigate the prevalence and psychosocial characteristics in
inpatients with head and neck cancer before surgery. Method: From September 2015
to December 2016, 237 consecutive inpatients with head and neck cancer who had
been scheduled for surgery were prospectively enrolled in Department of Head and
Neck Surgery of Shanxi Provincial Tumor Hospital. Mental health symptoms were
systematically investigated using three psychological instruments: symptom
checklist-90 (SCL-90), Zung self-rating anxiety scale (SAS) and Zung self-rating
depression scale (SDS). SPSS 17.0 software was used to analyze the data. And he
results of SCL-90, SAS and SDS were compared with the Chinese norm. For all
statistical analyses, a P value <0.05 was considered statistically significant.
Result: Of 237 patients, 228 (96.2%) completed all the questionnaire. The scores
of SCL-90 (1.60+/-0.44), SAS (46.67+/-8.51)and SDS(47.50+/-11.43)in patients with
head and neck cancer were significantly higher than those of Chinese norm
(t=3.093, t=17.29, t=4.29 respectively and P=0.003, P=0.001, P=0.001
respectively). Positive proportion identified by three measure tools are 32.9%,
35.5% and 36.8% respectively. And 42 patients (18.4%) suffered from both anxiety
and depression. The SCL-90 scores were significantly higher than those of the
normal standard population, including dimension of somatization obsessive
compulsive, anxiety, hostility, phobic-anxiety and psychoticism (t=4.47, 3.04,
2.87, 2.58, 5.46, 4.15 respectively, all P<0.05). Conclusions: This study offers
important information regarding psychological status in inpatients with head and
neck cancer before surgery. Identifying these patients using proper screening
instrument is of great important clinical implications for the early detection,
management, and reduction of the distress associated with head and neck cancer.
PMID- 29365376
TI - [Tumor-secreted vascular endothelial growth factor A increases the pulmonary
metastasis from nasopharyngeal carcinoma].
AB - Objective: Vascular endothelial growth factor A (VEGFA) was investigated as the
key protein which might promote the specific metastasis progress of
nasopharyngeal carcinoma. Methods: Sixteen specimens of pulmonary metastasis
carcinoma and counterparts in primary nasopharyngeal carcinoma tissue were
collected from patients. The expression of VEGFA through immunohistochemistry was
investigated.VEGFA was knocked down by siRNA in two cell lines of nasopharyngeal
carcinoma (CNE-1 and 5-8F), MTT and Transwell test were used to explore the role
of VEGFA in praxiology. Then shRNA was used to cultivate the stable CNE-1 cell
line with down-regulated-expression of VEGFA. The nude mice models were built
through tail vein injection of specific nasopharyngeal carcinoma cells, and lungs
were collected to perform further metastasis analysis. Results: Previous genetic
studies showed that VEGFA had higher expression in metastasis tissue, and the
result was validated in the present study using immunohistochemistry. The
percentage of positive cells was 84.8% in pulmonary metastasis group, 51.5% in
primary tissue group (t=8.639, P<0.05), average optical density was 0.154 in
pulmonary metastasis group, 0.061 in primary tissue group (t=18.791, P<0.05). Low
expression of VEGFA inhibited cell viability of optical density value of CNE-1 in
siRNA gourp was 0.715, 0.902 in control group (t=7.274, P<0.05); 5-8F in siRNA
group was 0.715, 0.935 in control group (t=7.751, P<0.05). Number counting
suppressed migration of CNE-1 in siRNA group was 52 per high-power lens, 124 per
high-power lens in control group (t=29.380, P<0.05), 5-8F in siRNA group was 65
per high-power lens, 155 per high-power lens in control group (t=18.181, P<0.05).
Number counting invasion of CNE-1 in siRNA gourp was 38 per high-power lens, 86
per high-power lens in control group (t=27.665, P<0.05), 5-8F in siRNA group was
52 per high-power lens, 116 per high-power lens in control group (t=40.972,
P<0.05) in vitro. Furthermore, knock-down of VEGFA in nasopharyngeal carcinoma
reduced the pulmonary metastasis in vivo. Number counting of tumor volumes in
shRNA group was 2.4, and 11.0 in control group (t=6.143, P<0.05); average optical
density of immunohistochemistry in shRNA group was 0.033, and 0.176 in control
group (t=15.734, P<0.05). Conclusions: Results above reveal the overexpression of
VEGFA in nasopharyngeal carcinoma can facilitate the pulmonary metastasis.
Targeting VEGFA may provide a new biomarker of clinical study.
PMID- 29365377
TI - [Identification and analysis of the proteins interacted with Prestin in cochlear
outer hair cells of guinea pig].
AB - Objective: To explore the regulation and mechanism of Prestin protein by
identifying the proteins interacted with Prestin in cochlear outer hair cell(OHC)
and analyzing their biological function. Methods: Co-immunoprecipitation combined
mass spectrometry technology was used to isolate and identify the proteins
interacted with Prestin protein of OHC, bioinformatics was used to construct
Prestin protein interaction network. The proteins interacted with Prestin in OHC
of guinea pig were determined by matching primary interaction mass spectrometry
with protein interaction network, and annotated their functions. Results: The
results of co-immunoprecipitation combined with mass spectrometry showed that 116
kinds of credible proteins could interact with Prestin. By constructing Prestin
protein interaction network, matching the results of mass spectrometry and
analyzing of sub-cellular localization, eight kinds of proteins were confirmed
that they interacted with Prestin directly, namely EEF2, HSP90AB1, FN1, FLNA,
EEF1A1, HSP90B1, ATP5A1, and ERH, respectively, which were mainly involved in the
synthesis and transportation, transmembrane folding and localization, structural
stability and signal transduction of Prestin protein. Conclusion: EEF2, HSP90AB1,
FN1, FLNA, EEF1A1, HSP90B1, ATP5A1 and ERH provide molecular basis for sensory
amplification function of OHCs by participating in biotransformation,
transmembrane folding and localization, signal transduction and other biological
processes of Prestin protein.
PMID- 29365379
TI - [The role of narrow band imaging for the early diagnosis of nasopharyngeal
carcinoma].
PMID- 29365378
TI - [The significance of circulating tumor cells in head and neck squamous cell
carcinoma: a preliminary study].
AB - Objective: To investigate the significance of circulating tumor cells (CTC) in
squamous cell carcinoma of the head and neck (HNSCC). Methods: Twenty-four
patients with HNSCC treated between October 2016 and July 2017 in our department
were selected (experimental group), including 23 males and 1 females, aged 47-81
years. There were 14 cases of squamous cell carcinoma of larynx and 10 cases of
hypopharynx, including I-II stage (5 cases) and III- IV stage (19 cases). All
patients were primary and/or relapsed after treatment. Nine healthy volunteers
were selected as control group. A novel in vivo capture technique (CellCellector
system) was used to detect CTC. SPSS23.0 was used for statistical analysis.
Results: The total capture rate of CTC in patients with HNSCC before treatment
was 70.8% (17/24), with 40% (2/5) for patients at I-II stage, and 78.9% (15/19)
for patients at III- IV stage, and was 0 in patients of control group. The total
capture rate of CTC in patients with HNSCC after treatment was 50% (8/16). There
was no significant correlation between CTC and age, sex, location of tumor or
lymph node metastasis (P>0.05). CTC was related to tumor staging and tumor
differentiation (P<0.05). The positive rate of EGFRVIII in CTC was 26.3% (5/19).
Conclusions: The CellCollector system is a very efficient way of detecting CTC,
and CTC plays an important role in the occurrence, progression and metastasis of
HNSCC.
PMID- 29365380
TI - [Application of endoscope assisted curved laryngoscopy technique in transoral
laryngopharyngeal minimally invasive surgery].
AB - Objective: To explore the possibility of endoscope assisted curved laryngoscopy
technique applied in transoral laryngopharyngeal minimally invasive surgery and
evaluate the advantages of this technique. Methods: Eight patients with huge
benign lesions in larynx and pharynx undergoing transoral microsurgery at Peking
University Third Hospital between February 2016 and February 2017 were enrolled
in this study.The diagnosis included cyst at the base of tongue in two patients,
cysts in the epiglottis in two patients, hemangioma in two patients, multiple
masses of the hypopharynx and amyloidosis in supraglottic area in one patient
each.The time and exposure during surgery, occurrence rate of complication and
conditions of following-up were recorded. Results: The mean time of surgery was
20 min, the exposure was satisfying.There was no obvious complication after
surgery.No residual or recurrent lesion was observed after 1 to 12 months follow
up(mean time 4.5 months). Conclusion: The endoscope assisted curved laryngoscopy
technique has advantages in shortening the time of surgery, improving exposure
and reducing the rate of complication and recurrence.
PMID- 29365381
TI - [Application of virtual reality in surgical treatment of complex head and neck
carcinoma].
AB - Objective: To investigate the application of virtual reality technology in the
preoperative evaluation of complex head and neck carcinoma and he value of
virtual reality technology in surgical treatment of head and neck carcinoma.
Methods: The image data of eight patients with complex head and neck carcinoma
treated from December 2016 to May 2017 was acquired. The data were put into
virtual reality system to built the three-dimensional anatomical model of
carcinoma and to created the surgical scene. The process of surgery was
stimulated by recognizing the relationship between tumor and surrounding
important structures. Finally all patients were treated with surgery. And two
typical cases were reported. Results: With the help of virtual reality, surgeons
could adequately assess the condition of carcinoma and the security of operation
and ensured the safety of operations. Conclusions: Virtual reality can provide
the surgeons with the sensory experience in virtual surgery scenes and achieve
the man-computer cooperation and stereoscopic assessment, which will ensure the
safety of surgery. Virtual reality has a huge impact on guiding the traditional
surgical procedure of head and neck carcinoma.
PMID- 29365382
TI - [Efficacy of systemic glucocorticoids combined with inhaled steroid on children
with acute laryngitis].
AB - Objective: To evaluate the efficacy of systemic glucocorticoid (steroid) combined
with high dose inhaled steroid in the treatment of children with acute
laryngitis. Methods: A total of 78 children with acute laryngitis were randomly
divided into study group(n=40) and control group(n=38) between November 2016 and
April 2017. In addition to routine treatment of anti infection and symptomatic
treatment, Dexamethasone injection(0.3-0.5 mg/kg, 1-3 d, according to the
patient's condition) was provided to each group. In addition to the treatment
mentioned above, the study group were assigned to receive 1.0 mg Budesonide
suspension for inhalation, oxygen-driven atomizing inhalation, every/30 minutes,
2 times in a row, after that every 12 hours. The improvement of inspiratory
dyspnea, hoarseness, barking cough and wheezing of both groups was evaluated at
30 min, 1 h, 2 h, 6 h, 12 h, 24 h and 72 h after treatment.Sigmaplot 11.5
software was used to analyze the data. Results: No significant difference was
detected in terms of inspiratory dyspnea, hoarseness, barking cough or stridor
score before treatment between the two groups(P>0.05). Compared with those before
treatment, symptoms of inspiratory dyspnea, hoarseness, barking cough and stridor
score of both groups improved markedly at 12 h and 24 h after treatment(P<0.05).
While there was no significant difference regarding inspiratory dyspnea,
hoarseness, barking cough or stridor score at each time point after treatment
between the two groups(P>0.05). The effective rate was 92.50% and 92.11% in study
group and control group, respectively, and no significant difference was noted
(P>0.05). Conclusion: Compared with single systemic glucocorticoid, systemic
glucocorticoids combined with inhaled steroid possessed similar efficacy in
treating acute laryngitis and relieving laryngeal obstruction of children.
PMID- 29365383
TI - [Application of bedside ultrasound in critically ill patients with second
percutaneous dilational tracheostomy].
PMID- 29365384
TI - [Spontaneous cervical and mediastinal hematoma from parathyroid adenoma
hemorrhage: one case report].
PMID- 29365385
TI - [Reoccurrence of congenital piriform sinus fistula after the internal opening
obliteration with CO(2) laser cauterization: one case report].
PMID- 29365386
TI - [A case report of Langerhans cell histiocytosis in sphenoid sinus].
PMID- 29365387
TI - [Strategy for minimally invasive cochlear implantation and residual hearing
preservation].
AB - In the past few decades, considerable development was achieved in the cochlear
implantation following the emergence of innovative electrode array and advances
in minimally invasive surgery. Minimally invasive technique led to a better
preservation of residual low-frequency hearing. The loss of residual hearing was
caused by complicated factors. According to previous studies, a slower and stable
speed of electrode insertion and the use of perioperative steroids were
demonstrated to have a positive impact on hearing preservation. The selection of
electrode array or its insertion approaches didn't show any distinctive benefits
in hearing preservation.
PMID- 29365388
TI - [Neuromuscular properties of genioglossus activity in healthy adults and
obstructive sleep apnea patients].
AB - Upper airway patency closely contact with neuromuscular airway regulation during
respiratory, especially the activity of the pharyngeal dilators. The genioglossus
is the largest pharyngeal dilators with its contraction playing the most
important role in keeping the pharyngeal airway open. In healthy individuals,
genioglossus activation shows a negative correlation with pharyngeal
collapsibility and upper airway resistance. Negative pressure during inspiration
can stimulate airway mechanoreceptors to produce a muscle reflex activity.
However, in obstructive sleep apnea (OSA) patients, the muscles cannot always
compensate for the increased mechanical load, resulting in frequent obstructive
breathing events. A number of studies have shown that the collapsibility of upper
airway during sleep in OSA patients is closely related to the activity of
genioglossus electromyography(GGEMG). The present article describes the current
understanding regarding the characters of GGEMG during sleep in healthy adults,
as well as the pathophysiology of GGEMG in OSA patients.
PMID- 29365389
TI - [Advances in tracheal transplantation].
AB - The length of tracheal defect or stenosis exceeded 5 cm could not be treated by
simple resection and end-to-end anastomosis of the remaining trachea. Various
ways of tracheal replacement had appeared sequentially, such as radial forearm
free flap with cartilage grafts, tracheal tissue-engineering and tracheal
allotransplantation. Among these methods, tracheal allotransplantation displayed
a better long-term result. In this review, we are focused on recent advances in
tracheal allotransplantation, particularly on revascularization and
reepithelialization of graft, as well as on the application of immunosuppressive
agents.
PMID- 29365391
TI - [Expert consensus on minimal residual disease detection of acute leukemia and
plasma cell neoplasms by multi-parameter flow cytometry].
PMID- 29365390
TI - [Minutes of the Rhinology World Congress 2017].
PMID- 29365392
TI - [Gene mutations from 511 myelodysplastic syndromes patients performed by targeted
gene sequencing].
AB - Objective: To study the characteristics of gene mutations in Chinese
myelodysplastic syndromes (MDS) patients. Methods: A total of 511 Chinese
patients with MDS performed 112-gene targeted sequencing were retrospectively
analyzed. Results: Eighty-three distinct mutant genes were found in 511 patients
with MDS. Amongst these, the most frequent mutations was associated with
epigenetics (50%) , followed by spliceosome (37%) , signal transduction (34%) ,
transcription factors (24%) and cell cycle/apoptosis (17%) . 439 subjects (86%)
had at least one gene mutation. The mean number of mutations in refractory anemia
with unilineage dysplasia (RCUD) was 1.25, refractory anemia with multilineage
dysplasia (RCMD) was 1.73, refractory anemia with ring sideroblasts (RARS) was
2.79, refractory anemia with excess blasts-1 (RAEB-1) was 2.22, RAEB-2 was 2.34,
MDS with isolated 5q- was 2.67, MDS, unclassified (MDS-U) was 2.00. U2AF1 mutant
subjects were more likely to have isolated+8[Q<0.001, OR=4.42 (95% CI 2.23-8.68)
]and less likely to have complex karyotypes[Q=0.005, OR=0.22 (95% CI 0.04-0.72)
]. According to the number of gene mutations, all subjects were categorized into
three groups, namely group with 0-1 mutation, with 2 mutations and with three or
more mutations. There was a significant difference in overall survival (OS) among
three groups (P=0.041) . Conclusion: About 90% patients with MDS have at least
one gene mutation. Genes associated with epigenetics and spliceosome are most
common mutated genes in MDS. The increased numbers of gene mutations accompany
with disease evolution and associate with poor prognosis.
PMID- 29365394
TI - [Allogeneic hematopoietic stem cell transplantation for treatment of refractory
and relapsed acute myeloid leukemia: outcomes and prognostic factors].
AB - Objective: To evaluate the outcomes and prognostic factors of patients with
refractory and relapsed acute myeloid leukemia (AML) who received allogeneic
hematopoietic stem cell transplantation (allo-HSCT) . Methods: The overall
survival (OS) , disease free survival (DFS) , acute and chronic graft-versus-host
disease (GVHD) , relapse rate (RR) , transplantation related mortality (TRM) and
their related risk factors were analyzed retrospectively. Results: All the
patients (median age 35 years, range 6 to 58) received myeloablative conditioning
regimens. All patients had successful engraftment, and the median time of
neutrophils engraftment was 14 days (range 9 to 25) . Of the patients who
survived more than 100 days, the accumulative incidence of grade II-IV acute GVHD
and chronic GVHD (cGVHD) were 27.3% (95%CI 18.9%-36.3%) , 33.9% (95%CI 24.6%
43.5%) , respectively. Meanwhile, the accumulative incidence of extensive cGVHD
was 9.3% (95%CI 4.5%-16.1%) . The 3-year OS, DFS, RR, and TRM was 45.0% (95%CI
34.6%-55.4%) , 45.0% (95%CI 34.8%-55.2%) , 36.6% (95%CI 26.9%-46.4%) and 19.7%
(95%CI 12.4%-28.3%) respectively. Multivariate analysis revealed four independent
risk factors: non remission status before transplantation[P=0.009, HR=2.21 (95%CI
1.22-4.04) ], WBC at diagnosis>50*10(9)/L[P=0.024, HR=2.11 (95%CI 1.11-4.02) ],
donor age>35 years [P=0.031, HR=1.96 (95%CI 1.06-3.60) ]and without
cGVHD[P=0.008, HR=0.38 (95%CI 0.18-0.78) ]. According to the risk factors before
transplantation (non remission status, WBC at diagnosis>50*10(9)/L, donor age>35
years) , we then defined three subgroups with striking different OS at 3 years:
no adverse factor (75.0%) ; one adverse factor (46.9%) ; two or three adverse
factors (15.4%) (chi(2)=26.873, P<0.001) . Conclusion: Allo-HSCT is a promising
and safe choice for patients with refractory and relapsed AML and relapse is the
major cause of the transplantation failure. Disease status before
transplantation, donor age, WBC at diagnosis and cGVHD are confirmed as
prognostic factors for these patients who received allo-HSCT.
PMID- 29365393
TI - [Efficacy and safety of IA regimen containing different doses of idarubicin in de
novo acute myeloid leukemia for adult patients].
AB - Objective: To investigate the efficacy and safety of IA regimen which contains
idarubicin (IDA) 8 mg/m(2), 10 mg/m(2) or 12 mg/m(2) as induction chemotherapy
for adult patients with de-novo acute myeloid leukemia (AML) . Methods: A total
of 1 215 newly diagnosed adult AML patients, ranging from May 2011 to March 2015
in the First Affiliated Hospital of Soochow University and other 36 clinical
blood centers in China were enrolled in the multicenter, single-blind, non
randomized, clinical controlled study. To compare the response rate of complete
remission (CR) , adverse events between different dose idarubicin combined with
cytarabine (100 mg/m(2)) as induction chemotherapy in newly diagnosed patients of
adult AML. Results: Of 1 207 evaluable AML patients were assigned to this
analysis of CR rate. The CR rates of IDA 8 mg/m(2) group, IDA 10 mg/m(2) group
and IDA 12 mg/m(2) group were 73.6% (215/292) , 84.1% (662/787) and 86.7%
(111/128) , respectively (P<0.001) . After adjusted for age, blast ratio of bone
marrow, FAB classification and risk stratification, the odds ratios (95% CI) of
IDA 10 mg/m(2) group and IDA 12 mg/m(2) group were 0.49 (0.34-0.70) and 0.36
(0.18-0.71) , as compared with the IDA 8 mg/m(2) group (P<0.001, P=0.003) . In
the intermediate and favorable groups, CR rates was 76.5% (163/213) , 86.9%
(506/582) and 86.1% (68/79) in different doses of IDA (P=0.007) . Interestingly,
IA regimen with IDA 10 mg/m(2) was the only beneficial factor affecting CR in
this group after adjusted for age, blast ratio of bone marrow and FAB
classification[OR=0.47 (95% CI 0.31-0.71) , P<0.001]. CR rates in adverse group
was 50.0% (18/36) , 60.6% (43/71) and 81.8% (18/22) respectively (P=0.089) .
However, the odds ratios (95% CI) of IDA 12 mg/m(2) when compared with the IDA 8
mg/m(2) was 0.22 (0.06-0.80) , after adjusted for age, blast ratio of bone marrow
and FAB classification. The median time (days) of neutrophil count less than
0.5*10(9)/L in IDA 8 mg/m(2) group, IDA 10 mg/m(2) group and IDA 12 mg/m(2) group
were 14 (11-18) , 15 (11-20) and 18 (14-22) , respectively (P=0.012) and of
platelet count lower than 20*10(9)/L were 14 (7-17) , 15 (11-20) and 17 (15-21) ,
respectively (P=0.001) . The incidences of lung infection in the three groups
were 9.8%, 13.5% and 25.2%, respectively (P<0.001) . Conclusions: For young adult
patients (aged 18-60 years) with AML in China, intensifying induction therapy
with idarubicin 10 mg/m(2) is clinically superior to IDA 8 mg/m(2) and IDA 12
mg/m(2) in favorable intermediate AML subgroup. However, idarubicin 12 mg/m(2) is
more suitable to adverse AML subgroup.
PMID- 29365395
TI - [Clinical significance of serum calcium and albumin in patients with secondary
hemophagocytic lymphohistiocytosis].
AB - Objective: To investigate the clinical significance of serum calcium
concentrations and albumin levels in patients with secondary hemophagocytic
lymphohistiocytosis (sHLH) . Methods: The clinical and laboratory data of 68
patients with newly diagnosed sHLH from April 2013 to April 2016, and 20 healthy
controls were retrospectively analyzed. The patients were classified as the
clinical remission group and the progression group according to the response
criteria. To explore the changes of serum calcium concentrations and albumin
levels in the patients, its correlations with other laboratory indexes and the
impact on survival. Results: 1The serum calcium concentrations and albumin levels
in lymphoma-related HLH group and non-tumor HLH group were lower than control
group (P<0.05) ; The serum calcium concentrations and albumin levels in the
clinical remission group after treatment was higher than that before treatment
(P<0.01) , while in the progression group the serum calcium concentrations after
treatment decreased (P=0.002) , the albumin levels did not changed significantly
(P=0.086) .2The serum calcium concentrations in newly diagnosed sHLH patients was
positively correlated with ANC, PLT, HGB (P<0.05) , and negatively with ferritin
(P<0.05) ; The overall survival (OS) was significantly longer in patients with
serum calcium concentration>=1.92 mmol/L than that<1.92 mmol/L (266 d vs 95 d,
P=0.006) . 3The serum albumin levels in newly diagnosed sHLH patients was
positively correlated with ANC, HGB (P<0.05) , and negatively with ferritin
(P<0.05) ; The overall survival was longer in patients with serum albumin level
>= 26.7 g/L than that<26.7 g/L (263 d vs 95 d, P=0.023) . 4The serum calcium
concentrations in patients before treatment was positively correlated with serum
albumin levels (P<0.001) , and no significant correlation was found in control
group (P=0.079) . Conclusion: The serum calcium concentrations and albumin levels
have clinical significance for disease diagnosis, decision-making therapy and
treatment outcomes in the patients with sHLH.
PMID- 29365396
TI - [Ibrutinib inhibits mesenchymal stem cells-mediated drug resistance in diffuse
large B-cell lymphoma].
AB - Objective: To explore the mechanism of ibrutinib on drug resistance diffuse large
B-cell lymphoma (DLBCL) cells. Methods: DLBCL cell line was cultured with
mesenchymal stem cells (MSC) , and DLBCL cells which migrated and adhered to MSC
under microscope was counted. The secretion of CXCL12 by MSC were measured by
ELISA. The expression of CXCR4 on DLBCL cells were measured by flow cytometry,
HBL-1 cells were transfected with a CXCR4-lentivector. An Annexin V-binding assay
was used to detect the induction of apoptosis. Clonogenic growth of DLBCL cells
was evaluated on MethoCult media. Ibrutinib was injected into NOD/SCID mice,
tumor growth was assessed via caliper measurements every 3 days. Results: MSC
promoted migration and adhesion of DLBCL cells to MSC. Ibrutinib inhibited
migration and adhesion of DLBCL cells to MSC in a dose-dependent manner (P<0.05)
. CXCL12 secreted by MSC and CXCR4 expressed on DLBCL cells could induce each
other, which upgraded the levels of secretion and expression. Ibrutinib could
inhibit the secretion of CXCL12 (SUDHL10: 660 pg/ml vs 1 400 pg/ml, P=0.004; HBL
1: 720 pg/ml vs 1 490 pg/ml, P=0.018; DLBCL:850 pg/ml vs 1 450 pg/ml, P=0.004)
and expression of CXCR4 (P<0.05) . When co-cultured with MSC, the ratio of HBL-1
cells apoptosis in the group of control, mitoxantrone, ibrutinib,
mitoxantrone+ibrutinib were respectively 15.1%, 17.5%, 23.5%, 58.7%. After
transfected with a CXCR4-lentivector and overexpressed CXCR4, the ratios of HBL-1
cells apoptosis were 14.2%, 16.1%, 22.5%, 38.3% respectively. The ratio of DLBCL
cells apoptosis induced by mitoxantrone was lower when co-cultured with MSC
(P<0.05) . But with the addition of ibrutinib, the ratio of apoptosis was
increaed and it was similar to cultivation without MSC, which suggested ibrutinib
could inhibit drug-resistance induced by MSC. But after transfected with a CXCR4
lentivector, the overexpression of CXCR4 was detected and the ratio of apoptosis
was significantly lower when co-cultured with MSC which demonstrated that
ibrutinib inhibited drug-resistance by inhibiting the expression of CXCR4. MSC
enhanced lymphoma clonogenicity in vitro and lymphoma cell growth in vivo. The
number of colonies of control, MSC, Ibrutinib, MSC+Ibrutinib were 113+/-5, 205+/
4, 62+/-9, 123+/-3 (2.5*10(3)/well, x+/-s) , respectively. The tumor volume of
NOD/SCID mice were respectively 6 500, 17 000, 4 000, 10 000 mm(3). Ibrutinib
inhibited lymphoma clonogenicity in vitro and lymphoma cell growth in vitro.
Conclusion: Ibrutinib targeted the CXCL12/CXCR4 axis, inhibited the expression of
CXCR4 and inhibited MSC-mediated drug resistance. Ibrutinib also inhibited
lymphoma clonogenicity in vitro and lymphoma cell growth in vivo. These results
provided a scientific rationality for relapsed/refractory DLBCL treatment with
ibrutinib.
PMID- 29365397
TI - [Clinical and bacteriological analysis of lymphoid tissue neoplasms patients with
bacteria bloodstream infections].
AB - Objective: To investigate the clinical status of lymphoid tissue neoplasms
patients with bacteria bloodstream infections, bacteriology and drug
susceptibility results, and provide the basis for rational clinical anti
infection option. Methods: A retrospectively analysis of clinical data and
bacterial susceptibility test results of patients with bacteria bloodstream
infections from September 2010 to December 2014 was conducted. Results: A total
of 134 cases including 107 patients with bloodstream infections were enrolled. 84
cases were male, 50 cases were female, the median age was 31 (12-71) years old.
112 cases were agranulocytosis, and 106 cases were severe agranulocytosis
(ANC<0.1*10(9)/L) . 27 cases underwent hematopoietic stem cell transplantation,
100 cases received chemotherapy[33 cases with VD (I) CP+/-L
(vincristine+daunorubicin/idarubicin + cyclophosphamide + prednison+/
asparaginasum) induction chemotherapy, 41 cases with intensive chemotherapy of
Hyper-CVAD/MA or MA (mitoxantrone+cytarabine) , 26 cases with other chemotherapy
regimens], and 7 cases were infected without chemotherapy. 10 patients discharged
from hospital owing to treatment abandoning, 120 cases were cured through anti
infective therapy, 2 patients died of bacteria bloodstream infections, 1 patient
died of sudden cardiac, and 1 patient died of GVHD after allogenic hematopoietic
stem cell transplantation. A total of 144 strains were isolated, including 108
strains (75.0%) of Gram-negative bacteria and 36 strains (25.0%) of Gram-positive
cocci. The susceptibility of Gram-negative bacteria to the carbapenems was
98.00%, and the adjustment treatment rate of carbapenems was 3.0%. The
susceptibility of Gram-negative bacteria to the other antibiotics was 60.30%, and
the adjustment treatment rate was 90.5%. The susceptibility of Grampositive cocci
to the carbapenems was 49.3%, and to glycopeptides and linezolid was 100.0%.
Comparing all patients'empirical use of antimicrobial agents with the drugs
susceptibility results of blood cultures, 80.1% of the patients'initial drug
selection was sensitive. Conclusion: The lymphoid neoplasms patients experienced
bacteria bloodstream infections most often after receiving the chemotherapy
regimens of treating acute lymphoblastic leukemia. The majority type of bacteria
was Gram-negative bacteria. Drug susceptibility test showed that susceptibility
of Gram-negative bacteria to the carbapenems was the highest, and the treatment
adjustment rate was obviously lower. The susceptibility of Gram-positive cocci to
glycopeptides and linezolid was high, and which could be applied to the patients
with Gram-positive cocci sepsis on basis of susceptibility results in general.
PMID- 29365399
TI - [A case report of acute promyelocytic leukemia transforming into acute myeloid
leukemia M(4)].
PMID- 29365398
TI - [Bing-Neel syndrome: 3 cases report and a review of the literature].
AB - Objective: To evaluate the clinical characteristics, diagnosis criteria,
treatment and prognosis in patients with Bing-Neel Syndrome (BNS) . Methods: The
clinical characteristics, lab data, treatment and outcomes of 3 Bing-Neel
syndrome patients diagnosed at Peking Union Medical College Hospital were
collected. Results: The clinical presentation was heterogeneous without any
specific common signs or symptoms. One patient was diagnosed with BNS 42 months
after diagnosis of Waldenstrom macroglobulinemia (WM) by cerebrospinal fluid
(CSF) cytology and flow cytometry, but dead of infection during the first course
of chemotherapy. BNS was the first manifestation of WM in the other 2 cases. They
were diagnosed by flow cytometry and cytology of CSF. The detection of
MYD88(L)265P mutation in CSF contributed to diagnosis and to sequential
monitoring of minimal residual disease. They received systemic chemotherapy of FC
(fludarabine + cyclophosphamide) +/- rituximab and intrathecal therapy, followed
by maintenance therapy of chlorambucil or R2 (rituximab + lenalidomide) . They
were followed 17 and 20 months respectively without progression of disease.
Conclusion: The diagnosis approach of BNS should be based on a combination of CSF
cytology, flow cytometry and detection of the MYD88(L265P) mutation. The
detection of MYD88(L265P) mutation may be useful in the monitoring of minimal
residual disease.
PMID- 29365400
TI - [Effects of PSMB5 on proliferation and bortezomib chemo-resistance in human
myeloma cells and its related molecular mechanisms].
AB - Objective: To investigate the effects of proteasome beta 5 subunit (PSMB5) on
proliferation and bortezomib (BTZ) chemo-sensitivity of multiple myeloma (MM) and
its related molecular mechanisms. Methods: We used two MM cell lines, RPMI 8226
and BTZ drug-resistant cell line RPMI 8226/BTZ100 (hereinafter referred to as
BTZ100) , as the research object. PSMB5 was overexpressed or knocked down in two
myeloma cell lines via lentivirus transfection. CCK8 assay was used to detect the
impact of PSMB5 on cell viability and bortezomib sensitivity in human myeloma
cells; Using flow cytometry to test the effects of PSMB5 on apoptosis rate of
human myeloma cells under the treatment of bortezomib; Apoptosis-related gene
expression of Bax, Bcl-2, p-Akt and cleaved caspase-3 were detected by Western
blot. Results: 1PSMB5 overexpression and knockdown were successfully constructed
in RPMI 8226 and BTZ100 cells. 2PSMB5 expression was positively correlated with
cell proliferation of RPMI 8226 and BTZ100 cells (P<0.05) . 3The cell viability
was lower after PSMB5 knockdown in RPMI 8226 cells than control cells under the
same concentration of BTZ[IC(50) at 24 h: (7.01+/-0.47) and (9.64+/-0.55) nmol/L
respectively, t=6.289, P=0.003]. The cell viability was higher after PSMB5
overexpression in RPMI 8226 cells than control cells under the same concentration
of BTZ[IC(50) at 24 h: (10.99+/-0.58) and (9.51+/-0.37) nmol/L respectively,
t=3.724, P=0.020) . PSMB5 expression was negatively correlated with the
sensitivity of RPMI 8226 cells to BTZ. The results of BTZ100 cells were similar.
4The expression of PSMB5 was negatively correlated with the apoptosis of RPMI
8226 and BTZ100 under the treatment of BTZ. 5Meanwhile, PSMB5 knockdown could
increase the expression of pro-apoptosis gene Bax and cleaved caspase-3 and
decrease the expression of anti-apoptotic gene Bcl-2 and p-Akt. PSMB5 over
expression has the opposite results. Conclusion: PSMB5 knockdown could improve
the bortezomib sensitivity of MM cells via activation of apoptosis signaling.
PSMB5 may be a potential therapeutic target for MM.
PMID- 29365401
TI - [Therapeutic outcomes of CCLG-2008 protocol in the treatment of 424 childhood
acute lymphoblastic leukemia].
PMID- 29365402
TI - [Clinical characteristics of four SET-NUP214 positive acute leukemia patients].
PMID- 29365403
TI - [The clinical value of soluble urokinase plasminogen activation receptor in
febrile neutropenic patients with hematological malignancies after chemotherapy].
PMID- 29365404
TI - [Diagnosis and therapeutic outcomes of 12 cases thalassemia with concomitant
acquired bone marrow failure].
PMID- 29365405
TI - [Thrombotic microangiopathy with lack of ADAMT13 activity after allogeneic
hematopoietic stem cell transplantation: a case report and literature review].
PMID- 29365406
TI - [A monocentric retrospective study of low-dose rituximab in the treatment of 12
cases refractory or relapsed idiopathic autoimmune hemolytic anemia patients].
PMID- 29365407
TI - [Efficacy and safety of recombinant human thrombopoietin combined with hormonal
and cyclosporine in 20 patients with newly diagnosed immune-related
pancytopenia].
PMID- 29365408
TI - [Ultrasound detection of children hemophilic arthropathy].
PMID- 29365409
TI - [Advances in intestinal microbiota and graft versus host disease].
PMID- 29365410
TI - [Strengthen the cancer surveillance to promote cancer prevention and control in
China].
AB - Cancer is a major chronic disease threatening the people's health in China. We
reviewed the latest advances on cancer surveillance, prevention and control in
our country, which may provide important clues for future cancer control. We used
data from the National Central Cancer Registry, to describe and analyze the
latest cancer statistics in China. We summarized updated informations on cancer
control policies, conducting network, as well as programs in the country. We
provided important suggestions on the future strategies of cancer prevention and
control. The overall cancer burden in China has been increasing during the past
decades. In 2014, there were about 3 804 000 new cancer cases and 2 296 000
cancer deaths in China. The age-standardized cancer incidence and mortality rates
were 190.63/100 000 and 106.98/100 000, respectively. China has formed a
comprehensive network on cancer prevention and control. Nationwide population
based cancer surveillance has been built up. The population coverage of cancer
surveillance has been expanded, and the data quality has been improved. As the
aging population is increasing and unhealthy life styles persist in our country,
there will be an unnegligible cancer burden in China. Based on the comprehensive
rationale of cancer control and prevention, National Cancer Center of China will
perform its duty for future precise cancer control and prevention, based on
cancer surveillance statistics.
PMID- 29365411
TI - [Report of Cancer Incidence and Mortality in China, 2014].
AB - Objective: The registration data of local cancer registries in 2014 were
collected by National Central Cancer Registry (NCCR)in 2017 to estimate the
cancer incidence and mortality in China. Methods: The data submitted from 449
registries were checked and evaluated, and the data of 339 registries out of them
were qualified and selected for the final analysis. Cancer incidence and
mortality were stratified by area, gender, age group and cancer type, and
combined with the population data of 2014 to estimate cancer incidence and
mortality in China. The age composition of standard population of Chinese census
in 2000 and Segi's population were used for age-standardized incidence and
mortality in China and worldwide, respectively. Results: Total covered population
of 339 cancer registries (129 in urban and 210 in rural) in 2014 were 288 243 347
(144 061 915 in urban and 144 181 432 in rural areas). The mortality verified
cases (MV%) were 68.01%. Among them, 2.19% cases were identified through death
certifications only (DCO%), and the mortality to incidence ratio was 0.61. There
were about 3, 804, 000 new cases diagnosed as malignant cancer and 2, 296, 000
cases dead in 2014 in the whole country. The incidence rate was 278.07/100, 000
(males 301.67/100, 000, females 253.29/100, 000) in China, age-standardized
incidence rates by Chinese standard population (ASIRC) and by world standard
population were 190.63/100, 000 and 186.53/100, 000, respectively, and the
cumulative incidence rate (0-74 age years old) was 21.58%. The cancer incidence
and ASIRC in urban areas were 302.13/100, 000 and 196.58/100, 000, respectively,
whereas in rural areas, those were 248.94/100, 000 and 182.64/100, 000,
respectively. The cancer mortality in China was 167.89/100, 000 (207.24/100, 000
in males and 126.54/100, 000 in females), age-standardized mortality rates by
Chinese standard population (ASMRC) and by world standard population were
106.98/100, 000 and 106.09/100, 000, respectively. And the cumulative incidence
rate (0-74 age years old) was 12.00%. The cancer mortality and ASMRC in urban
areas were 174.34/100, 000 and 103.49/100, 000, respectively, whereas in rural
areas, those were 160.07/100, 000 and 111.57/100, 000, respectively. Lung cancer,
gastric cancer, colorectal cancer, liver cancer, female breast cancer, esophageal
cancer, thyroid cancer, cervical cancer, encephala and pancreas cancer, were the
most common cancers in China, accounting for about 77.00% of the new cancer
cases. Lung cancer, liver cancer, gastric cancer, esophageal cancer, colorectal
cancer, pancreatic cancer, breast cancer, encephala, leukemia and lymphoma were
the leading causes of death and accounted for about 83.36% of cancer deaths.
Conclusions: The progression of cancer registry in China develops rapidly in
these years, with the coverage of registrations is expanded and the data quality
was improved steadily year by year. As the basis of cancer prevention and control
program, cancer registry plays an important role in making the medium and long
term of anti-cancer strategies in China. As China is still facing the serious
cancer burden and the cancer patterns varies differently according to the
locations and genders, effective measures and strategies of cancer prevention and
control should be implemented based on the practical situation.
PMID- 29365412
TI - [FAT1 inhibits cell proliferation of esophageal squamous cell carcinoma through
regulating the expression of CDK4/CDK6/CCND1 complex].
AB - Objective: To explore the expression of FAT1 in esophageal squamous cell
carcinoma (ESCC) tissues, and its effect on cell proliferation. Methods: The
expression levels of FAT1 protein in human ESCC tissues and matched adjacent
normal tissues were determined by immunohistochemistry (IHC). Lentivirus based
knockdown of FAT1 was carried out in YSE2 and Colo680N cell lines and 3-(4, 5
dimethyl-2-thiazolyl)-2, 5-diphenyl-2H tetrazolium bromide (MTT) assays was
performed to examine the effect of FAT1 on the proliferation of these ESCC cells.
Colony formation assay was used to detect the colony formation ability. Flow
cytometry was performed to analyze the cell cycle and apoptosis. The expression
levels of cell cycle markers in FAT1 knock out ESCC cell lines were detected by
real-time quantitative reverse transcription polymerase chain reaction(qRT-PCR)
and Western blot. Results: The relative expression of FAT1 in ESCC tissues was
66.97+/-21.53, significantly lower than 78.13+/-16.76 of adjacent normal
tissues(P<0.05). Knockdown of FAT1 promoted cell proliferation and colony
formation. In YSE2 cell, the division time in negative control (NC) group was (1
570+/-51) min, significantly longer than (1 356+/-31) min in shFAT1 group. In
Colo680N cell, division time in NC group was (1 532+/-53) min, significantly
longer than (1 290+/-30) min in shFAT1 group (P<0.05). Knockdown of FAT1 promoted
G1-to S-phase transition and resulted in the upregulation of CDK4/CDK6/CCND1.
Conclusion: FAT1 inhibits the proliferation and G1-to S-phase transition of ESCC
cells through regulating the protein expression of CDK4/CDK6/CCND1 complex.
PMID- 29365413
TI - [Clinical significance of NS1-BP expression in esophageal squamous cell
carcinoma].
AB - Objective: To investigate the clinical significance of NS1-BP expression in
patients with esophageal squamous cell carcinoma (ESCC), and to study the roles
of NS1-BP in proliferation and apoptosis of ESCC cells. Methods: A total of 98
tumor tissues and 30 adjacent normal tissues from 98 ESCC patients were used as
study group and control group, and these samples were collected in Sun Yat-Sen
University Cancer Center between 2002 and 2008. In addition, 46 ESCC tissues
which were collected in Cancer Institute and Hospital of Tianjin Medical
University were used as validation group. Expression of mucosal NS1-BP was
detected by immunohistochemistry. Kaplan-Meier curve and log-rank test were used
to analyze the survival rate. Multivariate Cox proportional hazard model was used
to analyze the prognostic factors. Furthermore, NS1-BP was over expressed or
knocked down in ESCC cells by transient transfection. Protein levels of c-Myc
were detected by western blot. Cell viability and apoptosis was analyzed by MTT
assay and flow cytometry. Results: Among all of tested samples, NS1-BP were down
regulated in 9 out of 30 non-tumorous normal esophageal tissues (30.0%) and 85
out of 144 ESCC tissues (59.0%), respectively, showing a statistically
significant difference (P=0.012). In the study group, three-year disease-free
survival rate of NS1-BP high expression group (53.2%) was significantly higher
than that of NS1-BP low expression group (27.6%; P=0.009). In the validation
group, the three-year disease-free survival rates were 57.8% and 25.5% in NS1-BP
high and low levels groups, respectively, showing a similar results (P=0.016).
Importantly, multivariate analyses showed that low expression of NS1-BP was an
independent predictor for chemoradiotherapy sensitivity and shorter disease-free
survival time in ESCC patients(P<0.05 for all). Furthermore, overexpressed NS1-BP
in TE-1 cells repressed c-Myc expression, inhibited cell proliferation and
promoted apoptosis. In contrast, knockdown NS1-BP in KYSE510 cells induced c-Myc
expression, increased cell proliferation and repressed apoptosis. Conclusions:
NS1-BP is an independent favorable prognostic factor in ESCC. It inhibits cell
proliferation and enhances cell apoptosis via repressing c-Myc. Targeting NS1-BP
may be a new therapeutic strategy for ESCC patients.
PMID- 29365414
TI - [Clinical significance of syndecan-1 and syndecan-2 expression in gallbladder
squamous cell/adenosquamous carcinoma and adenocarcinoma].
AB - Objective: To investigate the expression of syndecan-1 and syndecan-2 and their
clinicopathological significance in patients with gallbladder squamous cell
(SC)/adenosquamous carcinoma (ASC) and adenocarcinoma (AC). Methods: A total of
126 patients with SC/ASC (n=46) and AC (n=80) were included in this study. The
expression levels of syndecan-1 and syndecan-2 were detected by EnvisonTM
immunohistochemistry assay. The clinical and prognostic significance of syndecan
1 and syndecan-2 were analyzed. Results: In the 46 SC/ASC samples, syndecan-1 and
syndecan-2 were positively expressed in 29 (63.0%) and 28 (60.9%) tumor tissues,
respectively. (Positive expression was defined based on the staining in the
component of squamous cell carcinoma. That is to say, the tissue which
adenocarcinoma part was positively stained, but squamous cell carcinoma part was
negatively stained is also regarded as negative.) In the 80 AC samples, 47
(58.8%) cases showed syndecan-1 positive expression, and 51 (63.8%) showed
syndecan-2 positive expression. There was no significant difference in the
positive rates of syndecan-1 and syndecan-2 between SC/ASC and AC groups (P>0.05
for all). The levels of syndecan-1 and syndecan-2 were associated with tumor
size, TNM staging, lymph node metastasis, invasion of adjacent tissue, and
surgical procedures in SC/ASC patients (P<0.05 for all). However, their
expression was associated with tumor differentiation, tumor size, TNM staging,
lymph node metastasis, invasion of adjacent tissue, and surgical procedures in AC
patients (P<0.05 for all). The Kaplan-Meier survival analysis of SC/ASC and AC
patients revealed that the average survival time for patients with positive
syndecan-1 and syndecan-2 expression was significantly shorter than that of those
with negative expression (P<0.01 for all). Cox multivariate analysis indicated
that syndecan-1 and syndecan-2 expression were independent unfavorable prognostic
factors for SC/ASC and AC patients (P<0.05 for all). Conclusion: The syndecan-1
and syndecan-2 expression are associated with the tumor progression and poor
prognosis in patients with gallbladder SC/ASC and AC.
PMID- 29365415
TI - [Evaluation of Consistency in detection of epidermal growth factor receptor gene
T790M mutation in plasma and tumor specimens of patients with lung
adenocarcinoma].
AB - Objective: To evaluate the consistency in detection of T790M mutation of
epidermal growth factor receptor gene (EGFR) in plasma and tumor samples of
patients with lung adenocarcinoma. Methods: The tumor tissues or cytological
specimens of 12 patients with operable lung adenocarcinoma(stage I-IIIA) and 100
patients with advanced stage IIIB-IV lung adenocarcinoma were collected, among
which 11 patients showed acquired resistance for gefitinib (11/100). In the same
period, peripheral blood samples were collected from all patients and 50 healthy
volunteers. Amplification refractory mutation system (ARMS) was used to detect
EGFR mutations in tumor specimens. Next Generation Sequencing(NGS) based
circulating single-molecule amplification and resequencing technology (cSMART)was
performed to quantitatively detect the EGFR mutations in circulating tumor DNA
(ctDNA) from plasma specimens. Results: The sensitivity, specificity and
concordance rate of EGFR T790M mutation between plasma and tissue specimens from
100 advanced stage patients were 50.0%, 72.9% and 72.0%, respectively. For L858R
mutation and exon 19 deletion mutations, the above mentioned sensitivity,
specificity and concordance rate were 91.7%, 100.0%, and 98.0%, as well as 79.2%,
100.0% and 95.0%, respectively. The L858R mutation and exon 19 deletion mutations
were not detected in plasma of 50 healthy volunteers, whereasT790M mutation(1.0+/
0.0 copies) was found in 7 individuals(7/50, 14.0%). Similarly, in 12 resectable
patients, 4 (4/12, 33.3%) T790M mutations were found in plasma (1.2+/-0.2
copies), but no L858R mutation and 19 exon deletion mutations. In comparison,
28.0% of patients with advanced lung adenocarcinoma (28/100)had detectable T790M
mutation in plasma with copy numbers (34.0+/-22.7 copies). Furthermore, the copy
numbers of T790M were 268.2+/-119.9 in plasma of 5 cases with acquired gefitinib
resistance. Conclusions: In patients with advanced stages of lung adenocarcinoma,
the detection of T790M mutation in plasma and tumor specimens is low. The T790M
mutation also exists in the plasma of some healthy controls, suggesting that
T790M mutation participates in EGFR signaling pathway and it might function in
healthy population.
PMID- 29365416
TI - [MRI findings and pathological features of occult breast cancer].
AB - Objective: To investigate the magnetic resonance imaging (MRI) findings and
clinicopathological features of primary lesions in patients with occult breast
cancer (OBC). Methods: The imaging reports from the Breast Imaging Reporting and
Data System in 2013 were retrospectively analyzed to investigate the morphology
and the time signal intensity curve (TIC) of breast lesions in patients with OBC.
The clinical and pathological characteristics of these patients were also
included. Results: A total of 34 patients were enrolled. Among these patients, 24
patients underwent modified radical mastectomy and 18 of them had primary breast
carcinoma in pathological sections. MRI detected 17 cases of primary lesions,
including six masse lesions with a diameter of 0.6-1.2 cm (average 0.9 cm), and
11 non-mass lesions with four linear distributions, three segmental
distributions, three focal distributions, and one regions distribution. Five
patients had TIC typeIprimary lesions, ten had TIC type II primary lesions, and
two had TIC type III primary lesions. Among all 34 cases, 23 of them had complete
results of immunohistochemistry: 11 estrogen receptor (ER) positive lesions
(47.8%), tenprogesterone receptor (PR) positive lesions (43.5%), seven human
epidermal growth factor receptor 2 (HER-2) positive lesions (30.4%), and 20high
expression(>14%) of Ki-67 (87.0%). The proportion of type luminal A was 4.3%,
type luminal B was 43.5%, triple negative breast cancer (TNBC) was 30.4%, and HER
2 over expression accounted for 21.7%. Conclusions: The primary lesions of OBC
usually manifested as small mass lesions, or focal, linear or segmental
distribution of non-mass lesions. The positive rate of ER and PR was low, but the
positive rate of HER-2 and the proliferation index of Ki-67 was high. Type
luminal B is the most common molecular subtype.
PMID- 29365417
TI - [Combined T2-weighted and diffusion-weighted MR imaging for staging of rectal
cancers].
AB - Objective: To compare the diagnostic value of T2 weighted imaging (T2WI),
diffusion-weighted imaging (DWI), and T2WI+ DWI magnetic resonance imaging (MRI)
for staging of rectal cancers for improving the accuracy of tumor staging.
Methods: From January 2011 to December 2013, 120 cases of rectal cancers proved
by colonoscopy without receiving any anti-tumor treatment were enrolled
retrospectively. The MRI data for these patients were divided into three groups,
ie., T2WI, DWI and T2WI+ DWI, for evaluating the tumor stages. The results were
compared with histopathologic findings. The sensitivity and specificity were
calculated and compared with chi-square test. The nodal staging was predicted by
using T2WI+ DWI. Results: The accuracy for prediction of tumor staging was 83.3%,
65.0% and 92.5% for T2WI, DWI, and T2WI+ DWI respectively. The specificity for
evaluating T1 and T2 stage, and the sensitivity for evaluating T3 by DWI was
significantly lower than those using T2WI and T2WI+ DWI in rectal cancers. The
sensitivity for evaluation of T2 by DWI was lower than that using T2WI+ DWI
(63.0% vs. 88.9%). The sensitivity for evaluation T2 and specificity for T3 by
T2WI+ DWI was higher than thouse using T2WI only (88.9% vs. 51.9%, 94.0% vs.
72.0%). The accuracy for prediction of nodal staging by using T2WI+ DWI was 62.1%
(72/116). Conclusions: T2WI is the key sequence for staging of rectal cancers.
Although the diagnostic accuracy was not good by using DWI alone, the combination
of T2WI and DWI can improve the accuracy significantly for tumor staging in
rectal cancers, whereas the nodal staging was still a hard task for radiologists.
PMID- 29365419
TI - [Intrahepatic peripheral cholangiocarcinoma misdiagnosised by imaging: a case
report].
PMID- 29365420
TI - [Considerations for anti-cancer drug application by single arm trials].
AB - Single arm trial (SAT) was widely used for new drug application (NDA) of novel
anti-cancer drugs in recent years. The listing time was greatly shortened by SAT
while comparing with randomized controlled trials (RCT). Thus, the companies
intended to get NDA through SAT. To encourage innovation and accelerate the
developments of anti-cancer agents, we summarize the background and key issues of
SAT, discuss the conditions of accepting SAT for NDA, and systematically
elaborate the design and principles of SAT in this review.
PMID- 29365421
TI - [A case of giant primary liposarcoma of esophagus].
PMID- 29365418
TI - [The preliminary report of a registration clinical trial of proton and heavy ion
irradiation].
AB - Objective: To verify the safety and efficacy of IONTRIS particle therapy system
(IONTRIS) in clinical implementation. Methods: Between 6.2014 and 8.2014, a total
of 35 patients were enrolled into this trial: 31 males and 4 females with a
median age of 69 yrs (range 39-80). Ten patients had locally recurrent head and
neck tumors after surgery, 4 cases with thoracic malignancies, 1 case with
hepatocellular carcinoma, 1 case with retroperitoneal sarcoma, and 19 cases with
non-metastatic prostate carcinomas. Phantom dose verification was mandatory for
each field before the start of radiation. Results: Twenty-two patients received
carbon ion and 13 had proton irradiation. With a median follow-up time of 1 year,
all patients were alive. Among the 16 patients with head and neck, thoracic, and
abdominal/pelvic tumors, 2, 1, 12, and 1 cases developed complete response,
partial response, stable disease, or disease progression, respectively.
Progression-free survival rate was 93.8% (15/16). Among the 19 patients with
prostate cancer, biological-recurrence free survival was 100%. Particle therapy
was well tolerated in all 35 patients. Twenty-five patients (71.4%) experienced
33 grade 1 acute adverse effects, which subsided at 1 year follow-up. Six (17.1%)
patients developed grade 1 late adverse effects. No significant change in ECOG or
body weight was observed. Conclusions: IONTRIS is safe and effective for clinical
use. However, long term follow-up is needed to observe the late toxicity and long
term result.
PMID- 29365422
TI - [Consensus on clinical diagnosis, treatment and pedigree management of hereditary
colorectal cancer in China].
AB - Hereditary colorectal cancer can be divded into two categories based on the
presence or absence of polyps. The first category is characterized by the
development of polyposis, which includes familial adenomatous polyposis (FAP);
The second category is nonpolyposis colorectal cancer, which is represented by
Lynch syndrome. "Consensus on clinical diagnosis, treatment and pedigree
management of hereditary colorectal cancer in China" developed by the Genetics
Group of the Committee of Colorectal Cancer, Chinese Anti-cancer Association, is
composed of three sections, including hereditary nonpolyposis syndrome, polyposis
syndrome as well as genetic evaluation of hereditary colorectal cancer. The
consensus aims to provide recommendations on management of the respective
hereditary syndromes in terms of definition, clinical and pathological features,
diagnostic standards, treatment, and follow-ups. In addition to describing
diagnostic and treatment strategies, prophylactic treatment as well as genetic
screening and pedigree monitoring is highly recommended. Through the
establishment of this expert consensus, we hope to promote better understanding
of hereditary colorectal cancer for clinicians and encourage standardized
treatment through multidisciplinery approaches, eventually improving clinical
treatment and pedigree management of hereditary colorectal cancer in China.
PMID- 29366299
TI - Identification, sequence analysis, and infectivity of H9N2 avian influenza
viruses isolated from geese.
AB - The subtype H9N2 avian influenza virus greatly threatens the Chinese poultry
industry, even with annual vaccination. Waterfowl can be asymptomatically
infected with the H9N2 virus. In this study, three H9N2 virus strains, designated
A/Goose/Jiangsu/YZ527/2011 (H9N2, Gs/JS/YZ527/11), A/Goose/Jiangsu/SQ119/2012
(H9N2, Gs/JS/SQ119/12), and A/Goose/Jiangsu/JD564/2012 (H9N2, Gs/JS/JD564/12),
were isolated from domestic geese. Molecular characterization of the three
isolates showed that the Gs/JS/YZ527/11 virus is a double-reassortant virus,
combining genes of A/Quail/Hong Kong/G1/97 (H9N2, G1/97)-like and
A/Chicken/Shanghai/F/98 (H9N2, F/98)-like; the Gs/JS/SQ119/12 virus is a triple
reassortant virus combining genes of G1/97-like, F/98-like, and
A/Duck/Shantou/163/2004 (H9N2, ST/163/04)-like. The sequences of Gs/JS/JD564/12
share high homology with those of the F/98 virus, except for the neuraminidase
gene, whereas the internal genes of Gs/JS/YZ527/11 and Gs/JS/SQ119/12 are closely
related to those of the H7N9 viruses. An infectivity analysis of the three
isolates showed that Gs/JS/SQ119/12 and Gs/JS/YZ527/11 replicated well, with
seroconversion, in geese and chickens, the Gs/JS/JD564/12 did not infect well in
geese or chickens, and the F/98 virus only infected chickens, with
seroconversion. Emergence of these new reassortant H9N2 avian influenza viruses
indicates that these viruses can infect both chicken and goose and can produce
different types of lesions in each species.
PMID- 29366300
TI - Analysis of swine leukocyte antigen class I gene profiles and porcine endogenous
retrovirus viremia level in a transgenic porcine herd inbred for
xenotransplantation research.
AB - Molecular characterization of swine leukocyte antigen (SLA) genes is important
for elucidating the immune responses between swine-donor and human-recipient in
xenotransplantation. Examination of associations between alleles of SLA class I
genes, type of pig genetic modification, porcine endogenous retrovirus (PERV)
viral titer, and PERV subtypes may shed light on the nature of xenograft
acceptance or rejection and the safety of xenotransplantation. No significant
difference in PERV gag RNA level between transgenic and non-transgenic pigs was
noted; likewise, the type of applied transgene had no impact on PERV viremia. SLA
1 gene profile type may correspond with PERV level in blood and thereby influence
infectiveness. Screening of pigs should provide selection of animals with low
PERV expression and exclusion of specimens with PERV-C in the genome due to
possible recombination between A and C subtypes, which may lead to autoinfection.
Presence of PERV-C integrated in the genome was detected in 31.25% of specimens,
but statistically significant increased viremia in specimens with PERV-C was not
observed. There is a need for multidirectional molecular characterization (SLA
typing, viremia estimation, and PERV subtype screening) of animals intended for
xenotransplantation research in the interest of xeno-recipient safety.
PMID- 29366301
TI - Marek's disease vaccine activates chicken macrophages.
AB - To provide insights into the role of innate immune responses in vaccine-mediated
protection, we investigated the effect of Marek's disease (MD) vaccine,
CVI988/Rispens, on the expression patterns of selected genes associated with
activation of macrophages in MD-resistant and MD-susceptible chicken lines.
Upregulation of interferon gamma, interleukin (IL)-1beta, IL-8, and IL-12 at
different days post-inoculation (dpi) revealed activation of macrophages in both
chicken lines. A strong immune response was induced in cecal tonsils of the
susceptible line at 5 dpi. The highest transcriptional activities were observed
in spleen tissues of the resistant line at 3 dpi. No increase in the population
of CD3+ T cells was observed in duodenum of vaccinated birds at 5 dpi indicating
a lack of involvement of the adaptive immune system in the transcriptional
profiling of the tested genes. There was, however, an increase in the number of
macrophages in the duodenum of vaccinated birds. The CVI988/Rispens antigen was
detected in the duodenum and cecal tonsils of the susceptible line at 5 dpi but
not in the resistant line. This study sheds light on the role of macrophages in
vaccine-mediated protection against MD and on the possible development of new
recombinant vaccines with enhanced innate immune system activation properties.
PMID- 29366302
TI - Effect of immunization routes and protective efficacy of Brucella antigens
delivered via Salmonella vector vaccine.
AB - An anti-Brucella vaccine candidate comprised of purified Brucella
lipopolysaccharide (LPS) and a cocktail of four Salmonella Typhimurium (ST)
Brucella vectors was reported previously. Each vector constitutively expressed
highly conserved Brucella antigens (rB), viz., lumazine synthase (BLS), proline
racemase subunit A, outer membrane protein-19 (Omp19), and Cu-Zn superoxide
dismutase (SOD). The present study determined a relative level of protection
conferred by each single strain. Upon virulent challenge, the challenge strain
was recovered most abundantly in non-immunized control mice, with the ST-Omp19-,
ST-BLS-, LPS-, and ST-SOD-immunized mice showing much less burden. Indirect
enzyme-linked immunosorbent assay-based assay also confirmed the induction of
antigen-specific immunoglobulin G for each antigen delivered. In a route-wise
comparison of the combined vaccine candidate, intraperitoneal (IP), intramuscular
(IM), and subcutaneous immunizations revealed an indication of highly efficient
routes of protection. Splenocytes of mice immunized via IM and IP routes showed
significant relative expression of IL-17 upon antigenic pulsing. Taken together,
each of the Brucella antigens delivered by ST successfully induced an antigen
specific immune response, and it was also evident that an individual antigen
strain can confer a considerable degree of protection. More effective protection
was observed when the candidate was inoculated via IP and IM routes.
PMID- 29366304
TI - Factors Affecting the Result of Intralesional Corticosteroid Injection in
Patients With Oral Lichen Planus.
AB - OBJECTIVES: To examine the factors which affect the improvement or the recurrence
of disease after intralesional steroid injection in patients with oral lichen
planus (OLP). METHODS: Sixty-two patients diagnosed as OLP were treated with
intralesion corticosteroid injection. To evaluate the objective severity of OLP,
total severity score of OLP was assessed. To examine the factors affecting the
therapeutic effect of intralesional steroid injection, factors were compared
between the symptom-improved group and symptom-not-improved group. To assess the
symptom of patients, patients filled in 10-cm visual analogue scale, along with
an Oral Health Impact Profile-14. RESULTS: Symptoms improved in 50 patients
(80.6%, symptom-improved group), but not in 12 patients (symptom-not-improved
group). In a comparison between both group, OLP with lip involvement was the only
variable which showed significant difference (P=0.008). Twenty-nine of 50
patients had recurrence of OLP (58%, recurrence group) and 21 of 50 patients did
not have recurrence (42%, no-recurrence group). Statistically significant
differences were not found between both groups. CONCLUSION: This study suggested
that patients suffering from OLP with lesion on the lip might not be effective in
treating with intralesional corticosteroid injection.
PMID- 29366305
TI - Primary Cutaneous Mucinous Carcinoma with Extramammary Paget's Disease: Eccrine
or Apocrine?
AB - Primary cutaneous mucinous carcinoma (PCMC) is an uncommon tumor of the sweat
gland origin. The occurrence of PCMC is mostly in middle-aged and older patients,
with a slight male predominance. Most cases of PCMC arise on the head, with a
preference for eyelids. The histogenesis of PCMC, whether eccrine or apocrine,
remains controversial. We report a rare case of PCMC with secondary extramammary
Paget's disease in the groin of a 75-year-old man, which favored an apocrine
origin. Furthermore, based on a review of the literature, we provide several
histologic clues that can be used to differentiate PCMC from metastatic mucinous
carcinoma.
PMID- 29366303
TI - Association between endotoxin levels in dust from indoor swine housing
environments and the immune responses of pigs.
AB - Indoor animal husbandry environments are inevitably contaminated with endotoxins.
Endotoxin exposure is associated with various inflammatory illnesses in animals.
This cross-sectional study evaluated the relationship between the degree of
endotoxin exposure and the cellular and humoral immune profiles of fattening
pigs. Blood samples were taken from the jugular vein of 47 pigs from ten pig
farms in Korea. Whole blood cell counts and plasma immunoglobulin (Ig) classes
were determined. Peripheral-blood mononuclear cells were stimulated in vitro with
concanavalin A for 48 h, and cytokines released into culture supernatants were
measured. The barns in which the pigs lived were assessed for endotoxin levels in
the total and respirable dust by using the limulus amebocyte lysate kinetic QCL
method. Low and high endotoxin exposures were defined as <= 30 and > 30 EU/m3,
respectively. Compared to pigs with low endotoxin exposure (n = 19), highly
exposed pigs (n = 28) had higher circulating neutrophil and lymphocyte
(particularly B cells) counts, IgG and IgE levels, interferon-gamma (IFNgamma)
and interleukin (IL)-4 productions, and lower IgA levels and tumor necrosis
factor-alpha (TNFalpha) production. The IL-4, IFNgamma, and TNFalpha levels
significantly correlated with endotoxin level and/or pig age. Constant exposure
of pigs to high levels of airborne endotoxins can lead to aberrant immune
profiles.
PMID- 29366306
TI - Video-assisted anal fistula treatment in the management of complex anal fistula:
a single-center experience.
AB - BACKGROUND: Video-assisted anal fistula treatment (VAAFT) is now a mature
technique, supported by many short-term published case series. METHODS: We
designed a monocentric, retrospective and observational study in order to
evaluate early and long-term outcomes of VAAFT in the treatment of primary and
recurrent complex anal fistula. Between November 2011 and March 2014, 224
consecutive patients affected by complex perianal fistula underwent Video
Assisted Anal Fistula Treatment. Fifty-two were affected by primary and 172 by
recurrent disease. We registered all intra and postoperative complications and
healing rate. Median follow-up was 48 months (range 27-60 months). RESULTS: In
the primary fistula group, 40 of the 52 patients were completely healed within 3
months after surgery (77%); at 12 months, considering also 12 patients (23%)
treated with a second VAAFT due to recurrent disease, the overall healing rate
was 92.3% In the second group with recurrent anal fistula (N.=172), primary
healing was observed in 110 patients (64%; P=0.1) within 3 months after surgery
and increases to 80.2%, after 12 months (P=0.06). Few patients required
analgesics in the postoperative period (N.=33, 14.7%), the remaining did not
require pain killers at all. All patients were able to resume daily activities
within 7 days from surgery (range 2-12 days). Main limitation of our study was
its retrospective and monocentric design. CONCLUSIONS: VAAFT seems to be a safe
and effective technique for treating primary and recurrent perianal fistula,
providing a very good healing rate without sphincters impairment and allowing a
very quick return to normal activities.
PMID- 29366307
TI - Study on the effect of perfusion weighted imaging on guiding the operation
implementation for non-enhanced glioma.
AB - BACKGROUND: The objective of the present study was to observe and analyze the
significance of perfusion weighted imaging for guiding the operation
implementation for non-enhanced glioma, and analyze the estimation of the
histopathological grade of the non-enhanced glioma and the accuracy of the degree
of malignancy degree before surgery. METHODS: Fifty-six patients diagnosed with
non-enhanced glioma through conventional magnetic resonance scanning were
selected. Before surgery, conventional magnetic resonance scanning and perfusion
weighted imaging were performed on all patients. The property classification was
performed with the perfusion weighted imaging parameters: cerebral blood volume
(CBV) and cerebral blood flow (CBF) before surgery. Surgery was performed on the
56 patients. Tumors were excised and processed for histopathological
classification and semi-quantitative immunohistochemical analysis of vascular
endothelial growth factor (VEGF) levels. Histology was compared after surgery and
the classification accuracy rate was analyzed before surgery. Additionally,
conventional magnetic resonance scanning and perfusion weighted imaging were
performed on 15 patients during surgery. RESULTS: We compared and analyzed the
reference value of perfusion weighted imaging before and during surgery. Residual
diseased tissues were excised; histopathological examination was performed, and
semi-quantitative immunohistochemical analysis of VEGF was performed. Regarding
maximal magnetic resonance perfusion weighted imaging measured before surgery,
the cerebral blood volume (rCBV), cerebral blood flow (rCBF), and expression
level of VEGF were positively correlated with the pathological grade of tumors.
If the rCBV and rCBF values of the non-enhanced glioma were higher, the grade of
malignancy was higher (P<0.01), and the positive expression rate of VEGF was
higher (P<0.01). CONCLUSIONS: Magnetic resonance perfusion weighted imaging can
display vessel growth and distribution within non-enhanced gliomas before
surgery, and effectively evaluate the histopathological grade and grade of
malignancy, and provide accurate guidance for tumor resection during surgery.
PMID- 29366308
TI - Extended right hepatectomy with inferior vena cava replacement for threatening
venous outflow occlusion in a pretreated undifferentiated pleomorphic sarcoma of
the liver.
PMID- 29366309
TI - Treatment of lung adenocarcinoma with second primary small intestinal sarcomatoid
carcinoma using bevacizumab.
PMID- 29366310
TI - Effects of long-acting GnRH: a prolonged protocol in assisted pregnancy via IVF
ET in infertile patients with PCOS.
PMID- 29366311
TI - Acute diverticulitis and surgical treatment.
AB - Diverticulitis is a common condition in industrialized countries and an important
cause of hospital admissions. Its growing trend is a challenge for the surgeons
who perform emergency surgery, because approximately 15-25% of the patients will
require surgery, being the surgical management of complicated acute
diverticulitis controversial. The past decade has seen a paradigm shift in the
treatment of sigmoid diverticulitis based on new epidemiological studies and
refinement of surgical techniques that has produced a reassessment of our
guidelines. CT imaging and sepsis scores allows to stratify the patients and
better define the therapeutic strategies in each case. Special considerations
must also be made for patients with a high surgical risk, such as
immunosuppressed ones. The recommendations to perform surgery after two episodes
of uncomplicated diverticulitis have been re-evaluated and the belief that new
episodes may be complicated and associated with high morbidity and mortality has
been rejected, since the clinical manifestations of this disease are usually
defined by the first attack. In complicated cases, more patients can be treated
with resection and primary anastomosis with or without an associated stoma, whose
reversal rate is much higher than that of a Hartmann's procedure. Likewise,
laparoscopic surgery performing a peritoneal lavage and drainage without
associated resection may have an increasing role in the management of these
patients, although with controversial results, having become laparoscopic colon
resection the approach of choice for the treatment of this pathology in elective
settings.
PMID- 29366312
TI - The role of laparoscopic distal pancreatectomy in elderly patients.
AB - INTRODUCTION: Minimally invasive surgery has gained wide acceptance in many
institutions. Complex surgery such as pancreatic resections delayed its diffusion
due to high rate postoperative complications and technical aspects, while for
pancreaticoduodenctomy the role of a minimally invasive approach is still on
debate. Laparoscopic distal pancreatectomy may be considered a safe procedure and
a valid alternative in selected cases. The operating time, learning curve and the
costs represent major drawbacks for the laparoscopic approach. Elderly patients
(>70 years of age) are generally considered to be at higher risk for developing
complications after pancreatic surgery due to compromised physiological reserve
and presence of multiple comorbidities. Our aim was to make a review about the
role of laparoscopic distal pancreatectomy (LDP) in the elderly population.
EVIDENCE ACQUISITION: A systematic search of the scientific literature was
performed using PubMed, EMBASE, online journals, and the Internet for all
publications on LDP and open distal pancreatectomy (ODP) in old age patients. All
selected articles were reviewed and analyzed. We found 393 abstract that were
reviewed. We considered all type of publications. EVIDENCE SYNTHESIS:
Laparoscopic pancreatic resections are considered more difficult due to the
retroperitoneal organ location and by the presence of surrounding large vessels
and other crucial structures. Therefore, an adequate learning curve is needed.
Beyond these remarks, in many institutions, LDP is a standard approach in
patients with lesions in the body and tail of the pancreas. The most evident
advantage of LDP is the shorter length of stay. Age alone should not be
considered as an absolute contraindication for LDP. Obviously, it is still too
early to assess oncological outcomes, but the R0 resection rate, the number of
harvested lymph nodes, and the overall survival rate are superimposable to ODP.
CONCLUSIONS: LDP is safe and feasible if compared to ODP in selected elderly
patients with body and tail pancreatic tumors. Less blood loss and shorter
hospital stay are the most evident advantages of minimally invasive approach.
Randomized controlled trials and high-volume centers prospective studies with
long-term outcomes are necessary to consider laparoscopy a standard of care.
Minimally invasive robotic surgery may represent an interesting alternative to
laparoscopy especially for spleen-preserving procedures.
PMID- 29366313
TI - Laparoscopic repair of perforated peptic ulcer.
AB - INTRODUCTION: Perforations of the peptic ulcers (PPUs) are the most common cause
of emergency surgery among the complications of the gastroduodenal ulcers and the
leading cause for morbidity and mortality due to secondary peritonitis and
sepsis. PPU is a condition in which laparoscopic perforation repair (LPR) is an
optimal solution. It makes possible the identification of the perforation's site
and allows closure of the perforation and the subsequent peritoneal lavage, as in
an open repair (OR) but without the large upper mid-abdominal incision. The main
objective of this review was to evaluate the latest reported results in the
laparoscopic treatment of the PPU. EVIDENCE ACQUISITION: Using PubMed and EMBASE
databases between 1989 and June 2017 we did an extensive electronic literature
search. The search terms used were "laparoscopic perforated peptic ulcer."
Inclusion criteria were all the published studies that reported the outcomes of
LPR and LPR compared with OR for PPU were included in the analysis. The exclusion
criteria included animal or laboratory studies, pediatric surgery trials, papers
reporting less than 30 cases of LPR, clinical trials without major outcomes, and
other language then English. EVIDENCE SYNTHESIS: There were 32 studies included,
counting 3488 patients with LPR and 5208 with OR. OR patients had more frequent
shock at admission and had a higher ASA risk class. LPR patients had shorter
hospital stays with two days, morbidity (11.12% vs. 14.71% OR) and mortality
(1.95% vs. 8.35% OR) were lower. Leakage was three times higher in LPR arm (2.18%
vs. 0.79% OR). Conversion occurred in 4.18% overall. The three primary reasons
for conversions were the size of the perforation, the inability to locate the
perforation and technical difficulties. CONCLUSIONS: LPR showed similar or better
results than OR in terms of morbidity, mortality, operation time and hospital
stay, caution is needed as the OR patients tend to be more shocked or with higher
ASA at presentation. The higher leakage rate after LPR should be addressed in the
training of the laparoscopic surgeons or other safety measures should be
developed and employed.
PMID- 29366315
TI - Radiotherapy and breast reconstruction.
AB - Indications for post mastectomy radiotherapy are widening to a larger group of
mastectomy patients and breast reconstruction rates following mastectomy are
rising all over the world, this leading breast surgeons to face breast
reconstruction in a growing number of patients who will undergo radiotherapy.
Increased morbidity is associated with all forms of breast reconstruction in the
setting of postmastectomy radiotherapy and in patients who previously underwent
chest wall radiotherapy. Different attitudes towards breast reconstruction in the
radiotherapy setting are presented in literature. The level of evidence and
quality of studies investigating breast reconstruction in the setting of PMRT and
in patients who previously underwent chest wall radiotherapy are low. The
reconstructive option in the radiotherapy setting must be tailored on the single
patient according with patients' characteristics and wishes, always considering
that the best reconstructive timing must be driven by the best oncological
timing.
PMID- 29366314
TI - Laparoscopic or open distal pancreatectomy for benign and malignant lesions of
the pancreas?
AB - Minimally-invasive techniques were adopted relatively late for pancreatic
procedures due to their complexity. Today, minimally-invasive distal
pancreatectomy is the procedure of choice for the treatment of benign or
premalignant pancreatic lesions due to the advantages inherent to laparoscopy.
Data on the efficacy of minimally-invasive distal pancreatectomy in oncologic
surgery is still limited and larger prospective series are needed prior to its
widespread use for the treatment of pancreatic cancer. This review addresses
various aspects of distal pancreatectomy, with emphasis on recent developments
and open controversies.
PMID- 29366316
TI - Hybrid breast reconstruction.
AB - We present a new reconstructive system encompassing prostheses and fat grafting
as integrated tools to improve the final reconstructive outcome. Fat grafting in
our view is a preoperatively planned part of the reconstruction. The final volume
we achieve will be made up of fat and implants in a variable percentage according
to patient's body contour and breast morphology. We used the hybrid breast
reconstruction technique in more than 350 reconstructions, both immediate and
delayed, two-stage and DTI, without experiencing higher loco-regional recurrence
and complication rates when compared with conventional two-stage reconstructions,
obtaining excellent aesthetic results and high patients' satisfaction levels.
PMID- 29366317
TI - The argument for peroral endoscopic myotomy in treatment of achalasia.
AB - Although there has been debate regarding the optimal procedure to palliate
dysphagia in patients with achalasia, emerging reports of longer term follow-up
of patients after peroral endoscopic myotomy (POEM) suggest that the POEM
procedure is efficacious in relief of dysphagia, and that there is infrequent
need for subsequent procedures for treatment of failure or reflux. The number of
centers in the United States of America performing POEM for esophageal achalasia
continues to increase. This report assess the current knowledge of technical
issues of POEM, outcomes after POEM and the status of POEM centers in the U.S.A.,
and presents the argument for surgeons to participate in the practice of POEM.
PMID- 29366318
TI - Gellan Nanohydrogels: Novel Nanodelivery Systems for Cutaneous Administration of
Piroxicam.
AB - The feasibility to use gellan nanohydrogels (Ge-NHs) as delivery system for the
cutaneous administration of piroxicam (PRX) was investigated using gellan
conjugated with cholesterol or riboflavin. The in vitro skin penetration studies
through human epidermis were performed using a saturated aqueous drug solution, a
50% w/v Transcutol aqueous solution, and a commercially available PRX plaster as
controls. Confocal microscopy, ATR-FTIR spectroscopy, circular dichroism, and a
dynamometer assisted extrusion assay were performed to clarify the permeation
mechanism of Ge-NHs. The skin permeation studies evidenced that Ge-NHs enhance
the PRX retention in the epidermis and, at the same time, slow down the
permeation process with respect to the controls. NHs can penetrate the stratum
corneum, and then gradually disassemble thus diffusing in the viable epidermis
reaching the spinosum layer. In conclusion, NHs represent a novel strategy to
target poorly permeable compounds in the epidermis, thus improving the management
of cutaneous pathologies.
PMID- 29366319
TI - Molecular Toolkit for Gene Expression Control and Genome Modification in
Rhodococcus opacus PD630.
AB - Rhodococcus opacus PD630 is a non-model Gram-positive bacterium that possesses
desirable traits for lignocellulosic biomass conversion. In particular, it has a
relatively rapid growth rate, exhibits genetic tractability, produces high
quantities of lipids, and can tolerate and consume toxic lignin-derived aromatic
compounds. Despite these unique, industrially relevant characteristics, R. opacus
has been underutilized because of a lack of reliable genetic parts and
engineering tools. In this work, we developed a molecular toolbox for reliable
gene expression control and genome modification in R. opacus. To facilitate
predictable gene expression, a constitutive promoter library spanning ~45-fold in
output was constructed. To improve the characterization of available plasmids,
the copy numbers of four heterologous and nine endogenous plasmids were
determined using quantitative PCR. The molecular toolbox was further expanded by
screening a previously unreported antibiotic resistance marker (HygR) and
constructing a curable plasmid backbone for temporary gene expression (pB264).
Furthermore, a system for genome modification was devised, and three neutral
integration sites were identified using a novel combination of transcriptomic
data, genomic architecture, and growth rate analysis. Finally, the first reported
system for targeted, tunable gene repression in Rhodococcus was developed by
utilizing CRISPR interference (CRISPRi). Overall, this work greatly expands the
ability to manipulate and engineer R. opacus, making it a viable new chassis for
bioproduction from renewable feedstocks.
PMID- 29366320
TI - Cyclodextrin-Functionalized Fiber Yarns Spun from Deep Eutectic Cellulose
Solutions for Nonspecific Hormone Capture in Aqueous Matrices.
AB - A wood based yarn platform for capturing pharmaceutical molecules from water was
developed. Cellulose fiber yarns were modified with cyclodextrins, and the
capture of 17alpha-ethinyl estradiol (EE2), a synthetic estrogen hormone used as
contraceptive, from water was tested. The yarns were prepared by spinning a deep
eutectic solution (DES) of cellulose in choline chloride-urea. Despite their high
porosity and water sorption capacity (5 g/g), the spun fiber yarns displayed high
wet strength, up to 60% of that recorded in dry condition (128 MPa with 17%
strain at break). Cyclodextrin irreversible attachment on the yarns was achieved
with adsorbed chitosan and the conjugation reactions and capture of EE2 by the
cyclodextrin-modified cellulose were confirmed via online detection with Surface
Plasmon Resonance (SPR). The facile synthesis of the bioactive yarns and EE2
binding capacity from aqueous matrices (as high as 2.5 mg/g) indicate excellent
prospects for inexpensive platforms in disposable affinity filtration. The study
presents a strategy to produce a wood fiber based yarn to be used as a platform
for human and veterinary pharmaceutical hormone capture.
PMID- 29366322
TI - Characterizing Sorption and Permeation Properties of Membrane Filters Used for
Aquatic Integrative Passive Samplers.
AB - Aquatic integrative passive sampling is a promising approach to measure the time
weighted average concentration, yet our understanding for the sampling mechanisms
of polar organic contaminants should be further advanced to fully exploit the
potential of the method for real-world applications. This study aimed to
characterize the sorption and permeation properties of poly(ether sulfone) (PES)
and poly(tetrafluoroethylene) (PTFE) membrane filters (MFs) used for passive
samplers. Batch sorption experiments with 14 probe chemicals showed that the
sorption by PES was generally strong, with the respective sorption coefficients
greater than the octanol-water partition coefficients by 2-3 log units. In
contrast, the PTFE filter exhibited no significant sorption for all tested
chemicals, representing a promising candidate MF that avoids lag-times and slow
responses to fluctuating concentrations. Permeation experiments in a glass cell
system and successive modeling demonstrated that, if no sorption to the MF
occurs, the MF permeation of a chemical can be fully described with a first-order
model that considers the transfer through the aqueous boundary layers and the
diffusion in water-filled MF pores. Significant sorption to the MF coincided with
substantial delay of permeation, which was successfully modeled with the local
sorption equilibrium assumption. These findings have implications for improved
sampler configurations and successful models for the chemical uptake.
PMID- 29366321
TI - Ratiometric Gas Reporting: A Nondisruptive Approach To Monitor Gene Expression in
Soils.
AB - Fluorescent proteins are ubiquitous tools that are used to monitor the dynamic
functions of natural and synthetic genetic circuits. However, these visual
reporters can only be used in transparent settings, a limitation that complicates
nondisruptive measurements of gene expression within many matrices, such as soils
and sediments. We describe a new ratiometric gas reporting method for
nondisruptively monitoring gene expression within hard-to-image environmental
matrices. With this approach, C2H4 is continuously synthesized by ethylene
forming enzyme to provide information on viable cell number, and CH3Br is
conditionally synthesized by placing a methyl halide transferase gene under the
control of a conditional promoter. We show that ratiometric gas reporting enables
the creation of Escherichia coli biosensors that report on acylhomoserine lactone
(AHL) autoinducers used for quorum sensing by Gram-negative bacteria. Using these
biosensors, we find that an agricultural soil decreases the bioavailable
concentration of a long-chain AHL up to 100-fold. We also demonstrate that these
biosensors can be used in soil to nondisruptively monitor AHLs synthesized by
Rhizobium leguminosarum and degraded by Bacillus thuringiensis. Finally, we show
that this new reporting approach can be used in Shewanella oneidensis, a
bacterium that lives in sediments.
PMID- 29366323
TI - Yeast-Based Synthetic Biology Platform for Antimicrobial Peptide Production.
AB - Antibiotic resistance is one of the most challenging global health threats in our
society. Antimicrobial peptides (AMPs) represent promising alternatives to
conventional antibiotics for the treatment of drug-resistant infections. However,
they are limited by their high manufacturing cost. Engineering living organisms
represents a promising approach to produce such molecules in an inexpensive
manner. Here, we genetically modified the yeast Pichia pastoris to produce the
prototypical AMP apidaecin Ia using a fusion protein approach that leverages the
beneficial properties ( e.g., stability) of human serum albumin. The peptide was
successfully isolated from the fusion protein construct, purified, and
demonstrated to have bioactivity against Escherichia coli. To demonstrate this
approach as a manufacturing solution to AMPs, we scaled-up production in
bioreactors to generate high AMP yields. We envision that this system could lead
to improved AMP biomanufacturing platforms.
PMID- 29366324
TI - Screening of Chlorinated Paraffins and Unsaturated Analogues in Commercial
Mixtures: Confirmation of Their Occurrences in the Atmosphere.
AB - Characterizing the detailed compositions of chlorinated paraffins (CPs)
commercial mixtures is crucial to understand their environmental sources, fates,
and potential risks. In this study, dichloromethane (DCM)-enhanced UPLC-ESI
QTOFMS analysis combined with characteristic isotope chlorine peaks is applied to
screen all CPs and their structural analogues in the three most commonly produced
CP commercial mixtures (CP-42, CP-52, and CP-70). Mass fractions of total short
chain CPs (SCCPs), medium-chain CPs (MCCPs) and long-chain CPs (LCCPs) ranged
from 0.64 to 31.9%, 0.64 to 21.8%, and 0.04 to 43.9%, respectively, in the three
commercial mixtures. 113 unsaturated SCCPs, MCCPs, and LCCPs were identified in
the commercial mixtures. The detailed mass percentages of saturated and
unsaturated CPs with carbon numbers of 10-30, chlorine numbers of 5-28, and
unsaturated degrees of 0-7 were characterized in all commercial mixtures.
Occurrences of the predominant saturated and unsaturated CPs were further
confirmed in air samples collected in Guangdong Province, one of the major CP
production areas in China, over one year. The profiles of the detected compounds
indicated that LCCPs in air samples might come mainly from the production and
usage of CP-52, and unsaturated C24-29-LCCPs were specifically originated from CP
70 used in the area.
PMID- 29366325
TI - Toward Predictable 5'UTRs in Saccharomyces cerevisiae: Development of a yUTR
Calculator.
AB - Fine-tuning biosynthetic pathways is crucial for the development of economic
feasible microbial cell factories. Therefore, the use of computational models
able to predictably design regulatory sequences for pathway engineering proves to
be a valuable tool, especially for modifying genes at the translational level. In
this study we developed a computational approach for the de novo design of 5'
untranslated regions (5'UTRs) in Saccharomyces cerevisiae with a predictive
outcome on translation initiation rate. On the basis of existing data, a partial
least-squares (PLS) regression model was trained and showed good performance on
predicting protein abundances of an independent test set. This model was further
used for the construction of a "yUTR calculator" that can design 5'UTR sequences
with a diverse range of desired translation efficiencies. The predictive power of
our yUTR calculator was confirmed in vivo by different representative case
studies. As such, these results show the great potential of data driven
approaches for reliable pathway engineering in S. cerevisiae.
PMID- 29366327
TI - DNA-Polyelectrolyte Complexation Study: The Effect of Polyion Charge Density and
Chemical Nature of the Counterions.
AB - Complexes of polycations and DNA, also known as polyplexes, have been extensively
studied in the past decade, as potential gene delivery systems. Their stability
depends strongly on the characteristics of the polycations, as well as the nature
of the added salt. We present here a study of the DNA ionene complexation in
which we used fluorescence, UV, and CD spectroscopy, combined with molecular
dynamics computer simuations, to systematically examine the influence of the
polycation charge density, as well as the influence of the nature of the
counterion, on the stability of these systems. Ionenes as polycations, depending
on their structural characteristics, have previously been found to possess low
cytotoxicity, and are therefore particularly interesting as potential gene
delivery agents. The results show that the DNA solutions in the presence of the
polycation are more stable in the case of very large or very small ionene charge
density, suggesting different mechanism of complexation. The computer simulations
show that the ionenes with high charge density bind to the minor groove of the
DNA molecules, while the ionenes with lower charge density bind to the major
groove of the DNA. The nature of the counterions play only a minor role:
precipitation of the DNA molecules occurs at slightly lower ionene concentration
when fluoride counterion are present, compared to the bromide counterions.
PMID- 29366328
TI - Stream Mercury Export in Response to Contemporary Timber Harvesting Methods
(Pacific Coastal Mountains, Oregon, USA).
AB - Land-use activities can alter hydrological and biogeochemical processes that can
affect the fate, transformation, and transport of mercury (Hg). Previous studies
in boreal forests have shown that forestry operations can have profound but
variable effects on Hg export and methylmercury (MeHg) formation. The Pacific
Northwest is an important timber producing region that receives large atmospheric
Hg loads, but the impact of forest harvesting on Hg mobilization has not been
directly studied and was the focus of our investigation. Stream discharge was
measured continuously, and Hg and MeHg concentrations were measured monthly for
1.5 years following logging in three paired harvested and unharvested (control)
catchments. There was no significant difference in particulate-bound Hg
concentrations or loads in the harvested and unharvested catchments which may
have resulted from forestry practices aimed at minimizing erosion. However, the
harvested catchments had significantly higher discharge (32%), filtered Hg
concentrations (28%), filtered Hg loads (80%), and dissolved organic carbon (DOC)
loads (40%) compared to forested catchments. MeHg concentrations were low (mostly
<0.05 ng L-1) in harvested, unharvested, and downstream samples due to well
drained/unsaturated soil conditions and steep slopes with high energy eroding
stream channels that were not conducive to the development of anoxic conditions
that support methylation. These results have important implications for the role
forestry operations have in affecting catchment retention and export of Hg
pollution.
PMID- 29366329
TI - Rationale and design of the SMaRT trial: A randomised, prospective, parallel, non
blinded, one-centre trial to evaluate the use of magnetic resonance imaging in
acute setting in patients presenting with suspected scaphoid fracture.
AB - Background Wrist injury is a common presentation to the Emergency Department in
the United Kingdom. Among these injuries, the scaphoid is the most common
fractured carpal bone. However, given the limited ability of conventional
radiography to accurately diagnose a suspected scaphoid fracture on presentation,
its diagnosis and management remain challenging. Despite the vast clinical
evidence supporting the superior accuracy of magnetic resonance imaging, there is
little to no evidence around the real-world clinical and economic impact of
immediate magnetic resonance imaging in the management of suspected scaphoid
fractures. Methods Review of design and implementation challenges associated with
the identification and subsequent recruitment of eligible patients,
implementation of a novel clinical pathway in an acute setting, rationale behind
the primary and secondary outcomes selected and measurement of the primary
outcome. Results The Scaphoid Magnetic Resonance Imaging in Trauma trial is a
single-site prospective, randomised, non-blinded, parallel design trial that aims
to evaluate the use of immediate magnetic resonance imaging in the management of
patients presenting to the acute setting with suspected scaphoid fractures. The
primary outcome is the total 3-month cost per patient associated with the
diagnosis and treatment of suspected scaphoid fractures. It is hypothesised that
the immediate use of magnetic resonance imaging, a more accurate but expensive
imaging modality, in patients with negative findings in the initial four-view
radiography, will reduce the overall National Health Service costs by promoting
definitive care and avoiding unnecessary diagnostic and treatment procedures.
Other rationale design considerations in the recruitment, randomisation, data
acquisition and intervention implementation are also discussed. Several of these
challenges derive from real-world operational issues associated with the
provision of magnetic resonance imaging in an intrinsically complex acute
setting. Staff engagement during the trial's planning phase, combined with an
extensive training programme rolled out prior to the trial's launch, were
essential to raise staff awareness and engagement. Given the acute nature of the
clinical condition, the latter was deemed essential as the eligibility
assessment, recruitment, randomisation and treatment allocation processes all
need to happen in a very tight time frame. Limitations Findings from the Scaphoid
Magnetic Resonance Imaging in Trauma trial might not be generalisable to other
National Health Service hospitals, foreign healthcare systems nor patient
presentations outside normal magnetic resonance imaging working hours. Conclusion
The Scaphoid Magnetic Resonance Imaging in Trauma trial was designed to evaluate
the costs, patient satisfaction and clinical outcomes around the management of
suspected scaphoid fractures and ultimately provide solid evidence on which to
base the United Kingdom and international clinical practice. This article
discusses the steps considered in the design of this novel trial, with particular
emphasis on the issues and lessons learned during the planning and implementation
stages.
PMID- 29366326
TI - Detection of a Peptide Biomarker by Engineered Yeast Receptors.
AB - Directed evolution of membrane receptors is challenging as the evolved receptor
must not only accommodate a non-native ligand, but also maintain the ability to
transduce the detection of the new ligand to any associated intracellular
components. The G-protein coupled receptor (GPCR) superfamily is the largest
group of membrane receptors. As members of the GPCR family detect a wide range of
ligands, GPCRs are an incredibly useful starting point for directed evolution of
user-defined analytical tools and diagnostics. The aim of this study was to
determine if directed evolution of the yeast Ste2p GPCR, which natively detects
the alpha-factor peptide, could yield a GPCR that detects Cystatin C, a human
peptide biomarker. We demonstrate a generalizable approach for evolving Ste2p to
detect peptide sequences. Because the target peptide differs significantly from
alpha-factor, a single evolutionary step was infeasible. We turned to a substrate
walking approach and evolved receptors for a series of chimeric intermediates
with increasing similarity to the biomarker. We validate our previous model as a
tool for designing optimal chimeric peptide steps. Finally, we demonstrate the
clinical utility of yeast-based biosensors by showing specific activation by a C
terminally amidated Cystatin C peptide in commercially sourced human urine. To
our knowledge, this is the first directed evolution of a peptide GPCR.
PMID- 29366330
TI - Practical Implementation of Failure Mode and Effects Analysis for Extracorporeal
Membrane Oxygenation Activation.
AB - Extracorporeal membrane oxygenation (ECMO) is used to treat severe hypoxemic
respiratory failure and as a rescue therapy for patients with cardiopulmonary
arrest within a narrow window of time. A failure modes and effects analysis
(FMEA) was conducted to analyze the clinical and operational processes leading to
delays in initiating ECMO. FMEA determined these highest-risk failure modes that
were contributing to process failure: (1) ECMO candidacy not determined in time,
(2) no or incomplete evaluation for ECMO prior to consult or arrest, (3) ECMO
team not immediately available, and (4) cannulation not completed in time. When
implemented collectively, a total of 4 interventions addressed more than 95% of
the system failures. These interventions were (1) ECMO response pager held by a
team required for decision, (2) distribution of institutionally defined
inclusion/exclusion criteria, (3) educational training for clinicians consulting
the ECMO team, and (4) establishment of a mobile ECMO insertion cart.
PMID- 29366331
TI - Does Having Open Access to Care Improve Patient Experience? A Case-Control Study.
AB - This study investigated whether primary and specialist care practices utilizing
open access to care (OA) receive better patient experience scores than propensity
matched control practices without OA. From March 2010 to December 2014, 711
physicians classified as having OA in their practice, indicated by scoring 15 or
higher on the OA checklist, were propensity matched to practices without OA.
Patient experience was measured with 5 composites: timely care, communication,
staff quality, care coordination, and overall physician rating. Minimally
important differences in patient experience ratings were calculated between OA
and control practices to determine optimal OA checklist scores. OA positively
affected most composite domains for specialist practices, except physician
rating, but minimally affected primary care practices. Practices scoring 19 or
higher on the OA checklist had significantly higher patient-experience scores
than matched controls. The authors recommend practices strive for 20 or higher on
the OA checklist to see significant improvements in patient experience ratings.
PMID- 29366332
TI - Genetics and Genomics in Nursing Science.
PMID- 29366333
TI - Meanings and experiences associated with computer use of older immigrant adults
of lower socioeconomic status: Les sens et les experiences associes a
l'utilisation des ordinateurs chez les immigrants ages ayant un faible statut
socioeconomique.
AB - BACKGROUND: Immigrant older adults are a substantial demographic composing 12% to
30% of older adults in the United States and Canada, yet no research has
addressed the meanings associated with computer use for low-socioeconomic-status
and immigrant older adults. PURPOSE: The study explored the meanings,
occupational engagement, and experiences associated with computer use. METHOD: A
mixed-methods approach, qualitative participatory action research (photovoice)
and survey (Computer Proficiency Questionnaire), was used. Data collection
consisted of narratives, focus groups, and Likert scale responses for nine
participants. FINDINGS: The participants expressed the meanings they associated
with computer use as freedom, personal growth, and engagement. Computers promote
occupational engagement in social participation, education, and leisure.
IMPLICATIONS: The findings of personal growth may inform occupational therapy
interventions using computers to enable adjustment to changes related to aging
and wellness. Social participation and education were motivators for computer
use, which may inform computer engagement strategies for this population.
PMID- 29366334
TI - Promoting Physical Activity Among University Students: A Systematic Review of
Controlled Trials.
AB - OBJECTIVE: University study is often accompanied by a decline in physical
activity (PA) levels but can offer the opportunity to promote a lifelong active
lifestyle. This review aims to summarize controlled trials of interventions
promoting PA among university students, describing the quality of the evidence,
effective strategies, and deficiencies in the interventions employed, to provide
directions for future research and for practical implementations. DATA SOURCE:
PubMed, PsychINFO, Cochrane Library, Education Source, and SPORTDiscus. Study
Inclusion Criteria: Randomized or nonrandomized controlled trial, describing an
intervention to promote PA in university students, where PA was one of the
outcomes and results were published in English. DATA EXTRACTION: Country, study
design, participants' inclusion criteria, participation rate and characteristics,
randomization, blinding, theoretical framework, intervention characteristics,
participant retention rate and withdrawal reasons, measures employed, data
analysis, PA results, and findings regarding PA correlates. DATA SYNTHESIS: Data
were synthetized considering study characteristics, strategies used, and
outcomes. RESULTS: Two thousand five hundred eighty-five articles were
identified. Twenty-seven studies met the inclusion criteria. Sixteen studies
reported an increase in PA levels. CONCLUSION: Physical Activity promotion
interventions should address a range of behavioral determinants. Personalized
approaches and PA sessions should be considered in future studies. The high risk
of bias of many studies (mainly due to attrition and poor reporting) and missing
information about intervention components limit the strength of conclusions about
the most effective strategies and the evidence of effectiveness, highlighting the
need for further high-quality studies.
PMID- 29366335
TI - Fib3-3 as a Biomarker for Osteoarthritis in a Rat Model with Metabolic
Dysregulation.
AB - Objective Fibulin-3 is a glycoprotein highly expressed in osteoarthritic
cartilage and inhibits angiogenesis and chondrocyte differentiation. Recent
studies have indicated that fibulin-3 has potential value as a biomarker in
osteoarthritis. The aim of the present study is to examine the role of 3 fibulin
3 peptides (Fib3-1, Fib3-2, and Fib3-3) and a type II collagen degradation
product in a rat osteoarthritis model with systemic metabolic alterations
combined with local cartilage damage. Design Forty, 12-week-old male, Wistar rats
were randomly divided over 2 groups: a standard or a high-fat diet inducing
metabolic dysregulation. After 12 weeks, articular cartilage damage was induced
on the femoral condyles (groove model), in 1 knee joint in 14 rats of each diet
group. At endpoint, blood was collected and serum was isolated. Enzyme-linked
immunosorbent assay on all selected fibulin-3 fragments was performed from serum
samples in addition to immunohistochemical analysis for Fib3-3. Results Serum
concentrations of Fib3-3 were increased by 29.9%, when cartilage damage was
induced in addition to a high-fat diet. Fib3-3 was also associated with an
increased histological total joint degeneration ( r = 0.435) and cartilage
degeneration ( r = 0.435). Immunostainings demonstrated increased Fib3-3 in the
superficial cartilage of animals with high-fat diet and/or cartilage damage.
Conclusions In the rat groove model combined with high-fat diet-induced metabolic
dysregulation an increased Fib3-3 concentration was observed systemically, which
is associated with local joint degeneration. This suggests that systemic Fib3-3
concentrations can indicate the status of joint degeneration and function as a
biomarker in osteoarthritis.
PMID- 29366336
TI - End-of-Life Decision-Making for Patients With Geriatric Trauma Cared for in a
Trauma Intensive Care Unit.
AB - BACKGROUND: The geriatric trauma population is growing and fraught with poor
physiological response to injury and high mortality rates. Our primary hypothesis
analyzed how prehospital and in-hospital characteristics affect decision-making
regarding continued life support (CLS) versus withdrawal of care (WOC). Our
secondary hypothesis analyzed adherence to end-of-life decisions regarding code
status, living wills, and advanced directives. MATERIALS AND METHODS: We
performed a retrospective review of patients with geriatric trauma at a level I
and level II trauma center from January 1, 2007, to December 31, 2014. Two
hundred seventy-four patients met inclusion criteria with 144 patients undergoing
CLS and 130 WOC. RESULTS: A total of 13 269 patients with geriatric trauma were
analyzed. Insurance type and injury severity score (ISS) were found to be
significant predictors of WOC ( P = .013/.045). Withdrawal of care patients had
shorter time to palliative consultation and those with geriatrics consultation
were 16.1 times more likely to undergo CLS ( P = .026). Twenty-seven (33%)
patients who underwent CLS and 31 (24%) patients who underwent WOC had a living
will, advanced directive, or DNR order ( P = .93). CONCLUSIONS: Of the many
hypothesized predictors of WOC, ISS was the only tangible independent predictor
of WOC. We observed an apparent disconnect between the patient's wishes via
living wills or advanced directives "in a terminal condition" and fulfillment
during EOL decision-making that speaks to the complex nature of EOL decisions and
further supports the need for a multidisciplinary approach.
PMID- 29366337
TI - Extensive Cutaneous Botryomycosis With Subsequent Development of Nocardia
Positive Wound Cultures.
AB - Botryomycosis is a rare, chronic granulomatous infection caused by a response to
bacteria, most commonly Staphylococcus aureus. Cutaneous manifestations, such as
subcutaneous nodules, nonhealing ulcers, or sinus tracks, typically occur
following inoculation of bacteria after trauma. Drainage from the skin lesions
may contain yellow grains resembling those seen in actinomycosis and nocardiosis.
A 20-year-old Hispanic male presented over the course of several years with a
chronic nonhealing left posterior scalp wound. A car hit the patient when he was
2 years old and injured the scalp in the location of the skin lesion. Multiple
wound cultures grew methicillin-resistant Staphylococcus aureus (MRSA), and
biopsies were consistent with botryomycosis. He was treated with multiple
surgical debridements, skin grafts, and various courses of oral and intravenous
antibiotics with slight improvement. One reason for poor response to therapy was
noncompliance with long-term home antibiotics. The most recent tissue culture
grew MRSA in addition to Nocardia mexicana, and he experienced improvement on
linezolid and minocycline. Although it is important to exclude nocardiosis and
actinomycosis when diagnosing botryomycosis, our patient was diagnosed with
botryomycosis after multiple biopsies and positive MRSA cultures 2 years prior to
1 positive N mexicana culture. Our case is a unique presentation of botryomycosis
in an individual who subsequently developed Nocardia-positive wound cultures.
PMID- 29366339
TI - Default Mode Network Engagement Beyond Self-Referential Internal Mentation.
AB - The default mode network (DMN) is typically associated with off-task internal
mentation, or with goal-oriented tasks that require self-referential processing
such as autobiographical planning. However, recent reports suggest a broader
involvement of the DMN in higher cognition. In line with this view, we report
global connectivity changes that are centered on the main DMN hubs of precuneus
and posterior cingulate cortex during a functional magnetic resonance imaging
based visuospatial version of the Tower of London planning task. Importantly,
functional connectivity of these regions with the left caudate shows a
significant relationship with faster reaction time to correct responses only
during the high-demand planning condition, thus offering further evidence for the
DMN's engagement during visuospatial planning. The results of this study not only
provide robust evidence against the widely held notion of DMN disengagement
during goal-oriented, attention-demanding, externally directed tasks but also
support its involvement in a broader cognitive context with a memory-related role
that extends beyond self-referential, internally directed mentation.
PMID- 29366338
TI - The clinicopathological features and prognosis of tumor MSI in East Asian
colorectal cancer patients using NCI panel.
AB - AIM: To compare test results obtained from a PCR assay for the National Cancer
Institute (NCI) five loci criteria for detecting microsatellite instability (MSI)
with those obtained from immunohistochemistry of mismatch repair and a five
mononucleotide site amplification system in East Asian patients with colorectal
cancer. PATIENTS & METHODS: A total of 245 East Asian patients with colorectal
cancer were studied retrospectively at our institution. RESULTS: The consistency
of the NCI panel PCR method compared with detection of mismatch repair protein
expression by immunohistochemistry was 0.898. High level MSI (MSI-H) status was
correlated with the Tumor, Node, Metastasis stage, tumor location site,
metastasis, tumor grade, mucinous histological type and BRAF-type mutations.
CONCLUSION: The NCI panel PCR assay has excellent sensitivity and specificity for
detecting MSI in an East Asian population.
PMID- 29366340
TI - Effects of strength exercise on the knee and ankle proprioception of individuals
with knee osteoarthritis.
AB - This study aims to investigate the effects of 8-week strength exercise on knee
and ankle proprioception of individuals with knee osteoarthritis (KOA). Forty
participants were randomly divided into control and strength exercise groups.
Strength exercise group underwent squat training of three times a week for 8
weeks, while control group received an education programme. Threshold for
detection of passive movement of knee and ankle joint was tested using an
electrically driven movable frame. Between- and within-group differences were
evaluated through repeated-measurement analysis of variance. After intervention,
passive motion sense in knee flexion of participants in strength exercise group
significantly improved (p = 0.033, 95% confidence interval of mean difference:
0.019-1.478) with significant difference between groups. No significant
differences of passive motion senses were found in knee extension and ankle
between strength exercise and control groups. Eight-week squat training
significantly improves the motion sense of knee flexion in patients with KOA.
PMID- 29366341
TI - Use of 3D Prints to Compare the Efficacy of Three Different Calcaneal Osteotomies
for the Correction of Heel Varus.
AB - BACKGROUND: Cavovarus deformity of the hindfoot is typically caused by neurologic
disorders. Multiple osteotomies have been described for the correction of varus
deformity but without clinical comparison. In this study, we used 18 identical 3
dimensional (3D) prints of a patient with heel varus to compare the operative
correction obtained with Dwyer, oblique, and Z osteotomies. METHODS: A computed
tomography (CT) scan of a patient with heel varus was used to create 18 identical
3D prints of the talus, calcaneus, and cuboid. Coordinate frames were added to
the talus and calcaneus to evaluate rotation. The prints were then divided into 3
groups of 6 models each. A custom jig precisely and accurately replicated each
osteotomy. Following the simulated operations, cut models were CT scanned and
compared with 6 uncut models. Measurements were calculated using multiplanar
reconstruction image processing. An analysis of variance (ANOVA) was performed on
the initial data to determine significant differences among the measured
variables. A Tukey Studentized range test was run to compare variables that
showed statistically significant differences using the ANOVA. RESULTS: The
coronal angle of the Dwyer and oblique osteotomies was significantly less than
that of the Z osteotomy ( P < .05). The axial angle, lateral displacement, and
calcaneal shortening of the uncut model and Z osteotomy were significantly less
than the Dwyer and oblique osteotomies. CONCLUSIONS: Dwyer, oblique, and Z
osteotomies did not create either lateral translation or coronal rotation without
the addition of a lateralizing slide or rotation of the posterior tuberosity.
CLINICAL RELEVANCE: Dwyer and oblique osteotomies would be best suited for mild
deformity, yet the amount of calcaneal shortening must be acknowledged. A Z
osteotomy is a complex procedure that has the capability of correcting moderate
severe coronal plane rotation but fails to provide lateralization of the pull of
the Achilles insertion.
PMID- 29366342
TI - Long-term Follow-up of Revision Osteochondral Allograft Transplantation of the
Ankle.
AB - BACKGROUND: Osteochondral allograft (OCA) transplantation is a useful alternative
for treatment of posttraumatic ankle arthritis in young patients but has a
relatively high failure rate and further procedures are often required. The
purpose of this study was to evaluate outcomes of patients who underwent revision
OCA transplantation of the ankle after failed primary OCA transplantation.
METHODS: Twenty patients underwent revision OCA transplantation of the ankle
between 1988 and 2015. Mean age was 44 years, 55% (11 of 20) were female. The
mean time from primary to revision OCA was 3.0 +/- 1.7 years. All patients had a
minimum follow-up of 2 years. Outcomes included the American Academy of
Orthopaedic Surgeons Foot and Ankle Module (AAOS-FAM) and questionnaires
evaluating pain and satisfaction. Failure of the revision OCA was defined as a
conversion to arthroplasty, arthrodesis, or amputation. RESULTS: Ten of 20 ankles
required further surgery, of which 30% (6 of 20) were considered OCA revision
failures (4 arthrodeses, 1 arthroplasty, and 1 amputation). The mean time to
failure was 6.7 (range, 0.6-13.1) years. Survivorship of the revision OCA was 84%
at 5 years and 65% at 10 years. The 14 patients with grafts remaining in situ had
an average follow-up of 10.3 years; mean AAOS-FAM Core Score was 70.5 (range,
42.3-99). Of the patients who answered the follow-up questions, 4 of 7 reported
moderate to severe pain, and 5 of 12 were satisfied with the results of the
procedure. CONCLUSION: Although the results of revision ankle OCA transplantation
are not inferior to primary OCA transplantation, the high rates of persistent
pain, further surgery, and graft failure suggest that the indications for OCA as
a revision procedure should be carefully evaluated, with proper patient
selection. Considering the treatment alternatives, revising a failed OCA
transplantation can be a useful treatment option, especially for young and active
patients who wish to avoid arthrodesis or arthroplasty. LEVEL OF EVIDENCE: Level
IV, case series.
PMID- 29366343
TI - Implementation of Patient-Reported Outcomes Measurement Information System Data
Collection in a Private Orthopedic Surgery Practice.
AB - BACKGROUND: The authors describe a method of collecting patient-reported outcomes
(PROs) using computerized adaptive tests (CATs) in a high-volume orthopedic
surgery practice with limited resources and no research coordinator. METHODS:
Patient-Reported Outcomes Measurement Information System CATs were collected
prospectively for all clinic patients using a tablet and recorded in the
electronic medical record. Scores were compared with validated national norms
using single-variable t tests. Linear regression was used to assess age effects.
Preoperative and postoperative pain scales were compared using paired t tests.
RESULTS: In total, 4,524 CATs were administered during 10,719 visits (42%),
reaching 70% as more tablets were introduced. Completing the CATs required 157
seconds. Older patients took more time than younger ones ( P < .05). Compared
with normalized t scores of 50 +/- 10 for the US population, pain intensity was
48.0 (95% confidence interval [CI], 47.8-48.2), pain interference 58.9 (95% CI,
58.6-59.1), physical function 40.1 (95% CI, 39.9-40.3), global physical health
43.4 (95% CI, 41.9-44.9), and global mental health 41.1 (95% CI, 40.89-41.4) ( P
< .05 for all). Age had a small effect on all domains ( P < .05). Approximately
20 patients would be required to demonstrate a 15% change for a 2-tailed, paired
study with alpha = 0.05 and 80% power. After surgery, pain intensity improved
from 51.9 +/- 8.2 to 44.1 +/- 8.5, pain interference improved from 62.5 +/- 6.9
to 55.7 +/- 8.4, and physical function improved from 37.3 +/- 8.9 to 41.5 +/- 7.9
( P < .05 for all). CONCLUSIONS: Using tablets to administer CATs and entering
the data in the electronic medical record for later retrieval was an effective
technique to collect PROs. An adequate number of tablets are needed for
acceptable completion rates. Modest sample size requirements for comparative
studies highlight the potential of these tools and techniques. LEVEL OF EVIDENCE:
Level II, Prospective Comparative Study.
PMID- 29366344
TI - Complications After Multiple-Site Peripheral Nerve Blocks for Foot and Ankle
Surgery Compared With Popliteal Sciatic Nerve Block Alone.
AB - BACKGROUND: Single or combined multiple-site peripheral nerve blocks (PNBs) are
becoming popular for patients undergoing surgery on their feet or ankles. These
procedures are known to be generally safe in surgical settings compared with
other forms of anesthesia, such as spinal block. The purposes of this study were
to assess the incidence of complications after the administration of multiple
PNBs for foot and ankle surgery and to compare the rates of complications between
patients who received a single PNB and those who received multiple blocks.
METHODS: Charts were reviewed retrospectively to assess peri- and postoperative
complications possibly related to the PNBs. The records of 827 patients who had
received sciatic nerve blocks, femoral nerve blocks adductor canal blocks, or
combinations of these for foot and/or ankle surgery were analyzed for
complications. The collected data consisted of age, sex, body mass index,
presence of diabetes mellitus, smoking history, tourniquet time, and
complications both immediately postoperatively and 1 year later. RESULTS: Of
these 827 patients, 92 (11.1%) developed neurologic symptoms after surgery; 22
(2.7%) of these likely resulted from the nerve blocks, and 7 (0.8%) of these were
unresolved after the patients' last follow-up visits. There were no differences
in complication rates between combined blocks and single sciatic nerve blocks.
CONCLUSION: There were more complications, both transient and long term, after
anesthetic PNBs than previous literature has reported. Combined multiple-site
blocks did not increase the rate of neurologic complications. LEVEL OF EVIDENCE:
Level III, retrospective comparative study.
PMID- 29366345
TI - Perceptions and experiences regarding the impact of race on the quality of
healthcare in Southeast Brazil: a qualitative study.
AB - OBJECTIVE: To explore the impact of race on the quality of healthcare received by
patients attending a primary care centre in Brazil. DESIGN: This was a
qualitative study consisting of 19 semi-structured interviews conducted on
patients from six racial groups (as defined in Brazil as white, yellow, brown,
black and indigenous and one self-identified 'other' group). The interviews were
analysed using thematic analysis. RESULTS: Four main themes were identified
during analysis; factors affecting the access to healthcare, experiences
regarding quality of healthcare, discrimination in healthcare and deep-rooted
societal discrimination, which were categorised into a number of sub-themes.
Within these themes, interviewees reported (1) experiences of racism in society
towards the black racial group, (2) one personal perception and two observations
of racial discrimination in healthcare, (3) perceived racial discrimination due
to healthcare professional behaviour and (4) other factors, such as delays in
appointments and long waiting times in health facilities were felt to impact
access to care. CONCLUSION: The findings suggest that racial discrimination
exists in Brazilian society but its direct impact on healthcare access was felt
to be less obvious. Instead, organisational level factors were felt to contribute
to difficulty accessing care. Interviewees perceived that racial discrimination
may affect the quality of care, particularly for those designated as 'black'.
Socio-economic factors were felt to influence discrimination in healthcare. The
findings can help inform further studies and educational initiatives to help
address discrimination and access to healthcare in Brazil.
PMID- 29366346
TI - Microwave ablation induces a lower systemic stress response in patients than open
surgery for treatment of benign thyroid nodules.
AB - OBJECTIVE: In this study, we compared the systemic stress response induced by
microwave ablation with that induced by conventional open surgery for treatment
of benign thyroid nodules. METHODS: A total of 108 patients with benign thyroid
nodules were randomly assigned to receive ultrasound-guided thyroid microwave
ablation (microwave group, n = 57) and conventional open thyroid surgery (open
group, n = 51). Body temperature, white blood cell (WBC) counts, visual analogue
scale (VAS) scores for pain, and serum levels of high sensitive C-reactive
protein (hs-CRP), interleukin 6 (IL-6) and cortisol were measured at 24 h before
operation and at 8 h, 24 h and 48 h after operation. RESULTS: No significant
between-group differences were observed with respect to preoperative body
temperature, VAS scores, WBC counts, serum hs-CRP, IL-6 and cortisol levels.
Patients in the open group exhibited higher body temperature at 24 h after
operation and higher WBC counts at both 24 h and 48 h after operation, as
compared to those in the microwave group. As compared with microwave ablation,
open surgery was associated with significantly higher VAS scores, and
significantly higher serum levels of hs-CRP, IL-6 and cortisol at all
postoperative time-points (8 h, 24 h and 48 h). CONCLUSION: Microwave ablation
induces a lower systemic stress response than open surgery for treatment of
benign thyroid nodules.
PMID- 29366348
TI - Case report: an identical twin with Sertoli-Leydig cell tumor.
AB - Our report details the workup and management of a 43-year-old woman with an
identical twin who presented with 2 years of virilization and secondary
amenorrhea. Serum total testosterone was elevated. An MRI did not identify
adnexal or adrenal pathology. Subsequent ovarian vein sampling demonstrated
unilateral testosterone elevation. The patient underwent laparoscopic unilateral
oophorectomy resulting in the diagnosis of Sertoli-Leydig cell tumor (SLCT).
Although SLCT is a rare sex-cord ovarian tumor, it is associated with endometrial
hyperplasia and malignancy. Our goals are to review the workup of androgen
secreting tumors and discuss the clinical importance of the DICER1 mutation in
the context of SLCT. In this case, an identical twin underwent DICER1 testing
which was one of the essential steps in her clinical management.
PMID- 29366347
TI - Correlation of hospital magnet status with the quality of physicians performing
neurosurgical procedures in New York State.
AB - PURPOSE: The quality of physicians practicing in hospitals recognized for nursing
excellence by the American Nurses Credentialing Center has not been studied
before. We investigated whether Magnet hospital recognition is associated with
higher quality of physicians performing neurosurgical procedures. MATERIALS AND
METHODS: We performed a cohort study of patients undergoing neurosurgical
procedures from 2009-2013, who were registered in the New York Statewide Planning
and Research Cooperative System (SPARCS) database. Propensity score adjusted
multivariable regression models were used to adjust for known confounders, with
mixed effects methods to control for clustering at the facility level. An
instrumental variable analysis was used to control for unmeasured confounding and
simulate the effect of a randomized trial. RESULTS: During the study period,
185,277 patients underwent neurosurgical procedures, and met the inclusion
criteria. Of these, 66,607 (35.6%) were hospitalized in Magnet hospitals, and
118,670 (64.4%) in non-Magnet institutions. Instrumental variable analysis
demonstrated that undergoing neurosurgical operations in Magnet hospitals was
associated with a 13.6% higher chance of being treated by a physician with
superior performance in terms of mortality (95% CI, 13.2% to 14.1%), and a 4.3%
higher chance of being treated by a physician with superior performance in terms
of length-of-stay (LOS) (95% CI, 3.8% to 4.7%) in comparison to non-Magnet
institutions. The same associations were present in propensity score adjusted
mixed effects models. CONCLUSIONS: Using a comprehensive all-payer cohort of
neurosurgical patients in New York State we identified an association of Magnet
hospital recognition with superior physician performance.
PMID- 29366350
TI - Analysis of the reduction of trans-fatty-acid levels in the foods of Argentina.
AB - Adverse health effects found to be caused by the industrial trans fatty acids
(TFAs) encouraged significant changes in the food supply. A working model was
implemented based on a multisectoral approach to monitor progress in the
reduction of TFAs in compliance with Argentine food regulations. We analysed the
fatty-acid profiles of commercial foods over the entire country comparing the
results before and after the deadline for the compliance. A 93% adherence in the
foods was obtained after the finalisation of the deadline for the compliance with
the limited use of partially hydrogenated vegetable oils. The analytical
monitoring was a key tool operating for the compliance by the food manufacturers.
The industrial TFAs were mostly replaced by semisolid fractions of vegetable oils
containing high percentages of saturated fatty acids. Promising innovations for
the food industry were established that provided more healthful alternative
substitutes for TFAs.
PMID- 29366349
TI - Gadolinium-doped hollow CeO2-ZrO2 nanoplatform as multifunctional MRI/CT dual
modal imaging agent and drug delivery vehicle.
AB - Developing multifunctional nanoparticle-based theranostic platform for cancer
diagnosis and treatment is highly desirable, however, most of the present
theranostic platforms are fabricated via complicated structure/composition design
and time-consuming synthesis procedures. Herein, the multifunctional Gd/CeO2
ZrO2/DOX-PEG nanoplatform with single nano-structure was fabricated through a
facile route, which possessed MR/CT dual-model imaging and chemotherapy ability.
The nanoplatform not only exhibited well-defined shapes, tunable compositions and
narrow size distributions, but also presented a well anti-cancer effect and MR/CT
imaging ability. Therefore, the Gd/CeO2-ZrO2/DOX-PEG nanoplatform could be
applied for chemotherapy as well as dual-model MR/CT imaging.
PMID- 29366351
TI - Histomorphometric changes in the placenta and umbilical cord during complications
of pregnancy.
AB - Pregnancy complications may cause morphological changes and circulation defects
in the placenta, which may lead to morbidity and mortality in fetuses and
newborns. We investigated structural changes in the placenta and umbilical cord
under various abnormal maternal conditions. Placenta and umbilical cord specimens
were obtained from pregnant women during labor at 37 - 42 weeks gestation.
Volumetric measurements were made for each placenta and umbilical cord using the
Cavalieri method. Significant differences were observed for volumetric densities
of total villi, syncytial knots, intervillous vessels and perivillous fibrin
deposition. We observed particular increases in the volumetric parameters of the
pre-eclampsia group compared to the other groups. The tunica media of the
umbilical arteries was increased significantly with intrahepatic cholestasis.
PMID- 29366352
TI - Gene Therapy for Hemophilia and Duchenne Muscular Dystrophy in China.
AB - Gene therapy is a new technology that provides potential for curing monogenic
diseases caused by mutations in a single gene. Hemophilia and Duchenne muscular
dystrophy (DMD) are ideal target diseases of gene therapy. Important advances
have been made in clinical trials, including studies of adeno-associated virus
vectors in hemophilia and antisense in DMD. However, issues regarding the high
doses of viral vectors required and limited delivery efficiency of antisense
oligonucleotides have not yet been fully addressed. As an alternative strategy to
classic gene addition, genome editing based on programmable nucleases has also
shown promise to correct mutations in situ. This review describes the recent
progress made by Chinese researchers in gene therapy for hemophilia and DMD.
PMID- 29366353
TI - Effect of early use of low-dose aspirin therapy on late-onset preeclampsia.
AB - OBJECTIVE: Low-dose aspirin (LDA) therapy has been found to be effective in
preventing the development of early-onset preeclampsia. However, its effect on
late-onset preeclampsia has not been described. Our study was aimed at
determining if LDA therapy prescribed from early in pregnancy modified the
severity of late-onset preeclampsia. MATERIALS AND METHODS: A retrospective
analysis of all women who were screened for early-onset preeclampsia at 11-13+6
weeks' gestation between April 2012 and October 2014 at our institution, and who
subsequently developed late-onset preeclampsia. The treatment group consisted of
women who were prescribed LDA therapy from early in pregnancy as a result of the
screening. The control group consisted of women who did not receive LDA therapy.
RESULTS: The aspirin group was associated with earlier delivery at 38.0 (37.5
38.5) weeks' gestation versus 39.0 (38.7-39.4) weeks' gestation for the
nonaspirin group (p < .01). The aspirin group was also associated with lower
absolute birth weight 2851 (2646-3055) versus 3215 (3068-3362) grams in the
nonaspirin group (p < .01). However, when normalised for gestational age at
delivery, the proportion of foetuses that were small for gestation age (< 10th
centile) were not significantly different between the two groups [28% in aspirin
group versus 23% in nonaspirin group; p = .62]. No other significant difference
was noted. CONCLUSIONS: There was no difference in the clinical severity of late
onset preeclampsia between women screened as high risk for early-onset
preeclampsia and subsequently prescribed LDA during their pregnancy, compared to
women found to be at low risk and not prescribed LDA.
PMID- 29366355
TI - Direct player observation is needed to accurately quantify heading frequency in
youth soccer.
AB - In soccer, heading may be related to subsequent neurological impairment. Accurate
measures of heading exposure are therefore important. This study evaluated
whether 12 female youth players accurately recalled their average number of
headers over an entire soccer season (20 games total). Their self-reported
average number of headers per game was multiplied by the number of games that
they participated in, and were compared to actual number of headers extracted
from game video. All players overestimated the number of headers compared to game
video. Linear regression analysis indicated that self-reported headers
overestimated the number of headers by 51%. While self-reports are a convenient
way to estimate heading behaviour, they do not accurately represent the number of
headers that players perform. Self-reports of heading exposure should be
interpreted with caution.
PMID- 29366354
TI - Dietary habits and energy balance in an under 21 male international soccer team.
AB - Soccer presents a metabolic challenge which is not necessarily matched by
players' habitual dietary intake. To examine the effects of a bespoke diet, 22
players completed the Ball Sport Endurance and Sprint Test (BEAST90mod) protocol,
followed by 4 days of regulated nutritional intake. The diet consisted of 10 g?kg
1 body mass (BM) and 1.7 g?kg-1 BM of carbohydrate and protein, respectively. On
day 5, players followed a prematch nutritional strategy of 7 g?kg-1 BM of
carbohydrate and 1 g?kg-1 BM of protein divided into three meals and then
repeated the BEAST90mod. The players' pre-intervention intake consisted of 49 +/-
7.1% or 3.5 g +/- 1.0 g?kg-1 BM for carbohydrate and 19 +/- 3.8% of total daily
energy intake or 1.3 g +/- 0.5 g?kg-1 BM for protein. Following the tailor-made
dietary intervention, players ran an additional 887 +/- 233 m (8.1%; d = 2.4). An
acute dietary intervention provided a positive effect on a valid simulated soccer
match play test.
PMID- 29366357
TI - Measuring the flashbulb-like nature of memories for private events: the flashbulb
memory checklist.
AB - What do we remember following an emotionally charged event? The assessment of
memory characteristics for an emotional event represents one of the most
challenging issues in the domain of autobiographical memory. Literature of
flashbulb memories (FBMs) provides a crucial contribution on this issue:
Following an emotional and unexpected public event, people remember not only
central details of the episode, but also irrelevant, peripheral and idiosyncratic
details of the reception context in which they learned of the news. The present
study was set up to assess the factorial structure (samples 1 and 2) and
convergent validity (sample 2) of an FBM checklist, an instrument designed to
measure Flashbulb-like features of memories for emotional private events.
Factorial analyses account for an oblique two-factor solution - FBM Specificity
and Confidence - while correlational analyses support the convergent validity of
this instrument. Practical implications are discussed, especially for the
credibility assessment of witnesses of emotional events in forensic settings.
PMID- 29366356
TI - Therapeutic bispecific antibody formats: a patent applications review (1994
2017).
AB - INTRODUCTION: Bispecific antibodies have become increasingly of interest by
enabling new therapeutic applications such as retargeting cellular immunity
towards tumor cells. About 23 bispecific antibody platforms have therefore been
developed, generating about 62 molecules which are currently being evaluated for
potential treatment of a variety of indications, such as cancer and inflammatory
diseases, among which three molecules were approved. This class of drugs will
represent a multi-million-dollar market over the coming years. Many companies
have consequently invested in the development of bispecific antibody platforms,
creating an important patent activity in this field. Areas covered: The present
review gives an overview of the patent literature over the period 1994-2017 of
different immunoglobulin gamma-based bispecific antibody platforms and the
molecules approved or in clinical trials. Expert opinion: Bispecific antibodies
are progressively accepted as potentially superior therapeutic molecules in a
broad range of diseases. This frantic activity creates a maze of hundreds of
patents that pose considerable legal risks for both newcomers and established
companies. It can consecutively be anticipated that the number of patent
conflicts will increase. Nevertheless, it can be expected that patents related to
the use of a bispecific antibody will have tremendous commercial value.
PMID- 29366358
TI - Fasting glucose concentrations and associations with reproductive history over 40
years of follow-up.
AB - Gestational diabetes mellitus (GDM) is the onset or first recognition of diabetes
that occurs during pregnancy. We aimed to assess for trends in fasting blood
glucose levels across the life-course among a cohort of women by reproductive
history: nulligravid women, gravid women with and without a history of GDM. Women
who had participated in the Bogalusa Heart Study as children were interviewed
about their reproductive history, including GDM (n = 358). We compared fasting
blood glucose (mg/dL) measured after last pregnancy (or after age 40 among
nulligravid women) across reproductive history groups in linear models adjusted
for prepregnancy fasting blood glucose, body mass index, race, parity, and age at
outcome measure. We fit a log-Poisson model to estimate the associations with
prediabetes risk after age 40. After adjustments, mean fasting glucose after age
40 was not different between gravid women without GDM history and nulligravid
women. However, women with a history of GDM had mean fasting glucose 27 mg/dL
greater than nulligravid women (95% CI = 12.35, 41.64). Heterogeneity by race
indicated Black women with a history of GDM had disproportionately elevated mean
fasting glucose after age 40. Fasting blood glucose trends over the life-course
differ among women by reproductive history and race.
PMID- 29366359
TI - Health-related knowledge on hypertension among the Portuguese population: results
from a population-based survey.
AB - PURPOSE: Adequate knowledge on hypertension has been shown to improve awareness,
adherence to treatment and control of the disease. We aimed to estimate the
health-related knowledge about hypertension among the Portuguese population.
MATERIALS AND METHODS: A representative sample of Portuguese-speaking dwellers in
mainland Portugal (n = 1624), aged 16 to 79 years, was evaluated through face-to
face interviews conducted using a structured questionnaire. Health literacy was
evaluated using the instrument Newest Vital Sign. RESULTS: The mean prevalence of
hypertension in the Portuguese population estimated by the participants in this
study was 45.4%. Salt intake and poor diet were reported as main causes of
hypertension by 27.5% and 21.5% of the participants, respectively, whereas more
than 85% acknowledged myocardial infarction and stroke as its main consequences.
However, 31.2% of the participants were not able to identify a cause for high
blood pressure, especially the older and those with worse scores for health
literacy. The accurate interpretation of blood pressure values diminished with
the increase of systolic and diastolic blood pressure figures provided as
examples for interpretation, from approximately 80% for 95/60 mmHg to 50% for
180/100 mmHg. Women and participants with greater levels of education or a
previous diagnosis of hypertension tended to interpret blood pressure values
correctly more often. CONCLUSIONS: This study provided a quantitative estimate of
the gaps in health-related knowledge about hypertension among the general
population. Understanding the barriers that hinder the achievement of health
related knowledge on hypertension is expected to contribute for the global
improvement of prevention and management of hypertension.
PMID- 29366360
TI - Silk fibroin nanoparticles dyeing indocyanine green for imaging-guided photo
thermal therapy of glioblastoma.
AB - Silk was easily dyed in traditional textile industry because of its strong
affinity to many colorants. Herein, the biocompatible silk fibroin was firstly
extracted from Bombyx mori silkworm cocoons. And SF nanoparticles (SFNPs) were
prepared for dyeing indocyanine green (ICG) and construct a therapeutic nano
platform (ICG-SFNPs) for photo-thermal therapy of glioblastoma. ICG was easily
encapsulated into SFNPs with a very high encapsulation efficiency reaching to
97.7 +/- 1.1%. ICG-SFNPs exhibited a spherical morphology with a mean particle
size of 209.4 +/- 1.4 nm and a negative zeta potential of -31.9 mV, exhibiting a
good stability in physiological medium. Moreover, ICG-SFNPs showed a slow release
profile of ICG in vitro, and only 24.51 +/- 2.27% of the encapsulated ICG was
released even at 72 h. Meanwhile, ICG-SFNPs exhibited a more stable photo-thermal
effect than free ICG after exposure to near-infrared irradiation. The temperature
of ICG-SFNPs rapidly increased by 33.9 degrees C within 10 min and maintained
for a longer time. ICG-SFNPs were also easily internalized with C6 tumor cells in
vitro, and a strong red fluorescence of ICG was observed in cytoplasm for
cellular imaging. In vivo imaging showed that ICG-SFNPs were effectively
accumulated inside tumor site of C6 glioma-bearing Xenograft nude mice through
vein injection. Moreover, the temperature of tumor site was rapidly rising up to
kill tumor cells after local NIR irradiation. After treatment, its growth was
completely suppressed with the relative tumor volume of 0.55 +/- 033 while free
ICG of 33.72 +/- 1.90. Overall, ICG-SFNPs may be an effective therapeutic means
for intraoperative phototherapy and imaging.
PMID- 29366362
TI - Erratum.
PMID- 29366361
TI - Role of time-resolved-CTA in intracranial arteriovenous malformation evaluation
at 128-slice CT in comparison with digital subtraction angiography.
AB - Introduction The present study aimed to evaluate the accuracy of time-resolved
computed tomographic angiography (TR-CTA) on a 128-slice CT scanner vis-a-vis
cerebral digital subtraction angiography (DSA) in defining the morphological and
haemodynamic characteristics of cerebral arteriovenous malformation (AVM).
Methods Twenty-one patients (age range 10-46, mean 24.8 years) with clinical
suspicion of AVM and three patients (age range 23-35, mean 24.3 years) with
diagnosed AVM who were on follow-up underwent DSA and TR-CTA, on average 1.5 days
apart. Three independent neuroradiologists analysed both studies in a blinded
fashion based on the following parameters: AVM location, arterial feeder
territories, venous drainage pattern, nidus flow characteristics, venous outflow
obstruction, arterial feeder enlargement, external carotid artery feeder,
location of aneurysm if any, leptomeningeal and transdural recruitment,
neoangiogenesis, and pseudophlebitic pattern. Results The TR-CTA correctly
demonstrated AVM in all 21 positive cases. It concordantly detected location
(21/21), venous drainage pattern (21/21), nidus flow characteristics (21/21), and
the venous outflow obstruction (9/9). However, discordance was seen in the
demonstration of the arterial feeder (2/45) ( p = 0.49), arterial enlargement
(13/17) ( p = 0.103), external carotid artery feeder (0/1), aneurysmal location
(3/5) ( p = 0.40), leptomeningeal recruitment (1/3) ( p = 0.40), neoangiogenesis
(0/4) ( p = 0.028) and in the pseudophlebitic pattern (2/5) ( p = 0.167)
demonstration. Conclusions The results suggest that TR-CTA can provide the
important features of cerebral AVM which are required in patient management.
PMID- 29366363
TI - Orbital Granulomatosis With Polyangiitis Mimicking IgG4-Related Disease in a 12
Year-Old Male.
AB - Granulomatosis with polyangiitis is rare in children. We report a case of a 12
year-old male who presented with new symptoms of left eyelid swelling and ptosis.
Magnetic resonance imaging showed an enhancing orbital mass suspicious for a
neoplasm. Excisional biopsy was performed. Microscopic examination revealed
fibrovascular tissue with dense collagenous fibrosis and mixed inflammatory
infiltrate that included many plasma cells. Many small and medium-sized blood
vessels showed granulomatous and necrotizing vasculitis with disruption of the
vessel walls and fibrinoid necrosis. Immunostain for IgG highlighted the numerous
plasma cells, approximately 50% of which were positive for IgG4 immunostain. A
diagnosis of granulomatosis with polyangiitis was suggested, with recommendation
of serologic testing for anti-neutrophil cytoplasmic antibodies. Serum anti
neutrophil cytoplasmic antibodies were borderline high with a cytoplasmic
staining pattern. The patient improved with steroid and methotrexate therapy.
Granulomatosis with polyangiitis can present as an orbital mass in up to 30% of
children. It may be misdiagnosed as IgG4-related disease since the inflammatory
background in both conditions may be rich in plasma cells with a high proportion
of IgG4+ plasma cells, and accompanied by fibrosis and obliterated blood vessels.
The differential diagnosis in this location should also include inflammatory
pseudotumor and inflammatory myofibroblastic tumor. Knowledge of this unusual
manifestation of granulomatosis with polyangiitis and its diagnostic pitfalls can
facilitate early diagnosis and treatment.
PMID- 29366365
TI - Early Predictors and Correlates of Communication Function in Children With
Cerebral Palsy.
AB - Birth characteristics and developmental milestones were evaluated as early
predictors/correlates of communication in children with cerebral palsy. The
hypothesis was that maternal report of child's age for vocal play and first words
would predict current functional communication. A case series of 215 children, 2
to 17 years (mean age = 8.2 years, SD = 3.9) with cerebral palsy was recruited
from medical practices in 3 Michigan cities. Early developmental data were
collected by maternal interview. The child's Communication Function
Classification System (CFCS) level was obtained from parent. Predictors of less
functional communication included gestational age >32 weeks, number of
comorbidities, age of first words after age 24 months, and use of communication
methods other than speech. Several birth characteristics and developmental
language milestones were predictive of later communication performance for
children with cerebral palsy. These characteristics and milestones should trigger
referrals for communication evaluations, including speech, language, hearing,
and/or augmentative and alternative communication.
PMID- 29366364
TI - Contribution of angiotensinogen M235T and T174M gene variants and haplotypes to
preeclampsia and its severity in (North African) Tunisians.
AB - BACKGROUND: Preeclampsia (PE) is a pregnancy-associated hypertensive disorder and
a leading cause of maternal and neonatal morbidity and mortality. While its
pathogenesis remains ill defined, several candidate genes for PE have been
identified, but results remain inconclusive. We investigated the association of
the angiotensinogen ( AGT) gene variants M235T and T174M with PE, and we analyzed
the contribution of both variants to the severity of PE. METHODS: This case
control study enrolled 550 Tunisian pregnant women: 272 with PE, of whom 147
presented with mild, and 125 with severe PE, along with 278 unrelated age- and
ethnically matched control women. AGT genotyping was performed by polymerase
chain reaction-restriction fragment length polymorphism. RESULTS: Significantly
higher M235T minor allele frequency (MAF) was associated with increased risk of
PE ( p < 0.001). Decreased frequency of heterozygous T174M genotype carriers were
found in control women ( p = 0.015), suggesting a protective effect of this
genotype (odds ratio (95% confidence interval) = 0.51 (0.29-0.89)). Two-locus
haplotype analysis demonstrated MM and TT haplotypes to be negatively and
positively associated with PE, respectively. MAF of M253T, but not T174M, was
higher in the severe PE group, and carrying M235T or T174M minor allele was
associated with increased body mass index ( p < 0.001) among unselected PE women.
CONCLUSIONS: AGT M235T and T174M variants contribute to an increased risk of
developing PE, and for M235T to PE severity.
PMID- 29366366
TI - The salivary alpha amylase awakening response is related to over-commitment.
AB - We assessed the relationship between physiological and psychological measures of
workplace stress as measured by the effort-reward imbalance (ERI) model, with a
seldom studied sample of owner-operator dairy farmers. Dairy farmers (N = 74)
self-reported ERI, over-commitment (OC), dedication, and health then provided
awakening saliva samples that were used to calculate the salivary alpha amylase
awakening response (sAA-AR), cortisol awakening response (CAR), and salivary
immunoglobulin A (sIgA) level. ERI, OC, and dedication levels were not related
with sIgA or the CAR, but more over-committed farmers had a less pronounced sAA
AR. OC was more associated than ERI with the physiological indicators of stress,
potentially due to the owner-operator sample used in this investigation. The
suitability of sAA as a viable physiological measure of autonomic nervous system
activity has been debated, but our findings promote its inclusion in future
occupational stress research.
PMID- 29366367
TI - Re-thinking technology and its growing role in enabling patient empowerment.
AB - The presence and increase of challenges to eHealth in today's society have begun
to generate doubts about the capability of technology in patient empowerment,
especially within the frameworks supporting empowerment. Through the review of
existing frameworks and articulation of patient demands, weaknesses in the
current application of technology to support empowerment are explored, and key
constituents of a technology-driven framework for patient empowerment are
determined. This article argues that existing usage of technology in the design,
development and implementation of patient empowerment in the healthcare system,
although well intentioned, is insufficiently constituted, primarily as a result
of fragmentation. Systems theory concepts such as holism and iteration are
considered vital in improving the role of technology in enabling patient
empowerment.
PMID- 29366368
TI - Correction to: Pop et al., Patency of uterine wall in pregnancies following
assisted and spontaneous conception with antecedent laparoscopic and abdominal
myomectomies - a difficult case and systematic review.
PMID- 29366369
TI - Social and Cultural Barriers to Women's Participation in Pap Smear Screening
Programs in Low- and Middle-Income Latin American and Caribbean Countries: An
Integrative Review.
AB - PURPOSE: Pap smear screening programs have been ineffective in reducing cervical
cancer mortality in most Latin American and Caribbean countries, in part due to
low screening rates. The purpose of this review was to analyze recent studies to
identify demographic, social, and cultural factors influencing women's
participation in Pap screening programs in Latin America and the Caribbean.
DESIGN/METHOD: For this integrative review, cervical cancer screening in Latin
America and the Caribbean was searched using PubMed, CINAHL, EMBASE, and PsycINFO
databases. Findings/Results: Demographic barriers to screening were socioeconomic
status, education, race/ethnicity, and geography. Social barriers included lack
of uniformity in screening guidelines, lack of knowledge regarding cervical
cancer, and lack of preventive culture. Cultural barriers were fear/embarrassment
and gender roles. CONCLUSIONS: There are multilevel barriers to Pap smear
utilization among women in Latin America and the Caribbean. IMPLICATIONS FOR
PRACTICE: Findings highlight a need for health system engagement, promotion of
preventive care, and community-generated educational programs and solutions.
PMID- 29366370
TI - Holistic Wellness in Older Adulthood: Group Differences Based on Age and Mental
Health.
AB - PURPOSE: To understand how demographic variables and depression symptoms relate
to the prevalence of wellness, resilience, and age perception within a sample of
community-dwelling older adults. DESIGN: In all, 200 residents across 12 senior
housing sites were surveyed. Research questions included the following: (1) Do
group differences exist in wellness, resilience, and age perception based on age,
sex, race, education, and depression symptoms? (2) Which profile of variables is
most strongly associated with self-rated depression among older adults? METHOD:
Multivariate analyses of variance were used to examine group differences. A
discriminant analysis demonstrated which variables comprised the profile of
individuals who ascribed to depression symptoms. FINDINGS: Younger respondents
(i.e., age 55-70) had significantly lower levels of wellness (eta2 = .034) and
resilience (eta2 = .052). Respondents suffering from depression symptoms had
lower levels of wellness (eta2 = .155), resilience (eta2 = .163), and positive
age perception (eta2 = .067) and higher rates of negative age perception (eta2 =
.052). The discriminant analysis correctly categorized 75.3% of the cases related
to depression symptoms, and resilience and certain forms of wellness were most
relevant. CONCLUSIONS: The current study sheds light into within-group
differences in wellness, resilience, and age perception that depend on variables
such as age and depression.
PMID- 29366371
TI - The neuropsychological rehabilitation of visual agnosia and Balint's syndrome.
AB - Visual agnosia and Balint's syndrome are complex neurological disorders of the
higher visual system that can have a remarkable impact on individuals' lives.
Rehabilitation of these individuals is important to enable participation in
everyday activities despite the impairment. However, the literature about the
rehabilitation of these disorders is virtually silent. Therefore, the aim of this
systematic review is to give an overview of available literature describing
treatment approaches and their effectiveness with regard to these disorders. The
search engines Psychinfo, Amed, and Medline were used, resulting in 22 articles
meeting the criteria for inclusion. Only articles describing acquired disorders
were considered. These articles revealed that there is some information available
on the major subtypes of visual agnosia as well as on Balint's syndrome which
practising clinicians can consult for guidance. With regard to the type of
rehabilitation, compensatory strategies have proven to be beneficial in most of
the cases. Restorative training on the other hand has produced mixed results.
Concluding, although still scarce, a scientific foundation about the
rehabilitation of visual agnosia and Balint's syndrome is evolving. The available
approaches give valuable information that can be built upon in the future.
PMID- 29366372
TI - The role of teriparatide in tuberosity healing after reverse shoulder
arthroplasty in complex proximal humeral fragility fracture.
AB - INTRODUCTION: Tuberosity healing is known to be one of the factors that increase
functional outcome in Reverse Total Shoulder Arthroplasty (rTSA). In fragility
fractures, tuberosity healing is difficult to be achieved. The fragment stability
and bone-forming agent application become strategies to overcome this problem.
The purpose of this study was to evaluate the benefit of teriparatide application
to promote tuberosity union in rTSA on four-part proximal humeral fractures to
achieve better functional outcome. METHODS: A retrospective study of a
prospectively collected shoulder arthroplasty medical record was performed.
Eleven patients were included in this analysis and consisted of two groups,
teriparatide and no-teriparatide groups. Patients were evaluated on the range of
motions and clinical outcome using the American Shoulder Elbow Surgeon (ASES)
Shoulder Score and X-ray to determine tuberosity union. The group differences
were analyzed by t-test or Mann-Whitney U test with SPSS version 20.0 for
Windows. RESULTS: The statistical analysis revealed no significant differences in
mean age in both the groups. There were significant differences between
teriparatide and no-teriparatide groups in external rotation (29.5 degrees vs.
7.5 degrees ; p = 0.004), external rotation in 90 degrees abduction (55 degrees
vs. 12.5 degrees ; p < 0.020), forward flexion (120 degrees vs. 105 degrees ; p
= 0.002) range of motions, and ASES (84.5 vs. 74.6; p = 0.019). We found clinical
difference between teriparatide and no-teriparatide groups in time to achieve the
initial callus (33 +/- 18.3 days vs. 150 +/- 42.4 days) and tuberosity
consolidation (165.8 +/- 70.3 days vs. 315 +/- 106.1 days). CONCLUSIONS:
Teriparatide administration supports tuberosity repair results in a high
tuberosity healing rate with restoration of external rotation after rTSA in acute
complex proximal humeral fractures.
PMID- 29366373
TI - Corrigendum.
AB - McElroy M and Keshmiri A, Impact of using conventional inlet/outlet boundary
conditions on haemodynamic metrics in a subject-specific rabbit aorta, Proc
IMechE, Part H: Journal of Engineering in Medicine, first published on March 25,
2017, DOI: 10.1177/0954411917699237 Following OnlineFirst publication of the
article, the authors informed SAGE of an error in the transient velocity inlet
profile which had been defined inaccurately due to a human error in the
interpretation of clinical data in the literature. As a result of this error in
boundary conditions, some of the results of transient flow computations were
incorrect. A watermarked version of the first publication of the article (as
first published on March 25, 2017) is attached for reference in the PDF version
of this corrigendum. The authors have revised and corrected their article. The
revised version of the article has been accepted following peer review and
replaces the article first published on March 25, 2017. Date received: 8 August
2017; accepted: 30 November 2017 (Revised version) Date received: 29 March 2016;
accepted: 21 February 2017 (Original version) The correct and citable version of
the article is accessible at the following DOI: 10.1177/0954411917699237.
PMID- 29366374
TI - Poststroke Depressive Symptoms Are Associated With Increased Oxidative
Deoxyribonucleic Acid Damage.
AB - Poststroke depression is independently associated with poor health outcomes, such
as increased mortality, disability, anxiety, and lower quality of life.
Identifying the potential biomarkers and detailed mechanisms of poststroke
depression may improve the effectiveness of therapeutic intervention. In this
cross-sectional study, the authors recruited patients with subacute ischemic
stroke who were consecutively admitted for neurorehabilitation. Depression was
assessed with the Patient Health Questionnaire-9 (PHQ-9), with a cutoff based on
a summed-items score of 10. Polysomnography and laboratory tests for oxidative
stress and inflammation were arranged. In total, 139 patients (97 men [69.8%] and
42 women [30.2%]; mean age: 63.2 years [+/-13.4]) with recent ischemic stroke
were recruited and divided into two groups based on their depressive symptoms.
Body mass index (BMI), the Barthel Index, percentage of antidepressant usage, and
percentage of rapid eye movement (REM) sleep differed significantly between the
two groups. The PHQ-9 score was significantly correlated with the levels of total
antioxidant capacity, C-reactive protein, and urinary 8-hydroxy-2'-deoxyguanosine
(8-OHdG). Urinary 8-OHdG, a marker of oxidative stress to DNA, remained
significantly and positively correlated with PHQ-9 scores after adjusting for
BMI, sleep-onset latency, Barthel Index, mean oxyhemoglobin saturation, age,
antidepressant usage, and percentage of REM sleep by using multivariate linear
regression. Depressive symptoms were related to increased oxidative DNA damage in
patients with subacute ischemic stroke. Urinary 8-OHdG may serve as a potential
biomarker for poststroke depression. Further longitudinal studies are needed to
elucidate the causal relationship between poststroke depression and elevated
oxidative stress level.
PMID- 29366375
TI - Patient- and Technician-Oriented Attitudes Toward Transcranial Magnetic
Stimulation Devices.
AB - Four transcranial magnetic stimulation (TMS) devices are currently approved for
use in treatment-resistant depression. The authors present the first data-driven
study examining the patient- and technician-experience using three of these
distinct devices. A retrospective survey design with both patient and technician
arms was utilized. The study population included patients who received TMS for
treatment-resistant depression at the Berenson Allen Center for Noninvasive Brain
Stimulation for the first time between 2013 and 2016 and technicians who worked
in the program from 2009 to 2017. Statistical analysis included t tests and
analyses of variance to assess differences between and across the multiple
groups, respectively. Patients treated with the NeuroStar device reported greater
confidence that the treatment was being performed correctly compared with those
treated with the Magstim device. Conversely, with regard to tolerability,
patients treated with the Magstim device reported less pain in the last week and
less pain on average compared with those treated with the NeuroStar device. On
average, technicians reported feeling that both the Magstim and NeuroStar devices
were significantly easier to use than the Brainsway Deep TMS H-Coil device.
Additionally, they found the former two devices to be more reliable and better
tolerated. Furthermore, the technicians reported greater confidence in the
Magstim and NeuroStar devices compared with the Brainsway Deep TMS H-Coil device
and indicated that they would be more likely to recommend the two former devices
to other treatment centers.
PMID- 29366376
TI - Do primary care placements influence career choice: What is the evidence?
AB - BACKGROUND: The NHS is struggling with GP recruitment. The Health Education
England (HEE) Wass Report (By Choice not by Chance), among its recommendations to
boost GP numbers, highlighted undergraduate (UG) GP placement experience as an
important influence on future career choice. Aim To explore the UG influences on
GP career choice. METHODS: Two junior doctors produced a pragmatic rapid
appraisal of the literature on the UG influences on GP career choice for the Wass
Report. The search strategy was supported by librarians and focused on rapidly
accessing and summarising relevant literature. Databases searched, including
Medline, EMBASE, HMIC & grey literature, revealed 294 items. Data extraction and
synthesis was pragmatic. RESULTS: The international evidence suggests that UG GP
experience can positively influence students towards a primary care career.
Longitudinal placements are more influential than traditional blocks. UK
literature is limited, but there are consistencies with the international
evidence. The relevant studies identified are observational with risk of bias,
but this is unavoidable within this research context. DISCUSSION: The
implications of these results for medical school curricula are discussed with
particular reference to Government plans to expand medical student numbers and
establish new medical schools with explicit aims to produce more GPs.
PMID- 29366377
TI - Pulse Width Does Not Influence the Gains Achieved With Neuromuscular Electrical
Stimulation in People With Multiple Sclerosis: Double-Blind, Randomized Trial.
AB - BACKGROUND: Multiple sclerosis (MS) eventually compromises the walking ability of
most individuals burdened with the disease. Treatment with neuromuscular
electrical stimulation (NMES) can restore some functional abilities in persons
with MS, but its effectiveness may depend on stimulus-pulse duration. OBJECTIVE:
To compare the effects of a 6-week intervention with narrow- or wide-pulse NMES
on walking performance, neuromuscular function, and disability status of persons
with relapsing-remitting MS. METHODS: Individuals with MS (52.6 +/- 7.4 years)
were randomly assigned to either the narrow-pulse (n = 13) or wide-pulse (n = 14)
group. The NMES intervention was performed on the dorsiflexor and plantar flexor
muscles of both legs (10 minutes each muscle, 4 s on and 12 s off) at a tolerable
level for 18 sessions across 6 weeks. Outcomes were obtained before (week 0) and
after (week 7) the intervention and 4 weeks later (week 11). RESULTS: There was
no influence of stimulus-pulse duration on the outcomes ( P > .05); thus, the
data were collapsed across groups. The NMES intervention improved ( P < .05) gait
speed and walking endurance, dorsiflexor strength in the more-affected leg,
plantar flexor strength in the less-affected leg, force control for plantar
flexors in the less-affected leg, and self-reported levels of fatigue and walking
limitations. CONCLUSION: There was no influence of stimulus-pulse duration on the
primary outcomes (gait speed and walking endurance). The 6-week NMES intervention
applied to the lower leg muscles of persons with mild to moderate levels of
disability can improve their walking performance and provide some symptom relief.
PMID- 29366378
TI - Development and validation of the Patient Benefit Index for peripheral arterial
disease.
PMID- 29366379
TI - Pharmacy Student Monitoring of Direct Oral Anticoagulants.
AB - BACKGROUND: Best practice recommendations are lacking. Thus far, literature has
described pharmacist-led DOAC monitoring. OBJECTIVE: The purpose of this study is
to describe a DOAC monitoring program involving pharmacy students. METHODS: This
was an observational analysis of a quality improvement initiative. A clinical
pharmacist preceptor identified clinic patients taking DOACs by running a report
using the electronic medical record. Pharmacy students conducted chart reviews,
called pharmacies for 6-month refill histories, and interviewed and educated
patients. Findings were communicated to the care team and interventions were
performed as applicable with the preceptor. RESULTS: Of 90 patients included, the
mean age was 63 years, 54% were female, and 65.6% were black or African American.
Rivaroxaban and apixaban were used most commonly. Sixty-two percent of DOACs were
prescribed for atrial fibrillation/flutter, while 32.2% for venous
thromboembolism. The mean MPR was 77.1%, with 27.7% of patients having an MPR
<=60%. Of the 136 student-led interventions, 25.2% involved medication access,
24.4% adherence education, 20.7% processing refills, 14.8% laboratory monitoring
recommendations, 8.9% switching or recommending switching to another
anticoagulant, and 4.4% stopping a nonsteroidal anti-inflammatory drug or
aspirin. CONCLUSION: Pharmacy students can help to ensure medication safety and
effective use of DOACs.
PMID- 29366380
TI - Oral solution of fructose promotes SREBP-1c high-expression in the hypothalamus
of Wistar rats.
AB - OBJECTIVE: We evaluate whether the consumption of fructose for 8 weeks affects
enzymes and transcription factors of the lipogenic and inflammatory pathways in
the hypothalamus of Wistar rats. METHODS: At 30 days, the animals were divided
into groups: Control (C) and Fructose (F) and maintained with free access to feed
and filtered water (C) or aqueous solution of purified fructose at 20% (F). RT
PCR and Western blotting were performed for the target genes and proteins.
RESULTS: In F group, results showed a lower feed intake, an increase in glycemia
(146.20 +/- 6.09 vs. 102.32 +/- 4.58; n: 9) and triacylglycerol (F: 191.65 +/-
13.51 vs. C: 131.69 +/- 6.49; n: 9) and there was no difference in water and
energy consumption. We identified a higher content of acetyl-CoA carboxylase
(ACC) (F: 133.93 +/- 5.58 vs. C: 100 +/- 0.0; n: 9-10) and NFkappaB (F: 125.5 +/-
8.85 vs. C: 100 +/- 0; n: 14) in group F, whereas fatty acid synthase (FAS) was
lower (F: 85.90 +/- 4.81 vs. C: 100 +/- 0.0; n: 4-6). SREBP-1c gene expression
was higher in F vs. C group (F: 4.08 +/- 0.44 vs. C: 1.13 +/- 0.15; n: 5-6),
although we did not found difference between groups in the gene expression for
ACC, SREBP-2, and NFkappaB. DISCUSSION: Dietary fructose can change important
lipogenic and inflammatory factors in the hypothalamus of rats and it leads to
regulation of transcription factors before changes in body mass are evident.
PMID- 29366381
TI - An Atypical Rett Syndrome Phenotype Due to a Novel Missense Mutation in CACNA1A.
AB - BACKGROUND: Some typical and atypical Rett syndrome patients lack known genetic
mutations. Mutations in the P/Q type calcium channel CACNA1A have been implicated
in epileptic encephalopathy, familial hemiplegic migraine, episodic ataxia 2, and
spinocerebellar ataxia 6, but not Rett syndrome. Patient Description: The authors
describe a female patient with developmental regression and a de novo, likely
pathogenic mutation in CACNA1A who meets 3 of 4 main criteria (stereotypic hand
movements, loss of purposeful hand movements, gait disturbance), and 6 of 11
supportive criteria (impaired sleep, abnormal tone, vasomotor disturbance,
scoliosis, growth retardation, and screaming spells) for atypical Rett syndrome.
Furthermore, she resembles the early seizure variant of Rett syndrome.
Previously, 3 children with similar CACNA1A mutations have been reported, but a
Rett syndrome phenotype has not been described. CONCLUSION: CACNA1A mutations
should be considered in children presenting with an atypical Rett syndrome
phenotype, specifically, the early seizure variant.
PMID- 29366383
TI - The MRCGP Clinical Skills Assessment: an integrative review of evidence.
AB - Background Successful completion of all three components of the Membership of the
Royal College of General Practitioners UK (MRCGP) is required for a doctor to
practise independently as a general practitioner (GP). One component, the
Clinical Skills Assessment (CSA), is a high fidelity, high stakes examination
designed to provide an objective external assessment of clinical skills. Aim The
aim of this integrative review was to identify, critically appraise and
synthesise published empirical evidence on the CSA to identify areas for further
inquiry or future collaboration. Design and setting An integrative review of
empirical literature focused on the MRCGP CSA. Method A search strategy was
developed to conduct an integrative review of empirical published literature. The
quality of studies was assessed using the Mixed Methods Appraisal Tool (MMAT).
Results 11 of the 31 records reviewed were included. Studies were heterogeneous
looking at the use of simulated patients, child actors and mock CSAs. Variables
contributing to performance on the CSA, with a particular emphasis on the
International Medical Graduate, were identified. Conclusion A small pool of
published evidence regarding the CSA exists, focused on factors influencing CSA
performance. Future research could explore the effectiveness of interventions to
improve performance on the CSA and use robust measures to consider candidates'
experience of the CSA.
PMID- 29366382
TI - The research contributions of predominantly North American Family Medicine
educators to medical learner feedback: a descriptive analysis following a scoping
review.
AB - BACKGROUND AND OBJECTIVES: In 2016, we performed a scoping review as a means of
mapping what is known in the literature about feedback to medical learners. In
this descriptive analysis, we explore a subset of the results to assess the
contributions of predominantly North American family medicine educators to the
feedback literature. METHODS: Nineteen articles extracted from our original
scoping review plus six articles identified from an additional search of the
journal Family Medicine are described in-depth. RESULTS: The proportion of
articles involving family medicine educators identified in our scoping review is
small (n=19/650, 3%) and the total remains low (25) after including additional
articles (n=6) from a Family Medicine search. They encompass a broad range of
feedback methods and content areas. They primarily originated in the United
States (n=19) and Canada (n=3) within Family Medicine Departments (n=20) and
encompass a variety of scientific and educational research methodologies.
CONCLUSIONS: The contributions of predominantly North American Family Medicine
educators to the literature on feedback to learners are sparse in number and
employ a variety of focus areas and methodological approaches. More studies are
needed to assess for areas of education research where family physicians could
make valuable contributions.
PMID- 29366385
TI - Exploring the process of change in emotion-focused therapy for social anxiety.
AB - OBJECTIVE: This study examined purported change mechanisms in emotion-focused
therapy for social anxiety disorder. METHODS: The sample included nine clients
who had participated in a multiple-baseline case study trial examining the
efficacy of emotion-focused therapy for social anxiety disorder (SAD). Multilevel
analyses were conducted to examine the trajectories of emotions over the course
of treatment, and whether primary adaptive emotions in a given session predicted
levels of SAD symptoms, self-criticism, and self-reassurance over the course of
the following week. RESULTS: Findings showed a significant decrease in shame, and
a marginally significant increase in assertive anger, over the course of
treatment. Adaptive sadness/grief in a given session predicted less fear of
negative evaluation over the course of the following week. Shame in a given
session predicted higher levels of inadequate-self over the course of the
following week. Finally, shame, and to a lesser degree assertive anger, in a
given session predicted reassurance of self over the course of the following
week. Neither assertive anger nor adaptive sadness/grief in a given session
predicted levels of self-criticism over the course of the following week.
CONCLUSIONS: These findings lend partial preliminary support for the therapeutic
role of evoking and processing adaptive sadness/grief and assertive anger in the
treatment of SAD.
PMID- 29366384
TI - Representation of Nursing Scientists from German-speaking countries in High
Impact Journals. A bibliometric publication analysis
AB - Background: The frequency of publications by nursing scientists from the German
speaking area in journals with a high impact factor is an indicator for
participation of the discipline in the international discourse. Previous
publication analyses focused on nursing science journals only and regularly found
an underrepresentation of experimental studies and clinical topics. Aim: To
identify and analyse the number of publications by nursing scientists from
Germany, Austria and German-speaking Switzerland in international high impact
journals. Method: The Journal Citation Reports were used to identify nursing
relevant categories of journals in which the highest 10 % of the years 2010 to
2014 were selected according to the 5-year Impact Factor. Inclusion of
publications and data extraction were carried out by two independent persons.
Results: 106939 publications from 126 journals were screened; 100 publications
were identified with 229 contributions by 114 nursing scientists. 42 % of studies
are observational and 11 % are experimental. The majority of studies are
clinically oriented (55 %). More than 50 % have been published in the past two
years. Conclusions: The number of publications by nursing scientists from the
German-speaking countries in High Impact Journals is low. There is an increase
throughout the observation period. In opposite to former analyses a higher
proportion of clinical research has been found.
PMID- 29366386
TI - The Use Of The Gore(r) Hybrid Vascular Graft For Treatment Of Complex Iliofemoral
Revascularization Procedures.
AB - PURPOSE: To describe the uses and advantages of hybrid vascular grafts (HVGs) for
complex iliofemoral revascularization procedures. CASE REPORT: We report 3 cases
of iliofemoral occlusions repaired with the Gore HVG, used as an alternative
technique to standardized common femoral artery (CFA) endarterectomy associated
with iliac stenting or open repair. The indication in each of the 3 cases was
different: In the first case, there was an absent CFA secondary to a mycotic
pseudoaneurysm, in the second case, an iatrogenic lesion of the posterior wall of
the CFA during the intervention was performed, and in the third case, the
indication was because of thrombosis of a previous iliofemoral revascularization
procedure. CONCLUSION: Although we only present 3 cases, the Gore HVG can be
useful for complex iliofemoral revascularizations, serving as an alternative to
standardized CFA endarterectomy plus iliac stenting in cases where there is a
damaged or absent CFA, especially in high-surgical risk patients.
PMID- 29366387
TI - Special Issue: Monitoring Health Determinants with an Equity Focus.
PMID- 29366389
TI - Editorial.
PMID- 29366390
TI - Postoperative daily living activities of geriatric patients administered general
or spinal anesthesia for hip fracture surgery: A retrospective cohort study.
AB - PURPOSE: Maintaining independence after hip fracture repair is important for
geriatric patients and general welfare. We investigated the effects of anesthetic
methods on postoperative activities of daily living (ADLs) following hip fracture
surgery in elderly patients. METHODS: The medical records of 12,342 patients aged
>=65 years who underwent typical surgeries for hip fracture using either general
anesthesia or spinal anesthesia were reviewed. To adjust for baseline differences
and minimize selection bias for the chosen method of anesthesia, patients were
matched by propensity scores. Factors affecting the deterioration in ADLs during
hospital stay were also investigated in all subjects using a multivariate
logistic regression analysis. Eating, grooming, toileting, bathing, and walking
were selected as the ADL parameters, as they are considered important for an
independent life. RESULTS: Of the 12,342 patients, 6918 (56.1%) received general
anesthesia and 5424 (43.9%) received spinal anesthesia. After the propensity
score matching, the anesthesia types were not associated with ADL scores except
toileting at discharge. Results from the multivariate logistic regression
analysis showed that the types of anesthesia were not associated with
deterioration in ADL scores. Advanced age, male sex, high Charlson Comorbidity
Index scores, psychiatric disease, no administration of nonsteroidal anti
inflammatory drugs, and short length of hospital stay were associated with
deterioration in ADL scores. CONCLUSION: The anesthesia types were not associated
with ADL dependency except toileting at discharge. Spinal anesthesia adversely
affected toilet use at hospital discharge. However, anesthesia types were not
factors that affected deterioration in ADL during hospital stay in elderly
patients who underwent hip fracture surgery.
PMID- 29366391
TI - Fracture lines and comminution zones in OTA/AO type 23C3 distal radius fractures:
The distal radius map.
AB - OBJECTIVE: This study was designed to define fracture lines and comminution zones
in OTA/AO 23C3 distal radius fractures from axial computed tomography (CT) images
that would influence surgical planning, development of new classifications, and
possible implant designs. METHODS: Thirty-four consecutive OTA/AO 23C3 fractures
treated by a single surgeon between January 2014 and December 2014 were analyzed.
For each fracture, maps of the fracture lines and zones of comminution were
drawn. Each map was digitized and graphically superimposed to create a
compilation of fracture lines and zones of comminution. Based on this
compilation, major and minor fracture lines were identified and fracture patterns
were defined. RESULTS: All major fracture lines were distributed in the central
region of the radius distal articular surface. There is a recurrent fracture
pattern with a comminution zone including the scaphoid and lunate fossa; Lister's
tubercle; and ulnar, volar, and radial zones. CONCLUSION: It is important for the
practicing surgeon to understand these four main fragments. Knowledge of this
constant pattern should influence the development of new classifications and
possible implant designs.
PMID- 29366388
TI - Burden of cardiovascular disease across 29 countries and GPs' decision to treat
hypertension in oldest-old.
AB - OBJECTIVES: We previously found large variations in general practitioner (GP)
hypertension treatment probability in oldest-old (>80 years) between countries.
We wanted to explore whether differences in country-specific cardiovascular
disease (CVD) burden and life expectancy could explain the differences. DESIGN:
This is a survey study using case-vignettes of oldest-old patients with different
comorbidities and blood pressure levels. An ecological multilevel model analysis
was performed. SETTING: GP respondents from European General Practice Research
Network (EGPRN) countries, Brazil and New Zeeland. SUBJECTS: This study included
2543 GPs from 29 countries. MAIN OUTCOME MEASURES: GP treatment probability to
start or not start antihypertensive treatment based on responses to case
vignettes; either low (<50% started treatment) or high (>=50% started treatment).
CVD burden is defined as ratio of disability-adjusted life years (DALYs) lost due
to ischemic heart disease and/or stroke and total DALYs lost per country; life
expectancy at age 60 and prevalence of oldest-old per country. RESULTS: Of 1947
GPs (76%) responding to all vignettes, 787 (40%) scored high treatment
probability and 1160 (60%) scored low. GPs in high CVD burden countries had
higher odds of treatment probability (OR 3.70; 95% confidence interval (CI) 3.00
4.57); in countries with low life expectancy at 60, CVD was associated with high
treatment probability (OR 2.18, 95% CI 1.12-4.25); but not in countries with high
life expectancy (OR 1.06, 95% CI 0.56-1.98). CONCLUSIONS: GPs' choice to
treat/not treat hypertension in oldest-old was explained by differences in
country-specific health characteristics. GPs in countries with high CVD burden
and low life expectancy at age 60 were most likely to treat hypertension in
oldest-old. Key Points * General practitioners (GPs) are in a clinical dilemma
when deciding whether (or not) to treat hypertension in the oldest-old (>80 years
of age). * In this study including 1947 GPs from 29 countries, we found that a
high country-specific cardiovascular disease (CVD) burden (i.e. myocardial
infarction and/or stroke) was associated with a higher GP treatment probability
in patients aged >80 years. * However, the association was modified by country
specific life expectancy at age 60. While there was a positive association for
GPs in countries with a low life expectancy at age 60, there was no association
in countries with a high life expectancy at age 60. * These findings help
explaining some of the large variation seen in the decision as to whether or not
to treat hypertension in the oldest-old.
PMID- 29366392
TI - Long-term clinical outcome of two-stage revision surgery for infected hip
arthroplasty using cement spacer: Culture negative versus culture positive.
AB - INTRODUCTION: Periprosthetic joint infection (PJI) is a terrible complication
after hip arthroplasty. Clinical feature of culture-negative PJI (CN-PJI) has not
been well studied till now. In our study, we retrospectively analyzed long-term
clinical results after two-stage revision arthroplasty using an antibiotic
impregnated cement spacer for CN-PJI. The purpose of this study is to investigate
the clinical features and prognosis of CN-PJI after hip arthroplasty and to
compare these with those of culture-positive PJI (CP-PJI). METHODS: We
retrospectively reviewed 15 CN-PJI cases and 70 CP-PJI cases following hip
arthroplasty. The average follow-up period was 7.4 years (5-11.7 years). The
demographics, laboratory findings, the time interval between antibiotic
impregnated cement spacer insertion and revision arthroplasty, and recurrence of
infection were analyzed. RESULTS: The CN-PJI group showed a significantly higher
incidence of prior antibiotic use ( p = 0.004) and lower serum C-reactive protein
(CRP) level ( p = 0.001) than the CP-PJI group. Normalized time interval of CRP
level in CN-PJI was shorter than that of CP-PJI group. The mean interval time for
two-stage exchange arthroplasty was also significantly lower ( p = 0.049) in the
CN-PJI group than the CP-PJI group. There was no case of treatment failure or
major complication in CN-PJI group. CONCLUSION: The CN-PJI group after total hip
arthroplasty could be treated successfully by two-stage exchange arthroplasty
without any complications. Clinical course and prognosis of CN-PJI group was also
better compared with that of CP-PJI group. Therefore, culture negativity of PJI
cannot be always a poor prognostic factor for the treatment.
PMID- 29366393
TI - Impact of changes in welfare legislation on the incidence of disability pension.
A cohort study of construction workers.
AB - AIMS: Study objectives were to investigate how changes in social insurance
legislation influenced the incidence of disability pension. METHODS: The study
included 295,636 male construction workers who attended health examinations
between 1971 and 1993, aged 20-60 years and without previous disability pension.
Via the Swedish National Insurance Agency national register we identified 66,046
subjects who were granted disability pension up until 2010. The incidence rates
were calculated and stratified according to age and diagnosis. RESULTS: The
incidence rate of disability pension was fairly stable until the 1990s when large
variations occurred, followed by a strong decreasing trend from the early 2000s
to 2010. Trends in incidence rates, stratified by age and diagnosis, showed a
consistent decrease in cardiovascular disease for all age groups. In subjects
aged 30-49 years there was a high peak around 2003 for musculoskeletal diseases
and psychiatric diseases. For the age group 50-59 years, musculoskeletal
diagnosis, the most common cause of disability pension, had a sharp peak around
1993 and then a decreasing trend. In the 60-64 age group, the incidence rate for
psychiatric diagnosis was stable, while incidence rates for musculoskeletal
diagnosis varied during the 1990s. CONCLUSIONS: There are considerable variations
in the incidence rate of disability pension over time, with different patterns
depending on age and diagnosis. Changes in social insurance legislation, as well
as in administration processes, seem to influence the variation.
PMID- 29366394
TI - Long-term sickness absence from work due to physical inactivity: A registry-based
study.
AB - AIMS: The aim of this study was to explore the relationship between leisure-time
physical inactivity and long-term sickness absence in a representative sample of
individuals aged 16-54 years, within the labour market and in good health. It was
hypothesised that physically inactive individuals have a higher risk of long-term
sickness absence and longer duration of sickness absence. METHODS: The study
population was identified from the National Health and Morbidity Survey, 2010.
Weekly data on long-term sickness absence were obtained from the National
Register on Social Transfer Payments (the DREAM registry). The association of
incidence and duration of long-term sickness absence with physical inactivity was
explored using logistic and Poisson regression. Data were fitted to models with
levels of physical activity, demographic, social and lifestyle characteristics as
independent variables. A combined hurdle model was used to estimate the
difference in mean number of absence weeks. RESULTS: Logistic regression showed
that physically inactive individuals had a 27% higher incidence of long-term
sickness absence compared with physically active individuals. The Poisson
regression showed that long-term sickness absence was only slightly shorter (1
week less) for moderately active individuals compared with inactive individuals.
The hurdle model estimated longer absence periods for inactive individuals
(additional 2.5 weeks) in comparison with moderately and highly active
individuals. CONCLUSIONS: The study showed that physically inactive individuals
have a higher incidence of long-term absence and that physically inactive
individuals have longer periods with sickness absence than moderately and highly
active individuals. When adjustments for social and health behaviour were
included, the estimated associations became statistically insignificant.
PMID- 29366395
TI - Validity and reliability of self-reported health indicators among women attending
organized mammographic screening.
AB - AIMS: Risk factors for breast cancer are often used for adjustment in
epidemiological studies, including in the evaluation of early performance
measures in mammographic screening. Information about risk factors among
participants in the Norwegian Breast Cancer Screening Program has been collected
since 2006. We aimed to examine the validity of self-reported history of breast
cancer and mammographic screening, as well as the reliability of weight and
height amongt women attending the program. METHODS: Information from a
questionnaire handed in by participants in the program, 2006-2015, was linked to
outcomes from the Cancer Registry of Norway. Sensitivity, specificity, and
positive predictive values (PPV) were calculated for self-reported histories of
breast cancer and screening. Results were stratified by five-year age groups and
evaluated using the chi2 statistic. The reliability of self-reported weight and
height were assessed using descriptive statistics, histograms, and mean
differences. RESULTS: A total of 538,907 of 611,711 (88%) women attending the
program during the study period returned at least one part of the questionnaire.
The overall sensitivity, specificity, and PPV for breast cancer and mammography
were 96.5%, 99.8%, and 81.3%; and 99.9%, 84.4%, and 97.6% respectively. The mean
difference in self-reported weight was 0.35 kg and for height was -0.14 cm, over
a period of up to 10 years. CONCLUSIONS: Norwegian women attending the screening
program are reasonably accurate in self-reporting their breast cancer and
mammography histories. On average, women consistently reported weight and height
within one kg/cm.
PMID- 29366396
TI - Assessment and Response to Intimate Partner Violence in Home Visitation: A
Qualitative Needs Assessment With Home Visitors in a Statewide Program.
AB - There is growing recognition that home visitation programs serving at-risk
families may be an appropriate mechanism for detecting and reducing intimate
partner violence (IPV). More research is needed about how home visitors assess
and respond to IPV, especially in rural and underserved areas with unique social
and geographic challenges. This study describes the qualitative, needs assessment
phase of a larger mixed-methods evaluation of IPV assessment, referral processes,
and safety planning with clients within a statewide home visitation program.
Three focus groups were conducted with home visitors ( n = 16) in West Virginia's
Home Visitation Program in May 2015. Home visitors represented four separate home
visitation models and provided services across 12 of West Virginia's 55 counties.
Guiding questions focused on home visitors' current protocol, experiences,
barriers, and facilitators to (a) screening and assessment for IPV, (b) making
referrals after disclosures of IPV, and (c) developing safety plans with IPV
exposed clients. Barriers identified by home visitors included the nature of
assessment tools, issues with service availability and access in rural areas, and
lack of education and training surrounding safety planning. Facilitators included
building relationships and trust with clients, providing anticipatory guidance
when making referrals, and tailoring safety plans to clients' unique situations.
Participants also expressed a critical need to develop procedures for assuring
home visitor safety when supporting IPV-exposed clients. These qualitative data
highlight issues surrounding the management of IPV in home visitation and have
the potential to inform future enhancements to programs that are specifically
tailored to the needs of rural, disadvantaged communities.
PMID- 29366397
TI - Psychopathy and Intimate Partner Violence.
AB - Several studies found that psychopathy is an important predictor of criminal
behavior in general and of intimate partner violence in particular. However,
these conclusions are often based on scales with less well-established validity,
and some inconsistent results have emerged with regard to the contribution of
specific psychopathic facets to intimate partner violence. In a sample of 152
batterers from Portugal aged between 22 and 70 years old, we examined whether
Psychopathy Checklist-Revised (PCL-R) total scores and the four facets (scored
based on a semistructured interview and on file information) predicted the
frequency of intimate partner violence. Two separate linear regression analyses
were conducted controlling for criminal variables. PCL-R total scores positively
predicted intimate partner violence frequency, above and beyond the criminal
variables. As for the four facets, only the PCL-R affective facet held a
significant effect in predicting intimate partner violence frequency after
controlling for criminal variables. These results support the inclusion of
psychopathy in risk assessments and treatment of perpetrators of intimate partner
violence, particularly with regard to the affective deficits of the construct.
PMID- 29366398
TI - Health and Health Needs of Children of Women Seeking Services for and Safety From
Intimate Partner Violence.
AB - Children exposed to intimate partner violence (IPV) are at increased risk for
health problems. The moment that a mother seeks services for or safety from IPV
may be a window of opportunity to offer needed health care for her children. The
objective of the study is to describe the perceptions of child health conditions
and needs among mothers seeking services for or safety from IPV, and to compare
the results in shelter- versus community-based samples. A cross-sectional survey
of women with at least one child of age 3 to 11 years seeking services at an
urban YWCA, which supports a residential IPV shelter and a community-based family
justice center, was done between fall 2013 and winter 2014. Child health
conditions were captured using the Children With Special Health Care Needs survey
and the Strengths and Difficulties Questionnaire. Prevalence of health conditions
among IPV-exposed children was compared with population norms. Perceived child
health and health needs in the residential versus community settings were
compared. Women ( n = 48) completed surveys related to 91 children. Special
health care needs (25%) and behavioral health (52%) problems were significantly
higher in our sample than in general populations. Almost one quarter (24%) of
children had a current need for general medical care and almost one half (44%)
had a current need for behavioral health care. No significant differences in
child health conditions or needs between residential and community settings were
observed. These findings extend prior research describing the health problems
faced by children exposed to IPV by describing maternal perceptions of child
health and need for health care in a critical moment of seeking help for IPV.
Community agencies may use this window of opportunity to support child health and
household safety.
PMID- 29366399
TI - Silent Victims in the Public Eye: Socially Vulnerable EU Citizens' Exposure to
Crime and Its Consequences.
AB - This study used thematic analysis to explore 28 socially vulnerable European
Union (EU) citizens who support themselves by begging in public spaces in Malmo,
Sweden, their exposure to crime, and the consequences of victimization. The
analysis revolved around the following themes: daily harassment in public spaces,
multiple motives for victimization, strategies to combat victimization, and
consequences of victimization. The participants described that they lived in a
constant state of stress, due to their marginalized life situation and a fear of
becoming victimized in public spaces while begging. Study participants claimed
that it was not their ethnicity but rather the activity of begging and their
overall vulnerable life situation together with a label as "non-Swedish" that
motivate offenders to commit attacks against them. The discussion concludes by
presenting a number of policy implications.
PMID- 29366400
TI - Use of computed tomography imaging during long-term follow-up of nine feline
tuberculosis cases.
AB - Case series summary Feline tuberculosis is an increasingly recognised potential
zoonosis of cats. Treatment is challenging and prognosis can vary greatly between
cases. Pulmonary infection requires extended courses of antibiotics, but
methodologies for sensitively monitoring response to treatment are currently
lacking. In this case series, we retrospectively examined the serial computed
tomography (CT) findings in nine cats that had been diagnosed with tuberculosis.
Changes in pathology (where applicable to tuberculosis) were correlated with the
clinical presentation of each of the cats, the treatment protocol, and previous
and contemporary diagnostic investigations. This study found that changes in CT
findings during the medium- to long-term management of feline tuberculosis were
highly variable between cats. The majority of cats had reduced pathology at re
examination during anti-tuberculous therapy, but pathology only resolved in a
minority of cases. In some cases recurrence of pathology detected by CT imaging
preceded clinical deterioration, allowing for rapid therapeutic intervention.
Relevance and novel information When considered in combination with clinical
findings, CT studies can aid in decision making regarding tapering of antibiotic
protocols, or reintroduction of therapy in cases of recurrence or reinfection.
This series also highlights that, in some cases, persistent abnormalities can be
detected by CT, so complete resolution of CT pathology should not always be a
goal in the management of feline tuberculosis.
PMID- 29366403
TI - Editorial.
PMID- 29366404
TI - Editorial: Recent Development of Drug Delivery Systems for Improving
Bioavailability and Pharmacokinetics.
PMID- 29366405
TI - Therapy-Related Changes in the Serum Proteome Patterns of Early Stage Breast
Cancer Patients with Different Outcomes.
AB - Adjuvant chemo- and/or radiotherapy is applied in a majority of patients treated
for early stage breast cancer, although only a small percentage of these
individuals are at high risk of metastasis or recurrence. Hence, knowledge of the
biomarkers associated with the risk of disease progression might facilitate the
planning of an optimal therapy and protect many patients from the toxicity of
unnecessary treatment. In this study, we characterized the serum proteome of
patients diagnosed with early-stage breast cancer, exhibiting either no evidence
of disease five years after the end of therapy or suffering from metastasis,
relapse or a second cancer during the corresponding follow-up. Samples collected
before treatment and one year after the end of therapy, when no clinical symptoms
of a treatment failure was evidenced, were analyzed using two classical
proteomics approaches: LC-MS/MS and 2D-PAGE. A total of 42 proteins with relative
quantities that were significantly different between pre- and post-treatment
samples were identified in either group of patients; however, the observed
changes were more frequent in the treatment-failure group. Among the
posttreatment samples, 30 proteins were upregulated, and 10 proteins were
downregulated, while 11 proteins were upregulated, and eight proteins were
downregulated in the control group. Moreover, several proteins exhibited
different patterns of changes in both groups of patients. For example,
haptoglobin expression increased in the treatment-failure group but decreased in
the control group (this pattern of changes was confirmed using an immunoassay).
Notably, proteins affected in posttreatment samples in either group of patients
could be associated with different molecular and cellular functions, including
angiogenesis, blood coagulation and wound healing in the treatment-failure group
and cell adhesion and cell death in the control group.
PMID- 29366406
TI - Preparation of Potent Leptin Receptor Antagonists and Their Therapeutic Use in
Mouse Models of Uremic Cachexia and Kidney Fibrosis.
AB - Leptin antagonists (L39A/D40A/F4lA mutants) of mouse, human, rat and ovine
leptins were developed in our laboratory by rational mutagenesis, expressed in
Escherichia coli, refolded and purified to homogeneity. Pegylation of these
antagonists resulted in long-acting reagents suitable for in-vivo studies.
Further selection of high-affinity leptin antagonists was achieved by random
mutagenesis of the whole open reading frame followed by yeast- surface display;
an additional mutation (D23L) increased their affinity toward leptin receptor 60
fold. This superactive pegylated mouse leptin antagonist (PLA) exhibited a strong
orexigenic effect, leading, in 10-14 days, to a 40% increase in body weight
resulting mainly from obesity; this was reversed once PLA treatment was ceased.
Cachexia is common in patients with Chronic Kidney Disease (CKD). Our studies
suggested that leptin mediates cachexia by decreasing food intake while
increasing energy consumption in CKD mice. We showed that PLA ameliorates CKD
associated cachexia in mice. Leptin may also contribute to the development of
muscle and renal fibrosis in CKD, serious complications associated with increased
morbidity and mortality. Transforming growth factor (TGF)-beta signaling may be
the most potent mediator of fibrogenesis in multiple organs, and leptin is a co
activator of TGF-beta. Muscle fibrosis was evident in our CKD mice and PLA
treatment significantly reduced the mRNA levels of TGF- beta1 and its downstream
targets in their muscle and renal tissues. PLA may offer a novel therapeutic
strategy for CKD-associated cachexia, muscle and renal fibrosis to improve CKD
patients' survival and quality of life.
PMID- 29366407
TI - The Emerging Therapeutic Landscape of Advanced Melanoma.
AB - Melanoma is the deadliest form of skin cancer being responsible for 80% of skin
cancer deaths. Furthermore, the incidence of metastatic melanoma has increased
over the past three decades with a mortality rate that continues to rise faster
than most of all other cancers. The last few years have witnessed an unparalleled
change in treatment options for patients with metastatic melanoma by the
development of new therapeutic strategies like targeted therapies and
immunotherapies that highly improved the patient's prognosis. Despite the
paradigm- shifting success of these novel treatments, their effectiveness is
still limited by intrinsic or acquired resistance. The objective of this review
is to provide an overview of the new available treatment modalities, criteria to
select patients who might benefit from a specific therapy, mechanisms of innate
and acquired resistance to these treatments and to discuss strategies to overcome
drug resistance.
PMID- 29366409
TI - A Phosphine-mediated Synthesis of 2,3,4,5-tetra-substituted N-hydroxypyrroles
from alpha-oximino Ketones and Dialkyl Acetylenedicarboxylates Under Ionic Liquid
Green-media.
AB - BACKGROUND: The development of multicomponent reactions (MCRs) in the presence of
task-specific ionic liquids (ILs), used not only as environmentally benign
reaction media, but also as catalysts, is a new approach that meet with the
requirements of sustainable chemistry. In recent years, the use of ionic liquids
as a green media for organic synthesis has become a chief study area. This is due
to their unique properties such as non-volatility, non-flammability, chemical and
thermal stability, immiscibility with both organic compounds and water and
recyclability. Ionic liquids are used as environmentally friendly solvents
instead of hazardous organic solvents. OBJECTIVE: We report the condensation
reaction between alpha-oximinoketone and dialkyl acetylene dicarboxylate in the
presence of triphenylphosphine to afford substituted pyrroles under ionic liquid
conditions in good yields. RESULT: Densely functionalized pyrroles was easily
prepared from reaction of alpha-oximinoketones, dialkyl acetylene dicarboxylate
in the presence of triphenylphosphine in a quantitative yield under ionic liquid
conditions at room temperature. CONCLUSION: In conclusion, ionic liquids are
indicated as a useful and novel reaction medium for the selective synthesis of
functionalized pyrroles. This reaction medium can replace the use of hazardous
organic solvents. Easy work-up, synthesis of polyfunctional compounds, decreased
reaction time, having easily available-recyclable ionic liquids, and good to high
yields are advantages of present method.
PMID- 29366408
TI - A High-throughput Screening of a Chemical Compound Library in Ovarian Cancer Stem
Cells.
AB - BACKGROUND: Epithelial ovarian cancer has a poor prognosis, mostly due to its
late diagnosis and the development of drug resistance after a first platinum
based regimen. The presence of a specific population of "cancer stem cells" could
be responsible of the relapse of the tumor and the development of resistance to
therapy. For this reason, it would be important to specifically target this
subpopulation of tumor cells in order to increase the response to therapy.
METHOD: We screened a chemical compound library assembled during the COST CM1106
action to search for compound classes active in targeting ovarian stem cells. We
here report the results of the high-throughput screening assay in two ovarian
cancer stem cells and the differentiated cells derived from them. RESULTS AND
CONCLUSION: Interestingly, there were compounds active only on stem cells, only
on differentiated cells, and compounds active on both cell populations. Even if
these data need to be validated in ad hoc dose response cytotoxic experiments,
the ongoing analysis of the compound structures will open up to mechanistic drug
studies to select compounds able to improve the prognosis of ovarian cancer
patients.
PMID- 29366410
TI - One-pot Synthesis of Novel 2-pyrazolo-3-phenyl-1,3-thiazolidine-4-ones Using
DSDABCOC as an Effective Media.
AB - BACKGROUND: Thiazolidine compounds are known to show interesting pharmacological
activity. In particular, they are used as antiseizure, fungicidal, anti
bacterial, antitubercular, antiinflammatory, antiamoebic, anti-diabetic and local
anesthetic agents. Some of these compounds have also shown antiparkinsonism,
antioxidant, anticonvulsant, hypoglycemic and non-narcotic analgesic activities.
On the other hand, pyrazoles have shown antibacterial, antitumor, antiviral,
antifungal, anti-tubercular, antiparasitic, anesthetic, anti-diabetic, anti
inflammatory, analgesic and insecticidal activities. In order to improve the
pharmacological effects of thiazolidine-4-ones, new pyrazole derivatives have
been synthesized. MATERIAL AND METHODS: A mixture of pyrazolcarbaldehydes,
anilines, thioglycolic acid and DSDABCOC was stirred at room temperature for the
required reaction times. The progress of the reaction was monitored by TLC
(EtOAc: petroleum ether 1:3). After completion of the reaction as indicated by
TLC, the ionic liquid was separated by extraction with 2*15 mL of water. The
solid residue was separated by column chromatography. The product was
recrystallized from EtOH. The pure products were collected in 82-92% yields.
RESULTS: In continuation of our ongoing studies to synthesize heterocyclic and
pharmaceutical compounds under mild and practical protocols, we wish to report
herein our experimental results on the ultrasound promoted synthesis of 2
pyrazolo-3-phenyl-1,3-thiazolidine-4-ones using various synthesized
pyrazolecarbaldehydes, anilines and thioglycolic acid at room temperature. The
results illustrated that ionic liquid DSDABCOC certainly improved the yield of
the products and reduced the reaction time. CONCLUSION: In conclusion, we have
developed an efficient and simple protocol for the synthesis of novel pyrazolo
1,3-thiazolidine-4-ones using DSDABCOC as an ionic liquid. The easy workup
together with the use of inexpensive, reusable and eco-friendly ionic liquid is
the notable feature of this novel procedure. To the best of our knowledge, this
is the first report of the synthesis of a new library of 1,3-thiazolidine-4-ones
bearing a pyrazolyl moiety that enhances the biological activity under solvent
free conditions.
PMID- 29366411
TI - Effects of GHB On Psychomotor and Driving Performance.
AB - BACKGROUND: Gamma-hydroxybutyrate (gamma-hydroxybutyrate or GHB) is a
physiological compound of mammalians with specific receptors in central nervous
system (CNS). Apart from an endogenous production, GHB is also an exogenous
molecule found in pharmaceutical as well as in illicit formulations. Products
manufactured and spread in these two fields, whose borders are all but strictly
defined and traced, differ for the in vivo effects and for the potential of
abuse. Illicit GHB gained public attention due to its use as a sexual assault
facilitator. Notwithstanding its popularity, the effects on human performances,
are still not completely understood and could be more complex than expected.
Therefore, there is a real public safety concern regarding psychomotor functions
and driving abilities due to GHB ingestion. OBJECTIVE: To provide an updated and
complete review on the effects of GHB on psychomotor, cognitive and driving
performance that may be useful for judiciary expert forensic evaluation of
driving under the influence of GHB (GHB-DUI). METHOD: Experimental animal-based
and human-based studies and case series or epidemiological studies regarding
driving under influence of GHB (and its precursors) were reviewed using main
scientific databases. RESULTS: The effects of GHB on cognitive, psychomotor and
driving performance are dose-related in experimental studies. In real cases of
driving under the influence of GHB, severe impairment is observed. In these
cases, a wide range of blood GHB levels are found. Possible long-term effects are
also reported. CONCLUSION: GHB causes cognitive and psychomotor impairment and
risky driving behavior. Multiple aspects and variables are still waiting
clarification, such as the harmful potential of illicit preparations, the effect
of precursors and impairing dosages. GHB (and its precursors) must be considered
a substantial personal and public risk even in the absence of a clear dose
effects correlation.
PMID- 29366412
TI - Adaptive Genetic Differentiation and Solar Radiation in Wild Emmer Wheat,
Triticum dicoccoides.
AB - BACKGROUND: Microgeographic studies of molecular markers could reveal the nature
and dynamics of genetic diversity and the evolutionary driving forces shaping
evolution. METHODS: The microclimatic genetic divergence of wild emmer wheat
associated with solar radiation was investigated, in the present study, using
multiple types of molecular markers including allozyme, amplified polymorphic DNA
(RAPD), simple sequence repeat (SSR), and single nucleotide polymorphisms (SNP).
The studies included two climatic microniches: (1) sunny between oak trees; and
(2) shady under the canopies of oak trees. RESULTS: All four types of markers
showed a similar microniche tendency of genetic variance, i.e., lower in the
shady than in the sunny niche. Significant genetic divergence at some loci
including allozyme, RAPD, SSR, and SNP was detected between the two climatic
microniches, and also, the observed genetic differentiation is mainly due to
natural selection. Based on different FST outlier detection algorithms, there
were 21 candidate loci subjected to positive selection. Importantly, most of the
identified candidate loci were mapped in the selection "hot spots" of wheat
genome. CONCLUSION: The present work implies that microclimatic selection appears
to play an important role either in the protein-coding region or in the non
coding region of wheat genomes, and hence, highlights the evolutionary theory of
natural selection.
PMID- 29366413
TI - Myotropic Effects of Cholinergic Muscarinic Agonists and Antagonists in the
Beetle Tenebrio molitor L.
AB - BACKGROUND: In mammals, the cholinergic nervous system plays a crucial role in
neuronal regulation of physiological processes. It acts on cells by two types of
receptors - nicotinic and muscarinic receptors. Both signal transmission pathways
also operate in the central and peripheral cholinergic nervous system of insects.
METHOD: In our pharmacological experiments, we studied the effects of two
muscarinic agonists (carbachol, pilocarpine) and two muscarinic antagonists
(atropine, scopolamine) on the muscle contractile activity of visceral organs in
the beetle, Tenebrio molitor. RESULTS: Both antagonists, when injected to
haemolymph at concentration 10-5 M, caused delayed and prolonged cardioinhibitory
effects on heart contractility in ortho- and antidromic phases of heart activity
in T. molitor pupa what was observed as negative chrono- and inotropic effects.
Agonist of muscarinic receptors - carbachol evoked opposite effect and increased
contraction rate but only in antidromic phase. Pilocarpine, the second agonist
induced weak negative chronotropic effects in the antiand orthodromic phases of
heart activity. However, neither agonists had an effect on semi-isolated beetle
heart in vitro. Only atropine at the highest tested concentrations slightly
decreased the frequency of myocardial contractions. These suggest the regulation
of heart activity by muscarinic system indirectly. The tested compounds also
affected the contractility of the oviduct and hindgut, but the responses of these
organs were varied and depended on the concentration of the applied compounds.
CONCLUSION: These pharmacological experiments suggest the possible modulation of
insect visceral muscle contractility by the cholinergic nervous system and
indirectly indicate the presence of muscarinic receptor(s) in the visceral organs
of the beetle T. molitor.
PMID- 29366414
TI - The Acidophilic Kinases PLK2 and PLK3: Structure, Substrate Targeting and
Inhibition.
AB - PLK2 and PLK3 are two closely related acidophilic kinases belonging to the Polo
like kinases (PLKs), a family of five members in mammals with a central role in
cell cycle and related events. PLK1 is the most investigated enzyme from both
physiological and pharmaceutical points of view, however, several specialized
cellular functions of PLK2 and PLK3 have been recently discovered paving the way
to deepened studies on their biological roles and their feasible selection as
future therapeutic targets. Our review aims to provide a summarized view of the
current knowledge regarding PLK2 and PLK3 kinases, including substrate
specificity and signaling pathways directly affected by these kinases. Finally,
an overview of PLK2 and PLK3 pharmacological regulation and perspectives in
future achievements are proposed.
PMID- 29366416
TI - Finasteride Topical Delivery Systems for Androgenetic Alopecia.
AB - Androgenetic alopecia, generally recognized as male pattern baldness, is a
gradually developing medical and physiological change, which is manifested by
continuous hair-loss from scalp. Finasteride (4-aza-3-oxosteroid) is a potent
anti-baldness compound that selectively and competitively inhibits the 5alpha
reductase isoenzymes. Prolonged oral use of finasteride leads to the emergence of
sexual disorders including decrease in libido, gynecomastia, erectile
dysfunction, ejaculation disorder, orgasm disorders and mood disturbances. Since,
hair follicles widely home in 5alpha-reductase, topical formulations of
finasteride in comparison to its oral formulations are expected to potentially
reduce its systemic adverse effects. The analysis of literature has revealed some
delivery systems developed for the enhanced and localized penetration of
finasteride into the skin. These finasteride delivery systems include
polymersomes, vesicular nanocarriers, vesicular ethosomal carriers, liposomes and
niosomes, liquid crystalline nanoparticles, topical solutions and gels. The aim
of this review article is to briefly amass all literature on topical delivery of
finasteride to elaborate best dosage form, i.e. formulation having maximum
permeation rate. This study will serve as a future perspective regarding topical
delivery of finasteride. The literature analysis has exhibited that most of the
previous investigators have used propylene glycol in their finasteride-loaded
topical formulations, while poloxamer P407, monoolein, transcutol P and choline
was used in few formulations. Moreover, among all drug delivery systems,
finasteride liposomal gel system consisting of 2% methyl cellulose and gel system
containing poloxamer P407 exhibited the highest flux with a value of 28.4 +/- 1.3
ug/cm2h and 23.1 +/- 1.4 ug/cm2h, respectively. Several topical drug delivery
techniques such as topical microneedles, aerosol foams, nanoemulsions,
microsponges, and emulsifier free formulations, fullerenes, ointments, pastes,
creams, gel and lotions are still to be worthy regarding finasteride topical
delivery in future.
PMID- 29366415
TI - Dosage and Passage Dependent Neuroprotective Effects of Exosomes Derived from Rat
Bone Marrow Mesenchymal Stem Cells: An In Vitro Analysis.
AB - BACKGROUND: Neurodegenerative diseases comprise a group of disorders for which no
treatment is available till date. Stem cell based therapy offers great hope and
promise. However, stem cell transplantation is associated with certain
disadvantages like poor targeted migration, engraftment and survival of the
transplanted cells. MATERIAL & METHOD: Exosomes, a type of extracellular membrane
vesicle released by all cell types including stem cells, offer an alternative to
stem cell transplantation. Exosome carry a wide array of biomolecules and are
implicated in exhibiting substantial benefits in the repair/regeneration of the
injured tissue. Thus, exosomes offer an alternative therapeutic approach as a
substitute of cell transplantation. In order to utilize exosomes for therapeutic
purpose, it is essential to evaluate the appropriate passage number and the
dosage to avoid possible cytotoxic effects. Here, we isolated exosomes from
different passages of rat bone marrow mesenchymal stem cells (BM-MSC) and
analysed the neuroprotective potential of BM-MSC exosomes in an in vitro model of
excitotoxicity. RESULT: Our results demonstrated that the exosomes isolated from
early passage of rat BM-MSC exhibited more efficient neuroprotective potential as
opposed to later passages derived exosomes. Furthermore, the neuroprotective
efficacy of exosome is dosage dependent. i.e. the lower dosage of exosomes was
found to be neuroprotective, whereas higher dosage of exosomes (from later
passages) was found to be detrimental to neurons. The early passage derived
exosomes protected neurons through anti-apoptotic, anti-necrotic and anti-oxidant
mechanisms. CONCLUSION: Our study suggests that adult stem cells derived exosomes
could be a potential therapeutic agent to confer neuroprotection in
neurodegenerative diseases like Alzheimer's disease.
PMID- 29366417
TI - Protective Effects of Magnesium Sulfate on Radiation Induced Brain Injury in
Rats.
AB - OBJECTIVES: The effect of magnesium sulfate on brain tissue of SD rats irradiated
by 6MeV electron was investigated. METHODS: SD rats were divided into three
groups: control group, irradiation (IR group) and irradiation treated with
magnesium sulfate (IR+M group). After being anesthetized, the whole brains of IR
group and IR+M group were exposed to 6 MeV electron radiation. IR+M group was
i.p. injected with 10% magnesium sulfate (400 mg/kg) one day before radiation and
three days and five days after radiation. And on the 1st, 3rd, 7th and 14th day
after radiation, SD rats were euthanatized to take brain tissue for the detection
of calcium, redox status and cell apoptosis, as well as the expression of NF
kappaB and ICAM-1. RESULTS: The results indicated that magnesium treatment may
alleviate the elevation of calcium and enhance redox status through increasing
the activities of superoxide dimutase (SOD) and myeloperodase (MPO), and
decreasing the concentration of malondialdehyde (MDA). Tunnel and
immunohistochemistry assay suggested that treatment with magnesium decreased the
apoptosis rate of brain cells and the expressions of caspase-3, respectively.
Decline of the expression of NF-kappaB and ICAM-1 protein was observed after the
treatment of magnesium. CONCLUSION: All the results demonstrated that magnesium
may elicit protective effect against radiationinduced brain injury by reducing
calcium overload, improving redox and inhibiting cell apoptosis. Moreover,
magnesium significantly down-regulated the protein or mRNA levels of NF-kappaB
and ICAM- 1. The findings may provide references for the application of magnesium
in clinic for brain injury induced by radiation.
PMID- 29366418
TI - Ayahuasca: Psychological And Physiologic Effects, Pharmacology And Potential Uses
In Addiction And Mental Illness.
AB - : Ayahuasca, a traditional Amazonian decoction with psychoactive properties, is
made from bark of the Banisteriopsis caapi vine (contains beta-carboline
alkaloids) and leaves of the Psychotria viridis bush (supply the hallucinogen N,N
dimethyltryptamine (DMT)). Originally used by indigenous shamans for the purposes
of spirit communication, magical experiences, healing, and religious rituals,
across several South American countries ayahuasca has been incorporated into folk
medicine and spiritual healing, and several Brazilian churches use it routinely
to foster spiritual experience. More recently it is being used in Europe and
North America, not only for religious or healing reasons, but also for
recreation. OBJECTIVE: To review ayahuasca's behavioral effects, possible adverse
effects, proposed mechanisms of action and potential clinical uses in mental
illness. METHOD: We searched Medline, in English, using the terms ayahuasca,
dimethytryptamine, Banisteriopsis caapi, and Psychotria viridis and reviewed the
relevant publications. RESULTS: The following aspects of ayahuasca are
summarized: Political and legal factors; acute and chronic psychological effects;
electrophysiological studies and imaging; physiological effects, safety and
adverse effects; pharmacology; potential psychiatric uses. CONCLUSION: Many years
of shamanic wisdom have indicated potential therapeutic uses for ayahuasca, and
many present day studies suggest that it may be useful for treating various
psychiatric disorders and addictions. The side effect profile appears to be
relatively mild, but more detailed studies need to be done. Several prominent
researchers feel that government regulations with regard to ayahuasca should be
relaxed so that it could be provided more readily to recognized credible
researchers to conduct comprehensive clinical trials.
PMID- 29366419
TI - Efficacy of Supplementation with Iron Sulfate Compared to Iron Bisglycinate
Chelate in Preterm Infants.
AB - BACKGROUND: Strategies to prevent anaemia in preterm infants include drawing
fewer blood samples, the use of recombinant human erythropoietin and iron
supplementation. Although iron sulfate is the most commonly used pharmaceutical
formulation for iron supplementation, there are few studies comparing different
iron salts in infants. OBJECTIVE: This is a study of retrospective data
comparison of two groups of preterm infants receiving erythropoietin to evaluate
the efficacy of iron bisglycinate chelate to iron sulfate. SUBJECTS AND METHODS:
Three-hundred infants of gestational age <=32 weeks were enrolled: 225 were
supplemented with iron sulfate (3 mg/kg/day) and 75 were supplemented with iron
bisglycinate chelate (0.75 mg/kg/day). The effect on erythropoiesis was assessed
with a general linear model that estimates the response variables (values for
Haemoglobin, Haematocrit, absolute values and percentage Reticulocytes,
Reticulocyte Haemoglobin content) based on treatment, time, birth weight, and
gestational age. RESULTS: Supplementation with iron bisglycinate chelate at a
dose of 0.75 mg/kg/day demonstrated an efficacy comparable to iron sulfate at a
dose of 3 mg/kg/day in both populations of preterm infants. The two cohorts had
similar erythropoietic response, without significant differences. CONCLUSIONS:
The higher bioavailability of iron bisglycinate chelate resulted in a lower load
of elemental iron, a quarter of the dose, and achieved equivalent efficacy
compared to iron sulfate. Iron bisglycinate chelate may appear to be an
alternative to iron sulfate in the prevention and treatment of preterm newborn
anaemia.
PMID- 29366420
TI - Predictors of Non-Alcoholic Liver Disease in Ethnically Diverse Overweight
Children and Adolescents.
AB - OBJECTIVES: To study the relationship between Non-Alcoholic Fatty Liver Disease
(NAFLD) and sleep, Physical Activity (PA), and screen-time habits/behaviors among
a multiethnic sample of overweight children/adolescents. METHODS: A retrospective
medical chart review (6/2013-7/2014) identified 209 patients (7-21 years old) who
were overweight/obese (BMI > 85th% for age/sex). A follow-up telephone survey was
completed among 130 of these patients' parents to obtain information on sleep,
screen-time, PA habits, and socio-demographics. NAFLD was defined as ALT and/or
AST levels above the age/sexadjusted cutoff value in the absence of medications
or associated medical conditions. Logistic regression models were fitted to
identify predictors of NAFLD by adjusting age, sex, heritage, and income.
RESULTS: Over a third (34%) of the sample (N=130, 55% males, 74% Hispanic) had
NAFLD. Compared to non-Hispanics, Central American heritage subjects were over
three times as likely to have NAFLD compared to non-Hispanics (OR=3.90, 95% CI,
1.23-12.37) after adjusting for socioeconomics and lifestyle habits. Subjects
with low PA levels were at increased risk for NAFLD (aOR=4.52, 95% CI, 1.21
16.82) compared to their more active counterparts. Lower income families were
over twice as likely to have NAFLD as higher income families (OR = 2.57, 0.95
6.96). CONCLUSIONS: Families residing from a Central American heritage are at
significant risk for NAFLD versus their ethnic group counterparts. Identifying
specific groups and risks for pediatric-onset NAFLD can inform and improve
clinical practice and public health initiatives, especially as patient
populations become more ethnically diverse across the nation.
PMID- 29366421
TI - Radial Polydactyly. What's New?
AB - BACKGROUND: Thumb duplication, also called radial or preaxial polydactyly, is
classified as an alteration of the radio-ulnar axis in the formation and
differentiation of the hand plate, according to the Oberg, Manske and Tonkin
classification. OBJECTIVE: Radial polydactyly is a common upper limb
malformation. This paper is a comprehensive analysis of radial polydactyly, its
genetic explanation, classification, surgical techniques, functional results, as
well as present and future scientific evidence on this congenital pathology.
CONCLUSIONS: Radial polydactyly occurs most frequently as an isolated defect, but
it may also occur in association with other abnormalities, or as part of a
syndrome. The Wassel classification is the universal classification for thumb
duplication. One of the limitations of it is the misclassification of the
immature epiphysis. The Wassel classification not only refers to the pathoanatomy
of the polydactyly but also guides the selection of various surgical techniques.
Three well-defined surgical objectives should be ensured: thumb alignment,
stability, and an acceptable final esthetic appearance. Tada score is a validated
functional scale that takes into account the most common and limiting
complications, namely clinodactyly and instability. Based on some recent strong
research evidence, the JSSH assessment system provides the most reliable outcome
of scores. More studies are required to provide evidence-based conclusions
regarding the treatment of radial polydactyly.
PMID- 29366422
TI - Prevalence of Chronic Diabetic Complications in Newly Diagnosed versus Known Type
2 Diabetic Subjects in a Sample of Alexandria Population, Egypt.
AB - BACKGROUND: In Egypt, data on the prevalence of chronic diabetic complications,
which are essential for the adjustment of policies and practices related to
diabetes care, are scarce. Therefore, the aim of this study was to determine the
frequency of chronic complications of diabetes; namely neuropathy, diabetic
kidney disease (DKD), retinopathy and peripheral arterial disease (PAD) in newly
diagnosed versus known type 2 diabetic patients. SUBJECTS & METHODS: This is a
cross-sectional study that is based on a previous household survey conducted on a
representative sample of the population of Alexandria, Egypt. This study included
506 consecutive subjects with type 2 diabetes; 323 patients with previously known
T2DM and 183 patients with newly diagnosed T2DM (discovered during the survey).
For each participant, a focused history was taken. Comprehensive clinical
examination was done including fundus examination, foot examination and
assessment of ankle brachial index. Laboratory tests included HbAlc, lipids
profile, serum creatinine and urinary albumin creatinine ratio (UACR). RESULTS:
Peripheral neuropathy was detected in 20% of the studied patients; 29.4% of known
patients and 3.3% of newly diagnosed patients (p<0.001). Diabetic kidney disease
was detected in 33.2% of the studied patients; 46.1% of known patients and 10.4%
of newly diagnosed patients (p<0.001). Diabetic retinopathy was detected in 34.6%
of the studied patients; 48.3% of known patients and 10.4% of newly diagnosed
patients (p<0.001). Peripheral arterial disease was detected in 32.6% of the
studied patients; 45.5% of known patients and 9.8% of newly diagnosed patients
(p<0.001). In patients with known diabetes, the presence of any of the studied
complications (neuropathy, diabetic kidney disease, retinopathy or PAD) was
significantly associated with the presence of all other complications (p< 0.001).
In patients with newly-diagnosed diabetes, the presence of diabetic kidney
disease was significantly associated with the presence of retinopathy (p<0.001),
with no significant association with PAD (p=0.357). CONCLUSION: The present study
confirms that a considerable proportion of people with T2DM have microvascular
complications and/or PAD at the time of, and possibly years before diagnosis.
Having shown that, it is strongly recommended to apply appropriate screening
strategies for subjects with diabetes at the time of diagnosis. Finally, these
results should be considered as a call for action for the health care planners
and providers in our region to plan for early screening for diabetes and its
complications to reduce the disease burden in our community.
PMID- 29366423
TI - Therapeutic Approach to Patients with Heart Failure with Reduced Ejection
Fraction and End-stage Renal Disease.
AB - BACKGROUND: Several risk factors including Ischemic heart disease, uncontrolled
hypertension, high output Heart Failure (HF) from shunting through vascular
hemodialysis access, and anemia, contribute to development of HF in patients with
End-Stage Renal Disease (ESRD). Guidelinedirected medical and device therapy for
Heart Failure with Reduced Ejection Fraction (HFrEF) has not been extensively
studied and may have limited safety and efficacy in patients with ESRD. RESULTS:
Maintenance of interdialytic and intradialytic euvolemia is a key component of HF
management in these patients but often difficult to achieve. Beta-blockers,
especially carvedilol which is poorly dialyzed is associated with cardiovascular
benefit in this population. Despite paucity of data, Angiotensin-converting
Enzyme Inhibitors (ACEI) or Angiotensin II Receptor Blockers (ARBs) when
appropriately adjusted by dose and with close monitoring of serum potassium can
also be administered to these patients who tolerate beta-blockers.
Mineralocorticoid receptors in patients with HFrEF and ESRD have been shown to
reduce mortality in a large randomized controlled trial without any significantly
increased risk of hyperkalemia. Implantable Cardiac-defibrillators (ICDs) should
be considered for primary prevention of sudden cardiac death in patients with
HFrEF and ESRD who meet the implant indications. Furthermore in anemic iron
deficient patients, intravenous iron infusion may improve functional status.
Finally, mechanical circulatory support with leftventricular assist devices may
be related to increased mortality risk and the presence of ESRD poses a relative
contraindication to further evaluation of these devices.
PMID- 29366424
TI - It's Time to Talk: Challenges in Providing Integrated Palliative Care in Advanced
Congestive Heart Failure. A Narrative Review.
AB - BACKGROUND: Congestive heart failure is an increasingly prevalent terminal
illness in a globally aging population. Prognosis for this disease remains poor
despite optimal therapy. Evidence suggests that a palliative care approach may be
beneficial - and is currently recommended - in advanced congestive heart failure
but these services remain underutilized. OBJECTIVES: To identify the main
challenges to the access and delivery of palliative care in patients with
advanced congestive heart failure, and to summarize recommendations for clinical
practice based on the available literature. METHODS: MEDLINE and EMBASE were
searched for articles published from 1995-2017 pertaining to end of life care in
individuals suffering from CHF. Only four randomized controlled trials were
found. RESULTS: We identified ten key challenges to access and delivery of
palliative care services in this patient population: (1) Prognostic uncertainty,
(2) Provider education/training, (3) Ambiguity surrounding coordination of care,
(4) Timing of palliative care referral, (5) Inadequate community supports, (6)
Difficulty communicating uncertainty, (7) Fear of taking away hope, (8)
Insufficient advance care planning, (9) Inadequate understanding of illness, and
(10) Discrepant patient/family care goals. Provider and patient education, early
discussion about prognosis, and a multidisciplinary team-based approach are
recommended as we move towards a model where symptom palliation exists
concurrently with active disease-modifying therapies. CONCLUSION: Despite
evidence that palliative care may improve symptom control and quality of life in
patients with advanced congestive heart failure, a multitude of current
challenges hinder access to these services. Education, early discussion of
prognosis and advance care planning, and multidisciplinary team-based care may be
a helpful initial approach as further targeted work addresses these challenges.
PMID- 29366425
TI - Hypertriglyceridemia - Common Causes, Prevention and Treatment Strategies.
AB - BACKGROUND: Prevention and treatment of dyslipidemias represent the key issues of
Cardiovascular Disease (CVD) prophylaxis. Consequently, the effective management
of different types of lipid disorders, including hypertriglyceridemia, should be
a priority for the healthcare practitioners (e.g.: cardiology and endocrinology
specialists, primary care physicians, dietitians, and pharmacists), who provide
medical care, as well as for the patients, who receive this care, and need to be
directly engaged in it, in order to improve their outcomes. The aim of this
review is to facilitate the translation of current trends in hypertriglyceridemia
management into a daily practice. The article focuses on the common causes and
consequences of hypertriglyceridemia, and discusses diagnostic evaluation and
therapeutic options for patients with high Triglyceride (TG) levels and CVD risk.
CONCLUSION: This review presents the main practice-related strategies, based on
the current guidelines for the management of dyslipidemias and CVD risk,
according to the European Society of Cardiology (ESC), the European
Atherosclerosis Society (EAS), and the American College of Cardiology
(ACC)/American Heart Association (AHA), including both non-pharmacological, and
pharmacological approaches. It also addresses the beneficial impact of
pharmaceutical Care (PC) interventions on clinical outcomes of patients with
lipid disorders and CVD risk (in light of Randomized Controlled Trials (RCT)
data), and underlines the importance of close cooperation between physicians and
pharmacists, who manage such patients.
PMID- 29366426
TI - ICE Regimen for Relapsed/Refractory Bone and Soft Tissue Sarcomas in Children.
AB - BACKGROUND: The outcome of bone and soft tissue sarcomas (BST) after relapse has
very poor prognosis with survival rates less than 39%. Unfortunately there are
not many treatment options, but promising responses have been reported with
ifosfamide, etoposide and carboplatin (ICE). OBJECTIVE: Therefore, we planned a
study for children with recurrent/refractory BST treated with ICE regimen to
evaluate their demographic features, responses to treatment and outcome. METHOD:
Patients with primary diagnosis of BST and treated with ICE regimen at the time
of first or subsequent relapse, progression or unresponsive disease were selected
for study. The files were retrospectively evaluated. RESULTS: Thirty of sixty-six
patients had metastatic disease at relapse. Patients received median 5 cycles of
ICE and were followed-up median 16.3 months. Overall survival rates were 83% and
62% at 1st and 2nd year. The ORR to the regimen was 43%. Survival rates were
significantly higher in good responders (3-year EFS and OS rates: 50% vs. 8% and
78% vs. 14%; p<0.0001 and p<0.0001). Survival rates for non-metastatic disease
were 42% and 75% for EFS and OS and higher than patients with metastases at
relapse (p<0.001 and p<0.0001). EFS and OS rates of patients with
rhabdomyosarcoma were 42% and 69%. No grade 3 or 4 renal toxicity was documented.
CONCLUSION: ICE combination improves the outcome of relapsed or refractory
sarcomas and it might serve as second line treatment. Patients with no metastases
at relapse would benefit more than others with metastatic disease.
PMID- 29366427
TI - Synthesis of Novel Thieno[2,3-d]pyrimidine Derivatives and Evaluation of Their
Cytotoxicity and EGFR Inhibitory Activity.
AB - BACKGROUND: 4-Substitutedaminoquinazoline scaffolds were reported to possess
potent cytotoxic and EGFR inhibitory activity such as gefitinib (Iressa),
erlotinib (Tarceva) and tandutinib. OBJECTIVE: Synthesis of novel 4
substitutedaminothieno[2,3-d]pyrimidine derivatives as bioisosters of 4
substitutedaminoquinazoline derivatives with potential cytotoxic and EGFR
inhibitory activity. METHODS: Novel 4-substitutedaminothieno[2,3-d]pyrimidine
derivatives 4a-i and 5a-c were synthesized via reacting corresponding 4
chlorothieno[2,3-d]pyrimidine derivatives 3a-c with N-methylpiperazine,
morpholine, N-phenylpiperazine or 1,3-propanediamine. Six compounds (2a, 4d, 4e,
5a-c) were selected by the National Cancer Institute (USA) for evaluating their
cytotoxic activity using 60 different human tumor cell lines using a single dose
(10-5 Molar). The rest of the synthesized compounds (2b, 2c, 3a-c, 4a-c and 4f-i)
were subjected to screening against T47D breast cancer cell line using a single
dose (10-5 Molar) at Pharmacology lab., Cancer biology lab., Egyptian National
Institute. Moreover, compounds 2a and 4b-e were subjected to further evaluation
by IC50 determination. Finally, the inhibition of epidermal growth factor
receptor (EGFR) was then investigated for the most active compounds 2a and 4d.
RESULTS: Compounds 2a and 4b-e showed significant cytotoxic activity. Compound 2a
was more potent than doxorubicin against lung cancer cell line A549 with IC50 =
13.40 MUM and comparable activity against MCF7. Compound 4d exhibited more potent
activity than Doxorubicin against prostate PC3 (IC50 = 14.13 uM) while showed
comparable activity against MCF7 and T47D. CONCLUSION: 4
Substitutedaminothieno[2,3-d]pyrimidine is a promising backbone for the design
and synthesis of potent cytotoxic leads.
PMID- 29366428
TI - HPLC analysis of phenolic acids, antioxidant activity and in vitro effectiveness
of green and roasted Caffea arabica bean extracts: a comparative study.
AB - Coffee is a popular drink; it is one of the most commercialized food products and
a rich source of biologically active compounds that are important for human
health. This study aimed to prove the anticancer activity of green coffee (GC)
and roasted coffee (RC) bean aqueous extracts (Coffea arabica) on breast cancer
adenocarcinoma cell line (MCF-7) and the safety of both extracts on normal human
peripheral blood lymphocytes culture (HPBL). Total phenolic content for GC and RC
extracts was measured and result of both extracts were (0.308+/-0.016 & 0.233+/
0.013mg/g) respectively. The phenolic acids were screened by HPLC at the
wavelength of 254& 278 and 300 nm and 5-caffeoylquinic acids (Chlorogenic acid),
the predominant form of phenolic acids, was identified in GC and RC samples.
Ferric reducing antioxidant power (FRAP) as well as the free radical scavenging
activity (DPPH) proved the antioxidant properties of both extracts. The DPPH IC50
mean values of GC and RC extracts were (2.4+/-0.08, 2.3+/-0.16 MUg/ml)
respectively. Cytotoxicity of both extracts on MCF-7 cells were evaluated by
neutral red uptake assay which showed the IC50 mean values (377+/-5.7,500+/-8.1
MUg/ml) for GC and RC extracts respectively. The safety of both extracts (0, 125,
250, 500 MUg/ml) on HPBL was evaluated in vitro using trypan blue exclusion
method and DNA single strand breaks (alkaline comet assay). Result revealed non
significant cytotoxic difference (P<0.001) between cultures especially at lower
doses of GC and RC extracts except the highest dose of BC and GC extract which
showed slightly significant damage (P<0.001). In conclusion, this study proved
that GC and RC aqueous extracts were found to be selectively cytotoxic in vitro
to cancerous cells (MCF-7 cell line) causing cell death with no cytotoxicity on
normal human lymphocytes especially at lower doses.
PMID- 29366429
TI - New insights on Ethambutol targets in Mycobacterium tuberculosis.
AB - BACKGROUND: In recent years, very few effective drugs against Mycobacterium
tuberculosis (M. tb) have emerged which motivates the research with drugs already
used in the treatment of tuberculosis. EMB is a bacteriostatic drug that affects
cell wall integrity, but the effects of this drug on bacilli are not fully
exploited. OBJECTIVE: Based on the need to better investigate the complex
mechanism of action of EMB, our study presented the proteome profile of M. tb
after different times of EMB exposure, aiming to comprehend the dynamics of
bacilli response to its effects. METHOD: M. tb was exposed to subinhibitory
concentration of EMB for 24 h and 48 h. The proteins were identified by MALDI-
TOF/TOF. RESULTS: The main protein changes occurred in metabolic proteins as
dihydrolipoyl dehydrogenase [LpdC] (Rv0462), glutamine synthetase1 [GlnA1]
(Rv2220), electron transfer flavoprotein subunit beta [ETF-beta] (Rv3029c) and
adenosylhomocysteinase [SahH] (Rv3248c). CONCLUSION: Considering the functions of
these proteins our results support that the intermediary metabolism and
respiration were affected by EMB and this disturbance provided proteins that
could be explored as additional targets for this drug.
PMID- 29366430
TI - Distribution and Characterization of the Vitis vinifera L. subsp sylvestris in
Southern Tuscany.
AB - BACKGROUND: The Eurasian grapevine is the most widespread fruit crop in the
world. Numerous studies have focused on clarifying the events of domestication
and the geographical areas in which they occurred. OBJECTIVES: In order to add
upon information on the process of grapevine domestication, the distribution and
genetic diversity of a small, wild population localized in Poggio Ghiaccio Forte,
an archaeological site in Maremma (Southern Tuscany), were assessed. In a
preliminary survey the wild forms of Vitis vinifera L. were identified based on
morphological traits. METHOD: Fourty-two accessions of Vitis vinifera ssp.
sylvestris were collected near the Albegna river and its secondary conduits. As a
control, four non-vinifera grapevines (Vitis berlandieri, Vitis riparia Fabre,
rupestris Constantia, rupestris H. Goethe) and ten varieties of Vitis vinifera
ssp. sativa characterizing the Tuscan grapevine germplasm (Sangiovese,
Ciliegiolo, Aleatico, Ansonica, Canaiolo Nero, Trebbiano Toscano, Barsaglina,
Malvasia Lunga, Moscato Bianco, Abrusco) were added to the wild population. All
fifty-six vines were treated as one population and tested by 10 SSR-based
genotyping. RESULTS: According to SSR analysis, the wild population seems to be
characterized by a systematic reduction of observed compared to expected
heterozygosity due to the tendency of inbreeding and genetic trait fixation.
There are a lot of registered patents about different applications involving
Vitis vinifera mostly relating to disease resistance, grapevine fitness and novel
combinations of antioxidants useful in therapeutic, foodstuff and cosmetic
fields.
PMID- 29366431
TI - Prednisolone Effects on Urine Cross-Linked N-Telopeptides of Type I Collagen
(Ntx) Diurnal Rhythms in Children.
AB - BACKGROUND: Recently, methods for mimicking endogenous cortisol rhythms hereby
potentially reducing the risk of systemic adverse effects of exogenous
corticosteroids have been patented. Methods for sensitive detection of adverse
effects on bone turnover of various doses, administration routes and regimens of
exogenous corticosteroids have been patented. Urine cross-linked Ntelopeptides of
Type I collagen (Ntx) have been established as a sensitive bone resorption marker
and urine levels of Ntx have been found to exhibit a distinct diurnal rhythm.
OBJECTIVE: To assess whether the timing of administration of prednisolone affects
the diurnal rhythm of Ntx in urine. METHODS: Four girls and four boys aged 10.6
to 15.8 (mean 13.2) years with normal weight and height and pubertal stages I-IV
were studied in an open randomized 2-periods cross-over trial, with a 1-day run
in, and two 4-day periods of 5mg prednisolone in the morning and in the evening,
respectively, separated by a 3-week washout period. At run in and on the last day
of each treatment period, the first sample of urine was collected from 24.00 to
08.00h in the morning of the day of investigation. Thereafter, urine was
collected in 4~hour intervals until 24.00 and in another 08.00h interval from
24.00 to 08.00h. RESULTS: Compared to run in and morning prednisolone treatment
urine Ntx levels were suppressed from 24.00 to 8.00h during treatment with
prednisolone in the evening (P < 0.01 for both comparisons) and no statistically
significant circadian rhythm was observed. During morning prednisolone treatment
Ntx trough and peak levels occurred from 16.00 to 20.00 and 24.00 to 08.00h,
respectively, and the Ntx levels were significantly reduced from 12.00 to 20.00h
as compared to run in (P < 0.005) and prednisolone treatment in the evening (P <
0.01). CONCLUSIONS: Depending on the time of administration, prednisolone
interferes with diurnal rhythms in urine Ntx.
PMID- 29366432
TI - Manage Your Life Online: A Web-Based Randomized Controlled Trial Evaluating the
Effectiveness of a Problem-Solving Intervention in a Student Sample.
AB - BACKGROUND: Evidence for the efficacy of computer-based psychological
interventions is growing. A number of such interventions have been found to be
effective, especially for mild to moderate cases. They largely rely on
psychoeducation and 'homework tasks', and are specific to certain diagnoses (e.g.
depression). AIMS: This paper presents the results of a web-based randomized
controlled trial of Manage Your Life Online (MYLO), a program that uses
artificial intelligence to engage the participant in a conversation across any
problem topic. METHOD: Healthy volunteers (n = 213) completed a baseline
questionnaire and were randomized to the MYLO program or to an active control
condition where they used the program ELIZA, which emulates a Rogerian
psychotherapist. Participants completed a single session before completing post
study and 2-week follow-up measures. RESULTS: Analyses were per protocol with
intent to follow-up. Both programs were associated with improvements in problem
distress, anxiety and depression post-intervention, and again 2 weeks later, but
MYLO was not found to be more effective than ELIZA. MYLO was rated as
significantly more helpful than ELIZA, but there was no main effect of
intervention on problem resolution. CONCLUSIONS: Findings are consistent with
those of a previous smaller, laboratory-based trial and provide support for the
acceptability and effectiveness of MYLO delivered over the internet for a non
clinical sample. The lack of a no-treatment control condition means that the
effect of spontaneous recovery cannot be ruled out.
PMID- 29366433
TI - Evaluating the Effectiveness of a Dialectical Behaviour Therapy (DBT) Informed
Programme in a Community Perinatal Team.
AB - BACKGROUND: Adapted DBT programmes have been well documented but little has been
published on such programmes in the perinatal period. AIM: To assess the
effectiveness of a stand-alone DBT skills group for perinatal women with emotion
dysregulation. METHOD: A stand-alone DBT-informed skills training group was
offered to 21 women with emotional dysregulation under the care of a perinatal
community mental health team; 14 completed the programme. Staff received support
via a consultation group. Modules included mindfulness, emotional regulation,
distress tolerance and interpersonal effectiveness adapted to the specific
demands of parenting infants. Outcome measures were collected at three time
points: assessment, start of the group and at the end. RESULTS: Statistical
analysis showed significant reduction in CORE scores over the intervention period
(t (13) = 5.32, p < .001; Cohen's d = 0.83), with similar effects on the Mental
Health Confidence Scale (t (13) = -8.03, p < .001, Cohen's d = 0.83) and Living
with Emotions Scale (t (13) = -9.42, p < .001, Cohen's d = 0.93). There were no
significant changes on these three measures from assessment to start of the
group. CONCLUSIONS: In this uncontrolled study, the intervention period was
associated with reduced distress, increased confidence and ability to regulate
emotion. Recommendations for continuing this model of service delivery are made.
Further research is needed.
PMID- 29366435
TI - Anorexia nervosa treatments and Occam's razor.
PMID- 29366434
TI - Screening for Asymptomatic Clostridium difficile Among Bone Marrow Transplant
Patients: A Mixed-Methods Study of Intervention Effectiveness and Feasibility.
AB - OBJECTIVE To identify facilitators and barriers to implementation of a
Clostridium difficile screening intervention among bone marrow transplant (BMT)
patients and to evaluate the clinical effectiveness of the intervention on the
rate of hospital-onset C. difficile infection (HO-CDI). DESIGN Before-and-after
trial SETTING A 505-bed tertiary-care medical center PARTICIPANTS All 5,357
patients admitted to the BMT and general medicine wards from January 2014 to
February 2017 were included in the study. Interview participants included 3
physicians, 4 nurses, and 4 administrators. INTERVENTION All BMT patients were
screened within 48 hours of admission. Colonized patients, as defined by a C.
difficile-positive polymerase chain reaction (PCR) stool result, were placed
under contact precautions for the duration of their hospital stay. METHODS
Interview responses were coded according to the Systems Engineering Initiative
for Patient Safety conceptual framework. We compared pre- and postintervention HO
CDI rates on BMT and general internal medicine units using time-series analysis.
RESULTS Stakeholder engagement, at both the person and organizational level,
facilitates standardization and optimization of intervention protocols. While the
screening intervention was generally well received, tools and technology were
sources of concern. The mean incidence of HO-CDI decreased on the BMT service
postintervention (P<.0001). However, the effect of the change in the trend
postintervention was not significantly different on BMT compared to the control
wards (P=.93). CONCLUSIONS We report the first mixed-methods study to evaluate a
C. difficile screening intervention among the BMT population. The positive nature
by which the intervention was received by front-line clinical staff, laboratory
staff, and administrators is promising for future implementation studies. Infect
Control Hosp Epidemiol 2018;39:177-185.
PMID- 29366436
TI - Effect of orexin B on CYP17A1 and CYP19A3 expression and oestradiol, oestrone and
testosterone secretion in the porcine uterus during early pregnancy and the
oestrous cycle.
AB - Orexin A (OXA) and B (OXB) are hypothalamic neuropeptides identified as
regulators of food intake, energy homoeostasis, sleep-wake cycle and arousal.
They also create an integrative link between energy homoeostasis and
reproduction. Although their functions in the ovaries and testes have been
partially explored, to date, less attention has been focused on the role of the
peptides in the uterus. The aim of this study was to investigate the effect of
one of orexins - orexin B on oestradiol (E2), oestrone (E1) and testosterone (T)
secretion by porcine endometrial and myometrial slices as well as the gene
expression of key steroidogenic enzymes responsible for steroid production
(CYP17A1, CYP19A3) during the luteal phase of the oestrous cycle (days 10 to 11)
and early pregnancy (days 10 to 11, 12 to 13, 15 to 16, 27 to 28). Orexin B
suppressed E2 secretion by endometrial slices on days 10 to 11 and 15 to 16 of
pregnancy, and days 10 to 11 of the cycle. In the myometrium, OXB inhibited E2
production on days 10 to 11 of pregnancy, whereas on days 12 to 13 it enhanced
steroid output. Endometrial E1 release was potentiated by the peptide during all
studied periods of the cycle and pregnancy, with the exception of days 12 to 13,
when an inhibitory effect was observed. Myometrial secretion of E1 was increased,
except on days 27 to 28. Testosterone secretion by endometrial slices was
increased on days 12 to 13 and 27 to 28 of pregnancy. On days 10 to 11 of the
cycle, T release was stimulated in response to the lowest and decreased under the
influence of the highest dose of OXB. In the myometrium, T production was
inhibited by OXB on days 10 to 11 of pregnancy and during the corresponding
period of the cycle. On days 27 to 28 of pregnancy, T release was potentiated by
the lowest dose of OXB. Expression of both genes was modified by OXB depending on
the period of pregnancy and the type of examined uterine tissues. Our findings
suggest that OXB, through modulation of uterine steroidogenesis, may have a
regulatory role in the uterus.
PMID- 29366437
TI - Rising inequality and the implications for the future of private insurance in
Canada.
AB - Income and wealth inequality have risen in Canada since its low point in the
1980s. Over that same period we have also seen an increase in the amount that
Canadians spend on privately financed health care, both directly and through
private health insurance. This paper will explore the relationship between these
two trends using both comparative data across jurisdictions and household-level
data within Canada. The starting hypothesis is that the greater the level of
inequality the more difficult it becomes for publicly provided insurance to
satisfy the median voter. Thus, we should expect increased pressure to access
privately financed alternatives as inequality increases. In the light of these
implications, the paper considers the implications for the future of private
insurance in Canada.
PMID- 29366438
TI - Changes in Emotion Processing following Brief Augmented Psychodynamic
Interpersonal Therapy for Functional Neurological Symptoms.
AB - BACKGROUND: Functional neurological symptoms (FNS) are considered non-volitional
and often very disabling, but are not explainable by neurological disease or
structural abnormalities. Brief Augmented Psychodynamic Interpersonal Therapy
(BAPIT) was adapted to treat the putative emotion processing deficits thought to
be central to FNS aetiology and maintenance. BAPIT for FNS has previously been
shown to improve levels of distress and functioning, but it is unknown whether
improvements on such measures correlate with changes in emotion processing -
which this treatment focuses on. AIM: To determine (a) whether the recently
developed Emotional Processing Scale-25 can be used to demonstrate BAPIT
associated changes in patients with FNS, and (b) whether changes in the EPS-25
are associated with changes in previously validated outcome measures. METHOD: 44
patients with FNS completed questionnaires including the EPS-25 and measures of
clinical symptomology (health-related quality of life (SF-36), somatic symptoms
(PHQ-15), psychological distress (CORE-10) and illness understanding (BIPQ)) pre-
and post-therapy. RESULTS: At group level, emotion processing improved following
therapy (p = .049). Some measures of clinical symptomology also improved, namely
health-related quality of life (p = .02) and illness understanding (p = .01).
Improvements in the EPS-25 correlated with improvements in mental health-related
quality of life and psychological distress. CONCLUSIONS: Emotion processing and
some measures of clinical symptomology improved in patients with FNS following
BAPIT. The EPS-25 demonstrated changes that correlated with previously validated
outcome measures. The EPS-25 is a suitable measure of psychotherapy-associated
change in the FNS patient population.
PMID- 29366439
TI - The Barker hypothesis confirmed: association of low birth weight with all-cause
natural deaths in young adult life in a remote Australian Aboriginal community.
AB - Barker et al. proposed that low birth weight predisposes to higher death rates in
adult life. We previously confirmed this fact in a cohort of young adults who
were born in a remote Australian Aboriginal community between 1956 and 1985. We
now present data in these same people with four more years of follow-up and a
greater number of deaths. The fates of participants were documented from age 15
years until death, start of dialysis, or until the end of 2010 and causes of
death were derived from clinic narratives and dialysis records. Rates of natural
deaths were compared by birth cohorts and birth weight, and hazard ratios were
calculated using Cox proportional hazards methods, by birth weight and adjusted
for birth cohort and sex. Over follow-up of 19,661 person-years, 61 people died
of natural causes between age 15 and the censor date. Low birth weights (<2.5 kg)
were associated with higher rates of natural death, with HR (95% CI) 1.76 (1.1
2.9, P=0.03), after adjustment for year of birth and sex. The effect was
particularly prominent for deaths at <41 years of age, and with deaths from
respiratory conditions/sepsis and unusual causes. A predisposing effect of low
birth weight on adult deaths was confirmed. This phenomenon, occurring in the
context of dramatically improved survivals of lower birth weight infants and
children since the early 1960s, helps explain the current epidemic of chronic
disease in Aboriginal people. Birth weights continue to improve, so excess deaths
from this source should progressively be minimized.
PMID- 29366440
TI - Supplementary physicians' fees: a sustainable system?
AB - In Belgium and France, physicians can charge a supplementary fee on top of the
tariff set by the mandatory basic health insurance scheme. In both countries, the
supplementary fee system is under pressure because of financial sustainability
concerns and a lack of added value for the patient. Expenditure on supplementary
fees is increasing much faster than total health expenditure. So far, measures
taken to curb this trend have not been successful. For certain categories of
physicians, supplementary fees represent one-third of total income. For patients,
however, the added value of supplementary fees is not that clear. Supplementary
fees can buy comfort and access to physicians who refuse to treat patients who
are not willing to pay supplementary fees. Perceived quality of care plays an
important role in patients' willingness to pay supplementary fees. Today, there
is no evidence that physicians who charge supplementary fees provide better
quality of care than physicians who do not. However, linking supplementary fees
to objectively proven quality of care and limiting access to top quality care to
patients able and willing to pay supplementary fees might not be socially
acceptable in many countries. Our conclusion is that supplementary physicians'
fees are not sustainable.
PMID- 29366441
TI - Oxygen matters: hypoxia as a pathogenic mechanism in rhinosinusitis.
AB - The airway epithelium is the first place, where a defense mechanism is initiated
against environmental stimuli. Mucociliary transport (MCT), which is the defense
mechanism of the airway and the role of airway epithelium as mechanical barriers
are essential in innate immunity. To maintain normal physiologic function, normal
oxygenation is critical for the production of energy for optimal cellular
functions. Several pathologic conditions are associated with a decrease in oxygen
tension in airway epithelium and chronic sinusitis is one of the airway diseases,
which is associated with the hypoxic condition, a potent inflammatory stimulant.
We have observed the overexpression of the hypoxia-inducible factor 1 (HIF-1), an
essential factor for oxygen homeostasis, in the epithelium of sinus mucosa in
sinusitis patients. In a series of previous reports, we have found hypoxia
induced mucus hyperproduction, especially by MUC5AC hyperproduction, disruption
of epithelial barrier function by the production of VEGF, and down-regulation of
junctional proteins such as ZO-1 and E-cadherin. Furthermore, hypoxia-induced
inflammation by HMGB1 translocation into the cytoplasm results in the release of
IL-8 through a ROS-dependent mechanism in upper airway epithelium. In this mini
review, we briefly introduce and summarize current progress in the pathogenesis
of sinusitis related to hypoxia. The investigation of hypoxia-related
pathophysiology in airway epithelium will suggest new insights on airway
inflammatory diseases, such as rhinosinusitis for clinical application and drug
development. [BMB Reports 2018; 51(2): 59-64].
PMID- 29366442
TI - DNA binding partners of YAP/TAZ.
AB - Hippo signaling plays critical roles in regulation of tissue homeostasis, organ
size, and tumorigenesis by inhibiting YES-associated protein (YAP) and PDZ
binding protein TAZ through MST1/2 and LATS1/2 pathway. It is also engaged in
cross-talk with various other signaling pathways, including WNT, BMPs, Notch,
GPCRs, and Hedgehog to further modulate activities of YAP/TAZ. Because YAP and
TAZ are transcriptional coactivators that lack DNA-binding activity, both
proteins must interact with DNA-binding transcription factors to regulate target
gene's expression. To activate target genes involved in cell proliferation, TEAD
family members are major DNA-binding partners of YAP/TAZ. Accordingly, YAP/TAZ
were originally classified as oncogenes. However, YAP might also play tumor
suppressing role. For example, YAP can bind to DNA-binding tumor suppressors
including RUNXs and p73. Thus, YAP might act either as an oncogene or tumor
suppressor depending on its binding partners. Here, we summarize roles of YAP
depending on its DNA-binding partners and discuss context-dependent functions of
YAP/TAZ. [BMB Reports 2018; 51(3): 126-133].
PMID- 29366443
TI - Hippo-YAP/TAZ signaling in angiogenesis.
AB - Angiogenesis is a complex, multistep process involving dynamic changes in
endothelial cell (EC) shapes and behaviors, especially in specialized cell types
such as tip cells (with active filopodial extensions), stalk cells (with less
motility) and phalanx cells (with stable junction connections). The Hippo-Yes
associated protein (YAP)/ transcription activator with PDZ binding motif (TAZ)
signaling plays a critical role in development, regeneration and organ size by
regulating cell-cell contact and actin cytoskeleton dynamics. Recently, with the
finding that YAP is expressed in the front edge of the developing retinal
vessels, Hippo-YAP/TAZ signaling has emerged as a new pathway for blood vessel
development. Intriguingly, the LATS1/2-mediated angiomotin (AMOT) family and
YAP/TAZ activities contribute to EC shapes and behaviors by spatiotemporally
modulating actin cytoskeleton dynamics and EC junction stability. Herein, we
summarize the recent understanding of the role of Hippo-YAP/TAZ signaling in the
processes of EC sprouting and junction maturation in angiogenesis. [BMB Reports
2018; 51(3): 157-162].
PMID- 29366444
TI - Regulation of the Hippo signaling pathway by ubiquitin modification.
AB - The Hippo signaling pathway plays an essential role in adult tissue homeostasis
and organ size control. Abnormal regulation of Hippo signaling can be a cause for
multiple types of human cancers. Since the awareness of the importance of the
Hippo signaling in a wide range of biological fields has been continually grown,
it is also understood that a thorough and well-rounded comprehension of the
precise dynamics could provide fundamental insights for therapeutic applications.
Several components in the Hippo signaling pathway are known to be targeted for
proteasomal degradation via ubiquitination by E3 ligases. beta-TrCP is a well
known E3 ligase of YAP/TAZ, which leads to the reduction of YAP/TAZ levels. The
Hippo signaling pathway can also be inhibited by the E3 ligases (such as ITCH)
which target LATS1/2 for degradation. Regulation via ubiquitination involves not
only complex network of E3 ligases but also deubiquitinating enzymes (DUBs),
which remove ubiquitin from its targets. Interestingly, non-degradative ubiquitin
modifications are also known to play important roles in the regulation of Hippo
signaling. Although there has been much advanced progress in the investigation of
ubiquitin modifications acting as regulators of the Hippo signaling pathway,
research done to date still remains inadequate due to the sheer complexity and
diversity of the subject. Herein, we review and discuss recent developments that
implicate ubiquitin-mediated regulatory mechanisms at multiple steps of the Hippo
signaling pathway. [BMB Reports 2018; 51(3): 143-150].
PMID- 29366447
TI - Nutritional status affects the microRNA profile of the hypothalamus of female
sheep.
AB - Recent studies on the seasonal regulation of the oestrous cycle in sheep have
focussed mainly on the responses to photoperiod. However, the brain systems that
control reproductive activity also respond to nutritional inputs, although the
molecular mechanisms involved are not completely understood. One possibility is
that small, non-coding RNAs, such as micro-RNAs (miRNAs), have significant
influence. In the present study, the amounts and characteristics of miRNAs in
hypothalamus from oestrous and anestrous ewes, fed low- or high-nutrient diets,
were compared using Illumina HiSeq sequencing technology. In total, 398 miRNAs,
including 261 novel miRNAs, were identified in ewes with an enhanced nutritional
status (HEN), whereas 384 miRNAs, including 247 novel miRNAs, were identified in
the ewes with a lesser nutritional status (HAN). There were eight conserved and
140 novel miRNAs expressed differentially between the two libraries. Based on
quantitative real-time polymerase chain reaction, six miRNAs were assessed to
verify the accuracy of the library database. Moreover, the correlation between
the miRNA target and several upstream and downstream genes in the oestrus-related
pathways were also verified in hypothalamus nerve cells. According to the
results, nutritional status plays an important role in oestrous regulation in
sheep, and the hypothalamic processes and pathways induced by nutritional signals
(folic acid and tyrosine) are different from those induced by photoperiodic
regulation of oestrus. We have expanded the repertoire of sheep miRNAs that could
contribute to the molecular mechanisms that regulate the initiation of oestrous
cycles in anestrous ewes in response to the influence of nutritional status.
PMID- 29366446
TI - Estrogen-related receptor gamma is a novel catabolic regulator of osteoarthritis
pathogenesis.
AB - Osteoarthritis (OA) is the most common form of arthritis and is a leading cause
of disability with a large socioeconomic cost. OA is a whole-joint disease
characterized by cartilage destruction, synovial inflammation, osteophyte
formation, and subchondral bone sclerosis. To date, however, no effective disease
modifying therapies for OA have been developed. The estrogen-related receptors
(ERRs), a family of orphan nuclear receptor transcription factors, are composed
of ERRalpha, ERRbeta, and ERRgamma, which play diverse biological functions such
as cellular energy metabolism. However, the role of ERRs in OA pathogenesis has
not been studied yet. Among the ERR family members, ERRgamma is markedly
upregulated in human and various models of mouse OA cartilage. Adenovirus
mediated overexpression of ERRgamma in the mouse knee joint tissue caused OA
pathogenesis. Additionally, cartilage-specific ERRgamma transgenic (Tg) mice
exhibited enhanced experimental OA. Consistently, ERRgamma in articular
chondrocytes directly caused expression of matrix metalloproteinase (MMP) 3 and
MMP13, which play a crucial role in cartilage destruction. In contrast, genetic
ablation of Esrrg or shRNA-mediated Esrrg silencing in the joint tissues
abrogated experimental OA in mice. These results collectively indicated that
ERRgamma is a novel catabolic regulator of OA pathogenesis and can be used as a
therapeutic target for OA. [BMB Reports 2018; 51(4): 165-166].
PMID- 29366445
TI - Clinical implications of the Hippo-YAP pathway in multiple cancer contexts.
AB - The Hippo pathway plays prominent and widespread roles in various forms of human
carcinogenesis. Specifically, the Yes-associated protein (YAP), a downstream
effector of the Hippo pathway, can lead to excessive cell proliferation and the
inhibition of apoptosis, resulting in tumorigenesis. It was reported that the YAP
is strongly elevated in multiple types of human malignancies such as breast,
lung, small intestine, colon, and liver cancers. Recent work indicates that,
surprisingly, Hippo signaling components' (SAV1, MST1/2, Lats1/2) mutations are
virtually absent in human cancer, rendering this signaling an unlikely candidate
to explain the vigorous activation of the YAP in most, if not all human tumors
and an activated YAP promotes the resistance to RAF-, MAPK/ERK Kinase (MEK)-, and
Epidermal growth factor receptor (EGFR)-targeted inhibitor therapy. The analysis
of YAP expressions can facilitate the identification of patients who respond
better to an anti-cancer drug treatment comprising RAF-, MEK-, and EGFR-targeted
inhibitors. The prominence of YAP for those aspects of cancer biology denotes
that these factors are ideal targets for the development of anti-cancer
medications. Therefore, our report strongly indicates that the YAP is of
potential prognostic utility and druggability in various human cancers. [BMB
Reports 2018; 51(3): 119-125].
PMID- 29366449
TI - Multiple Chronic Gouty Tophi.
PMID- 29366448
TI - Nonsuicidal Self-Injury in Adolescents.
AB - BACKGROUND: 25-35% of adolescents in random samples drawn from German schools
have been found to have manifested at least one episode of nonsuicidal self
injury (NSSI). The prevalence in samples from child and adolescent psychiatric
clinics is approximately 50%. NSSI can arise as a symptom in the setting of
various types of mental illness. METHODS: This review is based on a selective
literature search carried out in the PubMed, PsycINFO, and Cochrane Library
databases, with special consideration of regional study samples. RESULTS: NSSI is
usually resorted to as a dysfunctional coping strategy for emotional regulation.
The main risk factors for NSSI include bullying, accompanying mental illnesses,
and a history of abuse and neglect in childhood. Neurobiological studies have
shown abnormal stress processing in persons with NSSI and an elevated pain
threshold in persons with repetitive NSSI. Psychotherapeutic interventions of
various kinds lessen the frequency of NSSI; to date, no particular type of
psychotherapy has been found to be clearly superior to the others. Randomized
controlled trials have revealed small to moderate effects from dialectic
behavioral therapy and mentalization-based therapy in adolescent patients. No
psychoactive drug has yet been found to possess specific efficacy against NSSI in
adolescents. CONCLUSION: The first ever German-language clinical guidelines for
the treatment of NSSI have now been issued. Psychotherapy is the treatment of
first choice. More research is needed so that subgroups with different disease
courses can be more clearly defined.
PMID- 29366450
TI - The Treatment of Incisional Hernia.
AB - BACKGROUND: A meta-analysis of studies from multiple countries has shown that the
incidence of incisional hernia varies from 4% to 10% depending on the type of
operation. No epidemiological surveys have been conducted so far. The worst
possible complication of an incisional hernia if it is not treated surgically is
incarceration. In this article, we present the main surgical methods of treating
this condition. We also evaluate the available randomized and controlled trials
(RCTs) in which open and laparoscopic techniques were compared and analyze the
patients' quality of life. METHODS: We selectively searched PubMed for relevant
literature using the search terms "incisional hernia" and "randomized controlled
trial." 9 RCTs were included in the analysis. The endpoints of the meta-analysis
were the number of reoperations, complications, and recurrences. The observed
events were studied statistically by correlation of two unpaired groups with a
fixed-effects model and with a random-effects model. We analyzed the quality of
life in our. RESULTS: Open surgery and laparoscopic surgery for the repair of
incisional hernias have similar rates of reoperation (odds ratio [OR] 0.419
favoring laparoscopy, 95% confidence interval [0.159; 1.100]; p = 0.077). The
rates of surgical complications are also similar (OR 0.706; 95% CI [0.278;
1.783]; p = 0.461), although the data are highly heterogeneous, and the
recurrence rates are comparable as well (OR 1.301; 95% CI [0,761; 2,225]; p =
0.336). In our own patient cohort in Wurzburg, the quality of life was better in
multiple categories one year after surgery. CONCLUSION: The operative treatment
of incisional hernia markedly improves patients' quality of life. The currently
available evidence regarding the complication rates of open and laparoscopic
surgical repair is highly heterogeneous, and further RCTs on this subject would
therefore be desirable. Moreover, new study models are needed so that well
founded individualized treatment algorithms can be developed.
PMID- 29366451
TI - Failure to Consider Everyday Pediatric Practice.
PMID- 29366452
TI - The Cause is Cesarean Section.
PMID- 29366453
TI - Osteopathy as an Aid to Treatment.
PMID- 29366454
TI - Caused by Spinal Block.
PMID- 29366455
TI - In Reply.
PMID- 29366456
TI - Secondary Syphilis Without Any History of Primary Infection.
PMID- 29366457
TI - Diffuse Myocardial Interstitial Fibrosis and Dysfunction in Early Chronic Kidney
Disease.
AB - Patients with chronic kidney disease (CKD) have a disproportionately high risk of
cardiovascular (CV) morbidity and mortality from the very early stages of CKD.
This excess risk is believed to be the result of myocardial disease commonly
termed uremic cardiomyopathy (UC). It has been suggested that interstitial
myocardial fibrosis progresses with advancing kidney disease and may be the key
mediator of UC. This longitudinal study reports data on the myocardial structure
and function of 30 patients with CKD with no known cardiovascular disease and
healthy controls. All patients underwent cardiac magnetic resonance imaging
including T1 mapping and late gadolinium enhancement (if estimated glomerular
filtration rate > 30 ml/min/1.73 m2). Over a mean follow-up period of 2.7 +/- 0.8
years, there was no change in left ventricular mass, volumes, ejection fraction,
native myocardial T1 times, or extracellular volume with CKD or in healthy
controls. Global longitudinal strain (20.6 +/- 2.9 s-1 vs 19.8 +/- 2.9 s-1, p =
0.03) and mitral annular planar systolic excursion (13 +/- 2 mm vs 12 +/- 2 mm, p
= 0.009) decreased in CKD but were clinically insignificant. Midwall late
gadolinium enhancement was present in 4 patients at baseline and was unchanged at
follow-up. Renal function was stable in this cohort over follow-up (change in
estimated glomerular filtration rate was -3 ml/min/1.73 m2) with no adverse
clinical CV events. In conclusion, this study demonstrates that in a cohort of
patients with stable CKD, left ventricular mass, native T1 times, and
extracellular volume do not increase over a period of 2.7 years.
PMID- 29366458
TI - An end to linings under posterior composites?
AB - BACKGROUND AND OVERVIEW: There is an uncertainty among dentists as to whether to
place a lining under a direct posterior composite restoration and, if so, what
material or combination of materials to use. In this article, the authors
consider the evidence for the placement of a lining under posterior composites of
different depths, including indications and contraindications for different
lining materials. CONCLUSIONS: The available evidence does not support the use of
linings under direct, bonded posterior composites, unless being placed in deep
cavities for therapeutic reasons. PRACTICAL IMPLICATIONS: The best available
evidence indicates that dentists can place posterior composites without linings,
except for therapeutic purposes, with no adverse effect on postoperative
complications, with possible improvements in performance in clinical service, and
with efficiency savings in chairside time.
PMID- 29366459
TI - International Symposium on Salmonella and salmonellosis.
PMID- 29366460
TI - Sewage sludge amendment and inoculation with plant-parasitic nematodes do not
facilitate the internalization of Salmonella Typhimurium LT2 in lettuce plants.
AB - Contamination of fruits and vegetables with Salmonella is a serious threat to
human health. In order to prevent possible contaminations of fresh produce it is
necessary to identify the contributing ecological factors. In this study we
investigated whether the addition of sewage sludge or the presence of plant
parasitic nematodes foster the internalization of Salmonella enterica serovar
Typhimurium LT2 into lettuce plants, posing a potential threat for human health.
Greenhouse experiments were conducted to investigate whether the amendment of
sewage sludge to soil or the presence of plant-parasitic nematodes Meloidogyne
hapla or Pratylenchus crenatus promote the internalization of S. Typhimurium LT2
from soil into the edible part of lettuce plants. Unexpectedly, numbers of
cultivable S. Typhimurium LT2 decreased faster in soil with sewage sludge than in
control soil but not in root samples. Denaturing gradient gel electrophoresis
analysis revealed shifts of the soil bacterial communities in response to sewage
sludge amendment and time. Infection and proliferation of nematodes inside plant
roots were observed but did not influence the number of cultivable S. Typhimurium
LT2 in the root samples or in soil. S. Typhimurium LT2 was not detected in the
leaf samples 21 and 49 days after inoculation. The results indicate that addition
of sewage sludge, M. hapla or P. crenatus to soil inoculated with S. Typhimurium
LT2 did not result in an improved survival in soil or internalization of lettuce
plants.
PMID- 29366461
TI - Quantification of hygiene indicators and Salmonella in the tonsils, oral cavity
and rectal content samples of pigs during slaughter.
AB - The tonsils, oral cavity and faeces of 94 pigs at slaughter were sampled to
assess the numbers of total aerobic bacteria, Enterobacteriaceae and Escherichia
coli in the rectal content, tonsils and oral cavity of pigs at time of
evisceration. Moreover, the prevalence, numbers and types of Salmonella spp. were
determined. Mean numbers of Enterobacteriaceae in tonsils and the oral cavity
differed between slaughterhouses. The proportion of Enterobacteriaceae relative
to total aerobic bacteria differed between the different tissues, though large
variations were observed between animals. Salmonella spp. were mostly detected in
oral cavity swabs (n = 51, 54%), of which six samples were contaminated in
numbers over 2.0 log CFU/100 cm2. Salmonella spp. were also recovered from 17
tonsillar tissue samples (18%) and 12 tonsillar swabs (13%). Out of the 29 rectal
content samples from which Salmonella was recovered (31%), most were lowly
contaminated, in the range between -1 and 0 log CFU/g. The predominant serotypes
were S. Typhimurium and its monophasic variant, which were recovered from 33 and
13 pigs, respectively. In most cases, the same serotypes and MLVA profiles were
found in pigs slaughtered during the same day, thus suggesting a common source of
contamination.
PMID- 29366462
TI - Observations on the introduction and dissemination of Salmonella in three
previously low prevalence status pig farms in the United Kingdom.
AB - In the United Kingdom a serological Salmonella surveillance scheme was run in
pigs up to 2012. Farms that maintained a low seroprevalence (<10%) were
recognised as "Platinum" pig farms. The aim of this study was to investigate the
occurrence and distribution of Salmonella in three farms (17P, 18P and 46P) that
had lost their "Platinum" status. Four visits to each farm were made over a
period of 15 months. The sampling was carried out by collecting pooled pen floor
faecal swab and environmental samples. All samples were tested for Salmonella by
a modification of ISO6579 Annex D, and serovars were determined for all isolates.
The Salmonella prevalence peaked in the Summer/Autumn months and all farms were
still positive at the end of the study. The overall sample prevalence was higher
in farm 17P (46%) and 18P (35%) than 46P (19%). Monophasic S. Typhimurium (mST)
represented 77.8% of the Salmonella isolates, mainly from farms 17P and 46P. The
mST isolated at the initial visit may have been introduced via other livestock
present on farm or introduction into the herd of infected animals. The results of
this study suggest that incursion of mST was likely to be the main cause of the
loss of "Platinum" status and confirm that mST can persist in pigs and their
environment.
PMID- 29366463
TI - A liquid bead array for the identification and characterization of fljB-positive
and fljB-negative monophasic variants of Salmonella Typhimurium.
AB - Salmonella1,4,[5],12:i:- accounts currently for one of the most common serotypes
observed worldwide. These isolates do not express the FljB flagellin and mostly
derive from Salmonella Typhimurium. They are therefore termed Salmonella
Typhimurium monophasic variants (STMV) and are considered of comparable public
health risk. Since serological identification of the somatic and flagellar
antigens of STMV is not sufficient to demonstrate relatedness with Salmonella
Typhimurium, additional assays detecting genetic markers unique to Salmonella
Typhimurium are required. In addition, identification of the mutations affecting
expression of the flagellar gene fljB can be useful to support the monophasic
character observed phenotypically. Finally, genetic subtyping of the various mono
and biphasic Salmonella Typhimurium clonal groups can facilitate their
epidemiological follow-up. Here, we present a home-made liquid bead array able to
fulfill these requirements. This array confirmed the monophasic character of 240
STMV isolates collected in Belgium during 2014-2015 and identified 10 genetic
subtypes. Microevolution in and around the fljB locus linked to IS26 insertions
is probably one of the driven force accounting for STMV population diversity.
Thanks to its open design, other genetic signatures could later be merged to the
assay to subtype additional STMV clonal groups and to detect rare mutations.
PMID- 29366464
TI - The new ISO 6579-1: A real horizontal standard for detection of Salmonella, at
last!
AB - Up to 2016, three international standard methods existed for the detection of
Salmonella spp. in food, animal feed and samples from the primary production
stage: ISO 6785:2001 for milk and milk products, ISO 6579:2002 for (other) food
and animal feed and Annex D of ISO 6579:2007 for samples from the primary
production stage. In 2009, an ISO/CEN working group started with the revision of
ISO 6579:2002 with two main aims: combining the three aforementioned standards in
one document and improving the information in ISO 6579:2002. Additionally it was
decided to split ISO 6579 into three parts, where part 1 describes the detection,
part 2 the enumeration by mini-MPN (published in 2012) and part 3 the serotyping
of Salmonella (published in 2014). This paper describes the experiments and
choices made for improving the part on detection of Salmonella (ISO 6579-1). The
final voting stage on (draft) ISO 6579-1 was finished by the end of December
2016, with a positive outcome. Finally, a real horizontal standard became
available for detection of Salmonella in food, animal feed, environmental samples
in the area of food production and food handling and in samples from the primary
production stage in 2017.
PMID- 29366465
TI - Molecular epidemiology of the endemic multiresistance plasmid pSI54/04 of
Salmonella Infantis in broiler and human population in Hungary.
AB - Salmonella Infantis (SI) became endemic in Hungary where the PFGE cluster B,
characterized by a large multiresistance (MDR) plasmid emerged among broilers
leading to an increased occurrence in humans. We hypothesized that this plasmid
(pSI54/04) assisted dissemination of SI. Indeed, Nal-Sul-Tet phenotypes carrying
pSI54/04 occurred increasingly between 2011 and 2013 among SI isolates from
broilers and humans. Characterization of pSI54/04 based on genome sequence data
of the MDR strain SI54/04 indicated a size of ~277 kb and a high sequence
similarity with the megaplasmid pESI of SI predominant in Israel. Molecular
characterization of 78 representative broiler and human isolates detected the
prototype plasmid pSI54/04 and its variants together with novel plasmid
associations within the emerging cluster B. To test in vitro and in vivo
pathogenicity of pSI54/04 we produced plasmidic transconjugant of the plasmid
free pre-emergent strain SI69/94. This parental strain and its transconjugant
have been tested on chicken embryo fibroblasts (CEFs) and in orally infected day
old chicks. The uptake of pSI54/04 did not increase the pathogenicity of the
strain SI69/94 in these systems. Thus, dissemination of SI in poultry could be
assisted by antimicrobial resistance rather than by virulence modules of the
endemic plasmid pSI54/04 in Hungary.
PMID- 29366466
TI - Whole genome sequencing reveals an outbreak of Salmonella Enteritidis associated
with reptile feeder mice in the United Kingdom, 2012-2015.
AB - Analysis of whole genome sequencing data uncovered a previously undetected
outbreak of Salmonella Enteritidis that had been on-going for four years. Cases
were resident in all countries of the United Kingdom and 40% of the cases were
aged less than 11 years old. Initial investigations revealed that 30% of cases
reported exposure to pet snakes. A case-control study was designed to test the
hypothesis that exposure to reptiles or their feed were risk factors. A robust
case-definition, based on the single nucleotide polymorphism (SNP) profile,
increased the power of the analytical study. Following univariable and
multivariable analysis, exposure to snakes was the only variable independently
associated with infection (Odds ratio 810 95% CI (85-7715) p < 0.001). Isolates
of S. Enteritidis belonging to the outbreak profile were recovered from reptile
feeder mice sampled at the retail and wholesale level. Control measures included
improved public health messaging at point of sale, press releases and engagement
with public health and veterinary counterparts across Europe. Mice destined to be
fed to reptiles are not regarded as pet food and are not routinely tested for
pathogenic bacteria. Routine microbiological testing to ensure feeder mice are
free from Salmonella is recommended.
PMID- 29366467
TI - Epidemiological analysis of Salmonella clusters identified by whole genome
sequencing, England and Wales 2014.
AB - The unprecedented level of bacterial strain discrimination provided by whole
genome sequencing (WGS) presents new challenges with respect to the utility and
interpretation of the data. Whole genome sequences from 1445 isolates of
Salmonella belonging to the most commonly identified serotypes in England and
Wales isolated between April and August 2014 were analysed. Single linkage single
nucleotide polymorphism thresholds at the 10, 5 and 0 level were explored for
evidence of epidemiological links between clustered cases. Analysis of the WGS
data organised 566 of the 1445 isolates into 32 clusters of five or more. A
statistically significant epidemiological link was identified for 17 clusters.
The clusters were associated with foreign travel (n = 8), consumption of Chinese
takeaways (n = 4), chicken eaten at home (n = 2), and one each of the following;
eating out, contact with another case in the home and contact with reptiles. In
the same time frame, one cluster was detected using traditional outbreak
detection methods. WGS can be used for the highly specific and highly sensitive
detection of biologically related isolates when epidemiological links are
obscured. Improvements in the collection of detailed, standardised exposure
information would enhance cluster investigations.
PMID- 29366468
TI - Evaluation of WGS based approaches for investigating a food-borne outbreak caused
by Salmonella enterica serovar Derby in Germany.
AB - In Germany salmonellosis still represents the 2nd most common bacterial foodborne
disease. The majority of infections are caused by Salmonella (S.) Typhimurium and
S. Enteritidis followed by a variety of other broad host-range serovars.
Salmonella Derby is one of the five top-ranked serovars isolated from humans and
it represents one of the most prevalent serovars in pigs, thus bearing the
potential risk for transmission to humans upon consumption of pig meat and
products thereof. From November 2013 to January 2014 S. Derby caused a large
outbreak that affected 145 primarily elderly people. Epidemiological
investigations identified raw pork sausage as the probable source of infection,
which was confirmed by microbiological evidence. During the outbreak isolates
from patients, food specimen and asymptomatic carriers were investigated by
conventional typing methods. However, the quantity and quality of available
microbiological and epidemiological data made this outbreak highly suitable for
retrospective investigation by Whole Genome Sequencing (WGS) and subsequent
evaluation of different bioinformatics approaches for cluster definition. Overall
the WGS-based methods confirmed the results of the conventional typing but were
of significant higher discriminatory power. That was particularly beneficial for
strains with incomplete epidemiological data. For our data set both, single
nucleotide polymorphism (SNP)- and core genome multilocus sequence typing
(cgMLST)-based methods proved to be appropriate tools for cluster definition.
PMID- 29366469
TI - Salmonella epidemiology: A whirlwind of change.
AB - The field of infectious disease epidemiology for Salmonella and other enteric
pathogens is undergoing some of the most profound changes since the time of
Kauffman and White. Rapid advances in "big data" technologies such as genomics
and metagenomics are making it possible to monitor and control salmonellosis in
new and exciting ways. Epidemiological methods are becoming increasingly robust
through the routine use of standardized hypothesis-generating questionnaires,
iterative open-ended interviewing, informational trace-backs and new modeling
techniques for describing the attribution of disease to food sources. In
addition, Salmonella epidemiology is facing important challenges and new
opportunities due to the rapid adoption of culture independent diagnostic test
panels by clinical laboratories. Where is this unprecedented wave of change
taking us? This chapter will examine emerging trends in Salmonella epidemiology,
and take a peek into the not-so-distant future.
PMID- 29366470
TI - New paradigms for Salmonella source attribution based on microbial subtyping.
AB - Microbial subtyping is the most common approach for Salmonella source
attribution. Typically, attributions are computed using frequency-matching models
like the Dutch and Danish models based on phenotyping data (serotyping, phage
typing, and antimicrobial resistance profiling). Herewith, we critically review
three major paradigms facing Salmonella source attribution today: (i) the use of
genotyping data, particularly Multi-Locus Variable Number of Tandem Repeats
Analysis (MLVA), which is replacing traditional Salmonella phenotyping beyond
serotyping; (ii) the integration of case-control data into source attribution to
improve risk factor identification/characterization; (iii) the investigation of
non-food sources, as attributions tend to focus on foods of animal origin only.
Population genetics models or simplified MLVA schemes may provide feasible
options for source attribution, although there is a strong need to explore novel
modelling options as we move towards whole-genome sequencing as the standard.
Classical case-control studies are enhanced by incorporating source attribution
results, as individuals acquiring salmonellosis from different sources have
different associated risk factors. Thus, the more such analyses are performed the
better Salmonella epidemiology will be understood. Reparametrizing current models
allows for inclusion of sources like reptiles, the study of which improves our
understanding of Salmonella epidemiology beyond food to tackle the pathogen in a
more holistic way.
PMID- 29366471
TI - Theoretical value of pre-trade testing for Salmonella in Swedish cattle herds.
AB - The Swedish Salmonella control programme includes mandatory action if Salmonella
is detected in a herd. The aim of this study was to assess the relative value of
different strategies for pre-movement testing of cattle. Three fictitious herds
were included: dairy, beef and specialised calf-fattening. The yearly risks of
introducing Salmonella with and without individual serological or bulk milk
testing were assessed as well as the effects of sourcing animals from low
prevalence areas or reducing the number of source herds. The initial risk was
highest for the calf-fattening herd and lowest for the beef herd. For the beef
and dairy herds, the yearly risk of Salmonella introduction was reduced by about
75% with individual testing. Sourcing animals from low-prevalence areas reduced
the risk by >99%. For the calf-fattening herd, the yearly risk was reduced by
almost 50% by individual testing or sourcing animals from a maximum of five
herds. The method was useful for illustrating effects of risk mitigation when
introducing animals into a herd. Sourcing animals from low-risk areas (or herds)
is more effective than single testing of individual animals or bulk milk. A
comprehensive approach to reduce the risk of introducing Salmonella from source
herds is justified.
PMID- 29366472
TI - The use of social network analysis to examine the transmission of Salmonella spp.
within a vertically integrated broiler enterprise.
AB - To better understand factors influencing infectious agent dispersal within a
livestock population information is needed on the nature and frequency of
contacts between farm enterprises. This study uses social network analysis to
describe the contact network within a vertically integrated broiler poultry
enterprise to identify the potential horizontal and vertical transmission
pathways for Salmonella spp. Nodes (farms, sheds, production facilities) were
identified and the daily movement of commodities (eggs, birds, feed, litter) and
people between nodes were extracted from routinely kept farm records. Three time
periods were examined in detail, 1- and 8- and 17-weeks of the production cycle
and contact networks were described for all movements, and by commodity and
production type. All nodes were linked by at least one movement during the study
period but network density was low indicating that all potential pathways between
nodes did not exist. Salmonella spp. transmission via vertical or horizontal
pathways can only occur along directed pathways when those pathways are present.
Only two locations (breeder or feed nodes) were identified where the transmission
of a single Salmonella spp. clone could theoretically percolate through the
network to the broiler or processing nodes. Only the feed transmission pathway
directly connected all parts of the network.
PMID- 29366474
TI - Bayesian model for tracing Salmonella contamination in the pig feed chain.
AB - Salmonella infections in pigs are in most cases asymptomatic, posing a risk of
salmonellosis for pork consumers. Salmonella can transmit to pigs from various
sources, including contaminated feed. We present an approach for quantifying the
risk to pigs from contaminations in the feed chain, based on a Bayesian model.
The model relies on Salmonella surveillance data and other information from
surveys, reports, registries, statistics, legislation and literature regarding
feed production and pig farming. Uncertainties were probabilistically quantified
by synthesizing evidence from the available information over a categorically
structured flow chain of ingredients mixed for feeds served to pigs. Model based
probability for infection from feeds together with Salmonella subtyping data,
were used to estimate the proportion of Salmonella infections in pigs
attributable to feed. The results can be further used in assessments considering
the human health risk linked to animal feed via livestock. The presented methods
can be used to predict the effect of changes in the feed chain, and they are
generally applicable to other animals and pathogens.
PMID- 29366473
TI - CRISPR-based assay for the molecular identification of highly prevalent
Salmonella serotypes.
PMID- 29366475
TI - Salmonella risk to consumers via pork is related to the Salmonella prevalence in
pig feed.
AB - Pigs are an important source of human infections with Salmonella, one of the most
common causes of sporadic gastrointestinal infections and foodborne outbreaks in
the European region. Feed has been estimated to be a significant source of
Salmonella in piggeries in countries of a low Salmonella prevalence. To estimate
Salmonella risk to consumers via the pork production chain, including feed
production, a quantitative risk assessment model was constructed. The Salmonella
prevalence in feeds and in animals was estimated to be generally low in Finland,
but the relative importance of feed as a source of Salmonella in pigs was
estimated as potentially high. Discontinuation of the present strict Salmonella
control could increase the risk of Salmonella in slaughter pigs and consequent
infections in consumers. The increased use of low risk and controlled feed
ingredients could result in a consistently lower residual contamination in pigs
and help the tracing and control of the sources of infections.
PMID- 29366476
TI - Salmonella Typhimurium metabolism affects virulence in the host - A mini-review.
AB - Salmonella enterica remains an important food borne pathogen in all regions of
the world with S. Typhimurium as one of the most frequent serovars causing food
borne disease. Since the majority of human cases are caused by food of animal
origin, there has been a high interest in understanding how S. Typhimurium
interacts with the animal host, mostly focusing on factors that allow it to
breach host barriers and to manipulate host cells to the benefit of itself. Up to
recently, such studies have ignored the metabolic factors that allow the bacteria
to multiply in the host, but this is changing rapidly, and we are now beginning
to understand that virulence and metabolism in the host are closely linked. The
current review highlights which metabolic factors that are essential for
Salmonella Typhimurium growth in the intestine, in cultured epithelial and
macrophage-like cell lines, at systemic sites during invasive salmonellosis, and
during long term asymptomatic colonization of the host. It also points to the
limitations in our current knowledge, most notably that most studies have been
carried out with few well-characterized laboratory strains, that we do not know
how much the in vivo metabolism differs between serotypes, and that most results
are based on challenges in the mouse model of infection. It will be very
important to realize whether the current understanding of Salmonella metabolism
in the host is true for all serotypes and all possible hosts.
PMID- 29366477
TI - NASPAG Position Statement on Surgical Management of DSD.
PMID- 29366478
TI - Succinate induces hepatic fibrogenesis by promoting activation, proliferation,
and migration, and inhibiting apoptosis of hepatic stellate cells.
AB - Liver fibrosis is a progressive pathological process that accompanies wound
healing; however, therapeutics for reversing hepatic fibrosis are unavailable.
Activation of hepatic stellate cells (HSCs) play a critical role in liver
fibrosis. Recent reports showed that succinate and its receptor, G-protein
coupled receptor 91 (GPR91), act as signaling molecules during the activation of
HSCs. However, the role of succinate in proliferation, apoptosis, and migration
of HSCs has not been studied. In this study, we determined whether succinate
regulates proliferation, apoptosis, and migration of HSCs and induces liver
fibrosis in a mouse model. Succinate treatment not only induced activation of
HSCs, but also increased the proliferation and migration of LX-2 HSCs and
inhibited apoptosis. To investigate whether succinate causes hepatic fibrosis,
100 mg/kg succinate or control PBS was administered by intraperitoneal injection
to mice once a day for four weeks. There were significant molecular changes such
as increased alpha-SMA and collagen type 1 production and increased production of
inflammatory cytokines such as IL-6 and TNF-alpha, but not TGF-beta, in the
succinate-treated group compared to the control group. However, no morphological
changes were observed in Masson's trichrome staining. In conclusion, the present
study demonstrated that succinate induces activation, proliferation, and
migration of HSCs and attenuates apoptosis in LX-2 HSCs. Therefore, inhibition of
succinate accumulation may be an effective method for reversing liver fibrosis by
controlling HSC survival and growth.
PMID- 29366479
TI - LncRNA CCND2-AS1 promotes proliferation, migration, and invasion in papillary
thyroid carcinoma.
AB - In decades, a lot of long non-coding RNAs (LncRNAs) have been proven to exert
influences on tumorigenesis in vitro and in vivo. Many lncRNAs have been reported
as effective therapeutic targets and biomarkers in various cancers. However,
whether LncRNAs are associated with the progression of PTC remains largely
unknown. In this study, we measured the expression of CCND2-AS1 in PTC cell lines
by quantitative real-time polymerase chain reaction (qRT-PCR).We found that CCND2
AS1 expression was significantly over-expressed in PTC cell lines compared to
normal thyroid epithelial cells. Gain-and loss-of-function experiments were
performed to investigate the role of CCND2-AS1 in PTC cells. In vitro
experiments, we proved that CCND2-AS1 knockdown in TPC1 significantly suppressed
cell proliferation, migration, and invasion, while CCND2-AS1 overexpression in
BCPAP had the opposite effects. Meanwhile, we also found that CCND2-AS1 could
regulate N-cadherin and Vimentin expression, which may influence invasion and
migration. Our findings indicate that the lncRNA CCND2-AS1 is a gene associated
with PTC and might become a potential therapeutic target.
PMID- 29366480
TI - Septin4 as a novel binding partner of PARP1 contributes to oxidative stress
induced human umbilical vein endothelial cells injure.
AB - Oxidative stress induced vascular endothelial cell injure is one of the key and
initial event in the development of atherosclerosis. Septin4, as a member of GTP
binding protein family, is widely expressed in the eukaryotic cells and
considered to be an essential component of the cytoskeleton which is involved in
many important physiological processes. However, whether Septin4 is involved in
cardiovascular diseases, such as oxidative stress inducted endothelial cell
injury still unclear. PARP1 as a DNA repair enzyme can be activated by
identifying DNA damaged fragments, which consumes high levels of energy and leads
to vascular endothelial cell apoptosis. Here, our results first found that
Septin4 is involved in oxidative stress induced endothelial cell ROS production
and apoptosis through knock-down and over-expression Septin4 approaches.
Furthermore, to explore how Septin4 is involved in oxidative stress induced
endothelial cells injure, we first identified that Septin4 is a novel PARP1
interacting protein and the interaction is enhanced under oxidative stress. In
conclusions, our founding indicates that Septin4 is a novel essential factor
involved in oxidative stress induced vascular endothelial cell injury by
interacting with apoptosis-related protein PARP1.
PMID- 29366481
TI - Discussion of "Risk of major complications following thyroidectomy and
parathyroidectomy: Utility of the NSQIP surgical risk calculator".
PMID- 29366482
TI - Breast cancer in women under 50: Most are not high risk.
AB - BACKGROUND: In 2009, the United States Preventive Services Task Force changed the
recommended starting age for annual screening mammography from 40 to 50 for non
"high risk" women. In 2015, the American Cancer Society issued similar
guidelines, with a starting age of 45. Our hypothesis is that most women
diagnosed with breast cancer in this age group do not fall into a "high risk"
category. METHODS: A retrospective review of women less than 50 years of age
diagnosed with breast cancer in the Legacy Health Care System was performed for
January 2013 through December 2015. Validated risk assessment models were used to
quantify risk. High risk was defined as lifetime risk of breast cancer greater
than 20%. RESULTS: 249 women were identified. Of these, 79 (32%) of women were
high risk. 170 (68%) did not fall into the high risk category. CONCLUSION: In our
population, approximately two thirds of women with breast cancer under 50 are non
"high risk". We argue that women should receive annual mammograms starting at age
40, because low risk is not protective.
PMID- 29366483
TI - Self-assessment of team performance using T-NOTECHS in simulated pediatric trauma
resuscitation is not consistent with expert assessment.
AB - BACKGROUND: The Trauma NOn-TECHnical Skills (T-NOTECHS) tool has been used to
assess teamwork in trauma resuscitation, but its reliability and validity for
self-assessment is unknown. Our purpose was to determine the reliability and
validity of self-administered T-NOTECHS in pediatric trauma resuscitation.
METHODS: Simulated in situ resuscitations were evaluated using T-NOTECHS in real
time by experts and immediately afterwards by team members. Reliability was
analyzed with linear-weighted kappa and intra-class correlation. T-NOTECHS scores
were compared between expert (gold-standard) and self-assessment. RESULTS:
Fifteen simulations were examined. T-NOTECHS scores were similar between self-
and expert assessment for leadership. Self-assessment scores were higher than
expert for the other domains and total composite score. Inter-rater reliability
for total score was similar between the two groups, but differences were observed
in the domains. CONCLUSIONS: Self-assessment is not interchangeable with expert
rating when using T-NOTECHS. Future studies need to determine how self-assessment
can be best utilized. LEVEL OF EVIDENCE: Studies of diagnostic accuracy - Level
2.
PMID- 29366484
TI - Discussion of "Protocolized warfarin reversal with 4-factor prothrombin complex
concentrate versus 3-factor prothrombin complex concentrate with recombinant
factor VIIa".
AB - INTRODUCTION: Life-threatening bleeding is the most feared complication of
warfarin therapy. Rapid anticoagulant reversal via replacement of vitamin K
dependent clotting factors is essential for hemostasis. METHODS: A retrospective
cohort study of warfarin-treated patients experiencing a life-threatening bleed
treated with a warfarin reversal protocol comprised of 4F PCC (post
implementation group) and those who received the prior reversal protocol of 3F
PCC and rFVIIa (pre-implementation group) was performed. Demographic and clinical
information, anticoagulant reversal information, and all adverse events
attributed to warfarin reversal were recorded. RESULTS: 195 patients were
included in final analysis; 118 in the pre-implementation group, 77 in the post
implementation group. While baseline SOFA and GCS scores were similar between
groups, the pre-implementation group had a longer ICU LOS and higher in-hospital
mortality (p < .01, .01 respectively). The most common indication for
anticoagulation reversal was intracranial hemorrhage in both groups. Pre-reversal
INR was similar between both groups, but post-reversal INR was significantly
lower in the pre-implementation group, 0.8 versus 1.3 (p < .01). Significantly
more patients experienced thromboembolic complications (TEC) in the pre
implementation group than the post-implementation group, 27.9% versus 6.5% of
patients (p < .01). The leading TEC in both groups was deep venous thrombosis.
Differences in baseline characteristics (p <= .2) were entered into a Poisson log
linear regression model for association with TECs. Receipt of rFVIIa was the only
characteristic significantly associated with TECs. DISCUSSION: A 4F PCC warfarin
reversal strategy is efficacious at reversing INR while providing significantly
lower thromboembolic risk as compared to 3F PCC with rVIIa.
PMID- 29366485
TI - Patient and social characteristics contributing to disparities in outcomes after
burn injury: application of database research to minority health in the burn
population.
AB - BACKGROUND: Although racial disparities have been well described in trauma and
medical literature, less is known about disparities in the burn population,
especially the Native American, Hispanic, Black, and Asian minority groups. This
study seeks to identify at-risk populations for differences in patient and social
characteristics that may link certain race groups to disparate burn outcomes.
METHODS: Data was reviewed from the National Burn Repository. Information
regarding patient demographics, co-morbidities, complications, and clinical
outcomes was recorded. Student's T-test, ANOVA, and binary logistic regression
were used to assess relationships between patient factors and outcomes. RESULTS:
The Native American cohort had higher rates of alcoholism, drug abuse, and
homelessness compared to all patients. Native Americans also had significantly
longer hospital lengths of stay, and higher rates of respiratory failure,
pneumonia, sepsis, and wound complications. The Black population demonstrated the
highest percentage of injury at home, child abuse, and non-insurance. Mortality
was highest in the Black population compared to all patients. CONCLUSIONS: These
findings suggest that outcome disparities exist in burn-injured patients in
multiple minority groups.
PMID- 29366486
TI - Effects of radiation and surgery on function and quality of life (QOL) in rectal
cancer patients.
AB - Pre-operative radiotherapy (PRT) and total mesorectal excision surgery (TME) for
rectal cancer yield the lowest risk for local recurrence. However, both
treatments negatively impact quality of life (QOL). To understand individual
treatment effects, we ask whether PRT affects function and quality of life before
TME. Function and QOL were prospectively assessed in 26 patients using EORTC QLQ
C30/-CR38, and Wexner scale at three time points: before PRT, 6 weeks after PRT
and before TME, and one year after stoma closure. Wexner score did not change
post-PRT but did increase post-TME (p < .01). Micturition score did not change
with PRT or TME (p = .29). Sexual function score improved post-PRT (p = .03) but
did not change post-TME. Global health status did not change post-treatments (p =
.45). Future perspective improved post-surgery (p = .04). PRT did not affect
micturition, bowel function, or QOL. Future perspective improved despite
increased bowel problems and fecal incontinence. QOL was maintained after
curative rectal cancer treatments, radiation and TME surgery. This information
may help patients and physicians better understand effects of PRT and TME
treatments for rectal cancer.
PMID- 29366487
TI - Complications and their associations following the surgical repair of pressure
ulcers.
AB - BACKGROUND: Despite high expenditure, there is little national data on rates of
complications following pressure ulcer repair. Complications, mortality and their
predictors following surgical repair of pressure ulcers were evaluated. METHODS:
Patients undergoing pressure ulcer repair were identified in the NSQIP database
from 2005 to 2015. Regression models were used to identify risk factors for
complications. RESULTS: 1248 cases were identified with a complication rate of
35.0%. Obesity was associated with increased risk of complications, whereas flap
closure was associated with fewer complications. Thirty-day mortality was 3.3%.
Elderly age and diabetes were associated with increased mortality. CONCLUSIONS:
Elderly age, diabetes and dependency are associated with increased mortality
following pressure ulcer surgery. Flap repair is associated with decreased
complications. Pressure ulcer reconstruction requires careful patient selection
and surgical technique to mitigate risks and mortality.
PMID- 29366488
TI - Repeatability of Cervical Joint Flexion and Extension Within and Between Days.
AB - OBJECTIVE: The purpose of this study was to investigate within- and between-day
repeatability of free and unrestricted healthy cervical flexion and extension
motion when assessing dynamic cervical spine motion. METHODS: Fluoroscopy videos
of 2 repeated cervical flexion and 2 repeated extension motions were examined for
within-day repeatability (20-second interval) for 18 participants (6 females) and
between-day repeatability (1-week interval) for 15 participants (6 females). The
dynamic cervical motions were free and unrestricted from neutral to end range.
The flexion videos and extension videos were evenly divided into 10% epochs of
the C0-to-C7 range of motion. Within-day and between-day repeatability of joint
motion angles (all 7 joints and epochs, respectively) was tested in a repeated
measures analysis of variance. Joint motion angle differences between repetitions
were calculated for each epoch and joint (7 joints), and these joint motion angle
differences between within-day and between-day repetitions were tested in mixed
model analysis of variance. RESULTS: For all joints and epochs, respectively, no
significant differences were found in joint motion angle between within-day or
between-day repetitions. There were no significant effects of joint motion angle
differences between within-day and between-day repetitions. The average within
day joint motion angle differences across all joints and epochs were 0.00 degrees
+/- 2.98 degrees and 0.00 degrees +/- 3.05 degrees for flexion and extension,
respectively. The average between-day joint motion angle differences were 0.02
degrees +/- 2.56 degrees and 0.05 degrees +/- 2.40 degrees for flexion and
extension, respectively. CONCLUSIONS: This is the first study to report the
within-day and between-day joint motion angle differences of repeated cervical
flexion and extension. This study supports the idea that cervical joints repeat
their motion accurately.
PMID- 29366489
TI - Glucocorticoid-Induced Changes in Rat Skeletal Muscle Biomechanical and
Viscoelastic Properties: Aspects of Aging.
AB - OBJECTIVES: The purpose of this study was to estimate the state of tension (tone)
and the biomechanical and viscoelastic properties of skeletal muscle in aging
rats during the administration of different doses of dexamethasone and to find
the relationships among the state of muscle atrophy, muscle strength, and the
abovementioned muscle properties. METHODS: Muscle state of tension, biomechanical
(elasticity, dynamic stiffness) and viscoelastic (mechanical stress relaxation
time, Deborah number) properties (using MyotonPRO, Myoton Ltd, Tallinn, Estonia),
lean body mass (BM), and hind limb grip strength were measured before and after
the administration of a 10-day treatment with dexamethasone 100 MUg/100 g BM
(young and old group) and 50 MUg/100 g BM (old group). RESULTS: Muscle elasticity
(logarithmic decrement) was lower in old animals (1.86 +/- 0.03) in comparison
with young adult rats (1.38 +/- 0.04) (P < .01). After the 10-day treatment with
dexamethasone 100 MUg/100 g BM, young adult rats had 10% lower muscle elasticity
(P < .01). The same dose of dexamethasone in old rats increased tone (frequency
of natural oscillation) from 29.13 +/- 0.51 Hz to 38.50 +/- 0.95 Hz (P < .001).
There were dose-dependent differences in dynamic stiffness and tone of muscle;
changes in elasticity were independent of the dose in old animals. In old rats,
the muscle's viscoelastic properties decreased after dexamethasone
administration. Significant correlation was found between changes in muscle
logarithmic decrement and stiffness (rs = 0.90; P < .05) in old animals.
CONCLUSIONS: Biomechanical and viscoelastic properties of skeletal muscle
indicate changes in the main function of muscle during glucocorticoid-induced
muscle atrophy and are in agreement with changes in hind limb strength. The
myometric measurements indicate the direction and magnitude of change in muscle
tissue after different doses of dexamethasone administration easily and quickly.
PMID- 29366490
TI - Concordance and Reliability of Photogrammetric Protocols for Measuring the
Cervical Lordosis Angle: A Systematic Review of the Literature.
AB - OBJECTIVE: The aim of this study was to examine and interpret the concordance,
accuracy, and reliability of photogrammetric protocols available in the
literature for evaluating cervical lordosis in an adult population aged 18 to 59
years. METHODS: A systematic search of 6 electronic databases (MEDLINE via
PubMed, LILACS, CINAHL, Scopus, ScienceDirect, and Web of Science) located
studies that assessed the reliability and/or concordance and/or accuracy of
photogrammetric protocols for evaluating cervical lordosis, compared with
radiography. Articles published through April 2016 were selected. Two independent
reviewers used a critical appraisal tool (QUADAS and QAREL) to assess the quality
of the selected studies. RESULTS: Two studies were included in the review and had
high levels of reliability (intraclass correlation coefficient: 0.974-0.98). Only
1 study assessed the concordance between the methods, which was calculated using
Pearson's correlation coefficient. To date, the accuracy of photogrammetry has
not been investigated thoroughly. CONCLUSION: We encountered no study in the
literature that investigated the accuracy of photogrammetry in diagnosing
hyperlordosis of cervical spine. However, both current studies report high levels
of intra- and interrater reliability. To increase the level of evidence of
photogrammetry in the evaluation of cervical lordosis, it is necessary to conduct
further studies using a larger sample to increase the external validity of the
findings.
PMID- 29366492
TI - Optimization of large MOD restorations: Composite resin inlays vs. short fiber
reinforced direct restorations.
AB - OBJECTIVE: To compare mechanical performance and enamel-crack propensity of
direct, semi-direct, and CAD/CAM approaches for large MOD composite-resin
restorations. METHODS: 45 extracted maxillary molars underwent standardized slot
type preparation (5-mm depth and bucco-palatal width) including immediate dentin
sealing (Optibond FL) for the inlays (30 teeth). Short-fiber reinforced composite
resin (EverX Posterior covered by Gradia Direct Posterior) was used for the
direct approach, Gradia Direct Posterior for the semi-direct, and Cerasmart
composite resin blocks for CAD/CAM inlays. All inlays were adhesively luted with
light-curing composite-resin (preheated Gradia Direct Posterior). Shrinkage
induced enamel cracks were tracked by transillumination photography. Cyclic axial
isometric chewing (5-Hz) was simulated, starting with a load of 200N (5000
cycles), followed by stages of 400, 600, 800, 1000, 1200, and 1400N (maximum
30,000 cycles each) until fracture or to a maximum of 185,000 cycles. Survived
specimens were subjected to cyclic-load-to-failure test at 30-degree angle on the
palatal cusp. RESULTS: Only small shrinkage-induced cracks were found in 47% of
the direct restorations compared to 7% and 13% of semi-direct and CAD/CAM inlays,
respectively. Survival to accelerated fatigue was similar for all three groups
(Kaplan-Meier p>.05) and ranged between 87% (direct) and 93% (semi-direct and
CAD/CAM). Cyclic-load-to-failure tests did not yield significant differences
either (Life Table analysis, p>.05) with median values of 1675N for CAD/CAM
inlays, 1775N for fiber-reinforced direct restorations and 1900N for semi-direct
inlays. SIGNIFICANCE: All three restorative techniques yielded excellent
mechanical performance above physiological masticatory loads. Direct restorations
performed as good as inlays when a short-fiber reinforced composite-resin base
was used.
PMID- 29366491
TI - Focal epilepsy as the revealing symptom of 5,10-methylenetetrahydrofolate
reductase deficiency in a young adult.
PMID- 29366493
TI - Mechanical properties and fracture behavior of flowable fiber reinforced
composite restorations.
AB - OBJECTIVE: The aim was to evaluate the effect of short glass-fiber/filler
particles proportion on fracture toughness (FT) and flexural strength (FS) of an
experimental flowable fiber-reinforced composite (Exp-SFRC) with two methacrylate
resin formulations. In addition, we wanted to investigate how the fracture
behavior of composite restorations affected by FT values of SFRC-substructure.
METHODS: Exp-SFRC was prepared by mixing 50wt% of dimethacrylate based resin
matrix (bisGMA or UDMA based) to 50wt% of various weight fractions of glass
fiber/particulate filler (0:50, 10:40, 20:30, 30:20, 40:10, 50:0wt%,
respectively). FT and FS were determined for each experimental material following
standards. Specimens (n=8) were dry stored (37 degrees C for 2 days) before they
were tested. Four groups of posterior composite crowns (n=6) composed of
different Exp-SFRCs as substructure and surface layer of commercial particulate
filler composite were fabricated. Crowns were statically loaded until fracture.
Failure modes were visually examined. The results were statistically analysed
using ANOVA followed by post hoc Tukey's test. RESULTS: ANOVA revealed that ratio
of glass-fiber/particulate filler had significant effect (p<0.05) on tested
mechanical properties of the Exp-SFRC with both monomer systems. Exp-SFRC (50wt%)
had significantly higher FT (2.6MPam1/2) and FS (175.5MPa) (p<0.05) compared to
non-reinforced material (1.3MPam1/2, 123MPa). Failure mode analysis of crown
restorations revealed that FT value of the substructure directly influenced the
failure mode. SIGNIFICANCE: This study shows that short glass-fibers can
significantly reinforce flowable composite resin and the FT value of SFRC
substructure has prior importance, as it influences the crack arresting
mechanism.
PMID- 29366494
TI - Proposal for an algorithm for the management of the patient's airway after smoke
inhalation.
AB - Smoke inhalation represents the leading cause of mortality and morbidity in burns
patients. Given the injuries that can occur in the airway after this exposure, it
is imperative to evaluate the need for orotracheal intubation in the emergency
department and even in the place of first assistance by healthcare workers. Since
the clinical signs are poor predictors of the severity of the lesion, in selected
cases, it is advisable to perform a diagnostic fibroscopy. We present a case
report of a patient with a smoke inhalation lesion in which the fibroscopy was
determinant to proceed to intubation, and we propose an algorithm of action for
the management of the airway in this type of patients.
PMID- 29366495
TI - Cerebral oximetry monitoring in the management of severe hypoxaemia associated
with transposition of the great arteries with balloon atrial septostomy.
AB - Transposition of the great arteries (D-TGA) is one of the most common congenital
heart diseases requiring neonatal surgical intervention. In the desperately ill
neonate with TGA and the resultant hypoxaemia, acidemia, and congestive heart
failure, improvement is often obtained with balloon atrial septostomy (BAS).
Current methods employed to evaluate oxygen delivery and tissue consumption are
frequently nonspecific. Near infrared spectroscopy (NIRS) allows a continuous non
invasive measurement of tissue oxygenation which reflects perfusion status in
real time. Because little is known about the direct effect of BAS on the neonatal
brain and on cerebral oxygenation, we measured the effectiveness of BAS in two
patients with D-TGA using NIRS before and after BAS. We concluded BAS improves
cerebral oxygen saturation in neonates with D-TGA.
PMID- 29366496
TI - Normal values of the ventricular gradient and QRS-T angle, derived from the
pediatric electrocardiogram.
AB - BACKGROUND: Normal values of the mathematically-synthesized vectorcardiogram
(VCG) are lacking for children. Therefore, the objective of this study was to
assess normal values of the pediatric synthesized VCG (spatial QRS-T angle [SA]
and ventricular gradient [VG]). METHODS: Electrocardiograms (ECGs) of 1263
subjects (0-24 years) with a normal heart were retrospectively selected. VCGs
were synthesized by the Kors matrix. Normal values (presented as 2nd and 98th
percentiles) were assessed by quantile regression with smoothing by splines.
RESULTS: Our results show that heart rate decreased over age, QRS duration
increased and QTc interval remained constant. The SA initially decreased and
increased again from the age of 8 years. The VG magnitude was relatively stable
until the age of 2 years, after which it increased. CONCLUSION: Normal values of
the pediatric ECG and VCG (VG and SA) were established. These normal values could
be important for future studies using VG and SA for risk stratification in heart
disease in children.
PMID- 29366497
TI - PCSK9 inhibitors in clinical practice: Expectations and reality.
PMID- 29366498
TI - Stroke outcome is associated with baseline renal function: A risk factor that
matters!
PMID- 29366499
TI - [Europe and Japan alliance for clinical research in oncology].
PMID- 29366500
TI - A comprehensive toolkit for imaging children who may have been abused: new
guidance from the Royal College of Radiologists and the Society and College of
Radiographers.
PMID- 29366501
TI - [Muscle pain and statin, pharmacological or nocebo effect?]
PMID- 29366502
TI - Treatment of type 2 diabetes mellitus in elderly patients.
AB - The prevalence of type 2 diabetes mellitus (DM2) increases markedly with age.
Antidiabetic treatment and the objectives of glycaemic control in elderly
patients with DM2 should be individualised according to their biopsychosocial
characteristics. In elderly patients for whom the benefits of intensive
antidiabetic treatment are limited, the basic objectives should be to improve the
quality of life, preserve functionality and avoid adverse effects, especially
hypoglycaemia. Treatment of DM2 in the elderly was the subject of a consensus
document published in 2012 and endorsed by several Spanish scientific societies.
Since then, new therapeutic groups and evidence have emerged that warrant an
update to this consensus document. The present document focuses on the
therapeutic aspects of DM2 in elderly patients, understood as being older than 75
years or frail.
PMID- 29366503
TI - Enhancing the chemical selectivity in discovery-based analysis with tandem
ionization time-of-flight mass spectrometry detection for comprehensive two
dimensional gas chromatography.
AB - The complementary information provided by tandem ionization time-of-flight mass
spectrometry (TI-TOFMS) is investigated for comparative discovery-based analysis,
when coupled with comprehensive two-dimensional gas chromatography (GC * GC). The
TI conditions implemented were a hard ionization energy (70 eV) concurrently
collected with a soft ionization energy (14 eV). Tile-based Fisher ratio (F
ratio) analysis is used to analyze diesel fuel spiked with twelve analytes at a
nominal concentration of 50 ppm. F-ratio analysis is a supervised discovery-based
technique that compares two different sample classes, in this case spiked and
unspiked diesel, to reduce the complex GC * GC-TI-TOFMS data into a hit list of
class distinguishing analyte features. Hit lists of the 70 eV and 14 eV data
sets, and the single hit list produced when the two data sets are fused together,
are all investigated. For the 70 eV hit list, eleven of the twelve analytes were
found in the top thirteen hits. For the 14 eV hit list, nine of the twelve
analytes were found in the top nine hits, with the other three analytes either
not found or well down the hit list. As expected, the F-ratios per m/z used to
calculate each average F-ratio per hit were generally smaller fragment ions for
the 70 eV data set, while the larger fragment ions were emphasized in the 14 eV
data set, supporting the notion that complementary information was provided. The
discovery rate was improved when F-ratio analysis was performed on the fused data
sets resulted in eleven of the twelve analytes being at the top of the single hit
list. Using PARAFAC, analytes that were "discovered" were deconvoluted in order
to obtain their identification via match values (MV). Location of the analytes
and the "F-ratio spectra" obtained from F-ratio analysis were used to guide the
deconvolution. Eight of the twelve analytes where successfully deconvoluted and
identified using the in-house library for the 70 eV data set. PARAFAC
deconvolution of the two separate data sets provided increased confidence in
identification of "discovered" analytes. Herein, we explore the limit of analyte
discovery and limit of analyte identification, and demonstrate a general workflow
for the investigation of key chemical features in complex samples.
PMID- 29366504
TI - Diagnostic imaging in neuro-ophthalmology.
AB - Neuro-ophthalmology is a field combining neurology and ophthalmology that studies
diseases that affect the visual system and the mechanisms that control eye
movement and pupil function. Imaging tests make it possible to thoroughly assess
the relevant anatomy and disease of the structures that make up the visual
pathway, the nerves that control eye and pupil movement, and the orbital
structures themselves. This article is divided into three sections (review of the
anatomy, appropriate imaging techniques, and evaluation of disease according to
clinical symptoms), with the aim of providing useful tools that will enable
radiologists to choose the best imaging technique for the differential diagnosis
of patients' problems to reach the correct diagnosis of their disease.
PMID- 29366505
TI - Letter to the Editor.
PMID- 29366506
TI - Pediatric surgeon density in South Africa.
AB - BACKGROUND: There are limited data regarding the available pediatric surgical
workforce in South Africa and their employment prospects on completion of their
specialist training. METHODS: This aim of this study was to quantify and analyze
the pediatric surgical workforce in South Africa as well as to determine their
geographic and sector distribution. This involved a quantitative descriptive
analysis of all registered specialist as well as training pediatric surgeons in
South Africa. RESULTS: The results showed 2.6 pediatric surgeons per one million
population under 14 years. More than half (69%) were male and the median age was
46.8 years. There were however, more female surgical registrars currently in
training. The majority of the pediatric surgical practitioners were found in
Gauteng, followed by the Western Cape and Kwa-Zulu Natal. The majority of
specialists reportedly worked in the public sector, however the number of public
sector pediatric surgeons available to those without health insurance fell below
those available to private patients. CONCLUSION: Interprovincial differences as
well as intersectoral differences were marked indicating geographic and
socioeconomic maldistribution of pediatric surgeons. Addressing this
maldistribution requires concerted efforts to expand public sector specialist
posts. STUDY TYPE: Descriptive audit LEVEL OF EVIDENCE: IV.
PMID- 29366507
TI - Decentralized adaptive control of interconnected nonlinear systems with unknown
control directions.
AB - In this paper, we propose a decentralized adaptive control scheme for a class of
interconnected strict-feedback nonlinear systems without a priori knowledge of
subsystems' control directions. To address this problem, a novel Nussbaum-type
function is proposed and a key theorem is drawn which involves quantifying the
interconnections of multiple Nussbaum-type functions of the subsystems with
different control directions in a single inequality. Global stability of the
closed-loop system and asymptotic stabilization of subsystems' output are proved
and a simulation example is given to illustrate the effectiveness of the proposed
control scheme.
PMID- 29366508
TI - High-speed, high-precision focal length measurement using double-hole mask and
advanced image sensor software.
AB - A cutting-edge precision technique for computation of focal length of a positive
lens with double-hole mask is described. The technique is simple and versatile
due to incorporation of the updated functions of image sensor device that
supports reading the distance between beam spots instantaneously while the
position of the specimen is being changed, as well as the reduction in several
challenging measurement steps. Furthermore, this technique does not require prior
knowledge of distances in the optical setup. High accuracy in focal-length
measurement is obtained by precise beam spot distance analysis using image sensor
integrated software. The acquired data exhibit considerably high precision and
reproducibility.
PMID- 29366509
TI - SLN biopsy in cervical cancer patients with tumors larger than 2cm and 4cm.
AB - OBJECTIVES: The aim of this study was to assess the detection rate, false
negative rate and sensitivity of SLN in LN staging in tumors over 2cm on a large
cohort of patients. METHODS: Data from patients with stages pT1a - pT2 cervical
cancer who underwent surgical treatment, including SLN biopsy followed by
systematic pelvic lymphadenectomy, were retrospectively analyzed. A combined
technique with blue dye and radiocolloid was modified in larger tumors to inject
the tracer into the residual cervical stroma. RESULTS: The study included 350
patients with stages pT1a - pT2. Macrometastases, micrometastases, and isolated
tumor cells were found in 10%, 8%, and 4% of cases. Bilateral detection rate was
similar in subgroups with tumors<2cm, 2-3.9cm, and >=4cm (79%, 83%, 76%)
(P=0.460). There were only two cases with false-negative SLN ultrastaging for
pelvic LN status among those with bilateral SLN detection. The false negative
rate was very low in all three subgroups of different tumor sizes (0.9%, 0.9%,
and 0.0%; P=0.999). Sensitivity reached 96% in the whole group and was high in
all three groups (93%, 93%, 100%; P=0.510). CONCLUSIONS: If the tracer
application technique is adjusted in larger tumors, SLN biopsy can be equally
reliable in pelvic LN staging in tumors smaller and larger than 2cm. The
bilateral detection rate and false negative rate did not differ in subgroups of
patients with tumors<2cm, 2-3.9cm, and >=4cm.
PMID- 29366510
TI - The NCI-MATCH trial and precision medicine in gynecologic cancers.
AB - The Precision Medicine Initiative is a National Cancer Institute (NCI) driven
interdisciplinary collaborative effort to test the feasibility of trials
incorporating genomic profiling when choosing patient therapies. The goal of the
initiative is to generate the scientific evidence needed to move the concept of
precision medicine, or targeted therapy, into clinical practice. The rapid
development and widespread availability of next generation sequencing provides
access to information regarding an individual's tumor at various times during the
course of their disease. Translating the aberrations specific to a patient's
tumor into personalized treatment is the concept behind "basket" trials, and thus
categorize patients' cancers based on the sequencing of the tumor, rather than
the organ of origin. The NCI Molecular Analysis for Therapy Choice (MATCH) trial
[NCT02465060] is a multi-site, collaborative effort between the NCI and several
pharmaceutical companies that is beginning to clarify the significance of
molecular alterations in tumors. This trial was designed to assign targeted
treatment based on molecular alterations identified from a tumor biopsy obtained
after study enrollment and determine the efficacy of this treatment. This review
article will briefly discuss known genomic aberrations in gynecologic cancers,
and then provide an overview of the NCI-MATCH trial with an update on accrual and
recent interim analysis. We will also review current FDA-approved precision
therapies for gynecologic malignancies, such as poly (ADP ribose) polymerase
(PARP) inhibitors.
PMID- 29366511
TI - A systematic review and meta-analysis of psychological functioning in chronic
tinnitus.
AB - Chronic tinnitus, the phenomenon of a chronic ringing in the ears or head, has a
significant negative impact on an individual's health and wellbeing. Despite
this, there is no cure or consensus regarding factors maintaining ongoing
awareness of the sensation in this population, or the severity of its impact. We
aimed to comprehensively and systematically review behavioural studies
investigating the psychological functioning of adults with chronic tinnitus. We
identified 64 papers meeting our search criteria for inclusion, which are
reviewed with regard to psychological factors involved with the presence of
chronic tinnitus, and those relating to its severity. The majority of studies
assessed the presence and impact of chronic tinnitus with regard to emotional
wellbeing (n=59), with a more recent interest in cognitive functioning (n=16). A
subset of 36 studies was included in meta-analyses investigating the
relationships between emotional wellbeing and the presence and impact of chronic
tinnitus using random-effects models. Our findings indicate the presence of
chronic tinnitus may be associated with reduced cognitive functioning,
particularly attention, and with reduced emotional wellbeing, particularly
anxiety and depression. We also found consistent evidence indicating severity of
chronic tinnitus is associated with a broad range of psychological features,
primarily symptoms of depression and anxiety. We recommend investigating the
complexity of the relationships between emotional wellbeing, cognitive
functioning, and chronic tinnitus using a range of methodologies to further
elucidate the role of psychological functioning in chronic tinnitus.
PMID- 29366512
TI - Risky business: Changes in boldness behavior in male Siamese fighting fish, Betta
splendens, following exposure to an antiandrogen.
AB - Components of boldness, such as activity level and locomotion, influence an
individual's ability to avoid predators and acquire resources, generating fitness
consequences. The presence of endocrine disrupting chemicals (EDCs) in the
aquatic environment may affect fitness by changing morphology or altering
behaviors like courtship and exploration. Most research on EDC-generated
behavioral effects has focused on estrogen mimics and reproductive endpoints. Far
fewer studies have examined the effects of other types of EDCs or measured non
reproductive behaviors. EDCs with antiandrogenic properties are present in
waterways yet we know little about their effects on exposed individuals although
they may produce effects similar to those caused by estrogen mimics because they
act on the same hormonal pathway. To examine the effects of antiandrogens on
boldness, this study exposed male Siamese fighting fish, Betta splendens, to a
high or low dose of one of two antiandrogens, vinclozolin or flutamide, and
observed behavior in three boldness assays, both before and after exposure.
Overall, antiandrogen exposure increased boldness behavior, especially following
exposure to the higher dose. Whether or not antiandrogen exposure influenced
boldness, as well as the nature and intensity of the effect, was assay-dependent.
This demonstrates the importance of studying EDC effects in a range of contexts
and, at least within this species, suggests that antiandrogenic compounds may
generate distinct physiological effects in different situations. How and why the
behavioral effects differ from those caused by exposure to an estrogen mimic, as
well as the potential consequences of increased activity levels, are discussed.
Exposure to an antiandrogen, regardless of dose, produced elevated activity
levels and altered shoaling and exploration in male Siamese fighting fish. These
modifications may have fitness consequences.
PMID- 29366513
TI - Vibegron, a Novel Potent and Selective beta3-Adrenoreceptor Agonist, for the
Treatment of Patients with Overactive Bladder: A Randomized, Double-blind,
Placebo-controlled Phase 3 Study.
AB - BACKGROUND: Vibegron is a novel, potent, and selective beta3-adrenoreceptor
agonist for the treatment of patients with overactive bladder (OAB). OBJECTIVE:
To evaluate the efficacy and safety of vibegron versus placebo in Japanese OAB
patients. DESIGN, SETTING, AND PARTICIPANTS: Patients with OAB entered a 2-wk
placebo run-in phase. Once eligibility (>=8 micturition/d and either >=1 urgency
episodes/d or >=1 urgency incontinence episodes/d) was confirmed, patients
entered a 12-wk double-blind treatment phase. The anticholinergic imidafenacin
was used as an active reference. INTERVENTION: A total of 1232 patients were
randomly assigned to one of the four 12-wk treatment groups: vibegron (50mg or
100mg once daily), placebo, or imidafenacin (0.1mg twice daily). OUTCOME
MEASUREMENTS AND STATISTICAL ANALYSIS: The primary endpoint was change in the
mean number of micturitions/d at wk 12 from baseline. The secondary endpoints
were changes from baselines in OAB symptom variables (daily episodes of urgency,
urgency incontinence, incontinence, and nocturia, and voided volume/micturition).
Quality of life (QoL) and safety were assessed. A constrained longitudinal data
analysis model was used for analysis of efficacy. RESULTS AND LIMITATIONS:
Patients taking vibegron 50mg and 100mg orally for 12 wk had significant
improvements over the placebo in the primary and secondary endpoints. The
proportions of patients with normalization of micturition, resolution of urgency,
urgency incontinence, and incontinence were significantly greater than placebo.
Vibegron significantly improved QoL, with high patient satisfaction. Incidences
of drug-related adverse events with vibegron 50mg and 100mg were 7.6%, 5.4%,
similar to placebo (5.1%), and less than imidafenacin (10.3%). Treatment was for
just 12 wk and a long-term study is needed. CONCLUSIONS: The 12-wk treatment with
vibegron is effective and well tolerated in patients with OAB. PATIENT SUMMARY:
This randomized study demonstrated that vibegron is clinically useful for
treatment of patients with OAB. Trial registration JapicCTI-152936.
http://www.clinicaltrials.jp/user/cteDetail.jsp.
PMID- 29366514
TI - Cognitive determinants of healthcare evaluations - A comparison of Eastern and
Western European countries.
AB - Knowing the public opinion of healthcare is essential when assessing healthcare
system performance; but little research has focussed on the links between the
public's general attitude to the healthcare system and its perceptions and
expectations of specific healthcare-related aspects. Using data from the fourth
round of the European Social Survey 2008/09, we explore the cognitive
determinants of global evaluations of the healthcare system in 12 Eastern and 16
Western European countries. We find that healthcare evaluations follow a coherent
cognitive reasoning. They are associated with (i) perceptions of the performance
of healthcare systems (i.e. efficiency, equality of treatment, health outcomes),
(ii) expectations of the government's role in providing healthcare, and (iii)
reflections on demographic pressures (i.e. aging populations). Contrary to the
general assumption that normative expectations are responsible for differences in
healthcare evaluations between Eastern and Western Europe, our results suggest
that regional differences are largely due to a more negative perception of the
performance of healthcare systems within Eastern Europe. To enhance the public
opinion of healthcare, policy makers should improve the efficiency of healthcare
systems and take measures to assure equality in health treatment.
PMID- 29366515
TI - Antinociceptive profiles and mechanisms of centrally administered oxyntomodulin
in various mouse pain models.
AB - In the present study, the antinociceptive profiles of oxyntomodulin were examined
in ICR mice. Oxyntomodulin administered intrathecally (i.t.) and
intracerebroventricularly (i.c.v.) (from 1 to 5MUg/5MUl) showed an
antinociceptive effect in a dose-dependent manner as measured in the acetic acid
induced writhing test. Moreover, cumulative response time of nociceptive
behaviors induced by intraplantar formalin injection was reduced by i.t. or
i.c.v. treatment with oxyntomodulin during the second, but not the first phase.
In addition, the cumulative nociceptive response time after i.t. injection with
substance P (0.7MUg), glutamate (20MUg), and pro-inflammatory cytokines such as
TNF-alpha, IL-beta or IFN-gamma (100pg/5MUl) was diminished by spinally or
supraspinally administered oxyntomodulin. However, i.t. and i.c.v. treatment with
oxyntomodulin did not affect latencies of the tail-flick and hot-plate paw
licking responses. Furthermore, the i.t. pretreatment with yohimbine (adrenergic
receptor antagonist), but not naloxone (an opioid receptor antagonist) or
methysergide (a serotonergic receptor antagonist), attenuated antinociceptive
effect induced by oxyntomodulin administered i.c.v. in the writhing test. The
i.c.v. or i.t. pretreatment with oxyntomodulin attenuated formalin-induced
increase of phosphorlated ERK (p-ERK) expression in the spinal cord. Our results
suggest that centrally administered oxyntomodulin shows an antinociceptive
property in various pain models except for thermal-induced nociception.
Furthermore, supraspinally administered oxyntomodulin-induced antinociception may
be mediated by spinal adrenergic receptors, but not serotonergic and opioidergic
receptors. Furthermore, the antinociception induced by oxyntomodulin appears to
be mediated by reduced formalin-induced p-ERK expression in the spinal cord.
PMID- 29366516
TI - Evolving Ecosystems: Inheritance and Selection in the Light of the Microbiome.
AB - The importance of microorganisms in human biology is undeniable. The amount of
research that supports that microbes have a fundamental role in animal and plant
physiology is substantial and increasing every year. Even though we are only
beginning to comprehend the broadness and complexity of microbial communities,
evolutionary theories need to be recast in the light of such discoveries to fully
understand and incorporate the role of microbes in our evolution. Fundamental
evolutionary concepts such as diversity, heredity, selection, speciation, etc.,
which constitute the modern synthesis, are now being challenged, or at least
expanded, by the emerging notion of the holobiont, which defines the genetic and
metabolic networks of the host and its microbes as a single evolutionary unit.
Several concepts originally developed to study ecosystems, can be used to
understand the physiology and evolution of such complex systems that constitute
"individuals." In this review, we discuss these ecological concepts and also
provide examples that range from squids, insects and koalas to other mammals and
humans, suggesting that microorganisms have a fundamental role not only in
physiology but also in evolution. Current evolutionary theories need to take into
account the dynamics and interconnectedness of the host-microbiome network, as
animals and plants not only owe their symbiogenetic origin to microbes, but also
share a long evolutionary history together.
PMID- 29366517
TI - Reply Letter to the Editor-Lifestyle predictors of obese and non-obese patients
with nonalcoholic fatty liver disease: A cross-sectional study.
PMID- 29366518
TI - Reply-Letter to the Editor-Some notes on citrulline in the CNS.
PMID- 29366519
TI - Palliative radiotherapy for locally advanced non-metastatic head and neck cancer:
A survey of UK national practice.
PMID- 29366520
TI - Clinical significance of soluble programmed cell death ligand-1 (sPD-L1) in
hepatocellular carcinoma patients treated with radiotherapy.
AB - PURPOSE: To investigate the clinical implications of the soluble programmed cell
death-ligand 1 (sPD-L1) level in hepatocellular carcinoma (HCC) patients treated
with radiotherapy (RT). MATERIALS/METHODS: HCC patients treated with RT between
June 2011 and March 2015 were prospectively recruited and sPD-L1 levels were
measured using an enzyme-linked immunosorbent assay. Blood samples were obtained
at the RT start, RT end, and 1-month follow-up. The associations of the sPD-L1
level with the clinical features and outcomes were analyzed. RESULTS: Fifty-three
patients with HCC were included. Thirty-four patients received conventional
fractionated RT with hepatic arterial infusional chemotherapy, while 19 patients
received stereotactic body radiotherapy (SBRT). The initial sPD-L1 level was
significantly associated with stage, tumor size, portal vein tumor thrombosis,
and venous invasion. The overall-survival was significantly poorer in patients
with a higher level of initial sPD-L1 (>=1.315 pg/mL). A higher level of sPD-L1
at 1 month (>=12.9 pg/mL) was significantly related to early lung metastasis. The
sPD-L1 level was significantly increased after RT and the change pattern of sPD
L1 was different between two RT schemes. CONCLUSIONS: The level of sPD-L1 was
associated with tumor aggressiveness and outcomes, suggesting its role as a
possible predictive biomarker. The increases in sPD-L1 after RT suggests that
combined treatment with RT and immune checkpoint inhibitors may be a promising
therapeutic strategy in HCC.
PMID- 29366522
TI - Personalized Cancer Medicine: An Organoid Approach.
AB - Personalized cancer therapy applies specific treatments to each patient. Using
personalized tumor models with similar characteristics to the original tumors may
result in more accurate predictions of drug responses in patients. Tumor organoid
models have several advantages over pre-existing models, including conserving the
molecular and cellular composition of the original tumor. These advantages
highlight the tremendous potential of tumor organoids in personalized cancer
therapy, particularly preclinical drug screening and predicting patient responses
to selected treatment regimens. Here, we highlight the advantages, challenges,
and translational potential of tumor organoids in personalized cancer therapy and
focus on gene-drug associations, drug response prediction, and treatment
selection. Finally, we discuss how microfluidic technology can contribute to
immunotherapy drug screening in tumor organoids.
PMID- 29366521
TI - A prospective study of the safety and efficacy of liver stereotactic body
radiotherapy in patients with and without prior liver-directed therapy.
AB - BACKGROUND AND PURPOSE: To evaluate the safety and efficacy of liver stereotactic
body radiotherapy (SBRT), and examine potential factors impacting outcomes
including prior liver-directed therapy. MATERIALS AND METHODS: Patients with ECOG
0-1, Child-Pugh Class A or B, and primary hepatocellular carcinoma (HCC) or liver
metastases unsuitable for surgical resection or ablation were eligible for a
prospective single arm trial. SBRT was delivered with a CyberKnife system to 45
Gy in 3 fractions with a predetermined dose de-escalation scheme. Adverse events,
local control, and survival were assessed. RESULTS: A total of 30 patients were
enrolled. Eleven patients (37%) had HCC and 19 (63%) patients had liver
metastases. Fourteen patients (47%) had prior liver-directed therapies including
nine with liver resection, seven with trans-arterial chemoembolization, and six
with radiofrequency ablation. Cumulative grade 2 and 3 acute toxicity occurred in
47% and 7% of patients, respectively. Similar rates of >=grade 2 acute toxicity
were observed between patients who had prior liver-directed treatments and those
who did not. At a median follow-up of 12.7 months, 1-year local control and
overall survival were 81% and 62%, respectively. Prior liver-directed therapy did
not affect local control or survival. CONCLUSIONS: Liver SBRT is a safe and
effective treatment even in the setting of prior liver-directed surgical and
ablative therapies.
PMID- 29366523
TI - Immunomodulation of allergic response in children and adolescents: What we can
learn from lymphatic filarial infection.
AB - BACKGROUND: Although it is well known that allergic diseases involve a strong Th2
immune response, with production of high levels of specific IgE allergen,
knowledge on the association between filarial infection and allergies, among
paediatric patients is scarce. OBJECTIVE: To evaluate the allergic response
patterns in cases of filarial infection by comparing peripheral eosinophils,
total IgE levels, immediate hypersensitivity and cytokine levels in children and
adolescents in Brazil. METHODS: This was an exploratory study with three groups:
(I) with filarial infection and without allergic diseases; (II) without filarial
infection and with allergic diseases; and (III) without filarial infection and
without allergic diseases. The prick test and specific IgE tests for
aeroallergens were performed using five antigens. Peripheral eosinophils and
total IgE were also evaluated. IL-4 and IL-5 were determined using whole-blood
culture stimulated by three antigens. RESULTS: Eosinophilia and elevated levels
of total IgE (>=400IU/dl) were observed in all groups. The prick test was
positive in 56.6% of the cases. Group I presented hypersensitive responses
similar to the allergic disease groups. In the whole-blood culture stimulated by
Dermatophagoides pteronyssinus, average IL-4 production did not differ
significantly among the groups, but IL5 production resulting from stimulation was
greater in the allergic disease groups (p<0.05). CONCLUSIONS: The allergic
response pattern in group with filarial infection was similar to that of the
groups with and without allergic diseases, but the response to IL-5 in the
culture stimulated by D. pteronyssinus was an exclusive characteristic of the
allergic group.
PMID- 29366525
TI - Mice over-expressing placenta growth factor in the skin exhibit increased
vascularization and vessel permeability independently of VEGF-A.
PMID- 29366524
TI - Associations between maternal phenol and paraben urinary biomarkers and maternal
hormones during pregnancy: A repeated measures study.
AB - BACKGROUND: A number of phenols and parabens are added to consumer products for a
variety of functions, and have been found at detectable levels in the majority of
the U.S. POPULATION: Among other functions, thyroid hormones are essential in
fetal neurodevelopment, and could be impacted by the endocrine disrupting effects
of phenols and parabens. The present study investigated the association between
ten maternal urinary phenol and paraben biomarkers (bisphenol S, triclosan,
triclocarban, benzophenone-3, 2,4-dichlorophenol, 2,5-dichlorophenol, and ethyl,
butyl, methyl and propyl paraben) and four plasma thyroid hormones in 439
pregnant women in a case-control sample nested within a cohort study based in
Boston, MA. METHODS: Urine and blood samples were collected from up to four
visits during pregnancy (median weeks of gestation at each visit: Visit 1: 9.64,
Visit 2: 17.9, Visit 3: 26.0, Visit 4: 35.1). Linear mixed models were
constructed to take into account the repeated measures jointly, followed by
multivariate linear regression models stratified by gestational age to explore
potential windows of susceptibility. RESULTS: We observed decreased total
triiodothyronine (T3) in relation to an IQR increase in benzophenone-3 (percent
change [%Delta] = -2.07; 95% confidence interval [CI] = -4.16, 0.01), butyl
paraben (%Delta = -2.76; 95% CI = -5.25, -0.26) and triclosan (%Delta = -2.53;
95% CI = -4.75, -0.30), and triclocarban at levels above the LOD (%Delta = -5.71;
95% CI = -10.45, -0.97). A 2.41% increase in T3 was associated with an IQR
increase in methyl paraben (95% CI = 0.58, 4.24). We also detected a negative
association between free thyroxine (FT4) and propyl paraben (%Delta = -3.14; 95%
CI = -6.12, -0.06), and a suggestive positive association between total thyroxine
(T4) and methyl paraben (%Delta = 1.19; 95% CI = -0.10, 2.47). Gestational age
specific multivariate regression analyses showed that the magnitude and direction
of some of the observed associations were dependent on the timing of exposure.
CONCLUSION: Certain phenols and parabens were associated with altered thyroid
hormone levels during pregnancy, and the timing of exposure influenced the
association between phenol and paraben, and hormone concentrations. These changes
may contribute to downstream maternal and fetal health outcomes. Additional
research is required to replicate the associations, and determine the potential
biological mechanisms underlying the observed associations.
PMID- 29366526
TI - Use of intralesional blood to determine diffusible biomarkers from skin lesions.
AB - BACKGROUND: Biomarkers provide beneficial information to make diagnoses and
monitor the progression of many skin diseases. However, biomarkers produced by
skin lesion may be too low at concentration to be detected in the systemic
circulation. OBJECTIVE: To address whether intralesional blood (ILB) is
advantageous to detect skin-derived biomarkers over circulation blood (CB) of
patients with skin diseases. METHODS: ILB was collected as overflowing blood when
a small incision was made in lesions of patients with mastocytoma and psoriasis.
Concentrations of histamine and Human beta-Defensin 2 were determined by ELISA.
IL-8 was measured using a cytometric beads array (CBA) kit. IL-8 levels in
psoriatic lesions were assessed by immunohistochemical staining and quantitative
(q) RT-PCR. MicroRNA levels were measured using qRT-PCR. RESULTS: Plasma
histamine levels were increased in ILB of mastocytoma compared with those in CB.
Patients with psoriasis showed increased levels of IL-8, beta-Defensin 2 in ILB
as compared to those in CB. IL-8 levels in ILB correlated with local PASI scores
and therefore reversed to those in CB after attenuation of psoriasis with
treatment. Furthermore, ILB in psoriasis patients showed increased miR-203, which
was highly expressed in psoriatic epidermis. CONCLUSION: ILB contains disease
specific biomarkers at higher concentrations than those in CB, and may be useful
for diagnosis and monitoring the progression of skin diseases. Thus, this study
illustrates the versatility of ILB with an easy accessibility of biomarkers of
chemicals, proteins as well as nucleic acids for a myriad of diseases including
inflammatory dermatoses and cancers.
PMID- 29366528
TI - Corrigendum to "Prescription drug monitoring program utilization among 15 US
opioid treatment programs" [J. Subst. Abus. Treat. 85 (2018) 17-20].
PMID- 29366527
TI - Translation and Psychometric Analysis of the Chinese Version of the Dutch Eating
Behavior Questionnaire for Children (DEBQ-C) in Taiwanese Preadolescents.
AB - PURPOSE: This study aimed to translate and evaluate the psychometric properties
of a Chinese version of the (DEBQ-C) among Taiwanese preadolescents. DESIGN AND
METHODS: The DEBQ-C was translated into Mandarin Chinese (CDEBQ-C) using
established translation and back-translation methods and reviewed by an expert
panel for cultural equivalence. A convenience sample of 349 preadolescents was
randomly split to conduct exploratory factor analysis (EFA) on the first half and
confirmatory factor analysis (CFA) on the second. Internal consistency estimates
for subscales were evaluated using Cronbach's alpha. Construct validation with
academic stress, a theoretically related construct, was also examined. RESULTS:
The theoretical dimensions of the original DEBQ-C were supported with an EFA that
revealed the presence of three factors with 41.23% variance explained, and model
fit was confirmed by CFA. Construct validation was supported by positive
correlations with academic stress. Each subscale of the CDEBQ-C demonstrated
satisfactory internal consistency (Cronbach's alpha = 0.72-0.86).
Overweight/obese preadolescents scored significantly higher on restrained eating
compared to other weight groups. CONCLUSIONS: The findings suggest that the CDEBQ
C is a psychometrically valid and reliable instrument for assessing overeating
tendencies with Taiwanese preadolescents. Replication studies with greater
diversity in age, ethnicity, and weight are needed to provide further evidence of
construct validity for the CDEBQ-C. PRACTICE IMPLICATIONS: Clinicians and
researchers can use the CDEBQ-C to assess or expand the knowledge of children's
overeating. At-risk preadolescents can be identified at an early stage and
effective and individualized intervention programs may be designed and
facilitated.
PMID- 29366529
TI - Use of medial upper arm free flap in oral cavity reconstruction: a preliminary
study.
AB - The medial upper arm has previously been proposed as a potential free flap donor
site, but the clinical application of such flaps in head and neck reconstruction
has not been popular. The preliminary results of the clinical application of
medial upper arm free flaps in oral cavity reconstruction are reported here. Five
patients with oral cancer underwent surgical resection and neck dissection, with
simultaneous reconstruction using a medial upper arm free flap. Functional
outcomes were investigated using the University of Washington Quality of Life
Questionnaire. Sensory-motor functions of the upper arm donor site were recorded
before and after surgery. Four flaps were successfully transferred. One flap was
abandoned during surgery because of a lack of perforators, and a forearm flap was
used instead. All patients survived without loco-regional recurrence or distant
metastasis. Functional outcomes, especially swallowing and speech, were
satisfactory. The donor site scar was well hidden, with no functional impairment.
This initial experience shows that the medial upper arm free flap represents an
alternative perforator flap for oral cavity microsurgical reconstruction. The
well-hidden scar and better texture match compared with other flaps make it
suitable for oral cavity reconstruction.
PMID- 29366530
TI - Identification and characterization of long non-coding RNA in prenatal and
postnatal skeletal muscle of sheep.
AB - lncRNAs are a class of transcriptional RNA molecules of >200 nucleotides in
length. However, the overall expression pattern and function of lncRNAs in sheep
muscle is not clear. Here, we identified 1566 lncRNAs and 404 differentially
expressed lncRNAs in sheep muscle from prenatal (110 days of fetus) and postnatal
(2 to 3 years old of adult sheep) developmental stages by using RNA-seq
technology. Several lncRNAs were identified by using RT-PCR and DNA sequencing.
The expression levels of several lncRNAs were confirmed by qRT-PCR. We analyzed
the effect of lncRNAs that act cis to the target genes. lncRNA targeting genes
were involved in signaling pathways associated with growth and development of
muscle by GO and KEGG enrichment analysis. Through our study, we provide a
comprehensive expression profile of muscle lncRNAs in sheep, which provides
valuable resources for further understanding genetic regulation of muscle growth
and development from the perspective of lncRNA.
PMID- 29366531
TI - [Transmission of death certificates to CepiDc-Inserm related to suspicious
deaths, in France, since 2000].
AB - BACKGROUND: The purpose of this study is to assess variability in death
certificates' transmission related to suspicious deaths. METHODS: The medical
part of death certificates of suspicious deaths (violent or sudden deaths,
unknown or ill-defined causes of death) were examined. We studied the frequency
of suspicious deaths, in France, for deaths aged under 65, from 2000 to 2013,
searching for temporal (2000-2013) and spatial correlations between unknown
causes of death and other suspicious causes, and report of an autopsy. These
results were compared with external data. RESULTS: Standardized proportion of
deaths by unknown cause more than doubled during the study period (from 3.4 to
7.5%). The spatial correlation was strongly negative between standardized
proportions of unknown causes of death and violent deaths (ICC=-0.80). Report of
autopsy varied with cause of suspicious death and estimated zone of the forensic
institute. The distribution was consistently distributed with external data,
except for homicides. CONCLUSION: Information transmission to CepiDc-Inserm needs
to be improved in case of suspicious death, in particularly from forensic
institutes. This study emphasizes the urgent need for a complementary form to the
death certificate.
PMID- 29366532
TI - Anytime multipurpose emotion recognition from EEG data using a Liquid State
Machine based framework.
AB - Recent technological advances in machine learning offer the possibility of
decoding complex datasets and discern latent patterns. In this study, we adopt
Liquid State Machines (LSM) to recognize the emotional state of an individual
based on EEG data. LSM were applied to a previously validated EEG dataset where
subjects view a battery of emotional film clips and then rate their degree of
emotion during each film based on valence, arousal, and liking levels. We
introduce LSM as a model for an automatic feature extraction and prediction from
raw EEG with potential extension to a wider range of applications. We also
elaborate on how to exploit the separation property in LSM to build a
multipurpose and anytime recognition framework, where we used one trained model
to predict valence, arousal and liking levels at different durations of the
input. Our simulations showed that the LSM-based framework achieve outstanding
results in comparison with other works using different emotion prediction
scenarios with cross validation.
PMID- 29366533
TI - Children and screens: Groupe de Pediatrie Generale (Societe francaise de
pediatrie) guidelines for pediatricians and families.
AB - The Groupe de Pediatrie Generale (General Pediatrics Group), a member of the
Societe francaise de pediatrie (French Pediatrics Society), has proposed
guidelines for families and doctors regarding children's use of digital screens.
A number of guidelines have already been published, in particular by the French
Academy of Sciences in 2013 and the American Academy of Pediatrics in 2016. These
new guidelines were preceded by an investigation into the location of digital
screen use by young children in France, a survey of medical concerns on the
misuse of digital devices, and a review of their documented benefits. The Conseil
Superieur de l'Audiovisuel (Higher Council on Audiovisual Technology) and the
Union Nationale de Associations Familiales (National Union of Family
Associations) have taken part in the preparation of this document. Five simple
messages are proposed: understanding without demonizing; screen use in common
living areas, but not in bedrooms; preserve time with no digital devices
(morning, meals, sleep, etc.); provide parental guidance for screen use; and
prevent social isolation.
PMID- 29366534
TI - WASP (Write a Scientific Paper) using Excel - 3: Plotting data.
AB - The plotting of data into graphs should be a mandatory step in all data analysis
as part of a descriptive statistics exercise, since it gives the researcher an
overview of the shape and nature of the data. Moreover, outlier values may be
identified, which may be incorrect data, or true outliers, from which important
findings (and publications) may arise. This exercise should always precede
inferential statistics, when possible, and this paper in the Early Human
Development WASP series provides some pointers for doing so in Microsoft ExcelTM.
PMID- 29366535
TI - Corrigendum to "Long range molecular dynamics study of interactions of the
eukaryotic glucosamine-6-phosphate synthase with fructose-6-phosphate and UDP
GlcNAc" [J. Mol. Graph. Model. 78 (2017) 14-25].
PMID- 29366536
TI - Transient benign paroxysmal movement disorders in infancy.
AB - This review summarizes the current empirical and clinical literature on benign
paroxysmal movement disorders in infancy most relevant to practitioners.
Paroxysmal benign movement disorders are a heterogeneous group of movement
disorders characterized by their favourable outcome. We pay special attention to
the recognition and management of these abnormal motor conditions strongly
suggestive of epileptic disorders. They include: neonatal jitteriness; benign
neonatal sleep myoclonus; benign paroxysmal tonic upgaze; paroxysmal tonic
downgaze, benign paroxysmal torticollis and benign polymorphous movement disorder
of infancy.
PMID- 29366537
TI - Circulating and urinary microRNAs as possible biomarkers in kidney
transplantation.
PMID- 29366538
TI - Incidence and risk factors for epidural re-siting in parturients with
breakthrough pain during labour epidural analgesia: a cohort study.
AB - INTRODUCTION: Epidural re-siting is one of the significant events during labour
epidural analgesia that may result in decreased patient satisfaction. The aim of
our study was to investigate the incidence of and factors associated with
epidural re-siting in parturients using epidural analgesia, with an emphasis on
those with breakthrough pain. METHODS: A retrospective cohort study of 10170
parturients who received labour epidural analgesia. The primary outcome was the
incidence of epidural re-siting (binary data). Univariate and multivariate
logistic regression analysis were performed to find associated risk factors for
re-siting. RESULTS: Less than 1% (0.85%, 86/10170) of the women in the study had
their epidural re-sited. Amongst the subset of women with breakthrough pain, the
incidence of epidural re-siting was higher (4.7%, 68/1454). Most of the women who
had their epidural re-sited had experienced breakthrough pain (79%, 68/86).
Amongst all parturients, the presence of breakthrough pain (OR=21.31),
hypotension (OR=4.18) and venous puncture (OR=2.74) were significantly associated
with re-siting. Amongst the parturients with breakthrough pain who required
epidural re-siting, lower cervical dilatation (OR=0.81), higher number of
episodes of breakthrough pain (OR=1.83) and patchy block (OR=4.37) were
significantly associated with re-siting. The areas-under-curves of two
multivariate models were 0.894 and 0.806 respectively. CONCLUSION: In our
institution, the incidence of epidural catheter re-siting was low in all
patients. However, the majority of patients whose catheters were re-sited had
exhibited breakthrough pain. The risk factors associated with the need for re
siting of catheters in all patients differed from those who had breakthrough
pain.
PMID- 29366539
TI - Epigenetic mechanisms in coronary artery disease: The current state and
prospects.
AB - Coronary artery disease (CAD) is the leading cause of morbidity and mortality.
CAD has both genetic and environmental causes. In the past two decades, the
understanding of epigenetics has advanced swiftly and vigorously. It has been
demonstrated that epigenetic modifications are associated with the onset and
progression of CAD. This review aims to improve the understanding of the
epigenetic mechanisms closely related to CAD and to provide a novel perspective
on the onset and development of CAD. Epigenetic changes include DNA methylation,
histone modification, microRNA and lncRNA, which are interrelated with critical
genes and influence the expression of those genes. In addition, miRNA plays a
diverse role in the pathological process of CAD. Numerous studies have found that
some cardiac-specific miRNAs have potential as certain diagnostic biomarkers and
treatment targets for CAD. In this review, the aberrant epigenetic mechanisms
that contribute to CAD will be discussed. We will also provide novel insight into
the epigenetic mechanisms that target CAD.
PMID- 29366540
TI - Anatomy of Master Knot of Henry: A morphometric study on cadavers.
AB - OBJECTIVE: The objective of this study was to evaluate the features of flexor
hallucis longus (FHL), flexor digitorum longus (FDL) and flexor digitorum
accessorius (FDA) muscles with relevance to the tendon grafts and to reveal the
location of Master Knot of Henry (MKH). METHODS: Twenty feet from ten formalin
fixed cadavers were dissected, which were in the inventory of Anatomy Department
of Medicine Faculty, Mersin University. The location of MKH was identified.
Interconnections of FHL and FDL were categorized. According to incision
techniques, lengths of FHL and FDL tendon grafts were measured. Attachment sites
of FDA were assessed. RESULTS: MKH was 12.61 +/- 1.11 cm proximal to first
interphalangeal joint, 1.75 +/- 0.39 cm below to navicular tuberosity and 5.93 +/
0.74 cm distal to medial malleolus. The connections of FHL and FDL were
classified in 7 types. Tendon graft lengths of FDL according to medial and
plantar approaches were 6.14 +/- 0.60 cm and 9.37 +/- 0.77 cm, respectively.
Tendon graft lengths of FHL according to single, double and minimal invasive
incision techniques were 5.75 +/- 0.63 cm, 7.03 +/- 0.86 cm and 20.22 +/- 1.32
cm, respectively. FDA was found to be inserting to FHL slips in all cases and it
inserted to various surfaces of FDL. CONCLUSION: The exact location of MKH and
slips was determined. Two new connections not recorded in literature were found.
It was observed that the main attachment site of FDA was the FHL slips. The
surgical awareness of connections between the FHL, FDL and FDA, which
participated in the formation of long flexor tendons of toes, could be important
for reducing possible loss of function after tendon transfers postoperatively.
PMID- 29366541
TI - A service evaluation and improvement project: a three year systematic audit cycle
of the physiotherapy treatment for Lateral Epicondylalgia.
AB - OBJECTIVES: To improve outcomes of physiotherapy treatment for patients with
Lateral Epicondylalgia. DESIGN: A systematic audit and quality improvement
project over three phases, each of one year duration. SETTING: Salford Royal NHS
Foundation Trust Teaching Hospital Musculoskeletal Physiotherapy out-patients
department. PARTICIPANTS: n=182. INTERVENTIONS: Phase one - individual
discretion; Phase two - strengthening as a core treatment however individual
discretion regarding prescription and implementation; Phase three - standardised
protocol using high load isometric exercise, progressing on to slow combined
concentric & eccentric strengthening. MAIN OUTCOME MEASURES: Global Rating of
Change Scale, Pain-free grip strength, Patient Rated Tennis Elbow Evaluation,
Tampa Scale of Kinesophobia-11. RESULTS: Phase three demonstrated a reduction in
the average number of treatments by 42% whilst improving the number of responders
to treatment by 8% compared to phase one. Complete cessation of non-evidence
based treatments was also observed by phase three. CONCLUSIONS: Strengthening
should be a core treatment for LE. Load setting needs to be sufficient. In phase
three of the audit a standardised tendon loading programme using patient specific
high load isometric exercises into discomfort/pain demonstrated a higher
percentage of responders compared to previous phases.
PMID- 29366542
TI - The effects of neurochemical balance in the anterior cingulate cortex and
dorsolateral prefrontal cortex on volitional control under irrelevant
distraction.
AB - Volitional control has been related to the excitatory/inhibitory (E/I) ratio of
glutamate-glutamine to gamma-aminobutyric acid concentration in the different
parts of the frontal cortex. Yet, how the neurochemical balance in each of the
brain areas modulates volitional control remains unclear. Here, participants
performed an auditory Go/No-Go task with and without task-irrelevant face
distractors. Neurochemical balance was measured with magnetic resonance
spectroscopy at rest. Participants with higher E/I ratios in the dorsolateral
prefrontal cortex (DLPFC) showed less control over No-Go cues under no
distraction, whereas participants with higher E/I ratios in the anterior
cingulate cortex (ACC) were more prompted to make speeded Go responses under
distraction. Therefore, the neurochemical balance in the DLPFC and ACC may be
involved in the control over task-relevant and -irrelevant cues respectively.
PMID- 29366544
TI - An (Old) New Strategy to Manage BPSD.
PMID- 29366543
TI - A meta-analysis of associations between obesity and insomnia diagnosis and
symptoms.
AB - Recent research suggests that sleep plays an important role in obesity (OB). No
systematic reviews have investigated the association between OB and insomnia
specifically. The present study reviewed the past 10 y of findings on the
association between insomnia diagnosis (IND) and insomnia symptoms (INS) with OB.
A total of 67 studies were included in the meta-analyses. Multilevel random
effects models showed that the odds of having OB among those who had IND was not
significantly greater than the odds of having OB among those who did not have IND
(odds ratio (OR) = .80, p = .61). A small, significant cross-sectional
correlation (r = .06, p = .03) was found between INS and body mass index.
Longitudinal data were limited. Based on three studies, the odds of developing
future INS among those who had OB were not significantly greater than those who
were normal-weight (NW) (OR = 1.07, p = .40). Longitudinal data on the
association between INS and future incidence of OB are inconclusive. We found no
indication of systematic publication biases and high heterogeneity in the effect
sizes across studies. Meta-regressions showed that some of the heterogeneity was
explained by the types of measures of insomnia symptoms, publication year, and
regions where a study was conducted.
PMID- 29366545
TI - Emergence of non-Candida albicans species: Epidemiology, phylogeny and
fluconazole susceptibility profile.
AB - OBJECTIVE: Non-Candida albicans (NCA) species now account for a significant part
of clinical candidiasis worldwide. In the present study, epidemiology and
antifungal susceptibility profile of NCA isolated from various forms of
candidiasis were studied with special focus on their phylogenetic relationship by
ITS sequencing. PATIENTS AND METHODS: Seventy-nine NCA isolates were isolated
from skin and nail scrapings (67.0%), vaginal discharges (8.8%), blood (8.8%),
sputa (5.0%), urine (5.0%), oral swabs (2.6%), biopsy and eye tumor, each (1.4%).
These isolates were identified by morphological, biochemical and molecular (ITS
sequencing) techniques. In vitro antifungal susceptibility of the isolates to
fluconazole (FCZ) was tested according to the CLSI method (M27-S4). RESULTS:
Among a total number of 79 cases of proven NCA infections, C. parapsilosis
(36.8%) was the most prevalent species followed by C. glabrata (32.9%), C.
orthopsilosis (11.4%), C. tropicalis (8.9%), C. krusei (5.0%) and C.
guilliermondii (5.0%). The susceptibility to FCZ was assessed for C. parapsilosis
(96.5%), C. orthopsilosis (88.9%), C. tropicalis (85.7%) and C. guilliermondii
(50.0%). C. glabrata and C. krusei isolates were not susceptible to FCZ. NCA
species were distributed in various phylogenetic clades including C. glabrata
(1), C. tropicalis (3), C. parapsilosis (6) and C. orthopsilosis, C. krusei and
C. guilliermondii (each 2). CONCLUSION: C. parapsilosis and C. glabrata were the
most predominant NCA species involve in the etiology of candidiasis. C.
orthopsilosis was reported from superficial candidiasis. Taken together, our
results further substantiate the increasing importance of the involvement of NCA
species in the etiology of candidiasis.
PMID- 29366546
TI - The enigmatic role of IL-38 in inflammatory diseases.
AB - IL-38 is the most recently discovered cytokine of the IL-1 family and is
considered a potential inhibitor of the IL-1 and Toll-like receptor families. IL
38 exerts anti-inflammatory properties, especially on macrophages, by inhibiting
secretion of pro-inflammatory cytokines, leading to reduced T-lymphocyte TH17
maturation. IL-38 has been studied most extensively in the context of chronic
inflammatory diseases, particularly arthritis, where it is considered an
attractive new drug candidate. IL-38 research has entered a new phase, with the
realization that IL-38 is important in the pathophysiology of TH17 dependent
diseases (psoriasis, psoriatic arthritis and ankylosing spondylitis). In this
review, we provide a critical evaluation of several controversial issues
concerning IL-38 function and regulation. There is effectively contrasting data
regarding IL-38: it is produced in conditions such as apoptosis, necrosis or
inflammation, but data is lacking regarding IL-38 processing and biological
function. Furthermore, the receptor for IL-38 has yet to be identified, although
three candidate receptors - IL-1R1, IL-36R and IL-1RAPL1-have been proposed.
Future studies will hopefully uncover new aspects of this enigmatic cytokine.
PMID- 29366547
TI - HIV Reactivation after Partial Protection by Neutralizing Antibodies.
AB - It is widely thought that generating broadly neutralizing anti-HIV antibodies
(BnAbs) will protect humans against HIV, given promising data from in vitro
experiments and in vivo macaque studies. The primary action of BnAbs is
preventing cell-free virus from entering cells. Recent in vitro and macaque data
suggest that BnAbs are less potent against cell-associated virus exposure. We
speculate that BnAb-based suppression of HIV transmission, particularly if
mediated by cell-cell transmission, may result in some exposed subjects carrying
a form of latent (or 'occult') HIV infection. Such largely hidden HIV infections
may subsequently reactivate when BnAb levels decline. This concept has
implications for the achievement of long-term sterilizing immunity to HIV.
PMID- 29366548
TI - Letter to the Editor Regarding "Increased detection of blunt carotid and
vertebral artery injury after implementation of diagnostic imaging pathway in
level 1 trauma centre in Western Australia".
PMID- 29366549
TI - Masquelet technique versus Ilizarov bone transport for reconstruction of lower
extremity bone defects following posttraumatic osteomyelitis.
PMID- 29366550
TI - Subtrochanteric fractures of the femur. Treatment with locking intramedullary
nailing. An experience without C-Arc. The guide-wire stopping method for
verifying distal locking is described.
PMID- 29366551
TI - Response to; Letter to the Editor Re: Standardised virtual fracture clinic
management of radiographically stable Weber B ankle fractures is safe, cost
effective and reproducible (April 2017).
PMID- 29366552
TI - Mortality in patients sustaining a periprosthetic fracture following a previous
extracapsular hip fracture fixation.
AB - BACKGROUND: Mortality rates following hip fractures are decreasing. As these
outcomes improve, it increases the potential for further falls and the potential
to sustain a periprosthetic fracture. The aim of this study was to analyse the 1
year mortality of periprosthetic fractures around an implant used to treat an
extracapsular hip fracture. Secondary outcomes included 30 day mortality,
complications and risk factors associated with mortality. METHODS: A
retrospective case note and radiographic review of all patients who presented to
a single institution with a periprosthetic femoral fracture around an implant
previously used to treat an extracapsular hip fracture between 1st January and
2008 and 31st May 2015. RESULTS: 29 patients with a mean age of 75.8. 6 males and
23 females. 20 (69.0%) patients had capacity to consent for surgery. Pre
operatively 34.5% mobilised independently without any walking aids. 79.3% lived
at home. 62.1% had a Charlson co-morbidity score of 0 or 1, 27.6% a score of 2 or
3, 6.9% a score of 4 and 5, and 3.4% a score of more than 5.3.4% was ASA grade 1,
13.8% ASA2, 65.5% ASA 3 and 17.2% were ASA 4. The previous implant a dynamic hip
screw in 75.9% dynamic hip screws and an intramedullary nail in 24.1%. There were
4 (13.8%) in-patient deaths. The 30 day mortality 17.2% (5 patients) was and the
1 year mortality was 44.8% (13 patients). There were 0 complications that
required return to surgery during admission. 1 patient with a revision
intramedullary nail had dynamisation performed due to delayed union 7 months
following surgery. 1 patient required removal of metalwork 2 years following
surgery for infection. When comparing risk factors for mortality, there were no
significant risk factors found in this study for 30 day and 1 year mortality.
CONCLUSIONS: This paper suggests that periprosthetic fractures sustained after
the surgical treatment of extra capsular neck of femur fractures have higher
mortality rates than hip fractures. These patients should be given the same
priority as these patients in there management.
PMID- 29366553
TI - The role of computed tomography scans in diaphyseal femur fractures following
gunshot injuries: A survey of orthopaedic traumatologists.
PMID- 29366554
TI - The frail fail: Increased mortality and post-operative complications in
orthopaedic trauma patients: Methodological issues.
PMID- 29366555
TI - Feasibility of an Image-Based Mobile Health Protocol for Postoperative Wound
Monitoring.
AB - BACKGROUND: Surgical site infection (SSI) is the most common nosocomial infection
and the leading cause of readmission among surgical patients. Many SSIs develop
in the postdischarge period and are inadequately recognized by patients. To
address this, we developed a mobile health protocol of remote wound monitoring
using smartphone technology. The current study aims to establish its feasibility
among patients and providers. STUDY DESIGN: We enrolled vascular surgery patients
during their inpatient stay. They were trained to use our mobile health
application, which allowed them to transmit digital images of their surgical
wound and answer a survey about their recovery. After hospital discharge,
participants completed the application daily for 2 weeks. Providers on the
inpatient team reviewed submissions daily and contacted patients for concerning
findings. RESULTS: Forty participants were enrolled. Forty-five percent of
participants submitted data every day for 2 weeks, with an overall submission
rate of 90.2%. Submissions were reviewed within an average of 9.7 hours of
submission, with 91.9% of submissions reviewed within 24 hours. We detected 7
wound complications with 1 false negative. Participant and provider satisfaction
was universally high. CONCLUSIONS: Patients and their caregivers are willing to
participate in a mobile health program aimed at remote monitoring of
postoperative recovery, and they are able to complete it with a high level of
fidelity and satisfaction. Preliminary results indicate the ability to detect and
intervene on wound complications.
PMID- 29366556
TI - Corrigendum to "Distorted leukocyte migration, angiogenesis, wound repair and
metastasis in Tspan8 and Tspan8/CD151 double knockout mice indicate complementary
activities of Tspan8 and CD51" [Biochim. Biophys. Acta 1865(2) (2018) 379-391].
PMID- 29366557
TI - [Tacit Knowledge: Characteristics in nursing practice].
AB - INTRODUCTION: Tacit knowledge can be defined as knowledge which is used
intuitively and unconsciously, which is acquired through one's experience,
characterized by being personal and contextual. Some terms such as 'intuition',
'know how' and 'implicit knowledge' have been used to describe tacit knowledge.
Different disciplines in the fields of management or health have studied tacit
knowledge, identifying it as a powerful tool to create knowledge and clinical
decision-making. The aim of this review is to analyse the definition and
characteristics that make up tacit knowledge and determine the role it plays in
the nursing discipline. METHODS: An integrative review was undertaken of the
literature published up to November 2016 in the databases CUIDEN, SciELO, PubMed,
Cochrane and CINAHL. The synthesis and interpretation of the data was performed
by two researchers through content analysis. RESULTS: From a total of 819
articles located, 35 articles on tacit knowledge and nursing were chosen. There
is no consensus on the name and description of results in tacit knowledge. The
main characteristics of tacit knowledge have a personal and social character,
which is used from an organised mental structure, called mindline. This structure
relates to the use of tacit knowledge on clinical decision-making. CONCLUSIONS:
Previous studies on tacit knowledge and nursing provide the nursing community
with perspectives without going into depth. The production of a framework is
suggested, as it would clarify implied concepts and its role on the management of
nursing knowledge.
PMID- 29366558
TI - Monitoring emerging contaminants in the drinking water of Milan and assessment of
the human risk.
AB - Emerging Contaminants (ECs) are ubiquitous in waters, arousing concern because of
their potential risks for human health and the environment. This study
investigated the presence of multiple classes of ECs in 21 wells over the
drinking water network of Milan, in the most inhabited and industrialized area of
Italy, and assessed the risks for consumers. Samples were analyzed using liquid
chromatography coupled to mass spectrometry. Human risk assessment (HRA) was
conducted by comparing the measured concentrations with drinking water thresholds
from guidelines or calculated in this study; first considering the exposure to
each single EC and then the entire mixture. Thirteen ECs were measured in the low
ng/L range, and were generally detected in less than half of the wells.
Pharmaceuticals, perfluorinated substances, personal care products, and
anthropogenic markers were the most frequently detected. The results of the HRA
excluded any risks for consumers in each scenario considered. This is one of the
most comprehensive studies assessing the presence of a large number of ECs in the
whole drinking water network of a city, and the risks for human health. Results
improve the limited information on ECs sources and occurrence in drinking water
and help establishing guidelines for regulatory purposes.
PMID- 29366560
TI - Lumbar loads and trunk kinematics in people with a transtibial amputation during
sit-to-stand.
AB - People with a transtibial amputation have numerous secondary health conditions,
including an increased prevalence of low back pain. This increased prevalence may
be partially explained by altered low back biomechanics during movement. The
purpose of this study was to compare trunk kinematics and L4-L5 lumbar loads in
people with and without a transtibial amputation during sit-to-stand. Motion
capture, ground reaction force and electromyographic data were collected from
eight people with a unilateral transtibial amputation and eight people without an
amputation during five self-paced sit-to-stand motions. A musculoskeletal model
of the torso, lumbar spine, pelvis, lower limbs, and 294 muscles was used in a
static optimization framework to quantify L4-L5 loads, low back muscle forces,
and trunk kinematics. Participants with an amputation had greater peak and
average L4-L5 loading in compression compared to control participants, with peak
loading occurring shortly after liftoff from the chair. At the instant of peak
loading, participants with an amputation had significantly greater segmental
trunk lateral bending and trunk-pelvis axial rotation toward the intact side, and
significantly greater segmental trunk axial rotation toward the prosthetic side
compared to control participants. Participants with an amputation also had
greater peak frontal plane and transverse plane segmental trunk angular velocity.
The postural differences observed in people with a transtibial amputation were
consistent with their ground reaction force asymmetry. The cumulative effects of
the altered movement strategy used by people with an amputation may result in an
increased risk for low back pain development over time.
PMID- 29366559
TI - Hip chondrolabral mechanics during activities of daily living: Role of the labrum
and interstitial fluid pressurization.
AB - Osteoarthritis of the hip can result from mechanical factors, which can be
studied using finite element (FE) analysis. FE studies of the hip often assume
there is no significant loss of fluid pressurization in the articular cartilage
during simulated activities and approximate the material as incompressible and
elastic. This study examined the conditions under which interstitial fluid load
support remains sustained during physiological motions, as well as the role of
the labrum in maintaining fluid load support and the effect of its presence on
the solid phase of the surrounding cartilage. We found that dynamic motions of
gait and squatting maintained consistent fluid load support between cycles, while
static single-leg stance experienced slight fluid depressurization with
significant reduction of solid phase stress and strain. Presence of the labrum
did not significantly influence fluid load support within the articular
cartilage, but prevented deformation at the cartilage edge, leading to lower
stress and strain conditions in the cartilage. A morphologically accurate
representation of collagen fibril orientation through the thickness of the
articular cartilage was not necessary to predict fluid load support. However,
comparison with simplified fibril reinforcement underscored the physiological
importance. The results of this study demonstrate that an elastic incompressible
material approximation is reasonable for modeling a limited number of cyclic
motions of gait and squatting without significant loss of accuracy, but is not
appropriate for static motions or numerous repeated motions. Additionally,
effects seen from removal of the labrum motivate evaluation of labral
reattachment strategies in the context of labral repair.
PMID- 29366561
TI - Analysis of hierarchical biomechanical data structures using mixed-effects
models.
AB - Rigorous statistical analysis of biomechanical data is required to understand
tissue properties. In biomechanics, samples are often obtained from multiple
biopsies in the same individual, multiple samples tested per biopsy, and multiple
tests performed per sample. The easiest way to analyze this hierarchical design
is to simply calculate the grand mean of all samples tested. However, this may
lead to incorrect inferences. In this report, three different analytical
approaches are described with respect to the analysis of hierarchical data
obtained from muscle biopsies. Each method was used to analyze an actual
experimental data set obtained from muscle biopsies of three different muscles in
the human forearm. The results illustrate the conditions under which mixed-models
or simple models are acceptable for analysis of these types of data.
PMID- 29366562
TI - Recent advances in the understanding of microglial development and homeostasis.
AB - Microglia are the resident macrophages of the central nervous system (CNS). These
pivotal cells arise early during embryonic development and provide both
developmental support and immune protection to the brain. In adults, microglia
contribute to brain homeostasis and mediate an intriguing interplay between the
CNS and the gut microbiota. When dysregulated, microglia are also implicated in
numerous neurological disorders, and thus fully understanding their regulation
and functions will facilitate rational design of therapies to alleviate these
conditions; however it remains unclear how the multiple factors modulating
microglial activity are integrated at the organism and cellular levels. In this
review, we will discuss recent advances in the understanding of microglial
regulation and highlight the key questions that remain to be answered around
microglial development, homeostasis and functions.
PMID- 29366563
TI - Pneumococcal DNA-binding proteins released through autolysis induce the
production of proinflammatory cytokines via toll-like receptor 4.
AB - Streptococcus pneumoniae is a leading cause of bacterial pneumonia. Our previous
study suggested that S. pneumoniae autolysis-dependently releases intracellular
pneumolysin, which subsequently leads to lung injury. In this study, we
hypothesized that pneumococcal autolysis induces the leakage of additional
intracellular molecules that could increase the pathogenicity of S. pneumoniae.
Liquid chromatography tandem-mass spectrometry analysis identified that chaperone
protein DnaK, elongation factor Tu (EF-Tu), and glyceraldehyde-3-phosphate
dehydrogenase (GAPDH) were released with pneumococcal DNA by autolysis. We
demonstrated that recombinant (r) DnaK, rEF-Tu, and rGAPDH induced significantly
higher levels of interleukin-6 and tumor necrosis factor production in peritoneal
macrophages and THP-1-derived macrophage-like cells via toll-like receptor 4.
Furthermore, the DNA-binding activity of these proteins was confirmed by surface
plasmon resonance assay. We demonstrated that pneumococcal DnaK, EF-Tu, and GAPDH
induced the production of proinflammatory cytokines in macrophages, and might
cause host tissue damage and affect the development of pneumococcal diseases.
PMID- 29366564
TI - Characterizing Disease Burden and Progression of Geographic Atrophy Secondary to
Age-Related Macular Degeneration.
AB - PURPOSE: To understand levels of disease burden and progression in a real-world
setting among patients from the United Kingdom with bilateral geographic atrophy
(GA) secondary to age-related macular degeneration (AMD). DESIGN: Retrospective
cohort analysis of a multicenter electronic medical record (EMR) database.
PARTICIPANTS: Patients who were aged >=50 years with bilateral GA and no history
of choroidal neovascularization (CNV) and who attended 1 of 10 clinical sites
using the EMR. METHODS: A deidentified data set was constructed from the records
held at the 10 sites. An algorithm was used to extract cases with a GA diagnosis,
of which 1901 had bilateral GA and form the basis of this report. A sample of
records randomly selected from each center was used to validate disease
definitions. MAIN OUTCOME MEASURES: Progression to blindness (visual acuity [VA]
<20 letters or Snellen 3/60 in the better-seeing eye), driving ineligibility (VA
<=70 letters or Snellen 6/12 in the better-seeing eye), progression to CNV, loss
of 10 or more letters, and mean change in VA over time. RESULTS: At first record
of GA, 7.1% had a VA in the better-seeing eye equal to or lower than the cutoff
for blindness registration and 71.1% had a VA that would have rendered them
ineligible to drive. Over time, 16% became legally blind (median time to outcome,
6.2 years) and 66.7% became ineligible to drive (median time to outcome, 1.6
years). In the worse-seeing eye, 40.1% lost >=10 letters in 2.4 years. Among
patients with baseline and 24-month VA measurements, mean VA decline was 6.1
letters in the worse-seeing eye (n = 413) and 12.4 letters in the better-seeing
eye (n = 414). The rate of progression to CNV in either eye was 7.4% per patient
year. CONCLUSIONS: At initial diagnosis, based on VA in the better-seeing eye, a
high proportion of patients with bilateral GA were ineligible to drive and
approximately 7% were eligible for UK blindness registration. The subsequent
reduction in VA that occurred in the better-seeing eye would render a further two
thirds ineligible to drive. These findings emphasize the severity of the visual
disability associated with GA secondary to AMD.
PMID- 29366565
TI - IL-31: A new key player in dermatology and beyond.
AB - IL-31 is a novel cytokine expressed in many human tissues and involved mainly in
TH2-weighted inflammation. IL-31 signals through a receptor complex consisting of
IL-31 receptor alpha and oncostatin M receptor beta. The available data show that
IL-31 is strongly linked with chronic pruritic skin disorders, such as atopic
eczema, and represents a novel target for directed drug therapy. Regulation of
immune responses and cellular differentiation and proliferation are recently
elucidated effects of IL-31, suggesting a more complex and diverse area of effect
for this novel cytokine. This review summarizes the current knowledge on IL-31
and its receptors and the involvement of IL-31 in diseases both in human subjects
and mouse models.
PMID- 29366566
TI - Inadequate preoperative nutrition might be associated with acute kidney injury
and greater illness severity postoperatively.
AB - OBJECTIVE: Nutrition is vital for maintaining optimal cellular and organ
function, particularly in neonates who undergo cardiac surgery. Achieving
nutritional goals preoperatively can be challenging because of fluid
restrictions, suboptimal oral intake, and concerns for inadequate
gastrointestinal circulation. We examined preoperative caloric intake and its
effects on postoperative course in neonates who underwent cardiac surgery.
METHODS: We retrospectively reviewed records of neonates (younger than 30 days)
who underwent congenital heart surgery requiring cardiopulmonary bypass from 2008
to 2014 at Arnold Palmer Hospital for Children. Data on multiple nutritional and
postoperative variables were collected. Study outcomes included hospital length
of stay, duration of mechanical ventilation, and acute kidney injury (AKI).
RESULTS: Records of 95 neonates were reviewed. Sixty-six patients (69.5%) with a
median age of 5 days did not achieve preoperative caloric goal, whereas 29
patients (30.5%) with a median age of 11 days did. Of those who achieved caloric
goal, 6 (20.6%) achieved it via total parental nutrition, 9 (31.1%) with a
combination of total parental nutrition and enteral feeds, and 14 (48.3%) via
enteral route. There was a significant difference in peak lactate (P = .002),
inotropic score (P = .02), and duration of mechanical ventilation (P = .013)
between those who did and did not achieve caloric goal. In multivariable analysis
we found that failure to achieve caloric goal preoperatively was independently
associated with stage 2 or 3 AKI (P = .04; odds ratio, 4.48; 95% confidence
interval, 1.02-19.63) and younger age at the time of surgery (P < .001; odds
ratio, 0.12; 95% confidence interval, 0.04-0.33). CONCLUSIONS: Failure to achieve
preoperative caloric goal might contribute to development of AKI and might be
associated with greater severity of illness postoperatively.
PMID- 29366567
TI - Evaluating the best approach to treatment of aortic stenosis: The jury is still
out.
PMID- 29366568
TI - Discussion.
PMID- 29366569
TI - The Fontan circulation: Time for a moon shot?
PMID- 29366570
TI - Off-pump versus on-pump coronary artery bypass grafting: Insights from the
Arterial Revascularization Trial.
AB - BACKGROUND: The long-term effects of off-pump coronary artery bypass continue to
be controversial because some studies have reported increased adverse event rates
with off-pump coronary artery bypass when compared with on-pump coronary artery
bypass. The Arterial Revascularization Trial compared survival after bilateral
versus single internal thoracic artery grafting. The choice of off-pump coronary
artery bypass versus on-pump coronary artery bypass was based on the surgeon's
discretion. We performed a post hoc analysis of the Arterial Revascularization
Trial to compare 5-year outcomes with 2 strategies. METHODS: Among 3102 patients
enrolled in the Arterial Revascularization Trial, we selected 1260 patients who
underwent off-pump coronary artery bypass versus 1700 patients who underwent on
pump coronary artery bypass with cardioplegic arrest for the present comparison.
Primary outcomes were 5-year mortality and incidence of major cardiac and
cerebrovascular events, including cardiovascular death, myocardial infarction,
cerebrovascular accident, and revascularization after index procedure. Propensity
score matching selected 1260 pairs for final comparison. Stratified Cox models
were used for treatment effect estimate. RESULTS: Hospital mortality was
comparable between off-pump coronary artery bypass and on-pump coronary artery
bypass groups (12 [1.0%] vs 15 [1.2%]; P = .7). Conversion rate to on-pump during
off-pump coronary artery bypass was 29 of 1260 (2.3%). When compared with off
pump coronary artery bypass not converted, off-pump coronary artery bypass
converted to on-pump presented a remarkably higher hospital mortality (10.3% vs
0.7%; P < .001). At 5 years, the mortality rate was 110 (8.9%) versus 102 (8.3%)
in the off-pump coronary artery bypass and on-pump coronary artery bypass groups,
respectively, with no significant difference (hazard ratio, 1.14; 95% confidence
interval, 0.86-1.52; P = .35). Incidence of major cardiac and cerebrovascular
events was 175 (14.3) versus 169 (13.8) in the off-pump coronary artery bypass
and on-pump coronary artery bypass groups, respectively, with no significant
difference (hazard ratio, 1.05; 95% confidence interval, 0.84-1.31; P = .65).
CONCLUSIONS: The present post hoc Arterial Revascularization Trial analysis
supports the hypothesis that both off-pump coronary artery bypass and on-pump
coronary artery bypass are equally effective and safe.
PMID- 29366571
TI - Landing in zone 0: Is ascending thoracic endovascular aortic repair ready for
takeoff?
PMID- 29366572
TI - Leukemoid reaction: Case report.
PMID- 29366573
TI - Aortic dissection: A little help from our friends.
PMID- 29366574
TI - Prediction models for disease events do not always influence clinical practice.
PMID- 29366575
TI - The large database analysis: A useful "Band-Aid" solution.
PMID- 29366576
TI - Redefinition of tricuspid valve structures for successful ring annuloplasty.
AB - BACKGROUND: Although numerous reports have described suturing techniques for
tricuspid annuloplasty, most studies were not based on a detailed anatomy of the
tricuspid annulus. Thus, the definition of the tricuspid commissures remains
unclear. This study aimed to clearly define the commissures and leaflets of the
tricuspid valve and subvalvular structures, and to define a standard method for
tricuspid annuloplasty. METHODS: In 27 normal heart specimens without cardiac
disease, the tricuspid commissure was defined using indentations of the leaflets
as a point, not an area, and the length of each tricuspid annulus was measured.
The relationships between the leaflets and the subvalvular structures were then
examined. RESULTS: In most specimens, the posterior leaflet had 2 (62.9%) or 3
(29.6%) scallops, providing further evidence of posterior leaflet diversity. In
addition, the posterior leaflet had 1 or 2 indentations, which can be mistaken
for true commissures. The annulus of the posterior leaflet was significantly
longer than the annuli of the other 2 leaflets (P < .00428). The annuli of the
septal and the anterior leaflets were supported by the interventricular septum
and the supraventricular crest, respectively, whereas the posterior leaflet
annulus was distributed largely along the right ventricular free wall.
CONCLUSIONS: There was a structural gap between the tricuspid leaflet
indentations and the subvalvular structures. The relationships among the
leaflets, commissures, and subvalvular structures differed in the septal,
anterior, and posterior leaflets. This new definition of the commissural point
may aid the development of a clear-cut methodology for prosthetic ring
annuloplasty.
PMID- 29366577
TI - A comparison between Belsey Mark IV and laparoscopic Nissen fundoplication in
patients with large paraesophageal hernia.
AB - OBJECTIVES: Laparoscopic Nissen fundoplication is the most commonly performed
operation for the repair of large hiatal hernias. We compared outcomes between
the Belsey Mark IV fundoplication and the laparoscopic Nissen fundoplication.
METHODS: A retrospective review was performed over a 10-year period on patients
who had repair of large paraesophageal hernia. Patients who received the Belsey
Mark IV (n = 118) were matched 1 to 1, by year of surgery, gender, and age, with
patients who received laparoscopic Nissen fundoplication. We compared these 2
groups, examining recurrence, need for reoperation, perioperative outcomes, and
symptomatic follow-up as defined by the Gastroesophageal Reflux Disease-Health
Related Quality of Life questionnaire. RESULTS: Recurrence rates were similar
between patients who had a Belsey Mark IV and laparoscopic Nissen fundoplication
(8.4% vs 16.1%, P = .11). However, the esophageal leak rate was higher in
patients who received a laparoscopic Nissen fundoplication compared with the
Belsey Mark IV (6.8% vs 0%, respectively, P = .006), and patients who received a
laparoscopic Nissen fundoplication had higher rates of reoperation (9.3% vs 2.5%,
respectively, P = .05). Gastroesophageal Reflux Disease-Health Related Quality of
Life symptom scores were similar between groups with symptoms in laparoscopic
Nissen fundoplication and Belsey Mark IV, being excellent (74.4% vs 81.4%), good
(9.3% vs 7.0%), fair (9.3% vs 0), and poor (7.0% vs 11.6%), respectively (P =
.52). CONCLUSIONS: Laparoscopic Nissen fundoplication for large paraesophageal
hernias was associated with an increased incidence of leak and reoperation when
compared with Belsey fundoplication. Belsey Mark IV fundoplication should be
considered when deciding on what operation to perform in patients with large
paraesophageal hernias.
PMID- 29366578
TI - Arterial cannulation site selection: The road less traveled.
PMID- 29366579
TI - Extracorporeal membrane oxygenation for everyone?
PMID- 29366580
TI - Urinary biomarkers may provide prognostic information for subclinical acute
kidney injury after cardiac surgery.
AB - OBJECTIVE: This study aimed to determine the biomarker-specific outcome patterns
and short-and long-term prognosis of cardiac surgery-asoociated acute kidney
injury (AKI) identified by standard criteria and/or urinary kidney biomarkers.
METHODS: Patients enrolled (N = 200), originated a German multicenter study
(NCT00672334). Standard risk injury, failure, loss, and end-stage renal disease
classification (RIFLE) criteria (including serum creatinine and urine output) and
urinary kidney biomarker test result (neutrophil gelatinase-associated lipocalin,
midkine, interleukin 6, and proteinuria) were used for diagnosis of postoperative
AKI. Primary end point was acute renal replacement therapy or in-hospital
mortality. Long-term end points among others included 5-year mortality. Patients
with single-biomarker-positive subclinical AKI (RIFLE negative) were identified.
We controlled for systemic inflammation using C-reactive protein test. RESULTS:
Urinary biomarkers (neutrophil gelatinase-associated lipocalin, midkine, and
interleukin 6) were identified as independent predictors of the primary end
point. Neutrophil gelatinase-associated lipocalin, midkine, or interleukin 6
positivity or de novo/worsening proteinuria identified 21.1%, 16.9%, 30.5%, and
48.0% more cases, respectively, with likely subclinical AKI (biomarker
positive/RIFLE negative) additionally to cases with RIFLE positivity alone.
Patients with likely subclinical AKI (neutrophil gelatinase-associated lipocalin
or interleukin 6 positive) had increased risk of primary end point (adjusted
hazard ratio, 7.18; 95% confidence interval, 1.52-33.93 [P = .013] and hazard
ratio, 6.27; 95% confidence interval, 1.12-35.21 [P = .037]), respectively.
Compared with biomarker-negative/RIFLE-positive patients, neutrophil gelatinase
associated lipocalin positive/RIFLE-positive or midkine-positive/RIFLE-positive
patients had increased risk of primary end point (odds ratio, 9.6; 95% confidence
interval, 1.4-67.3 [P = .033] and odds ratio, 14.7; 95% confidence interval, 2.0
109.2 [P = .011], respectively). Three percent to 11% of patients appear to be
influenced by single-biomarker-positive subclinical AKI. During follow-up, kidney
biomarker-defined short-term outcomes appeared to translate into long-term
outcomes. CONCLUSIONS: Urinary kidney biomarkers identified RIFLE-negative
patients with high-risk subclinical AKI as well as a higher risk subgroup of
patients among RIFLE-AKI-positive patients. These findings support the concept
that urinary biomarkers define subclinical AKI and higher risk subpopulations
with worse long-term prognosis among standard patients with AKI.
PMID- 29366581
TI - Rwanda is rising.
PMID- 29366582
TI - A novel restorative pulmonary valved conduit in a chronic sheep model: Mid-term
hemodynamic function and histologic assessment.
AB - OBJECTIVE: To evaluate the safety and the short-term function of a novel
pulmonary valved conduit (Xeltis Pulmonary Valved Conduit; XPV) up to 12 months
in a sheep model. METHODS: XPV and Hancock bioprosthetic valved conduits (H, used
as control) were implanted in adult sheep in the pulmonary artery position.
Animals were killed at 2 months (n = 6 XPV), 6 months (n = 6 XPV and n = 3 H),
and 12 months (n = 6 XPV) and examined histologically. During follow-up, function
of the device as well as diameter of both XPV and H were assessed by
transthoracic echocardiography. RESULTS: Of 18 animals that received an XPV, 15
survived until they were killed; 3 animals that received H survived the planned
observational interval. XPV showed mild neointimal thickening and degradation
beginning at 2 months with an ongoing process until 12 months. Only 1 of the 18
animals with XPV had significant calcification at 6 months. Pathologic specimen
did not show any significant narrowing of the conduit whereas neointimal
thickness showed a peak at 6 months. Inflammatory process reached a maximum at 6
months and the degradation process at 12 months. Gel permeation chromatography
analysis showed molecular weight loss beginning at 2 months with a peak at 12
months for the conduit with slower absorption for the leaflets. The wall of the H
conduits showed more neointimal thickening, narrowing, and calcification compared
with XPV, but the leaflets demonstrated minimal changes. CONCLUSIONS: Both
conduits demonstrated an acceptable safety and functionality. Significant
calcification was rarely observed in the XPV, whereas the H developed more
neointimal thickness with calcification of the porcine aortic root portion of the
wall.
PMID- 29366583
TI - Transcatheter aortic valve replacement, The Journal of Thoracic and
Cardiovascular Surgery, and the evolution of clinical research in cardiothoracic
surgery.
PMID- 29366585
TI - Corrigendum to "Phosphorylated-tyrosine based pseudobioaffinity adsorbent for the
purification of immunoglobulin G" [J. Chromatogr. B, 1052 (2017) 10-18].
PMID- 29366584
TI - Corrigendum to "Premature ovarian senescence and a high miscarriage rate impair
fertility in women with HCV" [J Hepatol 68 (2018) 33-41].
PMID- 29366586
TI - Computer-Assisted Decision Support System in Pulmonary Cancer detection and stage
classification on CT images.
AB - Pulmonary cancer is considered as one of the major causes of death worldwide. For
the detection of lung cancer, computer-assisted diagnosis (CADx) systems have
been designed. Internet-of-Things (IoT) has enabled ubiquitous internet access to
biomedical datasets and techniques; in result, the progress in CADx is
significant. Unlike the conventional CADx, deep learning techniques have the
basic advantage of an automatic exploitation feature as they have the ability to
learn mid and high level image representations. We proposed a Computer-Assisted
Decision Support System in Pulmonary Cancer by using the novel deep learning
based model and metastasis information obtained from MBAN (Medical Body Area
Network). The proposed model, DFCNet, is based on the deep fully convolutional
neural network (FCNN) which is used for classification of each detected pulmonary
nodule into four lung cancer stages. The performance of proposed work is
evaluated on different datasets with varying scan conditions. Comparison of
proposed classifier is done with the existing CNN techniques. Overall accuracy of
CNN and DFCNet was 77.6% and 84.58%, respectively. Experimental results
illustrate the effectiveness of proposed method for the detection and
classification of lung cancer nodules. These results demonstrate the potential
for the proposed technique in helping the radiologists in improving nodule
detection accuracy with efficiency.
PMID- 29366587
TI - Ocular manifestations of Zika virus: What we should know and what we should do.
PMID- 29366588
TI - Comparison of objective optical quality measured by double-pass aberrometry in
patients with moderate dry eye: Normal saline vs. artificial tears: A pilot
study.
AB - Dry eye is defined by a tear film instability resulting in variable but
systematic fluctuations in quality of vision. Variability in optical quality can
be demonstrated using a double pass aberrometer such as the Optical Quality
Analyzing System, Visiometrics (OQAS). The goal of this work is to compare
fluctuations in objective quality of vision measured by OQAS between treatment
with normal saline eye drops and treatment with carmellose 0.5% and hyaluronic
acid 0.1% (Optive Fusion [OF], Allergan) in patients with moderate dry eye
syndrome. Optical quality was measured by evaluating the variations in the
Optical Scattering Index (OSI) over 20seconds using the OQAS. Inclusion criteria
were dry eye syndrome with an ocular surface disease index (OSDI) score >23
treated only with artificial tears. The patients were their own controls: OF in
one eye and normal saline in the fellow eye. The choice of the subject eye and
control eye was determined in a randomized fashion. OSI variations were measured
in each eye before instillation, 5minutes and 2hours after instillation. The
primary endpoint was OSI fluctuation over 20seconds of measurement. Secondary
endpoints were the number of blinks and patient preference (preferred eye).
Preliminary results were obtained on 19 patients. Average OSDI score was 36.8.
Visual acuity was 10/10 with no significant difference between the two eyes.
Prior to instillation, there was no significant difference between "normal
saline" and "OF" eyes in terms of OSI, OSI variability or number of blinks. In
the normal saline eye, there were no significant variations in mean OSI, OSI
variability, OSI slope, or number of blinks. However, in the "OF" eye, there was
a significant variation between initial and 2-hour OSI variability (0.363 versus
0.204, P<0.05), the average slope of OSI (0.04 versus 0.01, P<0.05) and the
number of blinks (4.2 versus 2.8, P<0.05). Among the patients, 65% preferred the
OF eye, 24% did not have a preference, and 11% preferred the normal saline eye.
Objective quality of vision measured by OQAS is an interesting parameter for
evaluating the effectiveness of a lacrimal substitute. The purpose of artificial
tears is, among other things, to provide comfort and a reduction of dry eye
symptoms such as poor quality of vision. This study demonstrates that 0.5%
carmellose and 0.1% hyaluronic acid allowed better stabilization of the tear film
and thus a significant improvement in the quality of vision compared to normal
saline.
PMID- 29366589
TI - [Atypical traumatic hyphema].
PMID- 29366590
TI - [An iatrogenic posterior subcapsular cataract].
PMID- 29366591
TI - [Endogenous endophthalmitis as a complication of varicose ulcer of the leg: Case
report].
PMID- 29366592
TI - [Atypical clinical presentation of an orbital cylindroma].
PMID- 29366593
TI - Role of the chemokine receptors CXCR3, CXCR4 and CCR7 in the intramuscular
recruitment of plasmacytoid dendritic cells in dermatomyositis.
AB - To explore the possible mechanism implicated in the recruitment of plasmacytoid
dendritic cells (pDCs), we investigated the expression of the chemokine receptors
CXCR3, CXCR4, and CCR7 on intramuscular and circulating pDCs from patients with
dermatomyositis (DM). Using immunohistochemistry, preferential expression of
CXCR3, CXCR4 and CCR7 was identified in the perivascular inflammatory infiltrates
within the perimysium in DM muscle. Western-blot analysis showed marked up
regulation of expression of CXCR3, CXCR4 and CCR7 in muscle homogenate from
patients with DM compared with that in non-diseased controls. Co-localization of
CD303+ pDCs with these chemokine receptors was further examined by double
immunofluorescence staining, which showed extensive co-localization of CD303 with
CXCR3/CXCR4/CCR7 in DM biopsies. Flow cytometry was then used to investigate the
proportion of pDCs among the total PBMCs and the expression of CXCR3, CXCR4 and
CCR7 on circulating pDCs. The proportion of CD123+CD303+ pDCs in peripheral blood
from DM patients was markedly decreased compared to that from polymyositis (PM)
patients and normal controls. Significantly increased expression of CXCR3, but
not CXCR4 or CCR7, was further identified on circulating pDCs in DM. Correlation
analysis showed that the expression of CXCR3 correlated inversely with the
frequency of pDCs in peripheral blood. Our findings indicate that the chemokine
receptors, CXCR3, CXCR4 and CCR7 may be involved in the recruitment of pDCs from
peripheral blood to muscle tissues in DM via different mechanisms, and in which
CXCR3 may play an important role under DM conditions.
PMID- 29366596
TI - The potential use of social media and other internet-related data and
communications for child maltreatment surveillance and epidemiological research:
Scoping review and recommendations.
AB - Collecting child maltreatment data is a complicated undertaking for many reasons.
As a result, there is an interest by child maltreatment researchers to develop
methodologies that allow for the triangulation of data sources. To better
understand how social media and internet-based technologies could contribute to
these approaches, we conducted a scoping review to provide an overview of social
media and internet-based methodologies for health research, to report results of
evaluation and validation research on these methods, and to highlight studies
with potential relevance to child maltreatment research and surveillance. Many
approaches were identified in the broad health literature; however, there has
been limited application of these approaches to child maltreatment. The most
common use was recruiting participants or engaging existing participants using
online methods. From the broad health literature, social media and internet-based
approaches to surveillance and epidemiologic research appear promising. Many of
the approaches are relatively low cost and easy to implement without extensive
infrastructure, but there are also a range of limitations for each method.
Several methods have a mixed record of validation and sources of error in
estimation are not yet understood or predictable. In addition to the problems
relevant to other health outcomes, child maltreatment researchers face additional
challenges, including the complex ethical issues associated with both internet
based and child maltreatment research. If these issues are adequately addressed,
social media and internet-based technologies may be a promising approach to
reducing some of the limitations in existing child maltreatment data.
PMID- 29366595
TI - Risk factors for arterial hypertension after liver transplantation.
AB - Arterial hypertension represents a common complication of immunosuppressive
therapy after liver transplantation (LT). The aim of the study is to evaluate the
prevalence and risk factors associated with hypertension after LT. From a cohort
of 323 cirrhotic patients who underwent LT from 2008 to 2012, 270 patients were
retrospectively evaluated, whereas 53 (16.4%) patients deceased. Hypertension was
defined as blood pressure >=140/90 mm Hg in at least two visits and/or the need
for antihypertensive therapy. The prevalence of hypertension was 15% before LT
and significantly increased up to 53% after LT (P < .001). Mean follow-up was 43
+/- 19 months. In normotensive (NT) subjects at baseline, 35.9% developed
sustained hypertension after LT, whereas 15.2% developed transient hypertension
within the first month after LT, and then returned NT. The development of
sustained hypertension after LT was related to the mammalian target of rapamycin
inhibitor treatment (odds ratio [OR], 4.02; 95% confidence interval [CI], 1.26
13.48; P = .02), alcoholic cirrhosis before LT (OR, 3.38; 95% CI, 1.44-8.09; P =
.005), and new-onset hepatic steatosis after LT (OR, 2.13; 95% CI, 1.10-4.11; P =
.02). Tacrolimus, the etiology and severity of liver disease, and other
immunosuppressive regimens were not related to the development of hypertension
after LT. In our cohort, the prevalence of arterial hypertension has increased up
to 53% after LT, and metabolic comorbidities and immunosuppressive treatment with
mammalian target of rapamycin inhibitors are the risk factors for the development
of hypertension after LT.
PMID- 29366597
TI - Conducting evaluation research with children exposed to violence: How
technological innovations in methodologies and data collection may enhance the
process.
AB - Research and program evaluation processes that engage children and youth are
becoming much more common due to influences from children's rights and the
acknowledgement that children have the capacity to contribute to research, both
as participants and co-researchers (Roberts, 2017). Recent technological advances
in the form of tablet and internet-based applications have provided researchers
with additional methodological tools to better capture the voices and experiences
of children and their caregivers (Livingstone & Blum-Ross, 2017). However, little
has been written on the ways in which these new technological advances can
improve research experiences for children who have been exposed to intimate
partner and family violence, as well as other forms of traumatic experiences.
This paper provides a review of current literature and a case study example of
how one children's mental health agency has implemented tablet-based data
collection procedures.
PMID- 29366594
TI - The neonatal anti-viral response fails to control measles virus spread in neurons
despite interferon-gamma expression and a Th1-like cytokine profile.
AB - Neonates are highly susceptible to viral infections in the periphery, potentially
due to deviant cytokine responses. Here, we investigated the role of interferon
gamma (IFNgamma), a key anti-viral in the neonatal brain. We found that (i)
IFNgamma, which is critical for viral control and survival in adults, delays
mortality in neonates, (ii) IFNgamma limits infiltration of macrophages,
neutrophils, and T cells in the neonatal brain, (iii) neonates and adults
differentially express pathogen recognition receptors and Type I interferons in
response to the infection, (iv) both neonates and adults express IFNgamma and
other Th1-related factors, but expression of many cytokines/chemokines and
IFNgamma-responsive genes is age-dependent, and (v) administration of IFNgamma
extends survival and reduces CD4 T cell infiltration in the neonatal brain. Our
findings suggest age-dependent expression of cytokine/chemokine profiles in the
brain and distinct dynamic interplays between lymphocyte populations and
cytokines/chemokines in MV-infected neonates.
PMID- 29366598
TI - Translational Radiomics: Defining the Strategy Pipeline and Considerations for
Application-Part 2: From Clinical Implementation to Enterprise.
AB - Enterprise imaging has channeled various technological innovations to the field
of clinical radiology, ranging from advanced imaging equipment and
postacquisition iterative reconstruction tools to image analysis and computer
aided detection tools. More recently, the advancement in the field of
quantitative image analysis coupled with machine learning-based data analytics,
classification, and integration has ushered in the era of radiomics, a paradigm
shift that holds tremendous potential in clinical decision support as well as
drug discovery. However, there are important issues to consider to incorporate
radiomics into a clinically applicable system and a commercially viable solution.
In this two-part series, we offer insights into the development of the
translational pipeline for radiomics from methodology to clinical implementation
(Part 1) and from that point to enterprise development (Part 2). In Part 2 of
this two-part series, we study the components of the strategy pipeline, from
clinical implementation to building enterprise solutions.
PMID- 29366599
TI - The Effects of Fatigue From Overnight Shifts on Radiology Search Patterns and
Diagnostic Performance.
AB - PURPOSE: The aim of this study was to assess the effect of overnight shifts (ONS)
on radiologist fatigue, visual search pattern, and diagnostic performance.
METHODS: This experimental study was approved by the institutional review board.
Twelve radiologists (five faculty members and seven residents) each completed two
sessions: one during a normal workday ("not fatigued") and another in the morning
after an ONS ("fatigued"). Each radiologist completed the Swedish Occupational
Fatigue Inventory. During each session, radiologists viewed 20 bone radiographs
consisting of normal and abnormal findings. Viewing time, diagnostic confidence,
and eye-tracking data were recorded. RESULTS: Swedish Occupational Fatigue
Inventory results demonstrated worsening in all five variables (lack of energy,
physical exertion, physical discomfort, lack of motivation, and sleepiness) after
ONS (P < .01). Overall, participants demonstrated worse diagnostic performance in
the fatigued versus not fatigued state (P < .05). Total viewing time per case was
longer when fatigued (35.9 +/- 25.8 seconds) than not fatigued (24.8 +/- 16.3
seconds) (P < .0001). Total viewing time per case was longer for residents (P <
.05). Mean total fixations generated during the search increased by 60% during
fatigued sessions (P < .0001). Mean time to first fixate on the fracture
increased by 34% during fatigued sessions (P < .0001) and was longer for
residents (P < .01). Dwell times associated with true- and false-positive
decisions increased, whereas those with false negatives decreased. CONCLUSIONS:
After ONS, radiologists were more fatigued with worse diagnostic performance, a
45% increase in view time per case, a 60% increase in total gaze fixations, and a
34% increase in time to fixate on the fracture. The effects of fatigue were more
pronounced in residents.
PMID- 29366600
TI - Translational Radiomics: Defining the Strategy Pipeline and Considerations for
Application-Part 1: From Methodology to Clinical Implementation.
AB - Enterprise imaging has channeled various technological innovations to the field
of clinical radiology, ranging from advanced imaging equipment and
postacquisition iterative reconstruction tools to image analysis and computer
aided detection tools. More recently, the advancements in the field of
quantitative image analysis coupled with machine learning-based data analytics,
classification, and integration have ushered us into the era of radiomics, which
has tremendous potential in clinical decision support as well as drug discovery.
There are important issues to consider to incorporate radiomics as a clinically
applicable system and a commercially viable solution. In this two-part series, we
offer insights into the development of the translational pipeline for radiomics
from methodology to clinical implementation (Part 1) and from that to enterprise
development (Part 2).
PMID- 29366601
TI - The four-category classification of the urgency of caesarean sections in the UK
needs vital improvements.
PMID- 29366602
TI - Treg-specific deletion of NKAP results in severe, systemic autoimmunity due to
peripheral loss of Tregs.
AB - Regulatory T cells are critical for the generation and maintenance of peripheral
tolerance. Conditional deletion of the transcriptional repressor NKAP in Tregs
using Foxp3-YFP-cre NKAP conditional knockout mice causes aggressive autoimmunity
characterized by thymic atrophy, lymphadenopathy, peripheral T cell activation,
generation of autoantibodies, immune infiltration into several organs, and crusty
skin at 3 weeks of age, similar to that of "scurfy" Foxp3-mutant mice. While Treg
development in the thymus proceeds normally in the absence of NKAP, there is a
severe loss of thymically-derived Tregs in the periphery. NKAP-deficient Tregs
have a recent thymic emigrant phenotype, and are attacked by complement in a cell
intrinsic manner in the periphery. Previously, we demonstrated that NKAP is
required for conventional T cell maturation as it prevents complement-mediated
attack in the periphery. We now show that Tregs undergo a similar maturation
process as conventional T cells, requiring NKAP to acquire complement resistance
after thymic egress.
PMID- 29366603
TI - Both left ventricular papillary muscles necrosis, an eosinophylic lymphoblastic
leukemia revealed by endomyocardial fibrosis.
PMID- 29366604
TI - [A retentional jaundice in a healthy sixty-seven year old man].
PMID- 29366605
TI - The Definition of Open Reading Frame Revisited.
AB - The term open reading frame (ORF) is of central importance to gene finding.
Surprisingly, at least three definitions are in use. We discuss several molecular
biological and bioinformatics aspects, and we recommend using the definition in
which an ORF is bounded by stop codons.
PMID- 29366607
TI - SOHO State of the Art Updates and Next Questions: Hodgkin Lymphoma.
AB - Until recently, advances in classic Hodgkin lymphoma (HL) treatment primarily
consisted of minor modifications of highly effective decades-old chemotherapy and
radiation approaches. In early-stage disease, excellent outcomes have been
reported with fewer cycles of chemotherapy, lower doses, smaller radiation fields
and in some circumstances, radiation elimination. In advanced-stage disease,
maintaining the dose intensity of standard chemotherapy regimens has resulted in
modest improvements in outcomes. During the past decade, the use of early interim
positron emission tomography (PET) scans to escalate or de-escalate treatment has
been the subject of intense investigation with the goal of maximizing efficacy
and minimizing toxicity. Important updates from recent PET-directed trials
include; elimination of bleomycin in patients with advanced-stage HL and negative
interim PET findings, the benefit of therapy escalation in patients with
unfavorable early-stage HL and positive interim PET findings, and the minimal
benefit of consolidative radiotherapy in patients with unfavorable early-stage HL
and negative interim PET findings. A more nuanced approach to consolidative
radiotherapy is required for patients with favorable early-stage disease based on
age, disease sites, secondary cancer risk, and cardiovascular disease.
Brentuximab vedotin and nivolumab/pembrolizumab have provided promising new
options with surprisingly high response rates and modest toxicity for patients
with relapsed HL whose disease does not respond to standard treatments.
Incorporating these agents into earlier therapy is an area of active
investigation for all stages of HL. Although the overall prognosis for HL
patients has seen incremental improvement, efforts to optimize treatment with
more effective and less toxic approaches continue.
PMID- 29366606
TI - PRDM9 and Its Role in Genetic Recombination.
AB - PRDM9 is a zinc finger protein that binds DNA at specific locations in the genome
where it trimethylates histone H3 at lysines 4 and 36 at surrounding nucleosomes.
During meiosis in many species, including humans and mice where PRDM9 has been
most intensely studied, these actions determine the location of recombination
hotspots, where genetic recombination occurs. In addition, PRDM9 facilitates the
association of hotspots with the chromosome axis, the site of the programmed DNA
double-strand breaks (DSBs) that give rise to genetic exchange between
chromosomes. In the absence of PRDM9 DSBs are not properly repaired.
Collectively, these actions determine patterns of genetic linkage and the
possibilities for chromosome reorganization over successive generations.
PMID- 29366608
TI - BTB/POZ domain-containing protein 7 is inversely associated with fibronectin
expression in salivary adenoid cystic carcinoma.
AB - OBJECTIVE: This study aimed to investigate the relationship between BTB/POZ
domain-containing protein 7 (BTBD7) and fibronectin (FN) expression in salivary
adenoid cystic carcinoma (SACC) and the function of BTBD7 in proliferation,
migration, and invasion of SACC cells. STUDY DESIGN: The BTBD7 and FN expression
in SACC and nontumor salivary tissues as well as SACC cells were characterized by
immunohistochemistry and immunofluorescence. The effect of BTBD7 silencing on the
proliferation, migration, and invasion of SACC-LM cells were determined by wound
healing and transwell invasion assays. RESULTS: The percentages of SACC with
positive BTBD7 expression were significantly higher than those of SACC with FN
expression. BTBD7 silencing significantly increased the relative levels of FN
expression and inhibited the proliferation, migration, and invasion of SACC-LM
cells. CONCLUSIONS: The study data indicated that BTBD7 was inversely associated
with FN expression in SACC. BTBD7 may inhibit FN expression, but it promotes the
proliferation, migration, and invasion of SACC-LM cells. Hence, BTBD7 may be
associated with metastasis and a new therapeutic target for intervention of SACC.
PMID- 29366609
TI - Surgical treatment of enterovirus D68 brainstem encephalitis-induced dysphagia.
AB - Cluster of acute flaccid paralysis and cranial nerve dysfunction was associated
with a 2014 outbreak of enterovirus D68 (EV-D68) respiratory illness in US. We
describe a 33 year-old male patient of refractory dysphagia due to EV-D68-induced
brainstem encephalitis successfully treated by surgery. Following acute upper
respiratory tract infection, he developed dysphagia and bilateral facial
paralysis. A coughing reflex was readily produced when the laryngopharyngeal
fiberscope touched the epiglottis, however, water infusion induced only very weak
and slow swallowing reflex, suggesting that only motor component was impaired but
sensory function was preserved during swallowing. Despite eight months
conservative rehabilitations, Food Intake Level Scale (FILS) remained level 4.
Therefore, corrective surgeries including cricopharyngeal myotomy, laryngeal
suspension, and pharyngeal flap were performed. Thirty-six days after surgery,
FILS rapidly and dramatically improved to level 8. This is the first report
describing a successful surgical intervention for EV-D68-induced refractory
dysphagia. Surgical treatment was suitable for EV-D68-induced dysphagia, perhaps
because sensory function was preserved and only motor disturbance was present
during the pharyngeal stage of swallowing.
PMID- 29366610
TI - [Occiput posterior presentation at delivery: Materno-foetal outcomes and
predictive factors of rotation].
AB - OBJECTIVES: To describe the maternal and foetal outcomes of an occiput posterior
foetal position at delivery; to evaluate predictive factors of anterior rotation
during labour. METHODS: Descriptive retrospective analysis of a cohort of 439
women with foetuses in occiput posterior position during labour. Logistic
regression analysis to quantify the effect of factors that may favour anterior
rotation. RESULTS: Most of foetuses (64%) do an anterior rotation during labour
and 13% during the expulsive phase. The consequences of a persistent foetal
occiput posterior position during delivery are a significantly increased average
time of second stage labour compared to others positions (65.19minutes vs. 43.29,
P=0.001, respectively); a higher percentage of caesarean sections (72.0% versus
4.7%, P<0.001) and instrumental delivery (among low-birth deliveries, 60.7%
versus 25.2%, P<0.001); more frequent third-degree perineal tears (14.3% vs.
0.6%, P<0.001) and more abundant blood loss (560mL versus 344mL, P<0.001). In a
multi-variable model including nulliparity, station of the presenting part and
degree of flexion of the foetal head at complete dilatation, the only predictive
factor independent of rotation at delivery is a good flexion of the foetal head
at complete dilatation, which multiplies the anterior rotation probability by
six. CONCLUSION: A good flexion of the foetal head is significantly associated
with anterior rotation. Other studies exploring ways to increase anterior
rotation during labour are needed to reduce the very high risk of caesarean
section and instrumentation associated with the foetal occiput posterior
position.
PMID- 29366611
TI - Functional outcomes after prostatic cryosurgery.
AB - OBJECTIVE: To assess the functional effects of prostatic cryosurgery on
micturition. MATERIAL AND METHODS: Prospective study of men who underwent
cryosurgery (CS) for prostate cancer between 2013 - 2015. Low urinary tract
symptoms (LUTS) and quality of life (QoL) were assessed 1 month before surgery
using IPSS questionnaire, a three-day voiding diary (3DVD) and uroflowmetry with
ultrasound-measured postvoid residual volume. Need of medical treatment for LUTS
was also recorded. The same assessment was performed at 3, 6 and 12 months after
CS. Outcomes after surgery were compared to those prior to surgery. RESULTS:
Forty-five patients underwent a CS during the study period, of whom 25 patients
could be recruited in the study. Mean age was 73.5 years (range 66-84). Nineteen
CS (76%) were performed as a primary procedure, while 6 CS (24%) as a salvage
procedure. No statistical differences were found comparing results of IPSS, QoL,
D3vd or uroflowmetry and PVR at 3, 6 or 12 months after CS compared to before
surgery. Before CS, 8 (32%) patients were on medical treatment for LUTS, while at
6 and 12 months after surgery, 3 (13.6%) and 2 (9.5%) patients required some
medication, respectively. CONCLUSION: According to the punctuation of IPSS, QoL
questionnaire, and a 3-day voiding diary, LUTS does not worsen after CS.
Prostatic cryosurgery does not seem to impact uroflowmetry results.
PMID- 29366612
TI - Accessibility Landscapes of Supplemental Nutrition Assistance Program-Authorized
Stores.
AB - BACKGROUND: The Supplemental Nutrition Assistance Program (SNAP) is the largest
food assistance program in the United States. Participants receive electronic
benefits that are redeemable at a variety of food stores. Previous research notes
that low-income neighborhoods often lack supermarkets with high-quality,
affordable food. OBJECTIVE: The first aim of this study was to explore the number
and spatial distribution of SNAP stores by type and to assess how SNAP benefit
redemption is linked to store type in North Carolina in 2015. The second aim was
to compare the demographics of populations living in areas with a high
concentration of SNAP participants vs areas with a lower concentration of SNAP
participants. The third aim was to test for disparities in the availability of
and access to SNAP-authorized stores in areas with high vs low concentration of
SNAP participants stratified by rural/urban status. DESIGN: US Department of
Agriculture and US Census data were used to explore the spatial distribution of
SNAP stores at the census block group level utilizing a Geographic Information
System. PARTICIPANTS: The 9,556 North Carolina SNAP stores in 2015 categorized
into full-variety and limited-variety stores. OUTCOME MEASURES: Proximity to
limited-variety SNAP food stores and full-variety SNAP food stores within access
range (1 mile in urban areas and 10 miles in rural areas). STATISTICAL ANALYSES:
Wilcoxon rank sum and chi2 tests are used to compare the distance to and
concentration of SNAP stores by rurality and SNAP participant concentration at
census block group scale. RESULTS: Among the SNAP stores in North Carolina, 83%
are limited-variety stores and 17% are full-variety stores. There are disparities
in the demographics of individuals living in census block groups with a high
proportion of SNAP participants compared to census block groups with a lower
proportion of SNAP participants. More households in higher SNAP participant
census block groups were non-white, did not have a car, and had children compared
to census block groups with lower SNAP participation. Residents in high SNAP
participant census block groups typically had access to 0 full-variety stores and
4 limited-variety stores in urban areas and 3 full-variety stores and 17 limited
variety stores in rural areas. CONCLUSIONS: SNAP participant access to a variety
of stores should be considered when approving food stores for SNAP authorization.
More research is essential to disentangle the relationship between access to SNAP
store type and SNAP food choice and to estimate geographical disparities.
PMID- 29366613
TI - Keratoendotheliitis Fugax Hereditaria: A Novel Cryopyrin-Associated Periodic
Syndrome Caused by a Mutation in the Nucleotide-Binding Domain, Leucine-Rich
Repeat Family, Pyrin Domain-Containing 3 (NLRP3) Gene.
AB - PURPOSE: To describe the phenotype and the genetic defect in keratoendotheliitis
fugax hereditaria, an autosomal dominant keratitis that periodically affects the
corneal endothelium and stroma, leading in some patients to opacities and
decreased visual acuity. DESIGN: Cross-sectional, hospital-based study. METHODS:
Patient Population: Thirty affected and 7 unaffected subjects from 7 families,
and 4 sporadic patients from Finland. OBSERVATION PROCEDURES: Ophthalmic
examination and photography, corneal topography, specular microscopy, and optical
coherence tomography in 34 patients, whole exome sequencing in 10 patients, and
Sanger sequencing in 34 patients. MAIN OUTCOME MEASURES: Clinical phenotype,
disease-causing genetic variants. RESULTS: Unilateral attacks of
keratoendotheliitis typically occurred 1-6 times a year (median, 2.5), starting
at a median age of 11 years (range, 5-28 years), and lasted for 1-2 days. The
attacks were characterized by cornea pseudoguttata and haze in the posterior
corneal stroma, sometimes with a mild anterior chamber reaction, and got milder
and less frequent in middle age. Seventeen (50%) patients had bilateral stromal
opacities. The disease was inherited as an autosomal dominant trait. A likely
pathogenic variant c.61G>C in the NLRP3 gene, encoding cryopyrin, was detected in
all 34 tested patients and segregated with the disease. This variant is present
in both Finnish and non-Finnish European populations at a frequency of about
0.02% and 0.01%, respectively. CONCLUSION: Keratoendotheliitis fugax hereditaria
is an autoinflammatory cryopyrin-associated periodic syndrome caused by a
missense mutation c.61G>C in exon 1 of NLRP3 in Finnish patients. It is
additionally expected to occur in other populations of European descent.
PMID- 29366614
TI - Correlation Between Ischemic Index of Retinal Vein Occlusion and Oxygen
Saturation in Retinal Vessels.
AB - PURPOSE: To evaluate the relationship between the ischemic index and the oxygen
saturation in retinal vessels in patients with retinal vein occlusion. DESIGN:
Prospective, cross-sectional study. METHODS: We performed a prospective study.
The cohort consisted of 43 eyes of 43 patients with retinal vein occlusions
(RVO), 23 of whom had central retinal vein occlusions (CRVO) and 20 who had
branch retinal vein occlusions (BRVO). We evaluated the retinal vessel saturation
using an automatic retinal oximetry device. The retinal ischemic index (ISI) was
determined using ultra-widefield fluorescein angiography. RESULTS: Mean arterial
saturation (+/-SD) was 100% +/- 11%, mean vein saturation was 52% +/-13%, and
mean A-V difference was 48% +/- 16% in eyes with BRVO. The average ISI in the
same group was 0.48 (range 0-1). There was no statistically significant
correlation between the retinal ischemic index and retinal saturation in the BRVO
group. The affected eye in the CRVO group had a mean arterial saturation of 101%
+/- 6%, vein saturation of 44% +/- 11 % and A-V difference of 58% +/- 10%. The
average ISI in the CRVO group was 0.54 (range 0-1). A statistically significant
negative correlation between ISI and vein saturation was found in the CRVO group
(r = -0.686; P =.0003). A significant positive correlation between ISI and the A
V difference was found in the CRVO group (r = 0.893; P <.0001). CONCLUSIONS:
Oxygen saturation in the retinal vein and the arteriovenous difference correlated
with the ischemic index in CRVO patients. No correlation was found for BRVO
patients.
PMID- 29366615
TI - The Evaluation and Management of Toxic Shock Syndrome in the Emergency
Department: A Review of the Literature.
AB - BACKGROUND: Toxic shock syndrome (TSS) is a severe, toxin-mediated illness that
can mimic several other diseases and is lethal if not recognized and treated
appropriately. OBJECTIVE: This review provides an emergency medicine evidence
based summary of the current evaluation and treatment of TSS. DISCUSSION: The
most common etiologic agents are Staphylococcus aureus and Streptococcus
pyogenes. Sources of TSS include postsurgical wounds, postpartum, postabortion,
burns, soft tissue injuries, pharyngitis, and focal infections. Symptoms are due
to toxin production and infection focus. Early symptoms include fever, chills,
malaise, rash, vomiting, diarrhea, and hypotension. Diffuse erythema and
desquamation may occur later in the disease course. Laboratory assessment may
demonstrate anemia, thrombocytopenia, elevated liver enzymes, and abnormal
coagulation studies. Diagnostic criteria are available to facilitate the
diagnosis, but they should not be relied on for definitive diagnosis. Rather,
specific situations should trigger consideration of this disease process.
Treatment involves intravenous fluids, source control, and antibiotics.
Antibiotics should include a penicillinase-resistant penicillin, cephalosporin,
or vancomycin (in methicillin-resistant S. aureus prevalent areas) along with
either clindamycin or linezolid. CONCLUSION: TSS is a potentially deadly disease
requiring prompt recognition and treatment. Focused history, physical
examination, and laboratory testing are important for the diagnosis and
management of this disease. Understanding the evaluation and treatment of TSS can
assist providers with effectively managing these patients.
PMID- 29366616
TI - Suffering in Silence: Medical Error and its Impact on Health Care Providers.
AB - BACKGROUND: All humans are fallible. Because physicians are human, unintentional
errors unfortunately occur. While unintentional medical errors have an impact on
patients and their families, they may also contribute to adverse mental and
emotional effects on the involved provider(s). These may include burnout, lack of
concentration, poor work performance, posttraumatic stress disorder, depression,
and even suicidality. OBJECTIVES: The objectives of this article are to 1)
discuss the impact medical error has on involved provider(s), 2) provide
potential reasons why medical error can have a negative impact on provider mental
health, and 3) suggest solutions for providers and health care organizations to
recognize and mitigate the adverse effects medical error has on providers.
DISCUSSION: Physicians and other providers may feel a variety of adverse emotions
after medical error, including guilt, shame, anxiety, fear, and depression. It is
thought that the pervasive culture of perfectionism and individual blame in
medicine plays a considerable role toward these negative effects. In addition,
studies have found that despite physicians' desire for support after medical
error, many physicians feel a lack of personal and administrative support. This
may further contribute to poor emotional well-being. Potential solutions in the
literature are proposed, including provider counseling, learning from mistakes
without fear of punishment, discussing mistakes with others, focusing on the
system versus the individual, and emphasizing provider wellness. Much of the
reviewed literature is limited in terms of an emergency medicine focus or even
regarding physicians in general. In addition, most studies are survey- or
interview-based, which limits objectivity. While additional, more objective
research is needed in terms of mitigating the effects of error on physicians,
this review may help provide insight and support for those who feel alone in
their attempt to heal after being involved in an adverse medical event.
CONCLUSIONS: Unintentional medical error will likely always be a part of the
medical system. However, by focusing on provider as well as patient health, we
may be able to foster resilience in providers and improve care for patients in
healthy, safe, and constructive environments.
PMID- 29366617
TI - Colic Ischemia Precipitated by Gastrocolic Ligament Hematoma Onset after
Gastroscopy.
PMID- 29366618
TI - An Impaled Potential Unexploded Device in the Civilian Trauma Setting: A Case
Report and Review of the Literature.
AB - BACKGROUND: The management of patients with impaled unexploded devices is rare in
the civilian setting. However, as the lines of the traditional battlefield are
blurred by modern warfare and terrorist activity, emergency providers should be
familiar with facility protocols, plans, and contact information of their local
resources for unexploded devices. CASE REPORT: A 44-year-old male sustained a
close-proximity blast injury to his lower extremities while manipulating a mortar
type firework. He presented to the regional trauma center with an open,
comminuted distal femur fracture and radiographic evidence of a potential
explosive device in his thigh. His management was coordinated with the local
Explosive Ordinance Disposal and the fire department. WHY SHOULD AN EMERGENCY
PHYSICIAN BE AWARE OF THIS?: Explosive devices pose a grave threat when
encountered. Familiarization with protocols to manage these patients can mitigate
disaster. Emergency providers should expect and be prepared to coordinate care
for these patients.
PMID- 29366619
TI - Encephaloduroateriosynangiosis versus conservative treatment for patients with
moyamoya disease at late Suzuki stage.
AB - The purpose of this study is to investigate the long-term outcomes after
conservative and encephaloduroateriosynangiosis procedures for patients with
moyamoya disease at late Suzuki stage. We retrospectively reviewed 64 patients
(128 hemispheres) with moyamoya disease at late Suzuki Stage at Beijing Tiantan
Hospital. Clinical features, radiologic findings, and outcomes were analyzed. The
mean age at diagnosis was 29.0 +/- 14.9 years. The distribution of the initial
Suzuki stage of MMD was as follows: stage 4, n = 75; stage 5, n = 46; stage 6, n
= 7, PCA involvement was observed in 4 (37.5%) hemispheres. The incidence of
postoperative stroke was 6.7%. During the average follow-up of 46.9 +/- 21.1
months, including postoperative and follow-up strokes, seven of 75 (9.3%)
conservatively treated hemispheres and 10 of 87 (10.1%) surgically treated
patients experienced a stroke event there was not statistically significant in
the Kaplan-Meier curve of stroke incidence between the surgical group and
conservative group (log-rank test, p = .848). However, the rate of perfusion
improvement in indirect bypass surgically treated patients was higher than in
those conservative treated patients 3 months after discharge (p < .05). Although
indirect bypass surgery was shown to be effective in improving the cerebral
perfusion in patients with MMD at late Suzuki stage, it failed to reduce the risk
of recurrent stroke compared to conservative treatment. Further study is needed
to determine whether direct bypass surgery is effective in MMD patients at late
Suzuki stage.
PMID- 29366620
TI - Changes in hormones of the hypothalamic-pituitary-gonadal axis in migraine
patients.
AB - The incidence of migraine is higher in women than in men. Abnormality of the
hypothalamus-pituitary-gonadal (HPG) axis is believed to be implicated in the
pathogenesis of migraine. The aim of this study was to detect serum hormone
levels in the HPG axis of migraineurs and analyze the relationship between the
hormone levels and migraine-related clinical characteristics. One hundred and
nineteen migraineurs were enrolled. Serum FSH, LH, estradiol, progesterone,
testosterone, prolactin and GnRH was detected. Pain intensity and migraine
related disability were evaluated using the visual analogue scale (VAS) and the
Migraine Disability Assessment questionnaire (MIDAS). The relationships between
sex hormone levels and the VAS score and the MIDAS score were also examined.
Progesterone levels in male migraineurs were lower than those in healthy controls
(P < .01). In female patients, in the follicular phase, testosterone levels were
lower than in healthy controls (P < .01). In the luteal phase, estrogen and
testosterone levels (P < .05) were lower than in healthy controls. Progesterone
and testosterone levels (P < .01) were lower than in healthy controls in the
postmenopausal phase. In male patients, estrogen levels were negatively
associated with the MIDAS score (r = -0.602). In female patients, in the
follicular phase, estrogen levels were positively correlated with headache
duration and VAS score (r = 0.374, r = 0.331, respectively) and negatively
related with MIDAS score (r = -0.334). In the luteal phase, estrogen and
progesterone levels were negatively correlated with the MIDAS score (r = -0.772,
r = -0.464, respectively). The levels of HPG axis hormones were abnormal in
migraineurs and were associated with migraine-related clinical characteristics.
PMID- 29366621
TI - High-resolution diffusion tensor-imaging indicates asymmetric microstructural
disorganization within substantia nigra in early Parkinson's disease.
AB - BACKGROUND AND PURPOSE: Parkinson's disease (PD) is characterised by
neuropathological degenerative changes in the substantia nigra (SN). Our study
aimed to evaluate whether high-resolution diffusion tensor-imaging (DTI) can
detect anatomical biomarkers in early-stage PD, and has the potential to
visualize asymmetry effects comparable to the 123I-FP-CIT SPECT (DaTSCAN).
METHODS: Ten early-stage PD patients with mild disease severity and ten age- and
gender-matched healthy controls were examined with a high-resolution DTI protocol
at a 3 Tesla MRI scanner to assess fractional anisotropy (FA) values in the
ventral, middle and dorsal region of SN. In addition, a subgroup of 5 PD patients
underwent a DaTSCAN. RESULTS: PD subjects showed reduced FA values in all SN
regions compared to controls, but post hoc analysis revealed a significant
reduction (p = .032) in the dorsal region. There was no significant correlation
between clinical data and FA values. Subgroup analysis of PD patients with
asymmetric radioligand uptake in the DaTSCAN demonstrated also significant
asymmetric FA values (p = .027) in the dorsal region of SN. CONCLUSIONS: Our
results provide preliminary evidence that high-resolution DTI can detect in early
stage PD patients with mild disease severity an anatomical biomarker in the
dorsal region of SN, indicating microstructural disorganization. This biomarker,
discriminating potentially in vivo between patients and healthy people, could be
valuable for early PD diagnosis. If asymmetric radioligand uptake in the DaTSCAN
was present, also asymmetry effects in the dorsal region of SN were obtained by
DTI. These findings might contribute to improve effectiveness in diagnosing and
monitoring PD.
PMID- 29366622
TI - Resection of the largest reported hepatic small vessel neoplasm.
AB - Hepatic small vessel neoplasm (HSVN) is a recently described vascular neoplasm of
the adult liver. The neoplastic cells are positive for markers of vascular
lineage (CD31, CD34, FLI-1). The distinctive morphology and infiltrative borders
separate HSVN from benign vascular tumors such as cavernous hemangioma, while
lack of atypical morphologic features, low to absent mitotic activity and low
proliferation index distinguish it from malignant vascular tumors such as
epithelioid hemangioendothelioma and angiosarcoma. Due to its infiltrative nature
and lack of adequate follow-up information, the benign versus low-grade nature of
this tumor is currently uncertain. We present a patient with resected HSVN
involving all but the right posterior section of the liver, making this case the
largest reported in the current literature.
PMID- 29366623
TI - Perception of the level of preparation of intensive care personnel on the self
protection plans.
PMID- 29366624
TI - Ghrelin is expressed in the pregnant mammary glands of dairy goats and promotes
the cell proliferation of mammary epithelial cells.
AB - Little is known about ghrelin's effects on cell proliferation in pregnant mammary
epithelial cells (MECs) even though it is known to be a mitogen for a variety of
other cell types. The objectives of this study were to evaluate the expression
and localization of ghrelin and its functional receptor, GHSR-1a, in the mammary
glands of dairy goats during pregnancy and to investigate the direct role of
ghrelin in cell proliferation of primary cultured MECs. Compared to the early
stage (days 30) of pregnancy, the abundance of transcripts and protein of ghrelin
and GHSR-1a were significantly greater in mid- and late-phases (between days 90
and days 120) of pregnancy (p < .05). Immunohistochemistry analysis showed that
ghrelin and GHSR-1a were predominantly localized in the alveolar and ductal
mammary epithelial cells at various stages of pregnancy. In our in vitro
experiments, ghrelin induced a dose- and time-dependent promotory effect on cell
proliferation of MECs. At the dose of 103 pg/mL treatment 24 h, ghrelin augmented
the expression of proliferation-related peptides (PCNA and cyclin B1).
Furthermore, ghrelin promoted the expression of prolactin (PRL) and GHSR-1a in
cultured MECs. Additionally, the stimulatory effects of ghrelin were blocked by d
Lys3-GHRP6, a selective antagonist of GHSR-1a. As the temporal changes in ghrelin
and GHSR-1a expression in pregnant goat mammary glands coincided with the mammary
growth and development during the pregnancy, activation of GHSR-1a signal
transduction pathways by ghrelin may play a direct role in the regulation of
mammary growth in dairy goats.
PMID- 29366625
TI - Involvement of mGluR I in EphB/ephrinB reverse signaling activation induced
retinal ganglion cell apoptosis in a rat chronic hypertension model.
AB - EphB/ephrinB reverse signaling is involved in retinal ganglion cell (RGC)
apoptosis in experimental glaucoma. Here, we further investigated the mechanisms
underlying EphB/ephrinB reverse signaling activation induced RGC apoptosis in a
rat chronic ocular hypertension (COH) model, using patch-clamp techniques in
retinal slices. In COH retinas, RGCs showed higher spontaneous firing frequency
and much more depolarized membrane potential as compared to control, which was
mimicked by intravitreally injection of EphB2-Fc, an activator of ephrinB2. The
changes in RGC spontaneous firing and membrane potential could be reversed by the
tyrosine kinase inhibitor PP2, suggesting that EphB/ephrinB reverse signaling
activation induced RGC hyperexcitability. Intravitreal pre-injection of either
LY367385 or MPEP, selective mGluR1 and mGluR5 antagonists, also blocked the
changes in RGC spontaneous firing and membrane potential. Co-immunoprecipitation
experiments showed an interaction between ephrinB2 and group I metabotropic
glutamate receptor (mGluR I) (mGluR1/mGluR5). Furthermore, intravitreal pre
injection of the mixture of L-NAME (an NO synthase inhibitor) and XPro1595 (a
selective inhibitor of soluble TNF-alpha) could reduce the EphB2-Fc injection
induced increase in RGC firing, suggesting that Muller cells might be involved in
EphB/ephrinB reverse signaling activation induced change in RGC
hyperexcitability. In addition, LY367385/MPEP reduced the numbers of TUNEL
positive RGCs both in EphB2-Fc injected and COH retinas. All results suggest that
activation of EphB/ephrinB reverse signaling induces RGC hyperexcitability and
apoptosis by interacting with mGluR I in COH rats. Appropriate reduction of
EphB/ephrinB reverse signaling could alleviate the loss of RGCs in glaucoma.
PMID- 29366626
TI - Hunger, ghrelin and the gut.
AB - Hunger is defined as a craving or urgent need for food. Abundant evidence now
indicates that homeostatic and cognitive mechanisms promote the sensation of
hunger. Communication between the gastrointestinal (GI) tract and the central
nervous system (CNS) regulate both homeostatic and cognitive mechanisms to
control feeding behavior. In this context the GI derived feeding peptide ghrelin,
targets the CNS to promote food anticipation, learning, hedonic feeding and
motivation for food. Importantly meal expectation following nutrient deprivation
or satiation is associated with elevation of plasma ghrelin, highlighting the
propensity of each mechanism to stimulate GI ghrelin secretion. It is well
established that multiple physiological processes control ghrelin secretion from
the GI tract. For example activation of descending sympathetic and
parasympathetic pathways, GI feeding peptides, metabolic factors and
endocannabinoid signaling mechanisms all regulate ghrelin secretion. In parallel,
activation of the CNS ghrelin receptor (GHSR-1a) controls food anticipation, food
based learning, spatial learning and the rewarding properties of food. Notably
GHSR-1a is expressed within a network of CNS regions that regulate diverse
aspects of feeding behavior. These examples suggest a redundancy regarding
mechanisms that control GI ghrelin secretion and complexity for GHSR-1a-mediated
regulation of food intake. Based on this collective data, we suggest that learned
information linked to the receipt of food is transmitted from the CNS to the GI
tract to stimulate ghrelin release. We further postulate that GI ghrelin release
and ghrelin-GHSR-1a interactions adapt over time, metabolic status and
environment to direct feeding behavior.
PMID- 29366627
TI - Enhanced Immunosuppressive Properties of Human Mesenchymal Stem Cells Primed by
Interferon-gamma.
AB - Mesenchymal stem cells (MSCs) are of particular interest for the treatment of
immune-related diseases owing to their immunosuppressive properties. In this
study, we aimed to identify the effect of interferon (IFN)-gamma priming on
immunomodulation by MSCs and elucidate the possible mechanism underlying their
properties for the clinical treatment of allogeneic conflicts. Infusion of MSCs
primed with IFN-gamma significantly reduced the symptoms of graft-versus-host
disease (GVHD) in NOD-SCID mice, thereby increasing survival rate when compared
with naive MSC-infused mice. However, infusion of IFN-gamma-primed MSCs in which
indoleamine 2,3-dioxygenase (IDO) was downregulated did not elicit this effect.
The IDO gene was expressed in MSCs via the IFN-gamma-Janus kinase (JAK)-signal
transducer and activator of transcription 1 (STAT1) pathway, and the infusion of
IDO-over-expressing MSCs increased survival rate in an in vivo GVHD model,
similar to infusion of IFN-gamma-primed MSCs. These data indicate that IFN-gamma
production by activated T-cells is correlated with the induction of IDO
expression in MSCs via the IFN-gamma-JAK-STAT1 pathway, which in turn results in
the suppression of T-cell proliferation. Our findings also suggest that cell
therapy based on MSCs primed with IFN-gamma can be used for the clinical
treatment of allogeneic conflicts, including GVHD.
PMID- 29366629
TI - Comparison of six commercial tick-borne encephalitis IgM and IgG ELISA kits and
the molecular characterization of their antigenic design.
AB - Tick-borne encephalitis virus (TBEV) diagnosis is mainly based on the detection
of viral-specific antibodies in serum. Several commercial assays are available,
but published data on their performance remain unclear. We assessed six IgM and
six IgG commercial enzyme-linked immunosorbent assay (ELISA) kits (ELISA-1
through ELISA-6) using 94 samples, including precharacterized TBEV-positive
samples (n=50) and -negative samples (n=44). The six manufacturers showed
satisfactory sensitivity and specificity and high overall agreement for both IgM
and IgG. Three manufacturers showed better reproducibility and were the most
sensitive (100%) and specific (95.5-98.1%) for both IgM and IgG. Two of them were
also in agreement with the clinical interpretation in more than 90% of the cases.
All the assays use inactivated virus as antigen, with strains showing
approximately 94% homology at the amino acid level. The antigenic format of the
assays was discussed to further improve this TBEV diagnostic tool.
PMID- 29366628
TI - Organ-specific mechanisms linking innate and adaptive antifungal immunity.
AB - Fungal infections remain a significant global health problem in humans. Fungi
infect millions of people worldwide and cause from acute superficial infections
to life-threatening systemic disease to chronic illnesses. Trying to decipher the
complex innate and adaptive immune mechanisms that protect humans from pathogenic
fungi is therefore a key research goal that may lead to immune-based therapeutic
strategies and improved patient outcomes. In this review, we summarize how the
cells and molecules of the innate immune system activate the adaptive immune
system to elicit long-term immunity to fungi. We present current knowledge and
exciting new advances in the context of organ-specific immunity, outlining the
tissue-specific tropisms for the major pathogenic fungi of humans, the antifungal
functions of tissue-resident myeloid cells, and the adaptive immune responses
required to protect specific organs from fungal challenge.
PMID- 29366630
TI - An advanced uracil DNA glycosylase-supplemented loop-mediated isothermal
amplification (UDG-LAMP) technique used in the sensitive and specific detection
of Cryptosporidium parvum, Cryptosporidium hominis, and Cryptosporidium
meleagridis in AIDS patients.
AB - The rapid and accurate detection of Cryptosporidium spp. is critically important
for the prevention and timely treatment of cryptosporidiosis in AIDS patients
(APs). This study was conducted to examine a UDG-LAMP technique for the first
time to diagnose cryptosporidiosis in APs. After collecting demographic and
clinical data, three stool samples were collected from the participants (120
volunteering APs). The microscopic examination of stained smears using the acid
fast method and the UDG-LAMP assay were performed for each sample. 10% of APs
were infected with Cryptosporidium spp. The number of detected cryptosporidiosis
cases using the acid-fast staining and UDG-LAMP methods were significantly
different (P < 0.001). Diarrhea and weight loss were found to be significantly
associated with cryptosporidiosis in patients (P < 0.05). The pretreatment of
LAMP reagents with UDG successfully eliminated the likelihood of product re
amplification remaining from previous reactions. The UDG-LAMP technique could
detect cryptosporidiosis in APs with high sensitivity and rapidity without
carryover contamination.
PMID- 29366631
TI - Preoperative evaluation of intraoperative blood loss during malignant soft tissue
tumor resection by ultrasonography.
AB - BACKGROUND: Preoperative evaluation of the risk of surgery-related blood loss in
malignant soft tissue tumor resection is difficult because of wide variations in
histological subtype, malignancy, location, and size. Ultrasonography is useful
for the evaluation of blood flow around a soft tissue tumor and has the potential
to preoperatively estimate intraoperative blood loss in tumor resection. To date,
there has been no report regarding blood loss evaluation using ultrasonography in
this field. PATIENTS AND METHODS: The usefulness of information obtained by
ultrasonography, including tumor size, vessel density in the tumor, and blood
flow volume in the vessels, was analyzed for the prediction of intraoperative
blood loss in malignant soft tissue tumor resection. RESULTS: Vessel density in
the tumor and blood flow in the vessels were identified as independent risk
factors for blood loss. Using these factors, a new index for the prediction of
blood loss was established. Receiver operating characteristic analyses revealed a
high area under the curve value (0.80), confirming the accuracy of the index for
the prediction of blood loss. CONCLUSION: Ultrasonography is a useful modality
for predicting intraoperative blood loss in malignant soft tissue tumor surgery.
PMID- 29366632
TI - Prognostic and Therapeutic Implications of Circulating Androgen Receptor Gene
Copy Number in Prostate Cancer Patients Using Droplet Digital Polymerase Chain
Reaction.
AB - BACKGROUND: Resistance mechanisms in the androgen receptor (AR) signaling pathway
remain key drivers in the progression to castration-resistant prostate cancer
(CRPC) and relapse under antihormonal therapy. MATERIALS AND METHODS: We
evaluated the circulating AR gene copy number (CN) gain using droplet digital
polymerase chain reaction in 21 control and 91 prostate cancer serum samples and
its prognostic and therapeutic implications in prostate cancer. RESULTS: In CRPC,
AR CN gain was associated with faster progression to CRPC (P = .026), a greater
number of previous treatments (P = .045), and previous chemotherapy (P = .016).
Comparing patients with and without CN gain, the median progression-free survival
(PFS) in the abiraterone subgroup was 1.7 months versus not reached (P = .004),
and the median overall survival (OS) was 7 months versus 20.9 months (P = .020).
In the enzalutamide subgroup, PFS was 1.7 versus 10.8 months (P = .006), and OS
was 6.1 versus 16.5 months (P = .042). In the taxane subgroup, PFS was 3.2 versus
6.5 months (P = .093), and OS was 3.9 months versus not reached (P = .026). The
presence of more AR copies correlated with shorter androgen deprivation (P =
.002), abiraterone (P = .022), enzalutamide (P = .008), and taxane (P = .039)
therapy. CONCLUSION: Circulating AR CN gain predicts for a poor prognosis in
CRPC. It is a promising biomarker predetermining rapid CRPC progression and
predicting worse abiraterone and enzalutamide outcomes. Furthermore, it is
associated with multiple previous treatments and previous chemotherapy.
PMID- 29366633
TI - Prognostic Value of Pretreatment Albumin-to-Globulin Ratio in Patients With Non
Muscle-Invasive Bladder Cancer.
AB - PURPOSE: To investigate the relationship between albumin-to-globulin ratio (AGR)
and oncologic outcomes in patients with non-muscle-invasive bladder cancer
(NMIBC). PATIENTS AND METHODS: We identified 364 patients with primary NMIBC who
underwent transurethral surgery between 2000 and 2015. The association between
pretreatment AGR and clinicopathologic variables, including oncologic outcomes,
was statistically evaluated. RESULTS: One hundred twenty patients (33.0%)
experienced at least one tumor recurrence, and 23 (6.3%) developed muscle
invasive disease. The median (interquartile range) pretreatment AGR was 1.73
(1.53-1.89). The Kaplan-Meier curve revealed that tumor recurrence was strongly
predicted in patients with pretreatment AGR < 1.6, and similar results were
observed for disease progression (P < .01 and P < .01, respectively). On
multivariate analysis, we found that pretreatment AGR < 1.6 is an independent
risk factor for tumor recurrence (hazard ratio, 0.53; P < .01). On univariate
analysis, pretreatment AGR < 1.6 was also associated with disease progression
(hazard ratio, 0.24; P < .01). CONCLUSION: Low pretreatment AGR is an independent
risk factor for tumor recurrence and is one risk factor for disease progression
in NMIBC patients. This inexpensive and easily accessible biomarker may become
useful in selecting patients with NMIBC with higher risk of recurrence and
progression.
PMID- 29366635
TI - [Evaluation of adherence and satisfaction with intermittent catheterization in
children: Feasibility and first steps of validation of InCaSaQ and ICAS in the
pediatric population].
AB - INTRODUCTION: In pediatric neurourology, clean intermittent catheterization (CIC)
setting, and then self catheterization learning are important steps for children
with neurogenic bladder. There is no adherence and satisfaction evaluation scale
for children who are using self or hetero CIC. The aim of this article is to
study the feasibility of using InCaSaQ (Intermittent Catheterization Satisfaction
Questionnaire) and ICAS (Intermittent Catheterization Adherence Scale) in
children, and to validate the first steps. PATIENTS AND METHODS: Scale validation
monocentric study. Inclusion criterias were patients with neurogenic bladder,
under the age of 18, using CIC (auto or hetero). The questionnaires ICAS and
InCaSaQ were sent twice between 2017 March and April, and then filled by the
child or his parents depending on who was doing the CIC. The internal concistency
(Cronbach's alpha) measured the construct validity. The reproductibility was
measured by the intraclass correlation coefficient (ICC) and the Wilcoxon and
McNemar tests. Filling facility was evaluated for each score (evaluation with a 0
to 10 scale from the person who filled the questionnaire). RESULTS: Twenty two
patients were included, and 50 questionnaires filled (25 ICAS and 25 InCaSaQ),
twice each. Internal consistency was good for InCaSaQ (Cronbach's alpha>0,7) and
so was ICAS and InCaSaQ reproductibility (ICC>0,7 for most of the questions).
Patients under hetero-CIC had a worse adherence than parents of children under
hetero-CIC (ICAS 3,25 versus 0,7 for children under hetero-CIC). The lowest
InCaSaQ item was the way of throwing away their catheter. CONCLUSIONS: ICAS and
InCaSaQ are interesting tools which can be used for children under auto and
hetero-CIC. Studies with more patients will be necessary for finalizing the
validation of these scales in the pediatric population. LEVEL OF PROOF: 4.
PMID- 29366634
TI - [Postoperative C-reactive protein is a reliable marker to detect complications
after radical cystectomy].
AB - PURPOSE: Postoperative serum C-reactive protein (CRP) can be measured after major
abdominal surgery to predict of complications at postoperative day (POD) 4.
However, in urology, no studies have been conduced to analyze the role of CRP
after radical cystectomy. The present study aims to analyze the relationship
between a high postoperative level of CRP and the presence of complications after
radical cystectomy for cancer. MATERIALS AND METHODS: This multicenter
retrospective study included 313 patients treated with radical cystectomy for
cancer between January 2013 and July 2016. Among the patients, 57.5% of patients
received urinary diversion using a Bricker ileal conduit, 30.5% an orthotropic
ileal neobladder, and 11.5% had an ureterocutaneostomy. RESULTS: Three hundred
and thirteen patients were included (mean age 68.1+/-9.2 years). Among the
patients, 26.5% had grade>=2 complications, according to the Clavien-Dindo
classification. In multivariate analysis, only CRP level at POD 4 predicted the
risk of a complication (P<0.001). CRP>150mg/L at POD 4 was strongly associated
with a risk of a postoperative complication after a cystectomy (OR=81.42, 95% CI
[25.6-258.3], P<0.001). CRP assessed on POD4 was reliable at ruling out the
existence of an infectious complications with a negative predictive value of
0.94. The main limitation of our study was it observational design. CONCLUSIONS:
CRP at POD4 with a threshold of 150mg/L would reliably predict the risk of
postoperative complications after cystectomy. Monitoring postoperative CRP could
help adapt rehabilitation protocols after radical cystectomy and also the early
management of complications.
PMID- 29366636
TI - Mechanism of Inhibition of Translation Termination by Blasticidin S.
AB - Understanding the mechanisms of inhibitors of translation termination may inform
development of new antibacterials and therapeutics for premature termination
diseases. We report the crystal structure of the potent termination inhibitor
blasticidin S bound to the ribosomal 70S*release factor 1 (RF1) termination
complex. Blasticidin S shifts the catalytic domain 3 of RF1 and restructures the
peptidyl transferase center. Universally conserved uridine 2585 in the peptidyl
transferase center occludes the catalytic backbone of the GGQ motif of RF1,
explaining the structural mechanism of inhibition. Rearrangement of domain 3
relative to the codon-recognition domain 2 provides insight into the dynamics of
RF1 implicated in termination accuracy.
PMID- 29366637
TI - A prospective single-institute study of the impact of Daikenchuto on the early
postoperative outcome after living donor liver transplantation.
AB - BACKGROUND: The aim of this study was to investigate the impact of Daikenchuto
(DKT) on early postoperative outcomes after living donor liver transplantation
(LDLT), focusing on the prevention of abdominal distension and bacterial
translocation. METHODS: Adult LDLT recipients were prospectively divided into 2
groups, who were administered DKT (n = 20, group A) or not (n = 20, group B). The
area of bowel gas defined as gas volume score (GVS) 7 days after LDLT was
calculated. Postoperative liver function tests, the development of bacterial,
viral, and fungal infections, and GVS after LDLT were reviewed. RESULTS: There
were no significant differences in liver function tests and ammonia level after
LDLT. Also, the rates of infection and the result of culture study were not
different between groups. The median GVS 7 days after LDLT was not significantly
different between groups A (0.26 (range, 0.12-0.58)) and B (0.23 (range, 0.15
0.42)). CONCLUSIONS: No positive impact was observed for 14-day DKT
administration after LDLT, in terms of preventing infection or abdominal
distension.
PMID- 29366638
TI - 3,4-diaminopyridine reverses paralysis in botulinum neurotoxin-intoxicated
diaphragms through two functionally distinct mechanisms.
AB - Botulinum neurotoxins (BoNTs) are exceedingly potent neurological poisons that
prevent neurotransmitter release from peripheral nerve terminals by cleaving
presynaptic proteins required for synaptic vesicle fusion. The ensuing
neuromuscular paralysis causes death by asphyxiation. Although no antidotal
treatments exist to block toxin activity within the nerve terminal, aminopyridine
antagonists of voltage-gated potassium channels have been proposed as symptomatic
treatments for botulism toxemia. However, clinical evaluation of aminopyridines
as symptomatic treatments for botulism has been inconclusive, in part because
mechanisms responsible for reversal of paralysis in BoNT-poisoned nerve terminals
are not understood. Here we measured the effects of 3,4-diaminopyridine (DAP) on
phrenic nerve-elicited diaphragm contraction and end-plate potentials at various
times after intoxication with BoNT serotypes A, B, or E. We found that DAP
mediated increases in quantal content promote neurotransmission from intoxicated
nerve terminals through two functionally distinguishable mechanisms. First, DAP
increases the probability of neurotransmission at non-intoxicated release sites.
This mechanism is serotype-independent, becomes less effective as nerve terminals
become progressively impaired, and remains susceptible to ongoing intoxication.
Second, DAP elicits persistent production of toxin-resistant endplate potentials
from nerve terminals fully intoxicated by BoNT/A, but not serotypes B or E. Since
this effect appears specific to BoNT/A intoxication, we propose that DAP
treatment enables BoNT/A-cleaved SNAP-25 to productively engage in fusogenic
release by increasing the opportunity for low-efficiency fusion events. These
findings have important implications for DAP as a botulism therapeutic by
defining conditions under which DAP may be clinically effective in reversing
botulism symptoms.
PMID- 29366639
TI - Combined effects of simultaneous exposure to six phthalates and emulsifier
glycerol monosterate on male reproductive system in rats.
AB - Human beings are inevitably exposed to ubiquitous phthalate esters (PEs), and
simultaneously ingesting high quantities of food emulsifiers via daily diet.
Glycerin monostearate (GMS) is a widely used food emulsifier. The purposes of
this study were to investigate the combined effects between the mixture of six
frequently used PEs (MIXPs) and GMS on male rat reproductive system, and further
to explore the underlying mechanisms. Male rats were orally administered either
sodium carboxymethyl cellulose as controls or MIXPs at three different low-doses
with or without GMS (200mg/kg/d) by gavage. The 15-week exposure of MIXPs caused
male reproductive toxicity in a dose- and time-dependent manner, including the
decrease of serum testosterone and morphological damage of testis. Metabonomics
analyses of urine and Western blotting analyses of steroidogenic proteins (StAR,
P450scc, CYP17A1, 17beta-HSD and P450arom) indicated that MIXPs exposure down
regulated the expression of steroidogenic proteins, and might alter androgen
metabolism. The results also showed that the presence of GMS exacerbated the
toxicities of MIXPs to male rat reproductive system. These findings suggest that
food emulsifier GMS could enhance the toxic effects of MIXPs on male hormone
biosynthesis.
PMID- 29366640
TI - Value of Intact Prostate Specific Antigen and Human Kallikrein 2 in the 4
Kallikrein Predictive Model: An Individual Patient Data Meta-Analysis.
AB - PURPOSE: The 4 kallikrein panel, commercially available as the 4Kscore(r), is a
statistical model that has been shown to accurately predict Gleason Grade Group 2
or greater (high grade) cancer on biopsy and the long-term risk of distant
prostate cancer metastases. The panel includes 2 novel markers, namely intact
prostate specific antigen and hK2. It has been questioned whether these 2
additional markers add discrimination to the clinical predictors of patient age,
digital rectal examination and prior biopsy, and the established molecular
markers total and free prostate specific antigen. MATERIALS AND METHODS: We
performed an individual patient data meta-analysis of published studies in which
the 4 kallikrein panel was measured in men undergoing prostate biopsy. We assess
the improvement in discrimination associated with including intact prostate
specific antigen and hK2 along with total and free prostate specific antigen in
the statistical model. RESULTS: Included in analysis were 14,510 men from a total
of 10 studies. The fixed effects meta-analytical estimate of the discrimination
of the model without intact prostate specific antigen and hK2 was 0.742 (95% CI
0.727-0.756) compared to 0.813 (95% CI 0.801-0.825) for the full kallikrein
model. The 95% CIs did not overlap and the difference in discrimination was
highly statistically significant (0.069, 95% CI 0.057-0.080, p <0.0001). Intact
prostate specific antigen (increase in discrimination 0.059, 95% CI 0.050-0.069)
and hK2 (increase in discrimination 0.024, 95% CI 0.020-0.029, each p <0.0001)
added independently to the model. CONCLUSIONS: The clinical value of the panel
could not be replicated using data readily available to urologists without
measuring intact prostate specific antigen and hK2.
PMID- 29366641
TI - Subcutaneous Fat Distribution is a Prognostic Biomarker for Men with Castration
Resistant Prostate Cancer.
AB - PURPOSE: The relationship between body fat distribution and survival remains
unclear in patients with castration resistant prostate cancer treated with
docetaxel and androgen receptor axis targeted agents. We investigated whether
body composition parameters could predict radiographic progression-free and
cancer specific survival in patients with castration resistant prostate cancer.
MATERIALS AND METHODS: In this multicenter retrospective study we evaluated data
on 282 consecutive patients diagnosed with castration resistant prostate cancer
between September 2009 and March 2017. The subcutaneous fat index, the visceral
fat index and the skeletal muscle index at the diagnosis of castration resistant
prostate cancer were determined by computerized tomography data. Survival
analyses were performed using the subcutaneous fat, visceral fat and skeletal
muscle indexes dichotomized at 39.9, 58 and 52.4 cm2/m2, respectively. RESULTS:
At the diagnosis of castration resistant prostate cancer, cancer specific
survival was independently predicted using prostate specific antigen levels,
Gleason score 8 or greater, performance status, a shorter interval from androgen
deprivation therapy to castration resistant prostate cancer and a subcutaneous
fat index of less than 39.9 cm2/m2. During the median followup of 16.0 months
patients with a subcutaneous fat index of 39.9 cm2/m2 or greater received more
docetaxel cycles than patients with a subcutaneous fat index of less than 39.9
cm2/m2. Compared to patients with a subcutaneous fat index of less than 39.9
cm2/m2 those with an index of 39.9 cm2/m2 or greater had better 1-year
progression-free and 2-year cancer specific survival (p = 0.009 and 0.021,
respectively). CONCLUSIONS: Patients with a subcutaneous fat index of 39.9 cm2/m2
or greater at the diagnosis of castration resistant prostate cancer showed higher
progression-free and cancer specific survival rates than those with a
subcutaneous fat index of less 39.9 cm2/m2 at diagnosis. The subcutaneous fat
index determined by computerized tomography data could serve as a useful
objective prognostic factor to discuss patient therapeutic options. Further
studies are needed to define the roles of each body composition parameter in
relation to pharmacokinetics and oncologic outcome.
PMID- 29366642
TI - Multi-institutional Outcomes for Simultaneous and Staged Urinary and Fecal
Diversions in Patients Without Cancer.
AB - OBJECTIVE: To compare the morbidity and postoperative recovery between patients
treated with urinary diversion after colostomy with patients undergoing
simultaneous double diversion (DD). METHODS: A multi-institutional retrospective
review was performed in patients treated with urinary diversion after colostomy
or simultaneous DD between 2007 and 2014 for noncancerous indications. The
Clavien-Dindo system was used to classify complications occurring within 90 days
of surgery, and high-grade adverse events (HGAE) were classified grade 3 or
higher. RESULTS: A total of 46 patients were identified with fecal and urinary
diversions (19 in the after colostomy (AC) group, 27 in the DD group). Common
indications for urinary diversion were neurogenic bladder (54%) and urinary
fistula (44%). Mean hospital stay and return of bowel function after surgery for
entire cohort was 13 and 7 days, respectively, with no differences between AC and
DD groups. Almost 50% of patients in the cohort experienced an HGAE but there was
no difference in HGAE incidence (8/19 AC, 13/27 DD; P = .69) or complication type
between the groups. Increased operative time (5% risk per every 15 minutes over 7
hours, P = .03) was the only independent variable associated with increased risk
of HGAE. DD was not independently associated with increased risk of HGAE compared
with staged urinary diversion. CONCLUSION: Morbidity and postoperative recovery
appeared similar whether urinary diversion is performed after colostomy or during
a DD.
PMID- 29366643
TI - A Dynamic Structure of Social Trait Space.
AB - Facial appearance evokes robust impressions of other people's personality traits.
Recent research suggests that the trait space arising from face-based impressions
shifts due to context and social cognitive factors. We suggest a novel framework
in which multiple bottom-up and top-down processes mutually determine a dynamic
rather than fixed trait space.
PMID- 29366644
TI - What does power Doppler signal indicate in rheumatoid synovitis? A point of view
from synovial histopathology.
AB - To clarify the nature of power Doppler (PD) signals in rheumatoid synovium and to
establish the connection between PD signals and active inflammation using
synovial histopathology. Ten adult patients (median age 57.0 years, 9 women and
one man) with rheumatoid arthritis (RA) were enrolled and received ultrasound
(US) examinations. US-guided synovial biopsies using core needle were performed
in 7 knees, 2 wrists and one elbow. Each patient had one joint biopsied. In
total, 11 synovial specimens were obtained for hematoxylin and eosin staining and
histopathologic examinations. The US examinations revealed prominent synovial
hypertrophy in all biopsied joints. Six synovial specimens were PD-positive (from
3 knees, 2 wrists and 1 elbow) while 5 synovial specimens were PD-negative (from
5 knees). In comparison with the PD-negative synovial specimens, the PD-positive
synovial specimens had significantly more lymphocyte infiltration, vessel
proliferation and lining hyperplasia on histologic examination. There was no
significant difference in fibrin exudate and stromal fibrosis between the PD
positive and the PD-negative synovial specimens. PD signals in rheumatoid
synovium indicate active inflammation and vascularization supported by synovial
histopathology. Our study establishes the connection between synovial PD signals
and active synovitis in RA.
PMID- 29366645
TI - Serum nerve growth factor beta, brain- and glial-derived neurotrophic factor
levels and psychopathology in unmedicated patients with schizophrenia.
AB - BACKGROUND: There is accumulating evidence that neurotrophic factors may be
involved in the pathophysiology of patients with schizophrenia. This study aimed
to explore the relationship between serum nerve growth factor beta (NGF-beta),
brain-derived neurotrophic factor (BDNF), and glial-derived neurotrophic factor
(GDNF) levels and psychopathology in unmedicated patients with schizophrenia.
METHODS: Serum NGF-beta, BDNF, and GDNF levels were determined using enzyme
linked-immunosorbent assay (ELISA) in the serum of 30 unmedicated patients with
schizophrenia. Symptomatology was assessed with the expanded version of the 24
items brief psychiatric rating scale (BPRS-E), which was divided into four
conceptual domains: manic excitement/disorganization, depression/anxiety,
negative symptoms, and positive symptoms. Kolmogorov-Smirnov one sample test was
performed to test non-parametric variables. Spearman's correlation was performed
to examine the correlations between the cytokines of interest and
psychopathology. Benjamini-Hochberg procedure was applied for multiple
corrections. RESULTS: Serum GDNF levels correlated negatively with the BPRS-total
(r = -0.533, corrected p = 0.002) and BPRS-manic (r = -0.456, corrected p =
0.011) subtests. BDNF levels showed a positive correlation with BPRS-total (r =
0.480, corrected p = 0.007). In addition, NGF-beta did not associate with
psychopathology measured by BPRS scores. CONCLUSION: Neurotrophic factors play a
vital role in the regulation of neuroplasticity and neurogenesis in humans. This
study suggests that BDNF and GDNF may be contributing to the pathological
mechanisms involved in unmedicated patients with schizophrenia.
PMID- 29366646
TI - Identification of novel plasminogen activator inhibitor-1 inhibitors with
improved oral bioavailability: Structure optimization of N-acylanthranilic acid
derivatives.
AB - Novel plasminogen activator inhibitor-1 (PAI-1) inhibitors with highly improved
oral bioavailability were discovered by structure-activity relationship studies
on N-acyl-5-chloroanthranilic acid derivatives. Because lipophilic N-acyl groups
seemed to be important for the anthranilic acid derivatives to strongly inhibit
PAI-1, synthesis of compounds in which 5-chloroanthranilic acid was bound to a
variety of highly lipophilic moieties with appropriate linkers was investigated.
As the result it appeared that some of the derivatives possessing aryl- or
heteroaryl-substituted phenyl groups in the acyl chain had potent in vitro PAI-1
inhibitory activity. Oral absorbability of typical compounds was also evaluated
in rats, and compounds 40, 55, 60 and 76 which have diverse chemical structure
with each other were selected for further pharmacological evaluation.
PMID- 29366647
TI - Discovery of a novel potent GPR40 full agonist.
AB - Compound 12 is a GPR40 agonist that realizes the full magnitude of efficacy
possible via GPR40 receptor agonism. In vitro and in vivo studies demonstrated
superior glucose lowering by 12 compared to fasiglifam (TAK-875), in a glucose
dependent manner. The enhanced efficacy observed with the full agonist 12 was
associated with both direct and indirect stimulation of insulin secretion.
PMID- 29366649
TI - A multifaceted approach to identify non-specific enzyme inhibition: Application
to Mycobacterium tuberculosis shikimate kinase.
AB - Single dose high-throughput screening (HTS) followed by dose-response evaluations
is a common strategy for the identification of initial hits for further
development. Early identification and exclusion of false positives is a cost
saving and essential step in early drug discovery. One of the mechanisms of false
positive compounds is the formation of aggregates in assays. This study evaluates
the mechanism(s) of inhibition of a set of 14 compounds identified previously as
actives in Mycobacterium tuberculosis (Mt) cell culture screening and in vitro
actives in Mt shikimate kinase (MtSK) assay. Aggregation of hit compounds was
characterized using multiple experimental methods, LC-MS, 1HNMR, dynamic light
scattering (DLS), transmission electron microscopy (TEM), and visual inspection
after centrifugation for orthogonal confirmation. Our results suggest that the
investigated compounds containing oxadiazole-amide and aminobenzothiazole
moieties are false positive hits and non-specific inhibitors of MtSK through
aggregate formation.
PMID- 29366648
TI - Identification and optimization of soluble epoxide hydrolase inhibitors with dual
potency towards fatty acid amide hydrolase.
AB - Multi-target inhibitors have become increasing popular as a means to leverage the
advantages of poly-pharmacology while simplifying drug delivery. Here, we
describe dual inhibitors for soluble epoxide hydrolase (sEH) and fatty acid amide
hydrolase (FAAH), two targets known to synergize when treating inflammatory and
neuropathic pain. The structure activity relationship (SAR) study described
herein initially started with t-TUCB (trans-4-[4-(3-trifluoromethoxyphenyl-l
ureido)-cyclohexyloxy]-benzoic acid), a potent sEH inhibitor that was previously
shown to weakly inhibit FAAH. Inhibitors with a 6-fold increase of FAAH potency
while maintaining high sEH potency were developed by optimization. Interestingly,
compared to most FAAH inhibitors that inhibit through time-dependent covalent
modification, t-TUCB and related compounds appear to inhibit FAAH through a time
independent, competitive mechanism. These inhibitors are selective for FAAH over
other serine hydrolases. In addition, FAAH inhibition by t-TUCB appears to be
higher in human FAAH over other species; however, the new dual sEH/FAAH
inhibitors have improved cross-species potency. These dual inhibitors may be
useful for future studies in understanding the therapeutic application of dual
sEH/FAAH inhibition.
PMID- 29366651
TI - Degradation of huntingtin mediated by a hybrid molecule composed of IAP
antagonist linked to phenyldiazenyl benzothiazole derivative.
AB - Huntington's disease (HD) is an autosomal dominant neurodegenerative disorder
caused by aggregation of mutant huntingtin (mHtt), and removal of mHtt is
expected as a potential therapeutic option. We previously reported protein
knockdown of Htt by using hybrid small molecules (Htt degraders) consisting of
BE04, a ligand of ubiquitin ligase (E3), linked to probes for protein aggregates.
Here, in order to examine the effect of changing the ligand, we synthesized a
similar Htt degrader utilizing MV1, an antagonist of the inhibitor of apoptosis
protein (IAP) family (a subgroup of ubiquitin E3 ligases), which is expected to
have a higher affinity and specificity for IAP, as compared with BE04. The MV1
based hybrid successfully induced interaction between Htt aggregates and IAP, and
reduced mHtt levels in living cells. Its mode of action was confirmed to be the
same as that of the BE04-based hybrid. However, although the affinity of MV1 for
IAP is greater than that of BE04, the efficacy of Htt degradation by the MV1
based molecule was lower, suggesting that linker length between the ligand and
probe might be an important determinant of efficacy.
PMID- 29366650
TI - Triptolide derivatives as potential multifunctional anti-Alzheimer agents:
Synthesis and structure-activity relationship studies.
AB - Owning to the promising neuroprotective profile and the ability to cross the
blood-brain barrier, triptolide has attracted extensive attention. Although its
limited solubility and toxicity have greatly hindered clinical translation,
triptolide has nonetheless emerged as a promising candidate for structure
activity relationship studies for Alzheimer's disease. In the present study, a
series of triptolide analogs were designed and synthesized, and their
neuroprotective and anti-neuroinflammatory effects were then tested using a cell
culture model. Among the triptolide derivatives tested, a memantine conjugate,
compound 8, showed a remarkable neuroprotective effect against Abeta1-42 toxicity
in primary cortical neuron cultures as well as an inhibitory effect against LPS
induced TNF-alpha production in BV2 cells at a subnanomolar concentration. Our
findings provide insight into the different pharmacophores that are responsible
for the multifunctional effects of triptolide in the central nervous system. Our
study should help in the development of triptolide-based multifunctional anti
Alzheimer drugs.
PMID- 29366652
TI - Synthesis and evaluation of radiolabeled AGI-5198 analogues as candidate
radiotracers for imaging mutant IDH1 expression in tumors.
AB - Mutations in the metabolic enzyme isocitrate dehydrogenase 1 (IDH1) are commonly
found in gliomas. AGI-5198, a potent and selective inhibitor of the mutant IDH1
enzyme, was radiolabeled with radioiodine and fluorine-18. These radiotracers
were evaluated as potential probes for imaging mutant IDH1 expression in tumors
with positron emission tomography (PET). Radioiodination of AGI-5198 was achieved
using a tin precursor in 79 +/- 6% yield (n = 9), and 18F-labeling was
accomplished by the Ugi reaction in a decay-corrected radiochemical yield of 2.6
+/- 1.6% (n = 5). The inhibitory potency of the analogous nonradioactive
compounds against mutant IDH1 (IDH1-R132H) was determined in enzymatic assays.
Cell uptake studies using radiolabeled AGI-5198 analogues revealed somewhat
higher uptake in IDH1-mutated cells than that in wild-type IDH1 cells. The
radiolabeled compounds displayed favorable tissue distribution characteristics in
vivo, and good initial uptake in IDH1-mutated tumor xenografts; however, tumor
uptake decreased with time. Radioiodinated AGI-5198 exhibited higher tumor-to
background ratios compared with 18F-labeled AGI-5198; unfortunately, similar
results were observed in wild-type IDH1 tumor xenografts as well, indicating lack
of selectivity for mutant IDH1 for this tracer. These results suggest that AGI
5198 analogues are not a promising platform for radiotracer development.
Nonetheless, insights gained from this study may help in design and optimization
of novel chemical scaffolds for developing radiotracers for imaging the mutant
IDH1 enzyme.
PMID- 29366654
TI - Design and validation of a tool for the evaluation of the quality of
Cardiopulmonary Resuscitation: SIEVCA-CPR 2.0(r).
AB - INTRODUCTION: Currently, no system completely evaluates the quality of cardio
pulmonary resusciation. METHODS: A cross-sectional, prospective, longitudinal
study using Delphi methodology was performed in three phases: preparatory,
consultation and consensus. The validation was made by a prospective longitudinal
study using the tool in the evaluation of 11 videos to determine the intra-class
correlation coefficient (ICC) and the intra-subject (ICC-Initial), the latter
repeated at four weeks (ICC-Final). We have determined intra-subject ICC: Initial
Final. This last result has been compared with a gold-standard value. RESULTS:
After the first phase, a 28-items list has been developed. In the second phase:
ICC-Initial = 0.727 (p < .001), 95% CI (0.625, 0.801), ICC-Final = 0.860 (p <
.001), 95% CI (0.807; 0.898) and ICC Initial-Final = 0.880 (p < .001), 95% CI
(0.835; 0.913). Finally, an online tool has been developed (SIEVCA 2.0).
CONCLUSION: The designed tool presents good reliability in the assessment of
cardio pulmonary resuscitation and it is useful in different fields and
scenarios.
PMID- 29366653
TI - Discovery of selective 2,4-diaminoquinazoline toll-like receptor 7 (TLR 7)
agonists.
AB - The discovery of a novel series of highly potent quinazoline TLR 7/8 agonists is
described. The synthesis and structure-activity relationship is presented.
Structural requirements and optimization of this series toward TLR 7 selectivity
afforded the potent agonist 48. Pharmacokinetic and pharmacodynamic studies
highlighted 48 as an orally available endogenous interferon (IFN-alpha) inducer
in mice.
PMID- 29366655
TI - An automated method for choroidal thickness measurement from Enhanced Depth
Imaging Optical Coherence Tomography images.
AB - The choroid is vascular tissue located underneath the retina and supplies oxygen
to the outer retina; any damage to this tissue can be a precursor to retinal
diseases. This paper presents an automated method of choroidal segmentation from
Enhanced Depth Imaging Optical Coherence Tomography (EDI-OCT) images. The
Dijkstra shortest path algorithm is used to segment the choroid-sclera interface
(CSI), the outermost border of the choroid. A novel intensity-normalisation
technique that is based on the depth of the choroid is used to equalise the
intensity of all non-vessel pixels in the choroid region. The outer boundary of
choroidal vessel and CSI are determined approximately and incorporated to the
edge weight of the CSI segmentation to choose optimal edge weights. This method
is tested on 190 B-scans of 10 subjects against choroid thickness (CTh) results
produced manually by two graders. For comparison, results obtained by two state
of-the-art automated methods and our proposed method are compared against the
manual grading, and our proposed method performed the best. The mean root-mean
square error (RMSE) for finding the CSI boundary by our method is 7.71+/-6.29
pixels, which is significantly lower than the RMSE for the two other state-of-the
art methods (36.17+/-11.97 pixels and 44.19+/-19.51 pixels). The correlation
coefficient for our method is 0.76, and 0.51 and 0.66 for the other two state-of
the-art methods. The interclass correlation coefficients are 0.72, 0.43 and 0.56
respectively. Our method is highly accurate, robust, reliable and consistent.
This identification can enable to quantify the biomarkers of the choroidin large
scale study for assessing, monitoring disease progression as well as early
detection of retinal diseases. Identification of the boundary can help to
determine the loss or change of choroid, which can be used as features for the
automatic determination of the stages of retinal diseases.
PMID- 29366656
TI - Food and feed safety of DAS-444O6-6 herbicide-tolerant soybean.
AB - DAS-444O6-6 soybean was genetically engineered (GE) to withstand applications of
three different herbicides. Tolerance to glufosinate and glyphosate is achieved
through expression of the phosphinothricin acetyltransferase (PAT) and double
mutated maize 5-enolpyruvyl shikimate-3-phosphate synthase (2mEPSPS) enzymes,
respectively. These proteins are expressed in currently commercialized crops and
represent no novel risk. Tolerance to 2,4-dichlorophenoxyacetic acid (2,4-D) is
achieved through expression of the aryloxyalkanoate dioxygenase 12 (AAD-12)
enzyme, which is novel in crops. The safety of the AAD-12 protein and DAS-444O6-6
event was assessed for food and feed safety based on the weight of evidence and
found to be as safe as non-GE soybean.
PMID- 29366657
TI - The cost of observation care for commercially insured patients visiting the
emergency department.
AB - OBJECTIVE: To examine trends in the use of ED observation stays among a national
sample of patients with commercial insurance, and assess the patient cost-burden
of an observation stay relative to an short inpatient hospitalization from the
ED. METHODS: Retrospective analysis of ED observation stays and inpatient
hospitalizations from 2008 to 2015 using the Truven MarketScan(r) Commercial
Claims and Encounters database. Index ED visits were identified from claims files
and assessed for evidence of an observation or inpatient hospitalization. Total
and out-of-pocket costs were calculated for the index hospitalization and a 30
day episode of care and standardized to 2015 $USD. Costs for ED patients with an
observation stay were compared to a similar, propensity-matched cohort of ED
patients hospitalized as inpatients. RESULTS: Over the 8 year period, observation
stay admissions increased from 4.3% to 6.8% of total ED visits (60.5% relative
increase) while inpatient admissions fell from 10.8% to 8.9% (16.6% relative
decrease). In 2015, the mean total cost was $8162 for an observation stay and
$22,865 for an inpatient hospitalization. Patient out-of-pocket costs were $962
and $1403, respectively. Among the propensity-matched cohorts, relative mean
costs for the index hospitalization were 41% higher and patient out-of-pocket
costs were 33% higher if the patient was admitted as an inpatient from the ED
versus observation during their hospitalization. CONCLUSIONS: Observation
hospitalizations are an increasingly common disposition for patients entering the
hospital through the ED. Both total and patient out-of-pocket costs are lower, on
average, for an observation stay compared with a similar inpatient admission for
ED patients requiring hospitalization.
PMID- 29366658
TI - Integration of lung ultrasound in the diagnostic reasoning in acute dyspneic
patients: A prospective randomized study.
AB - INTRODUCTION: Misdiagnosis in acute dyspneic patients (ADP) has consequences on
their outcome. Lung ultrasound (LUS) is an accurate tool to improve diagnostic
performance. The main goal of this study was to assess the determinants of
increased diagnostic accuracy using LUS. MATERIALS: Multicentre, prospective,
randomized study including emergency physicians and critical care physicians
treating ADP on a daily basis. Each participant received three difficult clinical
cases of ADP: one with only clinical data (OCD), one with only LUS data (OLD),
and one with both. Ultrasound video loops of A, B and C profiles were associated
with the cases. Which physician received what data for which clinical case was
randomized. Physicians assessed the diagnostic probability from 0 to 10 for each
possible diagnosis. The number of uncertain diagnoses (NUD) was the number of
diagnoses with a diagnostic probability between 3 and 7, inclusive. RESULTS:
Seventy-six physicians responded to the study cases (228 clinical cases
resolved). Among the respondents, 28 (37%) were female, 64 (84%) were EPs, and
the mean age was 37+/-8 years. The mean NUDs, respectively, when physicians had
OCD, OLD, and both were 2.9+/-1.8, 2.2+/-1.7, 2.2+/-1.8 (p = 0.02). Ultrasound
data and ultrasound frequency of use were the only variables related to the NUD.
Higher frequency of ultrasound use by physicians decreased the number of
uncertain diagnoses in difficult clinical cases with ultrasound data (OLD or
associated with clinical data). CONCLUSION: LUS decreases the NUD in ADP. The
ultrasound frequency of use decreased the NUD in ADP clinical cases with LUS
data.
PMID- 29366659
TI - Predictability of successful trans-arterial embolization in pelvic fracture
bleeding based on patient initial presentation.
AB - BACKGROUND: Pelvic fracture bleeding generally leads to hemorrhagic shock. Trans
arterial embolization (TAE) is regarded as the most useful treatment; however,
the initial presentation of the patient can impact the effectiveness of TAE for
pelvic fracture bleeding. The aim of this retrospective study is to explore
whether the patient data at the initial presentation can predict the success of
TAE for pelvic fracture bleeding. METHODS: Twenty-seven charts were
retrospectively reviewed. TAE failure was defined as any patient who eventually
received an exigent laparotomy or who died due to uncontrolled bleeding after
TAE. For patients who received TAE, we analyzed factors recorded at the initial
presentation, including age, gender, systolic blood pressure, heart rate,
respiratory rate, body temperature, Glasgow coma scale (GCS) score, injury
severity score (ISS) and associated injuries, using Pearson's correlation and
independent t-tests. The odds ratio was used to determine the cut-off values for
the patient presentation findings related to successful TAE and thus was used to
assess congruity. RESULTS: Successful TAE was not correlated with age or gender.
The hierarchical order of statistically significant associations between
successful TAE and initial presentation data was as follows: the patient's body
temperature, associated injury, respiratory rate, systolic blood pressure, GCS
score, and ISS. The odds ratios for all statistically significant initial
presentation factors were within a 95% confidence interval. CONCLUSION: The
findings upon initial presentation of a patient with pelvic fracture bleeding
that were related to the predictability of successful TAE include the following:
hypothermia prevention with maintenance of the body temperature above 36 degrees
C, associated injuries limited to two organ systems, maintenance of the
respiratory rate at approximately twenty-two breaths per minute, a sustained
systolic blood pressure of approximately 90mmHg, maintenance of a heart rate of
approximately one hundred beats per minute, a minor head injury with a GCS score
greater than thirteen and a moderate ISS of less than twenty.
PMID- 29366660
TI - Metal phosphide ingestions: How the hospital became a HAZMAT incident.
PMID- 29366661
TI - Long-Term Results of Hemiarthroplasty Compared With Arthrodesis for
Osteoarthritis of the First Metatarsophalangeal Joint.
AB - If operative treatment is opted for grade 3 and 4 osteoarthritis of the first
metatarsophalangeal joint, arthrodesis is considered the standard of care.
However, if preservation of joint mobility is preferred, implant arthroplasty
could be favored. Previous studies have suggested hemiarthroplasty might result
in less pain, better function, and greater patient satisfaction compared with
arthrodesis. However, these studies only evaluated short-term results (range 2.2
to 6.6 years). The aim of our study was to determine whether patients treated
with hemiarthroplasty would show better postoperative outcomes compared with
those treated with arthrodesis after >=5 years after surgery. The American
Orthopaedic Foot and Ankle Society hallux metatarsophalangeal interphalangeal
(AOFAS-HMI) scale score was used as the primary outcome measure. Secondary
outcomes addressed satisfaction rates, patient procedure recommendation, and
number of unplanned repeat surgical procedures. We also addressed the influence
of the procedures on daily activities (work and sports), the influence of smoking
on the postoperative results, and the costs for both procedures. A total of 47
primary arthrodeses and 31 hemiarthroplasties performed between January 2005 and
December 2011 were evaluated. After a mean follow-up period of 8.3 (range 5 to
11.8) years, the mean AOFAS-HMI scale score after arthrodesis and
hemiarthroplasty was 72.8 +/- 14.5 and 89.7 +/- 6.6, respectively (p = .001). The
patients were significantly more pleased after hemiarthroplasty (p < .001), and
this procedure was recommended more often (p < .001). The number of unplanned
repeat surgical procedures did not differ between the 2 groups. Patients resumed
sports activities significantly sooner after hemiarthroplasty (p = .002). The
overall crude costs were similar for both procedures. Our results have shown more
favorable postoperative outcomes for hemiarthroplasty compared with arthrodesis
as operative treatment of osteoarthritis of the first metatarsophalangeal joint
after a mean follow-up period of 8.3 years.
PMID- 29366662
TI - CD45 in human physiology and clinical medicine.
AB - CD45 is an evolutionary highly conserved receptor protein tyrosine phosphatase
exclusively expressed on all nucleated cells of the hematopoietic system. It is
characterized by the expression of several isoforms, specific to a certain cell
type and the developmental or activation status of the cell. CD45 is one of the
key players in the initiation of T cell receptor signaling by controlling the
activation of the Src family protein-tyrosine kinases Lck and Fyn. CD45
deficiency results in T- and B-lymphocyte dysfunction in the form of severe
combined immune deficiency. It also plays a significant role in autoimmune
diseases and cancer as well as in infectious diseases including fungal
infections. The knowledge collected on CD45 biology is rather vast, but it
remains unclear whether all findings in rodent immune cells also apply to human
CD45. This review focuses on human CD45 expression and function and provides an
overview on its ligands and role in human pathology.
PMID- 29366663
TI - Soluble PD-L1 with PD-1-binding capacity exists in the plasma of patients with
non-small cell lung cancer.
AB - PD-L1 is one of the important immune checkpoint molecules that can be targeted by
cancer immunotherapies. PD-L1 has a soluble form (sPD-L1) and a membrane-bound
form (mPD-L1). Conventional enzyme-linked immunosorbent assay (ELISA) systems can
detect sPD-L1 using anti-PD-L1 capture antibody through the antigen-antibody
reaction, but cannot evaluate the quality and function of sPD-L1. In this study,
we developed a novel ELISA system for the detection and quantification of sPD-L1
with PD-1-binding capacity (bsPD-L1). To capture bsPD-L1 through the ligand
receptor reaction, the anti-PD-L1 capture antibody in the conventional ELISA was
replaced with PD-1-Ig fusion protein in the new ELISA. The new ELISA could detect
bsPD-L1 in 29 out of 75 plasma samples from patients with non-small cell lung
cancer (NSCLC), with higher sensitivity and frequency than the conventional
ELISA. The western blot analysis showed that sPD-L1 in the plasma was
glycosylated. Treatment of the samples with glycosidase reduced the absorbance
determined by the new ELISA but had no effect on the absorbance determined by the
conventional ELISA. These results suggest that glycosylation of sPD-L1 is
important for its binding to the immobilized PD-1 in the new ELISA. Our new ELISA
system may be useful for the evaluation of functional sPD-L1 with PD-1-binding
capacity in cancer patients.
PMID- 29366664
TI - Brain disposition, metabolism and behavioral effects of the synthetic opioid AH
7921 in rats.
AB - 3,4-Dichloro-N-benzamide (AH-7921) is a cyclohexyl-methylbenzamide derivative
with analgesic activity, whose abuse was associated with several fatal
intoxications, included in Schedule I of UN Single Convention on Narcotic Drugs.
We validated an HPLC-MS/MS method to investigate its brain disposition and
metabolism after single and repeated injections; in parallel, we evaluated its
central behavioral effects. After an intraperitoneal injection of 10 mg/kg, the
analgesic effect appeared after 5 min and persisted up to 4 h; brain absorption
was rapid (tmax 30 min) and large (brain-to-plasma ratio 16), with active
concentration >700 ng/g. By high-resolution MS we identified several metabolites
in plasma and brain, the most important being N-demethylated and N,N
didemethylated metabolites; they showed high brain permeability, although they
probably do not contribute to the analgesic effect of the parent compound (brain
tmax>2 h). Starting 2 h after treatment, the two metabolites showed higher plasma
and brain concentrations than the parent molecule, which persisted much longer,
and could be used to evaluate drug intake in human consumers. Tolerance was
observed after seven daily doses, when the compound's analgesic effect was 14%
lower than after the first dose; since brain concentrations did not decrease in
parallel, the development of pharmacodynamic tolerance can be suggested. However,
pharmacokinetic tolerance is also likely, as brought to light by the data after a
dose challenge, given after a 48 h washout period from the 7th dose, showing a
lower brain-to-plasma ratio. We also describe the rewarding effect of AH-7921
(conditioned place preference), suggesting a high risk of addiction in humans.
PMID- 29366666
TI - Depression and anxiety in caregivers of patients with celiac disease. Author's
reply.
PMID- 29366665
TI - The outcome of secondary resurfacing of the patella following total knee
arthroplasty: Results from the Trent and Wales Arthroplasty Register.
AB - BACKGROUND: We sought to determine whether patients undergoing secondary patella
resurfacing (SPR) benefited from the procedure by assessing postoperative
satisfaction via a postal questionnaire. METHODS: All such patients included in
the Trent and Wales Arthroplasty Register were invited to participate using
patient-reported outcome measures (PROMs). We identified 223 patients who had
undergone SPR over a 20-year period. Forty-two had died according to death
register checks. Eighty-eight of 181 (48.6%) questionnaires were completed. The
mean age at resurfacing was 67 (min. 42, max. 81); 52% were female. The median
time to follow-up was 55months (interquartile range (IQR) 41-111). Median time to
SPR was 28months (IQR 20-42). RESULTS: Thirty-nine patients (44%) felt that SPR
resolved the problem of pain in the front of their knee. All PROMs were
significantly better for those who felt that the resurfacing had worked (Oxford
Knee Score 29 vs. 16, P<0.05, modified Kujala 51 vs. 32, P<0.05, EQ5D-3L 0.616
vs. 0.384, P<0.05). Based on PROMs, SPR produces a satisfactory outcome in two of
every five patients. CONCLUSIONS: Surgeons must be clear on the cause of anterior
knee pain post-total knee replacement, and patients must be made aware of what
outcomes can be reasonably expected from this procedure.
PMID- 29366668
TI - The influence of learning environment on trainee pharmacy technicians' education
and training experiences.
AB - BACKGROUND: In Great Britain (GB), pharmacy technicians (PTs) are registered
professionals, with their education and training regulated; little is known about
this or the learning environment in which it takes place. OBJECTIVES: This study
aimed to profile recently registered pre-registration trainee pharmacy
technicians (PTPTs) in GB and capture views on PTPTs' training experiences,
focussing on differences in community and hospital settings. METHODS: A mixed
methods study was conducted in 2013-14, following university ethics approval. One
to-one, semi-structured telephone interviews with face-to-face and distance
education providers, and hospital and community pharmacy employers of PTPTs
explored views on education delivery, work-based learning, and assessment.
Interviews were transcribed verbatim, analysed thematically and findings informed
design of a census survey of all 1457 recently registered PTs, investigating
satisfaction with various aspects of their training. Quantitative data were
analysed using SPSS v20, employing comparative statistics (Mann-Whitney U, Chi
Square). RESULTS: Six-hundred and forty-six questionnaires were returned
(response rate 44.3%), 632 were usable. Three-quarters (75.9%) of respondents had
trained in community; the majority (88.0%) were female, the average age was 35.26
+/- 10.22. Those based in hospitals were more satisfied with their training:
hospital trainees worked in larger teams and tended to be better supported, they
had more study time, and were more likely to complete their training in the
intended two-year period. Interviews with staff in 17 Further Education colleges,
6 distance providers, 16 community pharmacies and 15 NHS organisations confirmed
survey findings and offered explanations into why differences in training
experiences may exist. CONCLUSIONS: This study has identified differences between
PTPTs' work-based experiences in hospital and community pharmacy. Perceiving
PTPTs as 'apprentices' vs. 'employees' may define how their training is managed
by employers. Clarity in PTs' roles, responsibilities, and expected competencies
upon registration can ensure training is structured and delivered in a suitable
and equitable manner across sectors.
PMID- 29366667
TI - Anatomical characterization of the brachial plexus in dog cadavers and comparison
of three blind techniques for blockade.
AB - OBJECTIVES: To describe the ventral spinal nerve rami contribution to the
formation of the brachial plexus (BP), and to compare ease of performing and
nerve staining between three blind techniques for BP blockade in dogs. STUDY
DESIGN: Prospective, randomized, blind study. ANIMALS: A total of 18 dog cadavers
weighing 28.2 +/- 9.7 kg (mean +/- standard deviation). METHODS: Dogs were
randomly assigned to two of three BP treatments: traditional approach (TA),
perpendicular approach (PA), and axillary approach (AA). Dye (0.2 mL kg-1) was
injected in the left BP using a spinal needle; another BP treatment was used in
the right BP. Landmarks (L) included: L1, midpoint between point of the shoulder
and sixth cervical (C6) transverse process; L2, scapulohumeral joint; and L3,
first rib. For TA, the needle was introduced craniocaudally through L1, medial to
the limb and cranial to L3. For PA, the needle was directed perpendicular and
caudal to L2, aligned with L1, until cranial to L3. For AA, the needle was
directed ventrodorsally, parallel and cranial to L3 until at L1. All BPs were
scored for dyeing quality [0 (poor) to 5 (excellent)]. The left BP was dissected
for nerve origins. Durbin test was used to compare scores (p < 0.05). RESULTS: In
all dogs, the musculocutaneous nerve originated from C7 and C8; the radial nerve
from C8, the first thoracic vertebra (T1) (16/18 dogs) and C7 (2/18); and the
median and ulnar nerves from C8, T1 (17/18) and C7 (1/18). Respective raw scores
and adjusted scores for the incomplete block design were not significantly
different (p = 0.72; ranks TA 16.5, PA 19.0, AA 18.5). CONCLUSIONS AND CLINICAL
RELEVANCE: The musculocutaneous, median, ulnar and radial nerves originate from
C7, C8 and T1. Regardless of the technique, knowledge of anatomy and precise
landmarks are relevant for correct dye dispersion.
PMID- 29366669
TI - Reliability and validity of SF-12v2 among adults with self-reported cancer.
AB - BACKGROUND: The SF-12v2 has been validated in general population and other
conditions but the evidence is limited in Americans with cancer. OBJECTIVE: To
assess reliability and validity of SF-12v2 among adults with self-reported cancer
using the Medical Expenditure Panel Survey (MEPS). METHODS: Self-reported cancer
participants (SCPs) were identified from 2003 MEPS. SF-12v2 was administered as
part of self-administered questionnaires. Physical (PCS12) and mental (MCS12)
component scores of SF-12v2 were evaluated for reliability (internal consistency,
test-retest) and validity (convergent, discriminant, predictive, concurrent).
RESULTS: 420 SCPs were identified with average age of 59.3 years (SE = 0.9).
10.7% had multiple cancers (>1) and 52% had at least one other chronic condition
(OCC). Average PCS12 and MCS12 were 45.09 (SE = 0.5) and 50.75 (SE = 0.5). PCS12
and MCS12 demonstrated high internal consistency (alphaPCS12 = 0.89; alphaMCS12 =
0.88), acceptable test-retest reliability (ICCPCS12 = 0.82; ICCMCS12 = 0.73),
strongly correlated with most of the expected EQ-5D domains (r = 0.51-0.71), and
demonstrated strong convergent validity on perceived health (r = 0.61) and
perceived mental health (r = 0.52). PCS12 and MCS12 were able to discriminate
between groups with and without physical/cognitive limitations. Only PCS12 was
negatively correlated with number of OCCs. CONCLUSION: The SF-12v2 is a reliable
and valid instrument to quantify health-related quality of life among adults with
self-reported cancer.
PMID- 29366670
TI - Characterization of catalytic and non-catalytic activities of EgGST2-3, a
heterodimeric glutathione transferase from Echinococcus granulosus.
AB - Glutathione transferases (GSTs) perform several catalytic and non-catalytic roles
in the defense against toxicities of electrophile compounds and oxidative stress,
and therefore are involved in stress-response and cell detoxification.
Previously, we have provided evidence indicating that EgGST2 and EgGST3, two
phylogenetically distant Echinococcus granulosus GSTs, can naturally form a
heterodimeric structure (EgGST2-3). In the present work, the recombinant
heterodimer GST (rEgGST2-3) is characterized. Hence, rEgGST2-3 was able to
conjugate GSH to three substrates: 1-chloro-2,4-dinitrobenzene (CDNB, general
substrate for GSTs), 1,2-dichloro-4-nitrobenzene (specific substrate for
mammalian Mu class) and trans,trans-deca-2,4-dienal (reactive carbonyl). The
canonical activity was considerably reduced by all the conventional inhibitors
(cybacron blue, triphenylthin chloride and bromosulfophthalein) and by other
inhibitors (ellagic acid, alizarin and chenodeoxycholic acid). Besides this,
rEgGST2-3 activity was inhibited by a number of anthelmintic drugs, where the
halogenated phenolic drugs (mainly bithionol and hexachlorophene) acted as
stronger inhibitors, suggesting they may bind to the EgGST2-3. Moreover, rEgGST2
3 exhibited glutathione-peroxidase activity, and its specific constant (kcat/KM)
was calculated. Finally, rEgGST2-3 displayed the ability to bind non-substrate
molecules, particularly anthelmintic drugs, suggesting that ligandin activity may
have potential to act as a passive protection parasite mechanism. Overall, the
rEgGST2-3 behavior was shown to be both complementary and redundant to that
reported for rEgGST1, another characterized GST from E. granulosus. It would be
appropriate that different enzymes in the same organism do not have exactly the
same functional properties to develop a better adaptation to life in the host.
PMID- 29366672
TI - Resuscitation highlights in 2017.
PMID- 29366671
TI - Temperature-dependent erosivity of drinks in a model simulating oral fluid
dynamics.
AB - OBJECTIVES: Aim of this investigation was to study the temperature-dependent in
vitro enamel erosion of five acidic drinks and citric acid under controlled
conditions in an artificial mouth. METHODS: The erosive potential of Orange
juice, Coca-Cola Zero, Sprite Zero, two fruit teas and citric acid (control) was
investigated on bovine enamel specimens at temperatures between 5 degrees C and
55 degrees C. The pH values and total calcium content of all test drinks were
determined. Specimens were immersed into an artificial mouth to imitate
physiological oral conditions for 60 h. Cyclic de- and re-mineralization was
performed, imitating the intake of six drinks in six h followed by a six-hour
remineralization phase, where only artificial saliva ran over the specimens.
Total erosive enamel loss was determined by contact profilometry. Differences in
substance loss at different temperatures were tested for statistical significance
(p-values <= 0.05) by means of ANOVA. RESULTS: Rising liquid temperature did not
result in a considerable change of pH. Highest substance loss was observed for
citric acid (33.6 +/- 6 MUm to 38.7 +/- 6 MUm), while only little erosion was
induced by fruit tea (0.8 +/- 1 MUm to 5.9 +/- 1 MUm). Rising liquid temperature
did not result in significantly increased substance loss for citric acid, orange
juice and Coca-Cola Zero. Sprite Zero and both fruit teas, however, caused
significantly (p < 0.001) more enamel loss at elevated temperature. CONCLUSIONS:
Not all investigated drinks showed a temperature-induced change in erosivity.
CLINICAL SIGNIFICANCE: For some erosive beverages it can be recommended to keep
the consummation temperature as low as possible to decrease the risk of erosive
tooth substance loss.
PMID- 29366673
TI - Serum albumin impedes the amyloid aggregation and hemolysis of human islet
amyloid polypeptide and alpha synuclein.
AB - Protein aggregation is a ubiquitous phenomenon underpinning the origins of a
range of human diseases. The amyloid aggregation of human islet amyloid
polypeptide (IAPP) and alpha synuclein (alphaS), specifically, is a hallmark of
type 2 diabetes (T2D) and Parkinson's disease impacting millions of people
worldwide. Although IAPP and alphaS are strongly associated with pancreatic beta
cell islets and presynaptic terminals, they have also been found in blood
circulation and the gut. While extensive biophysical and biochemical studies have
been focused on IAPP and alphaS interacting with cell membranes or model lipid
vesicles, the roles of plasma proteins on the amyloidosis and membrane
association of these two major types of amyloid proteins have rarely been
examined. Using a thioflavin T kinetic assay, transmission electron microscopy
and a hemolysis assay here we show that human serum albumin, the most abundant
protein in the plasma, impeded the fibrillization and mitigated membrane damage
of both IAPP and alphaS. This study offers a new insight on the native inhibition
of amyloidosis.
PMID- 29366675
TI - An atlantoaxial rotatory subluxation secondary to a parapharyngeal abscess.
PMID- 29366676
TI - Two novel mutations in the BCKDHB gene that cause maple syrup urine disease.
AB - BACKGROUND: Maple syrup urine disease (MSUD) is a rare metabolic disorder of
autosomal recessive inheritance caused by decreased activity of branched-chain
alpha-ketoacid dehydrogenase complex (BCKD). Mutations in the three genes
(BCKDHA, BCKDHB and DBT) are associated with MSUD. Here, we describe the
presenting symptoms, clinical course and gene mutation analysis of a Chinese boy
with MSUD. METHODS: Plasma amino acid analysis was performed by tandem mass
spectrometry and the levels of organic acids in urine were measured with gas
chromatography-mass spectrometry. The BCKDHB gene was sequenced by Sanger method.
Furthermore, the significance of the novel mutations was predicted by Polyphen
and Mutationtaster. After diagnosis, the patient was fed with protein-restricted
diet to reduce intake of BCAA and was treated with l-carnitine. Metabolic
parameters, clinical presentation and mental development were followed up.
RESULTS: The patient was diagnosed as MSUD. Two novel BCKDHB mutations (c.523 T >
C and c.478-25_552del100) were identified. In silico analysis predicted that the
two mutations were "disease causing". The boy tolerated the treatment well and
had symptomatic improvement. He presented with mild hypotonia and had nearly
normal DQ scores at the age of 10 months. The two novel mutations resulted in the
clinical manifestations of MSUD. Our results may reflect the heterogeneity of the
pathogenic variants found in patients with MSUD.
PMID- 29366674
TI - Cardiolipin dynamics and binding to conserved residues in the mitochondrial
ADP/ATP carrier.
AB - Cardiolipin in eukaryotes is found in the mitochondrial inner membrane, where it
interacts with membrane proteins and, although not essential, is necessary for
the optimal activity of a number of proteins. One of them is the mitochondrial
ADP/ATP carrier, which imports ADP into the mitochondrion and exports ATP. In the
crystal structures, cardiolipin is bound to three equivalent sites of the ADP/ATP
carrier, but its role is unresolved. Conservation of residues at these
cardiolipin binding sites across other members of the mitochondrial carrier
superfamily indicates cardiolipin binding is likely to be important for the
function of all mitochondrial carriers. Multiscale simulations were performed in
a cardiolipin-containing membrane to investigate the dynamics of cardiolipin
around the yeast and bovine ADP/ATP carriers in a lipid bilayer and the
properties of the cardiolipin-binding sites. In coarse-grain simulations,
cardiolipin molecules bound to the carriers for longer periods of time than
phosphatidylcholine and phosphatidylethanolamine lipids-with timescales in the
tens of microseconds. Three long-lived cardiolipin binding sites overlapped with
those in the crystal structures of the carriers. Other shorter-lived cardiolipin
interaction sites were identified in both membrane leaflets. However, the
timescales of the interactions were of the same order as phosphatidylcholine and
phosphatidylethanolamine, suggesting that these sites are not specific for
cardiolipin binding. The calculation of lipid binding times and the overlap of
the cardiolipin binding sites between the structures and simulations demonstrate
the potential of multiscale simulations to investigate the dynamics and behavior
of lipids interacting with membrane proteins.
PMID- 29366677
TI - Serum Amyloid A1 Is an Epithelial Prorestitutive Factor.
AB - Several proteins endogenously produced during the process of intestinal wound
healing have demonstrated prorestitutive properties. The presence of serum
amyloid A1 (SAA1), an acute-phase reactant, within inflamed tissues, where it
exerts chemotaxis of phagocytes, is well recognized; however, a putative role in
intestinal wound repair has not been described. Herein, we show that SAA1 induces
intestinal epithelial cell migration, spreading, and attachment through a formyl
peptide receptor 2-dependent mechanism. Induction of the prorestitutive phenotype
is concentration and time dependent and is associated with epithelial reactive
oxygen species production and alterations in p130 Crk-associated substrate
staining. In addition, using a murine model of wound recovery, we provide
evidence that SAA1 is dynamically and temporally regulated, and that the
elaboration of SAA1 within the wound microenvironment correlates with the influx
of SAA1/CD11b coexpressing immune cells and increases in cytokines known to
induce SAA expression. Overall, the present work demonstrates an important role
for SAA in epithelial wound recovery and provides evidence for a physiological
role in the wound environment.
PMID- 29366678
TI - Galectin-3 Enhances Avian H5N1 Influenza A Virus-Induced Pulmonary Inflammation
by Promoting NLRP3 Inflammasome Activation.
AB - Highly pathogenic avian influenza A H5N1 virus causes pneumonia and acute
respiratory distress syndrome in humans. Virus-induced excessive inflammatory
response contributes to severe disease and high mortality rates. Galectin-3, a
beta-galactoside-binding protein widely distributed in immune and epithelial
cells, regulates various immune functions and modulates microbial infections.
Here, we describe galectin-3 up-regulation in mouse lung tissue after challenges
with the H5N1 influenza virus. We investigated the effects of endogenous galectin
3 on H5N1 infection and found that survival of galectin-3 knockout (Gal-3KO) mice
was comparable with wild-type (WT) mice after infections. Compared with infected
WT mice, infected Gal-3KO mice exhibited less inflammation in the lungs and
reduced IL-1beta levels in bronchoalveolar lavage fluid. In addition, the bone
marrow-derived macrophages (BMMs) from Gal-3KO mice exhibited reduced
oligomerization of apoptosis-associated speck-like proteins containing caspase
associated recruitment domains and secreted less IL-1beta compared with BMMs from
WT mice. However, similar levels of the inflammasome component of nucleotide
oligomerization domain-like receptor protein 3 (NLRP3) were observed in two
genotypes of BMMs. Co-immunoprecipitation data indicated galectin-3 and NLRP3
interaction in BMMs infected with H5N1. An association was also observed between
galectin-3 and NLRP3/apoptosis-associated speck-like proteins containing caspase
associated recruitment domain complex. Combined, our results suggest that
endogenous galectin-3 enhances the effects of H5N1 infection by promoting host
inflammatory responses and regulating IL-1beta production by macrophages via
interaction with NLRP3.
PMID- 29366679
TI - Combination of a Histone Deacetylase 6 Inhibitor and a Somatostatin Receptor
Agonist Synergistically Reduces Hepatorenal Cystogenesis in an Animal Model of
Polycystic Liver Disease.
AB - Hepatic cystogenesis in polycystic liver disease (PLD) is associated with
abnormalities in multiple cellular processes, including elevated cAMP and
overexpression of histone deacetylase 6 (HDAC6). Disease progression in
polycystic kidney (PCK) rats (an animal model of PLD) is attenuated by inhibition
of either cAMP production or HDAC6. Therefore, we hypothesized that concurrent
targeting of HDAC6 and cAMP would synergistically reduce cyst growth. Changes in
hepatorenal cystogenesis were examined in PCK rats treated with a pan-HDAC
inhibitor, panobinostat; three specific HDAC6 inhibitors, ACY-1215, ACY-738, and
ACY-241; and a combination of ACY-1215 and the somatostatin receptor analogue,
pasireotide. We also assessed effects of ACY-1215 and pasireotide alone and in
combination on cell proliferation, cAMP production, and expression of acetylated
alpha-tubulin in vitro in cultured cholangiocytes and the length of primary cilia
and the frequency of ciliated cholangiocytes in vivo in PCK rats. Panobinostat
and all three HDAC6 inhibitors decreased hepatorenal cystogenesis in PCK rats.
ACY-1215 was more effective than other HDAC inhibitors and was chosen for
combinational treatment. ACY-1215 + pasireotide combination synergistically
reduced cyst growth and increased length of primary cilia in PCK rats. In
cultured cystic cholangiocytes, ACY-1215 + pasireotide combination concurrently
decreased cell proliferation and inhibited cAMP levels. These data suggest that
the combination of drugs that inhibit HDAC6 and cAMP may be an effective therapy
for PLD.
PMID- 29366680
TI - Pancreatic and Islet Remodeling in Cystic Fibrosis Transmembrane Conductance
Regulator (CFTR) Knockout Ferrets.
AB - In cystic fibrosis (CF), there is early destruction of the exocrine pancreas, and
this results in a unique form of diabetes that affects approximately half of
adult CF individuals. An animal model of cystic fibrosis-related diabetes has
been developed in the ferret, which progresses through phases of glycemic
abnormalities because of islet remodeling during and after exocrine destruction.
Herein, we quantified the pancreatic histopathological changes that occur during
these phases. There was an increase in percentage ductal, fat, and islet area in
CF ferrets over time compared with age-matched wild-type controls. We also
quantified islet size, shape, islet cell composition, cell proliferation (Ki-67),
and expression of remodeling markers (matrix metalloprotease-7, desmin, and alpha
smooth muscle actin). Pancreatic ducts were dilated with scattered proliferating
cells and were surrounded by activated stellate cells, indicative of tissue
remodeling. The timing of islet and duct proliferation, stellate cell activation,
and matrix remodeling coincided with the previously published stages of glycemic
crisis and inflammation. This mapping of remodeling events in the CF ferret
pancreas provides insights into early changes that control glycemic intolerance
and subsequent recovery during the evolution of CF pancreatic disease.
PMID- 29366681
TI - Assessing Resident Performance in Screening Mammography: Development of a
Quantitative Algorithm.
AB - RATIONALE AND OBJECTIVES: This study aims to provide objective performance data
and feedback, including examination volumes, recall rates, and concordance with
faculty interpretations, for residents performing independent interpretation of
screening mammography examinations. METHOD AND MATERIALS: Residents (r) and
faculty (f) interpret screening mammograms separately and identify non-callbacks
(NCBs) and callbacks (CBs). Residents review all discordant results. The number
of concordant interpretations (fCB-rCB and fNCB-rNCB) and discordant
interpretations (fCB-rNCB and fNCB-rCB) are entered into a macro-driven
spreadsheet. These macros weigh the data dependent on the perceived clinical
impact of the resident's decision. Weighted outcomes are combined with volumes to
generate a weighted mammography performance score. Rotation-specific goals are
assigned for the weighted score, screening volumes, recall rate relative to
faculty, and concordance rates. Residents receive one point for achieving each
goal. RESULTS: Between July 2013 and May 2017, 18,747 mammography examinations
were reviewed by 31 residents, in 71 resident rotations, over 246 resident weeks.
Mean resident recall rate was 9.9% and significantly decreased with resident
level (R), R2 = 11.3% vs R3 = 9.4%, R4 = 9.2%. Mean resident-faculty discordance
rate was 10% and significantly decreased from R2 = 12% to R4 = 9.6%. Weighted
performance scores ranged from 1.1 to 2.0 (mean 1.6, standard deviation 0.17),
but did not change with rotation experience. Residents had a mean goal
achievement score of 2.6 (standard deviation 0.47). CONCLUSIONS: This method
provides residents with easily accessible case-by-case individualized screening
outcome data over the longitudinal period of their residency, and provides an
objective method of assessing resident screening mammography performance.
PMID- 29366682
TI - Dynamic contrast-enhanced (DCE) MRI derived kinetic perfusion indices may help
predicting seizure control in single calcified neurocysticercosis.
AB - BACKGROUND: The factors responsible for seizure recurrence in patients with
Solitary calcified neurocysticercosis (NCC) are not well understood. Blood brain
barrier (BBB) breach may be associated with seizure recurrence. Dynamic contrast
enhanced (DCE) MRI derived indices kep, ktrans and ve are useful in quantifying
BBB permeability. In this study, we assessed the possible role of DCE-MRI and
matrix metalloproteinases (MMP)-9 levels in predicting seizure recurrence.
METHODS: In this prospective-observational study, patients with new-onset
seizures and a solitary calcified NCC were included. DCE-MRI was done to quantify
BBB integrity. DCE-MRI parameters were measured as kep, ktrans and ve. MMP-9
levels were estimated. Patients were followed for 1 year, when DCE-MRI and MMP-9
levels were repeated. Patients were classified into two groups on the basis of
seizure recurrence, which was defined as the recurrence of an episode of seizure
at least 1 week after the initiation of the anti-epileptic drugs. Logistic
regression analysis was done. RESULTS: At 1-year of follow up, 8 out of 32
patients had seizure recurrence. Baseline DCE-MRI derived kep (p = 0.015) and MMP
9 levels (p = 0.019) were significantly higher in the seizure "recurrence" group
compared with the "no recurrence" group. On within-group analysis, a significant
increase in kep (p = 0.012), ve (p = 0.012), and MMP-9 levels (p = 0.017) was
observed in the seizure "recurrence" group while a decrease was seen in ve and
MMP-9 levels in the "no recurrence" group. CONCLUSION: Higher values of DCE-MRI
indices and MMP-9 levels, with a corresponding trend in the follow-up, can be
useful in predicting lesions with a higher propensity for seizure recurrence.
PMID- 29366683
TI - CHASEing Cytokinin Receptors in Plants, Bacteria, Fungi, and Beyond.
AB - Cytokinin (CK) signaling pathways were believed to be restricted to terrestrial
plants. However, the identification of CK receptor homologs in phytopathogenic
bacteria, cyanobacteria, and early diverging fungi has recently been reported. CK
signaling in prokaryotic and non-plant eukaryotic organisms sheds new light on
the dissemination and evolution of this hormone.
PMID- 29366684
TI - Signaling Peptides and Receptors Coordinating Plant Root Development.
AB - Small peptides mediate cell-cell communication to coordinate a variety of plant
developmental processes. Signaling peptides specifically bind to the
extracellular domains of receptors that belong to the receptor-like kinase
family, and the peptide-receptor interaction activates a range of biochemical and
physiological processes. The plant root is crucial for the anchorage of plants in
soil as well as for the uptake of water and nutrients. Over recent years great
progress has been made in the identification of receptors, structural analysis of
peptide-receptor pairs, and characterization of their signaling pathways during
plant root development. We review here recent advances in the elucidation of the
functions and molecular mechanisms of signaling peptides, the peptide-receptor
pairs that activate signal initiation, and their signaling pathways during root
development.
PMID- 29366685
TI - Back to the Future.
PMID- 29366686
TI - Commentary on "A Prospective Study to Evaluate Complete Wound Healing and Limb
Salvage Rates After Angiosome Targeted Infrapopliteal Balloon Angioplasty in
Critical Limb Ischaemia Patients".
PMID- 29366687
TI - Densely functionalised spirocyclic oxetane-piperidine scaffolds for drug
discovery.
AB - A spirocyclic, sp3-atom rich oxetane-containing scaffold was synthesised in just
two steps via a gold catalysed propargylic alcohol rearrangement. The key gold
cyclisation can be undertaken on a 40 g scale allowing the preparation of 419
lead-like compounds based on the scaffold for the European Lead Factory.
PMID- 29366688
TI - Solid-State NMR of highly 13C-enriched cholesterol in lipid bilayers.
AB - Cholesterol (Chol) is vital for cell function as it is essential to a myriad of
biochemical and biophysical processes. The atomistic details of Chol's
interactions with phospholipids and proteins is therefore of fundamental
interest, and NMR offers unique opportunities to interrogate these properties at
high resolution. Towards this end, here we describe approaches for examining the
structure and dynamics of Chol in lipid bilayers using high levels of 13C
enrichment in combination with magic-angle spinning (MAS) methods. We quantify
the incorporation levels and demonstrate high sensitivity and resolution in 2D
13C-13C and 1H-13C spectra, enabling de novo assignments and site-resolved order
parameter measurements obtained in a fraction of the time required for
experiments with natural abundance sterols. We envision many potential future
applications of these methods to study sterol interactions with drugs, lipids and
proteins.
PMID- 29366689
TI - In vivo study of teratogenic and anticonvulsant effects of antiepileptics drugs
in zebrafish embryo and larvae.
AB - Epilepsy is a neurological disorder treated with antiepileptic drugs (AEDs).
Since AEDs are administered in women in childbearing age, it is critical to study
if drugs are capable of inducing developmental toxicity. Along the bibliography
available, there is no research comparing teratogenicity and anticonvulsant
effect within the same study. In the present study, we evaluated the teratogenic
and anticonvulsant effects of six different AEDs: carbamazepine, levetiracetam,
lamotrigine, phenobarbital, phenytoin and valproic acid. Zebrafish was the
selected animal model because of its small size, rapid external development and
similar neurophysiology to mammals. Zebrafish embryo and larvae were exposed to
AEDs. Embryo development was monitored by their hatching and morphology. In
larvae, locomotor activity was measured as a parameter of neurotoxicity. Finally,
anticonvulsant effect was determined after exposure to AEDs in zebrafish larvae
treated with the proconvulsant drug pentylenetetrazole. Our results suggest that
lamotrigine and phenytoin could be suitable non-teratogenic and efficient
anticonvulsant options for epilepsy treatment.
PMID- 29366690
TI - Comparative neurotoxicity study of mercury-based inorganic compounds including
Ayurvedic medicines Rasasindura and Kajjali in zebrafish model.
AB - Zebrafish behavioral model is a powerful tool for neuroscience research.
Behavioral changes in the zebrafish are studied by administering drugs. With the
aid of automated and open-source MATLAB program, high-accuracy tracking of
zebrafish can be achieved, and the important behavioral parameters can be
calculated. Although mercury is accepted as a potent neurotoxin, used as a key
element for preparing certain Ayurvedic medicines. In this work, mercury-based
inorganic compounds, including HgCl2, HgS, and Ayurvedic medicines (Rasasindura
and Kajjali) were administrated in zebrafish, and the effects on various
behavioral parameters and cortisol levels were studied. A significant change in
the basic locomotor parameters of fish was observed including speed (43%
reduction), meander (150% increment), and a number of freeze points (125%
increment), during 5-day treatment of HgCl2 along with a 3-fold increase in
cortisol level against the control groups. Abnormal behavior was also recorded in
color preference test, and novel tank diving behavior of HgCl2-treated groups,
which can be attributed to the neurotoxicity induced by the HgCl2 administration.
Contrary to this, the Rasasindura-treated group showed a significant increase in
speed by 33%, decrease in meander by 20%, decrease in freeze points by 30%, and
insignificant alteration in cortisol levels, which can be related to the
rejuvenating nature of the Ayurvedic medicine Rasasindura. Additionally, Kajjali
treated group did not show any substantial changes in zebrafish cortisol level
and behavioral parameters except one in the diving test that indicates lowering
stress. Similarly, HgS group showed normal behaviors except two irregular motor
behaviors identical with the HgCl2 group. From these results, it can be concluded
that the mercury-based Ayurvedic Rasasindura and Kajjali did not show any adverse
effect or toxicity on zebrafish behavior model.
PMID- 29366691
TI - Endophthalmitis caused by Purpureocillium lilacinum.
PMID- 29366692
TI - An oil refinery worker at Kaohsiung, with Pseudoxanthomonas kaohsiungensis
bloodstream infection presenting as chronic pericarditis and masquerading as
tuberculosis pericarditis.
PMID- 29366693
TI - Rapid flow-through enzyme immunoassay of progesterone in whole cows' milk.
AB - A rapid flow-through immunoassay using an enzyme (horseradish peroxidase) as a
label for quantitative and semi-quantitative determination of progesterone in
whole cows' milk was developed. The flow-through test device consisted of a
porous nitrocellulose membrane coated with antibodies and an absorbent membrane.
The substrate solution containing 3,3',5,5' -tetramethylbenzidine was used for
colour visualization. The detection limit of 0.4 ng/mL P4 was obtained by this
method; analysis time did not exceed 15 min. To eliminate matrix interference a
simple sample preparation procedure was used. Results of analysis of whole cows'
milk samples with flow-through method were in good correlation with ELISA results
(R = 0.96, n = 34). The developed rapid flow-through test system showed high
efficiency for the determination of progesterone level in whole cow's milk and
can be used on-site for quick identification of milk samples with low and high
progesterone concentration.
PMID- 29366694
TI - Novel volumetric adsorptive microsampling technique for determination of
perfluorinated compounds in blood.
AB - Microsampling is an attractive option for significantly reducing the volume of
blood taken for chemical analysis allowing for blood samples taken as a 'finger
prick' with a lancet. A novel, volumetric adsorptive microsampling (VAMSTM)
device, which reproducibly collects a small volume of 10 MUL whole blood in a
hematocrit-independent manner, is evaluated in a human biomonitoring setting, and
has been utilized for analysis of several perfluoroalkyl acids (PFAA). The
results show that the VAMS technique is applicable for PFAA analysis, method has
good linearity, repeatability, accuracy and is sufficiently sensitive for samples
from general populations. The stability of PFAAs with VAMS devices is shown to be
acceptable, which supports the sampling and transportation strategy of several
study designs. Furthermore, as well as allowing for a quick and efficient
extraction and analysis flow path, the VAMS microsampler is an easy to use device
in a real-world sample collection scenario.
PMID- 29366695
TI - Pharmacist training in suicide prevention.
AB - OBJECTIVE: Suicide in the United States is a major preventable public health
problem. Pharmacists need to be educated on suicide prevention strategies so that
they can increase their own awareness and identify patients at-risk. A training
program for pharmacists was used to provide skills necessary to recognize a
crisis and the warning signs of suicide. The program's effect on the
participant's general perception, self-efficacy, and attitude towards suicide
prevention was examined. SETTING: Various academic, health care, and professional
meetings throughout San Diego County. PRACTICE INNOVATION: First Question,
Persuade, and Refer training program targeting pharmacists. EVALUATION: A self
administered presurvey, postsurvey and, Program Outcome Evaluation were given to
participants of the suicide training program. Items included demographics,
general perception, self-efficacy, and attitude toward suicide prevention.
Descriptive statistics were used to describe participants' demographics. t tests
were used to compare general perception, attitudes, and self-efficacy scores
between pretest and post-program evaluation survey responses. Nonparametric
Wilcoxon signed rank analyses for matched pairs were used to compare survey
responses that asked about attitudes before and after trainings. Regression
analyses were conducted to assess factors associated with general perception,
self-efficacy, and attitudes. RESULTS: Participants were more likely to update
knowledge after training and reported more confidence to make an intervention for
a patient at risk for suicide. CONCLUSION: Our findings suggest that a suicide
prevention training program helped pharmacist respondents build confidence in
several self-efficacy areas relating to detection of suicide signs, response to
patients with suicidal thoughts, reassurance for patients, and provision of
resources and referrals.
PMID- 29366696
TI - Assessment of the benefits of and barriers to HIV pharmacist credentialing.
AB - OBJECTIVES: To ascertain the reasons for, benefits of, and barriers to pursuing
the American Academy of HIV Medicine (AAHIVM) HIV Pharmacist (AAHIVP) credential.
METHODS: A cross-sectional study using an electronic self-administered survey was
used. Two separate invitations to participate in online surveys were sent to
pharmacists who practice in HIV-related settings: 1 to pharmacists with the
AAHIVP credential and 1 to members of key pharmacy organizations and employers
without the credential. The surveys assessed demographics, concurrent credentials
and certifications, and factors influencing the pursuit of and benefits gained
from having the AAHIVP credential (credentialed population) or barriers to
pursuing the AAHIVP credential (credentialed and noncredentialed populations).
RESULTS: There were 192 participants (survey response rate 38.8%) in the
credentialed population and 212 participants in the noncredentialed population.
Perceived recognition as an HIV expert from pharmacist (n = 174; 90.6%) and
physician (n = 162; 84.4%) peers was the main reason for credentialing; only
20.4% (n = 23/113) of participants' employers reimbursed for the credential.
Common reasons for nonpursuit included lack of employer incentive (n = 46; 26.6%)
and lack of fee reimbursement (n = 38; 21.9%) in those aware of the credential.
However, a majority of these noncredentialed participants reported they would be
interested in pursuing credentialing (n = 152; 80.4%). CONCLUSION: AAHIVP
credentialing is sought and maintained on the basis of perceived intangible
benefits, such as peer recognition, over tangible benefits, such as increased
salary and reimbursement by third-party payers. Despite interest, a lack of
employer reimbursement is perceived to be a barrier to AAHIVP credentialing among
those who have not yet been credentialed.
PMID- 29366698
TI - Neural source dynamics of brain responses to continuous stimuli: Speech
processing from acoustics to comprehension.
AB - Human experience often involves continuous sensory information that unfolds over
time. This is true in particular for speech comprehension, where continuous
acoustic signals are processed over seconds or even minutes. We show that brain
responses to such continuous stimuli can be investigated in detail, for
magnetoencephalography (MEG) data, by combining linear kernel estimation with
minimum norm source localization. Previous research has shown that the
requirement to average data over many trials can be overcome by modeling the
brain response as a linear convolution of the stimulus and a kernel, or response
function, and estimating a kernel that predicts the response from the stimulus.
However, such analysis has been typically restricted to sensor space. Here we
demonstrate that this analysis can also be performed in neural source space. We
first computed distributed minimum norm current source estimates for continuous
MEG recordings, and then computed response functions for the current estimate at
each source element, using the boosting algorithm with cross-validation.
Permutation tests can then assess the significance of individual predictor
variables, as well as features of the corresponding spatio-temporal response
functions. We demonstrate the viability of this technique by computing spatio
temporal response functions for speech stimuli, using predictor variables
reflecting acoustic, lexical and semantic processing. Results indicate that
processes related to comprehension of continuous speech can be differentiated
anatomically as well as temporally: acoustic information engaged auditory cortex
at short latencies, followed by responses over the central sulcus and inferior
frontal gyrus, possibly related to somatosensory/motor cortex involvement in
speech perception; lexical frequency was associated with a left-lateralized
response in auditory cortex and subsequent bilateral frontal activity; and
semantic composition was associated with bilateral temporal and frontal brain
activity. We conclude that this technique can be used to study the neural
processing of continuous stimuli in time and anatomical space with the
millisecond temporal resolution of MEG. This suggests new avenues for analyzing
neural processing of naturalistic stimuli, without the necessity of averaging
over artificially short or truncated stimuli.
PMID- 29366699
TI - A meta-analytical review of brain activity associated with intertemporal
decisions: Evidence for an anterior-posterior tangibility axis.
AB - In temporal discounting experiments, subjects are repeatedly presented with
option sets in which they must choose between receiving a small amount of money
sooner (SmallerSooner) or a larger amount of money at a more distant point in
time (LargerLater). Although over 50 temporal discounting experiments using fMRI
are described in literature, there has not been a meta-analysis identifying
regions activated when subjects choose SmallerSooner versus LargerLater
alternatives. Evidence suggests a prefrontal cortex 'abstraction hierarchy', from
abstract planning in more anterior regions to concrete processing in posterior
regions. Because abstraction has been linked with making LargerLater choices, we
hypothesized an association between LargerLater choices and more anterior
prefrontal cortex activity, and an association between SmallerSooner choices and
more posterior activity. Across thirteen fMRI temporal discounting studies
including 436 subjects, we observed LargerLater activity anterior of
SmallerSooner activity, both in the left inferior frontal gyrus pars
triangularis, consistent with our pre-registered hypothesis. We call for further
work linking temporal discounting and hierarchical processing of abstract and
concrete information in the prefrontal cortex.
PMID- 29366697
TI - Predicting future learning from baseline network architecture.
AB - Human behavior and cognition result from a complex pattern of interactions
between brain regions. The flexible reconfiguration of these patterns enables
behavioral adaptation, such as the acquisition of a new motor skill. Yet, the
degree to which these reconfigurations depend on the brain's baseline
sensorimotor integration is far from understood. Here, we asked whether
spontaneous fluctuations in sensorimotor networks at baseline were predictive of
individual differences in future learning. We analyzed functional MRI data from
19 participants prior to six weeks of training on a new motor skill. We found
that visual-motor connectivity was inversely related to learning rate:
sensorimotor autonomy at baseline corresponded to faster learning in the future.
Using three additional scans, we found that visual-motor connectivity at baseline
is a relatively stable individual trait. These results suggest that individual
differences in motor skill learning can be predicted from sensorimotor autonomy
at baseline prior to task execution.
PMID- 29366700
TI - Umbilical cord blood transplantation without in vivo T-cell depletion for
children with MHC class II deficiency.
PMID- 29366701
TI - Basophils from allergic patients are neither hyperresponsive to activation
signals nor hyporesponsive to inhibition signals.
AB - BACKGROUND: Basophil activation contributes to inflammatory reactions, especially
in allergy. It is controlled, both positively and negatively, by several
mechanisms. High-affinity IgE receptors (FcepsilonRI) generate a mixture of
activation and inhibition signals when aggregated, the ratio of which depends on
the concentration of allergen recognized by receptor-bound IgE. Low-affinity IgG
receptors (FcgammaRIIA/B) generate inhibition signals when coengaged with
FcepsilonRI by allergen-antibody immune complexes. Commensal and probiotic
bacteria, such as Lactobacillus paracasei, generate inhibition signals through
still unclear mechanisms. OBJECTIVE: We sought to investigate whether mechanisms
that control, both positively and negatively, basophil activation, which were
unraveled and studied in basophils from healthy donors, are functional in
allergic patients. METHODS: FcepsilonRI and FcgammaRIIA/B expression, FcepsilonRI
dependent activation, FcepsilonRI-dependent inhibition, and FcgammaRIIB-dependent
inhibition were examined in blood basophils incubated overnight with or without L
paracasei and challenged under 10 experimental conditions. Basophils from healthy
donors were compared with basophils from patients who consulted an allergology
outpatient clinic over a period of 3 months with respiratory allergy, anaphylaxis
antecedents, chronic urticaria, and/or atopic dermatitis. RESULTS: Patients'
basophils expressed neither more FcepsilonRI nor less FcgammaRIIB than basophils
from healthy donors. They were neither hyperreactive to positive regulation nor
hyporeactive to negative regulation, irrespective of the receptors or mechanisms
involved and the allergic manifestations of the patients. CONCLUSION: Regulatory
mechanisms that control basophil activation are fully functional in allergic
patients. Intrinsic defects in these mechanisms do not explain allergic
manifestations. Based on these mechanisms, immune checkpoint modifiers can be
developed as novel therapeutic tools for allergy.
PMID- 29366702
TI - Human dendritic cell subset 4 (DC4) correlates to a subset of CD14dim/-CD16++
monocytes.
PMID- 29366703
TI - Evidence for single-dose protection by the bivalent HPV vaccine-Review of the
Costa Rica HPV vaccine trial and future research studies.
AB - The Costa Rica Vaccine Trial (CVT), a phase III randomized clinical trial,
provided the initial data that one dose of the HPV vaccine could provide durable
protection against HPV infection. Although the study design was to administer all
participants three doses of HPV or control vaccine, 20% of women did not receive
the three-dose regimens, mostly due to involuntary reasons unrelated to
vaccination. In 2011, we reported that a single dose of the bivalent HPV vaccine
could be as efficacious as three doses of the vaccine using the endpoint of
persistent HPV infection accumulated over the first four years of the trial;
findings independently confirmed in the GSK-sponsored PATRICIA trial. Antibody
levels after one dose, although lower than levels elicited by three doses, were 9
times higher than levels elicited by natural infection. Importantly, levels
remained essentially constant over at least seven years, suggesting that the
observed protection provided by a single dose might be durable. Much work has
been done to assure these non-randomized findings are valid. Yet, the group of
recipients who received one dose of the bivalent HPV vaccine in the CVT and
PATRICIA trials was small and not randomly selected nor blinded to the number of
doses received. The next phase of research is to conduct a formal randomized,
controlled trial to evaluate the protection afforded by a single dose of HPV
vaccine. Complementary studies are in progress to bridge our findings to other
populations, and to further document the long-term durability of antibody
response following a single dose.
PMID- 29366705
TI - Determinants of the receipt of the 9-valent human papillomavirus vaccine in the
first year after introduction in North Carolina.
AB - OBJECTIVES: The objective of this study was to describe the transition from bi-
and quadrivalent HPV vaccines to 9vHPV in aggregate and identify determinants of
the receipt of 9vHPV among youth following the introduction of 9vHPV in North
Carolina. METHODS: The study used a retrospective cohort design with data from
the North Carolina Immunization Registry (NCIR). Our sample included all doses of
HPV vaccine administered between July 2015 and October 2016 to age-eligible youth
(ages 9-17). We used a logistic regression model to associate individual child
level and ZIP Code Tabulation Area (ZCTA)-level characteristics with an indicator
variable for receiving 9vHPV (vs. other HPV vaccines). RESULTS: Youth receiving
the HPV vaccine were more likely to receive 9vHPV if they lived in a ZCTA with a
larger age-eligible (i.e., 9-17) population, a health professional shortage area,
or a higher number of annual outpatient visits per capita. They were less likely
to receive 9vHPV if they were older, received a publicly-funded dose, or lived in
a ZCTA with a higher percentage of the population with less than a high-school
education or a higher number of religious organizations. CONCLUSIONS: While the
transition from other HPV vaccines to 9vHPV was relatively quick, there were
disparities in the diffusion of 9vHPV across North Carolina.
PMID- 29366704
TI - Modeling the impact of combined vaccination programs against varicella and herpes
zoster in Norway.
AB - BACKGROUND: Adoption of varicella immunization in Europe is limited due to a
predicted increase in the incidence of herpes zoster (HZ) resulting from a
removal of exogenous boosting by varicella vaccination. Most available
assessments of immunization strategies only considered universal varicella
vaccination (alone or in combination with HZ by the live vaccine). The
development of a new subunit recombinant zoster vaccine may provide new
perspectives of HZ control. METHODS: We used a mathematical model for VZV in
Norway based on the progressive immunity formulation of exogenous boosting. We
evaluated a complete range of alternative immunization options against varicella
and HZ including both universal and targeted varicella vaccination, either alone
or with zoster immunization, and zoster immunization alone. We considered all
values of the boosting intensity consistent with the Norwegian HZ incidence and
compared the performance of the currently available live vaccine vs. a new
recombinant vaccine. RESULTS: Universal varicella vaccination alone resulted in a
marked increase in the incidence of HZ under all scenarios considered. Even under
the most favorable hypotheses on the magnitude of the boosting intensity, this
increase could be mitigated only by a parallel HZ immunization with a recombinant
vaccine, assuming a long duration of protection. Targeted varicella immunization
of adolescents resulted in a modest increase in the HZ incidence which could be
counterbalanced by both the live and, especially, the recombinant vaccine.
CONCLUSIONS: Given current knowledge on HZ pathogenesis and exogenous boosting,
targeted varicella vaccination of adolescents was the only strategy that was not
predicted to impact the epidemiology of HZ, and therefore it may represent a
suitable alternative to universal vaccination. These results are aimed to support
vaccine policy decisions in Norway and other countries with a similar VZV
epidemiology.
PMID- 29366706
TI - Vaccine financing and billing in practices serving adult patients: A follow-up
survey.
AB - BACKGROUND: Financial concerns are often cited by physicians as a barrier to
administering routinely recommended vaccines to adults. The purpose of this study
was to assess perceived payments and profit from administering recommended adult
vaccines and vaccine purchasing practices among general internal medicine (GIM)
and family medicine (FM) practices in the United States. METHODS: We conducted an
interviewer-administered survey from January-June 2014 of practices stratified by
specialty (FM or GIM), affiliation (standalone or >= 2 practice sites), and level
of financial decision-making (independent or larger system level) in FM and GIM
practices that responded to a previous survey on adult vaccine financing and
provided contact information for follow-up. Practice personnel identified as
knowledgeable about vaccine financing and billing responded to questions about
payments relative to vaccine purchase price and payment for vaccine
administration, perceived profit on vaccination, claim denial, and utilization of
various purchasing strategies for private vaccine stocks. Survey items on payment
and perceived profit were assessed for various public and private payer types.
Descriptive statistics were calculated and responses compared by physician
specialty, practice affiliation, and level of financial decision-making. RESULTS:
Of 242 practices approached, 43% (n = 104) completed the survey. Reported payment
levels and perceived profit varied by payer type. Only for preferred provider
organizations did a plurality of respondents report profiting on adult
vaccination services. Over half of respondents reported losing money vaccinating
adult Medicaid beneficiaries. One-quarter to one-third of respondents reported
not knowing about Medicare Part D payment levels for vaccine purchase and vaccine
administration, respectively. Few respondents reported negotiating with
manufacturers or insurance plans on vaccine purchase prices or payments for
vaccination. CONCLUSIONS: Practices vaccinating adults may benefit from education
and technical assistance related to vaccine financing and billing and greater use
of purchasing strategies to decrease upfront vaccine cost.
PMID- 29366707
TI - Vaccination of pigs with a codon-pair bias de-optimized live attenuated influenza
vaccine protects from homologous challenge.
AB - Influenza A virus (IAV) in swine constitutes a major economic burden for
producers as well as a potential threat to public health. Whole inactivated virus
vaccines (WIV) are the predominant countermeasure employed to control IAV in
swine herds in the United States despite the superior protection, and diminished
adverse effects, induced by live attenuated influenza vaccines (LAIV). A major
hurdle for the development of LAIV exists in achieving the proper level of
attenuation while maintaining immunogenicity. Using Synthetic Attenuated Virus
Engineering (SAVE) to introduce codon-pair bias de-optimization (CPBD) into the
hemagglutinin (HA) and neuraminidase (NA) gene segments of pandemic H1N1 IAV, a
novel LAIV was produced and evaluated for attenuation, immunogenicity, and
efficacy in pigs. The CPBD LAIV induced inappreciable pathology following
intranasal administration yet induced robust serum and mucosal antibody titers.
CPBD LAIV vaccinated pigs challenged with wild-type virus showed protection from
disease and virus detection, highlighted by the absence of detectable virus
titers in the nasal passages and lungs. These results demonstrate the efficacy of
a LAIV designed by SAVE codon de-optimization in pigs, providing support for the
continued development of CPBD LAIV for use in swine.
PMID- 29366708
TI - Human papillomavirus vaccine motivators and barriers among community college
students: Considerations for development of a successful vaccination program.
AB - BACKGROUND: Previous interventions in colleges to improve human papillomavirus
(HPV) vaccination have not been highly successful. Although barriers have been
assessed in traditional colleges, less is known about vaccination barriers in
community colleges. METHODS: We approached students aged 18-26 years old enrolled
at a community college for an in-person semi-structured qualitative interview on
HPV vaccination and health, with questions guided by the Theory of Planned
Behavior. Data collection took place between April 2015 and December 2015.
Thematic analysis techniques were used to analyze the data. RESULTS: During
interviews with 19 students, 4 themes emerged, including: general vaccine
attitudes, barriers to HPV vaccination, motivators to HPV vaccination, and social
influences. Participants felt that vaccines were beneficial, but were concerned
about side effects. They felt that getting the HPV vaccine would be inconvenient,
and they did not know enough about it to decide. Most would not trust their
friends' opinions, but would want to know about side effects that their
vaccinated friends experienced. CONCLUSIONS: Successful interventions at
community colleges should include several components to increase convenience as
well as utilize interactive methods to promote HPV vaccine awareness.
PMID- 29366709
TI - Recombinant live attenuated avian coronavirus vaccines with deletions in the
accessory genes 3ab and/or 5ab protect against infectious bronchitis in chickens.
AB - Avian coronavirus infectious bronchitis virus (IBV) is a respiratory pathogen of
chickens, causing severe economic losses in poultry industry worldwide. Live
attenuated viruses are widely used in both the broiler and layer industry because
of their efficacy and ability to be mass applied. Recently, we established a
novel reverse genetics system based on targeted RNA recombination to manipulate
the genome of IBV strain H52. Here we explore the possibilities to attenuate IBV
in a rational way in order to generate safe and effective vaccines against
virulent IBV (van Beurden et al., 2017). To this end, we deleted the nonessential
group-specific accessory genes 3 and/or 5 in the IBV genome by targeted RNA
recombination and selected the recombinant viruses in embryonated eggs. The
resulting recombinant (r) rIBV-Delta3ab, rIBV-Delta5ab, and rIBV-Delta3ab5ab
could be rescued and grew to the same virus titer as recombinant and wild type
IBV strain H52. Thus, genes 3ab and 5ab are not essential for replication in ovo.
When administered to one-day-old chickens, rIBV-Delta3ab, rIBV-Delta5ab, and rIBV
Delta3ab5ab showed reduced ciliostasis as compared to rIBV H52 and wild type H52,
indicating that the accessory genes contribute to the pathogenicity of IBV. After
homologous challenge with the virulent IBV strain M41, all vaccinated chickens
were protected against disease based on reduced loss of ciliary movement in the
trachea compared to the non-vaccinated but challenged controls. Taken together,
deletion of accessory genes 3ab and/or 5ab in IBV resulted in mutant viruses with
an attenuated phenotype and the ability to induce protection in chickens. Hence,
targeted RNA recombination based on virulent IBV provides opportunities for the
development of a next generation of rationally designed live attenuated IBV
vaccines.
PMID- 29366710
TI - Rotavirus hospitalizations among children <5 years of age-Tajikistan, 2013-2014.
AB - BACKGROUND: In January 2015, Tajikistan introduced the monovalent rotavirus
vaccine into the national immunization program. Our objective was to estimate pre
vaccine burden of rotavirus-associated hospitalizations in children <5 years of
age in Tajikistan. METHODS: During January 2013-December 2014, active
surveillance for acute gastroenteritis (AGE)-associated hospitalizations in
children <5 years of age was conducted by sentinel surveillance site staff.
Patients' demographic and clinical data were summarized and a stool sample was
collected. An Enzyme Linked Immunosorbent Assay was used for diagnosis of
rotavirus infection and subset of the specimens was sent for polymerase chain
reaction (PCR) genotyping. RESULTS: Of 2860 eligible children enrolled and
tested, 1207 (42%) were positive for rotavirus. An increase in the number and
proportion of rotavirus cases attributed to rotavirus season, with positivity
rates >40%, was annually observed during June-September. The median age of
rotavirus patients was 9 months and 939/1207 (78%) rotavirus patients were aged 6
23 months. Most (1097/1203; 91%) rotavirus patients were treated with intravenous
fluids. G1P[8] was the predominant genotype during both years of surveillance,
accounting for 133/222 (60%) of genotyped cases. CONCLUSION: Rotavirus is a major
cause of hospitalization due to severe AGE in children <5 years of age in
Tajikistan, accounting for >40% of cases. Continued, enhanced rotavirus
surveillance may allow documentation of changes in rotavirus disease burden
following vaccine introduction and assessment of vaccine effectiveness.
PMID- 29366711
TI - Screening for recommended antenatal risk factors: How long does it take?
AB - BACKGROUND: Detection and management of antenatal risk factors is critical for
quality care. AIMS: To determine (1) women's views about when they should be
asked about antenatal health factors as recommended in the Australian antenatal
guidelines; and (2) the time required to provide recommended care using a
clinical scenario. METHODS: In Phase 1, pregnant women attending an outpatient
obstetrics clinic at a public hospital were surveyed about preferred screening
for antenatal risk factors during visit(s). In Phase 2, a hypothetical clinical
scenario of a woman attending her first antenatal visit with a practising midwife
was video-recorded to extrapolate the time taken to ask about and offer
assistance to manage clinical, screening and lifestyle risk factors. FINDINGS:
Most women (96%) perceived they should be asked about each of the risk factors at
least once (i.e. at first visit). Total time taken to ask about all risk factors
was 52min. More time was spent discussing clinical (11min) than lifestyle factors
(4min). Adjusting for the estimated prevalence of each risk factor, the time
taken to offer assistance was 8min per woman. Average time required for detecting
and offering assistance to manage risk factors is 60min per average risk woman.
CONCLUSION: Women are willing to be asked about risk factors; however this
process is time-consuming. Strategies to streamline visits and prioritise
recommendations so time-efficient yet comprehensive care can be delivered are
needed, particularly when factors require monitoring over time and for those who
may be 'at-risk' for multiple factors.
PMID- 29366712
TI - Selection of the Best of 2017 in Interventional Cardiology: Revolution in the
Study of Coronary Physiology and New Parameters.
PMID- 29366713
TI - Selection of the Best of 2017 in Congenital Heart Disease.
PMID- 29366714
TI - Achilles and the tortoise: Some caveats to mathematical modeling in biology.
AB - Mathematical modeling has recently become a much-lauded enterprise, and many
funding agencies seek to prioritize this endeavor. However, there are certain
dangers associated with mathematical modeling, and knowledge of these pitfalls
should also be part of a biologist's training in this set of techniques. (1)
Mathematical models are limited by known science; (2) Mathematical models can
tell what can happen, but not what did happen; (3) A model does not have to
conform to reality, even if it is logically consistent; (4) Models abstract from
reality, and sometimes what they eliminate is critically important; (5)
Mathematics can present a Platonic ideal to which biologically organized matter
strives, rather than a trial-and-error bumbling through evolutionary processes.
This "Unity of Science" approach, which sees biology as the lowest physical
science and mathematics as the highest science, is part of a Western belief
system, often called the Great Chain of Being (or Scala Natura), that sees
knowledge emerge as one passes from biology to chemistry to physics to
mathematics, in an ascending progression of reason being purification from
matter. This is also an informal model for the emergence of new life. There are
now other informal models for integrating development and evolution, but each has
its limitations.
PMID- 29366715
TI - Diarrhea and related factors among passengers on world cruises departing from
Japan.
AB - BACKGROUND: Despite growth in the number of cruises worldwide, evidence about
diarrhea experienced by cruise ship passengers remains sparse. We investigated
rates of diarrhea and related factors among passengers on world cruises departing
from Japan. METHODS: Targeting passengers on five world cruises (n = 4180) from
2012 to 2013 (85-103 travel days), we calculated rates of health seeking behavior
for diarrhea by sex, age group, and number of roommates for each cruise. We
estimated rate ratios and 95% confidence intervals, using the group aged 20-39
years, women, and 2-4 roommates as referent categories. RESULTS: We found 5.04
6.00 cases per 10,000 person-days in the five cruises, with an elevated number
after calling at ports. Older passengers (>60 years) and passengers with fewer
roommates had an elevated risk of health seeking behavior for diarrhea, although
passengers aged <20 years had an elevated risk on one cruise. After controlling
for covariates (including cruise), significant associations remained for
passengers aged >60 years and without roommates. CONCLUSIONS: Older passengers
and passengers with fewer roommates may be more likely to seek medical treatment
for diarrhea during travel on a world cruise, and should take preventive
measures.
PMID- 29366716
TI - Spotiton: New features and applications.
AB - We present an update describing new features and applications of Spotiton, a
novel instrument for vitrifying samples for cryoEM. We have used Spotiton to
prepare several test specimens that can be reconstructed using routine single
particle analysis to ~3 A resolution, indicating that the process has no apparent
deleterious effect on the sample integrity. The system is now in routine and
continuous use in our lab and has been used to successfully vitrify a wide
variety of samples.
PMID- 29366717
TI - Gastric sleeve migration conversion to a Roux-en-Y gastric bypass in combination
with wedge fundectomy and paraesophageal hernia repair.
PMID- 29366718
TI - Production of aminoacyl prolines using the adenylation domain of nonribosomal
peptide synthetase with class III polyphosphate kinase 2-mediated ATP
regeneration.
AB - An ATP regeneration system is advantageous for industrial processes that are
coupled with ATP-dependent enzymes. For ATP regeneration from AMP, a few methods
have been reported; however, these methods employ multiple enzymes. To establish
an ATP regeneration system using a single enzyme, we focused on class III
polyphosphate kinase 2 (class III PPK2) that can synthesize ATP from AMP and
polyphosphate. We constructed an ATP regeneration system from AMP using
Deipr_1912, a class III PPK2 from Deinococcus proteolyticus NBRC 101906T, coupled
with aminoacyl proline (Xaa-Pro) synthesis catalyzed by the adenylation domain of
tyrocidine synthetase A (TycA-A). Using this system, 0.87 mM of l-Trp-l-Pro was
successfully synthesized from AMP after 72 h. Farther, addition of inorganic
pyrophosphatase from Escherichia coli to the coupling reaction increased the
reaction rate by 14-fold to yield 6.2 mM l-Trp-l-Pro. When the coupling reaction
was applied to whole-cell reactions in E. coli BL21(DE3) pepQ-putA-, ATP was
successfully regenerated from AMP by Deipr_1912, and 6.7 mM of l-Trp-l-Pro was
produced after 24 h with the supplementation of 10 mM AMP. In addition, by
altering the substrate amino acid of TycA-A, not only l-Trp-l-Pro, but also
various other l-Xaa-l-Pro (Xaa = Val, Leu, Met, or Tyr) were produced using the
whole-cell reaction incorporating ATP regeneration. Therefore, a production
method for Xaa-Pro employing the adenylation domain of a nonribosomal peptide
synthetase was established by introducing an ATP regeneration system that
utilizes class III PPK2 with pyrophosphatase.
PMID- 29366719
TI - Microbe participation in aroma production during soy sauce fermentation.
AB - Soy sauce is a traditional Japanese fermented seasoning that contains various
constituents such as amino acids, organic acids, and volatiles that are produced
during the long fermentation process. Although studies regarding the correlation
between microbes and aroma constituents have been performed, there are no reports
about the influences of the microbial products, such as lactic acid, acetic acid,
and ethanol, during fermentation. Because it is known that these compounds
contribute to microbial growth and to changes in the constituent profile by
altering the moromi environment, understanding the influence of these compounds
is important. Metabolomics, the comprehensive study of low molecular weight
metabolites, is a promising strategy for the deep understanding of constituent
contributions to food characteristics. Therefore, the influences of microbes and
their products such as lactic acid, acetic acid, and ethanol on aroma profiles
were investigated using gas chromatography/mass spectrometry (GC/MS)-based
metabolic profiling. The presence of aroma constituents influenced by microbes
and chemically influenced by lactic acid, acetic acid, and ethanol were proposed.
Most of the aroma constituents were not produced by adding ethanol alone,
confirming the participation of yeast in aroma production. It was suggested that
lactic acid bacterium relates to a key aromatic compound, 2,5-dimethyl-4-hydroxy
3(2H)-furanone. However, most of the measured aroma constituents changed
similarly in both samples with lactic acid bacterium and acids. Thus, it was
clear that the effect of lactic acid and acetic acid on the aroma profile was
significant.
PMID- 29366720
TI - Comparative study of the impact of the administration of Amoxicillin and Algo
Bio(r) alternative substance to antibiotics, on the level of selection of
resistant Enterobacteriaceae in the digestive flora of piglets.
AB - OBJECTIVES: The aim of study was to evaluate by comparative study the level of
selection of antibiotic-resistant Enterobacteriaceae in the digestive microbiota
of piglets when using amoxicillin and Algo-Bio(r). METHODS: Amoxicillin and Algo
Bio(r) administration was carried out over a period of 5 days (D0-D4) at a dose
of 1mL/10kg body weight. A phenotypic study was carried out with enumeration of
resistant Enterobacteriaceae on MacConkey agar plates in the presence and absence
of amoxicillin. Escherichia coli isolates were identified and were subjected to
antimicrobial susceptibility testing. RESULTS: The percentages of amoxicillin
resistant Enterobacteriaceae before treatment ranged from 10-15% for the four
groups of piglets. Following treatment initiation, on the second day (D1) to the
fifth day (D4) of treatment, the percentages increased to 54-87% for the groups
treated with amoxicillin. In the group treated with Algo-Bio(r) and the controls,
the percentages were <50%. The percentage of amoxicillin-resistant E. coli
strains to the associated antibiotics increased during days of amoxicillin
treatment, whereas in the control and Algo-Bio(r) groups the percentages of E.
coli resistant to antibiotics did not increase. CONCLUSION: The results indicated
that Algo-Bio(r) constitutes a good alternative prophylactic to antibiotics to
reduce bacterial growth in the digestive tract of animals.
PMID- 29366721
TI - Vibrio cholerae O1 gaining reduced susceptibility to doxycycline, India.
PMID- 29366722
TI - Antibiotic resistance and breastfeeding: A neglected area of study.
PMID- 29366723
TI - Clinical experience with ceftolozane/tazobactam in patients with serious
infections due to resistant Pseudomonas aeruginosa.
AB - OBJECTIVES: The incidence of infections caused by multidrug-resistant Pseudomonas
aeruginosa (MDR-Pa) has become a concern of increasing relevance nowadays.
Ceftolozane/tazobactam (C/T) is a novel fifth-generation cephalosporin/beta
lactamase inhibitor combination with activity against MDR-Pa. METHODS: The
clinical records of all patients diagnosed from May 2016 to May 2017 with an
infection due to a MDR-Pa treated with C/T were retrospectively reviewed.
RESULTS: A total of 23 patients with 24 episodes of infection due to MDR-Pa were
included. The minimum inhibitory concentration (MIC) of C/T against MDR-Pa ranged
from 0.75-8MUg/mL. In 14 cases (58%) the use of C/T was off-label, including 8
respiratory tract infections (RTIs) and 6 skin and soft-tissue infections, whilst
in 10 cases the use was for approved indications, including 7 urinary tract
infections and 3 intra-abdominal infections. C/T was the first-line therapy in
only three cases with a mean+/-standard deviation treatment duration of 9.3+/-4
days, and it was associated with another active drug (aminoglycoside or colistin)
in 16 cases. The global clinical cure rate was 88% (21/24 episodes), and the 6
week mortality rate was 22% (5/23 patients) being higher in RTIs (37%). In these
infections, three patients received 2/1g every 8h (q8h) and were cured without
mortality, whilst three (60%) of five patients receiving 1/0.5g q8h died.
CONCLUSION: C/T had good clinical responses in different types of infection,
including both FDA-accepted and off-label indications. The results support the
use of higher doses in RTIs.
PMID- 29366725
TI - Performance of the 2012 Systemic Lupus International Collaborating Clinics
classification criteria versus the 1997 American College of Rheumatology
classification criteria in adult and juvenile systemic lupus erythematosus. A
systematic review and meta-analysis.
AB - OBJECTIVE: To evaluate the performance in classifying systemic lupus
erythematosus by the 2012 Systemic Lupus International Collaborating Clinics
criteria (SLICC'12), versus the revised American College of Rheumatology criteria
from 1997 (ACR'97) in adult and juvenile SLE patients. METHODS: A systematic
literature search was conducted in PubMed and Embase for studies comparing
SLICC'12 and ACR'97 with clinical diagnosis. A meta-analysis was performed to
estimate the sensitivity and specificity of SLICC'12 and ACR'97. To assess
classification earlier in the disease by either set, sensitivity and specificity
were compared for patients with disease duration <5years. Sensitivity and
specificity of individual criteria items were also assessed. RESULTS: In adult
SLE (nine studies: 5236 patients, 1313 controls), SLICC'12 has higher sensitivity
(94.6% vs. 89.6%) and similar specificity (95.5% vs. 98.1%) compared to ACR'97.
For juvenile SLE (four studies: 568 patients, 339 controls), SLICC'12
demonstrates higher sensitivity (99.9% vs. 84.3%) than ACR'97, but much lower
specificity (82.0% vs. 94.1%). SLICC'12 classifies juvenile SLE patients earlier
in disease course. Individual items contributing to diagnostic accuracy are low
complement, anti-ds DNA and acute cutaneous lupus in SLICC'12, and the
immunologic and hematologic disorder in ACR'97. CONCLUSION: Based on sensitivity
and specificity SLICC'12 is best for adult SLE. Following the view that higher
specificity, i.e. avoidance of false positives, is preferable, ACR'97 is best for
juvenile SLE even if associated with lower sensitivity. Our results on the
contribution of the individual items of SLICC'12 and ACR'97 may be of value in
future efforts to update classification criteria.
PMID- 29366724
TI - Effects of Community-Based Exercise in Adults With Severe Burns: A Randomized
Controlled Trial.
AB - OBJECTIVE: To investigate the efficacy of community-based exercise programs in
the rehabilitation of adult patients with burns compared with standard of care
(SOC). DESIGN: Randomized controlled trial, with 2:1 randomization. SETTING:
Assessments were performed in a hospital setting. The intervention was performed
in a community setting. PARTICIPANTS: Adult patients (N=45) with >=30% total body
surface area burns were randomized to participate in a community-based exercise
program (n=31) or SOC (n=14). Patient sampling was consecutive and referred.
INTERVENTIONS: The community-based exercise program consisted of 12 weeks of
exercise with a community-based trainer after hospital discharge. The SOC group
did not receive exercise training. MAIN OUTCOME MEASURES: Change in lean body
mass index, peak torque, and peak oxygen consumption from discharge to 12 weeks
postdischarge, presented as mean +/- SE. RESULTS: The community-based exercise
program group showed a significant increase in peak oxygen consumption compared
with SOC (community-based exercise program: Delta=7.723+/-1.522mL/kg/min,
P=.0006; SOC: Delta=2.200+/-1.150mL/kg/min, P=.0765; community-based exercise
program vs SOC, P=.0236). The community-based exercise program group exhibited a
significant within group increase in lean body mass index (Delta=1.107+/
0.431kg/m2, P=.0003; SOC: Delta=1.323+/-0.873kg/m2, P=.2808). Both groups showed
significant within-group increases in peak torque (community-based exercise
program: Delta=35.645+/-7.566Nm, P=.0003; SOC: Delta=34.717+/-11.029Nm, P=.0082).
No significant differences were noted between the 2 groups for lean body mass
index or peak torque. CONCLUSIONS: Patients who participate in a community-based
exercise program show significant improvements in cardiopulmonary fitness
compared with SOC, supporting the use of a community-based exercise program as an
alternative therapy to SOC in adults with severe burns.
PMID- 29366726
TI - Use of an Artificial Ligament Decreases Hip Dislocation and Improves Limb
Function After Total Femoral Prosthetic Replacement Following Femoral Tumor
Resection.
AB - BACKGROUND: Hip dislocation is a major complication of total femoral prosthetic
reconstruction (TFR) after femoral tumor resection. Hip dislocation can occur
because of inadequate functional abductor musculature, inadequate hip capsule
repair, or a long lever arm after total femur replacement. To eliminate the
negative effects of these factors on the risk of hip dislocation, the use of
artificial ligaments may help to increase the stability of the hip joint. We
aimed to determine whether application of an artificial ligament would improve
limb function and active range of movement (ROM) after TFR. METHODS: Fifty-eight
patients who underwent femoral tumor resection and TFR were included. A band
shaped artificial ligament was wrapped spirally around the proximal site of the
total femur prosthesis for periacetabular soft tissue reconstruction in 12
patients. The other 46 patients did not consent to receiving the artificial
ligament. Complications including hip dislocation and infection, limb function,
and active hip ROM were compared between patients who did and did not receive the
artificial ligament. RESULTS: The hip dislocation rate was lower in the patients
who received the artificial ligament. The risk of deep infection did not differ
between groups. The group that received the ligament also achieved better limb
function and active ROM on flexion and abduction. CONCLUSION: Patients treated
with total femur resection and endoprosthetic replacement with an artificial
ligament for periacetabular soft tissue reconstruction had a more stable hip
joint, better limb function, and greater active hip ROM than did patients who did
not receive an artificial ligament.
PMID- 29366727
TI - Response to the Letter to the Editor on "Serum and Wound Vancomycin Levels
Following Intrawound Administration in Primary Total Joint Arthroplasty".
PMID- 29366728
TI - Bariatric Surgery Improves Outcomes After Lower Extremity Arthroplasty in the
Morbidly Obese: A Propensity Score-Matched Analysis of a New York Statewide
Database.
AB - BACKGROUND: The purpose of this study was to compare risks for revision and short
term complications after total joint arthroplasty (TJA) in matched cohorts of
morbidly obese patients, receiving and not receiving prior bariatric surgery.
METHODS: Patients undergoing elective TJA between 1997 and 2011 were identified
in a New York Statewide database, analyzing total knee arthroplasty (TKA) and
total hip arthroplasty (THA) separately. Propensity scores were used to match
morbidly obese patients receiving and not receiving bariatric surgery prior to
TJA. Cox proportional hazard modeling assessed revision risk. Logistic regression
evaluated odds for complications. RESULTS: For TKA, 2636 bariatric surgery
patients were matched to 2636 morbidly obese patients. For THA, 792 bariatric
surgery patients were matched to 792 morbidly obese patients. Matching balanced
all covariates. Bariatric surgery reduced co-morbidities prior to TJA (TKA P <
.0001; THA P < .005). Risks for in-hospital complications were lower for THA and
TKA patients receiving prior bariatric surgery (odds ratio [OR] 0.25, P < .001;
and OR = 0.69, P = .021, respectively). Risks for 90-day complications were lower
for TKA (OR 0.61, P = .002). Revision risks were not different for either THA (P
= .634) or TKA (P = .431), nor was THA dislocation risk (P = 1.000). CONCLUSION:
After accounting for relevant selection biases, bariatric surgery prior to TJA
was associated with reduced co-morbidity burden at the time of TJA and with
reduced post-TJA complications. However, bariatric surgery did not reduce the
risk for revision surgery for either TKA or THA.
PMID- 29366729
TI - Accuracy of Digital Tomosynthesis With Metal Artifact Reduction for Detecting
Osteointegration in Cementless Hip Arthroplasty.
AB - BACKGROUND: Cementless hip arthroplasty is increasingly gaining popularity
worldwide. Radiologic identification of osteointegration is key to confirming
biologic fixation. We conducted the study reported here to determine the
sensitivity and specificity of digital tomosynthesis with metal artifact
reduction (TMAR), radiography, and conventional computed tomography in detecting
osteointegration in cementless hip arthroplasty. METHODS: We prospectively
included data for 24 patients who underwent revision hip arthroplasty in our
hospital, with 13 femoral and 14 acetabular cementless components retrieved that
contained solid evidence of biologic fixation. All patients underwent 3
examinations before surgery, and evidence of osteointegration on retrieved
prostheses was used as the reference standard. Seven orthopedic surgeons
evaluated these images independently using uniform criteria. RESULTS: On the
femoral side, the sensitivity and specificity of detecting osteointegration were
73.8% +/- 4.6% and 94.3% +/- 1.5%, respectively, for TMAR; 50.4% +/- 5.3% and
87.8% +/- 2.1%, respectively, for radiography; and 36.4% +/- 5.1% and 90.9% +/-
1.9%, respectively, for CT. On the cup side, the corresponding values were 60.2%
+/- 8.3% and 86.4% +/- 5.7%, respectively, for TMAR; 45.9% +/- 8.5% and 66.4% +/-
7.8%, respectively, for radiography; and 45.1% +/- 8.5% and 73.5% +/- 7.3%,
respectively, by computed tomography. CONCLUSION: TMAR significantly improved the
accuracy osteointegration detection in cementless hip arthroplasty (P < .017).
PMID- 29366730
TI - Preoperative Canal Bone Ratio is Related to High-Degree Stress Shielding: A
Minimum 5-Year Follow-Up Study of a Proximally Hydroxyapatite-Coated Straight
Tapered Titanium Femoral Component.
AB - BACKGROUND: Few studies have reported risk factors for stress shielding. We
sought to evaluate clinical and radiographic outcomes of a proximally
hydroxyapatite-coated straight tapered titanium femoral component stem during a
minimum 5-year follow-up and identify factors associated with high-degree stress
shielding. METHODS: A total of 156 total hip arthroplasties (n = 124; 98 women
and 26 men; mean age, 56.5 years) with a minimum follow-up period of 5 years were
included in the study. Clinical and radiologic measurements at follow-up (range,
5-16.3 years; mean, 10.2 years) were analyzed. RESULTS: The mean preoperative
Harris Hip Score (HHS) was 56.6, and the mean HHS at the latest follow-up was
considerably improved at 86.8. Stress shielding at the latest follow-up was low
degree in 136 (87%) hips and high degree in 20 (13%) hips. On average, high
degree stress shielding occurred 9.9 years (5-14 years) after surgery. The
results of Cox proportional hazard analysis showed that a canal bone ratio >=
0.49 was an independent risk factor for high-degree stress shielding (P = .0075;
hazard ratio, 3.981). HHS at the latest follow-up was significantly lower in high
degree stress shielding than in low-degree stress shielding (80.7 and 87.7,
respectively; P = .0030). CONCLUSION: Preoperative canal bone ratio >= 0.49 is
independently associated with high-degree stress shielding. In addition, the
number of cases with high-degree stress shielding significantly increased over
time during a mean 10-year follow-up.
PMID- 29366731
TI - Acute interruption of treatment with nandrolone decanoate is not sufficient to
reverse cardiac autonomic dysfunction and ventricular repolarization disturbances
in rats.
AB - Anabolic androgenic steroids are a class of synthetic compounds derived from
testosterone, eventually used by athletes, to improve physical performance.
However, anabolic steroids can also modify normal cardiovascular function. Thus,
we investigated cardiac electrophysiological and autonomic abnormalities in rats,
through a electrocardiographic variability protocol during and after interruption
of administration of nandrolone decanoate (DECA) anabolic steroid. Twenty male
Wistar rats (60-70 days old) received DECA (10 mg. kg-1i.m) once a week or
vehicle, during eight weeks. Electrocardiogram was recorded in conscious rats by
a noninvasive method, and time and domain analysis of heart rate variability as
well as electrocardiogram intervals (QTc / QTd) were performed. Body mass was
lower in treated rats compared to control after 4th and 8th weeks, but not at the
end of 14th week. QTc and QTd were longer in DECA group compared to control on
4th, 8th, 11th, but equal on 14th week. Cardiac autonomic dysfunction (vagal
attenuation) was present on DECA group after 4th week and did not normalize after
interruption of treatment. The animals of DECA group showed a correlation between
attenuated parasympathetic modulation and increased correct QT interval. Our data
allow us to conclude that long-term treatment with DECA impairs autonomic cardiac
physiology, predisposing to cardiovascular risk and sudden death, and
interruption of administration does not recovery the normality immediately.
PMID- 29366732
TI - Allergy and mental health among pregnant women in the Japan Environment and
Children's Study.
PMID- 29366733
TI - Improved glycemic control with once-weekly dulaglutide in addition to insulin
therapy in type 2 diabetes mellitus patients on hemodialysis evaluated by
continuous glucose monitoring.
AB - AIMS: To evaluate the efficacy and safety of adding once-weekly dulaglutide to
insulin therapy in type 2 diabetes mellitus (T2DM) patients on hemodialysis.
METHODS: Fifteen insulin-treated T2DM patients on hemodialysis were enrolled.
Continuous glucose monitoring was performed before (1st hospitalization) and
after the fifth dulaglutide administration (2nd hospitalization). The insulin
dose was reduced after the first administration of dulaglutide (1st
hospitalization day 6). Parameters of glycemic control were compared on 1st
hospitalization days 4-5, 2nd hospitalization days 3-4, and days 6-7. RESULTS:
The median total daily insulin dose was reduced significantly from 12 (12-25) to
0 (0-12) U (p < 0.0001) after treatment with dulaglutide. Mean glucose level on
2nd hospitalization days 3-4 significantly decreased and that on days 6-7 tended
to decrease compared with that on 1st hospitalization days 4-5 (median, 8.2 to
6.7 mmol/L, P = 0.006 and 8.2 to 6.9 mmol/L, P = 0.053, respectively). %CV of
glucose levels decreased significantly after dulaglutide administration (28.1 to
19.8, P = 0.003 and 28.1 to 21.0, P = 0.019). However, the incidence of
hypoglycemia remained unchanged. CONCLUSIONS: Dulaglutide may improve glycemic
control and excursion and allow total daily insulin to be reduced without
increasing the risk of hypoglycemia in T2DM patients on hemodialysis.
PMID- 29366734
TI - Left ventricular systolic dysfunction predicts long-term major microvascular
complication outcomes in type 1 diabetes. The Pittsburgh Epidemiology of Diabetes
Complications (EDC) study of childhood onset diabetes.
AB - OBJECTIVES: We aimed to assess association between abnormal LVEF, in the absence
of coronary artery disease (CAD), and 25-year incidence of major outcomes of
diabetes (MOD) in a cardiology substudy of the Pittsburgh Epidemiology of
Diabetes Complications cohort of childhood-onset type 1 diabetes. METHODS: 115
normotensive type 1 diabetes individuals without known CAD, underwent a baseline
exercise radionuclide ventriculography. Abnormal LVEF was defined as a resting
ejection fraction <50% or a failure to increase ejection fraction with exercise
by >5% (men) or a fall in ejection fraction with exercise (women). Cox
proportional hazards models were used to predict the composite endpoint of MOD
(first instance of major CAD, stroke, end-stage renal disease, blindness,
amputation or diabetes-related death). RESULTS: Mean baseline age was 28 and
diabetes duration 19 years. In a mean follow-up of 19 years, 50 MOD events were
identified. Allowing for established risk factors at baseline, abnormal LVEF (n =
22) independently predicted MOD incidence (HR = 2.12, 95% CI: 1.12-4.00, p =
0.022) but not major CAD (HR = 1.33, 95% CI: 0.53-3.33, p = 0.539). CONCLUSIONS:
An abnormal LVEF may identify diabetic cardiomyopathy and predict long term risk
of MOD (but not CAD alone) in type 1 diabetes individuals, consistent with it
reflecting microvascular disease.
PMID- 29366735
TI - New 1,3-benzodioxole derivatives: Synthesis, evaluation of in vitro
schistosomicidal activity and ultrastructural analysis.
AB - Schistosomiasis is considered a serious public health problem in 78 countries and
territories located in Africa, Asia and America and it is estimated in more than
249 million people infected by any of the species of Schistosoma. The exclusive
use of praziquantel (PZQ), effective drug against all species of Schistosoma, has
been the basis of the development of a possible resistance against the strains of
this parasite. In addition, PZQ is not effective against young forms of worms.
Thus, there is a need for the development of new drugs with schistosomicidal
activity. The objective of this work was to synthesize and to evaluate the
therapeutic potential of new benzodioxole derivatives (3-14) candidates for
schistosomicidal drugs. All compounds synthesized showed in vitro
schistosomicidal activity. The derivative 12 was considered the best compound,
since it took 100% of worms to mortality in the first 72 h of exposure at the
concentration of 100 MUM and 83.3% at the concentration of 50 MUM. Furthermore,
male and female adult worms, incubated for 24 h with the compound 12 showed
tegument damages characterized by extensive desquamation and edema, tuber
destruction, bubble formation and exposure of the muscle layer. This compound has
a restricted structure, where the thiazolidinone is attached to the 4-position of
the 1,3-benzodioxol ring. The structural conformation of derivative 12 was
probably responsible for the promising schistosomicidal activity, where the
presence of an electron/conformational restriction of the thiazolidine ring, as
well as the action of bromine as a bulk substitute, favored an increase in
biological activity. In addition, tegumentary changes caused by derivative 12 may
also have been responsible for the death of adult worms of Schistosoma mansoni.
Therefore, we verified that the results obtained in this study make benzodioxole
derivatives possible candidates for prototypes of new schistosomicidal drugs.
PMID- 29366736
TI - Epidemiologist's view: Addressing the epilepsy surgery treatment gap with
minimally-invasive techniques.
AB - Despite the fact that epilepsy surgery is both safe and effective, a considerable
"surgical treatment gap" remains in that most persons who are eligible for
surgery do not receive it. It has been argued that epilepsy surgery is one of the
most underutilized of all accepted medical treatments in the world. In this
article, we review the epidemiology of the epilepsy surgery treatment gap, and
consider the role minimally-invasive epilepsy surgery may play in reducing this
gap.
PMID- 29366737
TI - On the quantitative phase analysis and amorphous content of triacylglycerols
materials by X-ray Rietveld method.
AB - The characterization of fat components becomes very useful for formulation of
shortening, margarines and fat products due to their unique properties of
plasticity, texture, solubility, and aeration. However, X-ray diffraction
experiments on such materials are usually limited to a qualitative evaluation of
the polymorphic properties based only on the characteristic d-spacing peak
intensities. In this work, interesting results based on the Rietveld Method have
supported both a Quantitative Phase Analysis and Degree of Crystallinity study on
industrial and academic appealing samples, such as triacylglycerol standards,
fully hydrogenated vegetable oils (hardfats) and cocoa butter. This useful
approach to the area of oils and fats can provide valuable information about the
polymorphism and its relationship to the application of lipid materials in food
science and technology. Here, the discrimination between beta and beta'
polymorphs on samples made of mixtures or blended hardfats was attained, and the
results have shown a relevant contrast in comparison to a purely qualitative
approach. Assessment of amorphous content on cocoa butter samples was achieved by
isolating its contribution from the total X-ray diffraction background via
mathematical tools during the whole pattern fitting.
PMID- 29366738
TI - Could age and aging change the host response to systemic parasitic infections? A
systematic review of preclinical evidence.
AB - The impact of age and aging in the evolution of systemic parasitic infections
remains poorly understood. We conducted a systematic review from preclinical
models of Chagas disease, leishmaniasis, malaria, sleeping sickness and
toxoplasmosis. From a structured and comprehensive search in electronic
databases, 29 studies were recovered and included in the review. Beyond the
characteristics of the experimental models, parasitological and immunological
outcomes, we also discussed the quality of current evidence. Our findings
indicated that throughout aging, parasitemia and mortality were consistently
reduced in Chagas disease and malaria, but were similar or increased in
leishmaniasis and highly variable in toxoplasmosis. While a marked humoral
response in older animals was related to the anti-T. cruzi protective phenotype,
cellular responses mediated by a polarized Th1 phenotype were associated with a
more effective defense against Plasmodium infection. Conversely, in
leishmaniasis, severe infections and high mortality rates were potentially
related to attenuation of humoral response and an imbalance between Th1 and Th2
phenotypes. Due to the heterogeneous parasitological outcomes and limited
immunological data, the role of aging on toxoplasmosis evolution remains unclear.
From a detailed description of the methodological bias, more controlled
researches could avoid the systematic reproduction of inconsistent and poorly
reproducible experimental designs.
PMID- 29366739
TI - A Comparison of Radiofrequency-Based Microtenotomy and Arthroscopic Release of
the Extensor Carpi Radialis Brevis Tendon in Recalcitrant Lateral Epicondylitis:
A Prospective Randomized Controlled Study.
AB - PURPOSE: To compare the clinical effects of radiofrequency (RF)-based
microtenotomy and arthroscopic release of the extensor carpi radialis brevis
(ECRB) tendon in patients with recalcitrant lateral epicondylitis through a
prospective randomized controlled study. METHODS: A total of 46 patients were
randomly assigned to receive arthroscopic release (group A, 24 patients) or RF
based microtenotomy (group B, 22 patients). The visual analog scale (VAS) score
for pain, flexion-extension arc, operation time, Disabilities of the Arm,
Shoulder, and Hand questionnaire (DASH), Mayo Elbow Performance Score (MEPS), and
grip power of groups A and B were compared during the recovery phases for up to 2
postoperative years. RESULTS: Both groups showed statistically significant
functional improvement compared with their preoperative grip strength and DASH,
VAS, and MEPS scores at 2 years after surgery (P < .05). There were no
differences in postoperative pain relief or functional restoration between the 2
groups during the recovery phases, however the mean operation time for group B
(41.4 +/- 5.2 minutes) was significantly shorter than that for group A (15.6 +/-
3.6 minutes, P < .001). In group B, 1 patient underwent revision surgery due to
postoperative ECRB rupture, and 1 patient in group A underwent open release for
persistent postoperative discomfort. CONCLUSIONS: RF-based microtenotomy for
treating recalcitrant lateral epicondylitis provided clinical outcomes comparable
with those from arthroscopic release of ECRB tendon during the recovery phase. RF
based microtenotomy is considered as one of the surgical procedures for treating
recalcitrant lateral epicondylitis, with the advantages of reliable elbow
functional restoration and significantly shorter operation time. LEVEL OF
EVIDENCE: Level I, prospective randomized trial.
PMID- 29366740
TI - Knee Osteoarthritis After Anterior Cruciate Ligament Reconstruction With Bone
Patellar Tendon-Bone Versus Hamstring Tendon Autograft: A Systematic Review of
Randomized Controlled Trials.
AB - PURPOSE: The primary purpose of this study was to systematically review high
quality studies in the literature to compare the postoperative radiographic
incidence of knee osteoarthritis (OA) after anterior cruciate ligament
reconstruction (ACLR) with bone-patellar tendon-bone (BPTB) versus hamstring
tendon (HT) autograft. The secondary purpose of this study was to compare other
symptoms of postoperative knee OA between these 2 groups through patient-reported
outcome scores and knee range of motion. METHODS: A systematic review was
performed by searching PubMed, Embase, and Cochrane Library to locate randomized
controlled trials that compared postoperative progression of knee OA in patients
who had undergone ACLR with BPTB versus HT autograft. Search terms used were
"anterior cruciate ligament reconstruction," "patellar tendon," "hamstring,"
"randomized," and "osteoarthritis." Patients were assessed based on radiographic
evaluation (Kellgren-Lawrence, Ahlback, Fairbank, and the Objective International
Knee Documentation Committee scales), patient-reported outcome scores (Knee
Injury and Osteoarthritis Outcome Score and visual analog scale scores), graft
failure, and active knee flexion and extension deficit. RESULTS: Eight studies (6
Level I, 2 Level II) were identified that met inclusion criteria, including a
total of 237 and 268 nonoverlapping patients who had undergone ACLR with BPTB and
HT autograft, respectively, with a mean follow-up of 11.5 years (range, 3-16
years). Graft failure was experienced by 7.0% of patients in each group (P =
.99). A Kellgren-Lawrence grade >=2 was found in 52.0% and 51.0% of BPTB and HT
autograft patients, respectively (P = .85). An Ahlback and Fairbank grade >=2 was
found in 5.0% and 8.4% of BPTB and HT autograft patients, respectively (P = .36).
There were no significant differences in any patient-reported outcomes between
groups within any study. CONCLUSIONS: Patients undergoing ACLR with BPTB
autograft or HT autograft can be expected to experience a similar incidence of
postoperative knee OA at long-term follow-up. LEVEL OF EVIDENCE: Level II,
systematic review of Level I and II studies.
PMID- 29366741
TI - Graft Choice in Isolated Medial Patellofemoral Ligament Reconstruction: A
Systematic Review With Meta-analysis of Rates of Recurrent Instability and
Patient-Reported Outcomes for Autograft, Allograft, and Synthetic Options.
AB - PURPOSE: To determine whether graft selection or patient age affects the
following after isolated medial patellofemoral ligament (MPFL) reconstruction:
(1) rates of recurrent instability, (2) rates of postoperative complications
(other than instability), and (3) subjective symptom improvement. METHODS: A
systematic search identified studies reporting outcomes for isolated MPFL
reconstruction. Rates of recurrent instability, subjective Kujala knee function
scores, and complications were tabulated. Symptom improvement was defined as
change in Kujala score (preoperative evaluation to final follow-up). RESULTS:
Forty-five studies were included with 27 documented cases of recurrent
instability among 1,504 patients (1.8%); instability rates ranged from 0% to
20.0% overall; among autograft in adults, 0% to 11.1% (1.4%, 18/1,260); among
autograft in adolescents, 0% to 20% (10.0%, 8/80); among allograft, 0% (0/65
cases); and among synthetic, 0% to 3.3% (1.3%, 1/76). Among autograft choices in
adults, rates of recurrent instability were low; recurrence with gracilis ranged
from 0% to 11.1% (0.9%, 1/116); with semitendinosus, 0% to 6.3% (0.6%, 4/676);
with quad or patellar tendon, 0% (0/65); and with adductor tendon, 5.6% to 8.3%
(6.7%, 2/30). Complication rates ranged from 0% to 34.4%. All included studies
reported significant improvement in Kujala scores after surgery (P < .01). There
was significant heterogeneity in effect size and evidence of reporting bias among
small studies, precluding reliable pooled analysis of treatment effect.
CONCLUSIONS: Autograft is not superior to allograft or synthetic grafts for
isolated reconstruction of the MPFL, and rates of recurrent instability are
generally low. Isolated MPFL reconstruction can provide significant symptom
relief regardless of graft selection, although there is a bias toward reporting
better than expected results among smaller studies. Pediatric patients and
patients treated with adductor tendon autograft have higher recurrent instability
rates. While caution should be used in making definitive recommendations
secondary to the small number of allograft and synthetic studies, selection of
graft type based on surgeon preference, comfort, and prior experience remains
appropriate. LEVEL OF EVIDENCE: Level IV, systematic review of Level I to IV
studies.
PMID- 29366742
TI - Utility of Modern Arthroscopic Simulator Training Models: A Meta-analysis and
Updated Systematic Review.
AB - PURPOSE: To determine the utility of modern arthroscopic simulators in
transferring skills learned on the model to the operating room. METHODS: A meta
analysis and systematic review of all English-language studies relevant to
validated arthroscopic simulation models using PRISMA (Preferred Reporting Items
for Systematic Reviews and Meta-analyses) guidelines from 1999 to 2016 was
performed. Data collected included the specific simulator model, the joint used,
participant demographic characteristics, participant level of training, training
session information, type and number of tasks, pre- and post-training
assessments, and overall outcomes of simulator performance. Three independent
reviewers analyzed all studies. RESULTS: Fifty-seven studies with 1,698
participants met the study criteria and were included. Of the studies, 25 (44%)
incorporated an arthroscopic training program into the study methods whereas 32
(56%) did not. In 46 studies (81%), the studies' respective simulator models were
used to assess arthroscopic performance, whereas 9 studies (16%) used Sawbones
models, 8 (14%) used cadaveric models, and 4 (7%) evaluated subject performance
on a live patient in the operating room. In 21 studies (37%), simulator
performance was compared with experience level, with 20 of these (95%) showing
that clinical experience correlated with simulator performance. In 25 studies
(44%), task performance was evaluated before and after simulator training, with
24 of these (96%) showing improvement after training. All 4 studies that included
live-patient arthroscopy reported improved operating room performance after
simulator training compared with the performance of subjects not participating in
a training program. CONCLUSIONS: This review suggests that (1) training on
arthroscopic simulators improves performance on arthroscopic simulators and (2)
performance on simulators for basic diagnostic arthroscopy correlates with
experience level. Limited data suggest that simulator training can improve basic
diagnostic arthroscopy skills in vivo. LEVEL OF EVIDENCE: Level IV, systematic
review of Level I through IV studies.
PMID- 29366743
TI - Comparison of Tibiofemoral Contact Mechanics After Various Transtibial and All
Inside Fixation Techniques for Medial Meniscus Posterior Root Radial Tears in a
Porcine Model.
AB - PURPOSE: To compare tibiofemoral contact mechanics after fixation for medial
meniscus posterior root radial tears (MMPRTs). METHODS: Seven fresh knees from
mature pigs were used. Each knee was tested under 5 conditions: normal knee,
MMPRT, pullout fixation with simple sutures, fixation with modified Mason-Allen
sutures, and all-inside fixation using Fastfix 360. The peak contact pressure and
contact surface area were evaluated using a capacitive sensor positioned between
the meniscus and tibial plateau, under a 1,000-N compression force, at different
flexion angles (0 degrees , 30 degrees , 60 degrees , and 90 degrees ). RESULTS:
The peak contact pressure was significantly higher in MMPRTs than in normal knees
(P = .018). Although the peak contact pressure decreased significantly after
fixation at all flexion angles (P = .031), it never recovered to the values noted
in the normal meniscus. No difference was observed among fixation groups (P =
.054). The contact surface area was significantly lower in MMPRTs than in the
normal meniscus (P = .018) and increased significantly after fixation at all
flexion angles (P = .018) but did not recover to within normal limits. For all
flexion angles except 60 degrees , the contact surface area was significantly
higher for fixation with Mason-Allen sutures than for fixation with simple
sutures or all-inside fixation (P = .027). At 90 degrees of flexion, the contact
surface area was significantly better for fixation with simple sutures than for
all-inside fixation (P = .031). CONCLUSIONS: The peak contact pressure and
contact surface area improved significantly after fixation, regardless of the
fixation method, but did not recover to the levels noted in the normal meniscus
after any type of fixation. Among the fixation methods evaluated in this time 0
study, fixation using modified Mason-Allen sutures provided a superior contact
surface area compared with that noted after fixation using simple sutures or all
inside fixation, except at 60 degrees of flexion. However, this study had
insufficient power to accurately detect the differences between the outcomes of
various fixation methods. CLINICAL RELEVANCE: Our results in a porcine model
suggest that fixation can restore tibiofemoral contact mechanics in MMPRT and
that fixation with a locking mechanism leads to superior biomechanical
properties.
PMID- 29366744
TI - Growth Factors Levels Determine Efficacy of Platelets Rich Plasma Injection in
Knee Osteoarthritis: A Randomized Double Blind Noninferiority Trial Compared With
Viscosupplementation.
AB - PURPOSE: To assess the noninferiority of a single platelet-rich plasma (PRP)
injection compared with hyaluronic acid (HA), to alleviate pain and enhance
functional capacity in knee osteoarthritis, and identify biological
characteristics of PRP that may affect their efficacy. METHODS: Fifty-four
patients with symptomatic knee osteoarthritis received a single injection of
either PRP (26 patients) or HA (28 patients). They were assessed at baseline and
at 1, 3, and 6 months. The primary endpoint was the change in Western Ontario and
McMaster Universities Arthritis Index (WOMAC) score at 3 months, and secondary
endpoints were responders' rate (improvement of at least 5 points or 40% of WOMAC
total score at 3 months) of pain evaluation and patient's subjective
satisfaction. Cell counts and the contents of vascular endothelial growth factor
(VEGF), platelet-derived growth factor-AB (PDGF-AB), transforming growth factor
beta 1 (TGF-beta1) content of injected PRP were assessed to analyze their
relationship with clinical outcome. RESULTS: Both treatments proved their
improvement in knee functional status and symptom relief, with a significant
decrease observed at 1 month on all scores except for pain VAS in PRP group and
WOMAC function score in the HA group. No difference between groups regarding
WOMAC and VAS scores was observed. A higher percentage of responders was observed
in the PRP group (72.7%) than in the HA group (45.8%) without significance (P =
.064). The quantity of injected PDGF-AB and TGF-beta1 correlated with the change
in WOMAC scores at 3 months and was lower in responders than in nonresponders (P
= .009 and P = .003, respectively). CONCLUSIONS: Current results indicated that a
single injection of very pure PRP offers a significant clinical improvement in
the management of knee osteoarthritis, equivalent to a single HA injection in
this patient population. Moreover, a significant correlation between the doses of
TGF-beta1 and PDGF-AB and the worsening of WOMAC score 3 months after the
procedure was found. LEVEL OF EVIDENCE: Level II, randomized double blind
controlled trial.
PMID- 29366745
TI - Glycyrrhizic acid ameliorates the kynurenine pathway in association with its
antidepressant effect.
AB - Our previous study implied the role of central high mobility group box 1 (HMGB1)
in lipopolysaccharide (LPS)-induced depressive-like behaviors that could
partially abrogate by glycyrrhizic acid (GZA). Here, we considered the potential
mechanism underlying GZA ameliorating chronic stress-induced depression both in
vivo and in vitro. Depression model was established with the 4-week chronic
unpredictable mild stress (CUMS) mice. Sucrose preference test, tail suspension
test and open field test were performed to reflect depressive-like behaviors.
Enzyme activity of indoleamine-2,3-dioxygenase (IDO) was recorded with the ratio
of kynurenine (KYN) / tryptophan (Trp). Transcription of gene was evaluated by RT
PCR. Along with depressive-like behaviors, IDO, the rate-limiting enzyme of the
kynurenine pathway (KP), was upregulated at the level of mRNA expression, and
enzyme activity was also elevated in stressed hippocampi and LPS/HMGB1-treated
hippocampus slices. Treatment of mice with GZA, the inhibitor of HMGB1, prevented
the activated enzymes in KP and the development of depressive-like behaviors.
These experiments demonstrate that GZA may restrain HMGB1 thus improving chronic
stress-induced depressive behavior through regulating KP.
PMID- 29366746
TI - How Cardiac Anesthesiology Can Help "STEM" the Tide of Under-representation of
Minorities in Science and Medicine.
AB - The field of medicine is built upon science, technology, engineering, and math
(STEM), yet the United States is rapidly falling behind when it comes to
educating the next generation in these disciplines, especially under-represented
populations. The authors reflect on existing educational literature surrounding
efforts to promote interest in STEM among students and under-represented
populations. The authors advocate for greater efforts toward the development of
youth programing. Cardiac anesthesia is uniquely positioned as a subspecialty to
advance the goal of promoting interest in STEM in diverse groups of young
students. The authors describe their development and implementation of a
community outreach program to enhance interest in medicine through a cardiac
dissection experience.
PMID- 29366747
TI - Ghrelin potentiates cardiac reactivity to stress by modulating sympathetic
control and beta-adrenergic response.
AB - : Prior evidence indicates that ghrelin is involved in the integration of
cardiovascular functions and behavioral responses. Ghrelin actions are mediated
by the growth hormone secretagogue receptor subtype 1a (GHS-R1a), which is
expressed in peripheral tissues and central areas involved in the control of
cardiovascular responses to stress. AIMS: In the present study, we assessed the
role of ghrelin - GHS-R1a axis in the cardiovascular reactivity to acute
emotional stress in rats. MAIN METHODS AND KEY FINDINGS: Ghrelin potentiated the
tachycardia evoked by restraint and air jet stresses, which was reverted by GHS
R1a blockade. Evaluation of the autonomic balance revealed that the sympathetic
branch modulates the ghrelin-evoked positive chronotropy. In isolated hearts, the
perfusion with ghrelin potentiated the contractile responses caused by
stimulation of the beta-adrenergic receptor, without altering the amplitude of
the responses evoked by acetylcholine. Experiments in isolated cardiomyocytes
revealed that ghrelin amplified the increases in calcium transient changes evoked
by isoproterenol. SIGNIFICANCE: Taken together, our results indicate that the
Ghrelin-GHS-R1a axis potentiates the magnitude of stress-evoked tachycardia by
modulating the autonomic nervous system and peripheral mechanisms, strongly
relying on the activation of cardiac calcium transient and beta-adrenergic
receptors.
PMID- 29366748
TI - Exercise training versus T3 and T4 hormones treatment: The differential benefits
of thyroid hormones on the parasympathetic drive of infarcted rats.
AB - AIMS: This study aimed to investigate whether beneficial effects of thyroid
hormones are comparable to those provided by the aerobic exercise training, to
verify its applicability as a therapeutic alternative to reverse the pathological
cardiac remodeling post-infarction. MATERIALS AND METHODS: Male rats were divided
into SHAM-operated (SHAM), myocardial infarction (MI), MI subjected to exercise
training (MIE), and MI who received T3 and T4 treatment (MIH) (n = 8/group). MI,
MIE and MIH groups underwent an infarction surgery while SHAM was SHAM-operated.
One-week post-surgery, MIE and MIH groups started the exercise training protocol
(moderate intensity on treadmill), or the T3 (1.2 MUg/100 g/day) and T4 (4.8
MUg/100 g/day) hormones treatment by gavage, respectively, meanwhile SHAM and MI
had no intervention for 9 weeks. The groups were accompanied until 74 days after
surgery, when all animals were anesthetized, left ventricle echocardiography and
femoral catheterization were performed, followed by euthanasia and left ventricle
collection for morphological, oxidative stress, and intracellular kinases
expression analysis. KEY FINDINGS: Thyroid hormones treatment was more effective
in cardiac dilation and infarction area reduction, while exercise training
provided more protection against fibrosis. Thyroid hormones treatment increased
the lipoperoxidation and decreased GSHPx activity as compared to MI group,
increased the t-Akt2 expression as compared to SHAM group, and increased the
vascular parasympathetic drive. SIGNIFICANCE: Thyroid hormones treatment provided
differential benefits on the LV function and autonomic modulation as compared to
the exercise training. Nevertheless, the redox unbalance induced by thyroid
hormones highlights the importance of more studies targeting the ideal duration
of this treatment.
PMID- 29366749
TI - Cathepsin B inhibition attenuates cardiovascular pathology in
mucopolysaccharidosis I mice.
AB - Mucopolysaccharidosis type I (MPS I) is a lysosomal storage disorder with
multisystemic features, including heart enlargement, heart valve dysfunction, and
aortic stiffness and dilatation. Previous studies have shown that MPS I mice
overexpress cathepsin B (CtsB) in multiple tissues, including those from the
cardiovascular system. Here, we hypothesized that inhibition of CtsB could
ameliorate cardiac function parameters, as well as aorta and valve abnormalities
found in MPS I. First, we found that total elastase activity in an MPS I aorta is
elevated. Following that, we demonstrated that CtsB leaks from the lysosome in
MPS I human fibroblasts, possibly acting as a degradative agent of extracellular
matrix components from the aorta, cardiac muscle, and heart valves. We then used
a CtsB inhibitor in vivo in the MPS I mouse model. After 4 months of treatment,
partial inhibition of CtsB activity in treated mice reduced aortic dilatation, as
well as heart valve thickening, and led to improvements in cardiac function
parameters, although none of these were completely normalized. Based on these
results, we conclude that lysosomal alterations in this disease promote leakage
of CtsB to outside the organelle, where this protein can have multiple
pathological roles. CtsB inhibition improved cardiovascular parameters in MPS I
mice and can have a potential benefit in this disease.
PMID- 29366750
TI - Defining the molecular signatures of human right heart failure.
AB - AIMS: Right ventricular failure (RVF) varies significantly from the more common
left ventricular failure (LVF). This study was undertaken to determine potential
molecular pathways that are important in human right ventricular (RV) function
and may mediate RVF. MATERIALS AND METHODS: We analyzed mRNA of human non-failing
LV and RV samples and RVF samples from patients with pulmonary arterial
hypertension (PAH), and post-LVAD implantation. We then performed transcript
analysis to determine differential expression of genes in the human heart
samples. Immunoblot quantification was performed followed by analysis of non
failing and failing phenotypes. KEY FINDINGS: Inflammatory pathways were more
commonly dysregulated in RV tissue (both non-failing and failing phenotypes). In
non-failing human RV tissue we found important differences in expression of FIGF,
TRAPPAC, and CTGF suggesting that regulation of normal RV and LV function are not
the same. In failing RV tissue, FBN2, CTGF, SMOC2, and TRAPP6AC were
differentially expressed, and are potential targets for further study.
SIGNIFICANCE: This work provides some of the first analyses of the molecular
heterogeneity between human RV and LV tissue, as well as key differences in human
disease (RVF secondary to pulmonary hypertension and LVAD mediated RVF). Our
transcriptional data indicated that inflammatory pathways may be more important
in RV tissue, and changes in FIGF and CTGF supported this hypothesis. In PAH RV
failure samples, upregulation of FBN2 and CTGF further reinforced the potential
significance that altered remodeling and inflammation play in normal RV function
and failure.
PMID- 29366751
TI - The temporal dynamics of waiting when reward is increasing.
AB - The temporal dynamics of waiting are complex. The present study used a video game
involving contingencies that produced differential reinforcement of wait times by
arranging for the magnitude of the reward to be related to the duration of each
inter-response time. In previous research, when outcomes were gradually
increasing in value from a minimum to a maximum, two modes of behavior are
observed: waiting as little as possible before cashing in (i.e., responding
rapidly) or waiting until the maximum is available (i.e., responding slowly).
When outcomes were either a smaller sooner or larger later reward, two modes of
behavior were again observed which corresponded to choosing either reward
immediately after it was available. In the present study, outcome values
increased linearly for a period of time, leveled off, increased abruptly, and
then increased linearly. This configuration produced three modes of wait times in
people - responding immediately, responding immediately after the abrupt
increase, and responding when the maximum possible reward was achieved on each
trial. Three factors were evaluated as possible causes of each behavioral mode, a
desire for immediacy or action, the trade-off between molecular and molar
maximization, and ease of responding.
PMID- 29366752
TI - Comparison of potentially real versus hypothetical food outcomes in delay and
probability discounting tasks.
AB - Much of the research on human delay and probability discounting involves the use
of hypothetical outcomes, in which participants indicate preferences for outcomes
but do not receive them. Research generally shows that hypothetical and
potentially real outcomes are discounted at similar rates. One study, however,
shows that potentially real cigarettes are discounted more steeply than
hypothetical cigarettes in smokers, calling into question the generality of the
finding that potentially real and hypothetical money are discounted at similar
rates. Using a within-subject design, we tested the extent to which potentially
real and hypothetical monetary (Experiment 1) and food-related (Experiment 2)
outcomes were discounted at similar rates. We found mixed results for monetary
outcomes, in that potentially real outcomes were discounted more steeply than
hypothetical outcomes when all participants were included; however, this effect
disappeared when only systematic responders were used. In addition, potentially
real and hypothetical monetary outcomes were significantly correlated. For food
related outcomes, we found robust and consistent effects that potentially real
and hypothetical food outcomes are discounted similarly and that they correlate
strongly. Generally, these findings suggest that using hypothetical outcomes
generate similar levels of discounting, in particular for food, which is useful
for researchers interested in characterizing food-related impulsivity.
PMID- 29366753
TI - ADHD and comorbid migraine.
PMID- 29366754
TI - Comments on associations between religiosity and anxiety, depressive symptoms,
and well-being in Korean adults living with epilepsy.
PMID- 29366755
TI - Anti-apoptotic activity of human matrix metalloproteinase-2 attenuates diabetes
mellitus.
AB - BACKGROUND: Chronic progression of diabetes is associated with decreased
pancreatic islet mass due to apoptosis of beta-cells. Patients with diabetes have
increased circulating matrix metalloproteinase-2 (MMP2); however, the
physiological significance has remained elusive. This study tested the hypothesis
that MMP2 inhibits cell apoptosis, including islet beta-cells. METHODS: Samples
from diabetic patients and newly developed transgenic mice overexpressing human
MMP2 (hMMP2) were harnessed, and diabetes was induced with streptozotocin.
RESULTS: Circulating hMMP2 was significantly increased in diabetic patients
compared to controls and significantly correlated with the serum C-peptide
levels. The diabetic hMMP2 transgenic mice showed significant improvements in
glycemia, glucose tolerance and insulin secretion compared to diabetic wild type
mice. Importantly, the increased hMMP2 levels in mice correlated with significant
reduction in islet beta-cell apoptosis compared to wild-type counterparts, and an
inhibitor of hMMP2 reversed this mitigating activity against diabetes. The
increased activation of Akt and BAD induced by hMMP2 in beta-cells compared to
controls, links this signaling pathway to the anti-apoptotic activity of hMMP2, a
property that was reversible by both an hMMP2 inhibitor and antibody against
integrin-beta3. CONCLUSION: Overall, this study demonstrates that increased
expression of hMMP2 may attenuate the severity of diabetes by protecting islet
beta-cells from apoptosis through an integrin-mediated activation of the Akt/BAD
pathway.
PMID- 29366756
TI - Porcine IFI30 inhibits PRRSV proliferation and host cell apoptosis in vitro.
AB - Interferon-gamma-inducible protein 30 (IFI30) is an IFN-gamma-inducible protein
that is involved in MHC class II-restricted antigen processing and MHC class I
restricted cross-presentation pathways of adaptive immunity. The present study
aimed to investigate the effects of porcine IFI30 expression on PRRSV
proliferation in host cells. MARC-145 cells and pig Sertoli (ST) cells were
infected with PRRSV after transfection with porcine IFI30 expression vectors and
an empty vector. PRRSV copy numbers were analyzed by absolute real-time
quantitative PCR, and the results showed that porcine IFI30 expression could
significantly inhibit PRRSV transcription. Western blot analysis also determined
that IFI30 expression could reduce the production of PRRSV M protein. Flow
cytometric analysis indicated that the apoptosis of MARC-145 cells, which are non
porcine but highly permissive to PRRSV cells, was significantly decreased in the
IFI30 expression group. In porcine ST cells, apoptosis was significantly
increased in IFI30 knockdown cells but not in IFI30-overexpressing cells (**p <
0.01). In conclusion, porcine IFI30 expression may inhibit PRRSV proliferation
and host cell apoptosis in vitro.
PMID- 29366757
TI - Expression of homing endonuclease gene and insertion-like element in sea anemone
mitochondrial genomes: Lesson learned from Anemonia viridis.
AB - The mitochondrial genomes of sea anemones are dynamic in structure. Invasion by
genetic elements, such as self-catalytic group I introns or insertion-like
sequences, contribute to sea anemone mitochondrial genome expansion and
complexity. By using next generation sequencing we investigated the complete
mtDNAs and corresponding transcriptomes of the temperate sea anemone Anemonia
viridis and its closer tropical relative Anemonia majano. Two versions of fused
homing endonuclease gene (HEG) organization were observed among the Actiniidae
sea anemones; in-frame gene fusion and pseudo-gene fusion. We provided support
for the pseudo-gene fusion organization in Anemonia species, resulting in a
repressed HEG from the COI-884 group I intron. orfA, a putative protein-coding
gene with insertion-like features, was present in both Anemonia species.
Interestingly, orfA and COI expression were significantly up-regulated upon long
term environmental stress corresponding to low seawater pH conditions. This study
provides new insights to the dynamics of sea anemone mitochondrial genome
structure and function.
PMID- 29366758
TI - Transcriptomic profiling reveals gene expression kinetics in patients with
hypoxia and high altitude pulmonary edema.
AB - OBJECTIVE: High altitude pulmonary edema (HAPE) is a life threatening condition
occurring in otherwise healthy individuals who rapidly ascend to high altitude.
However, the molecular mechanisms of its pathophysiology are not well understood.
The objective of this study is to evaluate differential gene expression in
patients with HAPE during acute illness and subsequent recovery. METHODS: Twenty
one individuals who ascended to an altitude of 3780 m were studied, including 12
patients who developed HAPE and 9 matched controls without HAPE. Whole-blood
samples were collected during acute illness and subsequent recovery for analysis
of the expression of hypoxia-related genes, and physiologic and laboratory
parameters, including mean pulmonary arterial pressure (mPAP), heart rate, blood
pressure, and arterial oxygen saturation (SpO2), were also measured. RESULTS:
Compared with control subjects, numerous hypoxia-related genes were up-regulated
in patients with acute HAPE. Gene network analyses suggested that HIF-1alpha
played a central role in acute HAPE by affecting a variety of hypoxia-related
genes, including BNIP3L, VEGFA, ANGPTL4 and EGLN1. Transcriptomic profiling
revealed the expression of most HAPE-induced genes was restored to a normal level
during the recovery phase except some key hypoxia response factors, such
asBNIP3L, EGR1, MMP9 and VEGF, which remained persistently elevated. CONCLUSIONS:
Differential expression analysis of hypoxia-related genes revealed distinct
molecular signatures of HAPE during acute and recovery phases. This study may
help us to better understand HAPE pathogenesis and putative targets for further
investigation and therapeutic intervention.
PMID- 29366759
TI - A senescence-delaying pre-culture medium for transcriptomics of Podospora
anserina.
AB - Podospora anserina is an efficient degrader of recalcitrant plant biomass but
senesces quickly on most standard pre-culturing media. Among nine pre-culture
media, sufficient growth without senescence was only observed on Luria-Bertani
medium. The high quality RNA obtained from subsequent transfer cultures was
suitable for transcriptomics.
PMID- 29366760
TI - Rapid and cost-effective identification and antimicrobial susceptibility testing
in patients with Gram-negative bacteremia directly from blood-culture fluid.
AB - Rapid pathogen identification (ID) and antimicrobial susceptibility testing (AST)
in bacteremia cases or sepsis could improve patient prognosis. Thus, it is
important to provide timely reports, which make it possible for clinicians to set
up appropriate antibiotic therapy during the early stages of bloodstream
infection (BSI). This study evaluates an in-house microbiological protocol for
early ID as well as AST on Gram negative bacteria directly from positive
monomicrobial and polymicrobial blood cultures (BCs). A total of 102 non
duplicated positive BCs from patients with Gram-negative bacteremia were tested.
Both IDs and ASTs were performed from bacterial pellets extracted directly from
BCs using our protocol, which was applied through the combined use of a MALDI-TOF
MS and Vitek2 automated system. The results of our study showed a 100% agreement
in bacterial ID and 98.25% categorical agreement in AST when compared to those
obtained by routine conventional methods. We recorded only a 0.76% minor error
(mE), 0.76% major error (ME) and a 0.20% very major error (VME). Moreover, the
turnaround time (TAT) regarding the final AST report was significantly shortened
(DeltaTAT = 8-20 h, p < 0.00001). This in-house protocol is rapid, easy to
perform and cost effective and could be successfully introduced into any clinical
microbiology laboratory. A final same-day report of ID and AST improves patient
management, by early and appropriate antimicrobial treatment and could
potentially optimize antimicrobial stewardship programs.
PMID- 29366761
TI - Carbon dots: emerging theranostic nanoarchitectures.
AB - Nanotechnology has gained significant interest from biomedical and analytical
researchers in recent years. Carbon dots (C-dots), a new member of the carbon
nanomaterial family, are spherical, nontoxic, biocompatible, and discrete
particles less than 10nm in diameter. Research interest has focused on C-dots
because of their ultra-compact nanosize, favorable biocompatibility, outstanding
photoluminescence, superior electron transfer ability, and versatile surface
engineering properties. C-dots show significant potential for use in cellular
imaging, biosensing, targeted drug delivery, and other biomedical applications.
Here we discuss C-dots, in terms of their physicochemical properties, fabrication
techniques, toxicity issues, surface engineering and biomedical potential in drug
delivery, targeting as well as bioimaging.
PMID- 29366762
TI - The rise of deep learning in drug discovery.
AB - Over the past decade, deep learning has achieved remarkable success in various
artificial intelligence research areas. Evolved from the previous research on
artificial neural networks, this technology has shown superior performance to
other machine learning algorithms in areas such as image and voice recognition,
natural language processing, among others. The first wave of applications of deep
learning in pharmaceutical research has emerged in recent years, and its utility
has gone beyond bioactivity predictions and has shown promise in addressing
diverse problems in drug discovery. Examples will be discussed covering
bioactivity prediction, de novo molecular design, synthesis prediction and
biological image analysis.
PMID- 29366763
TI - Long-term preservation of freeze-dried rabbit sperm by adding rosmarinic acid and
different chelating agents.
AB - Freeze-drying (FD) technique has been applied as an alternative technology to
preserve gene resources to allow simple sperm preservation and shipment at 4
degrees C. Nevertheless, DNA sperm might be damaged by mechanical or oxidative
stress throughout FD procedure. Therefore, suitable protection to maintain DNA
integrity is required. The aim of this study was to determine the effect of
rosmarinic acid (RA) as an antioxidant and two chelating agents (EGTA and EDTA)
on the DNA integrity of freeze-dried rabbit sperm after storage of the samples at
4 degrees C and room temperature for 8 months. Rabbit sperm were freeze-dried in
basic medium (10 mM Tris-HCl buffer and 50 mM NaCl) supplemented with 50 mM EGTA
(1), 50 mM EGTA plus 105 MUM RA (2), 50 mM EDTA (3) or 50 mM EDTA plus 105 MUM RA
(4). Semen samples were kept at 4 degrees C and room temperature during 8
months. After rehydration, DNA integrity was evaluated with Sperm Chromatin
Dispersion test observing that DNA fragmentation was higher when semen samples
were freeze-dried with EGTA (10.9%) than with EDTA (4.1%) (p < 0.01).
Furthermore, RA acted better under adverse conditions and no significant
differences were found in temperature storage. Summarizing, FD is a method that
can allow simple gene resources preservation among 4 degrees C to 25 degrees C
during 8 months and transportation without the need for liquid nitrogen or dry
ice. EDTA chelating agent is the most suitable media for freeze-dried rabbit
sperm and the addition of RA protects the DNA against the oxidative stress caused
during FD procedure.
PMID- 29366764
TI - Deglycerolization of red blood cells: A new dilution-filtration system.
AB - In this work, we present a new version of the dilution-filtration system for
rapidly deglycerolizing a large volume of cryopreserved blood. In our earlier
system, one of the major problems was the damage induced to the red blood cells
(RBCs) due to high osmolality change at the dilution point. Therefore, we devised
a new system to solve this problem. First, we theoretically simulated the
osmolality variation in the new system and the variation of the maximum and
minimum volumes of the RBCs at the dilution point to examine the effects of
operating parameters/conditions. Next, we experimentally validated the effects of
these operating parameters by deglycerolizing porcine blood. The results show
that when the initial NaCl concentration in the hypertonic solution is 18%, the
volume of the hypertonic solution is 200 mL, and the flow rate of the filtrate is
50 mL/min, the system can effectively remove glycerin from 200 mL of porcine
blood in 30 min, with ~87% RBC survival rate and ~73% RBC recovery rate. Our
results indicated that in the new system the concentration and the volume of the
hypertonic solution used to dilute the blood are the important parameters that
need to be adjusted to reduce osmotic damage to the RBCs. In addition, a fast
filtrate flow rate is highly recommended. This work can significantly contribute
to the development of a more efficient and effective system for deglycerolizing
large volumes of cryopreserved blood in clinic.
PMID- 29366765
TI - Active principles of Tetradenia riparia. IV. Anthelmintic activity of 8(14),15
sandaracopimaradiene-7alpha,18-diol.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Tetradenia (T.) riparia (Hochst.) Codd
(Lamiaceae), formerly known as Iboza riparia (Hochst.) N.E.Br., is one of the
most frequently used medicinal plants in traditional Rwandese medicine. It was
used as a remedy against a wide range of diseases including malaria, angina,
yaws, dental abscesses, headache, worm infections and several kinds of fevers and
aches. AIM OF THE STUDY: This study aims to identify the compounds active against
helminths from Tetradenia riparia. METHODS: A bioassay-guided isolation of
anthelmintic compounds from the leaves of Tetradenia riparia was performed using
a Caenorhabditis elegans (C. elegans) testing model. RESULTS: The bioassay-guided
isolation led to one active compound, i.e. 8(14),15-sandaracopimaradiene
7alpha,18-diol. Its IC50 value was 5.4 +/- 0.9 ug/mL (17.8 +/- 2.9 uM).
CONCLUSIONS: We identified the bioactive compound from Tetradenia riparia
responsible for its anthelmintic activity: 8(14),15-sandaracopimaradiene
7alpha,18-diol. Although the compound and several of its bioactivities have been
described before, this is the first report of its anthelmintic effect.
PMID- 29366766
TI - Breast cancer amelioration by Butea monosperma in-vitro and in-vivo.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Butea monosperma belonging to family Fabaceae is
used in the Indian traditional medicine (Ayurveda) for various ailments including
abdominal tumors and possess anti-estrogenic activity. AIM OF THE STUDY: The
present study is aimed at investigating the chemo-preventive potential of Butea
monosperma in breast cancer and elucidating it's mechanism of action by assessing
its effect on key processes like apoptosis, angiogenesis and metastasis. METHODS:
Cytotoxic potential of methanol extract of Butea monosperma flower (MEBM) was
tested in MCF-7 (estrogen receptor positive), MDA-MB-231 (triple negative) and
MDA-MB-453 (HER2 positive) human breast cancer cells by MTT assay. Chemo
preventive potential was evaluated in-vivo in Methylnitrosourea (MNU) induced
mammary cancer in nulliparous Sprague-Dawley rats. The mechanism for anticancer
potential was screened by in-vitro studies involving Annexin V- FITC assay
(apoptosis), Chick Chorioallantoic Membrane assay (angiogenesis) and Migration
assay (metastasis). Statistical analysis was done by one way and two way ANOVA
(for Growth Rate and feed consumption efficiency) followed by post hoc
Bonferroni's test with P value < 0.05. RESULTS: It is observed that the exposure
of MEBM, at various concentrations and time intervals to different cell lines,
resulted in decreased cell proliferation. The IC50 value of MCF-7 cells was found
significantly less than that of MDA-MB-231 and MDA-MB-453 cells, which indicated
that the extract of said medicinal plant were more potent inhibitors of estrogen
positive breast cancer cells than other types of breast cancer cells in vitro.
Corroborative evidences were acquired in MNU actuated mammary carcinogenesis
where MEBM constricted tumor parameters, decreased expression of estrogen and
progesterone, nucleic acid content and increased latency period. MEBM also
induced apoptosis, inhibited angiogenesis and metastasis in-vitro. CONCLUSION:
Selective cytotoxic activity in MCF-7 estrogen positive breast cancer cells and
inhibition of growth of mammary carcinoma in-vivo by methanol extract of Butea
monosperma flowers (MEBM) suggests chemo-prevention through modulation of
estrogen and progesterone receptor, apoptotic, anti-angiogenesis and anti
metastatic activity.
PMID- 29366767
TI - Concurrent use of Chinese herbal medicine among hormone users and its association
with ischemic stroke risk: A population-based study.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Previous studies had indicated that hormone
therapy (HT) may increase the risk of ischemic stroke (IS) in menopausal women.
However, little is known about the benefits and risks of use of Chinese herbal
medicine (CHM) in conditions related to hormone use. The aim of this study is to
explore the risk of IS in menopausal women treated with HT and CHM. MATERIALS AND
METHODS: A total of 32,441 menopausal women without surgical menopause aged 40-65
years were selected from 2003 to 2010 using the 2-million random samples of the
National Health Insurance Research Database in Taiwan. According to the
medication usage of HT and CHM, we divided the current and recent users into two
groups: an HT use-only group (n = 4989) and an HT/CHM group (n = 9265).
Propensity-score matching samples (4079 pairs) were further created to deal with
confounding by indication. The adjusted hazard ratios (HR) of IS were estimated
by the robust Cox proportional hazards model. RESULTS: The incidence rate of IS
in the HT/CHM group was significantly lower than in the HT group (4.5 vs. 12.8
per 1000 person-year, p < 0.001). Multivariate analysis results indicated that
additional CHM use had a lower risk of IS compared to the HT group (HR = 0.3; 95%
confidence interval [CI], 0.21-0.43). Further subgroup analyses and sensitivity
analyses had similar findings. CONCLUSION: We found that combined use of HT and
CHM was associated with a lower risk of IS. Further study is needed to examine
possible mechanism underlying this association.
PMID- 29366768
TI - Ginseng polysaccharides enhanced ginsenoside Rb1 and microbial metabolites
exposure through enhancing intestinal absorption and affecting gut microbial
metabolism.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Polysaccharides and small molecules commonly co
exist in decoctions of traditional Chinese medicines (TCMs). Our previous study
outlined that ginseng polysaccharides (GP) could interact with co-existing
ginsenosides to produce synergistic effect in an over-fatigue and acute cold
stress model via gut microbiota involved mechanisms. AIM OF THE STUDY: This study
aimed to verify the interactions by examining the impact of GP on oral
pharmacokinetics of ginsenoside Rb1 (Rb1), the dominant protopanoxadiol (PPD)
type ginsenoside in Ginseng, on a dextran sulphate sodium (DSS) induced
experimental colitis model which was characterized by gut dysbiosis, and to
delineate the underlying mechanisms in vitro. MATERIALS AND METHODS: Rats
received drinking water (normal group), 5% DSS (UC group), or 5% DSS plus daily
oral administration of GP (GP group) for 7 days and fecal samples were collected
on day -3, 0 and 6. On day 7 all animals received an oral dosage of Rb1 and blood
samples were withdrawn for pharmacokinetic study. The in vitro metabolism study
of Rb1 in gut microbiota from normal and UC rats and the transport study of Rb1
across Caco-2 cell monolayer were carried out in presence/absence of GP. Rb1 and
its bacterial metabolites ginsenoside Rd (Rd), ginsenoside F2 (F2), Compound K
(CK) and PPD were determined using LC-MS/MS. Total and target bacteria in fecal
samples were determined by using 16S rRNA-based RT-PCR. beta-Glucosidase activity
was determined by measuring 4-nitrophenol formed from 4-nitrophenyl-beta-D
glucopyranoside hydrolysis. RESULTS: DSS induction did not alter AUC0-t and Cmax
of Rb1, which, however, were doubled together with elevated AUC0-t of the
metabolites, in particular Rd and CK, in GP group. GP influenced the microbial
composition and showed a prebiotic-like effect. Accordingly, GP treatment could
partially restore the beta-glucosidase activity which was reduced by DSS
induction. The presence of GP resulted in quicker microbial metabolism of Rb1 and
higher Rd formation in first 8 h of incubation, while the impact on F2 and CK
formation/conversion became obvious after 8 h. More interestingly, GP slightly
stimulated Caco-2 cell growth and facilitated Rb1 transport across the Caco-2
monolayer in both directions, increasing the Papp of Rb1 from 10-7 cm/s to 10-6
cm/s. CONCLUSIONS: GP alleviated DSS-induced colitis-like symptoms and enhanced
the systemic exposure of Rb1 through enhancing microbial deglycosylation and
intestinal epithelial absorption of Rb1. These findings further demonstrated the
important role of gut microbiota in the multifaceted action of polysaccharides in
the holistic actions of traditional decoction of TCMs.
PMID- 29366769
TI - Network pharmacology-based strategy for predicting active ingredients and
potential targets of Yangxinshi tablet for treating heart failure.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Yangxinshi tablet (YXST) is an effective
treatment for heart failure and myocardial infarction; it consists of 13 herbal
medicines formulated according to traditional Chinese Medicine (TCM) practices.
It has been used for the treatment of cardiovascular disease for many years in
China. MATERIALS AND METHODS: In this study, a network pharmacology-based
strategy was used to elucidate the mechanism of action of YXST for the treatment
of heart failure. Cardiovascular disease-related protein target and compound
databases were constructed for YXST. A molecular docking platform was used to
predict the protein targets of YXST. The affinity between proteins and
ingredients was determined using surface plasmon resonance (SPR) assays. The
action modes between targets and representative ingredients were calculated using
Glide docking, and the related pathways were predicted using the Kyoto
Encyclopedia of Genes and Genomes (KEGG) database. RESULTS: A protein target
database containing 924 proteins was constructed; 179 compounds in YXST were
identified, and 48 compounds with high relevance to the proteins were defined as
representative ingredients. Thirty-four protein targets of the 48 representative
ingredients were analyzed and classified into two categories: immune and
cardiovascular systems. The SPR assay and molecular docking partly validated the
interplay between protein targets and representative ingredients. Moreover, 28
pathways related to heart failure were identified, which provided directions for
further research on YXST. CONCLUSIONS: This study demonstrated that the
cardiovascular protective effect of YXST mainly involved the immune and
cardiovascular systems. Through the research strategy based on network
pharmacology, we analysis the complex system of YXST and found 48 representative
compounds, 34 proteins and 28 related pathways of YXST, which could help us
understand the underlying mechanism of YSXT's anti-heart failure effect. The
network-based investigation could help researchers simplify the complex system of
YXSY. It may also offer a feasible approach to decipher the chemical and
pharmacological bases of other TCM formulas.
PMID- 29366770
TI - Intrathecal administration of autologous bone marrow stromal cells improves
neuropathic pain in patients with spinal cord injury.
AB - Neuropathic pain (NP) is highly disabling, responds poorly to pharmacological
treatment, and represents a significant cause of decreased quality of life in
patients suffering from spinal cord injury (SCI). In recent years, cell therapy
with autologous mesenchymal stromal cells (MSCs) has been considered as a
potential therapeutic weapon in this entity. Ten patients suffering chronic SCI
received 100 million MSCs into subarachnoid space by lumbar puncture (month 1 of
the study) and this procedure was repeated at months 4 and 7 until reaching a
total doses of 300 million MSCs. Intensity of NP was measured by standard
numerical rating scale (VAS) from 0 to 10, recording scores previous to the first
MSCs administration and monthly, until month 10 of follow-up. Months 1, 4, 7 and
10 of the study were selected as time points in order to a statistical analysis
by the nonparametric Wilcoxon rank test. Our results showed significant and
progressive improvement in NP intensity after the first administration of MSCs
(p: 0.003). This study supports the benefit of intrathecal administration of
autologous MSCs for the treatment of NP in patients with SCI.
PMID- 29366771
TI - Conflict monitoring in multi-sensory flanker tasks: Effects of cross-modal
distractors on the N2 component.
AB - The N2 component is a well-known neural correlate of conflict monitoring (CM),
being more negative in the presence of conflicting information in visual conflict
tasks. However, whether to-be-ignored auditory distractors can introduce
additional conflict remains unknown. In the present work, subjects performed a
visual (V) and audiovisual (AV) version of a Go/NoGo flanker task, and responded
only if the target arrow pointed toward a pre-specified direction (e.g., left).
In the AV task, in which to-be-ignored auditory distractors that were
semantically associated with the flankers were concurrently presented, the
congruency effect on both RT and N2 amplitude was enhanced, confirming that
additional conflict can be brought about by cross-modal distractors at both
behavioural and neural levels. Consistent with the hypothesis that N2 amplitude
reflects response conflict in visual conflict tasks, within-subject correlation
between N2 amplitude and RT was significant in the Go conditions for the V task
(congruent/incongruent). However, for the AV task, the correlation was
significant only in the congruent condition. These findings suggest that while
the cross-modal conflict is registered by the CM process, only part of this
conflict could effectively induce response conflict.
PMID- 29366773
TI - The new guidelines for hypertension: Navigating between Scylla and Charybdis of
clinical practice.
PMID- 29366772
TI - Validation of a targeted next generation sequencing-based comprehensive
chromosome screening platform for detection of triploidy in human blastocysts.
AB - Triploidy accounts for ~2% of natural pregnancies and 15% of cytogenetically
abnormal miscarriages. This study aimed to validate triploidy detection in human
blastocysts, its frequency and parental origin using genotyping data generated in
parallel with chromosome copy number analysis by a targeted next generation
sequencing (tNGS)-based comprehensive chromosome screening platform. Phase 1:
diploid and triploid control samples were blinded, sequenced by tNGS and
karyotype predictions compared for accuracy. Phase 2: tNGS was used to calculate
the frequency of triploidy in 18,791 human blastocysts from trophectoderm (TE)
biopsies. Phase 3: parental origin of the inherited extra alleles was evaluated
by sequencing parental gDNA to validate triploidy predictions from Phase 2. All
karyotypes and ploidy in controls from Phase 1 were correctly predicted by two
independent methods. A blastocyst triploidy frequency of 0.474% (89/18,791) was
observed in Phase 2 of the study. Finally, five suspected triploid blastocysts
with parental DNA available were confirmed to be triploid and of maternal origin.
tNGS provides higher sequencing depth in contrast to other contemporary NGS
platforms, allowing for accurate single nucleotide polymorphism calling and
accurate detection of triploidy in TE biopsies. Triploidy in intracytoplasmic
sperm injection-derived blastocysts is rare and mostly of maternal origin.
PMID- 29366774
TI - Transapical closure of multiple mitral paravalvular leaks with dual device
deployment through a single sheath: a Heart Team job.
PMID- 29366775
TI - Metformin regulates mitochondrial biogenesis and senescence through AMPK mediated
H3K79 methylation: Relevance in age-associated vascular dysfunction.
AB - Endothelial senescence in conjunction with mitochondrial dysfunction orchestrates
age-associated cardiovascular disorders. In this study we investigated the causal
link between these two processes and studied the molecular mechanisms by which
metformin acts to coordinate the delay of endothelial senescence via enhancing
mitochondrial biogenesis/function. AMPK activators metformin and AICAR delayed
endothelial senescence via SIRT1-mediated upregulation of DOT1L, leading to
increased trimethylation of H3K79 (H3K79me3). Treatment of cells with either
siAMPK or siSIRT1 repressed DOT1L-mediated enhancement of H3K79me3. Moreover, the
increase in SIRT3 expression and mitochondrial biogenesis/function by AMPK
activators was H3K79me-dependent as H3K79N mutant or siDOT1L abrogated these
effects. This was confirmed by the enrichment of H3K79me3 in the SIRT3 promoter
with AMPK activation. Intriguingly, enhanced PGC-1alpha expression by SIRT3 via
AMPK activation was responsible for increased hTERT expression and delayed
endothelial senescence. In contrast, SIRT3 knockdown caused increased oxidative
stress and premature senescence, possibly by depleting hTERT expression.
Furthermore, a chronic low dose administration of metformin significantly
attenuated vascular aging and inhibited age-associated atherosclerotic plaque
formation in ApoE-/- mice. Overall, the results of this study show a novel
regulation of mitochondrial biogenesis/function, and cellular senescence by
H3K79me acting through SIRT3, thus providing a molecular basis for metformin
mediated age-delaying effects.
PMID- 29366776
TI - Inhibition of lysyl oxidase-like 1 (LOXL1) expression arrests liver fibrosis
progression in cirrhosis by reducing elastin crosslinking.
AB - Mature crosslinked-poly-elastin deposition has been found to be associated with
liver fibrosis. However, the regulation of crosslinked/insoluble elastin in liver
fibrosis remains largely unknown. Here, we investigated the contribution of lysyl
oxidases (LOXs) family, mediated elastin crosslinking, to liver fibrogenesis. We
established carbon tetrachloride (CCl4)-induced liver fibrotic and cirrhotic
models and found that crosslinked/insoluble elastin levels spiked only in
cirrhosis stage during disease progression, in comparison to collagen Iota levels
which increased continuously though all stages. Among the LOXs family members,
only LOX-like 1 (LOXL1) levels were coincident with the appearance of
crosslinked/insoluble elastin. These coincidences included that LOXL1 expression
increased (34 fold) in cirrhosis, localized with alpha-smooth muscle actin (SMA)
and was absent in normal and fibrotic livers. In LX-2 cells, LOXL1 silencing
arrested expression of alpha-SMA, elastin and collagen Iota. Our previously
characterized adeno-associated vector (AAV) 2/8 shRNA was shown to effectively
downregulate LOXL1 expression in CCl4 induced fibrosis mice models. These
resulted in delicate and thinner septa and less crosslinked elastin, with a 58%
loss of elastin area and 51% decrease of collagen area. Our findings strongly
suggested that elastin crosslinking and LOXL1 were co-associated with liver
cirrhosis, while selective inhibition of LOXL1 arrested disease progression by
reducing crosslinking of elastin.
PMID- 29366778
TI - The liver X receptors and sterol regulatory element binding proteins alter
progesterone secretion and are regulated by human chorionic gonadotropin in human
luteinized granulosa cells.
AB - There is increased expression of liver x receptor (LXR) target genes and reduced
low density lipoprotein receptor (LDLR) during spontaneous luteolysis in
primates. The LXRs are nuclear receptors that increase cholesterol efflux by
inducing transcription of their target genes. Transcription of LDLR is regulated
by sterol regulatory element binding proteins (SREBPs). Human chorionic
gonadotropin (hCG) prevents luteolysis and stimulates progesterone synthesis via
protein kinase A (PKA). Thus, our primary objectives are: 1) Determine the
effects of LXR activation and SREBP inhibition on progesterone secretion and
cholesterol metabolism, and 2) Determine whether hCG signaling via PKA regulates
transcription of LXR and SREBP target genes in human luteinized granulosa cells.
Basal and hCG-stimulated progesterone secretion was significantly decreased by
the combined actions of the LXR agonist T0901317 and the SREBP inhibitor
fatostatin, which was associated with reduced intracellular cholesterol storage.
Expression of LXR target genes in the presence of T0901317 was significantly
reduced by hCG, while hCG promoted transcriptional changes that favor LDL uptake.
These effects of hCG were reversed by a specific PKA inhibitor. A third objective
was to resolve a dilemma concerning LXR regulation of steroidogenic acute
regulatory protein (STAR) expression in primate and non-primate steroidogenic
cells. T0901317 induced STAR expression and progesterone synthesis in ovine, but
not human cells, revealing a key difference between species in LXR regulation of
luteal function. Collectively, these data support the hypothesis that LXR-induced
cholesterol efflux and reduced LDL uptake via SREBP inhibition mediates
luteolysis in primates, which is prevented by hCG.
PMID- 29366777
TI - The Common and Distinct Features of Brown and Beige Adipocytes.
AB - Two types of thermogenic fat cells, brown adipocytes and beige adipocytes, play a
key role in the regulation of systemic energy homeostasis in mammals. Both brown
fat and beige fat possess thermogenic properties in addition to common
morphological and biochemical characteristics, including multilocular lipid
droplets and cristae-dense mitochondria. Recent studies also identify features
that are distinct between the two types of thermogenic fat cells, such as their
developmental regulation and function. Of particular interest is the role of
beige fat in the regulation of glucose homeostasis via uncoupling protein 1
(UCP1)-independent mechanisms. A better understanding of the underlying causes of
these characteristics of brown and beige fat will allow us to specifically
manipulate these cells to improve systemic energy metabolism and glucose
homeostasis.
PMID- 29366779
TI - Splicing activator RNPS1 suppresses errors in pre-mRNA splicing: A key factor for
mRNA quality control.
AB - Human RNPS1 protein was first identified as a pre-mRNA splicing activator in
vitro and RNPS1 regulates alternative splicing in cellulo. RNPS1 was also known
as a peripheral factor of the exon junction complex (EJC). Here we show that
cellular knockdown of RNPS1 induced a reduction of the wild-type aurora kinase B
(AURKB) protein due to the induced aberrant pre-mRNA splicing events, indicating
that the fidelity of AURKB pre-mRNA splicing was reduced. The major aberrant
AURKB mRNA was derived from the upstream pseudo 5' and 3' splice sites in intron
5, which resulted in the production of the non-functional truncated AURKB
protein. AURKB, is an essential mitotic factor, whose absence is known to cause
multiple nuclei, and this multinucleation phenotype was recapitulated in RNPS1
knockdown cells. Importantly this RNPS1-knockdown phenotype was rescued by
ectopic expression of AURKB, implying it is a major functional target of RNPS1.
We found RNPS1 protein, not as a component of the EJC, binds directly to a
specific element in the AURKB exon upstream of the authentic 5' splice site, and
this binding is required for normal splicing. RNPS1-knockdown induces a parallel
aberrant splicing pattern in a fully distinct pre-mRNA, MDM2, suggesting that
RNPS1 is a global guardian of splicing fidelity. We conclude that RNPS1 is a key
factor for the quality control of mRNAs that is essential for the phenotypes
including cell division.
PMID- 29366780
TI - MicroRNA-29a mitigation of toll-like receptor 2 and 4 signaling and alleviation
of obstructive jaundice-induced fibrosis in mice.
AB - Cholestasis and hepatitis can cause continuous liver damage that may ultimately
result in liver fibrosis. In a previous study, we demonstrated that microRNA-29a
(miR-29a) protects against liver fibrosis. Toll-like receptor 2 (TLR2) and TLR4
are pattern recognition receptors of bacterial lipoprotein and
lipopolysaccharide, both of which participate in activating hepatic stellate
cells and liver fibrosis. The purpose of this study is to characterize the
biological influence of miR-29a on TLR2 and TLR4 signaling in livers injured with
bile duct ligation (BDL). We performed BDL on both miR-29a transgenic mice (miR
29aTg) and wild-type mice to induce cholestatic liver injury. Primary HSCs were
transfected with a miR-29a mimic and inhibitor. In the wild-type mice, the BDL
demonstrated significant alpha-smooth muscle actin fibrotic matrix formation and
hepatic high mobility group box-1 expression. However, in the miR-29aTg mice,
these factors were significantly reduced. Furthermore, miR-29a overexpression
reduced the BDL exaggeration of TLR2, TLR4, MyD88, bromodomain-containing protein
4 (BRD4), phospho-p65 as well as proinflammatory cytokines, IL-1beta, MCP-1, TGF
beta, and TNF-alpha. In vitro, miR-29a mimic transfection reduced alpha-SMA,
BRD4,TLR2, and TLR4 expressions in HSCs. This study provides new molecular
insight into the ability of miR-29a to inhibit TLR2 and TLR4 signaling, which
thus slows the progression of cholestatic liver deterioration.
PMID- 29366781
TI - HBx-elevated SIRT2 promotes HBV replication and hepatocarcinogenesis.
AB - Sirtuin 2 (SIRT2) is a class III histone deacetylase that has been implicated to
promote HCC development. However, the functional role of SIRT2 in HBV is still
unclear. In this study, we found that HBV could upregulate SIRT2 expression.
Additionally, HBx could activate SIRT2 promoter to upregulate the mRNA and
protein level of SIRT2. Furthermore, we found that SIRT2 could facilitate HBV
transcription and replication. Finally, we demonstrated that upregulation of
SIRT2 by HBx promoted hepatocarcinogenesis. In summary, our findings revealed a
novel function of SIRT2 in HBV and HBV-mediated HCC. First, SIRT2 could promote
HBV replication. And then HBx-elevated SIRT2 could enhance the transformation of
HBV-mediated HCC. Those findings highlight the potential role of SIRT2 in HBV and
HBV-mediated HCC by interaction with HBx.
PMID- 29366783
TI - WITHDRAWN: SIKE1 deficiency accelerates hepatic ischemia/reperfusion (IR) injury
through enhancing Toll-like receptor-3-regulated inflammation.
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at https://www.elsevier.com/about/our
business/policies/article-withdrawal.
PMID- 29366782
TI - Up-regulation of MSH6 is associated with temozolomide resistance in human
glioblastoma.
AB - The impact of DNA mismatch repair (MMR) on resistance to temozolomide (TMZ)
therapy in patients with glioblastoma (GBM) is recently reported but the
mechanisms are not understood. We aim to analyze the correlation between MMR
function and the acquired TMZ resistance in GBM using both relevant clinical
samples and TMZ resistant cells. First we found increased expression of MSH6, one
of key components of MMR, in recurrent GBM patients' samples who underwent TMZ
chemotherapy, comparing with those matched samples collected at the time of
diagnosis. Using the cellular models of acquired resistance to TMZ, we further
confirmed the up-regulation of MSH6 in TMZ resistant cells. Moreover, a TCGA
dataset contains a large cohort of GBM clinical samples with or without TMZ
treatment reinforced the increased expression of MSH6 and other MMR genes after
long-term TMZ chemotherapy, which may resulted in MMR dysfunction and acquired
TMZ resistance. Our results suggest that increased expression of MSH6, or other
MMR, may be a new mechanism contributing to the acquired resistance during TMZ
therapy; and may serve as an indicator to the resistance in GBM.
PMID- 29366784
TI - Identification of novel Amurin-2 variants from the skin secretion of Rana
amurensis, and the design of cationicity-enhanced analogues.
AB - Rana amurensis is important in Chinese medicine as its skin secretions contain
abundant bioactive peptides. Here, we have identified the antimicrobial peptide
Amurin-2 and three highly-conserved variants, Amurin-2a, Amurin-2b and Amurin-2c
through a combination of molecular cloning and MS/MS fragmentation sequencing.
Synthetic replicates of these peptides demonstrate potent antimicrobial activity
against S. aureus, whilst some have activity against C.albicans and even
resistant bacterial MRSA. Furthermore, two Lys-analogues (K4-Amurin-2 and K11
Amurin-2) were designed to improve the bioactive function and the antimicrobial
activity of K4-Amurin-2 against E.coli was enhanced distinctly. In addition, the
two modified peptides also showed more potent activity against S. aureus, C.
albicans and MRSA strains. Meanwhile, these peptides showed inhibitory effect on
the cell viability of several cancer cells. As a result, these structural and
functional studies of Amurin-2 variants and analogues could provide insights for
future antimicrobial peptide design.
PMID- 29366786
TI - SMAD7 methylation as a novel marker in atherosclerosis.
AB - Atherosclerosis is a complicated process comprising inflammation, accumulation of
collagen matrix and aberrant DNA methylation. SMAD7 is known to play an important
role in fibrosis and inflammation. In recent years, increasing research has
concentrated on the connection between DNA methylation and atherosclerosis. The
current study was designed to investigate methylation status of some specific
gene with a focus on SMAD7 in atherosclerosis and elucidate their relationship.
We found that SMAD7 expression was decreased and its promoter region was markedly
methylated in atherosclerotic plaques when compared with normal artery walls.
Using MALDI-TOF MS, increased DNA methylation levels of SMAD7 promoter at CpG
unit 5.8.15.16 were found in peripheral blood of atherosclerosis patients
relative to matched normal controls, respectively. Correlation analysis revealed
that mean DNA methylation levels of SMAD7 promoter of CpG unit 5.8.15.16 were
positively associated with homocysteine levels (r = 0.724, p < .001) and carotid
plaque scores(r = 0.790, p < .001). SMAD7 promoter is hyper-methylated both in
human atherosclerotic plaques and atherosclerosis patients, which is positively
associated with homocysteine levels and carotid plaque scores. Thus, methylated
SMAD7 may be a novel predicted marker and therapeutics target for
atherosclerosis.
PMID- 29366785
TI - 1alpha,25-dihydroxyvitamin D3 mitigates cancer cell mediated mitochondrial
dysfunction in human skeletal muscle cells.
AB - Cancer cachexia is associated with muscle weakness and atrophy. We investigated
whether 1alpha,25-dihydroxyvitamin D3 (1alpha,25(OH)2D3), which has previously
been shown to increase skeletal myoblast oxygen consumption rate, could reverse
the deleterious effects of tumor cell conditioned medium on myoblast function.
Conditioned medium from Lewis lung carcinoma (LLC1) cells inhibits oxygen
consumption, increases mitochondrial fragmentation, inhibits pyruvate
dehydrogenase activity, and enhances proteasomal activity in human skeletal
muscle myoblasts. 1alpha,25(OH)2D3 reverses the tumor cell-mediated changes in
mitochondrial oxygen consumption and proteasomal activity, without changing
pyruvate dehydrogenase activity. 1alpha,25(OH)2D3 might be useful in treatment of
weakness seen in association with CC.
PMID- 29366787
TI - RK1, the first very short peptide from Buthus occitanus tunetanus inhibits tumor
cell migration, proliferation and angiogenesis.
AB - Scorpion toxins have been the subject of many studies which explore their
pharmacological potential toward diverse molecular targets, known to monitor key
mechanisms in cancer such as proliferation, migration and angiogenesis. The few
peptides from scorpion venom that have an anti-tumor effect are generally
cytotoxic. Herein, we present the first description of a short 14 amino acid
peptide (called RK1), purified from the venom of Buthus occitanus tunetanus, with
the particular capabilities, among different other scorpion peptides, to inhibit
cell proliferation, migration and angiogenesis of U87 (Glioblastoma) and IGR39
(Melanoma). Moreover, RK1 is a first peptide derived from scorpion venom
exhibiting a potential anti-tumoral activity with no manifest toxicity. Our
results suggest that, in terms of its primary structure, RK1 is unique compared
to a variety of known peptides purified from scorpion venoms. In addition, RK1 is
the first natural peptide able to abolish completely the proliferation of cancer
cells. The Chicken chorioallantoic membrane model revealed that RK1 strongly
inhibits ex-vivo vascular growth. RK1 could open new perspective for the
pharmaceutical application of short scorpion venom peptides in anticancer
activity and may represent the first member of a new group of scorpion peptides.
PMID- 29366788
TI - IL-1R2 deficiency suppresses dextran sodium sulfate-induced colitis in mice via
regulation of microbiota.
AB - Ulcerative colitis (UC) is an inflammatory disease of the colon. IL1R2, which
encodes IL-1 receptor type 2 (IL-1R2), was reported as a risk gene for UC. To
elucidate the roles of IL-1R2 in the development of colitis, we examined the
development of dextran sodium sulfate-induced colitis, a mouse model for UC using
Il1r2-/- mice. We found the severity score of colitis was milder in Il1r2-/- mice
compared with wild-type (WT) mice when they were housed separately, however the
severity score was similar when they were housed in a cage. In the separate
housing condition, relative contents of Actinobacteria and Bacilli in feces of
Il1r2-/- mice were lower than that of WT mice. Furthermore, IL-1beta induced the
expression of antimicrobial peptides (AMPs) from colon. Thus, we show that IL-1R2
is harmful for the development of colitis, because IL-1R2 promotes the growth of
proinflammatory intestinal microbiota by suppressing IL-1beta-induced AMP
production.
PMID- 29366789
TI - Acidification induces OGR1/Ca2+/calpain signaling in gingival fibroblasts.
AB - Gingivitis, the mildest form of periodontitis, is generally considered a
consequence of prolonged exposure of the gingiva to periodontal pathogens. On the
other hand, several epidemiologic reports have suggested that other etiologic
factors such as oral acidification may also increase the susceptibility of the
periodontium to destruction. However, the pathologic mechanism underlying the
effects of oral acidification on the gingiva is still largely unknown. In this
study, we analyzed molecular pathways mediating the influence of the acidic
environment on human gingival fibroblasts (HGFs). Acidic extracellular pH caused
biphasic increase of intracellular Ca2+ level ([Ca2+]i) through activation of
ovarian cancer G protein-coupled receptor 1, phospholipase C, and Ca2+ release
from the endoplasmic reticulum, but not through voltage-gated Ca2+ channels or
extracellular Ca2+ influx via transient receptor potential cation channel
subfamily V member 1. The acidic environment was also transiently cytotoxic for
HGFs; however, the activation of pro-apoptotic proteins poly (ADP-ribose)
polymerase-1 and BAX was not observed. Furthermore, we found that intracellular
matrix metalloproteinase 1 was consistently upregulated in HGFs grown in regular
medium, but significantly reduced in the acidic medium, which depended on [Ca2+]i
increase, lysosomal pH homeostasis, and Ca2+-dependent protease calpain.
Considering that HGFs, essential for oral wound healing, in the in vitro culture
system are placed in wound repair-like conditions, our findings provide important
insights into molecular mechanisms underlying HGF functional impairment and
chronic damage to the gingiva caused by the acidic intraoral environment.
PMID- 29366790
TI - Increased circular RNA hsa_circ_0012673 acts as a sponge of miR-22 to promote
lung adenocarcinoma proliferation.
AB - Recent reports have indicated that circular RNA (circRNA) may regulate Lung
adenocarcinoma (LAC) development. Our previous studies showed that
hsa_circ_0012673 was up-regulated in a circRNA microarray. However, its
expression level in LAC has not been verified, and the underlying molecular
mechanisms in LAC are unknown. In this study, we found that the expression of
hsa_circ_0012673 was up-regulated in LAC tissues compared to pair-matched
adjacent non-tumor tissues (P = 0.0079), and that the expression level was
associated with tumour size (P = 0.015). Furthermore, hsa_circ_0012673 was
primarily localized in the cytoplasm and promoted cell proliferation of LAC cells
by sponging miR-22, which targeted erb-b2 receptor tyrosine kinase 3 (ErbB3) in
LAC. Hsa_circ_0012673 promotes LAC proliferation by suppressing miR-22, which
targets ErbB3.
PMID- 29366791
TI - Gold nanoparticle-based probes for the colorimetric detection of Mycobacterium
avium subspecies paratuberculosis DNA.
AB - Gold nanoparticle (AuNP) is considered to be the most stable metal nanoparticle
having the ability to be functionalized with biomolecules. Recently, AuNP-based
DNA detection methods captured the interest of researchers worldwide.
Paratuberculosis or Johne's disease, a chronic gastroenteritis in ruminants
caused by Mycobacterium avium subsp. paratuberculosis (MAP), was found to have
negative effect in the livestock industry. In this study, AuNP-based probes were
evaluated for the specific and sensitive detection of MAP DNA. AuNP-based probe
was produced by functionalization of AuNPs with thiol-modified oligonucleotide
and was confirmed by Fourier-Transform Infrared (FTIR) spectroscopy. UV-Vis
spectroscopy and Scanning Electron Microscopy (SEM) were used to characterize
AuNPs. DNA detection was done by hybridization of 10 MUL of DNA with 5 MUL of
probe at 63 degrees C for 10 min and addition of 3 MUL salt solution. The method
was specific to MAP with detection limit of 103 ng. UV-Vis and SEM showed
dispersion and aggregation of the AuNPs for the positive and negative results,
respectively, with no observed particle growth. This study therefore reports an
AuNP-based probes which can be used for the specific and sensitive detection of
MAP DNA.
PMID- 29366792
TI - The covalently immobilized antimicrobial peptide LL37 acts as a VEGF mimic and
stimulates endothelial cell proliferation.
AB - The chemical coupling of growth factors to solid substrates are discussed as an
alternative to delivery systems. Utilizing entire proteins for this application
is hampered by safety and stability considerations. Instead, growth factor
mimicking peptides are of great interest for biomedical applications, such as
tissue engineering, due to their purity and stability. The human cathelicidin
derived antimicrobial peptide LL37, beside its microbicidal activity, was shown
to stimulate endothelial cell growth when used in a soluble form. Here, in a
novel approach, spacer mediated immobilization, but not direct conjugation of
LL37, to a gold substrate was shown to result in a pronounced mitogenic effect on
endothelial cells, comparable to that of soluble vascular endothelial growth
factor.
PMID- 29366793
TI - Transjugular liver biopsy after transjugular intrahepatic portosystemic shunt
(TIPS) or direct intrahepatic portocaval shunt (DIPS): Is it feasible, effective,
and safe?
AB - PURPOSE: To evaluate our experience with transjugular liver biopsies (TJLB) in
patients with previously placed transjugular intrahepatic portosystemic shunt
(TIPS) or direct intrahepatic portocaval shunt (DIPS). MATERIAL AND METHODS: A
single-institution retrospective review was performed looking at all TJLBs in
patients with previously placed TIPS or DIPS over the past seven years. There
were six men and one woman (mean age 57.9+/-8.8 [SD] years; range: 47-71 years).
Patient demographics, indications, procedural details, laboratory data,
complications, and pathology were recorded. Patients with occluded TIPS were
excluded from this study. RESULTS: Seven TJLBs were performed, five in patients
who had a TIPS and two who had a DIPS. Of the patients with TIPS, biopsies were
performed from the same hepatic vein as TIPS in three procedures and from a
different hepatic vein in two procedures. In DIPS patients, both biopsies were
performed from the right hepatic vein. The reasons for the transjugular rather
than the percutaneous approach to liver biopsy included ascites, coagulopathy, or
need for concurrent TIPS/DIPS evaluation and/or revision. All procedures were
technically successful with adequate samples obtained. There were no immediate or
delayed complications. CONCLUSION: Our results suggest that if needed a TJLB can
safely and effectively be performed in patients with previously placed TIPS or
DIPS.
PMID- 29366794
TI - Characteristics and factors associated with nosocomial pneumonia among patients
undergoing continuous renal replacement therapy (CRRT): A case-control study.
AB - OBJECTIVES: Continuous renal replacement therapy (CRRT) is a specialized type of
dialysis. However, the characteristics and factors associated with nosocomial
pneumonia in patients undergoing CRRT have received little attention to date.
Therefore, this study investigated the characteristics of and factors
contributing to nosocomial pneumonia in patients receiving CRRT. METHODS: The
clinical data of 1160 patients undergoing CRRT during the period January 2008 to
December 2015 were analyzed retrospectively. Of these 1160 cases, 145 (12.5%)
were included in the nosocomial pneumonia group, while 1015 were included in the
control group. RESULTS: The primary pathogen in the 145 cases of nosocomial
pneumonia in the CRRT patients was Staphylococcus aureus (58.57%); the morbidity
rate was 12.5%. Multivariate logistic regression analysis revealed that age (odds
ratio (OR) 2.209), initial curative time (OR 1.960), underlying diseases (OR
1.820), consciousness disorder (OR 1.616), organ failure (OR 2.154), the Acute
Physiology and Chronic Health Evaluation II score (APACHE II) (OR 1.186), and the
Charlson Comorbidity Index score (CCI) (OR 1.278) were risk factors for
nosocomial pneumonia (all p<0.05). Conversely, the serum white blood cell count
(OR 0.585), albumin (OR 0.673), and hemoglobin (OR 0.712) levels were protective
factors (all p<0.05). CONCLUSIONS: Results from this study indicate that by
modifying risk factors, such as providing adequate nutrition, earlier treatment
of underlying diseases, and controlling organ failure, the risks associated with
nosocomial pneumonia may be reduced.
PMID- 29366795
TI - Documented coronary atherothrombosis as the cause of death in post-discharge
patients after coronary revascularization.
AB - BACKGROUND: The contemporary medications for secondary prevention like statins
and antithrombotic agents are targeting to delay the progression of
atherothrombosis. However, there is limited data on the relation between death
and progressive coronary atherothrombosis. This study sought to evaluate what
proportion of death after coronary revascularization is related to documented
progressive coronary atherothrombosis. METHODS: We reviewed the detailed causes
of death among 15,231 patients receiving their first coronary revascularization
enrolled in the CREDO-Kyoto PCI/CABG registry cohort-2, dividing into two groups;
13,839 patients with clinical success and without major complication
(uncomplicated) and the other 1392 patients (complicated). Documented progressive
coronary atherothrombosis as the cause of death was defined as preceding coronary
revascularization within 30days before death or irreversible brain damage and/or
proof of coronary thrombus by autopsy. RESULTS: During the median follow-up of
5.4years, 2837 patients died with cumulative 5-year incidence of 17.5%. The
proportions of cardiac/non-cardiovascular death among all-cause death in
uncomplicated patients and complicated patients were 36.6%/51.5% and 74.2%/17.4%,
respectively. The numbers of patients died with documented progressive coronary
atherothrombosis were 41 (1.9% of all-cause death) and 304 (47.3%). The number
was only 51 (2.1%) among all post-discharge patients. Dominant causes of cardiac
death in post-discharge patients included heart failure (10.0%) and sudden
cardiac death (9.1%), both without apparent relation with progressive coronary
atherothrombosis. CONCLUSIONS: Only about one-third of deaths were cardiac in
origin during 5-year follow-up in post-discharge patients after coronary
revascularization. Cardiac death after discharge was very infrequently related to
documented progressive coronary atherothrombosis.
PMID- 29366796
TI - Food waste and the food-energy-water nexus: A review of food waste management
alternatives.
AB - Throughout the world, much food produced is wasted. The resource impact of
producing wasted food is substantial; however, little is known about the energy
and water consumed in managing food waste after it has been disposed. Herein, we
characterize food waste within the Food-Energy-Water (FEW) nexus and parse the
differential FEW effects of producing uneaten food and managing food loss and
waste. We find that various food waste management options, such as waste
prevention, landfilling, composting, anaerobic digestion, and incineration,
present variable pathways for FEW impacts and opportunities. Furthermore,
comprehensive sustainable management of food waste will involve varied mechanisms
and actors at multiple levels of governance and at the level of individual
consumers. To address the complex food waste problem, we therefore propose a
"food-waste-systems" approach to optimize resources within the FEW nexus. Such a
framework may be applied to devise strategies that, for instance, minimize the
amount of edible food that is wasted, foster efficient use of energy and water in
the food production process, and simultaneously reduce pollution externalities
and create opportunities from recycled energy and nutrients. Characterization of
FEW nexus impacts of wasted food, including descriptions of dynamic feedback
behaviors, presents a significant research gap and a priority for future work.
Large-scale decision making requires more complete understanding of food waste
and its management within the FEW nexus, particularly regarding post-disposal
impacts related to water.
PMID- 29366797
TI - Simultaneous production of oil enriched in omega-3 polyunsaturated fatty acids
and biodiesel from fish wastes.
AB - The waste resulted from fish processing industries are discarded into the
environment around the world, causing environmental pollution. The main problem
of fish oil extracted from waste is the high content in free fatty acids (FFA)
which decrease the yield in fatty acids esters during transesterification
reactions. Therefore, to correct the fish-oil properties, a new environmentally
friendly heterogeneous superacid catalyst (SO42-/SnO2-ZrO2) was tested in the
esterification reaction of FFA with ethanol. The catalyst was characterized by
different techniques (XRD, FT-IR, FT-IR of adsorbed pyridine, BET, SEM-EDX, TGA
and acidity measurements). The reaction was found to follow a Langmuir
Hinshelwood (L-H) dual-site mechanism with the novelty that both Bronsted and
Lewis acid centers participate equally in the esterification reaction. The pre
treated oil was subjected to transesterification reaction with ethanol over a
heterogeneous base catalyst and then, the saturated and unsaturated fractions of
fatty acid ethyl esters (FAEE) were separated using a vacuum rectification unit
with falling film. The saturated content can be used as biofuel, while the
unsaturated FAEE are further transesterified with glycerol in order to obtain oil
with high content in polyunsaturated fatty acids (PUFA). A detailed study of the
intrinsic kinetic process at the surface of the superacid catalyst and a thorough
mathematical model of the fixed bed reactor were written and validated by an
experimental program, designed according to the D-optimal methodology.
PMID- 29366798
TI - Organic reductants based leaching: A sustainable process for the recovery of
valuable metals from spent lithium ion batteries.
AB - It is significant to recover metal values from spent lithium ion batteries (LIBs)
for the alleviation or prevention of potential risks towards environmental
pollution and public health, as well as for the conservation of valuable metals.
Herein a hydrometallurgical process was proposed to explore the possibility for
the leaching of different metals from waste cathodic materials (LiCoO2) of spent
LIBs using organics as reductant in sulfuric acid medium. According to the
leaching results, about 98% Co and 96% Li can be leached under the optimal
experimental conditions of reaction temperature - 95 degrees C, reaction time -
120 min, reductive agent dosage - 0.4 g/g, slurry density - 25 g/L, concentration
of sulfuric acid-3 mol/L in H2SO4 + glucose leaching system. Similar results (96%
Co and 100% Li) can be obtained in H2SO4 + sucrose leaching system under
optimized leaching conditions. Despite a complete leaching of Li (~100%), only
54% Co can be dissolved in the H2SO4 + cellulose leaching system under optimized
leaching conditions. Finally, different characterization methods, including UV
Vis, FT-IR, SEM and XRD, were employed for the tentative exploration of reductive
leaching reactions using organic as reductant in sulfuric acid medium. All the
leaching and characterization results confirm that both glucose and sucrose are
effective reductants during leaching, while cellulose should be further degraded
to organics with low molecular weights to achieve a satisfactory leaching
performance.
PMID- 29366799
TI - Gravimetric composition of the rejects coming from the segregation process of the
municipal recyclable wastes.
AB - Rejects from selective collection are municipal solid waste (MSW) not used for
recycling and are, therefore, destined for the landfill in Brazil. Knowledge of
the composition and generation of this waste is important for strategically
planning public policies that minimize its generation and its negative
environmental impacts. However, this portion of MSW is not very well known.
Therefore, the aim of this study was to analyze the rejects from the sorting
process of the selective waste collection in the municipality of Blumenau, in the
State of Santa Catarina, southern Brazil. The studied rejects came from the
largest cooperative in the city, and its composition was sorted into 17
categories of 101 samples over the course of one year, with a total of 3893 kg of
analyzed rejects. The waste collected by the selective collection of the
municipality was evaluated monthly to determine which part of this quantity
became rejects and to determine the composition and seasonality of these rejects.
The study found that 30.5% of the waste sorted by the cooperative was rejected.
Among these rejects, the presence of materials that could be marketed by the
cooperative was verified. Hazardous and/or legally prohibited waste were also
identified, as were organics, construction and demolition waste, health care
waste, electronics, textiles, footwear, batteries, and bulbs. Seasonal analysis
indicated a concerning constant generation of health care waste. Aside from that,
there was an increase in the generation of waste from electrical and electronic
equipment (EEE) during the Christmas period, when a large part of the population
discards their EEE. This information is important for the enforcement of the MSW
management structure as well as for educational campaigns aimed at the correct
separation of waste that should be sent for selective collection.
PMID- 29366800
TI - Production of organic fertilizer from olive mill wastewater by combining solar
greenhouse drying and composting.
AB - Olive mill wastewater (OMW) is generated during the production of olive oil. Its
disposal is still a major environmental problem in Mediterranean countries,
despite the fact that a large number of technologies have been proposed up to
date. The present work examines for the first time a novel, simple and low-cost
technology for OMW treatment combining solar drying and composting. In the first
step, OMW was dried in a chamber inside a solar greenhouse using swine manure as
a bulking agent. The mean evaporation rate was found to be 5.2 kg H2O/m2/d for a
drying period of 6 months (February-August). High phenol (75%) and low nitrogen
(15%) and carbon (15%) losses were recorded at the end of the solar drying
process. The final product after solar drying was rich in nutrients (N: 27.8
g/kg, P: 7.3 g/kg, K: 81.6 g/kg) but still contained significant quantities of
phenols (18.4 g/kg). In order to detoxify the final product, a composting process
was applied as a second step with or without the use of grape marc as bulking
agent. Results showed that the use of grape marc as a bulking agent at a volume
ratio of 1:1 achieved a higher compost temperature profile (60 degrees C) than
2:1 (solar drying product: grape marc) or no use (solar drying product). The end
product after the combination of solar drying and composting had the
characteristics of an organic fertilizer (57% organic carbon) rich in nutrients
(3.5% N, 1% P, 6.5% K) with quite low phenol content (2.9 g/kg). Finally, the use
of this product for the cultivation of pepper plants approved its fertility which
was found similar with commercial NPK fertilizers.
PMID- 29366802
TI - Non-coding RNAs in the reprogramming of glucose metabolism in cancer.
AB - Proliferating cancer cells reprogram their metabolic circuitry to thrive in an
environment deficient in nutrients and oxygen. Cancer cells exhibit a higher rate
of glucose metabolism than normal somatic cells, which is achieved by switching
from oxidative phosphorylation to aerobic glycolysis to meet the energy and
metabolites demands of tumour progression. This phenomenon, which is known as the
Warburg effect, has generated renewed interest in the process of glucose
metabolism reprogramming in cancer cells. Several regulatory pathways along with
glycolytic enzymes are responsible for the emergence of glycolytic dependence.
Non-coding (nc)RNAs are a class of functional RNA molecules that are not
translated into proteins but regulate target gene expression. NcRNAs have been
shown to be involved in various biological processes, including glucose
metabolism. In this review, we describe the regulatory role of ncRNAs
specifically, microRNAs and long ncRNAs-in the glycolytic switch and propose that
ncRNA-based therapeutics can be used to inhibit the process of glucose metabolism
reprogramming in cancer cells.
PMID- 29366801
TI - Neural crest development in Xenopus requires Protocadherin 7 at the lateral
neural crest border.
PMID- 29366803
TI - Targeting c-Myc: JQ1 as a promising option for c-Myc-amplified esophageal
squamous cell carcinoma.
AB - c-Myc amplification-induced cell cycle dysregulation is a common cause for
esophageal squamous cell carcinoma (ESCC), but no approved targeted drug is
available so far. The bromodomain inhibitor JQ1, which targets c-Myc, exerts anti
tumor activity in multiple cancers. However, the role of JQ1 in ESCC remains
unknown. In this study, we reported that JQ1 had potent anti-proliferative
effects on ESCC cells in both time- and dose-dependent manners by inducing cell
cycle arrest at G1 phase, cell apoptosis, and the mesenchymal-epithelial
transition. Follow-up studies revealed that both c-Myc/cyclin/Rb and PI3K/AKT
signaling pathways were inactivated by JQ1, as indicated by the downregulation of
c-Myc, cyclin A/E, and phosphorylated Rb, AKT and S6. Tumor suppression induced
by JQ1 in c-Myc amplified or highly expressed xenografts was higher than that in
xenografts with low expression, suggesting its potential role in prediction. In
conclusion, targeting c-Myc by JQ1 could cause significant tumor suppression in
ESCC both in vitro and in vivo. Also, c-Myc amplification or high expression
might serve as a potential biomarker and provide a promising therapeutic option
for ESCC.
PMID- 29366804
TI - Clinical utility of non-EpCAM based circulating tumor cell assays.
AB - Methods enabling the isolation, detection, and characterization of circulating
tumor cells (CTCs) in blood have clear potential to facilitate precision medicine
approaches in patients with cancer, not only for prognostic purposes but also for
prediction of the benefits of specific therapies in oncology. However, current
CTC assays, which capture CTCs based on expression of epithelial cell adhesion
molecule (EpCAM), fail to capture cells from de-differentiated tumors and
carcinomas undergoing loss of the epithelial phenotype during the
invasion/metastatic process. To address this limitation, many groups are
developing non-EpCAM based CTC assays that incorporate nanotechnology to improve
test sensitivity for rare but important cells that may otherwise go undetected,
and therefore may improve upon clinical utility. In this review, we outline
emerging non-EpCAM based CTC assays utilizing nanotechnology approaches for CTC
capture or characterization, including dendrimers, magnetic nanoparticles, gold
nanoparticles, negative selection chip or software-based on-slide methods, and
nano-scale substrates. In addition, we address challenges that remain for the
clinical translation of these platforms.
PMID- 29366805
TI - Lentivirus-mediated CTRP6 silencing ameliorates diet-induced obesity in mice.
AB - The C1q/TNF-related protein 6 (CTRP6) is an adipokine involved in diverse
biological processes. Formerly, we identified that CTRP6 regulates adipocyte
differentiation, fatty acid oxidation and triglyceride accumulation in vitro.
However, the effects of CTRP6 on adiposity in vivo have not yet been defined.
This study aimed to confirm the involvement of CTRP6 in adipose accumulation and
brown adipogenesis by intraperitoneal injection of the CTRP6-shRNA lentivirus
into mice (CL mice). CL mice were significantly thinner than the control mice
after feeding with a high fat diet (HFD), independent of food intake quantity.
These HFD-fed CL mice displayed lower white and brown adipocyte sizes, and serum
leptin levels, but an increase in serum adiponectin and insulin sensitivity
relative to control mice. Additionally, the brown fat markers, such as UCP1,
PRDM16, PGC1alpha and Cidea were found to be upregulated in the white and brown
adipose tissue of the CL mice. These markers were also upregulated in a primary
culture of mouse white and brown adipocytes treated with the CTRP6-shRNA
lentivirus. Mechanistically, the knockdown of CTRP6 increased p38MAPK
phosphorylation, but decreased expression of proteins involved in the Hedgehog
signaling pathway (Sufu, Gli2 and Gli3). CTRP6 knockdown also upregulated
expression of mitochondrial metabolic factors NRF-1, TFAM, CPT1 and Cyt C. Data
from the current study show that CTRP6 knockdown protects against diet-induced
obesity and promotes brown adipogenesis by the p38MAPK/Hh signaling pathway in
conjunction with the upregulation of brown fat markers and mitochondrial
metabolic factors.
PMID- 29366806
TI - Silencing of Kangai 1 C-terminal interacting tetraspanin suppresses progression
of cholangiocarcinoma.
AB - Cholangiocarcinoma (CC) is the second most common primary hepatic malignancy. CC
treatment options are very limited especially for patients with distant
metastasis. Kangai 1 C-terminal interacting tetraspanin (KITENIN) is highly
expressed in numerous cancers, but the role of KITENIN in CC remains unknown.
Here, we have investigated for the first time the function of KITENIN in human CC
cell lines (TFK-1, SZ-1), tissues and a CC mouse model (Alb-Cre/LSL
KRASG12D/p53L/L). KITENIN was expressed in 92.2% of human CC tissues, in murine
CC samples and also in human CC cell lines. Knockdown of KITENIN by small
interfering RNA (siRNA) effectively reduced proliferation, migration, invasion
and colony formation in both intra- and extra-hepatic CC cells. The expression of
epithelial-mesenchymal transition (EMT) markers like N-cadherin, Vimentin, Snail
and Slug were suppressed in KITENIN knockdown CC cells. Our results indicate that
KITENIN is crucial for cholangiocarcinogenesis and it might become a potential
therapeutic target for human CC treatment.
PMID- 29366807
TI - Bioactivity and gene expression profiles of hiPSC-generated retinal ganglion
cells in MT-ND4 mutated Leber's hereditary optic neuropathy.
AB - Leber's hereditary optic neuropathy (LHON) is the maternally inherited
mitochondrial disease caused by homoplasmic mutations in mitochondrial electron
transport chain Complex I subunit genes. The mechanism of its incomplete
penetrance is still largely unclear. In this study, we created the patient
specific human induced pluripotent stem cells (hiPSCs) from MT-ND4 mutated LHON
affected patient, asymptomatic mutation carrier and healthy control, and
differentiated them into retinal ganglion cells (RGCs). We found the defective
neurite outgrowth in affected RGCs, but not in the carrier RGCs which had
significant expression of SNCG gene. We observed enhanced mitochondrial
biogenesis in affected and carrier derived RGCs. Surprisingly, we observed
increased NADH dehydrogenase enzymatic activity of Complex I in hiPSC-derived
RGCs of asymptomatic carrier, but not of the affected patient. LHON mutation
substantially decreased basal respiration in both affected and unaffected carrier
hiPSCs, and had the same effect on spare respiratory capacity, which ensures
normal function of mitochondria in conditions of increased energy demand or
environmental stress. The expression of antioxidant enzyme catalase was decreased
in affected and carrier patient hiPSC-derived RGCs as compared to the healthy
control, which might indicate to higher oxidative stress-enriched environment in
the LHON-specific RGCs. Microarray profiling demonstrated enhanced expression of
cell cycle machinery and downregulation of neuronal specific genes.
PMID- 29366808
TI - Primate-specific miRNA-637 inhibited tumorigenesis in human pancreatic ductal
adenocarcinoma cells by suppressing Akt1 expression.
AB - As a primate-specific microRNA, miR-637 has been discovered for nearly 10 years.
Our previous study demonstrated that miR-637 acted as a suppressor in
hepatocellular carcinoma. However, its biomedical significance in pancreatic
cancer remains obscure. In the present study, miR-637 was found to be
significantly downregulated in pancreatic ductal adenocarcinoma (PDAC) cell lines
and most of the PDAC specimens. Furthermore, the enforced overexpression of miR
637 dramatically inhibited cell proliferation and induced apoptosis of PDAC
cells. Akt1, as a serine/threonine-protein kinase, has been identified as an
oncogene in multiple cancers including pancreatic cancer. Our data confirmed that
Akt1 was a novel target for miR-637, and its knockdown also induced cell growth
inhibition and apoptosis in PDAC cells. In conclusion, our data indicated that
miR-637 acted as a tumor-suppressor in PDAC, and the suppressive effect was
mediated, at least partially, by suppressing Akt1 expression.
PMID- 29366809
TI - In vitro analysis of the transcriptional regulatory mechanism of zebrafish
pou5f3.
AB - Zebrafish pou5f3 (previously named pou2), a close homologue of mouse Oct4,
encodes a PouV-family transcription factor. pou5f3 has been implicated in diverse
aspects of developmental regulation during embryogenesis. In the present study,
we addressed the molecular function of Pou5f3 as a transcriptional regulator and
the mechanism by which pou5f3 expression is transcriptionally regulated. We
examined the influence of effector genes on the expression of the luciferase gene
under the control of the upstream 2.1-kb regulatory DNA of pou5f3 (Luc-2.2) in
HEK293T and P19 cells. We first confirmed that Pou5f3 functions as a
transcriptional activator both in cultured cells and embryos, which confirmed
autoregulation of pou5f3 in embryos. It was further shown that Luc-2.2 was
activated synergistically by pou5f3 and sox3, which is similar to the co
operative activity of Oct4 and Sox2 in mice, although synergy between pou5f3 and
sox2 was less obvious in this zebrafish system. The effects of pou5f3 deletion
constructs on the regulation of Luc-2.2 expression revealed different roles for
the three subregions of the N-terminal region in Pou5f3 in terms of its
regulatory functions and co-operativity with Sox3. Electrophoretic mobility shift
assays confirmed that Pou5f3 and Sox3 proteins specifically bind to adjacent
sites in the 2.1-kb DNA and that there is an interaction between the two
proteins. The synergy with sox3 was unique to pou5f3-the other POU factor genes
examined did not show such synergy in Luc-2.2 regulation. Finally, functional
interaction was observed between pou5f3 and sox3 in embryos in terms of the
regulation of dorsoventral patterning and convergent extension movement. These
findings together demonstrate co-operative functions of pou5f3 and sox3, which
are frequently coexpressed in early embryos, in the regulation of early
development.
PMID- 29366810
TI - Ruta graveolens water extract inhibits cell-cell network formation in human
umbilical endothelial cells via MEK-ERK1/2 pathway.
AB - Angiogenesis is a process encompassing several steps such as endothelial cells
proliferation, differentiation and migration to form a vascular network,
involving different signal transduction pathways. Among these, ERK1/2 signaling
mediates VEGF-dependent signaling pathway. Here we report that the water extract
of Ruta graveolens (RGWE), widely known as a medicinal plant, is able to impair
in a dose-dependent manner, cell network formation without affecting cell
viability. Biochemical analysis showed that the major component of RGWE is rutin,
unable to reproduce RGWE effect. We found that RGWE inhibits ERK1/2
phosphorylation and that this event is crucial in cell network formation since
the transfection of HUVEC with a constitutively active MEK (caMEK), the ERK1/2
activator, induces a robust cell network formation as compared to untransfected
and/or mock transfected cells and, more importantly, caMEK transfected cells
became unresponsive to RGWE. Moreover, RGWE inhibits VEGF and nestin gene
expression, necessary for vessel formation, and the caMEK transfection induces
their higher expression. In conclusion, we report that RGWE is able to
significantly impair vessels network formation without affecting cell viability,
preventing ERK1/2 activation and, in turn, down-regulating VEGF and nestin
expression. These findings point to RGWE as a potential therapeutic tool capable
to interfere with pathologic angiogenesis.
PMID- 29366811
TI - Plant membrane trafficking is coming of age.
PMID- 29366812
TI - An updated view on the functions of caspases in inflammation and immunity.
AB - The binary classification of mammalian caspases as either apoptotic or
inflammatory is now obsolete. Emerging data indicate that all mammalian caspases
are intricately involved in the regulation of inflammation and immunity. They
participate in embryonic and adult tissue homeostasis, control leukocyte
differentiation, activation and effector functions, and mediate innate and
adaptive immunity signaling. Caspases also promote host resistance by regulating
anti-oxidant defense and pathogen clearance through regulation of phagosomal
maturation, actin dynamics and phagosome-lysosome fusion. Beyond apoptosis, they
regulate inflammatory cell death, eliciting rapid pyroptosis of infected cells,
while inhibiting necroptosis-mediated tissue destruction and chronic
inflammation. In this review, we describe the cellular and molecular mechanisms
underlying non-apoptotic functions of caspases in inflammation and immunity and
provide an updated view of their functions as central regulators of tissue
homeostasis and host defense.
PMID- 29366813
TI - Anatomic mesohepatectomy versus extended hepatectomy for patients with centrally
located hepatocellular carcinoma.
AB - BACKGROUND: Both mesohepatectomy (MH) and extended hepatectomy (EH) can be
performed for centrally located hepatocellular carcinoma (HCC). In this study,
the long-term prognosis of these surgical approaches was assessed in patients
with HCC. METHODS: A retrospective review was undertaken of 171 HCC patients who
underwent anatomic hepatectomy for centrally located HCC between January 2005 and
January 2016 in West China Hospital, Sichuan University. The impact of the
surgical methods on prognosis was assessed for these patients by multivariable
regression analysis. In addition, the patients in the MH group were matched in a
1:2 ratio with EH controls. RESULTS: In non-adjusted models, patients in the MH
group had similar overall survival (OS, p = 0.066) and disease free survival
(DFS, p = 0.654) compared to EH patients. After adjusting for all identified
confounders, MH patients showed better OS in comparison with patients in the EH
group (p = 0.001), while the DFS was similar. In the propensity score-matched
(PSM) subset, patients in MH group had better OS (p = 0.033) but similar DFS (p =
0.328) compared to patients in the EH group. CONCLUSION: Anatomic MH can be
recommended as a reasonable surgical option in selected patients with centrally
located HCC.
PMID- 29366814
TI - Tumor manipulation during pancreatic resection for pancreatic cancer induces
dissemination of tumor cells into the peritoneal cavity: a systematic review.
AB - BACKGROUND: Intraoperative tumor manipulation may induce the dissemination of
occult peritoneal tumor cells (OPTC) into the peritoneal cavity. METHODS: A
systematic review was performed in the PubMed, Embase and Cochrane databases from
inception to March 15, 2017. Eligible were studies that analyzed the presence of
OPTC in peritoneal fluid, by any method, both before and after resection in
adults who underwent intentionally curative pancreatic resection for
histopathologically confirmed pancreatic ductal adenocarcinoma in absence of
macroscopic peritoneal metastases. RESULTS: Four studies with 138 patients met
the inclusion criteria. The pooled rate of OPTC prior to tumor manipulation was
8% (95% CI 2%-24%). The pooled detection rate of OPTC in patients in whom OPTC
became detectable only after tumor manipulation was 33% (95% CI 15-58%). Only one
study (28 patients) reported on survival, which was worse in patients with OPTC
(median 11.1 months versus 30.3 months; p = 0.030). CONCLUSION: This systematic
review suggests that tumor manipulation induces OPTC in one third of patients
with pancreatic cancer. Since data on survival are lacking, future studies should
determine the prognostic consequences of tumor manipulation, including the
potential therapeutic effect of 'no-touch' and minimally invasive resection
strategies.
PMID- 29366815
TI - Systematic review on the role of serum tumor markers in the detection of
recurrent pancreatic cancer.
AB - BACKGROUND: Biomarker testing can be helpful to monitor disease progression after
resection of pancreatic cancer. This systematic review aims to give an overview
of the literature on the diagnostic value of serum tumor markers for the
detection of recurrent pancreatic cancer during follow-up. METHODS: A systematic
search was performed to 2 October 2017. All studies reporting on the diagnostic
value of postoperatively measured serum biomarkers for the detection of
pancreatic cancer recurrence were included. Data on diagnostic accuracy of tumor
markers were extracted. Forest plots and pooled values of sensitivity and
specificity were calculated. RESULTS: Four articles described test results of CA
19-9. A pooled sensitivity and specificity of respectively 0.73 (95% CI 0.66
0.80) and 0.83 (95% CI 0.73-0.91) were calculated. One article reported on CEA,
showing a sensitivity of 50% and specificity of 65%. No other serum tumor markers
were discussed for surveillance purposes in the current literature. CONCLUSION:
Although testing of serum CA 19-9 has considerable limitations, CA 19-9 remains
the most used serum tumor marker for surveillance after surgical resection of
pancreatic cancer. Further studies are needed to assess the role of serum tumor
marker testing in the detection of recurrent pancreatic cancer and to optimize
surveillance strategies.
PMID- 29366816
TI - Robotic pancreatoduodenectomy at an experienced institution is not associated
with an increased risk of post-pancreatic hemorrhage.
AB - BACKGROUND: Postpancreatectomy hemorrhage (PPH) is a serious and life threatening
complication following pancreaticoduodenectomy. The objective was to determine
whether PPH incidence is elevated in a series of robotic pancreatoduodenectomy
(RPD) from a high-volume institution and if video review can identify technical
factors associated with PPH. METHODS: A retrospective review of RPDs from October
2008 to March 2016 was performed. PPH was classified by established international
criteria. Technical factors from RPD resection were ascertained using video
analysis. Clinical and technical variables were analyzed using multivariate
analysis. RESULTS: Of 400 patients who underwent RPD PPH occurred in 19 (4.8%)
and 168 (42%) had videos available to review. The technique of RPD was consistent
but a falciform flap was performed routinely after RPD#181 and flaps were
performed less (37.5% vs 75%) in the pseudoaneurysm group (p = 0.033). On
univariate analysis of technical variables, gastroduodenal artery (GDA)
mishandling and suture ligation were positive predictors of pseudoaneurysm
formation while falciform flap placement was a negative predictor (all p < 0.05).
GDA suture ligation remained significant on multivariate analysis (p = 0.006). A
negative relationship was found between pseudoaneurysm occurrence and time (rho =
-0.533; p < 0.05). CONCLUSIONS: PPH in a large series of RPD is similar to
reported rates in historical open control series; however, pseudoaneurysm is less
common with increasing experience. Video review is a useful tool in identifying
technical variables during in RPD.
PMID- 29366817
TI - Gas exchanges in children with cystic fibrosis or primary ciliary dyskinesia: A
retrospective study.
AB - Primary ciliary dyskinesia (PCD) and cystic fibrosis (CF) both entail
bronchiectasis and pulmonary impairment as measured using spirometry, during
childhood. We aimed at looking whether blood gas exchanges progressed differently
between CF and PCD children in a retrospective study of repeated measurements.
Comparisons between groups (Wilcoxon-Mann-Whitney and Chi-squared tests) and a
mixed linear model, adjusted for age, evaluated associations between diseases and
PaO2, PaCO2, or PaO2-PaCO2 ratio. Among 42 PCD and 73 CF children, 62% and 59%
had respectively bronchiectasis (P = 0.75). Spirometry and blood gases were
similar at inclusion (PaO2 median [IQR] PCD -1.80 [-3.40; -0.40]; CF -1.80 [
4.20; 0.60] z-scores; P = 0.72). PaO2 and PaO2-PaCO2 ratio similarly and
significantly decreased with age in both groups (P < 0.01) whereas PaCO2
increased more in CF (P = 0.02) remaining within the range of normal (except for
one child). To conclude, gas exchange characteristics, similarly initially
impaired in PCD and CF children, tended to less deteriorate with time in PCD
children who could benefit from an early diagnosis.
PMID- 29366818
TI - Social and environmental determinants of physical activity in urban parks:
Testing a neighborhood disorder model.
AB - The current study examined the nexus of neighborhood disorder-in the form of
physical disorder (e.g., broken glass and vandalism) and social disorder (e.g.,
public drinking and lewd conduct)-and physical activity (PA) in urban public
parks to inform public policy addressing chronic disease in at-risk populations.
Five hundred and twenty-two unique observations were conducted in 22 public parks
from March to September 2016. The study utilized the System for Observing Play
and Recreation in Communities (SOPARC) to document age, gender, ethnicity, and PA
level of park users. The Physical Activity Resource Assessment (PARA) was used to
document observed physical and social disorder incivilities in public parks
included in the current study. Males, adults, and Latina/os accounted for the
largest number of park users, respectively. Significant PA differences were
observed across gender, age, and ethnicity. Multiple linear regression
controlling for gender, age, and ethnicity found physical disorder, but not
social disorder, generally predicted PA reductions. While it has been
demonstrated that physical disorder predicts PA reductions in low-income
communities of color, this is the first study to reveal that physical disorder
may lead to decreased PA in urban public parks. Thus, remediation of public park
incivilities characterized by physical disorder, paired with community outreach,
may lead to increased PA in at-risk communities.
PMID- 29366819
TI - Thirty-day smoking in adolescence is a strong predictor of smoking in young
adulthood.
AB - Thirty-day smoking, although a widely used measure of adolescent smoking (age 12
16), has been questioned as an accurate measure of young adult (age 26-30)
smoking behavior, particularly when critiquing studies linking use of e
cigarettes with subsequent cigarette smoking. We used logistic regression to test
two measures of 30-day adolescent smoking as predictors of young adult smoking in
the National Longitudinal Survey of Youth 1997. Adjusting for psychosocial
covariates, compared to those who smoked zero days in the past 30 days in
adolescence, odds of any past-30-day smoking in young adulthood ranged from 2.85
(95% CI: 1.85-4.37) for those who smoked 1 day to 4.81 (3.50-6.59) for those who
smoked daily as adolescents, and adjusted odds of daily smoking in young
adulthood ranged from 1.99 (1.24-3.18) to 4.69 (3.42-6.43). Compared with
adolescent never smokers, adjusted odds of any past-30-day smoking in young
adulthood among adolescent former smokers was 2.11 (1.77-2.53), and among
adolescent current smokers, ranged from 3.03 (2.22-4.14) for those who smoked 1-5
cigarettes per month to 8.19 (5.80-11.55) for those who smoked daily. Adjusted
odds of daily smoking in young adulthood were 2.49 (2.12-2.91) for adolescent
former smokers and, among adolescent current smokers, ranged from 2.54 (1.92
3.37) for those who smoked 1-5 cigarettes per month to 8.65 (6.06-12.35) for
those who smoked daily. There is a strong dose-response relationship between 30
day smoking in adolescence-even a single day in the month-and 30-day and daily
smoking in young adulthood.
PMID- 29366820
TI - Alcohol use patterns and risk of diabetes onset in the 1979 National Longitudinal
Survey of Youth Cohort.
AB - One of the major limitations in studying alcohol's effect on risk for diabetes is
the issue of classifying drinking patterns across the life course prior to the
onset of diabetes. Furthermore, this research often overlooks important life
course risk factors such as obesity and early-life health problems that may
complicate estimation of the relationship between alcohol and diabetes. This
study used data from the US National Longitudinal Survey of Youth 1979 cohort of
14-21 year olds followed through 2012 (n = 8289). Alcohol use was captured
through time-varying measures of past month volume and frequency of days with 6+
drinks. Discrete-time survival models controlling for demographics, early-life
characteristics and time-varying risk factors of employment, smoking, and body
mass index (BMI) group, stratified by sex and race/ethnicity, were estimated.
Increased odds of diabetes onset was found among lifetime abstainers for women
compared to the low volume reference group (odds ratio (OR) 1.57; 95% Confidence
Interval (CI) 1.07-2.3). Increased odds of diabetes onset was also found among
women who reported drinking 6+ drinks in a day on a weekly basis during the prior
10 years (OR 1.55; CI 1.04-2.31). Models interacting alcohol and BMI groups found
increased odds of diabetes onset from lifetime abstention among overweight women
only (OR 3.06; CI 1.67-5.60). This study confirms previous findings of protective
effects from low volume drinking compared to lifetime abstention and harmful
effects from regular heavy occasion drinking for women. Further, protective
effects in this US sample were found to be limited to overweight women only.
PMID- 29366821
TI - A Rho-GTPase based model explains spontaneous collective migration of neural
crest cell clusters.
AB - We propose a model to explain the spontaneous collective migration of neural
crest cells in the absence of an external gradient of chemoattractants. The model
is based on the dynamical interaction between Rac1 and RhoA that is known to
regulate the polarization, contact inhibition and co-attraction of neural crest
cells. Coupling the reaction-diffusion equations for active and inactive Rac1 and
RhoA on the cell membrane with a mechanical model for the overdamped motion of
membrane vertices, we show that co-attraction and contact inhibition cooperate to
produce persistence of polarity in a cluster of neural crest cells by suppressing
the random onset of Rac1 hotspots that may mature into new protrusion fronts.
This produces persistent directional migration of cell clusters in corridors. Our
model confirms a prior hypothesis that co-attraction and contact inhibition are
key to spontaneous collective migration, and provides an explanation of their
cooperative working mechanism in terms of Rho GTPase signaling. The model shows
that the spontaneous migration is more robust for larger clusters, and is most
efficient in a corridor of optimal confinement.
PMID- 29366822
TI - Performance limits and trade-offs in entropy-driven biochemical computers.
AB - It is now widely accepted that biochemical reaction networks can perform
computations. Examples are kinetic proof reading, gene regulation, or signalling
networks. For many of these systems it was found that their computational
performance is limited by a trade-off between the metabolic cost, the speed and
the accuracy of the computation. In order to gain insight into the origins of
these trade-offs, we consider entropy-driven computers as a model of biochemical
computation. Using tools from stochastic thermodynamics, we show that entropy
driven computation is subject to a trade-off between accuracy and metabolic cost,
but does not involve time-trade-offs. Time trade-offs appear when it is taken
into account that the result of the computation needs to be measured in order to
be known. We argue that this measurement process, although usually ignored, is a
major contributor to the cost of biochemical computation.
PMID- 29366823
TI - Data-driven modelling of social forces and collective behaviour in zebrafish.
AB - Zebrafish are rapidly emerging as a powerful model organism in hypothesis-driven
studies targeting a number of functional and dysfunctional processes.
Mathematical models of zebrafish behaviour can inform the design of experiments,
through the unprecedented ability to perform pilot trials on a computer. At the
same time, in-silico experiments could help refining the analysis of real data,
by enabling the systematic investigation of key neurobehavioural factors. Here,
we establish a data-driven model of zebrafish social interaction. Specifically,
we derive a set of interaction rules to capture the primary response mechanisms
which have been observed experimentally. Contrary to previous studies, we include
dynamic speed regulation in addition to turning responses, which together provide
attractive, repulsive and alignment interactions between individuals. The
resulting multi-agent model provides a novel, bottom-up framework to describe
both the spontaneous motion and individual-level interaction dynamics of
zebrafish, inferred directly from experimental observations.
PMID- 29366824
TI - Temperature-driven population abundance model for Culex pipiens and Culex
restuans (Diptera: Culicidae).
AB - We develop a temperature-driven abundance model for West Nile virus (WNV) vector
species, Culex pipiens and Culex restuans. Temperature-dependent response
functions for mosquito development, mortality, and diapause were formulated based
on results from available laboratory and field studies. Numerical results
compared to observed mosquito trap counts from 2004-2016 demonstrate the ability
of our model to predict the observed trend of the mosquito population over a
single season in the Peel Region, Ontario. The model has potential to be used as
a real-time mosquito abundance forecasting tool with applications in mosquito
control programs.
PMID- 29366825
TI - Electrical stimulation or MK-801 in the inferior colliculus improve motor
deficits in MPTP-treated mice.
AB - The inferior colliculus (IC) is an important midbrain relay station for the
integration of descending and ascending auditory information. Additionally, the
IC has been implicated in processing sensorimotor responses. Glutamatergic and
GABAergic manipulations in the IC can improve motor deficits as demonstrated by
the animal model of haloperidol-induced catalepsy. However, how the IC influences
motor function remains unclear. We investigated the effects of either
intracollicular deep brain stimulation (DBS) or microinjection of the
glutamatergic antagonist MK-801 or the agonist NMDA in C57BL/6J mice chronically
treated with saline or 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP). After
DBS or microinjections, the mice were submitted to rotarod and open field tests,
respectively. DBS in the IC was effective to increase the time spent on the
rotarod in MPTP-treated mice. After unilateral microinjection of MK-801, but not
NMDA, MPTP-treated mice increased the distance travelled in the open field (p <
0.05). In conclusion, intracollicular DBS or MK-801 microinjection can improve
motor performance in parkinsonian mice suggesting the IC as a new and non
conventional therapeutic target in motor impairment.
PMID- 29366826
TI - Concurrent PTHrp- and calcitriol-mediated hypercalcemia associated with
cholangiocarcinoma.
PMID- 29366827
TI - Gastric ulcer related with gastrostomy feeding tube: Description of 3 cases and
review of literature.
PMID- 29366828
TI - Classification of lumbopelvic-hip complex instability on kinematics amongst
female team handball athletes.
AB - OBJECTIVES: The purpose of this study was to examine how lumbopelvic-hip complex
(LPHC) stability, via knee valgus, affects throwing kinematics during a team
handball jump shot. DESIGN: LPHC stability was classified using the value of knee
valgus at the instant of landing from the jump shot. If a participant displayed
knee valgus of 17 degrees or greater, they were classified as LPHC unstable.
Stable and unstable athletes' throwing mechanics were compared. METHODS: Twenty
female team handball athletes (26.5+/-4.7years; 1.75+/-0.04m; 74.4+/-6.4kg;
experience level: 4.8+/-4.1 years) participated. An electromagnetic tracking
system was used to collect kinematic data while participants performed three 9-m
jump shots. The variables considered were kinematics of the pelvis, trunk, and
shoulder; and segmental speeds of the pelvis, torso, humeral, forearm, and ball
velocities. Data were analyzed across four events: foot contact, maximum shoulder
external rotation, ball release, and maximum shoulder internal rotation. RESULTS:
Statistically significant differences were found between groups in pelvis, trunk,
humerus, and forearm velocities at all events (p<=0.05). Specifically, the
unstable group displayed significantly slower speeds. CONCLUSIONS: These findings
suggest the difference in throwing mechanics are affected by LPHC instability for
this select group of female team handball athletes. These differences infer an
increased risk of injury in the upper and lower extremities when landing from a
jump shot because of the energy losses throughout the kinetic chain and lack of
utilization of the entire chain. It is recommended that further investigations
also consider muscle activation throughout the throwing motion.
PMID- 29366829
TI - A revised dated phylogeny of scorpions: Phylogenomic support for ancient
divergence of the temperate Gondwanan family Bothriuridae.
AB - The scorpion family Bothriuridae occupies a subset of landmasses formerly
constituting East and West temperate Gondwana, but its relationship to other
scorpion families is in question. Whereas morphological data have strongly
supported a sister group relationship of Bothriuridae and the superfamily
Scorpionoidea, a recent phylogenomic analysis recovered a basal placement of
bothriurids within Iurida, albeit sampling only a single exemplar. Here we
reexamined the phylogenetic placement of the family Bothriuridae, sampling six
bothriurid exemplars representing both East and West Gondwana, using
transcriptomic data. Our results demonstrate that the sister group relationship
of Bothriuridae to the clade ("Chactoidea" + Scorpionoidea) is supported by the
inclusion of additional bothriurid taxa, and that this placement is insensitive
to matrix completeness or partitioning by evolutionary rate. We also estimated
divergence times within the order Scorpiones using multiple fossil calibrations,
to infer whether the family Bothriuridae is sufficiently old to be characterized
as a true Gondwanan lineage. We show that scorpions underwent ancient
diversification between the Devonian and early Carboniferous. The age interval of
the bothriurids sampled (a derived group that excludes exemplars from South
Africa) spans the timing of breakup of temperate Gondwana.
PMID- 29366830
TI - Integrative Regulation of Drought Escape through ABA-Dependent and -Independent
Pathways in Rice.
AB - Many plants have evolved a drought escape (DE) mechanism to shorten their life
cycle when facing water-deficit conditions. While drought tolerance has been
intensively investigated, the genetic and molecular mechanisms of DE remain
elusive. In this study, we found that low water-deficit treatment (LWT) at the
early stage of rice development can trigger early flowering and reduced tiller
numbers. LWT induced the accumulation of abscisic acid (ABA), which in turn has
feed-back effects on light perception and circadian clock by synchronously
regulating many flowering-related genes to promote early flowering. Moreover,
some of light receptors, circadian components, and flowering-related genes
including OsTOC1, Ghd7, and PhyB were found to be involved in LWT in an ABA
dependent manner, whereas some of the other flowering-related genes including
OsGI, OsELF3, OsPRR37, and OsMADS50 were involved in the regulation of DE
independent of ABA. In addition, we found that strigolactones and OsTB1 are
involved in the tillering inhibition under LWT, which is independent of the
flowering pathway in rice. Taken together, our findings provide compelling
evidence that DE in rice is coordinately regulated by multiple pathways during
the reproduction (flowering) switch.
PMID- 29366831
TI - Predictive value of serum soluble corin in the risk of hyperglycemia: A
population-based prospective cohort study in China.
AB - BACKGROUND: Serum soluble corin has been suggested to be associated with
hyperglycemia by cross-sectional study. However, the prospective relationship
between them remains unclear, and whether lipid component influences the
relationship between them has not yet been studied. METHODS: A total of 1961
participants who were free from hyperglycemia were enrolled at baseline in 2010.
The serum soluble corin concentrations were measured at baseline and all
participants were followed up for hyperglycemia in 2014. RESULTS: The association
between serum soluble corin and hyperglycemia incidence was appreciably modified
by high density lipoprotein cholesterol (HDL-C) (Pinteraction = 0.04). Elevated
serum soluble corin was associated with the risk of hyperglycemia only in the HDL
C >=1.04 mmol/l subgroup rather than all participants. In participants with HDL-C
>=1.04 mmol/l, the adjusted odds ratio (95% CU) of hyperglycemia associated with
the fourth quartiles of corin was 1.78 (1.08-2.94) compared with the lowest
quartile of serum soluble corin, and there was a positive linear dose-response
relationship between them (P for linearity <0.01). The ordinal analysis showed an
association between serum soluble corin and hyperglycemia severity (adjusted OR,
1.81; 95% CI, 1.10-2.99; Ptrend = 0.02, when 2 extreme quartiles were compared).
The addition of serum soluble corin to conventional risk factors improved risk
prediction for hyperglycemia (net reclassification index: 0.16; integrated
discrimination improvement: 0.01) in participants with HDL-C >=1.04 mmol/l.
CONCLUSION: Serum soluble corin might be a valuable biomarker in prediction of
future hyperglycemia in population with HDL-C >=1.04 mmol/l, suggesting that
corin might play a potential role in glucose metabolism.
PMID- 29366832
TI - Two de novo variations identified by massively parallel sequencing in 13 Chinese
families with children diagnosed with autism spectrum disorder.
AB - Autism spectrum disorder (ASD) is a genetically heterogeneous neurodevelopmental
disorder characterized by impairments in social interaction and communication,
and by restricted and repetitive behaviors. The genetic architecture of ASD has
been elucidated, including chromosomal rearrangements, de novo or inherited rare
variants, and copy number variants. However, the genetic mechanism of Chinese
families with ASD children is explored rarely. To identify genetic pathogenesis,
we performed massively parallel sequencing on 13 Chinese ASD trio families, and
found two de novo variations. The novel de novo splice alteration c.664 + 2T > G
in the DEAF1 gene and the novel de novo missense mutation c.95 C > T in the AADAT
gene associated with ASD may be important clues for exploring the etiology of
this disorder.
PMID- 29366833
TI - Promising alternatives for one-tier testing of Lyme borreliosis.
AB - A main focus of human health studies is the early detection of infectious
diseases to enable more rapid treatment and prevent disease transmission.
Diagnosis of Lyme borreliosis has been always challenging because of the lack of
specific, but simple assay formats. Two-tiered testing has been recommended by US
Centers for Disease Control and Prevention to provide more specific results for
diagnosis of Lyme disease. However, such a technique is time consuming and is not
well suited for early stage detection. Therefore, many tests were proposed as
alternatives to overcome these drawbacks. Simple assays, which are mainly
performed in one-tier manner, could be conducted with better performance than the
two-tiered testing. Proposed assays utilize both newly identified antigens and
new platforms to improve detection performance. These assays can be classified
into those based on employing a single antigen and assays based on using multiple
antigens. In addition to assays to this type of assays, immunoassays on
borreliosis-related biomarkers are available. We report here the most recent
assays developed over the last 10 years, for detection of Lyme borreliosis in
body fluids.
PMID- 29366834
TI - The cardiac troponin response following physical exercise in relation to
biomarker criteria for acute myocardial infarction; the North Sea Race Endurance
Exercise Study (NEEDED) 2013.
AB - BACKGROUND: The aim of this study was to investigate troponin (cTn) dynamics for
both genders, compared the different release patterns to the gender specific 99th
percentile and to current biomarker criteria for diagnosing myocardial infarction
(MI). METHODS: Serum was collected from 97 recreational cyclists 24 h before and
immediately, 3 and 24 h following a 91-km bike race. hs-cTnI (Abbott) and hs-cTnT
(Roche) were measured. Conventional or CT coronary angiography was performed in
the 13 participants with the highest hs-cTnI (>140 ng/L). Three subjects with
obstructive coronary artery disease were excluded from the statistical analysis.
RESULTS: There was a significant (p < 0.001) post-race increase in cTnI and cTnT;
cTnT peaked immediately, cTnI peaked after 3 h. Relative to the gender specific
99th percentile values, women had the largest increase. The biomarker criteria
for MI were met in 76-87% for hs-cTnI, and 96-95% for hs-cTnT (p value <0.05),
within the first 3 h post-race. CONCLUSION: Post-race cardiac troponin
concentrations exceeded diagnostic criteria for MI in the majority of subjects,
more often for hs-cTnT than for hs-cTnI, and more pronounced in women than in
men. The current biomarker criteria for MI discriminate poorly between an
exercise induced troponin increase and acute MI.
PMID- 29366836
TI - Prognostic value of microRNA-155 in human carcinomas: An updated meta-analysis.
AB - BACKGROUND: miR-155 functions as an oncomiR or as an oncosuppressor-miR in human
cancer. Although miR-155 has been researched in many cancers, its prognostic
value is uncertain. METHODS: We performed a literature search in up-to-date
electronic databases including PubMed and Embase to obtain as many relevant
articles as possible. Combined hazard ratios (HR) of miR-155 for outcome were
analyzed. RESULTS: A total of 24 papers researching different cancers were
included in this meta-analysis. Combined HRs showed that miR-155 was
significantly associated with a poorer OS with HR = 1.99 (1.34-2.96) (I-squared =
83.1%, P = 0.000). Combined HR of PFS/RFS/DFS was 1.95 (1.14-3.33) (I-squared =
75.9%, P = 0.000) and CSS/DSS was 2.50 (0.73-8.58) (I-squared = 87.7%, P =
0.000). CONCLUSION: Increased miR-155 expression was associated with poorer
survival in human carcinoma and as such may be valuable in predicting outcome.
PMID- 29366835
TI - Performance of high-sensitivity cardiac troponin in the emergency department for
myocardial infarction and a composite cardiac outcome across different estimated
glomerular filtration rates.
AB - BACKGROUND: Clinicians regularly observe increased high-sensitivity cardiac
troponin (hs-cTn) concentrations in patients with low estimated glomerular
filtration rate (eGFR). The challenge is to differentiate acute coronary syndrome
(ACS) from increased hs-cTn results across a range of eGFR. The objective of this
study was to determined the optimal hs-cTn concentrations for acute myocardial
infarction (MI) and a composite cardiovascular outcome across different eGFR
ranges and to assess the utility of a low hs-cTn cutoff to rule-out events.
METHODS: We undertook an observational study in the emergency department of
patients (n = 1212) with symptoms suggestive of ACS who had an eGFR and at least
one Roche hs-cTnT and one Abbott hs-cTnI result. The 7-day outcomes were MI or a
composite of MI, unstable angina, congestive heart failure, serious ventricular
cardiac arrhythmia, or death. The maximum hs-cTn concentration was assessed
across different eGFR ranges (<30,30-59,60-89,>=90 ml/min/1.73m2) by spearman
correlation, ROC-curve analyses, and sensitivity and negative predictive value
(NPV) for the proposed rule-out hs-cTn cutoffs (hs-cTnI<5 ng/l and hs-cTnT<6
ng/l) for the outcomes. RESULTS: Both hs-cTnI and hs-cTnT concentrations were
negatively correlated with eGFR. The lower the eGFR, the lower the AUC and the
higher the optimal hs-cTn cutoffs for both MI and the composite outcome. The
highest combined sensitivity (100%), NPV (100%) and proportion of low-risk for MI
(45% of group) was observed for patients with hs-cTnT<6 ng/l with an eGFR>=90.
CONCLUSION: The test performance for hs-cTn for diagnosing or ruling-out an acute
cardiac event varies per the eGFR. Accurate risk stratification requires
knowledge of the eGFR.
PMID- 29366837
TI - The P2X7 purinergic receptor: An emerging therapeutic target in cardiovascular
diseases.
AB - The P2X7 purinergic receptor, a calcium permeable cationic channel, is activated
by extracellular ATP. Most studies show that P2X7 receptor plays an important
role in the nervous system diseases, immune response, osteoporosis and cancer.
Mounting evidence indicates that P2X7 receptor is also associated with
cardiovascular disease. For example, the P2X7 receptor activated by ATP can
attenuate myocardial ischemia-reperfusion injury. By contrast, inhibition of P2X7
receptor decreases arrhythmia after myocardial infarction, prolongs cardiac
survival after a long term heart transplant, alleviates the dilated
cardiomyopathy and the autoimmune myocarditis process. The P2X7 receptor also
mitigates vascular diseases including atherosclerosis, hypertension, thrombosis
and diabetic retinopathy. This review focuses on the latest research on the role
and therapeutic potential of P2X7 receptor in cardiovascular diseases.
PMID- 29366838
TI - Development of a 3D bone marrow adipose tissue model.
AB - Over the past twenty years, evidence has accumulated that biochemically and
spatially defined networks of extracellular matrix, cellular components, and
interactions dictate cellular differentiation, proliferation, and function in a
variety of tissue and diseases. Modeling in vivo systems in vitro has been
undeniably necessary, but when simplified 2D conditions rather than 3D in vitro
models are used, the reliability and usefulness of the data derived from these
models decreases. Thus, there is a pressing need to develop and validate reliable
in vitro models to reproduce specific tissue-like structures and mimic functions
and responses of cells in a more realistic manner for both drug screening/disease
modeling and tissue regeneration applications. In adipose biology and cancer
research, these models serve as physiologically relevant 3D platforms to bridge
the divide between 2D cultures and in vivo models, bringing about more reliable
and translationally useful data to accelerate benchtop to bedside research.
Currently, no model has been developed for bone marrow adipose tissue (BMAT), a
novel adipose depot that has previously been overlooked as "filler tissue" but
has more recently been recognized as endocrine-signaling and systemically
relevant. Herein we describe the development of the first 3D, BMAT model derived
from either human or mouse bone marrow (BM) mesenchymal stromal cells (MSCs). We
found that BMAT models can be stably cultured for at least 3 months in vitro, and
that myeloma cells (5TGM1, OPM2 and MM1S cells) can be cultured on these for at
least 2 weeks. Upon tumor cell co-culture, delipidation occurred in BMAT
adipocytes, suggesting a bidirectional relationship between these two important
cell types in the malignant BM niche. Overall, our studies suggest that 3D BMAT
represents a "healthier," more realistic tissue model that may be useful for
elucidating the effects of MAT on tumor cells, and tumor cells on MAT, to
identify novel therapeutic targets. In addition, proteomic characterization as
well as microarray data (expression of >22,000 genes) coupled with KEGG pathway
analysis and gene set expression analysis (GSEA) supported our development of
less-inflammatory 3D BMAT compared to 2D culture. In sum, we developed the first
3D, tissue-engineered bone marrow adipose tissue model, which is a versatile,
novel model that can be used to study numerous diseases and biological processes
involved with the bone marrow.
PMID- 29366839
TI - Characterization of the bone marrow adipocyte niche with three-dimensional
electron microscopy.
AB - Unlike white and brown adipose tissues, the bone marrow adipocyte (BMA) exists in
a microenvironment containing unique populations of hematopoietic and skeletal
cells. To study this microenvironment at the sub-cellular level, we performed a
three-dimensional analysis of the ultrastructure of the BMA niche with focused
ion beam scanning electron microscopy (FIB-SEM). This revealed that BMAs display
hallmarks of metabolically active cells including polarized lipid deposits, a
dense mitochondrial network, and areas of endoplasmic reticulum. The distinct
orientations of the triacylglycerol droplets suggest that fatty acids are taken
up and/or released in three key areas - at the endothelial interface, into the
hematopoietic milieu, and at the bone surface. Near the sinusoidal vasculature,
endothelial cells send finger-like projections into the surface of the BMA which
terminate near regions of lipid within the BMA cytoplasm. In some regions,
perivascular cells encase the BMA with their flattened cellular projections,
limiting contacts with other cells in the niche. In the hematopoietic milieu,
BMAT adipocytes of the proximal tibia interact extensively with maturing cells of
the myeloid/granulocyte lineage. Associations with erythroblast islands are also
prominent. At the bone surface, the BMA extends organelle and lipid-rich
cytoplasmic regions toward areas of active osteoblasts. This suggests that the
BMA may serve to partition nutrient utilization between diverse cellular
compartments, serving as an energy-rich hub of the stromal-reticular network.
Lastly, though immuno-EM, we've identified a subset of bone marrow adipocytes
that are innervated by the sympathetic nervous system, providing an additional
mechanism for regulation of the BMA. In summary, this work reveals that the bone
marrow adipocyte is a dynamic cell with substantial capacity for interactions
with the diverse components of its surrounding microenvironment. These local
interactions likely contribute to its unique regulation relative to peripheral
adipose tissues.
PMID- 29366840
TI - Lamin A/C Maintains Exocrine Pancreas Homeostasis by Regulating Stability of RB
and Activity of E2F.
AB - Lamins have important roles in nuclear structure and cell signaling. Several
diseases are associated with mutations in the lamin A/C gene (LMNA in humans).
Patients with familial partial lipodystrophy caused by LMNA mutations develop
pancreatitis, but lamin function in the pancreas and how these mutations affect
pancreatic regulation are unknown. We generated mice with inducible exocrine
pancreas-specific disruption of Lmna and showed that LMNA is lost from most
exocrine pancreas cells. LMNA-knockout pancreata develop endoplasmic reticulum
stress with loss of acinar cell markers, increased autophagy, apoptosis, and cell
proliferation, compared to CreERT2- mice (littermate controls). Disruption of
Lmna led to a phenotype that resembled chronic pancreatitis, with increased
Sirius Red staining and alpha-smooth muscle actin in male LMNA-knockout mice
compared to littermate males, but not in female mice. LMNA-knockout pancreata
have reduced levels of RB and activation of E2F, based on increased expression of
E2F target genes. Therefore, lamins maintain pancreatic homeostasis by regulating
RB stability and E2F activity.
PMID- 29366841
TI - Bi-directionality of Brain-Gut Interactions in Patients With Inflammatory Bowel
Disease.
AB - BACKGROUND & AIMS: Inflammatory bowel diseases (IBD) are associated with mood
disorders, such as anxiety or depression, but it is not clear whether one
contributes to development of the other, or if the interaction is bi-directional
(anxiety or depression contributes to the progression of IBD, and IBD affects
psychological health). We performed a 2-year longitudinal prospective study of
patients in secondary to care investigate the bi-directionality of IBD and mood
disorders. METHODS: We collected data from 405 adult patients with a diagnosis of
Crohn's disease (CD) or ulcerative colitis (UC) from November 2012 through June
2017. Demographic features, subtypes of IBD, treatments, symptoms, somatization,
and fecal level of calprotectin were recorded at baseline. IBD activity was
determined at baseline and after the follow-up period (2 years or more) using the
Harvey-Bradshaw Index for CD and the Simple Clinical Colitis Activity Index for
UC (scores >=5 used to define disease activity). Anxiety and depression data were
collected using the Hospital Anxiety and Depression Scale (HADS), at baseline and
after the follow-up period. Objective markers of disease activity, including
glucocorticosteroid prescription or flare of disease activity, escalation of
therapy, hospitalization secondary to IBD activity, and intestinal resection
during follow-up were assessed via case note review. A brain-gut direction of
disease activity was defined as development of new IBD activity in patients with
quiescent IBD and abnormal HADS scores at baseline. A gut-brain direction of
disease activity was defined by subsequent development of abnormal HADS scores in
patients with active IBD and normal HADS scores at baseline. We performed
multivariate Cox regression controlling for patient characteristics and follow-up
duration. RESULTS: Baseline CD or UC disease activity were associated with an
almost 6-fold increase in risk for a later abnormal anxiety score (hazard ratio
[HR], 5.77; 95% CI, 1.89-17.7). In patients with quiescent IBD at baseline,
baseline abnormal anxiety scores were associated with later need for
glucocorticosteroid prescription or flare of IBD activity (HR, 2.08; 95% CI, 1.31
3.30) and escalation of therapy (HR, 1.82; 95% CI, 1.19-2.80). These associations
persisted when normal IBD activity index scores and fecal level of calprotectin
<250 MUg/g were used to define quiescent disease at baseline. CONCLUSIONS: In a 2
year study of patients with CD or UC, we found evidence for bi-directional
effects of IBD activity and psychological disorders. Patients with IBD should be
monitored for psychological well-being.
PMID- 29366842
TI - Histologic Factors Associated With Need for Surgery in Patients With Pedunculated
T1 Colorectal Carcinomas.
AB - BACKGROUND & AIMS: Most patients with pedunculated T1 colorectal tumors referred
for surgery are not found to have lymph node metastases, and were therefore
unnecessarily placed at risk for surgery-associated complications. We aimed to
identify histologic factors associated with need for surgery in patients with
pedunculated T1 colorectal tumors. METHODS: We performed a cohort-nested matched
case-control study of 708 patients diagnosed with pedunculated T1 colorectal
tumors at 13 hospitals in The Netherlands, from January 1, 2000 through December
31, 2014, followed for a median of 44 months (interquartile range, 20-80 months).
We identified 37 patients (5.2%) who required surgery (due to lymph node,
intramural, or distant metastases). These patients were matched with patients
with pedunculated T1 colorectal tumors without a need for surgery (no metastases,
controls, n = 111). Blinded pathologists analyzed specimens from each tumor,
stained with H&E. We evaluated associations between histologic factors and
patient need for surgery using univariable conditional logistic regression
analysis. We used multivariable least absolute shrinkage and selection operator
(LASSO; an online version of the LASSO model is available at:
http://t1crc.com/calculator/) regression to develop models for identification of
patients with tumors requiring surgery, and tested the accuracy of our model by
projecting our case-control data toward the entire cohort (708 patients). We
compared our model with previously developed strategies to identify high-risk
tumors: conventional model 1 (based on poor differentiation, lymphovascular
invasion, or Haggitt level 4) and conventional model 2 (based on poor
differentiation, lymphovascular invasion, Haggitt level 4, or tumor budding).
RESULTS: We identified 5 histologic factors that differentiated cases from
controls: lymphovascular invasion, Haggitt level 4 invasion, muscularis mucosae
type B (incompletely or completely disrupted), poorly differentiated clusters and
tumor budding, which identified patients who required surgery with an area under
the curve (AUC) value of 0.83 (95% confidence interval, 0.76-0.90). When we used
a clinically plausible predicted probability threshold of >=4.0%, 67.5% (478 of
708) of patients were predicted to not need surgery. This threshold identified
patients who required surgery with 83.8% sensitivity (95% confidence interval,
68.0%-93.8%) and 70.3% specificity (95% confidence interval, 60.9%-78.6%).
Conventional models 1 and 2 identified patients who required surgery with lower
AUC values (AUC, 0.67; 95% CI, 0.60-0.74; P = .002 and AUC, 0.64; 95% CI, 0.58
0.70; P < .001, respectively) than our LASSO model. When we applied our LASSO
model with a predicted probability threshold of >=4.0%, the percentage of missed
cases (tumors mistakenly assigned as low risk) was comparable (6 of 478 [1.3%])
to that of conventional model 1 (4 of 307 [1.3%]) and conventional model 2 (3 of
244 [1.2%]). However, the percentage of patients referred for surgery based on
our LASSO model was much lower (32.5%, n = 230) than that for conventional model
1 (56.6%, n = 401) or conventional model 2 (65.5%, n = 464). CONCLUSIONS: In a
cohort-nested matched case-control study of 708 patients with pedunculated T1
colorectal carcinomas, we developed a model based on histologic features of
tumors that identifies patients who require surgery (due to high risk of
metastasis) with greater accuracy than previous models. Our model might be used
to identify patients most likely to benefit from adjuvant surgery.
PMID- 29366843
TI - Combined iron chelator and T-type calcium channel blocker exerts greater efficacy
on cardioprotection than monotherapy in iron-overload thalassemic mice.
AB - Although both iron chelators and T-type calcium channel (TTCC) blockers have been
shown to exert cardioprotection by decreasing cardiac iron deposition and
reducing left ventricular (LV) dysfunction via different channels in iron
overloaded rodent models, the cardioprotective effects of combined iron chelator
and TTCC blocker treatment in thalassemic mice has not been investigated. We
hypothesized that a combined iron chelator and TTCC blocker exerts better
cardioprotection than monotherapy by decreasing cardiac iron accumulation,
apoptosis and oxidative stress. An iron-overload condition was induced in
heterozygous betaKO thalassemic (HT) mice and wild-type (WT) mice by high iron
diet consumption (FE) for 3 months. Then, the iron chelator deferiprone (DFP),
the TTCC blocker efonidipine (Efo), and combined DFP plus Efo were fed via oral
gavage for 1 month whilst the high iron diet was continued. LV function, heart
rate variability (HRV), apoptosis and cardiac iron accumulation were determined.
Chronic iron-overload in mice led to increased cardiac iron deposition, oxidative
stress, apoptosis, and impaired LV function and HRV. Although DFP and Efo showed
similar cardioprotective efficacy, the combined DFP plus Efo therapy exerted
greater efficacy in reducing cardiac iron deposition and cellular apoptosis than
either of the monotherapies in iron-overload thalassemic mice.
PMID- 29366844
TI - Multigene Signature Panels and Breast Cancer Therapy: Patterns of Use and Impact
on Clinical Decision Making.
AB - BACKGROUND: A growing body of evidence supports the use of multigene signature
panels (MSPs) in predicting recurrence risk in patients with invasive breast
cancer. This study aimed to evaluate trends in MSP use over time and the effect
of MSPs on administration of postoperative chemotherapy. STUDY DESIGN: The
National Cancer Database was queried for all women with invasive breast cancer
who underwent resection between 2011 and 2014 and had information about
performance of an MSP, hormone receptor status, and receipt of chemotherapy.
Multigene signature panel use over time was evaluated, and patterns of use of
Oncotype DX (ODX) and MammaPrint (MP) were compared. RESULTS: In a total of
476,128 patients, an MSP was obtained in 153,782 (30.2%). Multigene signature
panel use increased over time and was associated with a decreased rate of
chemotherapy administration (24.6% MSP vs 37.2% no MSP; p < 0.001). Oncotype DX
remained the most common MSP used throughout the study period. Oncotype DX was
used more commonly in stage I disease than MP, and MP was used more commonly in
stage II and III disease. MammaPrint was more commonly used in hormone receptor
negative patients, human epidermal growth factor receptor 2-positive patients,
and patients with positive lymph nodes. Postoperative chemotherapy was
administered to a higher proportion of patients assessed with MP than with ODX
(41.3% vs 23.4%, respectively; p < 0.001). CONCLUSIONS: Use of MSPs among
patients with breast cancer has increased over time and is associated with a
decreased use of adjuvant chemotherapy. Oncotype DX continues to be the most
widely used MSP, although MP use has increased over time. Future studies are
warranted to determine the optimal use of these MSPs in risk assessment and
postoperative decision making.
PMID- 29366845
TI - The incidence, psychiatric co-morbidity and pharmacological treatment of severe
mental disorders in children and adolescents.
AB - BACKGROUND: Antipsychotic drug use among children and adolescents is increasing,
and there is growing concern about off-label use and adverse effects. The present
study aims to investigate the incidence, psychiatric co-morbidity and
pharmacological treatment of severe mental disorder in Norwegian children and
adolescents. METHODS: We obtained data on mental disorders from the Norwegian
Patient Registry on 0-18 year olds who during 2009-2011 were diagnosed for the
first time with schizophrenia-like disorder (International Classification of
Diseases, 10th revision codes F20-F29), bipolar disorder (F30-F31), or severe
depressive episode with psychotic symptoms (F32.3 or F33.3). Data on filled
prescriptions for psychotropic drugs were obtained from the Norwegian
Prescription Database. RESULTS: A total of 884 children and adolescents (25.1 per
100 000 person years) were first time diagnosed with schizophrenia-like disorder
(12.6 per 100 000 person years), bipolar disorder (9.2 per 100 000 person years),
or severe depressive episode with psychotic symptoms (3.3 per 100 000 person
years) during 2009-2011. The most common co-morbid mental disorders were
depressive (38.1%) and anxiety disorders (31.2%). Antipsychotic drugs were
prescribed to 62.4% of the patients, 72.0% of the schizophrenia-like disorder
patients, 51.7% of the bipolar disorder patients, and 55.4% of the patients with
psychotic depression. The most commonly prescribed drugs were quetiapine (29.5%),
aripiprazole (19.6%), olanzapine (17.3%), and risperidone (16.6%). CONCLUSIONS:
When a severe mental disorder was diagnosed in children and adolescents, the
patient was usually also prescribed antipsychotic medication. Clinicians must be
aware of the high prevalence of depressive and anxiety disorders among early
psychosis patients.
PMID- 29366846
TI - Affectively salient signal to random noise might be used to identify psychosis
vulnerability in severe mental disorders.
AB - BACKGROUND: Subclinical psychotic symptoms are present in the general population.
Furthermore, they are quite common in diagnostic categories beyond psychosis,
such as BPD patients. METHODS: We want to assess the differences between 3
groups: BPD (n = 68), FEP (n = 83) and controls (n = 203) in an experimental
paradigm measuring the presence of speech illusions in white noise. The Positive
and Negative Syndrome Scale was administered in the patient group, the Structured
Interview for Schizotypy-Revised, and the Community Assessment of Psychic
Experiences in the control and BPD group. The white noise task was also analysed
within a signal detection theory (SDT) framework. Logistic regression analyses
and the general linear models were used to analyse the adjusted differences
between groups. RESULTS: Differences were more prevalent in signals that were
perceived as affectively salient in patients groups (9.6% in FEP vs 5.9% in BPD
and 1% in controls; OR: 10.7; 95%CI: 2.2-51.6, p = 0.003 in FEP; OR: 6.3; 95%CI:
1.1-35.0, p = 0.036 in BPD). Besides, we found a worse general performance and
more false alarms in the task for FEP group using SDT framework. CONCLUSIONS:
Experimental paradigms indexing the tendency to detect affectively salient
signals in noise may be used to identify liability to psychosis in people with
vulnerability. Its predictable value in other diagnostic categories and general
population requires further research.
PMID- 29366847
TI - Emotion regulation as a moderator of the interplay between self-reported and
physiological stress and paranoia.
AB - Experience sampling method (ESM) studies have found an association between daily
stress and paranoid symptoms, but it is uncertain whether these findings
generalize to physiological indicators of stress. Moreover, the temporality of
the association and its moderating factors require further research. Here, we
investigate whether physiological and self-rated daily stress predict subsequent
paranoid symptoms and analyze the role of emotion regulation as a putative
moderator. We applied ESM during 24 h to repeatedly assess heart rate, self-rated
stress, and subclinical paranoia in a sample of 67 psychosis-prone individuals as
measured with Community Assessment for Psychotic Experiences (CAPE). Adaptive and
maladaptive emotion regulation was assessed at baseline with the Emotion
Regulation Skills Questionnaire (ERSQ-ES) and the Cognitive Emotion Regulation
Questionnaire (CERQ). Linear mixed models were used to analyze the data. Heart
rate (b = 0.004, p < 0.05) and self-rated stress (b = 0.238, p < 0.001) predicted
subsequent paranoia. The reverse effect, paranoia as a predictor of subsequent
heart rate (b = 0.230, p = 0.615) or self-rated stress (b = -0.009, p = 0.751)
was non-significant. Maladaptive emotion regulation was a significant predictor
of paranoia (b = 0.740, p < 0.01) and moderated the path from self-rated stress
to paranoia (b = 0.188, p < 0.05) but not the path from heart rate to paranoia (b
= 0.005, p = 0.09). Our findings suggest a one-way temporal link between daily
stress and paranoia and highlight the importance of emotion regulation as a
vulnerability factor relevant to this process.
PMID- 29366848
TI - Amendment of traditional assessment measures for the negative symptoms of
schizophrenia.
AB - : Schizophrenia research based on traditional assessment measures for negative
symptoms appears to be, to some extent, unreliable. The limitations of the
Positive and Negative Syndrome Scale (PANSS) and the Scale for the Assessment of
Negative Symptoms (SANS) have been extensively acknowledged and should be taken
into account. The aim of this study is to show how the PANSS and the SANS
conflate negative symptoms and cognition and to offer alternatives for the
limitations found. METHODS: A sample of 117 participants with schizophrenia from
two independent studies was retrospectively investigated. Linear regression
models were computed to explore the effect of negative symptoms and illness
duration as predictors of cognitive performance. RESULTS: For the PANSS, the item
"abstract thinking" accounted for the association between negative symptoms and
cognition. For the SANS, the "attention" subscale predicted the performance in
verbal memory, but illness duration emerged as a stronger predictor than negative
symptoms for outcomes of processing speed, verbal and working memory. CONCLUSION:
Utilizing alternative models to the traditional PANSS and SANS formats, and
accounting for illness duration, provide more precise evidence on the
relationship between negative symptoms and cognition. Since these measures are
still extensively utilized, we recommend adopting more rigorous approaches to
avoid misleading results.
PMID- 29366849
TI - Disability and common mental disorders: Results from the World Mental Health
Survey Initiative Portugal.
AB - BACKGROUND: Common mental disorders are highly prevalent and disabling, leading
to substantial individual and societal costs. This study aims to characterize the
association between disability and common mental disorders in Portugal, using
epidemiological data from the World Mental Health Survey Initiative. METHODS:
Twelve-month common mental disorders were assessed with the CIDI 3.0. Disability
was evaluated with the modified WMHS WHODAS-II. Logistic regression models were
used to assess the association between disability and each disorder or diagnostic
category (mood or anxiety disorders). RESULTS: Among people with a common mental
disorder, 14.6% reported disability. The specific diagnoses significantly
associated with disability were post-traumatic stress disorder (OR: 6.69; 95% CI:
3.20, 14.01), major depressive disorder (OR: 3.49; 95% CI: 2.13, 5.72), bipolar
disorder (OR: 3.41; 95% CI: 1.04, 11.12) and generalized anxiety disorder (OR:
3.14; 95% CI: 1.43, 6.90). Both categories of anxiety and mood disorders were
significantly associated with disability (OR: 1.88; 95% CI: 1.23, 2.86 and OR:
3.94; 95% CI: 2.45, 6.34 respectively). CONCLUSIONS: The results of this study
add to the current knowledge in this area by assessing the disability associated
with common mental disorders using a multi-dimensional instrument, which may
contribute to mental health policy efforts in the development of interventions to
reduce the burden of disability associated with common mental disorders.
PMID- 29366850
TI - The Fat-Dachsous signaling pathway regulates growth of horns in Trypoxylus
dichotomus, but does not affect horn allometry.
AB - Males of the Asian rhinoceros beetle, Trypoxylus dichotomus, possess exaggerated
head and thoracic horns that scale dramatically out of proportion to body size.
While studies of insulin signaling suggest that this pathway regulates nutrition
dependent growth including exaggerated horns, what regulates disproportionate
growth has yet to be identified. The Fat signaling pathway is a potential
candidate for regulating disproportionate growth of sexually-selected traits, a
hypothesis we advanced in a previous paper (Gotoh et al., 2015). To investigate
the role of Fat signaling in the growth and scaling of the sexually dimorphic,
condition-dependent traits of the in the Asian rhinoceros beetle T. dichotomus,
we used RNA interference to knock down expression of fat and its co-receptor
dachsous. Knockdown of fat, and to a lesser degree dachsous, caused shortening
and widening of appendages, including the head and thoracic horns. However,
scaling of horns to body size was not affected. Our results show that Fat
signaling regulates horn growth in T. dichotomus as it does in appendage growth
in other insects. However, we provide evidence that Fat signaling does not
mediate the disproportionate, positive allometric growth of horns in T.
dichotomus.
PMID- 29366851
TI - Cadopherone and colomitide polyketides from Cadophora wood-rot fungi associated
with historic expedition huts in Antarctica.
AB - Recent investigations of filamentous fungi isolated from coastal areas and
historic wooden structures in the Ross Sea and Peninsula regions of Antarctica
have identified the genus Cadophora as one of the most abundant fungal groups,
comprising more than 30% of culturable fungi at some locations. A methanol
extract of Cadophora luteo-olivacea grown on rice media yielded the known
polyketides spiciferone A, spiciferol A, dihydrospiciferone A and
dihydrospiciferol A. Additionally, nine related hexaketides were identified,
including spiciferone F, two isomers of the known fungal bicyclic ketal
colomitide B, cadopherones A-D, similin C, and spicifernin B. HPLC and NMR
analysis of extracts from other isolates collected in Antarctica suggests that
the spiciferones and colomitides are produced by at least two different Cadophora
species. Preliminary precursor feeding experiments provided evidence for the
biosynthesis of the colomitides from the same polyketide pathway as the
spiciferone phytotoxins, possibly via a type III polyketide synthase (PKS). None
of the compounds were active in a panel of anti-bacterial, anti-fungal, and
mammalian cytotoxicity assays.
PMID- 29366852
TI - Indole diterpenoids from the endophytic fungus Drechmeria sp. as natural
antimicrobial agents.
AB - A fungal strain, Drechmeria sp., was isolated from the root of Panax notoginseng.
Totally, seven new indole diterpenoids, drechmerins A-G (1-7), were isolated from
the fermentation broth of Drechmeria sp. together with four known analogues (8
11). Their structures were determined on the basis of 1D and 2D NMR and
electronic circular dichroism (ECD) spectroscopic analyses as well as theoretical
calculations. All the isolated compounds were evaluated for their antimicrobial
activities against Candida albicans, Staphylococcus aureus, Bacillus cereus, B.
subtillis, Pseudomonas aeruginosa, and Klebsiella pneumonia, respectively.
Drechmerin B (2) displayed antimicrobial activity against C. albicans with an MIC
value of 12.5 MUg/mL. Molecular docking was used to investigate interactions of
peptide deformylase with compounds 1-3, 5-7, 9, and 10.
PMID- 29366854
TI - Grading of Urothelial Carcinoma and The New "World Health Organisation
Classification of Tumours of the Urinary System and Male Genital Organs 2016".
AB - CONTEXT: In the management of urothelial carcinoma, determination of the
pathological grade aims at stratifying tumours into different prognostic groups
to allow evaluation of treatment results, and optimise patient management. This
article reviews the principles behind different grading systems for urothelial
bladder carcinoma discussing their reproducibility and prognostic value.
OBJECTIVE: This paper aims to show the evolution of the World Health Organisation
(WHO) grading system, discussing their reproducibility and prognostic value, and
evaluating which classification system best predicts disease recurrence and
progression. The most optimal classification system is robust, reproducible, and
transparent with comprehensive data on interobserver and intraobserver
variability. The WHO published an updated tumour classification in 2016, which
presents a step forward, but its performance will need validation in clinical
studies. EVIDENCE ACQUISITION: Medline and EMBASE were searched using the key
terms WHO 1973, WHO/International Society of Urological Pathology 1998, WHO 2004,
WHO 2016, histology, reproducibility, and prognostic value, in the time frame
1973 to May 2016. The references list of relevant papers was also consulted,
resulting in the selection of 48 papers. EVIDENCE SYNTHESIS: There are still
inherent limitations in all available tumour classification systems. The WHO 1973
presents considerable ambiguity for classification of the G2 tumour group and
grading of the G1/2 and G2/3 groups. The 2004 WHO classification introduced the
concept of low-grade and high-grade tumours, as well as the papillary urothelial
neoplasm of low malignant potential category which is retained in the 2016
classification. Furthermore, while molecular markers are available that have been
shown to contribute to a more accurate histological grading of urothelial
carcinomas, thereby improving selection of treatment for a given patient, these
are not (yet) part of standard clinical practice. CONCLUSIONS: The prognosis of
patients diagnosed with urothelial carcinoma greatly depends on correct
histological grading of the tumour. There is still limited data regarding
intraobserver and interobserver variability differences between the WHO 1973 and
2004 classification systems. Additionally, reproducibility remains a concern:
histological differences between the various types of tumour may be subtle and
there is still no consensus amongst pathologists. The recent WHO 2016
classification presents a further improvement on the 2004 classification, but
until further data becomes available, the European Association of Urology
currently recommends the use of both WHO 1973 and WHO 2004/2016 classifications.
PATIENT SUMMARY: Bladder cancer, when treated in time, has a good prognosis.
However, selection of the most optimal treatment is largely dependent on the
information your doctor will receive from the pathologist following evaluation of
the tissue resected from the bladder. It is therefore important that the
classification system that the pathologist uses to grade the tissue is
transparent and clear for both urologists and pathologists. A reliable
classification system will ensure that aggressive tumours are not misinterpreted,
and less aggressive cancer is not overtreated.
PMID- 29366853
TI - Lipidomic analysis of Botryococcus (Trebouxiophyceae, Chlorophyta) -
Identification of lipid classes containing very long chain fatty acids by offline
two-dimensional LC-tandem MS.
AB - Very long chain fatty acids (VLCFAs) were identified in four strains of the green
alga Botryococcus braunii (Trebouxiophyceae). The algae contained a series of
monoenoic fatty acids up to triacontenoic acid and further VLCFAs in amounts
around 1% of total fatty acids. The separation of lipid classes using hydrophilic
interaction chromatography revealed that the most abundant VLCFAs (28:2, 28:1 and
28:0) were contained in neutral lipids (triacylglycerols and/or diacylglycerols)
and in phospholipids (phosphatidic acid and/or phosphatidylcholine). Using non
aqueous reversed-phase liquid chromatography tandem mass spectrometry (NARP
LC/MS2) of the appropriate collected fractions, molecular species of
triacylglycerols containing one or two VLCFAs were described and
phosphatidylcholines containing VLCFAs were separated for the first time. Because
the presence of Botryosphaerella sudetica (Chlorophyceae) as contaminant of
Botryococcus braunii strain Droop 1950/807-1 placed some doubts on the results of
previous studies, a strain of this green alga of was also analyzed. In contrast
to Botryococcus, C16, a substantially lower proportion of C18 polyunsaturated
fatty acids and no VLCFAs were detected in Botryosphaerella.
PMID- 29366855
TI - Quality of Life After Open Radical Prostatectomy Compared with Robot-assisted
Radical Prostatectomy.
AB - BACKGROUND: Surgery for prostate cancer has a large impact on quality of life
(QoL). OBJECTIVE: To evaluate predictors for the level of self-assessed QoL at 3
mo, 12 mo, and 24 mo after robot-assisted laparoscopic (RALP) and open radical
prostatectomy (ORP). DESIGN, SETTING, AND PARTICIPANTS: The LAParoscopic
Prostatectomy Robot Open study, a prospective, controlled, nonrandomised trial of
more than 4000 men who underwent radical prostatectomy at 14 centres. Here we
report on QoL issues after RALP and ORP. OUTCOME MEASUREMENTS AND STATISTICAL
ANALYSIS: The primary outcome was self-assessed QoL preoperatively and at 3 mo,
12 mo, and 24 mo postoperatively. A direct validated question of self-assessed
QoL on a seven-digit visual scale was used. Differences in QoL were analysed
using logistic regression, with adjustment for confounders. RESULTS AND
LIMITATIONS: QoL did not differ between RALP and ORP postoperatively. Men
undergoing ORP had a preoperatively significantly lower level of self-assessed
QoL in a multivariable analysis compared with men undergoing RALP (odds ratio:
1.21, 95% confidence interval: 1.02-1.43), that disappeared when adjusted for
preoperative preparedness for incontinence, erectile dysfunction, and certainty
of being cured (odds ratio: 1.18, 95% confidence interval: 0.99-1.40).
Incontinence and erectile dysfunction increased the risk for poor QoL at 3 mo, 12
mo, and 24 mo postoperatively. Biochemical recurrence did not affect QoL. A
limitation of the study is the nonrandomised design. CONCLUSIONS: QoL at 3 mo, 12
mo, and 24 mo after RALP or ORP did not differ significantly between the two
techniques. Poor QoL was associated with postoperative incontinence and erectile
dysfunction but not with early cancer relapse, which was related to thoughts of
death and waking up at night with worry. PATIENT SUMMARY: We did not find any
difference in quality of life at 3 mo, 12 mo, and 24 mo when open and robot
assisted surgery for prostate cancer were compared. Postoperative incontinence
and erectile dysfunction were associated with poor quality of life.
PMID- 29366856
TI - Contemporary Trends and Survival Outcomes After Aborted Radical Prostatectomy in
Lymph Node Metastatic Prostate Cancer Patients.
AB - BACKGROUND: Aborted radical prostatectomy (aRP) in lymph node (LN) metastatic
(pN1) prostate cancer (PCa) patients showed worse survival in European patients.
Contemporary rates of aRP are unknown in North America. OBJECTIVE: To examine the
rate of aRP and its effect on cancer-specific mortality (CSM) in contemporary
North American patients. DESIGN, SETTING, AND PARTICIPANTS: Within the
Surveillance Epidemiology and End Results database (2004-2014), we identified
3719 pN1 PCa patients. INTERVENTION: RP. OUTCOME MEASUREMENTS AND STATISTICAL
ANALYSIS: Incidence proportion and median survival of LN metastatic PCa patients
who underwent aRP versus completed RP (cRP). Cumulative incidence plots and
competing-risks regression (CRR) models tested CSM and other-cause mortality
rates according to aRP versus cRP. The effect of selected variables on CSM rate
was graphically depicted using LOESS methodology. All analyses were repeated
after propensity score matching. RESULTS AND LIMITATIONS: Between 2004 and 2014,
the rate of aRP decreased from 20.4% to 5.6% (p<0.001). Ten-year CSM rates were
significantly higher after aRP (38.9% vs 21.6%) versus cRP (p<0.001). In
multivariable CRR models, aRP yielded higher CSM (hazard ratio [HR]: 1.99) than
cRP. A higher 5-yr CSM rate was recorded after aRP through the entire range of
baseline prostate-specific antigen (PSA) values and in patients with up to nine
LN metastases. After propensity score matching, aRP resulted in overall higher
CSM (HR: 1.72). Higher CSM was recorded after aRP for PSA values up to 50ng/ml
and in patients with up to seven LN metastases. Results were limited by a
selection bias that applies to aRP patients. CONCLUSIONS: Of contemporary North
American patients, 5% are affected by aRP. It confers a significant survival
disadvantage that applies to patients with baseline PSA values up to 50ng/ml and
in those with up to seven LN metastases. PATIENT SUMMARY: Radical prostatectomy
should not be aborted in pN1 prostate cancer individuals.
PMID- 29366857
TI - A Simplified Frailty Index to Predict Outcomes After Radical Cystectomy.
AB - BACKGROUND: Traditional surgical risk indices are notoriously inaccurate,
especially for the elderly, so there has been a trend to evaluate frailty
instead. OBJECTIVE: To describe a simplified five-item frailty index for
evaluating radical cystectomy outcomes and compare its predictive ability with
other risk assessment tools for a total patient cohort and a subgroup of patients
aged >=65yr. DESIGN, SETTING, AND PARTICIPANTS: The National Surgical Quality
Improvement Program (NSQIP) database from 2007 to 2015 was queried for radical
cystectomy cases for bladder cancer. A simplified five-item frailty index (sFI)
was created based on previously described measures of frailty. OUTCOME
MEASUREMENT AND STATISTICAL ANALYSIS: Correlation of the sFI with postoperative
outcomes was investigated using multivariate logistic regression analyses. The
predictive ability of the sFI was compared to the extended 11-item frailty index,
NSQIP risk calculator, and the American Society of Anesthesiologists (ASA) score
by comparing the area under the receiver operating characteristic curve. Subgroup
analysis was performed for those aged >=65 yr to evaluate the accuracy of the
index in the older age group, for which traditional risk indices are notoriously
inaccurate. RESULTS AND LIMITATIONS: Of 5516 cases identified, 10.7% experienced
a Clavien grade >=3 complication within 30 d of surgery. Individuals with an sFI
of 3+ had a greater likelihood of experiencing a major complication (odds ratio
3.22, 95% confidence interval 2.01-5.17). The sFI outperformed the ASA score in
predicting major complications and had a similar predictive ability to the more
complex 11-item index and NSQIP risk calculator. There was also a significant
correlation between the sFI and discharge destination. These relationships were
consistent in the subgroup of patients aged >=65yr. The study is limited by the
database, which only records 30-d outcomes and does not allow evaluation of long
term sequelae. CONCLUSIONS: There is a strong correlation between frailty
assessed via the sFI and radical cystectomy outcomes, including in the elderly.
This tool can be used in the clinical setting to counsel patients and aid
decision-making. PATIENT SUMMARY: This study demonstrated that a simple five-item
frailty index can be used to assess preoperative risk in patients undergoing
radical cystectomy.
PMID- 29366858
TI - Non-O1, non-O139 Vibrio cholerae septicemia at a tertiary care center in Beirut,
Lebanon; a case report and review.
AB - More clinical infections with non-O1, non-O139 Vibrio cholerae have been recently
reported. These pathogens usually do not cause the epidemic and pandemic cases of
cholera seen with choleragenic vibrios. However, they can still cause intestinal
as well as extra-intestinal disease and can be associated with significant
mortality. Herein, we present the first case of non-O1, non-O139 Vibrio cholerae
septicemia reported in Lebanon since the beginning of the Lebanese waste crisis.
PMID- 29366859
TI - Endogenous Opiates and Behavior: 2016.
AB - This paper is the thirty-ninth consecutive installment of the annual review of
research concerning the endogenous opioid system. It summarizes papers published
during 2016 that studied the behavioral effects of molecular, pharmacological and
genetic manipulation of opioid peptides, opioid receptors, opioid agonists and
opioid antagonists. The particular topics that continue to be covered include the
molecular-biochemical effects and neurochemical localization studies of
endogenous opioids and their receptors related to behavior, and the roles of
these opioid peptides and receptors in pain and analgesia, stress and social
status, tolerance and dependence, learning and memory, eating and drinking, drug
abuse and alcohol, sexual activity and hormones, pregnancy, development and
endocrinology, mental illness and mood, seizures and neurologic disorders,
electrical-related activity and neurophysiology, general activity and locomotion,
gastrointestinal, renal and hepatic functions, cardiovascular responses,
respiration and thermoregulation, and immunological responses.
PMID- 29366860
TI - Bioinformatic analysis of regulation of natural antisense transcripts by
transposable elements in human mRNA.
AB - Non-coding RNA is no longer considered to be "junk" DNA, based on evidence
uncovered in recent decades. In particular, the important role played by natural
antisense transcripts (NATs) in regulating the expression of genes is receiving
increasing attention. However, the regulatory mechanisms of NATs remain
incompletely understood. It is well-known that the insertion of transposable
elements (TEs) can affect gene transcription. Using a bioinformatics approach, we
identified NATs using human mRNA sequences from the UCSC Genome Browser Database.
Our in silico analysis identified 1079 NATs and 700 sense-antisense gene pairs.
We identified 179 NATs that showed evidence of having been affected by TEs during
cellular gene expression. These findings may provide an understanding of the
complex regulation mechanisms of NATs. If our understanding of NATs as modulators
of gene expression is further enhanced, we can develop ways to control gene
expression.
PMID- 29366861
TI - Functional network analysis reveals biological roles of lncRNAs and mRNAs in
MOG35-55 specific CD4+T helper cells.
AB - Long non-coding RNAs have the potential to regulate immune responses. Their
impact on multiple sclerosis has remained elusive. For illustrating their roles
in experimental autoimmune encephalomyelitis (EAE) pathogenesis, we investigated
the differential expression of lncRNAs and mRNAs in CD4+Th cells obtained from
myelin oligodendrocytic glycoprotein35-55(MOG35-55)-induced EAE and complete
Freund's adjuvant (CFA) controls. We observed differential expression of 1112
lncRNAs and 519 mRNAs in CD4+Th cells. The functional network showed lncRNAs had
the capacity to modulate EAE pathogenesis via regulating many known EAE
regulators such as Ptpn6. Predicting the function of lncRNAs demonstrated that
dysregulated lncRNAs were closely associated with the development of EAE. These
dysregulated lncRNAs may have function in EAE and they could be novel biomarkers
and therapeutic targets of EAE. However, the precise mechanisms and biological
functions of these specific lncRNAs in EAE pathogenesis require further study.
PMID- 29366862
TI - H-NS is an activator of exopolysaccharide biosynthesis genes transcription in
Vibrio parahaemolyticus.
AB - Vibrio parahaemolyticus is capable of surviving in biofilm communities attached
to biotic and abiotic surfaces. The exopolysaccharide (EPS) plays a key role in
the maturing of the biofilm. The VPA1403-1412 (cpsA-J) operon is responsible for
EPS production in V. parahaemolyticus. The expression of cpsA-J is controlled by
ScrABC, intracellular concentration of c-di-GMP, CpsS-CpsR-CpsQ regulatory
cascade, and quorum sensing. The data presented here showed that H-NS activates
the EPS-dependent bacterial colony morphology and the transcription of cpsQ and
cpsA-J. H-NS has negative regulatory activity on scrABC transcription, and
thereby may result in enhancing the intracellular concentration of c-di-GMP.
Thus, a regulatory circuit involved in regulating cpsA-J/EPS production by H-NS,
ScrABC and CpsQ was identified in V. parahaemolyticus.
PMID- 29366863
TI - Green synthesis of titanium dioxide (TiO2) nanoparticles by Trigonella foenum
graecum extract and its antimicrobial properties.
AB - In recent years, biosynthesis of nanoparticles has received considerable
attention due to the growing need to develop clean and nontoxic chemicals, low
cost approaches, eco - friendly solvents and renewable materials. In the current
study, the biosynthesis of TiO2 nanoparticles (TiO2NPs) was attained by a
chemical and biosynthesized method by using the aqueous leaf extract of
Trigonella foenum-graecum (TF-TiO2NP). TiO2 NPs were characterized by FTIR, UV,
XRD, HR-TEM and HR-SEM methods. The X-ray diffraction displayed the existence of
TF-TiO2NPs which is confirmed by the incidence of peaks at 25.28 corresponds to
101 anatase form. HR-SEM perceptions revealed that synthesized TiO2NPs were
spherical in shape and the size of individual nanoparticles as well as a few
aggregates was found to be 20-90 nm. The antimicrobial activities of
biosynthesized nanoparticles (TF-TiO2NPs) were examined using Kirby-Bauer method.
The TF-TiO2 nanoparticles showed significant antimicrobial activity against all
the tested microorganisms.
PMID- 29366864
TI - Green synthesized silver nanoparticles by marine endophytic fungus Penicillium
polonicum and its antibacterial efficacy against biofilm forming, multidrug
resistant Acinetobacter baumanii.
AB - Acinetobacter baumanii, a gram-negative, non-motile, encapsulated coccobacillus
which causes infections worldwide. The objective of this study was to find a
fungal strain that could be utilized to biosynthesize antibacterial silver
nanoparticles (AgNPs) against Acinetobacter baumanii. The present investigation
explains rapid and extracellular biosynthesis of silver nanoparticles by the
algicolous endophytic fungus, Penicillium polonicum, isolated from the marine
green alga Chetomorpha antennina. The obtained silver nanoparticles were
characterized by UV-Vis spectroscopy, Raman spectroscopy, Fourier transformation
infrared (FTIR), and Transmission electron microscopy (TEM). The SNPs showed a
characteristic UV- visible peak at 430 nm with an average size of 10-15 nm. As
evident from the FTIR and Raman spectra, possibly the protein components of
fungal extract have caused the reduction of silver nitrate. Parametric
optimization, including the concentration of AgNO3, ratio of cell filtrate and
AgNO3, fungal biomass, reaction time, pH, and presence of light, was done for
rapid AgNPs production. The antibacterial efficacy of AgNPs against multi-drug
resistant, biofilm-forming Acinetobacter baumanii, was evaluated by well
diffusion assay. The Minimum inhibitory concentration (MIC) of AgNP was 15.62
MUgml-1 and the minimum bactericidal concentration (MBC) was 31.24 MUgml-1.
Killing kinetic assay revealed complete killing of the bacterial cells within 6
h. Log reduction and percent survival of bacterial cells were analyzed from
killing kinetic study. Bactericidal nature of synthesized nanoparticles was
confirmed by fluorescent microscopical analysis. The effect of AgNPs on the
ultrastructure of bacterial pathogen was evaluated by Transmission electron
microscopy.
PMID- 29366866
TI - International consensus (ICON) on audiological assessment of hearing loss in
children.
AB - The prevalence of hearing loss in newborns and infants is estimated between 1 to
3.47 cases per 1000 live births. Early diagnosis and rehabilitation of congenital
hearing loss are mandatory in order to achieve a satisfactory linguistic and
cognitive development. Without appropriate opportunities to learn language, these
children will fall behind their normal hearing peers in communication, cognition,
reading and socio-emotional development. After promising results, neonatal
screening for hearing loss and audiological evaluation are becoming more
extensively carried out. In planning universal neonatal hearing screening
programs, transient evoked otoacoustic emissions and auditory brainstem responses
are the gold standard for the screening and diagnosis program. However, there is
no consensus regarding the use of audiometry and other electrophysiological tests
(such as auditory steady-state responses) in current practices. Several screening
and audiological assessment procedures have been described and advocated all
around the world. But, a systematic scheme of performing diagnosis in the
pediatric audiology population is lacking. A consensus conference was held at the
International Federation of Oto-rhino-laryngological Societies Congress, in June
2017, to discuss the different current practices and to identify the best
neonatal hearing screening and audiological assessment management. This article
is intended to provide professionals with recommendations about the "best
practice" based on consensus opinion of the session's speakers, and a review of
the literature on the efficacy of various assessment options for children with
hearing loss.
PMID- 29366865
TI - Phytochemical analysis and antibacterial activity of methanolic extract of
Bergenia purpurascens against common respiratory infection causing bacterial
species in vitro and in neonatal rats.
AB - Respiratory bacterial infections are responsible for significant mortality across
the world and with emergence of drug resistant bacterial strains there is urgent
need to look for new treatment options. In this study we evaluated the
antimicrobial activity of methanolic extract of Bergenia purpurasceus in vitro
and in neonatal rats. The results of the present study revealed that the
methanolic extract exhibits antimicrobial activity against all the bacterial
species with MIC ranging from 75 to 150 MUg/ml. The antibacterial activity of the
Bergenia purpurasceus extract was also determined in the neonatal rat models
wherein it was observed that administration of 50 and 100 mg/kg doses of Bergenia
purpurasceus extract improved the survival of the neonatal rats infected with S.
aureus. Furthermore, the extract showed considerable antioxidant activity which
was positively associated with the phenolics and flavonoids content. Finally the
constituents responsible for the bioactivity of the extract were identified and
found to be bergenin, catechin, naringenin, myricetin and gallic acid.
Takentogether, these results indicate that Bergenia purpurasceus extract could
prove useful for the treatment of bacterial respiratory infections.
PMID- 29366867
TI - Forestier syndrome and obstructive sleep apnea: Surgical treatment.
AB - INTRODUCTION: We report a case of obstructive sleep apnea that occurred as a
result of Forestier disease and describe the surgical treatment that was
performed. SUMMARY: The patient is a 56-year-old man who presented dysphagia for
solids and liquids, snoring (score 10) and excessive daytime sleepiness for 5
years. On fiber optic laryngoscopy examination, there was interarytenoid edema
and protrusion of the posterior wall of the larynx. The cervical X-Ray showed
protrusion of intervertebral disc between C3-C5 (skeletal hyperostosis) and the
polysomnography revealed apnea-hypopnea index (AHI) of 56 events/h. Surgery was
performed by the otorhinolaryngology and orthopedic teams. The patient evolved
with complete symptom resolution and an AHI of 3,9 events/h on the control
polysomnography. DISCUSSIONS: This is the first reported case of Forestier
Syndrome (FS) associated with Obstructive Sleep Apnea (OSA) that was proposed
surgical treatment and the patient evolved with complete symptom improvement.
PMID- 29366868
TI - In vivo assessment of the hepatotoxicity of a new Nostoc isolate from the Nile
River: Nostoc sp. strain NRI.
AB - Nostoc sp. is one of the most widely distributed cyanobacterial genera that
produce potentially protein phosphatase (PP) inhibitor; microcystins (MCs). MCs
have posed a worldwide concern due to predominant hepatotoxicity to human health.
We have previously isolated a Nostoc strain (NR1) from the Nile River (the main
water supply in Egypt) and this strain exerted production of rare and highly
toxic MC; demethylated microcystin-LR. There is no data concerning risk factors
of liver diseases for human and animal exposure to NR1-contaminated drinking
water yet. It is thus important to evaluate acute (LD50 dose), subacute (0.01%
and 10% of LD50 dose) and subchronic (0.01% and 10% of LD50 dose)
hepatotoxicity's NR1 extract using experimental mice. Mice groups, who orally
received 0.01% LD50, represented a permissible concentration of the World Health
Organization (WHO) for MC in drinking water. Several parameters were detected,
including hepatotoxicity (i.e. PP activity, liver function, oxidative stress
markers and DNA fragmentation), pro-inflammatory cytokine (TNF-alpha) and liver
histopathology. Our results demonstrated LD50 of NR1 extract was at 15,350 mg/kg
body weight and caused hepatotoxicity that attributed to PP inhibition and a
significant increase of hepatic damage biomarkers with lipid accumulation.
Moreover, NR1 extract induced hepatic oxidative damage that may have led to DNA
fragmentation and production of TNF-alpha. As demonstrated from the
histopathological study, NR1 extract caused a severe collapse of cytoskeleton
with subsequent focal degeneration of hepatocytes, necroinflammation and
steatosis. The grade of hepatotoxicity in subacute (10% of LD50) group was higher
than that in the subchronic (10% of LD50 and 0.01% of LD50, WHOch, respectively)
groups. No significant hepatotoxicity was detectable for subacute (0.01% of LD50,
WHOac) group. NR1 is therefore considered as one of the harmful and life
threatening cyanobacteria for Egyptian people being exposed to dose above WHO
guideline. Thus, biological indicators and thresholds for water treatment are
extremely needed.
PMID- 29366870
TI - Bioaccumulation of trace metals in banded Persian bamboo shark (Chiloscyllium
arabicum) from the Persian Gulf: A food safety issue.
AB - Persian bamboo shark (Chiloscyllium arabicum) was collected from two sites of the
Khozestan province, northern basin of Persian Gulf, with the aim to identify
differences in metal concentrations between fishing areas as well as the risk for
human health due to consumption of the selected species. We analyzed Zn, Cu, Pb,
Hg, Cd and Ni in muscle and liver tissues of specimens from both areas of study
using atomic adsorption spectrometry. Statistical elaborations revealed higher
bioaccumulation of metals in livers than muscle as well as in the site of worthy
of Musa as regards Zn, Pb and Ni than the site of worthy of Darvis, due to the
more intensive anthropogenic input. The risk for consumers is low for the most of
metals, with the exception of the THQ for Hg, which resulted next to the level of
risk with high frequencies of consumption.
PMID- 29366869
TI - Urine biomarkers informative of human kidney allograft rejection and tolerance.
AB - We developed urinary cell messenger RNA (mRNA) profiling to monitor in vivo
status of human kidney allografts based on our conceptualization that the kidney
allograft may function as an in vivo flow cell sorter allowing access of graft
infiltrating cells to the glomerular ultrafiltrate and that interrogation of
urinary cells is informative of allograft status. For the profiling urinary
cells, we developed a two-step preamplification enhanced real-time quantitative
PCR (RT-QPCR) assays with a customized amplicon; preamplification compensating
for the low RNA yield from urine and the customized amplicon facilitating
absolute quantification of mRNA and overcoming the inherent limitations of
relative quantification widely used in RT-QPCR assays. Herein, we review our
discovery and validation of urinary cell mRNAs as noninvasive biomarkers
prognostic and diagnostic of acute cellular rejection (ACR) in kidney allografts.
We summarize our results reflecting the utility of urinary cell mRNA profiling
for predicting reversal of ACR with anti-rejection therapy; differential
diagnosis of kidney allograft dysfunction; and noninvasive diagnosis and
prognosis of BK virus nephropathy. Messenger RNA profiles associated with human
kidney allograft tolerance are also summarized in this review. Altogether, data
supporting the idea that urinary cell mRNA profiles are informative of kidney
allograft status and tolerance are reviewed in this report.
PMID- 29366872
TI - Calcium-binding protein, secretagogin, specifies the microcellular tegmental
nucleus and intermediate and ventral parts of the cuneiform nucleus of the mouse
and rat.
AB - Secretagogin (SCGN) is a recently discovered calcium binding protein of the EF
hand family, cloned from beta cells of pancreatic island of Langerhans and
endocrine cells of the gastrointestinal gland. SCGN characterizes some particular
neuron groups in various regions of the nervous system and is considered as one
of the useful neuron subpopulation markers. In the present study we reported that
SCGN specifically labelled a particular neuronal cluster in the brainstem of the
mice and rats. The comparison of the SCGN immunostaining with the choline
acetyltransferase immunostaining and acetylcholinesterase staining clearly
indicated that the particular cluster of SCGN positive neurons corresponded to
the microcellular tegmental nucleus (MiTg) and the ventral portion of the
cuneiform nucleus (CnF), both of which are components of the isthmus. The
analyses in mice indicated that SCGN positive neurons in the MiTg and CnF were
homogeneous in size and shape, appearing to compose a single complex: their
somata were small comparing with the adjacent cholinergic neurons in the
pedunculotegmantal nucleus, 10.5 vs 16.0 MUm in diameter, and extended 2-3
slender smooth processes. SCGN might be one of significant markers to reconsider
the delineations of the structures of the mouse, and presumably rat, brainstem.
PMID- 29366871
TI - Cytochrome P450 1A1 (CYP1A1) protects against nonalcoholic fatty liver disease
caused by Western diet containing benzo[a]pyrene in mice.
AB - The Western diet contributes to nonalcoholic fatty liver disease (NAFLD)
pathogenesis. Benzo[a]pyrene (BaP), a prototypical environmental pollutant
produced by combustion processes, is present in charcoal-grilled meat. Cytochrome
P450 1A1 (CYP1A1) metabolizes BaP, resulting in either detoxication or metabolic
activation in a context-dependent manner. To elucidate a role of CYP1A1-BaP in
NAFLD pathogenesis, we compared the effects of a Western diet, with or without
oral BaP treatment, on the development of NAFLD in Cyp1a1(-/-) mice versus wild
type mice. A Western diet plus BaP induced lipid-droplet accumulation in liver of
Cyp1a1(-/-) mice, but not wild-type mice. The hepatic steatosis observed in
Cyp1a1(-/-) mice was associated with increased cholesterol, triglyceride and bile
acid levels. Cyp1a1(-/-) mice fed Western diet plus BaP had changes in expression
of genes involved in bile acid and lipid metabolism, and showed no increase in
Cyp1a2 expression but did exhibit enhanced Cyp1b1 mRNA expression, as well as
hepatic inflammation. Enhanced BaP metabolic activation, oxidative stress and
inflammation may exacerbate metabolic dysfunction in liver of Cyp1a1(-/-) mice.
Thus, Western diet plus BaP induces NAFLD and hepatic inflammation in Cyp1a1(-/-)
mice in comparison to wild-type mice, indicating a protective role of CYP1A1
against NAFLD pathogenesis.
PMID- 29366874
TI - Novel DCC variants in congenital mirror movements and evaluation of disease
associated missense variants.
AB - Congenital mirror movements (CMM) are involuntary movements of one side of the
body that mirror intentional movements of the other side. Heterozygous missense,
frameshift and nonsense variants and small intragenic deletions in DCC cause CMM,
isolated agenesis of the corpus callosum (ACC) or both. We report here the
clinical phenotype and natural history of ten individuals with CMM carrying five
different monoallelic DCC variants, including the missense variant p.(Trp273Arg),
two duplications, one deletion and one deletion-insertion; all are novel and
absent from databases. We re-evaluated the 15 known disease-associated DCC
missense variants by determining minor allele frequency (MAF) and pathogenicity
using four in silico tools combining previous pathogenicity scores and the
ACMG/AMP standards and guidelines and classified them in three groups. Group I
contains three DCC missense variants that are rather unlikely to be associated
with a higher risk to CMM and/or ACC. The five variants in group II may represent
susceptibility factors to altered midline crossing in the central nervous system.
Group III includes seven variants absent in publically available databases and
representing possible pathogenic alleles, with four predicted to have a severe
impact on protein function. Based on this data and the variable expressivity and
incomplete penetrance present in heterozygous carriers of a DCC variant,
classification and clinical interpretation of missense variants is challenging in
the absence of evidence of pathogenicity originated from functional studies.
Evaluation of missense variants by MAF and a weighted combination of several
computational algorithms is recommended.
PMID- 29366873
TI - Modeling Marek's disease virus transmission: A framework for evaluating the
impact of farming practices and evolution.
AB - Marek's disease virus (MDV) is a pathogen of chickens whose control has twice
been undermined by pathogen evolution. Disease ecology is believed to be the main
driver of this evolution, yet mathematical models of MDV disease ecology have
never been confronted with data to test their reliability. Here, we develop a
suite of MDV models that differ in the ecological mechanisms they include. We fit
these models with maximum likelihood using iterated filtering in 'pomp' to data
on MDV concentration in dust collected from two commercial broiler farms. We find
that virus dynamics are influenced by between-flock variation in host
susceptibility to virus, shedding rate from infectious birds, and cleanout
efficiency. We also find evidence that virus is reintroduced to farms
approximately once per month, but we do not find evidence that virus sanitization
rates vary between flocks. Of the models that survive model selection, we find
agreement between parameter estimates and previous experimental data, as well as
agreement between field data and the predictions of these models. Using the set
of surviving models, we explore how changes to farming practices are predicted to
influence MDV-associated condemnation risk (production losses at slaughter). By
quantitatively capturing the mechanisms of disease ecology, we have laid the
groundwork to explore the future trajectory of virus evolution.
PMID- 29366875
TI - First prenatal case of proximal 19p13.12 microdeletion syndrome: New insights and
new delineation of the syndrome.
AB - Proximal 19p13.12 microdeletion has been rarely reported. Only five postnatal
cases with intellectual disability, facial dysmorphism, branchial arch defects
and overlapping deletions involving proximal 19p13.12 have been documented. Two
critical intervals were previously defined: a 700 kb for branchial arch defects
and a 350 kb for hypertrichosis-synophrys-protruding front teeth. We describe the
first prenatal case, a fetal death in utero at 39 weeks of gestation. Agilent
180K array-CGH analysis identified a heterozygous interstitial 745 kb deletion at
19p13.12 chromosome region, encompassing both previously reported critical
intervals, including at least 6 functionally relevant genes: NOTCH3, SYDE1,
AKAP8, AKAP8L, WIZ and BRD4. Quantitative PCR showed that the deletion occurred
de novo with a median size of 753 kb. NOTCH3 and SYDE1 were candidate genes for
placental pathology whilst AKAP8, AKAP8L, WIZ and BRD4 were highly expressed in
the branchial arches. Molecular characterization and sequencing of candidate
genes for placental pathology and branchial arch defects were carried out in
order to correlate the genotype-phenotype relationship and unravel the underlying
mechanism of proximal 19p13.12 microdeletion syndrome. This case also contributes
to define the novel critical interval and expand the clinical phenotype spectrum
of proximal 19p13.12 microdeletion syndrome.
PMID- 29366876
TI - Less Invasive Surgical Approaches for Left Ventricular Assist Device
Implantation.
AB - For several years, the standard implantation strategy of ventricular assist
devices has involved a full sternotomy approach. However, less invasive
implantation techniques are now becoming increasingly popular as they are
associated with reduction of trauma, blood loss, and arrhythmogenic
complications, as well as a decreased duration of intensive care unit and in
hospital stay. Thus, due to miniaturization and increasing technical improvement
of ventricular assist devices, less invasive strategies for implantation,
explantation, exchange, and concomitant cardiac procedures are on the rise. In
this review article, we report on the state of the art of less invasive
techniques for implantation, explantation, exchange, and combined cardiac
procedures of ventricular assist devices.
PMID- 29366877
TI - Are universal upper reference limits for alanine aminotransferase (ALT)
appropriate for assessing pediatric liver injury?
PMID- 29366878
TI - Trajectories of eGFR decline over a four year period in an Indigenous Australian
population at high risk of CKD-the eGFR follow up study.
AB - : Being able to estimate kidney decline accurately is particularly important in
Indigenous Australians, a population at increased risk of developing chronic
kidney disease and end stage kidney disease. The aim of this analysis was to
explore the trend of decline in estimated glomerular filtration rate (eGFR) over
a four year period using multiple local creatinine measures, compared with
estimates derived using centrally-measured enzymatic creatinine and with
estimates derived using only two local measures. METHOD: The eGFR study comprised
a cohort of over 600 Aboriginal Australian participants recruited from over
twenty sites in urban, regional and remote Australia across five strata of
health, diabetes and kidney function. Trajectories of eGFR were explored on 385
participants with at least three local creatinine records using graphical methods
that compared the linear trends fitted using linear mixed models with non-linear
trends fitted using fractional polynomial equations. Temporal changes of local
creatinine were also characterized using group-based modelling. Analyses were
stratified by eGFR (<60; 60-89; 90-119 and >=120ml/min/1.73m2) and albuminuria
categories (<3mg/mmol; 3-30mg/mmol; >30mg/mmol). RESULTS: Mean age of the
participants was 48years, 64% were female and the median follow-up was 3years.
Decline of eGFR was accurately estimated using simple linear regression models
and locally measured creatinine was as good as centrally measured creatinine at
predicting kidney decline in people with an eGFR<60 and an eGFR 60
90ml/min/1.73m2 with albuminuria. Analyses showed that one baseline and one
follow-up locally measured creatinine may be sufficient to estimate short term
(up to four years) kidney function decline. The greatest yearly decline was
estimated in those with eGFR 60-90 and macro-albuminuria: -6.21 (-8.20, -4.23)
ml/min/1.73m2. CONCLUSION: Short term estimates of kidney function decline can be
reliably derived using an easy to implement and simple to interpret linear mixed
effect model. Locally measured creatinine did not differ to centrally measured
creatinine, thus is an accurate cost-efficient and timely means to monitoring
kidney function progression.
PMID- 29366879
TI - The Waxing and Waning of Antithrombotic Therapy in Cardiovascular Disease - Where
Is the Moon Now?
PMID- 29366880
TI - Increased HCN Channel Activity in the Gasserian Ganglion Contributes to
Trigeminal Neuropathic Pain.
AB - : Orofacial neuropathic pain caused by trigeminal nerve injury is a debilitating
condition with limited therapeutic options. Hyperpolarization-activated cyclic
nucleotide-gated (HCN) channels regulate neuronal excitability and are involved
in the development and maintenance of chronic pain. However, the effect of HCN
channel activity in the Gasserian ganglion on trigeminal neuropathic pain has not
been examined. We evaluated nociceptive behaviors after microinjection of the HCN
channel blockers ZD7288 or ivabradine into the Gasserian ganglion in rats with
trigeminal nerve injury. Both blockers dose-dependently ameliorated evoked and
spontaneous nociceptive behavior in rats with trigeminal neuropathic pain.
Moreover, the clinically available HCN channel blocker ivabradine showed a
prolonged antinociceptive effect. In the Gasserian ganglion, HCN1 and HCN2 are
major HCN isoforms. After trigeminal nerve injury, the counts of HCN1 as well as
HCN2 immuno-positive punctae were increased in the ipsilateral Gasserian
ganglions. These results indicate that the increased HCN channel activity in the
Gasserian ganglion directly contributes to neuropathic pain resulting from
trigeminal nerve injury. PERSPECTIVE: Trigeminal nerve damage-induced orofacial
pain is severe and more resistant to standard pharmacological treatment than
other types of neuropathic pain. Our study suggests that targeting HCN channel
activities in the Gasserian ganglion may provide an alternative treatment of
trigeminal neuropathy including trigeminal neuralgia.
PMID- 29366881
TI - Metallic gold and bioactive quinacrine hybrid nanoparticles inhibit oral cancer
stem cell and angiogenesis by deregulating inflammatory cytokines in p53
dependent manner.
AB - Complete eradication of aggressive oral cancer remains a challenge due to the
presence of CSCs. They resist conventional chemotherapeutic agents due to their
self-renewal, drug efflux, and efficient DNA repair capacity. Here, we formulated
a hybrid-nanoparticle (QAuNP) using quinacrine and gold and
characterized/investigated its anti-angiogenic and anti-metastatic effect on OSCC
CSCs. QAuNP significantly inhibited cellular proliferation, caused apoptosis in
vitro, and disrupted angiogenesis in vivo and tumor regression in xenograft mice
model. It not only inhibited crucial angiogenic markers Ang-1, Ang-2 and VEGF but
also depleted MMP-2 in H-357-PEMT cells in a p53 and p21-dependent manner. QAuNP
also increased the ROS and NO generation in OSCC-CSCs and reduced the
mitochondrial membrane potential. It altered the level of inflammatory cytokines
IL-6, IL-1beta, TNF-alpha and metastasis-associated markers (CD-44, CD-133) in H
357-PEMT and CM-treated endothelial cells (HUVEC) in p53/p21-dependent manner.
Therefore, QAuNP will be a useful therapeutic agent against metastatic OSCC.
PMID- 29366882
TI - Threocytidines: Insight into the Conformational Preferences of Artificial Threose
Nucleic Acid (TNA) Building Blocks in B3LYP Studies.
AB - A systematic DFT conformational studies of four building blocks of TNA with
cytosine attached to the C1' atom of the alpha-L-threofuranose moiety are
presented. Structures bearing 2'-OR and 3'-OR substituents, where R represents H,
CH3 and phosphate groups, were used in the studies using a B3LYP functional in
the gas phase. The chi angle (C2-N1-C1'-O4'), the nu0-nu4 endocyclic torsion
angles and the exocyclic torsion angles epsilon (X-O2'-C2'-C1') and gamma (X-O3'
C3'-C2') geometry parameter variations were taken into consideration. Three
energy minima, high-anti, anti and syn, were found for the rotation about the C1'
N1 bond. The high-anti orientation of the base with respect to the sugar moiety,
turned out to be preferred, regardless of the substituents at the C2' and C3'
positions. Other orientations are at least 1.65 kcal/mol higher in Gibbs free
energy than the high-anti one. It has been shown that intramolecular H-bonds and
the anomeric effect of phosphate groups strongly affect the conformational
preferences of the studied compounds. Further, the structure of substituents
attached to the sugar moiety influence the pucker of the furanoid ring. The
furanoid ring in the global minima of the compound with two OH groups (TC1) in
the 2' and 3' positions, and the compound having a 3'-phosphate group (TC2),
adopt roughly the same conformation located at the southern range of the
pseudorotation wheel, and thus are close to those found in the B type DNA helix.
The low-energy high-anti rotamers of the geometry with the phosphate group
attached to the sugar ring in the 2' position (TC3) and the geometry with two
methoxyl groups (TC4) have their furanoid rings in conformations resembling those
found in A DNA and RNA helices (the northern range of the pseudorotation wheel).
PMID- 29366883
TI - beta-Alanine mediated inhibition of PTHR1suppresses the proliferation, invasion
and tumorigenesis in metastatic human osteosarcoma U2OS cells.
AB - The present study was aimed to investigate the effect of beta-alanine mediated
inhibition of parathyroid hormone 1 receptor (PTHR1), suppresses the
proliferation, invasion, and tumorigenesis in metastatic human osteosarcoma U2OS
cells. Cell survival rate was reduced 96.54, 91.23, 84.62, 76.42 and 69.72%
following incubation of beta-alanine at 50-250 mM respectively. Annexin
V/propidium iodide (PI) staining showed a reduced level of viable cells (71.37%)
at 250 mM of beta-alanine. U2OS cell proliferation, adhesion, invasion, and
migration were decreased following incubation with beta-alanine. Matrix
metalloproteinases-2/9 (MMP-2/9) mRNA expression was reduced, whereas tissue
inhibitors of metalloproteinases-1/2 (TIMP-1/2) mRNA expression was increased
remarkably. The mRNA and protein of PTHR1 were reduced in the cells following
incubation with beta-alanine. Vacuole membrane protein 1 (Vmp1) mRNA and protein
were increased in the cells following incubation with beta-alanine. In tunel
assay, the number of PTHR1 positive cells was 67, 34 and 17 following incubation
with beta-alanine at 150, 200 and 250 mM respectively. Taking all these data
together, it is concluded that beta-alanine mediated inhibition of PTHR1 reduced
the U2OS cell proliferation, invasion, migration, and tumorigenesis. Furthermore,
the results indicated that the beta-alanine induced expression of PTHR1 has a
positive relationship with invasion and metastasis of osteosarcoma cells.
PMID- 29366884
TI - alpha- and beta-d-Glucans from the edible mushroom Pleurotus albidus
differentially regulate lipid-induced inflammation and foam cell formation in
human macrophage-like THP-1 cells.
AB - Macrophages play an essential role in lipid metabolism; however, the excessive
uptake of modified lipids and cholesterol crystals (CC) leads to the formation of
pro-inflammatory lipid-laden macrophages called foam cells. Since the alpha-1,6-
and beta-1,3-d-glucans from the basidiome and the mycelium of the edible mushroom
Pleurotus albidus have previously been shown to regulate macrophage function,
these glucans were tested in macrophage-like THP-1 cells previously exposed to
acetylated low-density lipoproteins (acLDL) or CC. The glucans inhibited lipid
induced inflammation, but only the beta-1,3-d-glucan regulated both the NLRP3
inflammasome activation and the expression of genes involved on lipid efflux in
acLDL- or CC-pretreated cells, thereby reducing foam cell formation. In contrast,
the two alpha-1,6-glucans tested inhibited foam cell formation only in acLDL
pretreated cells and had no effect on the expression of the peroxisome
proliferator-activated receptor gamma and liver X receptor alpha genes,
suggesting that these glucans regulate lipid influx rather than lipid efflux.
Thus, alpha- and beta-d-glucans differentially regulate lipid-induced
inflammation and foam cell formation in macrophage-like cells. Furthermore,
results emphasize that P. albidus has potential to be used as a functional food
or as a source for the extraction of biologically-active glucans.
PMID- 29366885
TI - Enzymatic degradation of poly(butylene succinate) with different molecular
weights by cutinase.
AB - Poly(butylene succinate) (PBS) films with different molecular weights were
enzymatically degraded by cutinase. Changes in the properties of the films before
and after enzymatic degradation were studied through scanning electron
microscopy, differential scanning calorimetry, thermogravimetry, X-ray powder
diffraction, proton nuclear magnetic resonance, and gel-permeation chromatography
analysis. The weight loss of the films initially decreased and then increased
with increasing molecular weight. Crystallinity was inversely proportional to
weight loss and tended to decrease with prolonged degradation time. Crystalline
and amorphous regions were simultaneously degraded. The thermal stability of PBS
films decreased after enzymatic degradation. PBS was the main component of the
enzymatically degraded polymers. The molecular weights of the films did not
considerably change before and after degradation by cutinase.
PMID- 29366886
TI - Extraction and characterization of chitosan from prawn shell waste and its
conjugation with cutinase for enhanced thermo-stability.
AB - The present article describes extraction of chitosan from prawn shells waste and
its application in thermal stabilization of Fusarium sp. ICT SAC1 cutinase by non
covalent and covalent conjugation. Extracted chitosan represented 78.40% degree
of deacetylation (DDA), a molecular weight of 173 kDa and was soluble in 1%
acetic acid with 2.8 +/- 0.15% insoluble matter. The structural (FTIR, NMR and
XRD) and thermal characterization (DSC and TGA) indicated unique properties for
chitosan. Plausible chitosan structure was also deduced. The water and fat
binding capacities were 923% and 598.05% while 2,2'-azino-bis(3
ethylbenzothiazoline-6-sulphonic acid) and 1,1-diphenyl-2-picrylhydrzyl radicals
scavenging activity was 60.62 and 11.83 MUM Trolox-Equivalent/ml. The Km and Vmax
values of free cutinase were 0.82 mM and 20.64 mM/min which increased by 14.63
and 17.07%; and 27.18 and 43.94% after non-covalent and covalent conjugation,
respectively. A marginal increment in thermal inactivation constants and energy
(kd, t1/2, D and Ed value) were also noticed for cutinase-chitosan conjugates.
The enthalpy, free energy and entropy values increased marginally in covalent
conjugate vis-a-vis non-covalent conjugated and free cutinase. A reduction in
alpha-helix, random coils and beta-sheets content was noted after conjugation.
PMID- 29366887
TI - Effective adsorption of oil droplets from oil-in-water emulsion using metal ions
encapsulated biopolymers: Role of metal ions and their mechanism in oil removal.
AB - Herein, synthesized and compared the three different kinds of hybrid bio
polymeric composites viz., lanthanum embedded chitosan/gelatin (La@CS-GEL),
zirconium embedded chitosan/gelatin (Zr@CS-GEL) and cerium embedded
chitosan/gelatin (Ce@CS-GEL) in terms of their oil uptake efficiency. The
adsorption efficiency was studied under various optimized parameters like contact
time, pH, dose, initial oil concentration and temperature. The oil adsorption
capacity was found to be 91, 82 and 45% for La@CS-GEL, Zr@CS-GEL and Ce@CS-GEL
composites respectively. The metals were used as a bridging material to connect
both CS and GEL using the hydrophilic groups to enhance the oil recovery by
hydrophobic interaction. Also, the introduction of metal ions on the surface of
biopolymers would modify the oil/water properties which in turn, decrease the
interfacial tension between oil and water phases. The mechanism of oil uptake was
explained using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction
(XRD), thermogravimetric analysis (TGA), scanning electron microscope (SEM),
energy dispersive X-ray (EDAX) and heat of combustion. The experimental data
confirmed Langmuir isotherm as the best fit for oil adsorption process.
Thermodynamic parameters such as standard free energy (DeltaG degrees ), standard
enthalpy (DeltaH degrees ) and standard entropy (DeltaS degrees ) indicated that
the oil adsorption was spontaneous and endothermic. The oil adsorption mechanism
was established based on isotherm and thermodynamic models.
PMID- 29366888
TI - Carboxymethyl cellulose macromolecules as generator of anisotropic nanogold for
catalytic performance.
AB - Morphological structure and size of Au nanoparticles (AuNPs) are basically
affected on their chemical reactivity which are influenced by preparation method
due to including different materials and may cause some interferences in their
reactivity. Hence, current work focused on preparation of anisotropic AuNPs with
astonishing catalytic reactivity, using carboxymethyl cellulose (CMC)
macromolecules, as generator and shape conductor. Geometrical shape and size
distribution of the so-obtained AuNPs were found to be dependent on CMC
concentration and addition of alkali. By using 2 g/L CMC, sharp and quite intense
SPR peak was observed for the spherical AuNPs with size of 8.8-14.0 nm. While,
agglomerated Au particles with larger size (432.4-488.3 nm) showed broader
Surface Plasmon Resonance peak in case of alkali addition. Using lower
concentration of CMC (1 g/L) resulted in formation of heterogeneous shapes (nano
prism and nano-hexagonal). The small sized Au nano-spheres were shown to exhibit
the highest catalytic action. The p-nitro-aniline was fully reduced to p-amino
aniline in only 1 min and achieved rate constant of 649.2 * 10-3 s-1. This work
offers a unique, simple and green methodology to prepare catalytically active
anisotropic AuNPs which could be highly applicable in different purposes.
PMID- 29366889
TI - Is perturbation in the quaternary structure of bacterial CysE, another regulatory
mechanism for cysteine synthesis?
AB - Drug resistance to almost all antibiotics of Shigella flexneri, a major cause of
shigellosis in developing countries, necessitates continuous discovery of novel
therapeutics. This study reports a structure-function analysis of a potential
drug target serine acetyltransferase (CysE), an enzyme of de novo cysteine
biosynthesis pathway that is absent in humans. Analysis of CysE sequences of S.
flexneri species and serotypes displayed only two variants that differed by a
single amino acid substitution at position 241. Structural inspection of the
available crystal structure disclosed this site to be distinct from the
substrate/cofactor binding pockets or dimer/trimer interfaces. This study
discovers that V241 variant of S. flexneri CysE has nearly null enzymatic
activity. The observation is explained by molecular dynamic studies which reveal
that the disorder generated by A241V substitution is the basis of dissociation of
the quaternary assembly of S. flexneri CysE leading to loss of enzymatic activity
and stability. The study provides the first evidence that position 241 of CysE,
affects the catalytic efficiency of enzyme and suggests this locus as a 'hot
spot' for the propagation of conformational changes. It may be postulated that
transient quaternary structure of CysE maybe another mechanism for regulating the
intracellular level of cysteine.
PMID- 29366890
TI - SS-mPEG chemical modification of recombinant phospholipase C for enhanced thermal
stability and catalytic efficiency.
AB - PEGylation is one of the most promising and extensively studied strategies for
improving the properties of proteins as well as enzymic physical and thermal
stability. Phospholipase C, hydrolyzing the phospholipids offers tremendous
applications in diverse fields. However, the poor thermal stability and higher
cost of production have restricted its industrial application. This study focused
on improving the stabilization of recombinant PLC by chemical modification with
methoxypolyethylene glycol-Succinimidyl Succinate (SS-mPEG, MW 5000). PLC gene
from isolate Bacillus cereus HSL3 was fused with SUMO, a novel small ubiquitin
related modifier expression vector and over expressed in Escherichia coli. The
soluble fraction of SUMO-PLC reached 80% of the total recombinant protein. The
enzyme exhibited maximum catalytic activity at 80 degrees C and was relatively
thermostable at 40-70 degrees C. It showed extensive substrate specificity
pattern and marked activity toward phosphatidylcholine, which made it a typical
non-specific PLC for industrial purpose. SS-mPEG-PLC complex exhibited an
enhanced thermal stability at 70-80 degrees C and the catalytic efficiency
(Kcat/Km) had increased by 3.03 folds compared with free PLC. CD spectrum of SS
mPEG-PLC indicated a possible enzyme aggregation after chemical modification,
which contributed to the higher thermostability of SS-mPEG-PLC. The increase of
antiparallel beta sheets in secondary structure also made it more stable than
parallel beta sheets. The presence of SS-mPEG chains on the enzyme molecule
surface somewhat changed the binding rate of the substrates, leading to a
significant improvement in catalytic efficiency. This study provided an insight
into the addition of SS-mPEG for enhancing the industrial applications of
phospholipase C at higher temperature.
PMID- 29366891
TI - Identification of chitosan oligosaccharides binding proteins from the plasma
membrane of wheat leaf cell.
AB - Chitosan oligosaccharides (COS) have the ability to improve plant resistance to
pests and diseases through activating plant immune system. However, it remains
unclear whether stimulating reason of COS was associated with the plasma membrane
proteins. Here, the interaction of COS with wheat leaf cell demonstrated that
fluorescence-labeled COS were enriched on the cell surface and the interaction of
COS with plasma membrane proteins was confirmed by quartz crystal microbalance
(QCM) biosensor. What's more, HPLC and SDS-PAGE analysis showed that COS binding
proteins exhibited more than three peaks and the molecular weight were 66 kDa to
97 kDa, where the COS binding proteins were fished out from wheat plasma membrane
proteins by the COS affinity column. More importantly, LC-MS/MS analysis
demonstrated that several candidates, including W5G2U8_WHEAT (a potential wall
associated receptor kinase protein), W5HY42_WHEAT and W5I0R4_WHEAT (potential G
type lectin S-receptor-like serine/threonine-protein kinase), have the potential
to be COS receptors.
PMID- 29366892
TI - Design and fabrication of pectin-coated nanoliposomal delivery systems for a
bioactive polyphenolic: Phloridzin.
AB - Nanostructured colloidal delivery systems comprising of pectin-coated
nanoliposomes (pectonanoliposomes) were developed as carriers for a bioactive
polyphenolic compound (phloridzin). Phloridzin-loaded nanoliposomes were
fabricated using a heating-stirring-sonication method, and coated with low
methoxyl pectin using an electrostatic deposition approach. Dynamic light
scattering, micro-electrophoresis, atomic force microscopy, and UV-Visible
spectroscopy were used to investigate the impact of system composition on the
size, charge, morphology and stability as well as immobilization, adsorption and
encapsulation efficiencies of the pectonanoliposomes. Response surface
methodology was used to optimize the composition of the pectonanoliposomes based
on particle size and charge characteristics. Linear, quadratic and interaction
effects of 1,2-dioleoyl-3-trimethyl ammonium propane/lecithin,
phloridzin/lecithin and pectin/liposome ratios significantly influenced the mean
hydrodynamic diameter and/or surface charge of pectonanoliposomes. Second-order
polynomial regression models were generated for intensity-weighted particle size
and zeta potential of the designed carriers. Topographic and phase contrast
images showed that pectonanoliposomes exhibited a range of different
morphologies. Coating the nanoliposomes with pectin improved their immobilization
and encapsulation efficiencies as well as physical storage stability. Cationic
pectonanoliposomes were superior to plain systems regarding long-term stability.
Our results suggest that pectonanoliposomes may be suitable delivery systems for
polyphenolic nutraceuticals, such as phloridizin, in functional food and
pharmaceutical applications.
PMID- 29366893
TI - Molecular characterization of Cry1D-133 toxin from Bacillus thuringiensis strain
HD133 and its toxicity against Spodoptera littoralis.
AB - Bacillus thuringiensis subsp. aizawai strain HD133, known by its effectiveness
against Spodoptera species, produces bipyramidal crystals encompassing the
insecticidal proteins Cry1Ab, Cry1Ca and Cry1D-133 in the proportions 60:37:3,
respectively. In this study, we dealt with the relevance of the low rate of Cry1D
133. The cry1D-133 gene from HD133 was cloned and sequenced. Both nucleotide and
amino acid sequence similarity analyses with the cry1D genes available in the
GenBank database revealed that cry1D-133 is a new variant of cry1Da-type genes
with 99% identity with cry1Da1. Molecular modeling of the Cry1D-133 toxin showed
that its higher toxicity is correlated to a higher number of toxin-receptor
interactions. Optimal culture conditions of 4 h post-induction time, 160 rpm
agitation and 37 degrees C post-induction temperature were determined and
adopted to overproduce Cry1D-133 toxin at adequate amounts to carryout bioassays.
A gradual increase of the proportion of Cry1D-133 to the HD133 insecticidal
proteins forming the crystal (Cry1D-133, Cry1Ca and Cry1Ab) showed an improvement
of the toxicity against Spodoptera littoralis larvae. Therefore, the potential of
Cry1D-133 to enhance HD133 toxicity could promote its combination with other B.
thuringiensis insecticidal proteins toxins in order to increase target range or
to delay the emergence of resistance.
PMID- 29366894
TI - Glycation of whey proteins: Technological and nutritional implications.
AB - Whey proteins are globular proteins that have received much attention due to
their high nutritional value and characteristic functional properties. In
addition to being part of the protein system in milk, they constitute the main
proteins in whey and whey protein products. Interaction of whey proteins with
reducing sugars and carbohydrates via Maillard reaction have been extensively
studied in milk and in model systems. Glycation of individual whey proteins
results in variable increases in their solubility, thermal stability, antioxidant
activity, and emulsion and foam stabilization. Limited glycation of whey protein
products particularly whey protein isolates (WPI) using polysaccharides has been
studied with the aim to produce conjugates with modified functional properties
and acceptable sensory properties. An overview is presented here on the effect of
glycation on individual whey proteins and whey protein products and the potential
uses of the glycated whey proteins.
PMID- 29366895
TI - Physicochemical, functional and rheological investigation of Soymida febrifuga
exudate gum.
AB - Acacia gum is a well-known and most used exudate gum. High solubility with low
viscosity is one of the best property of this gum. Many studies were conducted to
find out a substitute for acacia gum but very few gum had shown properties as
good as acacia gum. The exudates collected from Soymida febrifuga also shows high
solubility with low viscosity as acacia gum. Purified Soymida febrifuga gum (SFG)
was characterised for physicochemical, functional, rheological and thermal
properties. The FTIR spectra of SFG revealed a typical trend of polysaccharides.
The monosaccharide composition of the gums indicated the presence arabinose,
galactose, and ribose. Element composition of SFG shows resemblance with AG.
However, the molecular weight of SFG is less than the AG. The rheological outcome
was derived from flow curve measurements of gum at different concentrations and
temperatures. Alikeness was observed in Viscosity profile of both the gums. SFG
shows semblance with AG and can be use in food and pharmaceutical industry.
PMID- 29366896
TI - Preparation and characterization of nano-SiO2 reinforced gelatin-k-carrageenan
biocomposites.
AB - The main goal of this study was to prepare bionanocomposites by combination of
gelatin (10% w/v), k-carrageenan (0.5%) and nano-SiO2 (1, 3 or 5%). Then,
mechanical properties (tensile strength, elongation at break, Young's modulus),
gas permeability (water vapor, oxygen), water solubility, color, UV-vis
transmission/absorbance, water vapor adsorption isotherms and Fourier transform
infrared (FTIR) analysis of nanocomposites were evaluated. As the results
indicated, inclusion of nano-SiO2 (at each level) could increase tensile strength
and Young's modulus significantly, with the latter becoming nearly 110% higher at
5% nanoparticle level compared with gelatin-carrageenan biocompostes.
Furthermore, incorporation of 5% nano-SiO2 could decrease water vapor
permeability of biocomposites from 8.9 to 1.6 * 10-11 g m-1 s-1 Pa-1 and their
oxygen permeability from 226 to 97 cm3 MUm m-2 day-1 atm-1. Water vapor
adsorption isotherms revealed that the water activity of 0.5 was the critical
point beyond which the difference in equilibrium moisture content between
nanoparticle-incorporated films and biocomposites increased considerably.
Besides, this research demonstrated that including 5% of nano-SiO2 is a good
solution to delay UV light driven reactions as it elevated absorbance of UV light
(220 nm) by biocomposites up to 4 times.
PMID- 29366897
TI - A low-molecular-weight galactofucan from the seaweed, Spatoglossum schroederi,
binds fibronectin and inhibits capillary-like tube formation in vitro.
AB - A low-molecular-weight (LMW) heterofucan (designated fucan B) was obtained from
the brown seaweed, Spatoglossum schroederi, and its activity as an inhibitor of
capillary-like tube formation by endothelial cells (ECs) was analyzed. Chemical,
infrared and electrophoretic analyses confirmed the identity of fucan B. In
contrast to other LMW fucans, fucan B (0.012-0.1 mg/mL) inhibited ECs capillary
like tube formation in a concentration-dependent manner. In addition, fucan B
(0.01-0.05 mg/mL) did not affect ECs proliferation. Fucan B also inhibited ECs
migration on a fibronectin-coated surface, but not on laminin- or collagen-coated
surfaces. Biotinylated fucan B was used as a probe to identify its localization.
Confocal microscopy experiments revealed that biotinylated fucan did not bind to
the cell surface, but rather only to fibronectin. Our findings suggest that fucan
B inhibits ECs capillary-like tube formation and migration by binding directly to
fibronectin and blocking fibronectin sites recognized by cell surface ligands.
However, further studies are needed to evaluate the in vivo effects of fucan B.
PMID- 29366898
TI - Structural elucidation and antioxidant activity of an arabinogalactan from the
leaves of Moringa oleifera.
AB - A novel arabinogalactan (MOP-1) was isolated from leaves of Moringa oleifera and
was purified by macro-porous resin, DEAE-52 Cellulose and Sepharose 6B gel
filtration chromatography. High performance gel permeation chromatography (HPGPC)
analysis showed that the molecular weight of MOP-1 was 7.65 * 107 Da.
Monosaccharide composition analysis indicated that sugar composition is Rha: Ara:
Gal in a molar ratio of 1:7.32:12.12. Structural analysis indicated that MOP-1
has a backbone of ->1)-beta-d-Galp-(3,4-> with highly branched chains at O-4
position. The branches were composed of ->1)-beta-d-Galp-(4->, ->1)-alpha-d-Galp
(2->, Araf-(1->, Galp-(1->. Its antioxidant activities were evaluated by DPPH
radical scavenging capacity, 2,2'-Azinobis-(3-ethylbenzthiazoline-6-sulphonate)
(ABTS) radical cation scavenging capacity, and ferric-reducing antioxidant power
(FRAP). The results indicated MOP-1 exhibited significant antioxidant activities.
PMID- 29366899
TI - Inulin based glutathione-responsive delivery system for colon cancer treatment.
AB - Colorectal cancer is one of the most common types of tumor in the world. Here we
developed a lipoic acid esterified polysaccharide (inulin) delivery system for
tanshinone IIA to treat colorectal cancer in vitro. The release of tanshinone IIA
in the system was highly responsive to glutathione, which is commonly abundant in
cancer cells. In addition, this drug delivery system was proliferative to
Bifidobacterium longum, the common inhabitant of human intestine. Thus, this
strategy might be useful to improve colon cancer therapy efficacy of anticancer
drugs and meanwhile promote the growth of beneficial commensal flora in the gut.
PMID- 29366900
TI - Starch-based polyurethane/CuO nanocomposite foam: Antibacterial effects for
infection control.
AB - In the present study, a new method for the synthesis of the open cell flexible
polyurethane foams (PUFs) was developed by using starch powder and the
modification of closed cell foam formulation. Starch is the second largest
polymeric carbohydrate as a macromolecule on this planet with a large number of
glucose units. Copper oxide nanoparticles (CuO NPs) were synthesized by thermal
degradation method at different temperatures of 400, 600 and 800 degrees C as
antimicrobial agents. The antimicrobial activity of CuO NPs and commercial CuO
powder against the main causes of hospital infections were tested. CuO600 was the
most effective antimicrobial agent and enhanced polymer matrix tensile strength
with starch powder as new polyurethane foams (PUFs) cell opener with high tensile
strength. The effects of parameters on tensile strength were optimized using
response surface methodology (RSM). CuO NPs and PUF had optimal conditions and
were characterized by X-ray diffraction (XRD), transmission electron microscopy
(TEM), scanning electron microscopy (SEM) and Fourier transform infrared
spectroscopy (FT-IR). Foam synthesized at the optimal conditions had an open cell
structure with high tensile strength and efficient antimicrobial activity that
made them suitable to be used as an antimicrobial hospital mattress to control
hospital infections.
PMID- 29366901
TI - Effects of high hydrostatic pressure on structural and physical properties of
nisin-SPI film.
AB - The objective of this paper is to explore the effects of high hydrostatic
pressure (HHP) treatments on the structural and physical properties of nisin-soy
protein-isolate (SPI) films by scanning electron microscopy (SEM), Fourier
transformed infrared (FTIR) spectroscopy, circular dichroism (CD) spectroscopy,
UV-Vis spectrophotometry, texture analyzer and rotational rheometer. It was found
that the nisin-SPI based films treated by high HHP had uniform and smooth
appearance and compact internal structures. Moreover, HHP treatment significantly
improved the barrier and mechanical properties of the composite films. FTIR and
CD analyses suggested that HHP treatments resulted in partial unfolding of
protein molecules, which were supposed to lead to the improved structural and
physical characteristics of the nisin-SPI films. Rheological analysis showed that
all film-forming suspensions exhibited pseudo-plastic behavior, and HHP treatment
significantly decreased the viscosity of the film-forming suspensions. Such
effects were considered to contribute to finer structure and improved properties
of the HHP films. The current results indicated that the structural
transformation of SPI aggregates induced by HHP treatments introduced the changes
in structural and physical properties of the films.
PMID- 29366902
TI - PEP-1-glutaredoxin-1 induces dedifferentiation of rabbit articular chondrocytes
by the endoplasmic reticulum stress-dependent ERK-1/2 pathway and the endoplasmic
reticulum stress-independent p38 kinase and PI-3 kinase pathways.
AB - Glutaredoxin-1 (GRX-1), belonging to the oxidoreductase family, is a component of
the endogenous antioxidant defense system. In this study, we evaluated the
effects of PEP-1-GRX-1 in rabbit articular chondrocytes. We found that PEP-1-GRX
1 causes a loss of the differentiated chondrocyte phenotype. PEP-1-GRX-1-treated
cells exhibited decreases in type II collagen expression and sulfated
proteoglycan synthesis in a dose- and time-dependent manner. PEP-1-GRX-1 causes
endoplasmic reticulum (ER)-stress, as evidenced by increases in ER stress marker
proteins, i.e., glucose-regulated protein (GRP) 78, GRP 94, and phospho
eukaryotic initiation factor 2 (eIF2) alpha. These effects were inhibited by ER
stress inhibitors. PEP-1-GRX-1 increased the phosphorylation of Akt,
extracellular signal-regulated kinase (ERK)-1/2, and p38. Inhibition of ERK-1/2
by PD98059 prevented PEP-1-GRX-1-induced dedifferentiation and inhibited ER
stress. The blockage of PI-3K/Akt or p38 kinase with SB203580 and LY294002
accelerated PEP-1-GRX-1-induced dedifferentiation, but did not have any effect on
PEP-GRX-1-induced ER stress. Our results indicate that the ERK-1/2 pathway
mediates chondrocyte dedifferentiation by PEP-GRX-1-induced ER stress. The PI-3K
and p38 kinase pathways regulate PEP-1-GRX-1-induced chondrocyte
dedifferentiation by an ER stress-independent pathway.
PMID- 29366903
TI - Design of psyllium-g-poly(acrylic acid-co-sodium acrylate)/cloisite 10A semi-IPN
nanocomposite hydrogel and its mechanical, rheological and controlled drug
release behaviour.
AB - Soft biomaterials derived from polysaccharides are generally suffers from lack of
mechanical robustness and instability. The naturally occurring highly abundance
low cost polysaccharide has immense aspect as biomaterial after functionalization
which can be designed as stretchable and rubber-like elastic with reversible
ductility. A highly swellable, stretchable, low creep, non-cytotoxic
nanocomposite hydrogel has been fabricated by simple one-pot Michael type
covalent grafting of acrylic acid based copolymer onto psyllium biomacromolecular
chian by free radical gelation technique. The fabricated hydrogel was
rheologically tested which implies its viscoelastic and thixotropic like
features. The porous morphology of the hydrogel was confirmed by scanning
electron micrograph. The cryo-transmission electron micrograph shows the random
dispersion of the nanoclay (cloisite 10A) tactoids in exfoliated as well
intercalated forms. These random distributions of clay nanosheets also enhance
the mechanical toughness and reversible ductility of the hydrogels which was also
supported by the mechanical and loading-unloading cycle measurement. Nonetheless,
the nanocomposite hydrogel was non-cytotoxic against human cell-line (human
osteosarcoma) and shows good cell attachment of live cells in a 5-day 'live-dead'
assay with almost negligible quantity of cell death. These attributes can promote
this material as a soft biomaterial for controlled release device with mechanical
robustness and rubber-like elasticity.
PMID- 29366904
TI - Expression and function of connexin 43 protein in mouse and human retinal pigment
epithelial cells as hemichannels and gap junction proteins.
AB - The changes in the transport function of the outer blood-retinal barrier (BRB),
formed by retinal pigment epithelial (RPE) cells, under pathological conditions
need to be understood to normalize the retinal homeostasis in retinal diseases.
Connexin 43 (Cx43) is known to be one of the basic units of gap junctions and
hemichannels, which are opened by changes in extracellular conditions. The
purpose of this study was to clarify the expression of Cx43 in RPE cells of the
retina and Cx43 contribution to compound transport functions in RPE cells.
Immunohistochemistry using guinea pig-derived polyclonal anti-Cx43 antibodies
indicated that Cx43 is localized at the apical and intercellular membrane of
mouse RPE cells. In addition, the immunoprecipitation study using the anti-Cx43
antibodies suggested that Cx43 at the intercellular membrane is associated with
gap and adherent junctions in mouse RPE cells. The intercellular transfer after
scrape loading of Lucifer Yellow (457 g/mol) among a human RPE cell line, ARPE-19
cells, was greater than that of fluorescein isothiocyanate-dextran (~3000 g/mol).
This Lucifer Yellow transfer was significantly inhibited by carbenoxolone, a
connexin inhibitor, suggesting that connexins take part in compound transfer via
gap junctions. In addition, Lucifer Yellow uptake by ARPE-19 cells in the absence
of extracellular Ca2+, which is a condition of hemichannel opening, was increased
compared with that under normal conditions. This uptake of Lucifer Yellow in the
absence of extracellular Ca2+ was significantly reduced in the presence of
hemichannel inhibitors and Cx43-gene silencing conditions. This study suggests
the involvement of Cx43 in dye transfer via gap junctions among RPE cells and
hemichannel-mediated compound transport between the neural retina and RPE cells.
PMID- 29366905
TI - Hand dysfunction in type 2 diabetes mellitus: Systematic review with meta
analysis.
AB - BACKGROUND: People with type 2 diabetes mellitus frequently show complications in
feet and hands. However, the literature has mostly focused on foot complications.
The disease can affect the strength and dexterity of the hands, thereby reducing
function. OBJECTIVES: This systematic review and meta-analysis focused on
identifying the existing evidence on how type 2 diabetes mellitus affects hand
strength, dexterity and function. METHODS: We searched MEDLINE via PubMed,
CINHAL, Scopus and Web of Science, and the Cochrane central register of
controlled trials for reports of studies of grip and pinch strength as well as
hand dexterity and function evaluated by questionnaires comparing patients with
type 2 diabetes mellitus and healthy controls that were published between 1990
and 2017. Data are reported as standardized mean difference (SMD) or mean
difference (MD) and 95% confidence intervals (CIs). RESULTS: Among 2077 records
retrieved, only 7 full-text articles were available for meta-analysis. For both
the dominant and non-dominant hand, type 2 diabetes mellitus negatively affected
grip strength (SMD: -1.03; 95% CI: -2.24 to 0.18 and -1.37, -3.07 to 0.33) and
pinch strength (-1.09, -2.56 to 0.38 and -1.12, -2.73 to 0.49), although not
significantly. Dexterity of the dominant hand did not differ between diabetes and
control groups but was poorer for the non-dominant hand, although not
significantly. Hand function was worse for diabetes than control groups in 2
studies (MD: -8.7; 95% CI: -16.88 to -1.52 and 4.69, 2.03 to 7.35). CONCLUSION:
This systematic review with meta-analysis suggested reduced hand function,
specifically grip and pinch strength, for people with type 2 diabetes mellitus
versus healthy controls. However, the sample size for all studies was low. Hence,
we need studies with adequate sample size and randomized controlled trials to
provide statistically significant results.
PMID- 29366906
TI - Intratumor heterogeneity in epigenetic patterns.
AB - Analogous to life on earth, tumor cells evolve through space and time and adapt
to different micro-environmental conditions. As a result, tumors are composed of
millions of genetically diversified cells at the time of diagnosis. Profiling
these variants contributes to understanding tumors' clonal origins and might help
to better understand response to therapy. However, even genetically homogenous
cell populations show remarkable diversity in their response to different
environmental stimuli, suggesting that genetic heterogeneity does not explain the
full spectrum of tumor plasticity. Understanding epigenetic diversity across
cancer cells provides important additional information about the functional state
of subclones and therefore allows better understanding of tumor evolution and
resistance to current therapies.
PMID- 29366907
TI - Electrodiagnostic applications of somatosensory evoked high-frequency EEG
oscillations: Technical considerations.
AB - INTRODUCTION: High frequency oscillations (HFOs) embedded within the
somatosensory evoked potential (SEP) are not routinely recorded/measured as part
of standard clinical SEPs. However, HFOs could provide important additional
diagnostic/prognostic information in various patient groups in whom SEPs are
tested routinely. One area is the management of patients with hypoxic ischaemic
encephalopathy (HIE) in the intensive care unit (ICU). However, the sensitivity
of standard clinical SEP recording techniques for detecting HFOs is unknown.
METHODS: SEPs were recorded using routine clinical methods in 17 healthy subjects
(median nerve stimulation; 0.5 ms pulse width; 5 Hz; maximum 4000 stimuli) in an
unshielded laboratory. Bipolar EEG recordings were acquired (gain 50 k; bandpass
3Hz-2 kHz; sampling rate 5 kHz; non-inverting electrode 2 cm anterior to C3/C4;
inverting electrode 2 cm posterior to C3/C4). Data analysis was performed in
MATLAB. RESULTS: SEP-HFOs were detected in 65% of controls using standard
clinical recording techniques. In 3 controls without significant HFOs,
experiments were repeated using a linear electrode array with higher spatial
sampling frequency. SEP-HFOs were observed in all 3 subjects. CONCLUSIONS:
Currently standard clinical methods of recording SEPs are not sufficiently
sensitive to permit the inclusion of SEP-HFOs in routine clinical
diagnostic/prognostic assessments. Whilst an increase in the number/density of
EEG electrodes should improve the sensitivity for detecting SEP-HFOs, this
requires confirmation. By improving and standardising clinical SEP recording
protocols to permit the acquisition/analysis of SEP-HFOs, it should be possible
to gain important insights into the pathophysiology of neurological disorders and
refine the management of conditions such as HIE.
PMID- 29366908
TI - A role for KCC3 in maintaining cell volume of peripheral nerve fibers.
AB - The potassium chloride cotransporter, KCC3, is an electroneutral cotransporter
expressed in the peripheral and central nervous system. KCC3 is responsible for
the efflux of K+ and Cl- in neurons to help maintain cell volume and
intracellular chloride levels. A loss-of-function (LOF) of KCC3 causes Hereditary
Motor Sensory Neuropathy with Agenesis of the Corpus Callosum (HMSN/ACC) in a
population of individuals in the Charlevoix/Lac-Saint-Jean region of Quebec,
Canada. A variety of mouse models have been created to understand the
physiological and deleterious effects of a KCC3 LOF. Though this KCC3 LOF in
mouse models has recapitulated the peripheral neuropathy phenotype of HMSN/ACC,
we still know little about the development of the disease pathophysiology.
Interestingly, the most recent KCC3 mouse model that we created recapitulated a
peripheral neuropathy-like phenotype originating from a KCC3 gain-of-function
(GOF). Despite the past two decades of research in attempting to understand the
role of KCC3 in disease, we still do not understand how dysfunction of this
cotransporter can lead to the pathophysiology of peripheral neuropathy. This
review focuses on the function of KCC3 in neurons and its role in human and
health and disease.
PMID- 29366909
TI - Hepatocyte-specific deletion of IL1-RI attenuates liver injury by blocking IL-1
driven autoinflammation.
AB - BACKGROUND & AIMS: Interleukin (IL)-1-type cytokines including IL-1alpha, IL
1beta and interleukin-1 receptor antagonist (IL-1Ra) are among the most potent
molecules of the innate immune system and exert biological activities through the
ubiquitously expressed interleukin-1 receptor type 1 (IL-1R1). The role of IL-1R1
in hepatocytes during acute liver failure (ALF) remains undetermined. METHODS:
The role of IL-1R1 during ALF was investigated using a novel transgenic mouse
model exhibiting deletion of all signaling-capable IL-1R isoforms in hepatocytes
(Il1r1Hep-/-). RESULTS: ALF induced by D-galactosamine (D-GalN) and
lipopolysaccharide (LPS) was significantly attenuated in Il1r1Hep-/- mice leading
to reduced mortality. Conditional deletion of Il1r1 decreased activation of
injurious c-Jun N-terminal kinases (JNK)/c-Jun signaling, activated nuclear
factor-kappa B (NF-kappaB) p65, inhibited extracellular signal-regulated kinase
(ERK) and prevented caspase 3-mediated apoptosis. Moreover, Il1r1Hep-/- mice
exhibited reduced local and systemic inflammatory cytokine and chemokine levels,
especially TNF-alpha, IL-1alpha/beta, IL-6, CC-chemokine ligand 2 (CCL2), C-X-C
motif ligand 1 (CXCL-1) and CXCL-2, and a reduced neutrophil recruitment into the
hepatic tissue in response to injury. NLRP3 inflammasome expression and caspase 1
activation were suppressed in the absence of the hepatocellular IL-1R1.
Inhibition of IL-1R1 using IL-1ra (anakinra) attenuated the severity of liver
injury, while IL-1alpha administration exaggerated it. These effects were lost ex
vivo and at later time points, supporting a role of IL-1R1 in inflammatory signal
amplification during acute liver injury. CONCLUSION: IL-1R1 in hepatocytes plays
a pivotal role in an IL-1-driven auto-amplification of cell death and
inflammation in the onset of ALF. LAY SUMMARY: Acute liver injury which can cause
lethal liver failure is medicated by a class of proteins called cytokines. Among
these, interleukin-1 (IL-1) and the corresponding receptor IL-1R1 play a
prominent role in the immune system, but their role in the liver is undetermined.
In the current study, a novel mouse model with defective IL-1R1 in liver cells
was studied. Mice lacking this receptor in liver cells were protected from cell
death to a certain extent. This protection occurred only in the presence of
other, neighboring cells, arguing for the involvement of proteins derived from
these cells. This effect is called paracrine signaling and the current study has
for the first time shown that the IL-1R1 receptor on hepatocytes is involved in
acute liver failure in this context. The approved drug anakinra - which blocks IL
1R1 - had the same effect, supporting the proposed mechanism of action. The
findings of this study suggest new treatment options for patients with acute
liver failure by blocking defined signals of the immune system.
PMID- 29366910
TI - TGFbeta1-mediated suppression of cytochrome P450(CYP) induction responses in rat
hepatocyte-fibroblast co-cultures.
AB - Co-culture of hepatocyte and fibroblasts has shown distinct advantages in
enhancing certain liver specific functions and maintaining hepatic polarity.
However, the utility of hepatocyte co-culture models for studies, such as drug
drug interaction studies, has not been completely elucidated. In this study the
induction of Cyp1a2, Cyp2b1/2, and Cyp3a2, the three major cytochrome P450 (CYP)
isoforms in the rat liver, was evaluated in randomly mixed co-cultures and
micropatterned co-cultures. We found that in both co-culture configurations, the
drug-induced Cyp1a2, Cyp2b1/2, Cyp3a2 mRNA and activity were suppressed relative
to those in monocultured hepatocytes. Further, we observed a significant increase
in TGFbeta1 production in the co-cultures. Addition of 100 pg/ml TGFbeta1 to
hepatocyte monocultures resulted in the suppression of Cyp1a2, Cyp2b1/2, and
Cyp3a2 induction. These findings implicate TGFbeta1 as one of the important
factors impairing drug induced CYP induction in co-cultures and suggests that
caution needs to be exercised in the use of hepatocyte-fibroblast co-cultures for
CYP induction studies.
PMID- 29366912
TI - Change in Physiological Variables in the Last Two Weeks of Life: An Observational
Study of Hospitalized Adults With Heart Failure.
AB - CONTEXT: Recognition of dying is a difficult task in end-stage heart failure, yet
it remains an important clinical skill in providing good palliative care to these
patients. OBJECTIVES: To use routinely collected data to explore evidence for
physiological change in the final two weeks of life in end-stage heart failure.
METHODS: This was a retrospective cohort study of routinely collected data from
hospital inpatients dying as a result of heart failure during a one-year period
in a U.K. hospital. Data were analyzed using descriptive techniques and
multilevel modeling. RESULTS: Results were obtained on 81 patients. Respiratory
function (evidenced by falling oxygen saturation and rising respiratory rate)
deteriorated by a clinically significant amount in the final two weeks of life (P
< 0.001). Renal function (evidenced by rising serum urea and creatinine) also
demonstrated a clinically significant deterioration over the same period (P <
0.001 and P = 0.005, respectively). Serum albumin fell over a period of months (P
< 0.001). Heart rate and blood pressure did not demonstrate clinically
significant change over the same period. CONCLUSIONS: Deteriorating respiratory
and renal function may indicate imminent dying in heart failure. A fall in serum
albumin may signify poor prognosis over a timescale of weeks to months.
Conversely, hemodynamic parameters may remain relatively stable in the final days
of life and should not be reassuring in end-stage heart failure patients.
PMID- 29366911
TI - Coping Skills Practice and Symptom Change: A Secondary Analysis of a Pilot
Telephone Symptom Management Intervention for Lung Cancer Patients and Their
Family Caregivers.
AB - CONTEXT: Little research has explored coping skills practice in relation to
symptom outcomes in psychosocial interventions for cancer patients and their
family caregivers. OBJECTIVES: To examine associations of coping skills practice
to symptom change in a telephone symptom management (TSM) intervention delivered
concurrently to lung cancer patients and their caregivers. METHODS: This study
was a secondary analysis of a randomized pilot trial. Data were examined from
patient-caregiver dyads (n = 51 dyads) that were randomized to the TSM
intervention. Guided by social cognitive theory, TSM involved four weekly
sessions where dyads were taught coping skills including a mindfulness exercise,
guided imagery, pursed lips breathing, cognitive restructuring, problem solving,
emotion-focused coping, and assertive communication. Symptoms were assessed,
including patients' and caregivers' psychological distress and patients' pain
interference, fatigue interference, and distress related to breathlessness.
Multiple regression analyses examined associations of coping skills practice
during the intervention to symptoms at six weeks after the intervention. RESULTS:
For patients, greater practice of assertive communication was associated with
less pain interference (beta = -0.45, P = 0.02) and psychological distress (beta
= -0.36, P = 0.047); for caregivers, greater practice of guided imagery was
associated with less psychological distress (beta = -0.30, P = 0.01).
Unexpectedly, for patients, greater practice of a mindfulness exercise was
associated with higher pain (beta = 0.47, P = 0.07) and fatigue interference
(beta = 0.49, P = 0.04); greater practice of problem solving was associated with
higher distress related to breathlessness (beta = 0.56, P = 0.01) and
psychological distress (beta = 0.36, P = 0.08). CONCLUSION: Findings suggest that
the effectiveness of TSM may have been reduced by competing effects of certain
coping skills. Future interventions should consider focusing on assertive
communication training for patients and guided imagery for caregivers.
PMID- 29366913
TI - Culture and Palliative Care: Preferences, Communication, Meaning, and Mutual
Decision Making.
AB - Palliative care is gaining acceptance across the world. However, even when
palliative care resources exist, both the delivery and distribution of services
too often are neither equitably nor acceptably provided to diverse population
groups. The goal of this study was to illustrate tensions in the delivery of
palliative care for diverse patient populations to help clinicians to improve
care for all. We begin by defining and differentiating culture, race, and
ethnicity, so that these terms-often used interchangeably-are not conflated and
are more effectively used in caring for diverse populations. We then present
examples from an integrative literature review of recent research on culture and
palliative care to illustrate both how and why varied responses to pain and
suffering occur in different patterns, focusing on four areas of palliative care:
the formation of care preferences, communication patterns, different meanings of
suffering, and decision-making processes about care. For each area, we provide
international and multiethnic examples of variations that emphasize the need for
personalization of care and the avoidance of stereotyping beliefs and practices
without considering individual circumstances and life histories. We conclude with
recommendations for improving palliative care research and practice with cultural
perspectives, emphasizing the need to work in partnerships with patients, their
family members, and communities to identify and negotiate culturally meaningful
care, promote quality of life, and ensure the highest quality palliative care for
all, both domestically and internationally.
PMID- 29366914
TI - The effects of a four week jump-training program on frontal plane projection
angle in female gymnasts.
AB - OBJECTIVES: To investigate the effects of a four week jump training program on
frontal plane projection angle (FPPA) in young female gymnasts. DESIGN:
Intervention study, consisting of a four week jump training program performed for
15 min as part of a warm-up, three days per week for four weeks. SETTING:
Gymnastics training center. PARTICIPANTS: Fourteen youth female gymnasts (age:
13.5 +/- 2.14 years, height: 1.54 +/- 0.11 m, body mass: 46.23 +/- 7.68 kg). MAIN
OUTCOME MEASURES: Change in FPPA during a 30 cm drop landing and tuck back
somersault. RESULTS: Large and significant decreases (p < 0.001) in FPPA of 6.8
degrees (39%) and 8.4 degrees (37%) during the drop landing and tuck back
somersault, respectively. CONCLUSION: The jump training program was successful in
improving FPPA in female gymnasts and is advised to be implemented into the warm
ups and training programs of competitive female gymnasts to improve FPPA and
therefore reduce the risk factors associated with knee injuries.
PMID- 29366915
TI - Quantifying humeral retrotorsion in young swimmers and association with swim
volume.
AB - OBJECTIVES: To compare the humeral retrotorsion (HRT) among non-athletes, amateur
swimmers and competitive swimmers and to analyze the relationship between HRT and
swim volume in competitive swimmers. DESIGN: Cross-sectional. SETTING:
Laboratory. PARTICIPANTS: Ninety children and adolescents, both genders (30 non
athletes: 11.50 +/- 1.94 years; 30 amateur swimmers: 11.56 +/- 1.81 years; and 30
competitive swimmers: 12.63 +/- 2.02 years). MAIN OUTCOME MEASURES: HRT
measurement through the bicipital forearm angle (BFA), by palpation, using an
inclinometer to obtain the angle. RESULTS: Competitive swimmers showed lower BFA
(higher HRT) compared to the amateurs (mean difference: 8.3 degrees ; p = 0.013;
effect size: 0.82) and to the non-athletes (mean difference: 8.5 degrees ; p =
0.010; effect size: 0.80). A significant fair negative relationship (r = -0.37; p
= 0.04) was found between BFA and volume of swim. CONCLUSION: Competitive young
swimmers present increased HRT and a higher volume of swim is associated with a
lower BFA (higher HRT) in these athletes. These results can help to improve the
understanding of osseous adaptations in young swimmers.
PMID- 29366916
TI - Employer attitudes towards general health checks and HIV testing in the
workplace.
AB - OBJECTIVE: There is a need to increase HIV testing rates in the UK. One approach
is to increase access to testing through general health checks (GHCs) in the
workplace. However, it is unclear whether GHCs are routinely offered by
organisations, and employer perceptions of HIV testing are largely unknown. STUDY
DESIGN: Online survey to assess attitudes towards and provision of general health
checks and HIV testing in the workplace. METHODS: Ninety-eight employers from 25
job sectors completed an online survey. Employers were 61 small and medium-sized
enterprises (SME), 37 large organisations; 86% employing mobile workers, 77.6%
employing migrant workers and 51.7% of employees were male workers. Items
included employer attitudes around workplace health, GHC provision, content and
delivery and attitudes towards workplace HIV testing including perceived benefits
and barriers to HIV testing. RESULTS: Only one company offered opt-in workplace
HIV testing. Seventy-eight companies (80%) did not provide any form of workplace
GHC for employees. Decisions about health check provisions were not commonly
informed by staff consultation (n = 6) or national guidelines (n = 4). Overall,
100% of companies (n = 98) reported at least one benefit of HIV testing and 68
(69%) believed that HIV testing should be offered in the workplace. Perceived
barriers to HIV testing in the workplace were: [a] not having enough knowledge
about HIV and testing; [b] not having trained staff to undertake HIV testing;
and, [c] not knowing how to access HIV testing kits. Fifty-six companies (57.14%)
would consider HIV testing as a future provision at their organisation. Sixty
seven companies (68.37%) would like further guidance on workplace HIV testing.
CONCLUSIONS: Few employers offer general health testing for employees, and opt-in
HIV testing is exceptionally rare, despite positive attitudes towards it. There
is a need to provide evidence-based guidance and support for employers around HIV
testing in the workplace.
PMID- 29366917
TI - Functional characterization of naturally occurring genetic variations of the
human guanine-rich RNA sequence binding factor 1 (GRSF1).
AB - The guanine-rich RNA sequence binding factor 1 (GRSF1) constitutes an
ubiquitously occurring RNA-binding protein (RBP), which belongs to the family of
heterogeneous nuclear ribonucleoprotein F/H (hnRNP F/H). It has been implicated
in nuclear, cytosolic and mitochondrial RNA metabolism. Although the crystal
structures of GRSF1 orthologs have not been solved, amino acid alignments with
similar RNA-binding proteins suggested the existence of three RNA-binding domains
designated quasi-RNA recognition motifs (qRRMs). Here we established 3D-models
for the three qRRMs of human GRSF1 on the basis of the NMR structure of hnRNP F
and identified the putative RNA interacting amino acids. Next, we explored the
genetic variability of the three qRRMs of human GRSF1 by searching genomic
databases and tested the functional consequences of naturally occurring mutants.
For this purpose the RNA-binding capacity of wild-type and mutant recombinant
GRSF1 protein species was assessed by quantitative RNA electrophoretic mobility
shift assays. We found that some of the naturally occurring GRSF1 mutants
exhibited a strongly reduced RNA-binding activity although the general protein
structure was hardly affected. These data suggested that homozygous allele
carriers of these particular mutants express dysfunctional GRSF1 and thus may
show defective GRSF1 signaling.
PMID- 29366918
TI - The Role of Rehabilitation in Patients With Progressive Supranuclear Palsy: A
Narrative Review.
AB - : Progressive supranuclear palsy (PSP) is a progressive neurodegenerative
disorder caused by the deposition of abnormal proteins in neurons of the basal
ganglia that limit motor ability, resulting in disability and reduced quality of
life. So far, no pharmacologic therapy has been developed, and the treatment
remains symptomatic. The aim of the present study is to perform a systematic
investigation of the literature, and to determine the types and effects of
rehabilitative interventions used for PSP. A search of all studies was conducted
in MEDLINE/PubMed, the Cochrane Central Register of Controlled Trials, CINAHL,
and EMBASE. Twelve studies were identified, including 6 case reports, 3 case
series, one case-control study, one quasi-randomized trial (i.e. not truly
random) with crossover design, and one randomized controlled trial, with 88
patients investigated overall. Rehabilitative interventions varied in type,
number, frequency, and duration of sessions. The most commonly used clinical
measures were the Progressive Supranuclear Palsy Rating Scale (PSPRS) and Unified
Parkinson's Disease Rating Scale (UPDRS). Physical exercises were the main
rehabilitative strategy but were associated with other interventions and
rehabilitative devices, in particular treadmill and robot-assisted gait training.
All studies showed an improvement in balance and gait impairment with a reduction
of falls after rehabilitation treatment. Because of poor methodological quality
and the variety of rehabilitative approaches including different and variable
strategies, there was insufficient evidence of the effectiveness of any specific
rehabilitation intervention in PSP. Despite this finding, rehabilitation might
improve balance and gait, thereby reducing falls in PSP patients. LEVEL OF
EVIDENCE: IV.
PMID- 29366919
TI - Treatment of Postanoxic Action Myoclonus With Intrathecal Baclofen: A Case
Report.
AB - : A case of severe action myoclonus after pulmonary arrest is described. Although
there was benefit from oral pharmacotherapy, action myoclonus remained disabling.
A favorable response to baclofen during an intrathecal trial led to eventual
placement of an intrathecal baclofen pump. The present case illustrates the
potential utility of intrathecal baclofen for treating this condition. LEVEL OF
EVIDENCE: V.
PMID- 29366920
TI - Ca2+ levels in Daphnia hemolymph may explain occurrences of daphniid species
along recent Ca gradients in Canadian soft-water lakes.
AB - Calcium levels are declining in eastern North American and western European
lakes. This widespread issue is affecting the composition of crustacean
zooplankton communities, as the presence and abundance of several calcium-rich
daphniid species are declining, while two other daphniids, D. catawba and D.
ambigua, that apparently tolerate low calcium environments, are prospering. The
physiological basis for low calcium tolerance of these daphniids is unknown. In
this study the presence of one Ca-rich (D. pulicaria) and one Ca-poor (D.
ambigua) daphniid species in Canadian Shield lakes is assessed in relation to
lake water Ca levels. The occurrence of D. ambigua was independent of Ca levels
in Ontario lakes, whereas D. pulicaria was more likely to occur in lakes with
relatively more Ca. In the laboratory, D. ambigua maintained lower levels of
hemolymph Ca2+ across a range of low Ca levels (0.7 to 7 mg l-1) compared with D.
pulicaria. The hemolymph pH remained steady across this Ca gradient in D. ambigua
while it was significantly more acidic in D. pulicaria in the two lowest Ca
treatments. While Ca2+ uptake was observed adjacent to the surface of D. ambigua
individuals, Ca2+ loss was observed for D. pulicaria assayed under moderately
high Ca levels. Based on these observations we propose that D. ambigua is able to
survive in low Ca lakes by maintaining low free ionic Ca2+ levels in the
hemolymph which minimizes the Ca gradient across the body wall in low Ca water
thus limiting overall Ca loss and facilitating Ca2+ uptake.
PMID- 29366921
TI - Effects of the acclimation to high salinity on intestinal ion and peptide
transporters in two tilapia species that differ in their salinity tolerance.
AB - Tilapiine species, widely distributed across habitats with diverse water
salinities, are important to aquaculture as well as a laboratory model. The
effects of water salinity on two tilapia species, that differ in their salinity
tolerance, was evaluated. Oreochromis niloticus reared in brackish-water, showed
a significant decrease in growth and feed efficiency, whereas O. mossambicus
reared in seawater did not show any significant changes. The expression and
activity of Na+/K+-ATPase (NKA), V-type H+-ATPase (VHA) and carbonic anhydrase
(CA), as well as expression levels of genes encoding two HCO3- and three peptide
transporters (nbc1, slc26a6, slc15a1a, slc15a1b and slc15a2) were measured in
three intestinal sections of these two species, grown in freshwater and
brackish/sea-water. Overall, the spatial distribution along the intestine of the
genes examined in this study was similar between the two species, with the
exception of tcaIV. The salinity response, on the other hand, varied greatly
between these species. In O. mossambicus, there was a salinity-dependent
increased expression of most of the examined genes (except slc26a6 and slc15a2),
while in O. niloticus the expression of most genes did not change, or even
decreased (tcaIV, nbc1 and slc15a1b). This study highlighted differences in the
intestinal response to salinity acclimation between closely- related species that
differ in their salinity tolerance. O. mossambicus, which has a high salinity
tolerance, showed expression patterns and responses similar to marine species,
and differed from the low-salinity-tolerance O. niloticus, which showed a
response that differed from the accepted models, that are based on marine and
diadromous fishes.
PMID- 29366922
TI - Motor skills intervention research of children with disabilities.
AB - BACKGROUND: Physical inactivity and obesity among children with physical and
cognitive disabilities is an emerging public health issue. Children's motor skill
development is a determinant of lifelong physical activity and obesity. AIMS: The
purpose of this article is to critically evaluate motor skill intervention
literature among children with physical and cognitive disabilities. METHODS AND
PROCEDURES: Electronic searches were completed to identity research articles
published from 1984 to 2014. Major findings were categorized among subtopics
including characteristics of intervention studies, research designs, diagnostic
method, motor skill interventions and motor skill outcome. OUTCOMES AND RESULTS:
21 studies were found and included participants with developmental delay (42.8%),
autism (19.0%), cross-disability (19.0%), intellectual disability (4.8%),
cerebral palsy (4.8%), developmental coordination disorder (4.8%), and learning
disabilities (4.8%). Only one study was a randomized controlled trial.
CONCLUSIONS: and implications: The current literature on motor skill intervention
research is broad in scope and has limited generalizability within and across
disability groups. Future research is needed to develop cross-disability
intervention methods adaptable to disability and function-specific needs,
including the utilization of rapidly developing technology. Researchers are
encouraged to utilize sound methodology with robust theoretical foundations.
Family and community engagement is encouraged in intervention delivery.
PMID- 29366923
TI - Handwriting difficulties in children with attention deficit hyperactivity
disorder (ADHD).
AB - Handwriting is fundamental in school and everyday life situations. Legibility
guarantees that writing productions communicate information, and speed is often
crucial, especially in children with attention deficit and hyperactivity disorder
(ADHD), in order to increase the likelihood of their being able to work
efficiently and stay on-task during school activities. Preliminary reports have
shown an impairment in handwriting of children with ADHD, but evidence is still
unclear, especially in the case of speed where research has offered contradictory
results. Children's performance, furthermore, has yet to be investigated under
the cognitive loading conditions typical of academic tasks in classroom. To shed
light on this matter, we examined the handwriting performance in a simple
condition but also under (verbal or spatial) working memory (WM) load in 16
fourth- and fifth-grade children with symptoms of ADHD and 16 matched control
children. Our results showed that the groups speed differed significantly only in
the verbal WM loading condition, where children with symptoms of ADHD wrote more
slowly and showed a greater intra-individual variability than controls.
Handwriting legibility was affected by verbal WM loading too. These findings are
discussed in relation to their educational and clinical implications.
PMID- 29366924
TI - Examination of gait parameters during perturbed over-ground walking in children
with autism spectrum disorder.
AB - BACKGROUND: Many children with Autism Spectrum Disorder (ASD) are school-aged and
typically carry a backpack. It is important to understand how this task affects
walking. Weighted vests (WVs) often prescribed to mitigate behavioral effects of
ASD. The effects of backpack and WV walking have not been examined in children
with ASD. AIMS: To quantify differences in lower extremity mechanics in children
with ASD during WV and backpack walking. METHODS: Eight male participants
completed 15 trials in three conditions: body mass, and carrying or wearing a
backpack or WV with 15% added body mass. Three-dimensional kinematic data were
collected and normalized to 100% of the gait cycle. The Model Statistic was
utilized to test for bilateral asymmetries between the lower extremity joints at
all points along the gait cycle. RESULTS: Analysis revealed similar numbers of
significant asymmetries in hip (71.0, 70.4, 60.6), knee (68.4, 71.5, 74.6), and
ankle (64.1, 68.9, 68.4) for unloaded, backpack, and WV, respectively.
CONCLUSION: Participants exhibited individualized kinematic symmetry-responses to
the loaded conditions compared to the unloaded condition. These findings suggest
that 15% body mass backpack or WV does not affect gait symmetry in children with
ASD.
PMID- 29366925
TI - Pharmacological intervention in hypertension using beta-blockers: Real-world
evidence for long-term effectiveness.
AB - The study objective was to compare the long-term incidence and risk of mortality
and cardiovascular outcomes in patients with hypertension initiating bisoprolol,
other beta-blockers or other antihypertensive therapies. Cohort analysis using UK
Clinical Practice Research Datalink (CPRD). Adult patients with first diagnosis
of hypertension recorded between 2000 and 2014, with >=365 days of registration
to first event and initiating monotherapies of bisoprolol, other beta-blockers or
drugs other than beta-blockers within 6 months of diagnosis were included.
Incidence rates (IR) for each treatment cohort were compared using adjusted
hazard ratio (HR) and 95% confidence intervals (CI) obtained from Cox regression
analyses. Of 100,066 patients included, 539 were prescribed bisoprolol, 3701
other beta-blockers, and 95,826 drugs other than beta-blockers. Patients
receiving bisoprolol had significantly increased survival from 2 up to <15 years
(HR for <15 years 0.34; 95% CI 0.18-0.67) versus other beta-blockers, and from 5
to <15 years (HR for <15 years 0.52; 95% CI 0.27-1.00) versus drugs other than
beta-blockers. Over time, the risk of arrhythmia was higher in the bisoprolol
cohort versus other beta-blockers, and risks of arrhythmia and angina were higher
versus drugs other than beta-blockers. No differences in the risk of embolism,
stroke, and myocardial infarction (MI) were found between cohorts. Over time,
mortality and cardiovascular outcome IRs decreased in each cohort. In conclusion,
bisoprolol showed sustained benefit on survival, evident from 2 years after
treatment initiation versus other beta-blockers, and from 5 years versus drugs
other than beta-blockers, providing long-term evidence supporting the use of
bisoprolol in patients with hypertension in primary care.
PMID- 29366926
TI - Intermolecular interaction and solid state characterization of abietic
acid/chitosan solid dispersions possessing antimicrobial and antioxidant
properties.
AB - The aim of this work was to prepare and characterize solid dispersions of abietic
acid (AB) and chitosan (CS) to investigate how formulation of the mixture may
help in the battle against microbial colonization in different areas, such as the
biomedical field or the food industry. Solid dispersions were characterized by
differential scanning calorimetry, infrared spectroscopy, Raman spectroscopy,
polarized optical microscopy, zeta potential and size analysis. The data showed
that the dispersion/solvent evaporation method formed solid dispersions in which
abietic acid was molecularly dispersed in the carrier. A synergistic effect
between the two components in terms of antioxidant and antimicrobial properties
was found, especially in the formulations obtained with 1/1 AB/CS molar ratio.
Interestingly, the aggregation state (amorphous/crystalline) of AB seemed to
affect the antimicrobial activity of the formulation, suggesting increased
bioactivity when the drug was in the amorphous state. These findings, together
with the demonstrated biocompatibility of the formulations, seem to open
promising perspectives for a successful application of the developed AB/CS
formulations in the biomedical field or in the food industry.
PMID- 29366927
TI - Eosinophilic Gastroenteritis Presenting With Gastric Perforation.
PMID- 29366928
TI - F-FDG-PET and Celiac Disease.
PMID- 29366929
TI - Segmental Xanthomatosis of the Ileum.
PMID- 29366930
TI - Sustained reversal of central neuropathic pain induced by a single intrathecal
injection of adenosine A2A receptor agonists.
AB - Central neuropathic pain is a debilitating outcome of spinal cord injury (SCI)
and current treatments to alleviate this pain condition are ineffective. A
growing body of literature suggests that activating adenosine A2A receptors
(A2ARs) decreases the production of proinflammatory cytokines and increases the
production of anti-inflammatory cytokines. Here, the effect of administering
intrathecal A2AR agonists on central neuropathic pain was measured using hindpaw
mechanical allodynia in a rat model of SCI termed spinal neuropathic avulsion
pain (SNAP). Other models of SCI cause extensive damage to the spinal cord,
resulting in paralysis and health problems. SNAP rats with unilateral low
thoracic (T13)/high lumbar (L1) dorsal root avulsion develop below-level
bilateral allodynia, without concomitant motor or health problems. A single
intrathecal injection of the A2AR agonist 2-p-(2-carboxyethyl)phenethylamino-5'-N
ethylcarboxamido adenosine HCl (CGS21680) reversed SCI-induced allodynia for at
least 6 weeks. The reversal is likely in part mediated by interleukin (IL)-10, as
intrathecally administering neutralizing IL-10 antibodies 1 week after CGS21680
abolished the anti-allodynic effect of CGS21680. Dorsal spinal cord tissue from
the ipsilateral site of SCI (T13/L1) was assayed 1 and 6 weeks after CGS21680 for
IL-10, CD11b, and tumor necrosis factor (TNF) gene expression. CGS21680 treatment
did not change IL-10 gene expression but did significantly decrease CD11b and TNF
gene expression at both timepoints. A second A2AR agonist, 4-(3-(6-amino-9-(5
cyclopropylcarbamoyl-3,4-dihydroxytetrahydrofuran-2-yl)-9H-purin-2-yl)prop-2
ynyl)piperidine-1-carboxylic acid methyl ester (ATL313), was also able to
significantly prevent and reverse SCI-induced allodynia for several weeks after a
single intrathecal injection, providing converging lines of evidence of A2AR
involvement. The enduring pain reversal after a single intrathecal injection of
A2AR agonists suggests that A2AR agonists could be exciting new candidates for
treating SCI-induced central neuropathic pain.
PMID- 29366931
TI - In vitro antiplasmodial efficacy of synthetic coumarin-triazole analogs.
AB - Twenty two diverse coumarin-triazole derivatives were synthesized by alkylation
of 7-hydroxy-4-methyl-coumarin followed by click chemistry at 7-position. These
compounds were evaluated for their in vitro antiplasmodial activity against
chloroquine sensitive strain of Plasmodium falciparum (3D7). Compound 9 (7-[1-(2,
4-dimethoxy-phenyl)-1H- [1-3] triazol-4-ylmethoxy]-4-methyl-chromen-2-one) was
found most active with IC50 value 0.763 +/- 0.0124 MUg/mL. Further, the structure
of compound 20 was characterized by single crystal X-ray diffraction. In view of
impressive results, we considered it worthwhile to validate the results of in
vitro antiplasmodial activity by assessing whether these compounds are capable of
hampering the catalytic activity of DNA gyrase, thus preventing its supercoiling
function.
PMID- 29366933
TI - Similar taste-nutrient relationships in commonly consumed Dutch and Malaysian
foods.
AB - Three recent studies showed that taste intensity signals nutrient content.
However, current data reflects only the food patterns in Western societies. No
study has yet been performed in Asian culture. The Malaysian cuisine represents a
mixture of Malay, Chinese and Indian foods. This study aimed to investigate the
associations between taste intensity and nutrient content in commonly consumed
Dutch (NL) and Malaysian (MY) foods. Perceived intensities of sweetness,
sourness, bitterness, umami, saltiness and fat sensation were assessed for 469
Dutch and 423 Malaysian commonly consumed foods representing about 83% and 88% of
an individual's average daily energy intake in each respective country. We used a
trained Dutch (n = 15) and Malaysian panel (n = 20) with quantitative sensory
SpectrumTM 100-point rating scales and reference solutions, R1 (13-point), R2 (33
point) and R3 (67-point). Dutch and Malaysian foods had relatively low mean
sourness and bitterness (80%, P/O ratio->30%, DeltaPsi->10% and
swelling- 27%), could not be restored efficiently by STS treatment. Therefore we
used nicorandil (mitochondrial potassium channel opener) along with STS as a
combination therapy to treat the diseased heart and found an improvement in
cardioprotection against IR injury, compared to STS alone. Upon evaluating these
hearts, we found that both the cardiac mitochondria namely interfibrillar and
subsarcolemmal were functionally well preserved.
PMID- 29366935
TI - Glycine betaine rather than acting only as an osmolyte also plays a role as
regulator in cellular metabolism.
AB - For many years, glycine betaine (GB) has been widely studied as an osmolyte in
plants and bacteria. In animal cells, GB is an osmolyte mainly in the kidneys,
but in humans many studies have shown its role as a methyl donor in homocysteine
metabolism in the liver. GB is also a protein stabilizer, and thus, it became
known as an osmoprotector. In many organisms GB is synthesized from choline and
can also be obtained from some foods. Over the last twenty years GB has gone from
being considered simply as an osmolyte to being known as a cytoprotector involved
in cell metabolism and as a chemical chaperone. The aim of this review was to
gather information about the role of GB in the metabolism of ethanol, lipids,
carbohydrates and proteins in animals. The information generated thus far shows
that GB regulates enzymes involved in the homocysteine/methionine cycle, sucrose,
glucose, fructose and glycogen metabolism, in oxidative and ER-stress caused by
ethanol abuse, likewise enzymes involved in lipogenesis and fatty oxidation.
Besides, there are data supporting that GB regulates the transcription factors
PPARalpha, NF-kappaB, FOX1, ChREBP and SREBP1 and this lets GB play a role in
protein synthesis. One of the main mechanisms by which GB regulates the enzymes
is by changes in their activity either because GB increases their expression or
because it regulates changes in their phosphorylation status through specific
kinases. GB modulates the expression of genes by changing the degree of
methylation in the promoter of target genes. The exact mechanism by which GB
modifies the methylation status of the promoter is not yet clear, but methyl
transferases that use SAM as methyl donor and DNA methyl transferases are good
candidates for this function.
PMID- 29366932
TI - A systematic review of attentional biases in disorders involving binge eating.
AB - OBJECTIVE: Attentional bias (AB) may be one mechanism contributing to the
development and/or maintenance of disordered eating. AB has traditionally been
measured using reaction time in response to a stimulus. Novel methods for AB
measurement include eye tracking to measure visual fixation on a stimulus, and
electroencephalography to measure brain activation in response to a stimulus.
This systematic review summarizes, critiques, and integrates data on AB gathered
using the above-mentioned methods in those with binge eating behaviors, including
binge eating, loss of control eating, and bulimia nervosa. METHOD: Literature
searches on PubMed and PsycInfo were conducted using combinations of terms
related to binge eating and biobehavioral AB paradigms. Studies using AB
paradigms with three categories of stimuli were included: food, weight/shape, and
threat. For studies reporting means and standard deviations of group bias scores,
Hedges' g effect sizes for group differences in AB were calculated. RESULTS:
Fifty articles met inclusion criteria and were reviewed. Individuals who binge
eat in the absence of compensatory behaviors show an increased AB to food cues,
but few studies have examined such individuals' AB toward weight/shape and
threatening stimuli. Individuals with bulimia nervosa consistently show an
increased AB to shape/weight cues and socially threatening stimuli, but findings
for AB to food cues are mixed. DISCUSSION: While there are important research
gaps, preliminary evidence suggests that the combination of AB to disorder
specific cues (i.e., food and weight/shape) and AB toward threat may be a potent
contributor to binge eating. This conclusion underscores previous findings on the
interaction between negative affect and AB to disorder-specific cues.
Recommendations for future research are provided.
PMID- 29366936
TI - Functional attribution of LdISP, an endogenous serine protease inhibitor from
Leishmania donovani in promoting infection.
AB - Leishmaniasis, a parasitic disease caused by unicellular eukaryotic protozoa of
the genus Leishmania, affects more than 12 million people worldwide. Events of
leishmaniasis are based on the infection of the mammalian host, precisely
macrophages, where both host and parasite derived proteases and endogenous
inhibitors are significant. Pathogen derived protease inhibitors have generated
considerable interest as they often act as an agent promoting infection and
parasitic survivability. An endogenous serine protease inhibitor from Indian
strain of Leishmania donovani was previously identified by our group and named as
LdISP. It has been found to inhibit neutrophil elastase (NE), responsible for
natural inflammation process. However, LdISP's role in progression of infection
or the proteomics based structural exposition has not been explored. The present
study is aimed to localize and validate the potential role of LdISP in
infectivity. We found that LdISP localized endogenously and treatment of infected
host cells with LdISP curbs ROS and NO production. Additionally, in silico
studies are carried out to predict the putative amino acid residues of LdISP
involved in the inhibition process. Taken together, our results demonstrate that
LdISP eventually exerts a pronounced role in L. donovani infection.
PMID- 29366937
TI - Designer microbiomes for environmental, energy and health biotechnology.
AB - Biotechnology conventionally uses pure strains of microorganisms to realize a
desired conversion. The design of functional microbiomes is becoming a powerful
alternative for when an aseptic environment is not an option, either for economic
reasons or if the environment is intrinsically open. Rapid technological
developments in combined -omics approaches is enabling the engineering and
optimization of highly complex microbiomes. This review outlines emerging
principles of design and provides examples of successful approaches and
interventions in wastewater treatment, bioenergy production and the human
intestinal microbiome.
PMID- 29366938
TI - A compact, portable, re-configurable, and automated system for on-demand
pharmaceutical tablet manufacturing.
AB - Due to the complex nature of the pharmaceutical supply chain, the industry faces
several major challenges when it comes to ensuring an adequate supply of quality
drug products. These challenges are not only the causes of supply chain
disruptions and financial loss, but can also prevent underserved and remote areas
from receiving life-saving drugs. As a preliminary demonstration to mitigate all
these challenges, at MIT we have developed active pharmaceutical ingredients
manufacturing in a miniature platform. However, manufacturing of final oral solid
dosage as tablets from drug substances had not been demonstrated. In this study,
a compact, portable, re-configurable, and automated tablet manufacturing system,
roughly the size of a North American household oven, [72.4 cm (length) * 53.3 cm
(width) * 134.6 cm (height)] was designed, built and demonstrated. This miniature
system is able to manufacture on-demand tablets from drug crystals on a scale of
hundreds to thousands per day. Ibuprofen and Diazepam, each having different drug
loading, were manufactured using this miniature system and meet U.S. Pharmacopeia
standards. We foresee this flexible, miniature, plug-and-play pharmaceutical
solids dosage manufacturing system advancing on-demand ready-to-use
pharmaceuticals enabling future treatment of human diseases at the point-of-care.
PMID- 29366939
TI - Statistical Design of Experiment (DoE) based development and optimization of
DB213 in situ thermosensitive gel for intranasal delivery.
AB - DB213 is an HIV-1 replication inhibitor targeting the Central Nervous System for
the treatment of HIV-associated neurocognitive disorders. Current study aims to
develop an in situ thermosensitive gelling system for intranasal delivery of
DB213 facilitated by Statistical Design of Experiment (DoE) to conduct a more
efficient experimentation by extracting the maximum amount of information from
limited experiments. In our current study, information was extracted from twenty
five experimental designs from MODDE(r) Software and a mathematical model was
successfully developed to predict formulations to achieve desired performance as
well as to analyze relationships between the amount of Pluronic F-127, Pluronic F
68, Chitosan, DB213 and the performances of in situ thermosensitive gels. Based
on DoE, in situ thermosensitive gels of 1% DB213 (F1) and 5% DB213 (F2) were
developed for further in vivo bioavailability and brain uptake evaluations in
Sprague-Dawley rats and C57BL/6 mice, respectively. In comparison to DB213 water
solution, intranasal administrations of F1 at 1 mg/kg in rats and F2 at 25 mg/kg
in mice demonstrated relative bioavailabilities of 145% and 165% with significant
increase in brain uptake.
PMID- 29366940
TI - Evaluation of toxicity of glycerol monooleate nanoparticles on PC12 cell line.
AB - An innovative approach to improve drug delivery is the use of glycerol monooleate
nanoparticles. Numerous studies describe their high versatility, low toxicity and
ability to carry relatively high loads of conjugated compounds including scarcely
soluble ones, providing sustained drug release and increasing drug diffusion and
half-life. Despite a growing interest in their potential use for therapeutic
applications, there are surprisingly few literature data concerning the toxic
effects of these nanoparticles at high concentrations in vitro and in vivo, and
their effects on cell metabolism. We produced and characterized from a physical
chemical point of view glycerol monooleate nanoparticles and tested them on the
PC12 cell line, a rat model of neuronal differentiation. The toxicity of these
nanoparticles was evaluated by molecular methods on cell viability, cell cycle,
nanoparticle uptake and induction of apoptosis. The results showed that glycerol
monooleate nanoparticles up to 100 MUg/mL had no toxic effects on PC12 cells, did
not induce significant changes in the cell cycle nor cause apoptosis. The
nanoparticles entered PC12 cells 8 h after treatment, successfully delivering the
conjugate compound inside cells. Overall, glycerol monooleate nanoparticles did
not exhibit significant toxicity on PC12 cell line in concentrations up to 100
ug/mL, supporting their therapeutic use as drug delivery systems.
PMID- 29366941
TI - Inorganic nanoparticles: A potential cancer therapy for human welfare.
AB - Cancer is an abnormal condition leading to uncontrolled cell division which
causes damage to the body tissues. Around 100 types of cancer are studied so far
namely breast cancer, lung cancer, skin cancer, prostate cancer, colon cancer and
lymphoma. Major cancer therapies include chemotherapy, surgery and radiations but
their major drawbacks are non-specifically distributed antitumor agents, uneven
delivery of drug concentration to the tumour and low monitoring. Nanoparticles
(NPs) are the newly trending field of nanomedicine implied in cancer therapy. The
structural characteristics of NPs makes them excellent mode for targeting and
penetrating the abnormal cell growth caused by cancer. They can potentially enter
the abnormal cells causing DNA damage and determine the defects in the genes.
Apart from targeting cancer cells they also aid in drug delivery, imaging of
abnormal cells, release and monitoring of therapeutic agents against cancer. The
present review deals with the inorganic NPs mediated pharmacotherapy, potential
strategies for developing drug delivery system, evaluate the merits and demerits
of traditional chemotherapy and nanotherapy for significantly improving the
treatment of cancers.
PMID- 29366942
TI - Optimization of a semi-batch tablet coating process for a continuous
manufacturing line by design of experiments.
AB - The aim of the study was to optimize a tablet coating process for a continuous
manufacturing line. High throughputs should be achieved while inter-tablet
coating variability should be as small as possible. Drug-free cores were coated
with a colored suspension. All processes were monitored in-line with Raman
spectroscopy. A statistical design of experiment was performed to find optimum
process parameters. Tablet loading, spray rate and drum rotation speed were
studied. Image analysis was performed using a computer scanner. Tablet hue and
saturation were evaluated to obtain information about the inter-tablet color
variabilities and the numbers of outliers. Low variabilities could be achieved
using low spray rates and high rotation speeds and they were independent from the
tablet batch sizes in the studied factor space. For the prediction of the coating
thickness, univariate analysis was compared to PLS-regression. Calibration models
were built based on the three center points of the statistical design of
experiment resulting in RMSEC of 1.07% of sprayed suspension with R2 of 0.9989
and Q2 of 0.9987. Model prediction was possible independent from loading, spray
rate and drum rotation speed. The experiment with lowest color variability was
conducted with a desired throughput rate of 25 kg/h and with a RMSEP of 2.5%.
PMID- 29366943
TI - Membrane properties for permeability testing: Skin versus synthetic membranes.
AB - Synthetic membranes that are utilized in diffusion studies for topical and
transdermal formulations are usually porous thin polymeric sheets for example
cellulose acetate (CA) and polysulfones. In this study, the permeability of human
skin was compared using two synthetic membranes: cellulose acetate and Strat-M(r)
membrane and lipophilic and hydrophilic compounds either as saturated or
formulated solutions as well as marketed dosage forms. Our data suggests that
hydrophilic compounds have higher permeation in Strat-M membranes compared with
lipophilic ones. High variation in permeability values, a typical property of
biological membranes, was not observed with Strat-M. In addition, the
permeability of Strat-M was closer to that of human skin than that of cellulose
acetate (CA > Strat-M > Human skin). Our results suggest that Strat-M with little
or no lot to lot variability can be applied in pilot studies of diffusion tests
instead of human skin and is a better substitute than a cellulose acetate.
PMID- 29366944
TI - 3D printing of vaginal rings with personalized shapes for controlled release of
progesterone.
AB - Vaginal rings are gynecological drug delivery devices with fixed shapes, sizes
and drug doses. Here 3D printed personalized progesterone-loaded vaginal rings
were prepared. Progesterone was mixed with polyethylene glycol (PEG) 4000 to form
a solid dispersion that was cut into pieces. The mixture of poly(lactic acid)
(PLA)/polycaprolactone (PCL) (8:2) and Tween 80 were mixed with the above pieces
and hot-melted to form filaments. A fused deposition modeling (FDM) printer was
used to prepare "O", "Y" or "M"-shaped vaginal rings with the filaments.
Differential scanning calorimetry and X-ray powder diffraction showed that
amorphous progesterone existed in the rings. Moreover, progesterone did not
decompose in the preparation according to the thermal gravimetric analysis.
Surface pores and inner channels appeared in the rings due to PEG 4000
dissolution and Tween 80 made the rings wettable. The "O" ring had higher
dissolution than the "Y" and "M" rings due to its higher surface area/volume
ratio and special shape. The vaginal rings showed the long-term sustained release
of progesterone for more than 7 days with diffusion-controlled release behavior.
The 3D printed vaginal rings are promising local gynecological medications. 3D
printing is an effective strategy for preparation of personalized and customized
medications.
PMID- 29366945
TI - The relevance of shear, sedimentation and diffusion during spin freezing, as
potential first step of a continuous freeze-drying process for unit doses.
AB - Recently, a continuous freeze-drying process for the production of unit doses was
presented and evaluated. In this concept, the freezing step is modified compared
to traditional batch freeze-drying, as glass vials filled with a liquid
formulation, are rotated around their longitudinal axis while cooled and frozen
with a cold, sterile and inert gas (i.e. spin freezing). Finally, a thin frozen
product layer spread over the entire vial wall is achieved. The aim of this paper
is twofold: firstly, the relation between the rotation velocity and the relative
difference between top and bottom of the frozen product layer thickness was
determined for different vial types. Secondly, the impact of shear and
centrifugal forces generated during spinning was examined, to find out whether
they might cause pharmaceutical instability and sedimentation, respectively.
Mechanistic and experimental evaluation showed that shear has no effect on
proteins. Calculations showed that the sedimentation and diffusion velocity is
too low to cause inhomogeneity in the product layer. In addition, Global
Sensitivity Analysis (GSA) and Uncertainty Analysis (UA) were performed in order
to account for the uncertainty of the used mechanistic model.
PMID- 29366946
TI - Mechanochemical effect on swelling and drug release of natural polymer matrix
tablets by X-ray computed tomography.
AB - The relationships between the physicochemical properties of milled starch and
drug release from tablets were investigated quantitatively using a drug release
kinetic method and X-ray computed tomography (XCT). The samples were prepared
from raw beta-starch by milling in a planetary ball mill. The tablets, containing
5% theophylline (TH), 94% milled starch, and 1% magnesium stearate, were
compressed at 6 kN. The drug-release and gel-forming processes were measured
simultaneously using an original dissolution tester with an XCT instrument. Drug
release from the tablet was delayed with increasing milling time, because the TH
tablet formed a typical gel-layer on the outside of the tablet. The relationship
between the crystallinity of milled starch and mean drug release time (MDT) for
the TH tablets showed almost a straight inverse proportional relationship. The
plots of MDT against area under the curve of the swelling ratio profiles of the
TH tablets had a good straight line.
PMID- 29366947
TI - Quantification of DNA damage products by gas chromatography tandem mass
spectrometry in lung cell lines and prevention effect of thyme antioxidants on
oxidative induced DNA damage.
AB - Lung cancer has a high treatment cost and poor prognosis in comparison to other
types of cancers. This work was involved in studying oxidative DNA base damage
inhibition. Accordingly, standard carvacrol, thymol, thymoquinone with water and
water-methanol extract of thyme (Origanum vulgare L. subsp. hirtum (link.)
Ietswaart), thyme oil and thyme water were prepared and investigated for their
efficacy to inhibit DNA oxidative damage formed by H2O2 in malignant lung cells
(A549). The antioxidant capacity by ABTS assay was 271.73 +/- 11.45 mg trolox
equivalent/mL for thyme oil. HPLC analysis was carried out to determine the
contents of different thyme extracts, results showing the presence of carvacrol,
thymol, protocatechuic acid, caffeic acid, epicatechin and rosmarinic acid in
water and water-methanol extracts while only carvacrol and thymol were found in
thyme oil and thyme water. After DNA isolation from the cultured cells, the
formed oxidative induced DNA damage products were analysed using GC-MS/MS. It was
proven that the antioxidants in the cell culture media have succeeded to inhibit
oxidative DNA base damage. Thymoquinone was shown to be the best protectant
antioxidant among other antioxidants against the formation of oxidative DNA
damage, whereas water-methanol extract of thyme was the best among the plant
sourced samples. Thymoquinone and thyme water-methanol extract were investigated
for their efficacy on cultured healthy lung cells (BEAS-2B), and it was proven
that they are efficient in protection against the oxidation of DNA of healthy
lung cells too.
PMID- 29366948
TI - Neural representations for newly learned words are modulated by overnight
consolidation, reading skill, and age.
AB - Word learning depends not only on efficient online binding of phonological,
orthographic and lexical information, but also on consolidation of new word
representations into permanent lexical memory. Work on word learning under a
variety of contexts indicates that reading and language skill impact facility of
word learning in both print and speech. In addition, recent research finds that
individuals with language impairments show deficits in both initial word form
learning and in maintaining newly learned representations over time, implicating
mechanisms associated with maintenance that may be driven by deficits in
overnight consolidation. Although several recent studies have explored the neural
bases of overnight consolidation of newly learned words, no extant work has
examined individual differences in overnight consolidation at the neural level.
The current study addresses this gap in the literature by investigating how
individual differences in reading and language skills modulate patterns of neural
activation associated with newly learned words following a period of overnight
consolidation. Specifically, a community sample of adolescents and young adults
with significant variability in reading and oral language (vocabulary) ability
were trained on two spoken artificial lexicons, one in the evening on the day
before fMRI scanning and one in the morning just prior to scanning. Comparisons
of activation between words that were trained and consolidated vs. those that
were trained but not consolidated revealed increased cortical activation in a
number of language associated and memory associated regions. In addition,
individual differences in age, reading skill and vocabulary modulated learning
rate in our artificial lexicon learning task and the size of the cortical
consolidation effect in the precuneus/posterior cingulate, such that older
readers and more skilled readers had larger cortical consolidation effects in
this learning-critical region. These findings suggest that age (even into late
adolescence) and reading and language skills are important individual differences
that affect overnight consolidation of newly learned words. These findings have
significant implications for understanding reading and language disorders and
should inform pedagogical models.
PMID- 29366949
TI - Impaired theory of mind in adults with traumatic brain injury: A replication and
extension of findings.
AB - OBJECTIVE: To replicate a previous study of Theory of Mind (ToM) task performance
in adults with traumatic brain injury (TBI) under different working memory (WM)
demands, and determine if there are sex-based differences in effects of WM load
on ToM task performance. METHOD: 58 adults with moderate-severe TBI (24 females)
and 66 uninjured adults (34 females) matched group-wise for age, sex, and
education viewed a series of video vignettes from the Video Social Inference Task
(VSIT) (Turkstra, 2008) and answered ToM questions. Vignette presentation format
required updating and maintenance of information, and WM load was manipulated by
varying presence of distracters. RESULTS: There were main effects of group and WM
load, no significant effect of sex, and a marginal interaction of group by WM
load, with larger between-group differences in conditions with higher WM load.
VSIT scores for the condition with the highest WM load were significantly
correlated with scores on the first trial of the California Verbal Learning Test.
CONCLUSIONS: We replicated findings of lower scores in adults with TBI on a video
based ToM task, and provided additional evidence of the effect of WM load on
social cognition task performance. There were no significant accuracy differences
between men and women, inconsistent with prior evidence - including our own data
using the same test. There is strong evidence of a female advantage on other
social cognition tasks, and the parameters of this advantage remain to be
discovered.
PMID- 29366950
TI - Human behavioural discrimination of human, chimpanzee and macaque affective
vocalisations is reflected by the neural response in the superior temporal
sulcus.
AB - Accurate perception of the emotional content of vocalisations is essential for
successful social communication and interaction. However, it is not clear whether
our ability to perceive emotional cues from vocal signals is specific to human
signals, or can be applied to other species' vocalisations. Here, we address this
issue by evaluating the perception and neural response to affective vocalisations
from different primate species (humans, chimpanzees and macaques). We found that
the ability of human participants to discriminate emotional valence varied as a
function of phylogenetic distance between species. Participants were most
accurate at discriminating the emotional valence of human vocalisations, followed
by chimpanzee vocalisations. They were, however, unable to accurately
discriminate the valence of macaque vocalisations. Next, we used fMRI to compare
human brain responses to human, chimpanzee and macaque vocalisations. We found
that regions in the superior temporal lobe that are closely associated with the
perception of complex auditory signals, showed a graded response to affective
vocalisations from different species with the largest response to human
vocalisations, an intermediate response to chimpanzees, and the smallest response
to macaques. Together, these results suggest that neural correlates of
differences in the perception of different primate affective vocalisations are
found in auditory regions of the human brain and correspond to the phylogenetic
distances between the species.
PMID- 29366951
TI - Novel procedures for whole organism detection and quantification of fluorescence
as a measurement for oxidative stress in zebrafish (Danio rerio) larvae.
AB - The modes of action of pollutants are diverse, and a common consequences to
pollutant exposure is oxidative stress. This phenomenon is caused by an imbalance
or disurption in the control of Reactive Oxygen Species (ROS) resulting in an
accumulation of free radicals. Oxidative stress may cause damages to the DNA,
phospholipids and proteins, and lead to cell death. Due to the possible
contribution of oxidative stress to pollutant toxicity, it is valuable to assess
its occurrence, role and mechanism. Detection of oxidative stress at low
concentrations soon after the onset of exposure can be a sensitive, general
marker for contamination. This study aimed at developing and benchmarking a set
of novel fluorescence-based procedures to assess the occurrence of oxidative
stress in zebrafish larvae (96 hpf) by measuring the antioxidant glutathione
(GSH) and general ROS. Zebrafish larvae were exposed to tert-butyl hydroperoxide
(t-BHP). ROS and GSH were made visible by means of specific fluorescent molecular
probes in different experimental scenarios. The induction was qualified using
microscopy and quantified through photometric measurement. For quantitative
assessment, an approach based on homogenized larvae and a non-invasive plate
assay were developed. The novel procedures proved suitable for oxidative stress
detection. Comparisons of qualitative to quantitative data showed that the
orientation of the larvae in the well can influence fluorescence data evaluation.
The non-invasive quantitative assay proved robust against any influence of the
orientation of the larvae. The developed protocols promise to be useful tools for
the detection of oxidative stress in zebrafish larvae.
PMID- 29366953
TI - Ecological and health risks assessment and spatial distribution of residual heavy
metals in the soil of an e-waste circular economy park in Tianjin, China.
AB - Ziya Circular Economy Park is the biggest e-waste recycle park in North China
before 2011, its function was then transformed in response to regulations and
rules. In this paper, investigation was conducted to research the residual
concentrations of 14 analytes (12 heavy metals and 2 non-metals) in the surface
soil of Ziya Circular Economy Park and surrounding area. Both ecological and
health assessments were evaluated using GI (geo-accumulation index) and NPI
(Nemerow pollution index), and associated health risk was assessed by using USEPA
model. According to the ecological risk assessment, Cu, Sb, Cd, Zn and Co were
seriously enriched in the soil of the studied area. The health risk assessment
proposed by USEPA indicated no significant health risks to the population. Soil
properties, such as pH and organic matter, were found to correlate with the
enrichment of heavy metals. Arsenic concentrations in the soil were found
positively correlated to dead bacteria concentrations. Spatial distribution of
heavy metals revealed that Ziya Circular Economy Park was the dominant pollution
source in the studied area. Findings in this study suggest that enough attention
should be payed to the heavy metal pollution in Ziya Circular Economy Park.
PMID- 29366954
TI - Evaluating heavy metal accumulation and potential risks in soil-plant systems
applied with magnesium slag-based fertilizer.
AB - Two typical Chinese soils including southern paddy soil and northern calcareous
soils were used in a pot experiment to evaluate the effect of magnesium slag
based fertilizer on heavy metal accumulation and health risk in soil-plant
system. The results indicated that magnesium slag-based fertilizer promoted the
growth of maize plants. The concentrations of Cr, Cu, Pb and Zn in both soils
amended with magnesium slag-based fertilizer were qualified for the second level
criterion of Standard of Soil Environment (GB 15618-2009). Accumulation of HMs
exhibited partitioning characteristics in maize plants, i.e. Cr and Cu were
accumulated mainly in root and leaf parts while Pb was concentrated in roots. The
order of transfer factors (TF) of HMs in different plant organs was ordered as
follows: root > stem > leaf > grain. It indicated that less HMs were accumulated
in the grain compared with that in other organs. The estimate daily intakes and
total target hazard quotient of HMs including Cr, Cu, Pb, and Zn were less than
1, indicating that consumption of maize grain was at low risk and would not cause
non-carcinogenic risks. From the above results, application of magnesium slag
based fertilizer at present level would not cause pollution risk for maize plants
cultivated in two Chinese soils.
PMID- 29366952
TI - An overview on the removal of synthetic dyes from water by electrochemical
advanced oxidation processes.
AB - Wastewater containing dyes are one of the major threats to our environment.
Conventional methods are insufficient for the removal of these persistent organic
pollutants. Recently much attention has been received for the oxidative removal
of various organic pollutants by electrochemically generated hydroxyl radical.
This review article aims to provide the recent trends in the field of various
Electrochemical Advanced Oxidation Processes (EAOPs) used for removing dyes from
water medium. The characteristics, fundamentals and recent advances in each
processes namely anodic oxidation, electro-Fenton, peroxicoagulation, fered
Fenton, anodic Fenton, photoelectro-Fenton, sonoelectro-Fenton, bioelectro-Fenton
etc. have been examined in detail. These processes have great potential to
destroy persistent organic pollutants in aqueous medium and most of the studies
reported complete removal of dyes from water. The great capacity of these
processes indicates that EAOPs constitute a promising technology for the
treatment of the dye contaminated effluents.
PMID- 29366955
TI - PBDEs and novel brominated flame retardants in road dust from northern Vietnam:
Levels, congener profiles, emission sources and implications for human exposure.
AB - Polybrominated diphenyl ethers (PBDEs) and selected novel brominated flame
retardants (NBFRs) were examined in road dust samples collected from three
representative areas in northern Vietnam, including seven inner districts of
Hanoi metropolitan area, an industrial park in Thai Nguyen province and a rural
commune in Bac Giang province. This study aims to provide basic information on
the contamination status, potential sources and human exposure to PBDEs and NBFRs
associated with road dust in northern Vietnam. PBDEs were detected in all the
samples at a range of 0.91-56 ng g-1 with a median value of 16 ng g-1. PBDE
concentrations in road dusts from urban sites were significantly higher than
those from industrial zone and rural area, suggesting their environmental load
related to urbanization in northern Vietnam. BDE-209, major component of deca-BDE
technical mixtures, dominated the congener patterns in all samples, accounting
for 60.8-91.9% of total PBDE levels. Decabromodiphenyl ethane, an alternative of
deca-BDE, was observed in a detection frequency of 100% in urban and industrial
areas and at levels comparable to those of BDE-209. Other NBFRs such as
pentabromoethylbenzene, hexabromobiphenyl and 1,2-bis-(2,4,6
tribromophenoxy)ethane, were found at trace levels. Daily intake doses of PBDEs
via road dust ingestion from 2.3 * 10-5 to 0.11 ng kg-bw-1 d-1 were estimated for
residents in study areas, indicating a negligible risk with hazard indexes of 10
9 to 10-5 for selected congeners such as BDE-47, 99, 153 and 209.
PMID- 29366956
TI - Insight into effects of antibiotics on reactor performance and evolutions of
antibiotic resistance genes and microbial community in a membrane reactor.
AB - A lab-scale anoxic/oxic-membrane bioreactor was designed to treat antibiotics
containing wastewater at different antibiotics concentrations (0.5 mg/L, 1 mg/L
and 3 mg/L of each antibiotic). Overall COD and NH4+N removal (more than 90%)
were not affected during the exposure to antibiotics and good TN removal was also
achieved, while TP removal was significantly affected. The maximum removal
efficiency of penicillin and chlorotetracycline reached 97.15% and 96.10%
respectively due to strong hydrolysis, and sulfamethoxazole reached 90.07% by
biodegradation. However, 63.87% of norfloxacin maximum removal efficiency was
achieved mainly by sorption. The system had good ability to reduce ARGs, peaking
to more than 4 orders of magnitude, which mainly depended on the biomass
retaining of the membrane module. Antibiotics concentration influenced the
evolution of ARGs and bacterial communities in the reactor. This research
provides great implication to reduce ARGs and antibiotics in antibiotics
containing wastewater using A/O-MBR.
PMID- 29366957
TI - Antibiotics in the aquatic environment of Vietnam: Sources, concentrations, risk
and control strategy.
AB - The presence of antibiotics in the aquatic environment is a serious concern
because it may lead to the emergence of antibiotic resistance, thus lowering the
therapeutic effect of antibiotics. In Vietnam, the problem is aggravated by the
irrational use of antibiotics in different sectors of agriculture and human
health service. Moreover, the residues of antibiotics in the aquatic environment
can be spread widely due to the lack of proper wastewater treatment systems. In
this paper, we aim to comprehensively review all relevant sources that discharge
antibiotics to the aquatic environment in Vietnam. Apart from the common source
of antibiotics from aquaculture, other activities that release considerable
amounts of antibiotics into water environment are also included. Environmental
concentrations of antibiotics related to those sources are studied to demonstrate
their contributions to the presence of antibiotics in the aquatic environment in
Vietnam. As antibiotic-contained water may be used as water supply for irrigation
and even human consumption in rural areas, the essence of wastewater treatment is
highlighted. Finally, we also discuss the new National Action plan from the
Ministry of Health for controlling the issue of antibiotic resistance in Vietnam.
PMID- 29366958
TI - Trace elements in ALS patients and their relationships with clinical severity.
AB - An exploratory study of trace elements in ALS and their relationships with
clinical severity was detected. Amyotrophic lateral sclerosis (ALS) is a
neurodegenerative disorder that causes irreversible damage in humans, with the
consequent loss of function of motoneurons (MNs), with a prognosis up to 5 years
after diagnosis. Except to genetic rare cases it is not known the etiology of the
disorder. Aim of our research is to investigate the possible role of heavy metals
in the severity of the disease. In this study, by the use of plasma mass (ICP
MS), we have analyzed the content of essential and heavy metals such: Pb, Cd, Al,
Hg, Mn, Fe, Cu, Zn, Se, Mg, and Ca, in blood, urine and hair of ALS patients and
controls; moreover we divided the patients in two groups for disease severity and
analyzed the difference among the groups, in order to study a possible
involvement of metals in the severity of the damage. Our results suggest a
protective role of Selenium, involved in protective antioxidant mechanisms, and a
risk factor in the case of presence of Lead in blood. The levels of the other
metals are not easy to interpret, because these may be due to life style and for
essential metals a consequence of the disease condition, not a cause.
PMID- 29366959
TI - Membrane fouling control and enhanced removal of pharmaceuticals and personal
care products by coagulation-MBR.
AB - We investigated the effects of the addition of two coagulants-polyaluminium
chloride (PACl) and chitosan-into the membrane bioreactor (MBR) process on
membrane fouling and the removal of pharmaceuticals and personal care products
(PPCPs). Their addition at optimized dosages improved the permeability of the
membrane by reducing the concentration of soluble microbial products in mixed
liquor, the content of inorganic elements, and irreversible fouling of the
membrane surface. During long-term operation, the addition of PACl increased
removal efficiencies of tetracycline, mefenamic acid, atenolol, furosemide,
ketoprofen, and diclofenac by 17-23%. The comparative evaluation using mass
balance calculations between coagulation-MBR (with PACl addition) and control-MBR
(without PACl addition) showed that enhanced biodegradability played a key role
in improving removal efficiencies of some PPCPs in coagulation-MBR. Coagulation
MBR also had higher oxygen uptake rates and specific nitrification rates of
microorganisms. Overall, our findings suggest that the combination of MBR with
coagulation reduced membrane fouling, lengthening operation period of the
membrane, and improved the removal of some PPCPs as a result of enhanced
biodegradability.
PMID- 29366960
TI - Predicting tumour growth and its impact on survival in gemcitabine-treated
patients with advanced pancreatic cancer.
AB - The aim of this evaluation was to characterize the impact of the tumour size (TS)
effects driven by the anticancer drug gemcitabine on overall survival (OS) in
patients with advanced pancreatic cancer by building and validating a predictive
semi-mechanistic joint TS-OS model. TS and OS data were obtained from one phase
II and one phase III study where gemcitabine was administered (1000-1250 mg/kg
over 30-60 min i.v infusion) as single agent to patients (n = 285) with advanced
pancreatic cancer. Drug exposure, TS and OS were linked using the population
approach with NONMEM 7.3. Pancreatic tumour progression was characterized by
exponential growth (doubling time = 67 weeks), and tumour response to treatment
was described as a function of the weekly area under the gemcitabine triphosphate
concentration vs time curve (AUC), including treatment-related resistance
development. The typical predicted percentage of tumour growth inhibition with
respect to no treatment was 22.3% at the end of 6 chemotherapy cycles. Emerging
resistance elicited a 57% decrease in drug effects during the 6th chemotherapy
cycle. Predicted TS profile was identified as main prognostic factor of OS, with
tumours responders' profiles improving median OS by 30 weeks compared to stable
disease TS profiles. Results of NCT00574275 trial were predicted using this
modelling framework, thereby validating the approach as a prediction tool in
clinical development. Our analyses show that despite the advanced stage of the
disease in this patient population, the modelling framework herein can be used to
predict the likelihood of treatment success using early clinical data.
PMID- 29366961
TI - Inkjet printing of paracetamol and indomethacin using electromagnetic technology:
Rheological compatibility and polymorphic selectivity.
AB - Drop-on-demand inkjet printing is a potential enabling technology both for
continuous manufacturing of pharmaceuticals and for personalized medicine, but
its use is often restricted to low-viscosity solutions and nano-suspensions. In
the present study, a robust electromagnetic (valvejet) inkjet technology has been
successfully applied to deposit prototype dosage forms from solutions with a wide
range of viscosities, and from suspensions with particle sizes exceeding 2 MUm. A
detailed solid-state study of paracetamol, printed from a solution ink on
hydroxypropyl methylcellulose (HPMC), revealed that the morphology of the
substrate and its chemical interactions can have a considerable influence on
polymorphic selectivity. Paracetamol ink crystallized exclusively into form II
when printed on a smooth polyethylene terephthalate substrate, and exclusively
into form I when in sufficient proximity to the rough surface of the HPMC
substrate to be influenced by confinement in pores and chemical interactions. The
relative standard deviation in the strength of the dosage forms was <4% in all
cases, for doses as low as 0.8 mg, demonstrating the accuracy and reproducibility
associated with electromagnetic inkjet technology. Good adhesion of indomethacin
on HPMC was achieved using a suspension ink with hydroxypropyl cellulose, but not
on an alternative polyethylene terephthalate substrate, emphasising the need to
tailor the binder to the substrate. Future work will focus on lower-dose drugs,
for which dosing flexibility and fixed dose combinations are of particular
interest.
PMID- 29366962
TI - Accessing lipophilicity of drugs with biomimetic models: A comparative study
using liposomes and micelles.
AB - Lipophilicity is a physicochemical property of crucial importance in drug
discovery and drug design. Biomimetic models, such as liposomes and micelles,
constitute a valuable tool for the assessment of lipophilicity through the
determination of partition coefficients (log Kp). However, the lack of
standardization hampers the judgment about which model or method has the best and
broadest passive drug permeation predictive capacity. This work provides a
comparative analysis between the methodologies based on biomimetic models to
determine the partition coefficient (log Kp). For that purpose, a set of
reference substances preconized by the Organization for Economic Cooperation and
Development (OECD) guidelines was used. The biomimetic models employed were
liposomes and micelles composed by 1,2-dimyristoyl-sn-glycero-3-phosphorylcholine
(DMPC) and hexadecylphosphocholine (HePC), respectively. Both lipids were used as
representative phospholipids of natural membranes. The partition coefficients
between biomimetic models and aqueous phases were determined by derivative
spectroscopy at physiological conditions (37 degrees C and pH 7.4). The
partition coefficients obtained using biomimetic models are quite different and
more reliable than the ones obtained using an octanol/water system. Comparing the
performance of the two biomimetic models, micelles revealed to be suitable only
for substances with high molar absorption coefficient and log Kp > 3, but in
general liposomes are the best model for accessing lipophilicity of drugs.
Furthermore, a comparison between experimental data and the partition
coefficients determined by the computational method COSMOmic is also provided and
discussed. As a final summarizing result, a decision tree is provided in order to
guide the selection of a tool for assessing the lipophilicity of drugs.
PMID- 29366963
TI - Functional characterization of cell-free expressed Kv1.3 channel using a voltage
sensitive fluorescent dye.
AB - Using a cell-free expression system, we produced the Kv1.3 protein embedded in
one step within detergent micelles. The protein was then purified and relipidated
into mixed lipid bilayers. These proteoliposomes held an average of 0.8 protein
per liposome. We examined channel forming activity using an oxonol VI fluorescent
probe and verified its inhibition using margatoxin and ShK toxins. This assay was
automatized and optimized so as to get a Z' statistical factor acceptable for
venom fraction screening. We obtained a sensible amount of membrane protein using
the cell-free assay, that proved to be active when embedded in liposomes. These
findings emphasize the quality of the cell-free produced KV1.3 proteoliposomes
and the usefulness of a fluorescent probe. This method can benefit the field of
channel characterization, as well as provide tools for the development of new
inhibitors, so as to reinforce our therapeutic arsenal against autoimmune
diseases.
PMID- 29366965
TI - Improved heterologous expression of the membrane-bound quinoprotein quinate
dehydrogenase from Gluconobacter oxydans.
AB - Gluconobacter oxydans produces 3-dehydroquinate by oxidation of quinate through a
reaction catalyzed by the quinate dehydrogenase (QDH), membrane-bound,
pyrroloquinoline quinone (PQQ)-dependent dehydrogenase. We previously reported
the nucleotide and deduced amino acid sequence of QDH and constructed a
heterologous expression system of QDH in Pseudomonas sp. (A.S. Vangnai, W.
Promden, W. De-Eknamkul, K. Matsushita, H. Toyama, Biochemistry (Moscow) 75:452
459, 2010). Through this study, we aim to update the sequences of QDH and improve
the heterologous expression of QDH in Gluconobacter strains using a broad-host
range plasmid. Expression of QDH using a plasmid containing a long 5'-UTR was
higher than that using a plasmid with a short 5'-UTR. In addition, the usage of
the putative promoter region of the membrane-bound, alcohol dehydrogenase (ADH)
of Gluconobacter resulted in higher expression levels compared to the usage of
the lacZ promoter. Base substitution experiments allowed to identify the correct
TTG initiation codon between two possibilities, and the result of these
experiments were consistent with the N-terminal amino acid sequence of the
expressed QDH. However, change of the TTG codon to ATG did not increase QDH
expression. Therefore, the optimal plasmid for QDH expression included the
structural gene with a long 5'-UTR and the ADH promoter. Cell membrane of the
recombinant Gluconobacter strain presented approximately 10-times higher specific
QDH activity than that observed in the wild-type strain.
PMID- 29366964
TI - Production and characterization of a novel Delta-like 1 functional unit as a tool
for Notch pathway activation and generation of a specific antibody.
AB - Notch signalling is an evolutionary conserved cell-to-cell communication pathway
crucial for development and tissue homeostasis. Abnormal Notch signalling by
mutations or deregulated expression of its receptors and/or ligands can lead to
cancer making it a potential therapeutic target. Delta-like1 (DLL1) is a ligand
of the Notch pathway implicated in different types of cancer, including breast
cancer. Herein, we produced rhDLL1-DE3, a novel soluble form of DLL1 protein,
which contains the DSL domain and EGF1-3 repeats critical for Notch pathway
activation. cDNA fragments of human DLL1, encoding truncated versions of DLL1
with regions required to activate Notch receptors, were cloned and expressed as
histidine-fused proteins in bacterial and mammalian cells. Expression tests in
mammalian cells showed almost exclusively expression of the rhDLL1-DE3 protein
form comprising the minimal binding regions DSL to EGF3 to Notch receptors. The
highest yield of rhDLL1-DE3 was obtained from E. coli inclusion bodies. The
produced protein, with purity higher than 95% bound to human Notch1 recombinant
protein, by both Biolayer interferometry and ELISA assays. Cellular assays
revealed rhDLL1-DE3 was biologically active as it increased expression of Notch
dependent genes in inducible pluripotent and breast cancer cells. Moreover,
rhDLL1-DE3 allowed the generation of polyclonal antibodies by immunization that
efficiently recognized DLL1 proteins by immunoblot, and caused a significant
decrease of Notch1 expression in MCF7 breast cancer cells. The rhDLL1-DE3 protein
might thus be used for Notch pathway activation and to generate anti-DLL1
monoclonal antibodies by immunization or phage display technology to unveil the
effect of DLL1 in breast cancer.
PMID- 29366966
TI - Laparoscopic-Assisted Uterus Retrieval From Live Organ Donors for Uterine
Transplant: Our Experience of Two Patients.
AB - STUDY OBJECTIVE: To report the first ever laparoscopic-assisted live donor uterus
retrieval in 2 patients for uterus transplant. DESIGN: Case study (Canadian Task
Force classification III). SETTING: Galaxy CARE Laparoscopy Institute, Pune,
India. PATIENTS: Two patients with absolute uterine factor infertility with their
mothers as donors. INTERVENTIONS: In vitro fertilization and uterine transplant.
MEASUREMENTS AND MAIN RESULTS: A 12-member team was formed, and approval for
transplant was obtained from the institutional review board. Pretransplant, in
vitro fertilization for both patients was done. Two consecutive uterine
transplants were done on 2 successive days. Vessels were harvested
laparoscopically in both donors. Uterus and harvested vessels were retrieved by a
small abdominal incision to prevent injury and infection. The uterus was
transplanted in the recipients by end to side anastomosis of the harvested
vessels to external iliac vessels, followed by anchoring of supports of the donor
uterus to those of the recipients. Surgical intra- and postoperative parameters,
postoperative investigations, and follow-up data of 6 months were measured.
Operative time for laparoscopic donor surgery was 4 hours. Bench surgery took 45
minutes. Recipient surgery time was 4 hours. There were no intraoperative or
immediate postoperative complications. Both the recipients started menstruating
after 34 days and 48 days, respectively, and have had 6 cycles of menses at
regular intervals. Uterine artery Doppler showed good flow in both patients.
Hysteroscopy-guided cervical biopsies were used as a method of surveillance of
graft rejection after uterine transplant. Office hysteroscopy was done after 2
months in both patients, and hysteroscopy-guided endometrial and cervical
biopsies were taken. Minimal slough was seen on the endometrium in the patient
with Mayer-Rokitansky-Kuster-Hauser syndrome, which was removed. Repeat
hysteroscopy after 10 days showed a healthy endometrium. CONCLUSIONS:
Laparoscopic-assisted uterus donor retrieval is feasible and affords all the
advantages of a minimally invasive technique, thereby reducing the morbidity of
the procedure. It helps in better dissection of the vessels, shortens the
operative time, and helps to minimize tissue handling of the harvested uterus and
vessels.
PMID- 29366968
TI - Report of two cases of tocilizumab induced recurrent meningitis or
meningoencephalitis.
PMID- 29366969
TI - Acral myxoinflammatory fibroblastic sarcoma simulating rheumatoid bursitis.
Diffusion-weighted imaging.
PMID- 29366967
TI - Monepantel is a non-competitive antagonist of nicotinic acetylcholine receptors
from Ascaris suum and Oesophagostomum dentatum.
AB - Zolvix(r) is a recently introduced anthelmintic drench containing monepantel as
the active ingredient. Monepantel is a positive allosteric modulator of DEG-3/DES
2 type nicotinic acetylcholine receptors (nAChRs) in several nematode species.
The drug has been reported to produce hypercontraction of Caenorhabditis elegans
and Haemonchus contortus somatic muscle. We investigated the effects of
monepantel on nAChRs from Ascaris suum and Oesophagostomum dentatum
heterologously expressed in Xenopus laevis oocytes. Using two-electrode voltage
clamp electrophysiology, we studied the effects of monepantel on a nicotine
preferring homomeric nAChR subtype from A. suum comprising of ACR-16; a
pyrantel/tribendimidine preferring heteromeric subtype from O. dentatum
comprising UNC-29, UNC-38 and UNC-63 subunits; and a levamisole preferring
subtype (O. dentatum) comprising UNC-29, UNC-38, UNC-63 and ACR-8 subunits. For
each subtype tested, monepantel applied in isolation produced no measurable
currents thereby ruling out an agonist action. When monepantel was continuously
applied, it reduced the amplitude of acetylcholine induced currents in a
concentration-dependent manner. In all three subtypes, monepantel acted as a non
competitive antagonist on the expressed receptors. ACR-16 from A. suum was
particularly sensitive to monepantel inhibition (IC50 values: 1.6 +/- 3.1 nM and
0.2 +/- 2.3 MUM). We also investigated the effects of monepantel on muscle flaps
isolated from adult A. suum. The drug did not significantly increase baseline
tension when applied on its own. As with acetylcholine induced currents in the
heterologously expressed receptors, contractions induced by acetylcholine were
antagonized by monepantel. Further investigation revealed that the inhibition was
a mixture of competitive and non-competitive antagonism. Our findings suggest
that monepantel is active on multiple nAChR subtypes.
PMID- 29366970
TI - Leaf fatty acid remodeling in the salt-excreting halophytic grass Spartina patens
along a salinity gradient.
AB - Spartina patens is a highly dispersed halophytic grass invader in Mediterranean
marshes. It is also characterized by having a high degree of resistance to
salinity, one of the main drivers of plant zonation in salt marshes.
Nevertheless, the physiological basis behind the extreme resistance of S. patens
requires more detailed studies. In the present work, we aimed to study how
membrane fatty acid remodeling could contribute to the resistance of this plant
to salt. Spartina patens individuals exposed to increasing levels of salinity and
its leaf fatty acid profile under lipid peroxidation products evaluated under all
tested concentrations. A significant increase in the relative amounts of the
saturated fatty acids (SFA) was observed, namely palmitic acid (C16:0), essential
for PS II functioning, and stearic (C18:0) acid. The chloroplastidial trans
hexadecenoic acid (C16:1t) as well as the polyunsaturated linoleic (C18:2) and
linolenic (C18:3) acids showed significant decreases in all the salt treatments.
These changes led to a reduction in the double bond index in salt-treated plants
which reflects reduction of the fluidity of the chloroplast membranes, which
could contribute to maintain the membrane impermeable to the toxic exogenous Na.
Despite the decrease observed in the total fatty acid contents in plants exposed
to high salt concentrations the amounts of lipid peroxidation products decreased
highlighting the resistance of this species towards toxic exogenous salt
concentrations. Membrane fatty acid remodeling could represent an efficient
mechanism to maintain the photosynthetic machinery of S. patens highly efficient
under salt stress.
PMID- 29366971
TI - Lipid production in aquatic plant Azolla at vegetative and reproductive stages
and in response to abiotic stress.
AB - The aquatic plant Azolla became increasingly popular as bioenergy feedstock
because of its high growth rate, production of biomass with high levels of
biofuel-producing molecules and ability to grow on marginal lands. In this study,
we analysed the contribution of all organs of Azolla to the total yield of lipids
at vegetative and reproductive stages and in response to stress. Triacylglycerol
containing lipid droplets were detected in all (vegetative and reproductive)
organs with the highest level in the male microsporocarps and microspores. As a
result, significantly higher total yields of lipids were detected in Azolla
filiculoides and Azolla pinnata at the reproductive stage. Starving changed the
yield and composition of the fatty acid as a result of re-direction of carbon
flow from fatty acid to anthocyanin pathways. The composition of lipids, in
regard the length and degree of unsaturation of fatty acids, in Azolla meets most
of the important requirements for biodiesel standards. The ability of Azolla to
grow on wastewaters, along with their high productivity rate, makes it an
attractive feedstock for the production of biofuels.
PMID- 29366972
TI - Differences in the enzymatic hydrolysis of glucosinolates increase the defense
metabolite diversity in 19 Arabidopsis thaliana accessions.
AB - Plants of the order Brassicales produce glucosinolates (GS), a group of secondary
metabolites that are part of an elaborate defense system. But it is not the GS
itself rather its enzymatic hydrolysis products that cause the bioactive effects
protecting the plants against pests and pathogens. Thus the enzymatic hydrolysis
and a variety of additional influential factors determine the structural outcome
of the GS degradation process. To evaluate the possible diversity of defense
metabolites a range of 19 Arabidopsis thaliana accessions were selected showing
divergence in their geographical origin, in their phenotype, and in their GS
profile. These particular accessions accumulate several alkenyl GS, hydroxyalkyl
GS, methylthioalkyl GS, and methylsulfinylalkyl GS in their rosette leaves
whereas the indole GS contents are relatively invariant, as analyzed by UHPLC
DAD. After tissue disruption the enzymatic formation of GS hydrolysis products
was examined and breakdown products were identified and quantified by GC-MS.
Great differences in the amount and structure of volatile enzymatic degradation
products could be observed in the different accessions, with strong variation in
formation of epithionitriles, nitriles, and isothiocyanates. The occurrence of
specific GS hydrolysis products was put in relation to relative gene expression
profiles of myrosinases and specifier proteins as measured by RT-qPCR, and in
relation to relative protein abundance of epithiospecifier protein. Dependent on
the different GS profiles and reliant on degradation protein abundance and
composition the ecotypes strongly varied in their ability to form
isothiocyanates, nitriles and epithionitriles, thus increasing the plants'
equipment of defense metabolites.
PMID- 29366973
TI - Leaf non-structural carbohydrate allocation and C:N:P stoichiometry in response
to light acclimation in seedlings of two subtropical shade-tolerant tree species.
AB - : Light availability greatly affects plant growth and development. In shaded
environments, plants must respond to reduced light intensity to ensure a regular
rate of photosynthesis to maintain the dynamic balance of nutrients, such as leaf
non-structural carbohydrates (NSCs), carbon (C), nitrogen (N) and phosphorus (P).
To improve our understanding of the nutrient utilization strategies of understory
shade-tolerant plants, we compared the variations in leaf NSCs, C, N and P in
response to heterogeneous controlled light conditions between two subtropical
evergreen broadleaf shade-tolerant species, Elaeocarpus sylvestris (E.
sylvestris) and Illicium henryi (I. henryi). Light intensity treatments were
applied at five levels (100%, 52%, 33%, 15% and 6% full sunlight) for 30 weeks to
identify the effects of reduced light intensity on leaf NSC allocation patterns
and leaf C:N:P stoichiometry characteristics. We found that leaf soluble sugar,
starch and NSC concentrations in E. sylvestris showed decreasing trends with
reduced light intensity, whereas I. henryi presented slightly increasing trends
from 100% to 15% full sunlight and then significant decreases at extremely low
light intensity (6% full sunlight). The soluble sugar/starch ratio of E.
sylvestris decreased with decreasing light intensity, whereas that of I. henryi
remained stable. Moreover, both species exhibited increasing trends in leaf N and
P concentrations but limited leaf N:P and C:P ratio fluctuations with decreasing
light intensity, revealing their adaptive strategies for poor light environments
and their growth strategies under ideal light environments. There were highly
significant correlations between leaf NSC variables and C:N:P stoichiometric
variables in both species, revealing a trade-off in photosynthesis production
between leaf NSC and carbon allocation. Thus, shade-tolerant plants readjusted
their allocation of leaf NSCs, C, N and P in response to light acclimation.
Redundancy analysis showed that leaf morphological features of both E. sylvestris
and I. henryi affected their corresponding leaf nutrient traits. These results
improve our understanding of the dynamic balance between leaf NSCs and leaf C, N
and P components in the nutritional metabolism of shade-tolerant plants. KEY
MESSAGE: Two species of understory shade-tolerant plants responded differently to
varying light intensities in terms of leaf non-structural carbohydrate allocation
and the utilization of carbon, nitrogen and phosphorus to balance nutritional
metabolism and adapt to environmental stress.
PMID- 29366974
TI - Obesity linking to hepatocellular carcinoma: A global view.
AB - Hepatocellular carcinoma (HCC) is the commonest primary liver cancer and the
second leading cause of cancer death worldwide. Obesity is rapidly becoming
pandemic and associated with increased carcinogenesis. In this review, we
describe the obesity-related factors that influence the development of HCC. We
provide evidence of strong links between neural regulation, endocrine and HCC in
obesity. We discuss recent advances in our understanding of how adipose tissue
alters hepatic metabolism and immune response in HCC development through inter
organ communication. Taken together, our review aims to provides a concise and up
to date summary about the connection between obesity and HCC, with emphasis on
the opportunities for effective strategies in preventing the development of HCC
in obese individuals.
PMID- 29366975
TI - Evaluation and comparison of epidural analgesia in labour related information on
French and English-speaking websites.
AB - OBJECTIVES: The medical information on the Internet is better in English than in
other languages. The information about Epidural Analgesia In Labour (EAIL)
available on French-speaking websites is of poor quality. The quality of the
information about EAIL should be better in English, but there is no comparison
available. This study has assessed and compared the quality of the information
about EAIL available on French and English-speaking websites. METHOD: Keywords
"epidural", "epidurale" and/or "peridurale" were used in the French, Canadian and
American Google(r) and Yahoo(r) search engines. Two independent assessors
assessed the 20 first websites for each engine search. They used an evaluation
form created from French, Canadian and American recommendations. This form
assessed the structure quality (Structure Score/25) and the medical information
quality (Medical Information Score/30) of the websites. The addition of both
scores gives the Global Score (/55). RESULTS: Seventy-one websites were assessed,
39 French-speaking and 32 English-speaking websites. Structure, Medical
Information and Global Scores (expressed as mean (SD)) were respectively 11 (4),
13 (5), 24 (8) for the French-speaking websites and 11 (4), 12 (4), 23 (7) for
the English-speaking websites. There was no statistical significant difference
between both languages. CONCLUSION: Information about EAIL available on French
and English-speaking websites is of poor quality and there is no difference in
the information quality, whatever the language. A consideration on Internet
medical information improvement is needed. A high quality dedicated website
should be created and broadcasted.
PMID- 29366976
TI - Synergistic interplay between the two major bone minerals, hydroxyapatite and
whitlockite nanoparticles, for osteogenic differentiation of mesenchymal stem
cells.
AB - : The inorganic part of human bone is mainly composed of hydroxyapatite (HAP:
Ca10(PO4)6(OH)2) and whitlockite (WH: Ca18Mg2(HPO4)2(PO4)12) minerals, where the
WH phase occupies up to 20-35% of total weight. These two bone minerals have
different crystal structures and physicochemical properties, implying their
distinguished role in bone physiology. However, until now, the biological
significance of the presence of a certain ratio between HAP and WH in bone is
unclear. To address this fundamental question, bone mimetic scaffolds are
designed to encapsulate human mesenchymal stem cells (MSCs) for assessing their
osteogenic activity depending on different ratios of HAP and WH. Interestingly,
cellular growth and osteogenic differentiation are significantly promoted when
MSCs are grown with a 3-1 ratio of HAP and WH nanoparticles, which is similar to
bone. One of the reasons for this synergism between HAP and WH in hydrogel
scaffolds is that, while WH nanoparticles can enhance osteogenic differentiation
of MSCs compared to HAP, WH counterintuitively decreases the mechanical stiffness
of nanocomposite hydrogels and hinders the osteogenic activity of cells. Taken
together, these findings identify the optimal ratio between two major minerals in
bone mimetic scaffolds to maximize the osteogenic differentiation of MSCs.
STATEMENT OF SIGNIFICANCE: Human bone minerals are composed of HAP and WH
inorganic nanoparticles which have different material properties. However, the
reason for the coexistence of HAP and WH in human bone is not fully identified,
and HAP and WH composite biomaterial has not been utilized in the clinic. In this
study, we have developed bone mimetic HAP and WH nanocomposite hydrogel scaffolds
with various ratios. Importantly, we found out that HAP can promote the
mechanical stiffness of the composite hydrogel scaffolds while WH can enhance the
osteogenic activity of stem cells, which together induced synergism to maximize
osteogenic differentiation of stem cells when mixed into 3-1 ratio that is
similar to human bone.
PMID- 29366978
TI - Safety and efficacy of nintedanib in idiopathic pulmonary fibrosis: A real-life
observational study in Greece.
AB - BACKGROUND: Nintedanib represents an antifibrotic compound able to slow down
disease progression of patients with idiopathic pulmonary fibrosis (IPF).
OBJECTIVE: To investigate the safety and efficacy of nintedanib in patients with
IPF in a real-life setting. METHODS: This was a multicentre, retrospective,
observational, real-life study for patients with IPF receiving nintedanib between
October 2014 and October 2016. RESULTS: We identified 94 patients with IPF
receiving nintedanib (72 males, mean age+/-SD: 73.8 +/- 7.5, mean%FVC+/-SD = 68.1
+/- 18.3, mean%DLCo+/-SD = 44.4 +/- 14.5). Diarrhea (n = 52, 55.3%) was the most
commonly reported adverse event. Twenty patients (21.2%) had to permanently
discontinue nintedanib due to severe adverse events. In the 6-months follow-up,
median decline in %FVC predicted and %DLCO predicted were 1.36 (95%Cl: 0 to 2.97)
and 4.00 (95%Cl: 2.01 to 6.20), respectively, when deaths were censored and
excluded from the analysis. At 12 months, mean%FVC+/-SD and mean%DLCo+/-SD were
64.5 +/- 19.1 and 43.7 +/- 15.4, respectively. With regards to mortality, 17
patients (18.1%) died over a study period of 730 days. CONCLUSION: Nintedanib
demonstrated an acceptable safety and efficacy profile in our real-world
observational study. Prospective observational studies in the context of
registries that collect well-defined supporting data over time are sorely needed
to answer residual questions on drug's performance.
PMID- 29366979
TI - Gastrocnemius fascicle and achilles tendon length at the end of the eccentric
phase in a single and multiple countermovement hop.
AB - The purpose of this investigation was to compare fascicle and tendon length of
the gastrocnemius at the end of the eccentric phase during a hop utilizing a
single countermovement (sCM) versus multiple countermovement (mCM1, mCM2, mCM3)
strategy. Seventeen healthy males performed nine hopping trials of sCM and nine
trials of mCM. Ankle and knee joint angle and lower leg length from videography
and muscle ultrasound were used to calculate muscle-tendon unit (MTU), fascicle
and tendon length. Sacral marker data was used to determine hopping height. Force
and displacement-time curves were utilized to calculate work. Muscle activity of
the lateral and medial gastrocnemius was also measured. Fascicle length was
significantly shorter (mCM3: 6.2 +/- 1.5 cm, sCM: 7.3 +/- 2.0 cm) and tendon
length was significantly longer (mCM3: 36.5 +/- 3.6 cm, sCM: 35.5 +/- 3.8 cm) at
the end of the eccentric phase in mCM3 in comparison to sCM. Maximal hopping
height (mCM: 14.6 +/- 3.1 cm, sCM: 13.1 +/- 2.5 cm), eccentric phase
gastrocnemius muscle activity (mCM medial gastrocnemius: 0.10 +/- 0.03 mV, mCM
lateral gastrocnemius: 0.08 +/- 0.04 mV, sCM medial gastrocnemius: 0.07 +/- 0.03
mV, sCM lateral gastrocnemius: 0.05 +/- 0.04 mV), and both eccentric (mCM3: 46.6
+/- 19.4 J, sCM: 38.5 +/- 15.9 J) and concentric work (mCM3: 87.6 +/- 26.5 J,
sCM: 80.9 +/- 27.6 J) were significantly higher for mCM3 compared to sCM. The
results indicate that a multiple countermovement hop strategy results in shorter
fascicle length and longer tendon length at the end of the eccentric phase. In
addition, greater eccentric phase muscle activity during the third
countermovement (mCM3) in comparison to a single countermovement hop (sCM) was
observed. A multiple countermovement strategy appears to result in higher hopping
height and greater work done in both the eccentric and concentric phase
indicating possible contribution of stored-elastic energy from the tendon.
PMID- 29366980
TI - Combining behavior and EEG analysis for exploration of dynamic effects of ADHD
treatment in animal models.
AB - BACKGROUND: We analyze the dynamics of rodent EEG amplitude in an experiment
accompanied by video recordings. Brain activity of animals is commonly acquired
together with a video of behavior, but recordings are rarely combined in
analysis. The data acquired is most commonly analyzed separately. To our
knowledge, no study has used behavior to improve the analysis of EEG waveforms,
specifically for artifact removal - other than through manual editing. COMPARISON
WITH EXISTING METHOD(S): We explore two approaches: a traditional approach that
relies on data preprocessing and artifact rejection by an expert; and an
alternative approach that combines analysis of EEG with behavior extracted from
video recordings. NEW METHOD: We use the level of activity extracted from the
behavioral video as a measure of confidence in the acquired EEG waveform, and as
a weighting factor in averaging and statistical comparisons. RESULTS: We find in
analysis of the EEG that the two approaches lead to similar conclusions, but the
analysis leveraging behavioral data achieves this while avoiding many subjective
choices often required for artifact rejection and data preprocessing.
CONCLUSIONS: The methods we describe allow for the inclusion of all recorded data
in the analysis, thereby making statistical tests more friendly to
interpretation, and making the data processing transparent and reproducible.
PMID- 29366977
TI - The imidazoline I2 receptor agonist 2-BFI attenuates hypersensitivity and spinal
neuroinflammation in a rat model of neuropathic pain.
AB - Chronic pain is a large, unmet public health problem. Recent studies have
demonstrated the importance of neuroinflammation in the establishment and
maintenance of chronic pain. However, pharmacotherapies that reduce
neuroinflammation have not been successfully developed to treat chronic pain thus
far. Several preclinical studies have established imidazoline I2 receptor (I2R)
agonists as novel candidates for chronic pain therapies, and while some I2R
ligands appear to modulate neuroinflammation in certain scenarios, whether they
exert anti-neuroinflammatory effects in models of chronic pain is unknown. This
study examined the effects of the prototypical I2R agonist 2-(2-benzofuranyl)-2
imidazoline hydrochloride (2-BFI) on hypersensitivity and neuroinflammation
induced by chronic constriction injury (CCI), a neuropathic pain model in rats.
In CCI rats, twice-daily treatment with 10 mg/kg 2-BFI for seven days
consistently increased mechanical and thermal nociception thresholds, reduced
GFAP and Iba-1 levels in the dorsal horn of the spinal cord, and reduced levels
of TNF-alpha relative to saline treatment. These results were recapitulated in
primary mouse cortical astrocyte cultures. Incubation with 2-BFI attenuated GFAP
expression and supernatant TNF-alpha levels in LPS-stimulated cultures. These
results suggest that I2R agonists such as 2-BFI may reduce neuroinflammation
which may partially account for their antinociceptive effects.
PMID- 29366981
TI - Rapid in situ biosynthesis of gold nanoparticles in living platelets for
multimodal biomedical imaging.
AB - Inspired by the nature, the biomimetic nanomaterial design strategies have
attracted great interest because the bioinspired nanoplatforms may enhance the
functionality of current nanoparticles. Especially, the cell membrane-derived
nanoparticles can more effectively navigate and interact with the complex
biological microenvironment. In this study, we have explored a novel strategy to
rapidly in situ biosynthesize gold nanoparticles (GNPs) in living platelets with
the help of ultrasound energy. Firstly, under the ultrasound exposure, the
biocompatible chloroauric acid salts (HAuCl4) can be enhanced to permeate into
the platelet cytoplasm. Then, by the assist of reducing agent (NaBH4 and sodium
citrate) and platelet enzyme, GNPs were fast in situ synthesized in intra
platelets. The biosynthesized GNPs had a size of about 5 nm and were uniformly
distributed in the cytoplasm. Atomic absorption spectrometry (AAS) showed the
synthesized amount of Au is (12.7 +/- 2.4) * 10-3 pg per one platelet. The GNPs
in platelets can produce Raman enhancement effect and further be probed for both
dark-field microscopy (DFM)-based imaging and computed tomography (CT) imaging.
Moreover, the platelets were not activated and remained aggregation bioactivity
when intra-platelet GNPs synthesis. Therefore, such mimicking GNPs-platelets with
in situ GNPs components remain inherent platelet bioactivity will find potential
theranostic implications with unique GNPs properties.
PMID- 29366982
TI - Dehydrothermally crosslinked collagen/hydroxyapatite composite for enhanced in
vivo bone repair.
AB - Bone repair accounts for a large number of surgical operations. However,
artificial bone replacement materials do not present the delicate continuing
adjustment ability like natural bones and cause obvious side effects. Thus,
materials that induce the regeneration of bones would be an optimal choice to
repair bone tissues. This study proposes a biocompatible bone repair material
prepared from crosslinked porous composite of collagen and hydroxyapatite. The
proposed dehydrothermal method to cross-link the composite avoids use of extra
chemical reagents for crosslinking and ensures that the materials were prepared
using only bio-compatible materials. By adjusting the preparative parameters such
as componential ratios or heating period, materials with a large property space
could be achieved. Properties including porosity, mechanical strength, and
swelling ratios could be facilely adjusted, promising its applications in
personalized medical treatment. Cell experiments and animal experiments
demonstrate the material presented high biocompatibility and effectively induced
osteanagenesis in vivo. We expect the proposed material possesses high
commercialization potential and serves as an effective bone repair material in
realistic applications.
PMID- 29366983
TI - N-Halamine polymer from bipolymer to amphiphilic terpolymer with enhancement in
antibacterial activity.
AB - A novel N-halamine terpolymer, i.e., P(ADMH-MMA-HEMA)-Cl, with high antibacterial
efficacies were fabricated via a free-radical copolymerization of 3-allyl-5,5
dimethylhydantoin(ADMH), methyl methacrylate(MMA), and hydroxyethyl methacrylate
(HEMA), followed by a chlorination treatment using sodium hypochlorite as
chlorinating agent. A controllable synthesis of P(ADMH-MMA-HEMA)-Cl was achieved
by tuning chlorination conditions, such as chlorination temperature, reactant
concentration, chlorination time, etc. A series of antibacterial assays were
conducted, and the as-prepared products P(ADMH-MMA-HEMA)-Cl showed good killing
capabilities against both Gram-positive and Gram negative bacteria. Remarkably,
compared to N-halamine biopolymer counterparts, e.g., P(ADMH-HEMA)-Cl and P(ADMH
MMA)-Cl, and the as-prepared N-halamine terpolymer P(ADMH-MMA-HEMA)-Cl presented
the enhancement in antibacterial efficiency toward pathogens. It is believed that
this approach offers great potential to be utilized in various fields where
antibacterial properties are highly required.
PMID- 29366984
TI - The 9-Item Patient Health Questionnaire (PHQ-9): an aid to assessment of patient
reported functional outcomes after spinal surgery.
AB - BACKGROUND CONTEXT: Preoperative depression is increasingly understood as an
important predictor of patient outcomes after spinal surgery. In this study, we
examine the relationship between depression and patient-reported functional
outcomes (PRFOs), including disability and pain, at various time points
postoperatively. PURPOSE: The objective of this study was to analyze the use of
depression, as measured by the 9-Item Patient Health Questionnaire (PHQ-9), as a
means of assessing postoperative patient-reported disability and pain. STUDY
DESIGN/SETTING: This study includes an analysis of prospective non-randomized
spine registry compiled through an academic multispecialty group practice model.
PATIENT SAMPLE: A total of 1,000 spinal surgery patients from an affiliated
surgical registry, enrolled from January 2010 onward, were included in this
study. OUTCOME MEASURES: Pain was assessed via the visual analog scale (VAS) for
leg or back pain. Disability was measured by the Oswestry Disability Index (ODI).
Depression was measured by the PHQ-9. METHODS: Patient data were collected
preoperatively and at 1, 4, 10, and 24 months postoperatively. Data were analyzed
via analysis of variance and Pearson correlation coefficient. RESULTS: All
patient stratifications analyzed experienced improvements in pain and ability
postoperatively, as measured by the VAS and the ODI, respectively. Moderately and
severely depressed patients (as measured by preoperative PHQ-9) experienced
decreases in the mean PHQ-9 score of -6.00 and -7.96 24 months after surgery,
respectively. CONCLUSIONS: In all groups, spinal surgery was followed by relief
of pain and improved PRFO. Preoperative depression, as measured by the PHQ-9,
predicted postoperative PRFO. Patients with moderate to severe depression as
measured by the PHQ-9 experienced large mean decreases in the PHQ-9 score
postoperatively. As a psychosocial metric, the PHQ-9 is a useful method of
assessing value-added service of a spinal surgery.
PMID- 29366985
TI - Impaction durability of porous polyether-ether-ketone (PEEK) and titanium-coated
PEEK interbody fusion devices.
AB - BACKGROUND CONTEXT: Various surface modifications, often incorporating roughened
or porous surfaces, have recently been introduced to enhance osseointegration of
interbody fusion devices. However, these topographical features can be vulnerable
to damage during clinical impaction. Despite the potential negative impact of
surface damage on clinical outcomes, current testing standards do not replicate
clinically relevant impaction loading conditions. PURPOSE: The purpose of this
study was to compare the impaction durability of conventional smooth polyether
ether-ketone (PEEK) cervical interbody fusion devices with two surface-modified
PEEK devices that feature either a porous structure or plasma-sprayed titanium
coating. STUDY DESIGN/SETTING: A recently developed biomechanical test method was
adapted to simulate clinically relevant impaction loading conditions during
cervical interbody fusion procedures. METHODS: Three cervical interbody fusion
devices were used in this study: smooth PEEK, plasma-sprayed titanium-coated
PEEK, and porous PEEK (n=6). Following Kienle et al., devices were impacted
between two polyurethane blocks mimicking vertebral bodies under a constant 200 N
preload. The posterior tip of the device was placed at the entrance between the
polyurethane blocks, and a guided 1-lb weight was impacted upon the anterior face
with a maximum speed of 2.6 m/s to represent the strike force of a surgical
mallet. Impacts were repeated until the device was fully impacted. Porous PEEK
durability was assessed using micro-computed tomography (uCT) pre- and
postimpaction. Titanium-coating coverage pre- and postimpaction was assessed
using scanning electron microscopy (SEM) and energy dispersive X-ray
spectroscopy. Changes to the surface roughness of smooth and titanium-coated
devices were also evaluated. RESULTS: Porous PEEK and smooth PEEK devices showed
minimal macroscopic signs of surface damage, whereas the titanium-coated devices
exhibited substantial visible coating loss. Quantification of the porous PEEK
deformation demonstrated that the porous structure maintained a high porosity
(>65%) following impaction that would be available for bone ingrowth, and
exhibited minimal changes to pore size and depth. SEM and energy dispersive X-ray
spectroscopy analysis of titanium-coated devices demonstrated substantial
titanium coating loss after impaction that was corroborated with a decrease in
surface roughness. Smooth PEEK showed minimal signs of damage using SEM, but
demonstrated a decrease in surface roughness. CONCLUSION: Although recent surface
modifications to interbody fusion devices are beneficial for osseointegration,
they may be susceptible to damage and wear during impaction. The current study
found porous PEEK devices to show minimal damage during simulated cervical
impaction, whereas titanium-coated PEEK devices lost substantial titanium
coverage.
PMID- 29366986
TI - Antithrombin levels are associated with the risk of first and recurrent arterial
thromboembolism at a young age.
AB - BACKGROUND AND AIMS: It is as yet unknown whether antithrombin levels are
associated with arterial thromboembolism (ATE) at a young age. To investigate the
association between antithrombin levels and premature and recurrent ATE, we
performed a case-control study and a subsequent nested cohort study of premature
coronary heart disease (CHD) patients. METHODS: In the case-control study, we
included 571 patients who had a recent premature ATE, including CHD and ischemic
stroke (IS), and 461 healthy controls. The association between antithrombin
levels (dichotomized: <=median vs. >median) and ATE was investigated.
Subsequently we studied the association between antithrombin levels and recurrent
cardiac events, ATE or death in a nested cohort of 323 CHD patients. RESULTS: Low
antithrombin levels (<=median, 1.04 IU/mL) are associated with an increased risk
of ATE (OR 1.46; 95% CI:1.09-1.96), after adjustment for classical cardiovascular
risk factors. This was observed in the subgroups of CHD patients (1.43; 1.01
2.02) and IS patients (1.48; 1.01-2.19). CHD patients with low antithrombin
levels had a higher risk of recurrent cardiac events (HR 2.16, 95% CI:1.07-4.38).
Especially in women with low antithrombin levels, the risk of recurrent cardiac
events was high (HR 5.97, 95% CI 1.31-27.13) as was the risk of recurrent ATE or
death (HR 4.22, 95% CI 1.19-15.00). CONCLUSIONS: Individuals with relatively low
antithrombin levels have an increased risk for ATE at a younger age. CHD patients
with low antithrombin levels, especially women, have a higher risk of recurrent
cardiac events.
PMID- 29366987
TI - Toe-brachial index and exercise test can improve the exploration of peripheral
artery disease.
AB - BACKGROUND AND AIMS: We assumed that hand-held Doppler ultrasound (DUS) at rest
was insufficient to assess the severity of peripheral artery disease (PAD). Toe
pressure and transcutaneous tissue oxygen pressure were studied to prove whether
these could identify more patients with severe lower limb ischemia; exercise was
applied to provoke ischemia. METHODS: 120 patients with PAD and 30 volunteers
without PAD were recruited. DUS, transcutaneous tissue oxygen pressure (tcpO2)
and toe pressure measurements were performed at rest and after exercise. The
differential power of these examinations for severe limb ischemia (SLI) was
determined by receiver-operating curves (ROCs) and pattern recognition by
independent multicategory analysis (PRIMA). RESULTS: There was an obvious
significant difference between the patient and control groups at rest; after
exercise; the ratio of severely impaired values (ankle-brachial index - ABI, toe
brachial index - TBI, tcpO2 measured on index forefoot) increased significantly
in the patient group (p < 0.05). TBI, tcpO2, ABI measured after exercise could
differentiate SLI better than the values of these tests at rest (p < 0.001). In
ROC analysis, the largest area under the curve (AUC) was covered by post- (AUC:
0.860) and pre-exercise TBI (AUC: 0.785), and post-exercise tcpO2 (AUC: 0.720) (p
< 0.001). Post-exercise TBI gained the best discriminant score in PRIMA.
CONCLUSIONS: Pre- and post-exercise non-invasive vascular tests could reveal
severe limb ischemia. Toe pressure measurement and TBI should become a basic part
of the vascular workup.
PMID- 29366988
TI - PCSK9 inhibition alters the lipidome of plasma and lipoprotein fractions.
AB - BACKGROUND AND AIMS: While inhibition of proprotein convertase subtilisin/kexin
type 9 (PCSK9) is known to result in dramatic lowering of LDL-cholesterol (LDL
C), it is poorly understood how it affects other lipid species and their
metabolism. The aim of this study was to characterize the alterations in the
lipidome of plasma and lipoprotein particles after administration of PCSK9
inhibiting antibody to patients with established coronary heart disease. METHODS:
Plasma samples were obtained from patients undergoing a randomized placebo
controlled phase II trial (EQUATOR) for the safe and effective use of RG7652, a
fully human monoclonal antibody inhibiting PCSK9 function. Lipoprotein fractions
were isolated by sequential density ultracentrifugation, and both plasma and
major lipoprotein classes (VLDL-IDL, LDL, HDL) were subjected to mass
spectrometric lipidomic profiling. RESULTS: PCSK9 inhibition significantly
decreased plasma levels of several lipid classes, including sphingolipids
(dihydroceramides, glucosylceramides, sphingomyelins, ceramides), cholesteryl
esters and free cholesterol. Previously established ceramide ratios predicting
cardiovascular mortality, or inflammation related eicosanoid lipids, were not
altered. RG7652 treatment also affected the overall and relative distribution of
lipids in lipoprotein classes. An overall decrease of total lipid species was
observed in LDL and VLDL + IDL particles, while HDL-associated phospholipids
increased. Following the treatment, LDL displayed reduced lipid cargo, whereas
relative lipid proportions of the VLDL + IDL particles were mostly unchanged, and
there were relatively more lipids carried in the HDL particles. CONCLUSIONS:
Administration of PCSK9 antibody significantly alters the lipid composition of
plasma and lipoprotein particles. These changes further shed light on the link
between anti-PCSK9 therapies and cardiovascular risk.
PMID- 29366989
TI - Different effects of apnea during rapid eye movement period on peripheral
arterial stiffness in obstructive sleep apnea.
AB - BAKGROUND AND AIMS: Obstructive sleep apnea (OSA) contributes to cardiovascular
diseases, including arterial stiffness. The association between OSA and
peripheral arterial stiffness indices remains controversial. METHODS: This study
recruited 275 patients who were referred for sleep apnea study. Arterial
stiffness was assessed by peripheral compliance index (CI) and central pulse wave
velocity derived from digital volume pulse (PWVDVP) by photoplethysmography.
Overnight polysomnography and autonomic nerve system function tests were also
conducted. RESULTS: A total of 275 patients (170 men) were recruited. Most were
middle-aged and overweight. Most patients (112/275, 40.7%) had rapid eye movement
(REM)-predominant OSA. The CI was significantly correlated with the apnea
hypopnea index (AHI) (R = -0.132, p = 0.029) and AHI-REM (R = -0.170, p = 0.005)
and AHI non-REM (R = -0.122, p = 0.043). Among models and variable used to
predict CI, only male sex (B = -0.708, p = 0.007) and AHI-REM (B = -0.010, p =
0.033) were independent predictors of CI. An increase in the interquartile range
of AHI-REM was associated with a 9.6% decrease in CI. CONCLUSIONS: AHI-REM was
independently correlated with a peripheral arterial stiffness index, CI. AHI-REM
may be a suitable surrogate marker for predicting peripheral arterial stiffness
in OSA patients.
PMID- 29366990
TI - Environment-wide association study to identify novel factors associated with
peripheral arterial disease: Evidence from the National Health and Nutrition
Examination Survey (1999-2004).
AB - BACKGROUND AND AIMS: An environment-wide association study (EWAS) may be useful
to comprehensively test and validate associations between environmental factors
and peripheral arterial disease (PAD) in an unbiased manner. METHODS: Data from
cross-sectional cohorts from the US National Health and Nutrition Examination
Survey (1999-2004) were randomly 50:50 split into training set and testing set. A
value of ankle-brachial index (ABI) <1.0 or >1.4 defined PAD. We performed
multiple linear regression analyses associating each of the 417 environmental and
self-reported factors with PAD in the training set (false discovery rate <5%).
Significant findings were validated in the testing set (p < 0.05) and entered
into a logistic regression model with penalized likelihood based on the Akaike
Information Criterion (AIC). RESULTS: Overall, 6819 participants >40 years old
were included. The validated factors comprised positive associations with smoking
associated factors (cigarette smoker, family smoker and smoked >100 cigarettes,
urinary cotinine), cadmium, urinary albumin, C-reactive protein, blood o-xylene
and thyroxine 4, and inverse associations with alpha-carotene and trans-/cis-beta
carotene for PAD. Finally, only 4 of these factors were nominally significant in
the AIC-selected model: cadmium (OR 1.27, 95% CI 1.12-1.45), cis-beta-carotene
(OR 0.81, 95% CI 0.72-0.91), CRP (OR 1.19, 95% CI 1.03-1.38) and urinary albumin
(OR 1.20, 95% CI 1.04-1.38). CONCLUSIONS: Our systematic evaluation provides new
knowledge on the complex array of environmental correlates of PAD. These
identified correlates need to be probed in further observational and
interventional studies.
PMID- 29366991
TI - Comparison of cardiovascular outcomes and all-cause mortality in patients with
chronic hepatitis B and C: A 13-year nationwide population-based study in Asia.
AB - BACKGROUND AND AIMS: Viral hepatitis infection has been linked to increased
atherosclerosis. We therefore investigated cardiovascular outcomes in patients
with hepatitis B virus (HBV) and hepatitis C virus (HCV) infection. METHODS:
Electronic medical records during 2000-2012 were retrieved from the Taiwan
National Health Insurance Research Database. Exclusion criteria were age <18,
history of coexisting HBV and HCV infection, acute coronary syndrome, coronary
intervention, venous thromboembolism, peripheral artery disease, stroke, major or
gastrointestinal bleeding, malignancy, and a follow-up period <180 days. Patients
with HBV and HCV infection were propensity-matched then compared for outcomes.
Primary outcomes were cardiovascular events at the 1-year follow-up, 3-year
follow-up, 5-year follow-up, and at the end of follow-up. RESULTS: 41,554
patients with diagnosis of HBV or HCV were retrieved from 2000 to 2012. After
exclusion criteria, 31,943 patients were eligible for analysis and propensity
score matched. The study population consisted of 6030 patients with HBV infection
and 6030 patients with HCV infection. Risk of composite arterial events (acute
coronary syndrome, peripheral artery disease, and acute ischemic stroke) was
significantly higher in patients with HCV infection compared with patients with
HBV infection (p = 0.012 at 5-year follow-up and p = 0.003 at the end of follow
up). All-cause mortality was significantly higher in patients with HCV infection
compared with patients with HBV infection (p < 0.001 at 3-year follow-up, 5-year
follow-up, and at the end of follow-up). CONCLUSIONS: In patients with chronic
viral hepatitis, subjects with HCV infection had a significantly higher risk of
composite arterial events and all-cause mortality compared with those with HBV
infection.
PMID- 29366992
TI - Differential effects of brachial and central blood pressures on circulating
levels of high-sensitivity cardiac troponin I in the general population.
AB - BACKGROUND AND AIMS: Severe cardiac load increases circulating concentrations of
high-sensitivity cardiac troponin I (hs-cTnI) through non-ischemic mechanisms.
The present study was designed to investigate the effect of central blood
pressure (BP), which reflects cardiac load rather than peripheral BP, on serum
concentrations of hs-cTnI in subjects with or without increased arterial
stiffness. METHODS: We enrolled 1210 participants taking part in a yearly health
checkup program. Laboratory measurements included serum concentrations of hs-cTnI
and derivative reactive oxygen metabolites (d-ROM), as well as plasma
concentrations of B-type natriuretic peptide (BNP). Central BP and the radial
augmentation index (rAI) were evaluated non-invasively using an automated device.
RESULTS: Univariate and multivariable regression analysis showed that both
brachial and central BP were significantly associated with hs-cTnI. When subjects
were divided into two groups according to the mean rAI value, those with higher
rAI had higher hs-cTnI concentrations than those with lower rAI. In subgroup
analyses, in those with lower rAI, brachial but not central systolic BP was
independently associated with hs-cTnI, whereas in those with higher rAI, central
but not brachial systolic BP was independently associated with hs-cTnI. These
associations remained significant after further adjustment for BNP and/or d-ROM
concentrations. CONCLUSIONS: Circulating levels of hs-cTnI increase with
increasing brachial and central BP, but the effect of central BP was greater in
subjects with higher rAI. This indicates that central BP may have a strong effect
on silent myocardial damage, assessed as increased circulating hs-cTnI,
particularly in subjects with increased arterial stiffness.
PMID- 29366993
TI - Circulating microRNAs identify patients at increased risk of in-stent restenosis
after peripheral angioplasty with stent implantation.
AB - BACKGROUND AND AIMS: Target lesion restenosis is the most frequent complication
after angioplasty and stenting for peripheral artery disease (PAD). MicroRNAs
(miRs) regulate crucial pathophysiological processes leading to in-stent
restenosis and thrombosis. The aim of this study was to investigate the
predictive value of 11 miRs for the composite endpoint of target lesion
restenosis and atherothrombotic events (primary endpoint), and target vessel
revascularization (TVR, secondary endpoint) in 62 consecutive PAD patients after
infrainguinal angioplasty with stent implantation. METHODS: Circulating miRs were
assessed using quantitative real-time polymerase chain reactions. RESULTS: Within
the 2 years of follow-up, the primary endpoint occurred in 26 patients (41.9%),
and 21 patients (33.9%) underwent TVR. miR-92a and miR-195 were identified as
independent predictors of the primary endpoint after adjustment for age, sex and
clinical risk factors with respective HR per 1 increase of standard deviation (1
SD) of 0.55 (95% CI: 0.34-0.88, p = 0.013) and HR per 1-SD of 0.40 (95% CI: 0.23
0.68, p = 0.001). MiR-195 independently predicted TVR with HR per 1-SD of 0.40
(95% CI: 0.22-0.75, p = 0.005). Adding miR-195 to clinical risk factors improved
Harrell's C-index to 0.75 (95% CI: 0.66-0.85, p = 0.03) and was superior to a
model with miR-92a (C-index: 0.70, 95% CI: 0.60-0.80, p for comparison =0 .012).
Assessment of both miR-92a and miR-195 had no incremental value when compared to
miR-195 alone (C-index: 0.79, 95% CI: 0.69-0.88, p = 0.313). CONCLUSIONS:
Circulating miR-195 predicts adverse ischemic events and TVR after infrainguinal
angioplasty with stent implantation. MiR-195 could improve risk stratification
after peripheral endovascular revascularizations.
PMID- 29366995
TI - Anterior Cervical Total Disk Replacement versus Fusion.
PMID- 29366994
TI - Coloring Technique of Magnetic Resonance Angiography for Superficial Temporal
Artery to Middle Cerebral Artery Bypass Surgery.
AB - OBJECTIVE: Superficial temporal artery (STA)-to-middle cerebral artery (MCA)
bypass is an established surgical technique for achieving revascularization. It
is important to select the proper recipient artery of the MCA. Three-dimensional
computed tomographic angiography (3D-CTA) and conventional angiography are useful
in the selection process but need contrast agents. The authors have designed a
coloring MRA technique that needs no agents to visualize the recipient artery.
Retrospective evaluation of the efficacy and limitation for selection of the
recipient artery and decision of the place and size of the craniotomy were
carried out. METHODS: The authors performed the coloring MRA before operation
since January 2013. Ninety-two patients underwent STA-MCA bypass for
atherosclerotic stenosis or occlusion of internal carotid artery (ICA), MCA with
reference to the coloring MRA. To evaluate the efficacy of coloring MRA, the
control group consisted of 75 patients who underwent STA-MCA bypass between
January 2012 to November 2013 with reference to 3D-CTA. The size of craniotomy
was retrospectively calculated and compared. RESULTS: Neither additional
craniotomy nor wrong selection of the recipient artery was done in either group.
There was no significant difference in size between the 2 groups in both single
and double bypass. CONCLUSIONS: The coloring MRA technique was not inferior to 3D
CTA with respect to the size of craniotomy. This novel technique was found to be
very helpful not only for the virtual identification of the proper recipient
artery but also for preoperative simulation such as decisions about length of
donor artery, location, and size of craniotomy.
PMID- 29366996
TI - Chronic Subdural Hematoma: So Common and So Neglected.
PMID- 29366997
TI - Clinical and Radiologic Results of Anterior Cervical Discectomy and Fusion for
Cervical Spondylotic Myelopathy in Elderly Patients with T2-Weighted Increased
Signal Intensity.
AB - OBJECTIVE: To investigate clinical and radiologic results of anterior cervical
discectomy and fusion for cervical spondylotic myelopathy in elderly patients
with T2-weighted increased signal intensity (ISI), focusing specifically on the
quantitative analysis of ISI. METHODS: We retrospectively reviewed 88 patients
with cervical spondylotic myelopathy with ISI who underwent anterior cervical
discectomy and fusion with a minimum 1-year follow-up. Patients were divided into
2 groups: patients older than 65 (elderly group, 36 patients) or younger (young
group, 52 patients). The Japanese Orthopaedic Association (JOA) score was used to
evaluate the neurologic status. The signal change ratio (SCR) was defined as the
grayscale of ISI region divided by that at C7-T1 disc level. The C2-C7 sagittal
alignment, range of motion, SCR, and ISI length were measured. RESULTS: There was
no statistically significant difference between the 2 groups in C2-C7 sagittal
alignment and range of motion. However, the JOA score at 1-year follow-up and
recovery rate in elderly group were significantly lower than in young group (P <
0.001). SCR and ISI length were significantly greater in elderly group than in
young group, whereas their changes were significantly lower in elderly group (P <
0.05). Multivariate logistic regression analysis showed that an older age, a
lower preoperative JOA score, a greater preoperative SCR, and a longer
preoperative ISI length at 1-year follow-up were negatively correlated with the
clinical outcomes in the elderly group (P < 0.05). CONCLUSIONS: Compared with
young patients with ISI, the elderly patients had a lower preoperative JOA score,
a greater preoperative SCR, and a longer preoperative ISI length, indicating poor
surgical outcomes.
PMID- 29366998
TI - The Role of Neurosurgery in Countries with Limited Facilities: Facts and
Challenges.
AB - The Lancet Commission on Global Surgery has recently focused its attention on the
lack of surgical care worldwide. Like other surgical subspecialties,
neurosurgical care needs to be better distributed around the world, with a major
focus on low- to middle-income countries. Neurosurgical diseases like
hydrocephalus, traumatic brain injury, and brain tumors have a high impact on
families, individual quality of life, and cost for the society. Implementation of
neurosurgical care in poor settings is not easy. More than other surgeries,
neurosurgery requires great amounts of human resources, dedicated environments,
and specialized postoperative care. It is responsibility of the neurosurgical
community to identify major areas of current gaps and outline strategies for
intervention.
PMID- 29366999
TI - L5 En-Bloc Vertebrectomy with Customized Reconstructive Implant: Comparison of
Patient-Specific Versus Off-the-Shelf Implant.
AB - BACKGROUND: Spine surgery has the potential to benefit from additive
manufacturing/3-dimensional printing (3DP) technology with complex anatomical
pathologies requiring reconstruction, with the potential to customize surgery to
reduce operative times, reduce blood loss, provide immediate stability, and
potentially improve fusion rates. We report a unique case of intraoperative trial
placement of a custom patient-specific implant (PSI) versus the final
implantation of a customizable off-the-shelf (OTS) implant. Data collected for
comparison included time to implant, ease of implantation, firmness of press-fit,
and fixation options after implantation. CASE DESCRIPTION: A 64-year-old man
presented with low back pain. Computed tomography and magnetic resonance imaging
revealed a solitary lesion in the L5 vertebral body, confirmed by positron
emission tomography scan. Removal of the L5 vertebral body was performed, and
reconstruction was achieved with an expandable cage. The time of implant
insertion was minimal with the PSI (90 seconds) versus the OTS (>40 minutes).
Immediate press-fit and "firmness" of implantation was clearly superior with the
PSI, although this was an intraoperative subjective assessment. Other benefits
include integral fixation that is predetermined with the PSI, reduced time and
blood loss, and ease of bone grafting with a PSI. CONCLUSIONS: Use of 3DP has
been able to reduce operative time significantly. Surgeons can train before
performing complex procedures, which enhances their presurgical planning, with
the goal to maximize patient outcomes. When considering implants and prostheses,
the use of 3DP allows a superior anatomical fit for the patient, with the
potential to improve restoration of anatomy.
PMID- 29367000
TI - The Radiographic Effects of Surgical Approach and Use of Retractors on the Brain
After Anterior Cranial Fossa Meningioma Resection.
AB - OBJECTIVE: There is an increasing trend toward skull base (SB) approaches and
retractorless surgery to minimize brain manipulation during surgery. We evaluated
the radiographic changes over time after surgical resection of anterior cranial
fossa meningiomas with and without both SB approaches and/or fixed retractor
systems. METHODS: All adults undergoing primary resection of an anterior cranial
fossa World Health Organization grade I meningioma through a craniotomy at a
single academic tertiary-care institution from 2010 to 2015 were retrospectively
reviewed. Magnetic resonance imaging scans were reviewed and contrast-enhanced
tumor and fluid-attenuated inversion recovery (FLAIR) volumes were measured.
Matched-pair analyses between patients who underwent SB and non-SB approaches, as
well as retractorless and retractor-assisted (RA) surgery, were made. RESULTS: Of
the 136 total patients, 20 (15%), 12 (9%), 46 (34%), and 58 (43%) underwent
SB/retractorless, SB/RA, non-SB/retractorless, and non-SB/RA surgery,
respectively. Patients who underwent non-SB and RA surgery each independently had
longer times to FLAIR resolution than those who underwent SB (20.9 vs. 5 months;
P = 0.04) and retractorless (12 vs. 5.2 months; P = 0.02) surgery, respectively.
Patients who underwent both non-SB and RA surgery had the longest median time to
FLAIR resolution (30 months vs. 4 months in SB/retractorless, 3.6 months in
SB/RA, and 3 months in non-SB/retractorless; P < 0.05). CONCLUSIONS: The use of
SB approaches in combination with retractorless surgery may decrease the duration
needed for FLAIR resolution after surgery. The results from this study therefore
advocate SB approaches and retractorless surgery along the anterior SB when
possible.
PMID- 29367001
TI - Comparison Between Posterior Lumbar Interbody Fusion and Transforaminal Lumbar
Interbody Fusion for the Treatment of Lumbar Degenerative Diseases: A Systematic
Review and Meta-Analysis.
AB - OBJECTIVE: To compare the efficacy and safety in the management of lumbar
diseases performed by either posterior lumbar interbody fusion (PLIF) or
transforaminal lumbar interbody fusion (TLIF). Interbody fusion is considered the
"gold standard" in the treatment of lumbar degenerative diseases. Both PLIF and
TLIF have been advocated, and it remains controversial as to the best operative
technique. METHODS: The electronic databases including Embase, PubMed, and
Cochrane library were searched to identify relevant studies up to September 2017.
The primary outcomes were fusion rate, complications, and clinical satisfaction.
The secondary outcomes were length of hospitalization, operation time, blood
loss, postoperative visual analog scale, Oswestry Disability Index, and Japanese
Orthopaedic Association Score. Data analysis was conducted with RevMan 5.3
software. RESULTS: A total of 16 studies involving 1502 patients (805 patients in
PLIF group and 697 in TLIF group) were included in the meta-analysis. The pooled
analysis showed that there was no significant difference in terms of fusion rate
(P > 0.05) and clinical satisfaction (P > 0.05) between the 2 groups. TLIF was
superior to PLIF with significantly lower incidence of nerve root injury (P <
0.05) and dural tear (P < 0.05). However, there was no significant difference
regarding wound infection (P > 0.05) and graft malposition (P > 0.05). PLIF
required significant longer operation time (P < 0.05) and was associated with
more blood loss (P < 0.05). Although TLIF was associated with better
postoperative visual analog scale, Oswestry Disability Index, and Japanese
Orthopaedic Association Score than PLIF, there was no statistical difference
regarding these results. CONCLUSIONS: The available evidence suggests that both
TLIF and PLIF could achieve similar clinical satisfaction and fusion rate in the
management of degenerative lumbar diseases. However, TLIF was superior to PLIF
with shorter operation time, less blood loss, and lower incidence of nerve root
injury and dural tear. There is no significant difference between both groups
regarding wound infection and graft malposition.
PMID- 29367002
TI - The worrying mind in control: An investigation of adaptive working memory
training and cognitive bias modification in worry-prone individuals.
AB - Worry refers to the experience of uncontrollable negative thoughts. Cognitive
models suggest that the combination of negative information processing biases
along with diminished attentional control contribute to worry. In the current
study we investigate whether promoting a) adaptive interpretation bias and b)
efficient deployment of attentional control would influence the tendency to
worry. Worry-prone individuals (n = 60) received either active cognitive bias
modification for interpretation bias (CBM-I) combined with sham working memory
training (WMT), adaptive WMT combined with sham CBM-I, or sham WMT combined with
sham CBM-I. Neither of the active training conditions reduced worry during a
breathing focus task relative to the control condition. However, when considering
inter-individual differences in training-related improvements, we observed a
relation between increases in positive interpretation bias and a decrease in
negative intrusions. Moreover, increases in working memory performance were
related to a reduction in reactivity of negative intrusions to a worry period.
Our findings show that facilitating a more benign interpretation bias and
improving working memory capacity can have beneficial effects in terms of worry,
but also highlight that transfer related gains from existing training procedures
can be dependent upon improvement levels on the training task.
PMID- 29367003
TI - Hsp90 inhibition renders iNOS aggregation and the clearance of iNOS aggregates by
proteasomes requires SPSB2.
AB - Inducible nitric oxide synthase (iNOS) plays important roles in cell injury and
host defense. Our early study demonstrated that heat shock protein 90 (Hsp90)
interacts with iNOS and this interaction enhances iNOS function. Recently, we
reported that Hsp90 is also essential for iNOS gene transactivation. In the
present study, we investigate the role of Hsp90 in controlling iNOS protein
stability. In mouse macrophages, Hsp90 inhibition dissociated Hsp90 from iNOS and
the latter subsequently formed aggregates. Aggregation deactivated iNOS. iNOS
aggregates were cleared by the ubiquitin-proteasome system (UPS) inside cells.
CHIP, an Hsp90-dependent E3 ligase, was previously implicated in iNOS turnover.
However, CHIP knockdown had little effect on iNOS degradation in Hsp90-inhibited
cells, indicating that other E3 ligases accounted for the clearance of iNOS
aggregates. Further studies revealed that the SPRY domain-containing SOCS box
protein 2 (SPSB2), an E3 ligase-recruiting protein, was essential for the
ubiquitination of iNOS aggregates. SPSB2 knockdown or deleting the SPSB2
interacting domain on iNOS prevented the clearance of iNOS aggregates in Hsp90
inhibited cells. Thus, besides modulating iNOS function and gene transcription,
Hsp90 is also essential for the protein stability of iNOS. Hsp90 blockade induces
iNOS aggregation and SPSB2 is required for UPS degradation of iNOS aggregates.
PMID- 29367004
TI - Modulation of systemic and mucosal immune responses of Catla catla (Hamilton,
1822) experimentally challenged with gill monogeneans.
AB - The present study investigated the modulation of systemic and mucosal immunity of
catla (Catla catla) experimentally challenged with gill monogeneans. Fingerlings
of catla (24.2 +/- 1.5 g) without any parasites were randomly distributed into
two groups each comprising quadruplicate tanks (10 fish per tank). The fish in
the infected group were challenged with gill monogeneans by cohabitation method.
The control group fish were not exposed to parasites. Serum and mucus were
collected from both control and infected fish and different immunological
parameters were measured at weekly interval for four weeks. Monogeneans on the
fish gills were also quantified from infected fish at weekly interval. Different
systemic immunological parameters viz. myeloperoxidase content, alkaline
phosphatase and hemolytic activity of serum showed a significant increase (p <
.05) in infected group. However, respiratory burst activity, total serum protein
and anti-protease activity did not show any significant enhancement (p < .05) in
infected group compared to control. In case of mucosal parameters,
myeloperoxidase content, alkaline phosphatase activity, total protein content and
anti-protease activity of mucus showed significant enhancement (p < .05) in
infected group compared to control. Parasite prevalence was 100% in the infected
group in all the sampling weeks. The mean intensity of parasites was
progressively increased and the highest number of monogeneans per gill (45 +/-
4.56) was observed during 4th week post challenge. Control group had mean
intensity and prevalence of zero. In conclusion, there was the participation of
immune system components against monogenean infection in catla. However, the
progressive increase in monogenean intensity indicates some immune evasion
mechanism available with the monogenean to co-exist with the host in the face of
host's immune responses.
PMID- 29367005
TI - The impaired immune function and structural integrity by dietary iron deficiency
or excess in gill of fish after infection with Flavobacterium columnare:
Regulation of NF-kappaB, TOR, JNK, p38MAPK, Nrf2 and MLCK signalling.
AB - The aim of this study was to investigate the effects and potential mechanisms of
dietary iron on immune function and structural integrity in gill of young grass
carp (Ctenopharyngodon idella). A total of 630 grass carp (242.32 +/- 0.58 g)
were fed diets containing graded levels of iron at 12.15 (basal diet), 35.38,
63.47, 86.43, 111.09, 136.37 and 73.50 mg/kg for 60 days. Subsequently, a
challenge test was conducted by infection with Flavobacterium columnare to
investigate the effects of dietary iron on gill immune function and structural
integrity in young grass carp. First, the results indicated that compared with
the optimal iron level, iron deficiency decreased lysozyme (LZ) and acid
phosphatase (ACP) activities, complement 3 (C3), C4 and immunoglobulin M (IgM)
contents, and down-regulated the mRNA levels of antibacterial peptides, anti
inflammatory cytokines (except IL-4/13B), inhibitor of kappaBalpha
(IkappaBalpha), target of rapamycin (TOR) and ribosomal protein S6 kinase 1
(S6K1). In contrast, iron deficiency up-regulated the mRNA levels of pro
inflammatory cytokines (except IL-6 and IFN-gamma2), nuclear factor kappaB p65
(NF-kappaBp65), IkappaB kinases alpha (IKK), IKKbeta, IKKgamma, eIF4E-binding
protein 1 (4E-BP1) and 4E-BP2 in gill of young grass carp, indicating that iron
deficiency could impair immune function in fish gill. Second, iron deficiency
down-regulated the mRNA levels of inhibitor of apoptosis protein (IAP) and
myeloid cell leukemia 1 (Mcl-1), decreased activities and mRNA levels of
antioxidant enzymes, down-regulated the mRNA levels of NF-E2-related factor 2
(Nrf2) and tight junction proteins (except claudin-12 and -15), and
simultaneously increased malondialdehyde (MDA), protein carbonyl (PC) and
reactive oxygen species (ROS) contents. Iron deficiency also up-regulated mRNA
levels of cysteinyl aspartic acid-protease (caspase) -2, -7, -8, -9, Fas ligand
(FasL), apoptotic protease activating factor-1 (Apaf-1), B-cell-lymphoma-2
associated X protein (Bax), p38 mitogen-activated protein kinase (p38MAPK), Kelch
like ECH-associating protein (Keap) 1a, Keap1b, claudin-12, -15 and MLCK,
indicating that iron deficiency could disturb the structural integrity of gill in
fish. Third, iron excess impaired immune function and structural integrity in
gill of young grass carp. Forth, there was a better effect of ferrous fumarate
than ferrous sulfate in young grass carp. Finally, the iron requirements based on
ability against gill rot, ACP activity and MDA content in gill of young grass
carp were estimated to be 76.52, 80.43 and 83.17 mg/kg, respectively.
PMID- 29367006
TI - Molecular characterization and functional activity of CXCL8_L3 in large yellow
croaker Larimichthys crocea.
AB - CXCL8, also called interleukin-8, is a typical CXC chemokine that plays a key
role in promoting inflammation. Phylogenetically, fish CXCL8 chemokines can be
divided into three subgroups, CXCL8_L1, CXCL8_L2, and CXCL8_L3, of which CXCL8_L3
is a new subgroup. The CXCL8_L3 gene sequences have been reported in many fish
species, but their function remains unknown. Here, a CXCL8_L3 (LycCXCL8_L3) gene
was cloned from large yellow croaker Larimichthys crocea. Its open reading frame
(ORF) was 309 nucleotides long, encoding a peptide of 102 amino acids. The
deduced LycCXCL8_L3 protein contains an 18-aa signal peptide and an 84-aa mature
polypeptide, which has four conserved cysteine residues (C30, C32, C57, and C73)
as found in other known CXCL8 chemokines. Phylogenetic analysis showed
LycCXCL8_L3 formed a major clade with CXCL8_L3 sequences from other fish species.
The LycCXCL8_L3 transcript was constitutively expressed in all examined tissues
and significantly up-regulated in the spleen and head kidney tissues by
inactivated trivalent bacterial vaccine. The LycCXCL8_L3 transcript was also
detected in peripheral blood leukocytes (PBLs), primary head kidney macrophages
(PKM), and large yellow croaker head kidney cell line (LYCK), with the highest
levels in PKM. Recombinant LycCXCL8_L3 (rLycCXCL8_L3) protein could not only
chemotactically attract lymphocytes and eosinophils in PBLs, but also enhance the
respiratory burst activity of PKM. These results indicate that LycCXCL8_L3 may
play an important role in the inflammatory response of large yellow croaker. To
our knowledge, this is the first report on functional study of the CXCL8_L3 in
fish.
PMID- 29367007
TI - An electrochemical biosensor for microRNA-196a detection based on cyclic
enzymatic signal amplification and template-free DNA extension reaction with the
adsorption of methylene blue.
AB - A simple and sensitive electrochemical biosensor was developed for microRNA-196a
detection, which is of important diagnostic significance for pancreatic cancer.
It was based on cyclic enzymatic signal amplification (CESA) and template-free
DNA extension reaction. In the presence of microRNA-196a, duplex-specific
nuclease (DSN) catalyzed the digestion of the 3'-PO4 terminated capture probe
(CP), resulting in the target recycling amplification. Meanwhile, the 3'-OH
terminal of CP was exposed. Then, template-free DNA extension reaction was
triggered by terminal deoxynucleotidyl transferase (TdT), producing amounts of
single-stranded DNA (ssDNA). After ssDNA absorbed numerous methylene blue (MB),
an ultrasensitive electrochemical readout was obtained. Based on this dual
amplification mechanism, the proposed biosensor exhibited a high sensitivity for
detection of microRNA-196a down to 15 aM with a linear range from 0.05 fM to 50
pM. This biosensor displayed high specificity, which could discriminate target
microRNAs from one base mismatched microRNAs. It also showed good reproducibility
and stability. Furthermore, it was successfully applied to the determination of
microRNA-196a in plasma samples. In conclusion, with the excellent analytical
performance, this biosensor might have the potential for application in clinical
diagnostics of pancreatic cancer.
PMID- 29367008
TI - High-performance electrochemical sensing of circulating tumor DNA in peripheral
blood based on poly-xanthurenic acid functionalized MoS2 nanosheets.
AB - A high-performance sensing platform based on poly-xanthurenic acid (PXA) film
functionalized MoS2 nanosheets was developed for electrochemical detection of
circulating tumor DNA in peripheral blood. The MoS2 nanosheets were obtained
using a simple ultrasonic method from bulk MoS2. The physical adsorption between
MoS2 and aromatic XA monomers effectively improved the electropolymerization
efficiency, accompanied with an increased electrochemical response of PXA. The
obtained PXA/MoS2 nanocomposite not only served as a substrate for DNA
immobilization but also reflected the electrochemical transduction originating
from DNA immobilization and hybridization without any complex labelling processes
or outer indicators. The immobilization of the probe ssDNA was achieved via
noncovalent assembly due to the pi-pi interaction between PXA and DNA bases.
After the hybridization of the probe ssDNA with the target DNA, the formation of
helix structure induced the resulted dsDNA to be released from the surface of the
PXA/MoS2 nanocomposite. The detection limit of this constructed DNA biosensor was
calculated in the linear target DNA concentrations range from 1.0 * 10-16 mol/L
to 1.0 * 10-10 mol/L and it was found to be 1.8 * 10-17 mol/L.
PMID- 29367010
TI - Chronic minocycline treatment improves hippocampal neuronal structure, NMDA
receptor function, and memory processing in Fmr1 knockout mice.
AB - Fragile X Syndrome (FXS) is the most common inherited cause of intellectual
disability, and is the leading known single-gene cause of autism spectrum
disorder. FXS patients display varied behavioural deficits that include mild to
severe cognitive impairments in addition to mood disorders. Currently there is no
cure for this condition, however minocycline is becoming commonly prescribed as a
treatment for FXS patients. Minocycline has been reported to alleviate social
behavioural deficits, and improve verbal functioning in patients with FXS;
however, its mode of action is not well understood. Previously we have shown that
FXS results in learning impairments that involve deficits in N-methyl-d-aspartate
(NMDA) receptor-dependent synaptic plasticity in the hippocampal dentate gyrus
(DG). Here we tested whether chronic treatment with minocycline can improve these
deficits by enhancing NMDA receptor-dependent functional and structural
plasticity in the DG. Minocycline treatment resulted in a significant enhancement
in NMDA receptor function in the dentate granule cells. This was accompanied by
an increase in PSD-95 and GluN2A and GluN2B subunits in hippocampal
synaptoneurosome fractions. Minocycline treatment also enhanced dentate granule
cell dendritic length and branching. In addition, our results show that chronic
minocycline treatment can rescue performance in novel object recognition in FXS
mice. These findings indicate that minocycline treatment has both structural and
functional benefits for hippocampal cells, which may partly contribute to the pro
cognitive effects minocycline appears to have for treating FXS.
PMID- 29367009
TI - Changes in resting-state functional connectivity after stroke in a mouse brain
lacking extracellular matrix components.
AB - In the brain, focal ischemia results in a local region of cell death and
disruption of both local and remote functional neuronal networks. Tissue
reorganization following stroke can be limited by factors such as extracellular
matrix (ECM) molecules that prevent neuronal growth and synaptic plasticity. The
brain's ECM plays a crucial role in network formation, development, and
regeneration of the central nervous system. Further, the ECM is essential for
proper white matter tract development and for the formation of structures called
perineuronal nets (PNNs). PNNs mainly surround parvalbumin/GABA inhibitory
interneurons, of importance for processing sensory information. Previous studies
have shown that downregulating PNNs after stroke reduces the neurite-inhibitory
environment, reactivates plasticity, and promotes functional recovery. Resting
state functional connectivity (RS-FC) within and across hemispheres has been
shown to correlate with behavioral recovery after stroke. However, the
relationship between PNNs and RS-FC has not been examined. Here we studied a
quadruple knock-out mouse (Q4) that lacks four ECM components: brevican,
neurocan, tenascin-C and tenascin-R. We applied functional connectivity optical
intrinsic signal (fcOIS) imaging in Q4 mice and wild-type (129S1 mice) before and
14 days after photothrombotic stroke (PT) to understand how the lack of crucial
ECM components affects neuronal networks and functional recovery after stroke.
Limb-placement ability was evaluated at 2, 7 and 14 days of recovery through the
paw-placement test. Q4 mice exhibited significantly impaired homotopic RS-FC
compared to wild-type mice, especially in the sensory and parietal regions.
Changes in RS-FC were significantly correlated with the number of
interhemispheric callosal crossings in those same regions. PT caused unilateral
damage to the sensorimotor cortex and deficits of tactile-proprioceptive placing
ability in contralesional fore- and hindlimbs, but the two experimental groups
did not present significant differences in infarct size. Two weeks after PT, a
general down-scaling of regional RS-FC as well as the number of regional
functional connections was visible for all cortical regions and most notable in
the somatosensory areas of both Q4 and wild-type mice. Q4 mice exhibited higher
intrahemispheric RS-FC in contralesional sensory and motor cortices compared to
control mice. We propose that the lack of growth inhibiting ECM components in the
Q4 mice potentially worsen behavioral outcome in the early phase after stroke,
but subsequently facilitates modulation of contralesional RS-FC which is relevant
for recovery of sensory motor function. We conclude that Q4 mice represent a
valuable model to study how the elimination of ECM genes compromises neuronal
function and plasticity mechanisms after stroke.
PMID- 29367011
TI - Longitudinal injecting risk behaviours among people with a history of injecting
drug use in an Australian prison setting: The HITS-p study.
AB - BACKGROUND: HCV transmission remains high in prisons globally. Understanding
injecting risk behaviours in prisons is crucial to effectively develop and
implement HCV prevention programs in this setting including treatment as
prevention. METHODS: HITS-p is a cohort study which enrolled people with a
history of injecting drug use in prisons in NSW, Australia from 2005 to 2013.
Participants completed an interview at enrolment and follow-up visits to
determine injecting behaviours. Generalized estimating equation (GEE) and
logistic regression methods were used to assess injecting risk behaviours prior
to and following prison entry and to investigate injecting risk behaviours in
prison. RESULTS: Overall, 499 participants with a history of injecting drug use
were included (median age, 26 years; 65% male). Participants were significantly
less likely to inject drugs following incarceration. Among injectors,
participants were less likely to inject >=weekly but more likely to share a
needle/syringe. At enrolment, the proportion reporting any injecting, >=weekly
injecting, and needle/syringe sharing in prison was highest among younger
individuals. Younger age was associated with both re-initiation and continuation
of injecting drug use following prison entry. Among those continuously
imprisoned, younger age was associated with increased odds of any injecting,
>=weekly injecting, and sharing a needle/syringe. CONCLUSIONS: Upon entry to
prison, injecting drug use decreased but syringe sharing increased among
injectors. Younger individuals are most likely to exhibit high-risk injecting
behaviours in prison. These data highlight the need for improved HCV prevention
strategies (including improved needle/syringe access and scale up of HCV therapy)
for those at increased risk of HCV transmission in prison, including younger
individuals.
PMID- 29367012
TI - Consumer agency in cannabis supply - Exploring auto-regulatory documents of the
cannabis social clubs in Spain.
AB - BACKGROUND: There is growing experience with the not-for-profit, consumer-driven
cannabis social club (CSC) model that builds on self-supply, self-organization
and harm-reduction; these are principles upon which people who use drugs (PWUD)
have been engaging for decades. Recent legalization of cannabis in a number of
jurisdictions and the related challenges in regulating production, sale, taxation
and health-related matters have raised interest in non-commercial models of
cannabis supply. The "codes of conduct" (CsoC) of CSC federations in Spain might
reveal whether a consumer-based model could overcome these challenges. METHODS:
To examine the content of the CSC auto-regulatory documents, an online search
using key terms to identify the CsoC was conducted. Six documents were found;
analysis of the main thematic categories and overarching themes was conducted. It
was discussed how these corresponded to the areas of cannabis policy regulation
and what the main limitations of the CSC model were. RESULTS: The CsoC detailed
the rules for CSC administration, not-for-profit aims, "invitation only" and
other conditions of membership, collective cultivation and security as well as
for operation of the consumption venue and health-related initiatives. The themes
in the CsoC overlapped with cannabis regulatory areas as outlined
internationally. Concern over cannabis prices and potency was missing in the
CsoC. The potential strengths of the CSC model might include safe environment for
peer-delivered harm reduction practice, preventing illicit transactions, quality
control, shifting economic surplus to the consumers and increased consumer
responsibility. The limitations of the CSC model include high threshold,
disguised motives, tax revenue and the risk of both under- and over-regulation.
CONCLUSION: CSCs represent an opportunity to enhance consumer agency and
responsibility. The right "to be self-supplied" with psychoactive substances can
be granted to consumer associations - but authorities need to provide a framework
to facilitate this voluntary self-organization, including minimum standards
around public health and safety, and to involve consumers in the development of
these regulations.
PMID- 29367013
TI - Evaluating the use of whole-genome sequencing for outbreak investigations in the
lack of closely related reference genome.
AB - Whole-genome sequencing (WGS) has emerged as a powerful molecular typing method
for outbreak analysis enabling the rapid discrimination between outbreak and non
outbreak isolates. However, such analysis can be challenging in the absence of
closely related reference genomes. In this study, we assessed the use of WGS in
investigating an outbreak of a relatively understudied bacterial pathogen with no
publicly available closely related reference genome. Eleven Burkholderia cepacia
complex (Bcc) isolates (seven from patients and four from disposable dermal
gloves packages) that were collected during an outbreak were sequenced using the
Illumina MiSeq platform. Our results showed that mapping the 11 sequenced Bcc
outbreak isolates against a genetically distant reference genome yield loses
coverage (31.6-48.3%) and a high number of detected false single-nucleotide
polymorphisms (SNPs) (1123-2139). Therefore, a reference genome consensus from an
outbreak clinical isolate was generated by combining both de novo assembly and
mapping approaches. Based on this approach, we were able to demonstrate that the
Bcc outbreak isolates were closely related and were phylogenetically distinct
from the 11 publically available Bcc genomes. In addition, the pairwise SNP
distance analysis detected only 1 to 6 SNPs differences among the outbreak
isolates, confirming that contaminated disposable dermal gloves were the cause of
the outbreak.
PMID- 29367015
TI - An early-flowering einkorn wheat mutant with deletions of PHYTOCLOCK 1/LUX
ARRHYTHMO and VERNALIZATION 2 exhibits a high level of VERNALIZATION 1 expression
induced by vernalization.
AB - Using heavy-ion beam mutagenesis of Triticum monococcum strain KU104-1, we
identified a mutant that shows extra early-flowering; it was named extra early
flowering 3 (exe3). Here, we carried out expression analyses of clock-related
genes, clock downstream genes and photoperiod pathway genes, and found that the
clock component gene PHYTOCLOCK 1/LUX ARRHYTHMO (PCL1/LUX) was not expressed in
exe3 mutant plants. A PCR analysis of DNA markers indicated that the exe3 mutant
had a deletion of wheat PCL1/LUX (WPCL1), and that the WPCL1 deletion was
correlated with the mutant phenotype in the segregation line. We confirmed that
the original strain KU104-1 carried a mutation that produced a null allele of a
flowering repressor gene VERNALIZATION 2 (VRN2). As a result, the exe3 mutant has
both WPCL1 and VRN2 loss-of-function mutations. Analysis of plant development in
a growth chamber showed that vernalization treatment accelerated flowering time
in the exe3 mutant under short day (SD) as well as long day (LD) conditions, and
the early-flowering phenotype was correlated with the earlier up-regulation of
VRN1. The deletion of WPCL1 affects the SD-specific expression patterns of some
clock-related genes, clock downstream genes and photoperiod pathway genes,
suggesting that the exe3 mutant causes a disordered SD response. The present
study indicates that VRN1 expression is associated with the biological clock and
the VRN1 up-regulation is not influenced by the presence or absence of VRN2.
PMID- 29367016
TI - Visually-naive chicks prefer agents that move as if constrained by a bilateral
body-plan.
AB - From the first hours of life, the prompt detection of animate agents allows
identification of biologically relevant entities. The motion of most animate
agents is constrained by their bilaterally-symmetrical body-plan, and
consequently tends to be aligned with the main body-axis. Thus parallelism
between the main axis of a moving object and its motion trajectory can signal the
presence of animate agents. Here we demonstrated that visually-naive newborn
chicks (Gallus gallus domesticus) are attracted to objects displaying such
parallelism, and thus show preference for the same type of motion patterns that
elicit perception of animacy in humans. This is the first demonstration of a
newborn non-human animal's social preference for a visual cue related to the
constraints imposed on behaviour by bilaterian morphology. Chicks also showed
preference for rotational movements - a potential manifestation of self
propulsion. Results are discussed in relation to the mechanisms of animacy and
agency detection in newborn organisms.
PMID- 29367017
TI - Do enhanced states exist? Boosting cognitive capacities through an action video
game.
AB - This research reports the existence of enhanced cognitive states in which
dramatic temporary improvements in temporal and spatial aspects of attention were
exhibited by participants who played (but not by those who merely observed)
action video-games meeting certain criteria. Specifically, Experiments 1 and 2
demonstrate that the attentional improvements were exhibited only by participants
whose skills matched the difficulty level of the video game. Experiment 2 showed
that arousal (as reflected by the reduction in parasympathetic activity and
increase in sympathetic activity) is a critical physiological condition for
enhanced cognitive states and corresponding attentional enhancements. Experiment
3 showed that the cognitive enhancements were transient, and were no longer
observed after 30 min of rest following video-gaming. Moreover, the results
suggest that the enhancements were specific to tasks requiring visual-spatial
focused attention, but not distribution of spatial attention as has been reported
to improve significantly and durably as a result of long-term video-game playing.
Overall, the results suggest that the observed enhancements cannot be simply due
to the activity of video-gaming per se, but might rather represent an enhanced
cognitive state resulting from specific conditions (heightened arousal in
combination with active engagement and optimal challenge), resonant with what has
been described in previous phenomenological literature as "flow"
(Csikszentmihalyi, 1975) or "peak experiences" (Maslov, 1962). The findings
provide empirical evidence for the existence of the enhanced cognitive states and
suggest possibilities for consciously accessing latent resources of our brain to
temporarily boost our cognitive capacities upon demand.
PMID- 29367014
TI - Internal validation of STRmixTM - A multi laboratory response to PCAST.
AB - We report a large compilation of the internal validations of the probabilistic
genotyping software STRmixTM. Thirty one laboratories contributed data resulting
in 2825 mixtures comprising three to six donors and a wide range of multiplex,
equipment, mixture proportions and templates. Previously reported trends in the
LR were confirmed including less discriminatory LRs occurring both for donors and
non-donors at low template (for the donor in question) and at high contributor
number. We were unable to isolate an effect of allelic sharing. Any apparent
effect appears to be largely confounded with increased contributor number.
PMID- 29367018
TI - A new composite consisting of electrosynthesized conducting polymers, graphene
sheets and biosynthesized gold nanoparticles for biosensing acute lymphoblastic
leukemia.
AB - In this work we report the synthesis of a stable composite with excellent
electrical properties, on the surface of a biosensor. Conductive polymers offer
both high electrical conductivity and mechanical strength. Many reports have
focused on synthesizing conductive polymers with the aid of high-cost enzymes. In
the current work we introduce a novel electrochemical, one-step, facile and cost
effective procedure for synthesizing poly (catechol), without using expensive
enzymes. The poly (catechol) conductivity was enhanced by modification with
graphene sheets and biosynthesized gold nanoparticles. Four different robust
methods, DPV, EIS, CV and chronoamperometry, were used to monitor the biosensor
modifications. The peak currents of the catechol (an electroactive probe) were
linearly related to the logarithm of the concentrations of target DNA in the
range 100.0 MUM to 10.0 pM, with a detection limit of 1.0 pM for the DNA strand.
The current work investigates a new, stable composite consisting of conductive
polymers and nanoparticles, which was applied to the detection of acute
lymphoblastic leukemia.
PMID- 29367019
TI - Enrichment culture of electroactive microorganisms with high magnetic
susceptibility enhances the performance of microbial fuel cells.
AB - Many electroactive microorganisms (EAMs) are known as dissimilatory iron-reducing
bacteria (DIRB) which can access iron as an electron acceptor to transfer
electrons during the process of respiration. Previous research has shown that
magnetic susceptibility (chilf) could be used as an indicator of DIRB activities.
However, the relationship between chilf and electroactivity of EAMs is still
unclear. Here, we report that after sediments of the Sha River enriched with
amorphous iron, the chilf of enrichment cultures increased dramatically. The
reactor inoculated with higher chilf enrichment cultures started earlier and had
a higher peak voltage (0.228 V), higher power density (310.14 mW m-2) and higher
coulombic efficiency (10.16%) than lower chilf cultures. Sequencing of bacterial
16S rRNA gene amplicons and chilf measurement revealed that relative abundance of
dominant phyla Proteobacteria increased with chilf values in sediments. Further
analysis of cyclic voltammetry curves and bacterial terminal-restriction fragment
length polymorphism (T-RFLP) of bacterial rRNA genes indicated that the improved
performance of the MFCs might be due to a highly electroactive microbial
community that the 162 bp terminal restriction fragments (TRFs) originated from.
Our results suggested a potential correlation between chilf and presence of EAM
indicating a promising route for fast screening in complex environments.
PMID- 29367020
TI - Screening for Behavioral Risk Factors Is Not Enough to Improve Preventive
Services Delivery.
AB - OBJECTIVE: Unhealthy behaviors are a major cause of chronic disease.
Preappointment screening has been suggested as one way to improve preventive care
delivery related to these behaviors by specifying risks to be addressed. We aimed
to determine whether screening for health-related behaviors before the clinical
encounter will lead to higher counseling rate and service delivery by clinicians.
METHODS: We used a pre/post design in one practice with a control practice to
evaluate the effects of preappointment screening for 3 behavioral risk factors
(tobacco smoke exposure, no recent dental care visit, and consumption of sugar
sweetened beverages). After their clinic visit, we asked English-speaking parents
whose child had one or more risk factor whether they had received counseling or
services from their pediatrician to address them. RESULTS: We recruited 264
parents in the pre phase and 242 in the post phase. Among 215 parents whose child
had one or more risk factors, parents in the post phase were as likely to report
receiving counseling than parents in the pre phase for each of the risk factors:
smoking odds ratio 6.75 (95% confidence interval, 0.51, 88.88), dental health
odds ratio 1.44 (95% confidence interval, 0.47, 4.41), and sugar-sweetened
beverage consumption odds ratio 0.34 (95% confidence interval, 0.23, 5.18).
Service delivery and reported behavior change were also similar in both phases.
CONCLUSIONS: Counseling rates for tobacco, dental health, or sugar-sweetened
beverage consumption were low in pediatric primary care, and preappointment
screening did not significantly affect clinician counseling. Future efforts will
require a more robust approach to effect change in counseling, provision of
service, and family behavior.
PMID- 29367021
TI - Disentangling overlapping high-field EPR spectra of organic radicals:
Identification of light-induced polarons in the record fullerene-free solar cell
blend PBDB-T:ITIC.
AB - We present a combined high-field EPR and DFT study of light-induced radicals in
the bulk heterojunction blend of PBDB-T:ITIC, currently one of the highest
efficiency non-fullerene donor:acceptor combinations in organic photovoltaics. We
demonstrate two different approaches for disentangling the strongly overlapping
high-field EPR spectra of the positive and negative polarons after charge
separation: (1) relaxation-filtered field-swept EPR based on the difference in T1
spin-relaxation times and (2) field-swept EDNMR-induced EPR by exploiting the
presence of 14N hyperfine couplings in only one of the radical species, the small
molecule acceptor radical. The approach is validated by light-induced EPR spectra
on related blends and the spectral assignment is underpinned by DFT computations.
The broader applicability of the spectral disentangling methods is discussed.
PMID- 29367022
TI - Sensing of heavy metal ions by intrinsic TMV coat protein fluorescence.
AB - We propose the use of a cysteine mutant of TMV coat protein as a signal
transducer for the selective sensing and quantification of the heavy metal ions,
Cd2+, Pb2+, Zn2+ and Ni2+ based on intrinsic tryptophan quenching. TMV coat
protein is inexpensive, can be mass-produced since it is expressed and extracted
from E-coli. It also displays several different functional groups, enabling a
wide repertoire of bioconjugation chemistries; thus it can be easily integrated
into functional devices. In addition, TMV-ion interactions have been widely
reported and utilized for metallization to generate organic-inorganic hybrid
composite novel materials. Building on these previous observations, we herein
determine, for the first time, the TMV-ion binding constants assuming the static
fluorescence quenching model. We also show that by comparing TMV-ion interactions
between native and denatured coat protein, we can distinguish between chemically
similar heavy metal ions such as cadmium and zinc ions.
PMID- 29367023
TI - Advantages of infrared transflection micro spectroscopy and paraffin-embedded
sample preparation for biological studies.
AB - Fourier-Transform Infrared micro-spectroscopy is an excellent method for
biological analyses. In this paper, series metal coating films on ITO glass were
prepared by the electrochemical method and the different thicknesses of paraffin
embedding rat's brain tissue on the substrates were studied by IR micro
spetroscopy in attenuated total reflection (ATR) mode and transflection mode
respectively. The Co-Ni-Cu alloy coating film with low cost is good reflection
substrates for the IR analysis. The infrared microscopic transflection mode needs
not to touch the sample at all and can get the IR spectra with higher signal to
noise ratios. The Paraffin-embedding method allows tissues to be stored for a
long time for re-analysis to ensure the traceability of the sample. Also it
isolates the sample from the metal and avoids the interaction of biological
tissue with the metals. The best thickness of the tissues is 4 MUm.
PMID- 29367024
TI - Synthesis, spectroscopic characterization (FT-IR, FT-Raman, and NMR), quantum
chemical studies and molecular docking of 3-(1-(phenylamino)ethylidene)-chroman
2,4-dione.
AB - The experimental and theoretical investigations of structure of the 3-(1
(phenylamino)ethylidene)-chroman-2,4-dione were performed. X-ray structure
analysis and spectroscopic methods (FTIR and FT-Raman, 1H and 13C NMR), along
with the density functional theory calculations (B3LYP functional with empirical
dispersion corrections D3BJ in combination with the 6-311 + G(d,p) basis set),
were used in order to characterize the molecular structure and spectroscopic
behavior of the investigated coumarin derivative. Molecular docking analysis was
carried out to identify the potency of inhibition of the title molecule against
human's Ubiquinol-Cytochrome C Reductase Binding Protein (UQCRB) and
Methylenetetrahydrofolate reductase (MTHFR). The inhibition activity was obtained
for ten conformations of ligand inside the proteins.
PMID- 29367025
TI - 2-Ethynylpyridine dimers: IR spectroscopic and computational study.
AB - 2-ethynylpyridine (2-EP) presents a multifunctional system capable of
participation in hydrogen-bonded complexes utilizing hydrogen bond donating (CH,
Aryl-H) and hydrogen bond accepting functions (N-atom, CC and pyridine pi
systems). In this work, IR spectroscopy and theoretical calculations are used to
study possible 2-EP dimer structures as well as their distribution in an inert
solvent such as tetrachloroethene. Experimentally, the CH stretching vibration of
the 2-EP monomer absorbs close to 3300 cm-1, whereas a broad band with maximum
around 3215 cm-1 emerges as the concentration rises, indicating the formation of
hydrogen-bonded complexes involving the CH moiety. The CC stretching vibration of
monomer 2-EP close to 2120 cm-1 is, using derivative spectroscopy, resolved from
the signals of the dimer complexes with maximum around 2112 cm-1. Quantum
chemical calculations using the B3LYP + D3 model with counterpoise correction
predict that the two most stable dimers are of the pi-stacked variety, closely
followed by dimers with intermolecular CH?N hydrogen bonding; the predicted red
shifts of the CH stretching wavenumbers due to hydrogen bonding are in the range
54-120 cm-1. No species with obvious hydrogen bonding involving the CC or
pyridine pi-systems as acceptors are predicted. Dimerization constant at 25
degrees C is estimated to be K2 = 0.13 +/- 0.01 mol-1 dm3.
PMID- 29367026
TI - A highly selective and sensitive Tb3+-acetylacetone photo probe for the
assessment of acetazolamide in pharmaceutical and serum samples.
AB - A novel, simple, sensitive and selective spectrofluorimetric method was developed
for the determination of Acetazolamide in pharmaceutical tablets and serum
samples using photo probe Tb3+-ACAC. The Acetazolamide can remarkably quench the
luminescence intensity of Tb3+-ACAC complex in DMSO at pH 6.8 and lambdaex = 350
nm. The quenching of luminescence intensity of Tb3+-ACAC complex especially the
electrical band at lambdaem = 545 nm is used for the assessment of Acetazolamide
in the pharmaceutical tablet and serum samples. The dynamic range found for the
determination of Acetazolamide concentration is 4.49 * 10-9-1.28 * 10-7 mol L-1,
and the limit of detection (LOD) and limit of quantification (LOQ) are (4.0 * 10
9 and 1.21 * 10-8) mol L-1, respectively.
PMID- 29367027
TI - Imidazole and beta-carotene photoprotection against photodynamic therapy
evaluated by synchrotron infrared microscopy.
AB - In order to better understand the role of beta-carotene and imidazole on the
Photodynamic Therapy (PDT) mechanism, synchrotron infrared microscopy was used to
detect the associated intracellular biochemical modifications following the
visible light irradiation of HeLa cells incubated with these compounds as typical
hydrophobic and hydrophilic singlet oxygen quenchers, respectively. For this
purpose, PDT was performed employing the hydrophilic sensitizer 5,10,15,20
Tetrakis (1-methyl-4-pyridinio) porphyrin tetra (p-toluenesulfonate), TMPyP, and
the hydrophobic sensitizer 5-(4-Methoxycarboxyphenyl)-10,15,20-triphenyl-21H,23H
porphyrin. The single cell IR spectra of PDT-treated, PDT plus quencher-treated
and control HeLa cells were recorded at the SOLEIL Synchrotron Infrared SMIS
beamline targeting specifically the cell nucleus. Principal Component Analysis
(PCA) was used to assess the IR spectral changes. PCA revealed that there is a
frequency shift of the protein Amide I vibrational band for the assays with the
TMPyP sensitizer, indicating changes in the protein secondary structures of the
PDT-treated cancer cells compared to the controls. In addition, the scores in
those cells treated with both quenchers appear to be similar to the controls
indicating a photoprotective effect. Comparative experiments carried out with
SKMEL-28 and HaCat cells showed non- significant photoprotective effects of beta
carotene and imidazole.
PMID- 29367028
TI - New approach for determination of the influence of long-range order and selected
ring oscillations on IR spectra in zeolites.
AB - Vibrational spectroscopy can be considered as one of the most important methods
used for structural characterization of various porous aluminosilicate materials,
including zeolites. On the other hand, vibrational spectra of zeolites are still
difficult to interpret, particularly in the pseudolattice region, where bands
related to ring oscillations can be observed. Using combination of theoretical
and computational approach, a detailed analysis of these regions of spectra is
possible; such analysis should be, however, carried out employing models with
different level of complexity and simultaneously the same theory level. In this
work, an attempt was made to identify ring oscillations in vibrational spectra of
selected zeolite structures. A series of ab initio calculations focused on S4R,
S6R, and as a novelty, 5-1 isolated clusters, as well as periodic siliceous
frameworks built from those building units (ferrierite (FER), mordenite (MOR) and
heulandite (HEU) type) have been carried out. Due to the hierarchical structure
of zeolite frameworks it can be expected that the total envelope of the zeolite
spectra should be with good accuracy a sum of the spectra of structural elements
that build each zeolite framework. Based on the results of HF calculations,
normal vibrations have been visualized and detailed analysis of pseudolattice
range of resulting theoretical spectra have been carried out. Obtained results
have been applied for interpretation of experimental spectra of selected
zeolites.
PMID- 29367029
TI - Comparison of three surgical fixation methods for dual-bone forearm fractures in
older children: A retrospective cohort study.
AB - BACKGROUND: The aim of this study was to compare the outcomes of dual ESIN (D
ESIN) fixation, hybrid fixation, and open reduction and dual plate (d-plate)
fixation in the treatment of dual-bone forearm fractures in children aged 10-16
years. MATERIALS AND METHODS: 137 patients with dual-bone forearm fractures (48
patients in the D-ESIN group, 45 patients in the hybrid group, and 44 patients in
the d-plate group) were reviewed. Duration of surgery, length of incision,
intraoperative blood loss, intraoperative times of fluoroscopy, and duration of
postoperative immobilisation were recorded. Radiographic outcomes, functional
outcomes, and complication rate were also recorded. RESULTS: Surgeries and
incisions were significantly shorter, and less intraoperative blood loss
occurred, in the hybrid group than the d-plate group (P < 0.001). The hybrid
group was also characterised by less intraoperative fluoroscopy times and shorter
duration of postoperative immobilisation compared with the D-ESIN group (P <
0.001). The union rate of the ulna at 3 months postoperatively was higher in the
hybrid and d-plate groups than in the D-ESIN group (P = 0.003). The union rate of
the radius was similar in all three groups (P = 0.403). No significant difference
in the union rate of the radius or ulna was observed among groups at 6 months
postoperatively (P = 0.052). The mean union time was notably later in the D-ESIN
group than in the hybrid and d-plate groups. However, no significant difference
in functional outcome or complication rate was observed among the three groups (P
= 0.822 and P = 0.912). CONCLUSION: Hybrid fixation was superior in terms of the
duration of surgery, intraoperative use of fluoroscopy, intraoperative blood
loss, duration of postoperative immobilisation, delayed union of the ulna, and
bone union time. Therefore, hybrid fixation is a safe and effective treatment for
dual-bone forearm fractures in children aged 10-16 years.
PMID- 29367030
TI - Reevaluation of the efficacy of preoperative computed tomography-guided hook wire
localization: A retrospective analysis.
AB - BACKGROUND: Small pulmonary nodules (SPNs) often cannot be accurately located
during video-assisted thoracoscopic (VATS) resection, and preoperative computed
tomography (CT)-guided localization performed using hook wire placement can be
helpful. However, recent studies revealed a trend towards more frequent and
severe complications occurring in association with hook wire insertion. The aim
of this study is to reevaluate the safety, and reliability of the preoperative CT
guided hook wire localization technique and also identify the risk factors for
localization-related pneumothorax. METHODS: This retrospective study enrolled 95
patients (with 105 pulmonary nodules) who underwent preoperative CT-guided hook
wire localization and followed VATS resection from January 2013 to September
2016. Univariate and multivariate logistic regression analyses were used to
identify factors associated with localization-related pneumothorax. RESULTS: All
the 105 nodules were successfully localized. Two (1.9%) hook wires dislodged
before VATS resection. Mean largest diameter of the nodules was 10.1 mm (range, 3
19 mm). Mean depth from the nearest pleural surface was 11.2 mm (range, 2-38 mm).
Mean needle insertion depth was 24.3 mm (range, 4-49 mm), and mean procedure time
was 17.3 min (range, 7-48 min). Asymptomatic pneumothorax was observed in 18
patients (18.9%) and hemorrhage in 7 patients (7.4%). Multivariate logistic
regression analysis revealed the number of needle insertions (OR 8.893, p =
0.019) as the only significant independent risk factor of pneumothorax.
CONCLUSIONS: CT-guided hook wire localization is a safe, reliable and convenient
technique and can be applied widely to facilitate the resection of SPNs.
Simultaneous localization for multiple nodules in ipsilateral lung may be
associated with a higher risk of localization-related pneumothorax.
PMID- 29367031
TI - Laparoscopic ventral hernia repair: Results of a two thousand patients
prospective multicentric database.
AB - Laparoscopic incisional and ventral hernia repair (LIVHR) has been largely
employed by the surgical community worldwide, despite the use of different types
of mesh and fixation devices. A large nationwide prospective multicentric
database collected 2005 operations from 8 high-volume centers, to investigate the
perioeperative and long-term outcomes. The laparoscopic operations were completed
in 1979 patients (98.7%), with a mean age of 60.7 years and a Body Mass Index of
28.8 kg/m2. Two hundred and one patient (18.8%) had a previous failed open
repair. The average surface areas of the major defects were 47.4 and 18.2 cm 2
for postincisional and primary hernias. The mean operation time and postoperative
stay were 94.4 min and s 3.7 days, respectively. We collected a total of 50
(2.5%) intraoperative and 414 (20.6%) postoperative complications, with
reoperation needed in 38 cases (1.8%). After a mean follow-up period of 24
months, we recorded 62 (3.8%) confirmed recurrences. Length of surgery, hospital
stay, and a previous recurrence were all risk factors for recurrence. Primary
hernias had better perioperative outcomes compared to incisional hernias, except
for the pain. The laparoscopic approach of both post-incisional and primary
hernias seemed to be safe and feasible in short-to medium-term periods.
PMID- 29367032
TI - The seroprevalence rate and population genetic structure of human cystic
echinococcosis in the Middle East: A systematic review and meta-analysis.
AB - Cystic echinococcosis (CE) represents an increasing public health concern in many
parts of the world, including the Middle East. The present study is the first
systematic review and meta-analysis to assess the seroprevalence rate and
population genetic structure of human CE in the eastern Mediterranean region. To
estimate the population genetic structure, Echinococcus sequences of the
cytochrome oxidase subunit 1 (cox1) gene isolated from countries from this
geographical area were retrieved from the GenBank database. An electronic search
for articles from 1990 until 2015 was performed using databases PubMed,
ScienceDirect, and Scopus. A total of 53 articles reporting on CE seroprevalence
and genotyping data met our eligibility criteria and were included in a meta
analysis. The overall CE seroprevalence rates in the general population and in
individuals at high risk of infection were estimated using the random-effect
model at 7.4% (95% CI = 4.8-10.6) and 10.7% (95% CI = 7.6-14.3), respectively.
Risk factors including age group (P < 0.001), dog ownership (P = 0.03), residence
area (P < 0.001), and educational level (P = 0.04) showed a statistically
significant association with CE seroprevalence. A pairwise fixation index (Fst),
used as an estimation of gene flow, suggested a moderate level of genetic
differentiation between members of the E. granulosus sensu stricto (G1-G3)
complex from Iranian and Turkish metapopulations (Fst = 0.171). The finding of
common haplotypes may represent an ancestral transfer of alleles among
populations probably during the early stages of animal domestication. The high CE
seroprevalence rates found highlight the necessity of implementing appropriate
public education for preventive and control strategies, particularly in
individuals at high risk of infection; furthermore, our genetic findings reveal
novel molecular data concerning microevolutionary events of Echinococcus isolates
among Middle East countries.
PMID- 29367033
TI - Comparison of a single approach versus double approaches for the treatment of
terrible traid of elbow-A retrospective study.
AB - INTRODUCTION: Dislocation of the elbow associated with radial head and coronoid
fracture, the so-called "terrible triad" of the elbow, is challenging to treat
and has a history of complicated outcomes. This study is to compare the clinical
outcome of a single lateral approach-the extensile splitting extensor digitorum
communis (EDC) approach and combined lateral and medial (CML) approaches for the
treatment of terrible traid of the elbow (TTE). MATERIAL AND METHODS: After
appropriate exclusion, 60 TTE patients (28 patients in the EDC group, 32 patients
in the CML group) from 2009 January to 2015 August were reviewed in this study.
All included patients underwent open reduction, lateral collateral ligament
complex repair, and postoperative function exercise. Surgical time,
intraoperative blood loss, postoperative pain, elbow motion, MEPS score and
complication rate were recorded and compared. RESULT: There were significant
differences in surgery time (P < 0.05) and ulnar nerve lesion symptom, no patient
suffered ulnar nerve lesion symptom in EDC group, but 5 patients in CML group
suffered it. No differences were found in intraoperative blood loss,
postoperative pain and heterotopic ossification (P > 0.05). Mean follow-up was
26.1 months (from 24 to 30 months), at the final follow-up, 2 patients in EDC
group and 4 patients in CML group required elbow release operation, mean flexion
and extension (124.1 +/- 14.6 degrees and 8.3 +/- 5.3 degrees ), pronation and
supination (73.4 +/- 5.3 degrees and 74.4 +/- 6.0 degrees ) in EDC group were
higher than CML group (114.2 +/- 15.0 degrees and 17.6 +/- 8.0 degrees , 69.2 +/
6.9 degrees and 70.4 +/- 7.5 degrees , P < 0.05). Besides, MEPS score in the
former group was also higher than the latter group (91.8 +/- 4.5 to 84.4 +/- 5.2,
P < 0.01). CONCLUSION: The single lateral approach achieved better function
recovery than combined lateral and medial approach, decreasing the risk of ulnar
nerve lesion and surgery time for the treatment of TTE.
PMID- 29367034
TI - Systematic review of anaesthetic medication for ERCP based on a network meta
analysis.
AB - AIMS: We performed a systematic review of various anaesthetic medications for
endoscopic retrograde cholangiopancreatography (ERCP) and aimed to make a
comprehensive comparison based on a network meta-analysis. METHODS: We searched
globally recognized electronic databases, including PubMed, Cochrane Central and
EMBASE, to retrieve relevant randomized controlled trials (RCTs) of anaesthetic
medications for ERCP. Network meta-analysis was conducted by evaluating the
procedure time, adverse effects and drug requirements. The cumulative probability
P value was utilized to rank the medications under examination. RESULTS:
Seventeen RCTs that examined 1877 patients were included in this research. Under
good convergence and efficiency, data analysis was performed using a consistency
model. For the comparison of procedure times, we found that a combination of
dexmedetomidine and ketamine (P = 0.19) or propofol plus pethidine (P = 0.18)
seemed to be the two best medications for reducing procedure time. Additionally,
midazolam combined with dexmedetomidine plus pethidine seemed to be the safest
application for ERCP (P = 0.36). Propofol plus alfentanil also exhibited a good
safety value (P = 0.28). For evaluation of drug requirements, the whole network
connection could not be established; thus, comparisons in two subgroups were
conducted. The results showed that midazolam combined with dexmedetomidine plus
pethidine (P = 0.41) and propofol plus refentanil (P = 0.94) were superior to
others in decreasing drug requirements. CONCLUSIONS: Based on the objective
results and our conclusions, we deemed that a combination of midazolam and
dexmedetomidine was recommended, and propofol plus opioids also revealed great
clinical value. However, we are still expecting more clinical research in the
future.
PMID- 29367035
TI - Multicolour versus monocolour inking specimens after pancreaticoduodenectomy for
periampullary cancer: A single centre prospective randomised clinical trial.
AB - BACKGROUND: R status represents an important prognostic factors in periampullary
cancers. Thus, it is useful to verify if it can be influenced by different
techniques of margination. METHODS: Single-centre, randomised clinical trial of
patients affected by periampullary cancer who underwent pancreaticoduodenectomies
which included two different types of margination: arm A (multicolour inking) and
arm B (monocolour inking). The primary endpoint was the overall R1 resection rate
and its difference between the two arms. The secondary endpoints were the R1
resection rate in each margin and its difference between the two arms, and the
impact of margin status on survival. RESULTS: Fifty patients were randomised, 41
analysed: 22 in arm A, 19 arm B. The overall R1 status was 61%, without
significant differences between the two arms. The margin most commonly involved
was the superior mesenteric artery (SMA) (36.6%). A trend in favour of arm B was
shown for the superior mesenteric artery margin (arm A = 22.7% versus arm B =
52.6%; P = 0.060). The anterior surface (P = 0.015), SMA (P = 0.047) and
pancreatic remnant (P = 0.018) margins significantly influenced disease-free
survival. CONCLUSIONS: The R status was not influenced by different techniques of
margination using a standardised pathological protocol. The SMA margin seemed to
be the most important margin for evaluating both R status and disease-free
survival.
PMID- 29367036
TI - Outcomes after laparoscopic anti-reflux surgery related to obesity: A systematic
review and meta-analysis.
AB - BACKGROUND: Laparoscopic Anti-Reflux Surgery (LARS) is an established alternative
treatment to pharmacological therapy for patients with Gastro Osophageal Reflux
Disease (GORD), yet its safety and efficacy in obese patients is controversial. A
systematic review and meta-analysis was performed to compare LARS related to
obesity. METHODS: Embase, MEDLINE and the Cochrane Library (January 1970 to July
2017) were searched for studies reporting clinical outcomes of LARS in patient
cohorts stratified by Body Mass Index (BMI). Data was grouped according to BMI,
<30 kg/m2 (non-obese) and >=30 kg/m2 (obese). Primary outcome measures were
reflux recurrence, operative morbidity, re-intervention (redo surgery and
endoscopic dilatation), conversion to open surgery, and early return to theatre.
Results were pooled in meta-analyses as Odds Ratios (OR). RESULTS: Thirteen
eligible observational studies comparing LARS in non-obese (n = 6246) and obese
(n = 1753) patients were identified. Recurrence of reflux was significantly lower
in the non-obese cohort (OR 0.28, 95% C.I. 0.13 to 0.61, p = 0.001), however no
significant differences were observed in rates of operative morbidity (OR 0.82,
0.54 to 1.23, p = 0.33), redo surgery (OR 0.94, 0.51 to 1.72, p = 0.84),
endoscopic dilatation (OR 0.98, 0.45 to 2.17, p = 0.97), conversion to open
surgery (OR 0.96, 0.50 to 1.85, P = 0.90), or early return to theatre (OR 0.77,
0.43 to 1.38, p = 0.39). CONCLUSIONS: LARS can be performed safely in obese
patients, but risks higher GORD recurrence. Clinicians and patients should be
aware that obesity may adversely affect LARS outcome and careful consideration be
given in the consent process inherent within the optimal management of GORD.
PMID- 29367037
TI - Central pancreatectomy with external drainage of monolayer pancreaticojejunostomy
for prevention of postoperative pancreatic fistula: A retrospective cohort study.
AB - OBJECTIVE: Postoperative pancreatic fistula (POPF) remains a common problem and
leading cause of morbidity and mortality after central pancreatectomy (CP). The
aim of this study was to present a technique of external drainage of monolayer
pancreaticojejunostomy for prevention of POPF. METHODS: Patients received
elective CP with external drainage of monolayer pancreaticojejunostomy between
January 2010 and December 2016 were retrospectively analyzed. The occurrence and
severity of POPF, overall complications, reoperation rate, in-hospital mortality,
and length of postoperative hospital stay were measured. The 2016 updated
definition and classification system of the International Study Group of
Pancreatic Surgery (ISGPS) was used for POPF. In addition, a matched-pairs
comparison with internal drainage of pancreaticojejunostomy was made. RESULTS: 33
consecutive patients underwent CP with external drainage of monolayer
pancreaticojejunostomy during this period. 4 (12.1%) cases developed grade B
POPF, among which one patient was classified as having Clavien-Dindo
classification IIIa complication. None of the patients developed grade C POPF,
delayed gastric emptying, or postpancreatectomy hemorrhage. There was no
reoperation or in-hospital mortality occurred. Matched-pairs comparison revealed
that patients with external drainage of pancreaticojejunostomy had significantly
lower incidence of POPF. CONCLUSION: External drainage of monolayer
pancreaticojejunostomy seems effective in prevention of POPF after CP.
PMID- 29367038
TI - Laparoscopic hepatectomy produces better outcomes for hepatolithiasis than open
hepatectomy: An updated systematic review and meta-analysis.
AB - BACKGROUND: The present meta-analysis focused on comparing the efficacy and
safety of laparoscopic hepatectomy (LH) versus open hepatectomy (OH) for
hepatolithiasis. In detail, short-term outcomes including operative time,
intraoperative blood loss, intraoperative blood transfusion, postoperative time
to oral intake, length of hospital stay, overall postoperative complication rate,
initial residual stone, and stone recurrence were analyzed systematically.
METHODS: PubMed, Embase, Web of Science and Cochrane Library were comprehensively
searched for eligible studies up to Jun. 30. 2017. Bibliographic citation
management software (EndNoteX7) was applied to literature management. Quality
assessment was carried out according to the modification of the Newcastle-Ottawa
Scale (NOS). The data were analyzed by Stata SE12.0 (StataCorp, College Station,
TX). Sensitivity analysis was conducted by deleting single study step by step.
Odds ratio (OR) were calculated for dichotomous data, and standard mean
difference (SMD) with 95% confidence intervals (CI) was calculated continuous
data. RESULTS: A total of 17 eligible studies with 1351 patients were identified
after a thorough literature search. The pooled results of the present meta
analysis showed that laparoscopic approach was related to significantly less
intraoperative estimated blood loss in patients with hepatolithiasis (SMD: -0.52;
95% CI: -0.93 to -0.1; I2 = 91%; P < 0.0001); lower overall postoperative
complication rate (OR: 0.52; 95% CI: 0.39 to 0.70; I2 = 0%; P < 0.0001) and
intraoperative transfusion rate (OR = 0.25; 95% CI: 0.12 to 0.53; P < 0.0001; I2
= 30.1%; P = 0.239); shorter time to oral intake (SMD: -1.66; 95% CI: -2.41 to
0.92; I2 = 91%; P < 0.0001), and shorter stay in hospital (SMD: -0.89; 95% CI:
1.19 to -0.59; I2 = 83%; P < 0.00001). However, no significant differences was
detected between LH and OH in terms of operative time (SMD: 0.22; 95% CI: -0.21
to 0.65; I2 = 92%; P = 0.31), initial residual stones (OR: 0.79; 95% CI: 0.50 to
1.25; I2 = 0%; P = 0.31), and stone recurrence (OR: 0.67; 95% CI: 0.35 to 1.27;
I2 = 0%; P = 0.22). In addition, our stratified analysis according to types of LH
indicated that the laparoscopic approach still produced more favorable outcomes
whatever patients underwent left lateral sectionectomy (LLS) or left
hemihepatectomy (LHH). CONCLUSION: The laparoscopic hepatectomy is a better
alternative to open approach in patients with hepatolithiasis, providing less
overall complication rate, shorter postoperative stay of hospital stay, less
blood loss, and shorter time to oral intake. However, high-quality randomized
controlled trials (RCTs) are badly needed to provide higher-level evidence due to
unavoidable bias from non-randomized trials.
PMID- 29367039
TI - Immunohistochemistry testing for mismatch repair deficiency in Stage 2 colon
cancer: A cohort study of two cancer centres.
AB - BACKGROUND/OBJECTIVES: Adjuvant chemotherapy for Stage II colon cancer offers a
small (2-3%) overall survival benefit and is not universally recommended.
Mismatch repair deficiency (dMMR) confers an improved prognosis identifying
patients unlikely to benefit from adjuvant chemotherapy. The aim of this study
was to investigate the use of dMMR immunohistochemistry in two major cancer
treatment centres. METHODS: Prospective data were collected on all patients with
resected Stage II colon cancer between 2010 and 2015 across two large Australian
hospitals. Data collected included patient demographics, tumour histology, dMMR
immunohistochemistry, chemotherapy use, and outcomes. RESULTS: All 355 patients
(56.1% female, median age 81) with resected Stage 2 Colon cancer entered on to
the surgical database were included in this analysis. MMR testing was performed
on 167 patient samples (47%), most occurred post-2013 (73.1% vs. 26.9% patients).
dMMR rates were 34.1%. 25 (7.3%) received adjuvant chemotherapy, with no patient
>80 years receiving treatment. Presence of >=2 high-risk feature increased the
likelihood of adjuvant chemotherapy. Only 3.6% dMMR patients received
chemotherapy; both were young with high-risk features. 27/288 (7.6%) patients
(with follow up) relapsed, with 7 disease-free post-resection of metastatic
disease, 9 are alive with metastatic disease, and 11 deceased. CONCLUSIONS:
Unlike clinical trial populations, Stage 2 colon cancer patients are often
elderly, have high rates of dMMR tumours, are rarely offered chemotherapy, yet
still have excellent outcomes. dMMR immunohistochemistry is being increasingly
used to identify Stage 2 patients who do not require chemotherapy.
PMID- 29367040
TI - Short and long-term outcomes of laparoscopic total gastrectomy for gastric
cancer: A single-center experience (retrospective cohort study).
AB - BACKGROUND: Limited studies have been designed to evaluate the short and long
term outcomes of laparoscopic total gastrectomy (LTG). The objective of this
study was to evaluate the feasibility, safety, and oncological outcomes of LTG.
METHODS: A total of 290 consecutive patients underwent radical gastrectomy for
gastric cancer in our institution between 2010 and 2016, from which 110 were
performed laparoscopically and included in the study. Short and long-term
outcomes of LTG, such as operative results, postoperative courses, morbidities,
and mortality, were investigated and compared with those of laparoscopy distal
gastrectomy (LDG) patients. RESULTS: From the total of 110 patients who underwent
LTG, no one underwent conversion. The mean operation time was 267 +/- 88 min. The
mean reconstruction time was 45.3 +/- 15 min, and the mean intraoperative blood
loss was 75.4 +/- 20 ml. The time until the first flatus was 4 +/- 1.5 days. The
time to start soft diet was 7 +/- 1.8 days. The length of postoperative hospital
stay was 9 +/- 2 days. The mean number of retrieved lymph nodes was 34.7 +/- 9.
Compared with the LDG group, the mean operation time, the mean reconstruction
time, number of retrieved lymph nodes, and time of start soft diet were
significantly longer in the LTG group (P<0.05).The postoperative complication
rates of the LTG group and LDG group were 10% and 8.3% (P>0.05), respectively.
The 3-year cumulative survival rates of the LTG group and LDG group were 53.8%
and 56.6% (P = 0.21), respectively. CONCLUSION: LTG for gastric cancer is a safe,
reliable and minimally invasive procedure with short and long-term outcomes
similar to those of LDG.
PMID- 29367041
TI - Clinicopathological characteristics and prognostic factors of remnant gastric
cancer: A single-center retrospective analysis of 90 patients.
AB - BACKGROUND: The prognosis of remnant gastric cancer (RGC) is significantly poor.
However, the mechanism and some clinical features of RGC have not been fully
understood and are still under debate. MATERIALS AND METHODS: From January 2000
to January 2014, 90 RGC patients were enrolled in this retrospective study.
Patients were divided into two groups according to primary disease.
Clinicopathological features and survival outcomes were compared between two
groups. RESULTS: A total of 34 (37.8%) patients were diagnosed with remnant
gastric cancer following benign disease (RGCB) and 56 (62.2%) were diagnosed with
remnant gastric cancer following malignant disease (RGCM). The mean time interval
from the primary operation to the development of RGC was 12.5 +/- 13.3 years in
all RGC patients. The mean time interval in RGCB was significantly longer than
that in RGCM (P < 0.01). The 1-, 2-, and 3- year overall survival rates of all
patients were 56.1%, 38.2% and 33.2%, respectively. Univariate analysis indicated
that tumor size, curability, histology type, serosa invasion, nodal involvement
and distant metastasis were prognostic factors for RGC. The multivariate Cox
regression analysis revealed that distant metastasis was an independent
prognostic factor for RGC. CONCLUSION: RGC occurred earlier in patients with
gastrectomy for primary malignant disease than for primary benign disease, even
though the primary disease made no difference to the survival of RGC.
Nonetheless, RGC patients experienced dismal overall survival. Therefore, early
diagnosis plays a significant role in successfully carrying out curative
resection and improving the prognosis for RGC.
PMID- 29367042
TI - Sagittal orientation and uniform entry for thoracic pedicle screw placement with
free-hand technique: A retrospective study on 382 pedicle screws.
AB - BACKGROUND: One of the most important factors in obtaining a successful outcome
in spinal surgery is appropriate placement of the pedicle screw. A number of
different techniques are used to achieve successful pedicle screw placement. The
free-hand technique has the advantage of no requirement for radiation exposure,
but its success is highly dependent on surgeon experience. Here, we describe our
entry point and perioperative sagittal orientation method, and evaluate
postoperative sagittal alignment of pedicle screws with the free-hand pedicle
screw placement technique. MATERIALS AND METHODS: Eighty-two patients undergoing
spinal surgery between 2015 and 2016 were included in this study. Pedicle screw
placement was evaluated retrospectively on postoperative anterior-posterior (A-P)
and lateral load-bearing radiographs of the entire spinal column. The vertebral
body was divided into five areas in the lateral plane. Sagittal orientation of
the pedicle screws on lateral radiographs was evaluated by two spine surgeons
with 3 years of experience and one radiologist experienced in musculoskeletal
radiology, with each observer evaluating the image twice according to a 1-month
interval. RESULTS: A total of 382 pedicle screws were evaluated. There was no
statistically significant difference between the first and second measurements,
performed by individual observers, and there was good concordance among the three
observers. CONCLUSIONS: Use of a uniform entry point at all levels may increase
the effectiveness of the free-hand technique and decrease the pedicle screw
misplacement rate. Our technique may standardize the free-hand technique, which
does not require radiation exposure, and make it more practical to apply
uniformly.
PMID- 29367043
TI - Conversion is a risk factor for postoperative anastomotic leak in rectal cancer
patients - A retrospective cohort study.
AB - AIM: The impact of conversion from laparoscopic surgery to laparotomy on the
development of anastomotic leak (AL) in rectal cancer patients following
laparoscopic low anterior resection (LAR) with total mesorectal excision (TME)
has not been evaluated. The aim of this study was to evaluate the impact of
conversion on the risk of AL and develop a prediction nomogram for postoperative
AL. METHODS: All rectal cancer patients following laparoscopic LAR with TME from
January 2010 to October 2014 were enrolled in the primary cohort. Comparisons of
the postoperative anastomotic leak incidence rate between converted patients and
non-converted patients were performed using both univariate and multivariate
logistic regression analyses. The result of multivariable analysis was used to
develop the predicting model and the performance of nomogram was assessed with
respect to its calibration, discrimination, and clinical usefulness. An
independent validation cohort containing 200 patients from November 2014 to
October 2015 was assessed. RESULTS: Of all patients enrolled (n=646), 592 (91.6%)
patients underwent totally laparoscopic surgery, and 54 (8.4%) were converted
from laparoscopic surgery to laparotomy. Converted group patients were more
likely to have a higher body mass index (BMI), prolonged length of stay (LOS),
increased overall postoperative complication rates and advanced clinical T stage
(T3 or T4), pathological N stage (N1 or N2) and pathological TNM stage (III or
IV). The percentage of patients who had preoperative radiotherapy for rectal
cancer was higher in non-converted patients. Patients who underwent conversion to
laparotomy (n=10, 18.5%) were more likely to suffer from postoperative AL than
those undergoing totally laparoscopic surgery (n=38, 6.4%) (P=0.004).
Multivariate logistic regression analyses confirmed the association between
conversion and postoperative AL (Odds ratio [OR], 95% confidence interval [CI]:
2.71 [1.31-5.63], P=0.007). Conversion, gender, and clinical N stage incorporated
in the individualized prediction nomogram showed good discrimination, with a C
index of 0.697 (C-index, 0.621 and 0.772 through internal validation), and good
calibration. In the validation cohort, the main results were consistent with the
findings of the primary cohort, with a C-index of 0.670 (C-index, 0.562 and 0.777
through internal validation). Decision curve analysis demonstrated that the
prediction nomogram was clinically useful. CONCLUSION: Conversion during
laparoscopic LAR was found to be associated with an increased risk for the
postoperative AL in RC patients. A nomogram model incorporating conversion,
gender and patient's clinical N stage seems to offers a useful tool for
predicting postoperative AL in these patients.
PMID- 29367045
TI - Suprapatellar versus infrapatellar approach for tibia intramedullary nailing: A
meta-analysis.
AB - OBJECTIVE: This meta-analysis was performed to determine the efficacy of
suprapatellar versus infrapatellar approach for tibia intramedullary nailing
(IMN). METHODS: A systematic search was performed in PubMed, Embase, Cochrane
library, CNKI and Wanfang. Cochrane collaboration's tool and the Newcastle-Ottawa
scale were used to evaluate literature qualities. Meta-analysis was performed
using RevMan 5.3 software. RESULTS: Eight studies were eligible, including two
randomized controlled trials (RCTs) and six retrospective cohort trials. There
were no significant differences between suprapatellar and infrapatellar
approaches in operation time, coronal plane alignment, and incidence of
postoperative deep infection, nonunion and secondary operation. However,
suprapatellar nailing achieved a significant shorter fluoroscopy time, less VAS
pain score, better sagittal plane alignment and lower incidence of angular
malalignment. Though pooled results indicated no significant difference in terms
of final follow-up knee functional score, the RCT subgroup analysis showed that a
higher knee functional score existed in suprapatellar group. CONCLUSIONS: For
tibia IMN, suprapatellar approach might be superior to infrapatellar approach
with shorter fluoroscopy time, less knee pain, better knee function recovery, and
more accurate fracture reduction. Meanwhile, no increased risk of postoperative
complications was identified. More RCTs are required for further research.
PMID- 29367044
TI - Trauma patients with lower extremity and pelvic fractures: Should anti-factor Xa
trough level guide prophylactic enoxaparin dose?
AB - BACKGROUND: Adequate venous thromboembolism (VTE) prophylaxis is essential after
trauma, especially in patients with lower extremity and/or pelvic fractures. We
sought to investigate if prophylactic enoxaparin dosed by anti-Xa trough levels
could reduce clinically evident VTE in trauma patients with lower extremity or
pelvic injury. METHODS: Prospective data was collected on trauma patients
admitted for at least two days with any lower extremity and/or pelvic fracture
and who received enoxaparin for VTE prophylaxis between October 2013 and January
2016. Patients in the control cohort received enoxaparin at 30 mg twice daily.
Patients in the adjustment cohort had anti-Xa trough levels measured after three
or more consecutive doses of enoxaparin. Those with a trough level of 0.1 IU/mL
or lower had their dosage increased by 10-mg increments. RESULTS: Of the 159
patients included, 58 (36.5%) were monitored with anti-Xa trough levels. The
cohorts were similar in age, sex, regional AIS, ISS score, ICU and hospital
length of stay, proportion of patients with diagnostic testing for VTE, and time
to first enoxaparin dose. Initial enoxaparin dosing in the majority of patients
(84.5%) who had anti-Xa trough levels measured was subprophylactic. Patients
receiving enoxaparin dosed by anti-Xa trough level had a significantly lower VTE
rate than those who did not (1.7% v. 13.9%, p = 0.03). CONCLUSIONS: Prophylactic
enoxaparin adjusted by anti-factor Xa level may lead to a decreased rate of
clinically evident VTE among trauma patients with lower extremity and/or pelvic
fractures. Our findings indicate that the initial dose of enoxaparin was
frequently too low.
PMID- 29367046
TI - The effect of preoperative training on functional recovery in patients undergoing
total knee arthroplasty: A systematic review and meta-analysis.
AB - OBJECTIVE: A meta-analysis to evaluate the efficacy of preoperative training on
functional recovery in patients undergoing total knee arthroplasty. METHOD:
Randomized controlled trials (RCTs) about relevant studies were searched from
PubMed (1996-2017.4), Embase (1980-2017.4), and the Cochrane Library (CENTRAL
2017.4). Nine studies which evaluated the effect of preoperative training on
functional recovery in patients undergoing TKA were included in our meta
analysis. Meta-analysis results were collected and analyzed by Review Manager 5.3
(Copenhagen: The Nordic Cochrane Center the Collaboration 2014). RESULTS: Nine
studies containing 777 patients meet the inclusion criteria. Our pooled data
analysis indicated that preoperative training was as effective as the control
group in terms of visual analogue scale(VAS) score at ascend stairs (P = 0.41)
and descend stars (P = 0.80), rang of motion (ROM) of flexion (P = 0.86) and
extension (P = 0.60), short form 36 (SF-36) of physical function score (P = 0.07)
and bodily pain score (P = 0.39), western Ontario and Macmaster universities
osteoarthritis index (WOMAC) function score (P = 0.10), and time up and go (P =
0.28). While differences were found in length of stay (P < 0.05). CONCLUSIONS:
Our meta-analysis demonstrated that preoperative training have the similar
efficacy on functional recovery in patients following total knee arthroplasty
compared with control group. However, high quality studies with more patients
were needed in future.
PMID- 29367047
TI - Iron-based subsurface arsenic removal technologies by aeration: A review of the
current state and future prospects.
AB - Arsenic contamination in groundwater is a critical issue and one that raises
great concern around the world as the cause of many negative health impacts on
the human body, including internal and external cancers. There are many ways to
remove or immobilize arsenic, including membrane technologies, adsorption, sand
filtration, ion exchange, and capacitive deionization. These exhibit many
different advantages and disadvantages. Among these methods, in-situ subsurface
arsenic immobilization by aeration and the subsequent removal of arsenic from the
aqueous phase has shown to be very a promising, convenient technology with high
treatment efficiency. In contrast to most of other As remediation technologies,
in-situ subsurface immobilization offers the advantage of negligible waste
production and hence has the potential of being a sustainable treatment option.
This paper reviews the application of subsurface arsenic removal (SAR)
technologies as well as current modeling approaches. Unlike subsurface iron
removal (SIR), which has proven to be technically feasible in a variety of
hydrogeochemical settings for many years, SAR is not yet an established solution
since it shows vulnerability to diverse geochemical conditions such as pH, Fe:As
ratio, and the presence of co-ions. In some situations, this makes it difficult
to comply with the stringent guideline value for drinking water recommended by
the WHO (10 MUg L-1). In order to overcome its limitations, more theoretical and
experimental studies are needed to show long-term application achievements and
help the development of SAR processes into state-of-the-art technology.
PMID- 29367048
TI - Comparison of Alcian blue and total carbohydrate assays for quantitation of
transparent exopolymer particles (TEP) in biofouling studies.
AB - Transparent exopolymer particles (TEP) and their precursors are gel-like acidic
polysaccharide particles. Both TEP precursors and TEP have been identified as
causal factors in fouling of desalination and water treatment systems. For
comparison between studies, it is important to accurately measure the amount and
fouling capacity of both components. However, the accuracy and recovery of the
currently used Alcian blue based TEP measurement of different surrogates and
different size fractions are not well understood. In this study, we compared
Alcian blue based TEP measurements with a total carbohydrate assay method. Three
surrogates; xanthan gum, pectin and alginic acid; were evaluated at different
salinities. Total carbohydrate concentrations of particulates (>0.4 MUm) and
their precursors (<0.4 MUm, >10 kDa) varied depending on water salinity and
method of recovery. As xanthan gum is the most frequently used surrogate in
fouling studies, TEP concentration is expressed as xanthan gum equivalents (mg
XGeq/L) in this study. At a salinity of 35 mg/L sea salt, total carbohydrate
assays showed a much higher particulate TEP fraction for alginic acid (38%)
compared to xanthan gum (9%) and pectin (12%). The concentrations of particulate
TEP therefore may only represent ~10% of the total mass; while precursor TEP
represents ~80% of the total TEP. This highlights the importance of reporting
both particulate and precursor TEP for membrane biofouling studies. The
calculated concentrations of TEP and their precursors in seawater samples are
also highly dependent on type of surrogate and resulting calibration factor. A
linear correlation between TEP recovery and calibration factor was demonstrated
in this study for all three surrogates. The relative importance and accuracy of
measurement method, particulate size, surrogate type, and recovery are described
in detail in this study.
PMID- 29367049
TI - Degradation of organic pollutants by Vacuum-Ultraviolet (VUV): Kinetic model and
efficiency.
AB - Vacuum-Ultraviolet (VUV), an efficient and green method to produce hydroxyl
radical (*OH), is effective in degrading numerous organic contaminants in aqueous
solution. Here, we proposed an effective and simple kinetic model to describe the
degradation of organic pollutants in VUV system, by taking the *OH scavenging
effects of formed organic intermediates as co-existing organic matter in whole.
Using benzoic acid (BA) as a *OH probe, *OH was regarded vital for pollutant
degradation in VUV system, and the thus developed model successfully predicted
its degradation kinetics under different conditions. Effects of typical
influencing factors such as BA concentrations and UV intensity were investigated
quantitatively by the model. Temperature was found to be an important influencing
factor in the VUV system, and the quantum yield of *OH showed a positive linear
dependence on temperature. Impacts of humic acid (HA), alkalinity, chloride, and
water matrices (realistic waters) on the oxidation efficiency were also examined.
BA degradation was significantly inhibited by HA due to its scavenging of *OH,
but was influenced much less by the alkalinity and chloride; high oxidation
efficiency was still obtained in the realistic water. The degradation kinetics of
three other typical micropollutants including bisphenol A (BPA), nitrobenzene
(NB) and dimethyl phthalate (DMP), and the mixture of co-existing BA, BPA and DMP
were further studied, and the developed model predicted the experimental data
well, especially in realistic water. It is expected that this study will provide
an effective approach to predict the degradation of organic micropollutants by
the promising VUV system, and broaden the application of VUV system in water
treatment.
PMID- 29367050
TI - The fate of antibiotic resistance genes and their potential hosts during bio
electrochemical treatment of high-salinity pharmaceutical wastewater.
AB - Pharmaceutical wastewaters containing antibiotics and high salinity can damage
traditional biological treatment and result in the proliferation of antibiotic
resistance genes (ARGs). Bioelectrochemical system (BES) is a promising approach
for treating pharmaceutical wastewater. However, the fate of ARGs in BES and
their correlations with microbial communities and horizontal genes transfer are
unknown. In this study, we investigated the response of ARGs to bio
electrochemical treatment of chloramphenicol wastewater and their potential hosts
under different salinities. Three ARGs encoding efflux pump (cmlA, floR and
tetC), one class 1 integron integrase encoding gene (intI1), and sul1 gene
(associate with intI1) were analyzed. Correlation analysis between microbial
community and ARGs revealed that the abundances of potential hosts of ARGs were
strongly affected by salinity, which further determined the alteration in ARGs
abundances under different salinities. There were no significant correlations
between ARGs and intI1, indicating that horizontal gene transfer was not related
to the important changes in ARGs. Moreover, the chloramphenicol removal
efficiency was enhanced under a moderate salinity, attributed to the altered
microbial community driven by salinity. Therefore, microbial community shift is
the major factor for the changes of ARGs and chloramphenicol removal efficiency
in BES under different salinities. This study provides new insights on the
mechanisms underlying the alteration of ARGs in BES treating high-salinity
pharmaceutical wastewater.
PMID- 29367051
TI - Ecological patterns and adaptability of bacterial communities in alkaline copper
mine drainage.
AB - Environmental gradient have strong effects on community assembly processes. In
order to reveal the effects of alkaline mine drainage (AlkMD) on bacterial and
denitrifying bacterial community compositions and diversity in tailings
reservoir, here we conducted an experiment to examine all and core bacterial taxa
and denitrifying functional genes's (nirS, nirK, nosZIota) abundance along a
chemical gradient in tailings water in Shibahe copper tailings in Zhongtiaoshan,
China. Differences in bacterial and denitrifying bacterial community compositions
in different habitats and their relationships with environmental parameters were
analyzed. The results showed that the richness and diversity of bacterial
community in downstream seeping water (SDSW) were the largest, while that in
upstream tailings water (STW1) were the lowest. The diversity and abundance of
bacterial communities tended to increase from STW1 to SDSW. The variation of
bacterial community diversity was significantly related to electroconductibility
(EC), nitrate (NO3-), nitrite (NO2-), total carbon (TC), inorganic carbon (IC)
and sulfate (SO42-), but was not correlated with geographic distance in local
scale. Core taxa from class to genus were all significantly related to NO3- and
NO2-. Core taxa Rhodobacteraceae, Rhodobacter, Acinetobacter and Hydrogenophaga
were typical denitrifying bacteria. The variation trends of these groups were
consistent with the copy number of nirS, nirK and nosZIota, demonstrating their
importance in the process of nitrogen reduction. The copy number of nirK,
nosZIota and nirS/16S rDNA, nirK/16Sr DNA correlated strongly with NO3-, NO2- and
IC, but nirS and nosZI/16SrDNA had no significant correlation with NO3- and NO2-.
The copy numbers of denitrifying functional genes (nirS, nirK and nosZIota) were
negatively correlated with heavy metal plumbum (Pb) and zinc (Zn). It showed that
heavy metal contamination was an important factor affecting the structure of
denitrifying bacterial community in AlkMD. In this study we have identified the
distribution pattern of bacterial community along physiochemical gradients in
alkaline tailings reservoir and displayed the driving force of shaping the
structure of bacterial community. The influence of NO3-, NO2-, IC and heavy metal
Pb and Zn on bacterial community might via their influence on the functional
groups involving nitrogen, carbon and metal metabolisms.
PMID- 29367052
TI - Construction and optimization of pH-sensitive nanoparticle delivery system
containing PLGA and UCCs-2 for targeted treatment of Helicobacter pylori.
AB - The acidic environment of the stomach is a threat to the curative effect of
antimicrobial drugs for the eradication of Helicobacter pylori (H. pylori) in the
infected area. The conventional clinical formulations of antibiotics have low
specificity to H. pylori, which disrupts the normal balance of intestinal
microbiomes. Therefore, oral drug delivery system with better stability at low pH
as well as higher specificity to target H. pylori would provide more effective
strategy to eradicate H. pylori and reduce the side effect of antibiotics. Based
on the construction of UreI-mediated targeted drug delivery system developed by
our group, in this work, using urea-modified UCCs-2 as targeting moiety to the
UreI channel protein which is specifically expressed on H. pylori, pH-sensitive
amoxicillin-loaded AMX-PLGA/UCCs-2 nanoparticles produced by UCCs-2 and PLGA for
targeted treatment of H. pylori infection were established. The nanoparticles
were prepared by double emulsion-solvent evaporation method. To achieve a
promising drug delivery system with favorable pH-sensitive properties, we adopted
an orthogonal design to obtain the optimal formulation. The results showed that
the optimized AMX-PLGA/UCCs-2 nanoparticles were in a favorable pH sensitive
manner and exhibited low cytotoxicity, higher specificity and better anti-H.
pylori efficiency than amoxicillin and non-targeting AMX-PLGA/Cs nanoparticle
both in vitro and in vivo, which can protect the antimicrobial drugs against
acidic environment and deliver them to targeted eradicate H. pylori in the
infected location. The cellular uptake mechanism showed that AMX-PLGA/UCCs-2
nanoparticles are an effective UreI-mediated targeted drug delivery system for
anti-H. pylori treatment, which can also be used as promising nanocarriers for
oral delivery of other therapeutic drugs to targeted treat H. pylori.
PMID- 29367053
TI - Mitigation of membrane biofouling by d-amino acids: Effect of bacterial cell-wall
property and d-amino acid type.
AB - Development of novel approaches for biofouling mitigation is of crucial
importance for membrane-based technologies. d-amino acids (d-AAs) have been
proposed as a potential strategy to mitigate biofouling. However, the effect of
bacterial cell-wall properties and d-AAs type on biofouling mitigation remains
unclear. This study assesses the effect of d-AAs type on membrane biofouling
control, towards Gram positive (G+) and Gram negative (G-) bacteria. Three kinds
of d-AAs were found to inhibit both G+ and G- bacterial attachment in short-term
attachment and dead-end filtration experiments. The existence of d-AAs reduces
extracellular polysaccharides and proteins on the membrane, which may decrease
membrane biofouling. Cross-flow filtration tests further indicated that d-AAs
could effectively reduce membrane biofouling. The permeate flux recovery post
chemical cleaning, improved for both P. aeruginosa and B. subtilis treated with d
AAs. The results obtained from this study enable better understanding of the role
of d-AAs species on bacterial adhesion and biofilm formation. This may provide a
new way to regulate biofilm formation by manipulating the species of d-AAs
membrane systems.
PMID- 29367054
TI - Bacterial proliferation on clay nanotube Pickering emulsions for oil spill
bioremediation.
AB - Halloysites (tubular aluminosilicate) are introduced as inexpensive natural
nanoparticles that form and stabilize oil-water emulsions. Pickering
emulsification can proceed with energies low enough to be afforded by ocean
turbulence and the stability of droplets extends over more than a week. The
oil/water interface is shown to be roughened and bacteria, which are added for
oil degradation, are better attached to such oil droplets than to droplets
without halloysites. The metabolic activity of Alcanivorax borkumensis,
alkanotrophic bacteria widely distributed in marine environments, is enhanced by
halloysite addition. A halloysite-based dispersant system is therefore
environmentally friendly and promising for further optimization. The key elements
of the described formulations are natural clay nanotubes, which are abundantly
available in thousands of tons, thus making this technology scalable for
environmental remediation.
PMID- 29367055
TI - Characterization of the occult nature of frequently occurring pediatric motor
vehicle crash injuries.
AB - BACKGROUND: Occult injuries are those likely to be missed on initial assessment
by first responders and, though initially asymptomatic, they may present suddenly
and lead to rapid patient decompensation. No scoring systems to quantify the
occultness of pediatric injuries have been established. Such a scoring system
will be useful in the creation of an Advanced Automotive Crash Notification
(AACN) system that assists first responders in making triage decisions following
a motor vehicle crash (MVC). STUDY DESIGN: The most frequent MVC injuries were
determined for 0-4, 5-9, 10-14 and 15-18 year olds. For each age-specific injury,
experts with pediatric trauma expertise were asked to rate the likelihood that
the injury may be missed by first responders. An occult score (ranging from 0-1)
was calculated by averaging and normalizing the responses of the experts polled.
RESULTS: Evaluation of all injuries across all age groups demonstrated greater
occult scores for the younger age groups compared to older age groups (mean
occult score 0-4yo: 0.61 +/- 0.23, 5-9yo: 0.53 +/- 0.25, 10-14yo: 0.48 +/- 0.23,
and 15-18yo: 0.42 +/- 0.22, p < 0.01). Body-region specific occult scores
revealed that experts judged abdominal, spine and thoracic injuries to be more
occult than injuries to other body regions. CONCLUSIONS: The occult scores
suggested that injuries are more difficult to detect in younger age groups,
likely given their inability to express symptoms. An AACN algorithm that can
predict the presence of clinically undetectable injuries at the scene can improve
triage of children with these injuries to higher levels of care.
PMID- 29367057
TI - Estrogen receptor alpha- (ERalpha), but not ERbeta-signaling, is crucially
involved in mechanostimulation of bone fracture healing by whole-body vibration.
AB - Mechanostimulation by low-magnitude high frequency vibration (LMHFV) has been
shown to provoke anabolic effects on the intact skeleton in both mice and humans.
However, experimental studies revealed that, during bone fracture healing, the
effect of whole-body vibration is profoundly influenced by the estrogen status.
LMHFV significantly improved fracture healing in ovariectomized (OVX) mice being
estrogen deficient, whereas bone regeneration was significantly reduced in non
OVX, estrogen-competent mice. Furthermore, estrogen receptors alpha (ERalpha) and
beta (ERbeta) were differentially expressed in the fracture callus after whole
body vibration, depending on the estrogen status. Based on these data, we
hypothesized that ERs may mediate vibration-induced effects on fracture healing.
To prove this hypothesis, we investigated the effects of LMHFV on bone healing in
mice lacking ERalpha or ERbeta. To study the influence of the ER ligand estrogen,
both non-OVX and OVX mice were used. All mice received a femur osteotomy
stabilized by an external fixator. Half of the mice were sham-operated or
subjected to OVX 4 weeks before osteotomy. Half of each group received LMHFV with
0.3 g and 45 Hz for 20 min per day, 5 days per week. After 21 days, fracture
healing was evaluated by biomechanical testing, MUCT analysis, histomorphometry
and immunohistochemistry. Absence of ERalpha or ERbeta did not affect fracture
healing in sham-treated mice. Wildtype (WT) and ERbeta-knockout mice similarly
displayed impaired bone regeneration after OVX, whereas ERalpha-knockout mice did
not. Confirming previous data, in WT mice, LMHFV negatively affected bone repair
in non-OVX mice, whereas OVX-induced compromised healing was significantly
improved by vibration. In contrast, vibrated ERalpha-knockout mice did not
display significant differences in fracture healing compared to non-vibrated
animals, both in non-OVX and OVX mice. Fracture healing in ERbeta-knockout mice
was similarly affected by LMHFV as in WT mice. These results suggest that ERalpha
signaling may be crucial for vibration-induced effects on fracture healing,
whereas ERbeta-signaling may play a minor role.
PMID- 29367056
TI - Histamine H4 receptor as a novel therapeutic target for the treatment of Leydig
cell tumours in prepubertal boys.
AB - Leydig-cell tumours (LCTs) are rare endocrine tumours of the testicular
interstitium, with recent increased incidence. Symptoms include precocious
puberty in children; and erectile dysfunction, infertility and/or gynaecomastia,
in adults. So far, scientific evidence points to aromatase (CYP19) overexpression
and excessive oestrogen and insulin-like growth factor (IGF) -1 production as
responsible for Leydig-cell tumourigenesis. LCTs are usually benign; however,
malignant LCTs respond poorly to chemo/radiotherapy, highlighting the need to
identify novel targets for treatment. Herein, we investigated the potential role
of the histamine receptor H4 (HRH4) as a therapeutic target for LCTs using R2C
rat Leydig tumour cells, a well-documented in vitro model for Leydigioma. Also,
we studied for the first time the expression of CYP19, IGF-1R, oestrogen receptor
(ER) alpha, ERbeta, androgen receptor (AR) and HRH4 in human prepubertal LCTs
versus normal prepubertal testes (NPTs). HRH4 agonist treatment inhibited
steroidogenesis and proliferation in R2C cells and also negatively affected their
pro-angiogenic capacity in vitro and in vivo, as assessed by evaluating the
proliferative activity of human umbilical vein endothelial cells and by means of
the quail chorioallantoic membrane assay, respectively. Moreover, E2 and IGF-1
inhibited HRH4 mRNA and protein levels. In human prepubertal LCTs, CYP19, IGF-1R,
ERalpha and ERbeta were overexpressed compared with NPTs. In contrast, HRH4
staining was weak in LCTs, but moderate/strong and confined to the interstitium
in NPTs. Importantly, HRH4 was absent or barely detectable in seminiferous
tubules or germ cells. Overall, our results point to HRH4 as a novel therapeutic
target in LCTs.
PMID- 29367058
TI - Bipolar disorder and tobacco smoking: Categorical and dimensional clinical
correlates in subjects from the Brazilian bipolar research network.
AB - BACKGROUND: People with bipolar disorder (BD) have high rates of smoking.
However, the scientific literature examining the association between clinical
outcomes in BD and tobacco smoking is still limited and there are conflicting
results. The objective of the current study was to comprehensively investigate
associations between BD and tobacco smoking in a large Brazilian sample. METHODS:
This study evaluated 336 outpatients from the Brazilian Bipolar Research Network,
which is a collaboration between three large academic centers in Brazil. MAIN
FINDINGS: Regarding the categorical analysis (i.e. current smokers versus non
smokers), tobacco smokers showed: 1) a higher percentage of individuals
identifying as Non-Caucasians; 2) a longer duration of illness; 3) a longer
duration of untreated illness; 4) more severe manic symptoms; 4) a stronger
family history of mood disorder; and 6) a higher current prevalence of
alcohol/substance use disorder. The dimensional analysis in smokers (i.e. number
of cigarettes per day versus clinical variables) found a positive correlation
between number of cigarettes per day and a) age, b) age at onset of BD, c)
duration of illness, and d) current diagnosis of panic disorder. CONCLUSION: This
study found important clinical correlates of tobacco smoking in BD subjects. We
observed that the variables associated with current smoker status (categorical
approach) are not necessarily correlated with number of cigarettes per day
(dimensional approach). Duration of illness appears to be a particularly relevant
clinical variable in the association between BD and tobacco smoking.
PMID- 29367059
TI - Precuneus-related regional and network functional deficits in social anxiety
disorder: A resting-state functional MRI study.
AB - BACKGROUND: Neuroimaging findings suggest that social anxiety disorder (SAD) may
be correlated with changes in regional- or network-level brain function. However,
few studies have explored alterations in intrinsic resting cerebral function in
patients with SAD at both the regional and network levels, particularly focusing
on the theory of mind (ToM)-related regions. This study was performed to
investigate changes in neural activity and functional connectivity (FC) in ToM
related regions during the resting state in SAD patients and to determine how
these alterations are correlated with the clinical symptoms of SAD. METHODS:
Forty-three SAD patients and 43 matched healthy controls underwent resting-state
functional magnetic resonance imaging (rsfMRI) scans. First, the amplitude of low
frequency fluctuation (ALFF) approach was used to explore regional activity.
Then, the ToM-related region, i.e., the left precuneus, which showed altered ALFF
values, was adopted as a seed for further FC analyses to assess network-level
alterations in SAD. Between-group differences were compared using voxel-based two
sample t-tests (P<0.05, with Gaussian random field correction). Pearson's
correlation analyses were performed to examine relationships between alterations
in ALFF and FC and clinical symptoms. RESULTS: Compared with the healthy
controls, SAD patients showed decreased ALFF in the bilateral putamen (PUT) and
left supplementary motor area (SMA) and increased ALFF in the right inferior
parietal lobule (IPL), left precuneus and right cerebellar posterior lobe.
Moreover, SAD patients exhibited lower connectivity between the left precuneus
and the cerebellar posterior lobe, right inferior temporal gyrus (ITG), right
parahippocampal gyrus (PHG) and left medial prefrontal cortex (mPFC). The altered
ALFF values in the left precuneus and the hypoconnectivity between the left
precuneus and left cerebellar posterior lobe were correlated with the patients'
clinical symptoms (P<0.05). CONCLUSION: The precuneus, a ToM-related region, was
altered at both the regional and network level in patients with SAD. Pathological
fear and avoidance in SAD were correlated with abnormal regional function in the
precuneus, whereas depression and anxiety were primarily correlated with
functional deficits in the precuneus-related network. The altered FC within the
precuneus-cerebellar region may reflect an imbalance in the neuromodulation of
anxiety and depressive symptoms in SAD. These findings may facilitate a greater
understanding of potential SAD neural substrates and could be used to identify
potential targets for further treatment.
PMID- 29367060
TI - Hippocampal shape alterations in healthy young women with familial risk for
unipolar depression.
AB - BACKGROUND: Although reduced hippocampal volume (HCV) is a common finding in
depression, it is unclear whether the structural alterations leading to reduction
of HCV are pre-existing risk factors before the onset of clinical symptoms or a
cumulative process that begins with the onset of clinical symptoms. The aim of
the present study was to understand the anatomical status of the hippocampus
prior to the clinical symptoms in subjects with high familial risk for
depression. METHODS: Twenty-seven young women (mean age: 22.3 +/- 2.1 years) who
were at high risk for familial unipolar depression and 26 age- and gender-matched
healthy controls (mean age: 22.1 +/- 2.1 years) with low familial risk for
depression were included in the study. Total hippocampal volumes were measured by
manual tracing. For 3D shape differences, the spherical harmonic basis functions
(SPHARM) software was used. The segmented images were parameterized, and the
point-to-point based group difference was compared by the Hotelling's T-squared
test with total brain volume and Beck Depression Scale as covariates. RESULTS:
Although there was no difference in overall HCVs, shape analyses revealed a
contracted area on the Cornu Ammonis (CA) 1 region of the right hippocampus head
in the high-risk group compared to the low-risk group. Cross-sectional design and
small sample size, including only females, were the main limitations of this
study. CONCLUSION: This study with shape analyses provided data suggesting that
local structural hippocampal alterations in the CA1 region might be associated
with depression vulnerability in women at high risk.
PMID- 29367061
TI - Hierarchical heterostructures of p-type bismuth oxychloride nanosheets on n-type
zinc ferrite electrospun nanofibers with enhanced visible-light photocatalytic
activities and magnetic separation properties.
AB - P-type bismuth oxychloride (p-BiOCl) nanosheets were uniformly grown on n-type
zinc ferrite (n-ZnFe2O4) electrospun nanofibers via a solvothermal technique to
form hierarchical heterostructures of p-BiOCl/n-ZnFe2O4 (p-BiOCl/n-ZnFe2O4 H-Hs).
The density and loading amounts of the BiOCl nanosheets with exposed {0 0 1}
facets were easily controlled by adjusting the reactant concentration in the
solvothermal process. The p-BiOCl/n-ZnFe2O4 H-Hs exhibited enhanced visible-light
photocatalytic activities for the degradation of Rhodamine B (RhB). The apparent
first-order rate of the p-BiOCl/n-ZnFe2O4 H-Hs and its normalized constant were
about 12.6- and 8-fold higher than pure ZnFe2O4 nanofibers. This suggests that
both the improved charge separation efficiency from the uniform p-n
heterojunctions and the enlarged active surface sites from the hierarchical
structures increase the photocatalytic performances. Furthermore, the p-BiOCl/n
ZnFe2O4 H-Hs could be efficiently separated from the solution with an external
magnetic field via the ferromagnetic behavior of ZnFe2O4 nanofibers. The magnetic
p-BiOCl/n-ZnFe2O4 H-Hs with enhanced visible-light photocatalytic performances
might have potential applications in water treatment.
PMID- 29367062
TI - A facile route for the syntheses of Ni(OH)2 and NiO nanostructures as potential
candidates for non-enzymatic glucose sensor.
AB - In modern world, diabetes is one of the most leading diseases that cause human
death and disability. Continuous effort to control and manage diabetes by acute
monitoring of the blood glucose concentration requires the development of an
efficient and reliable glucose sensing device. Although both enzymatic and enzyme
free methods of glucose detection are available in the market, non-enzymatic
biosensors are more significant due to certain drawbacks in enzyme based sensors.
In this article, a stable non-enzymatic sensing platform for d-glucose based on
nickel hydroxide and nickel oxide nanomaterials has been described. Ni(OH)2 and
NiO were synthesized through a facile hydrothermal route followed by the heat
treatment. Detailed morphological and structural characterizations were carried
out using GIXRD, transmission and scanning electron microscopy) (TEM and FESEM)
which reveal that hexagonal beta-Ni(OH)2 and cubic NiO phases have been formed.
TEM image of NiO has shown that the nanomaterials consist of ca. 10 nm sized rod
like pattern distributed uniformly. Both Ni(OH)2 and NiO have shown excellent
electrocatalytic activity towards enzyme-free glucose sensing under ambient
condition. Glucose sensing investigation based on amperometric i-t curve
performed in 0.2 M NaOH medium shows a good sensitivity of 12.09 uA mM-1 cm-2 and
24.0 uA mM-1 cm-2, respectively for Ni(OH)2 and NiO nanostructures. Interference
test was done using reagents like ascorbic acid, uric acid and dopamine to
confirm the selectivity of nickel hydroxide and oxide nanomaterials towards
glucose analyte. So, the proposed Ni(OH)2 and NiO based electrodes can be used as
a cost-effective, stable and non-air sensitive platform for non-enzymatic glucose
detection.
PMID- 29367063
TI - Interaction of NaOH solutions with silica surfaces.
AB - HYPOTHESIS: Sodium adsorption on silica surfaces depends on the solution counter
ion. Here, we use NaOH solutions to investigate basic environments. SIMULATIONS:
Sodium adsorption on hydroxylated silica surfaces from NaOH solutions were
investigated through molecular dynamics with a dissociative force field, allowing
for the development of secondary molecular species. FINDINGS: Across the NaOH
concentrations (0.01 M - 1.0 M), ~50% of the Na+ ions were concentrated in the
surface region, developing silica surface charges between - 0.01 C/m2 (0.01 M
NaOH) and - 0.76 C/m2 (1.0 M NaOH) due to surface site deprotonation. Five inner
sphere adsorption complexes were identified, including monodentate, bidentate,
and tridentate configurations and two additional structures, with Na+ ions
coordinated by bridging oxygen and hydroxyl groups or water molecules.
Coordination of Na+ ions by bridging oxygen atoms indicates partial or complete
incorporation of Na+ ions into the silica surface. Residence time analysis
identified that Na+ ions coordinated by bridging oxygen atoms stayed adsorbed
onto the surface four times longer than the mono/bi/tridentate species,
indicating formation of relatively stable and persistent Na+ ion adsorption
structures. Such inner-sphere complexes form only at NaOH concentrations of > 0.5
M. Na+ adsorption and lifetimes have implications for the stability of silica
surfaces.
PMID- 29367064
TI - A facile strategy for the synthesis of ferroferric oxide/titanium
dioxide/molybdenum disulfide heterostructures as a magnetically separable
photocatalyst under visible-light.
AB - Semiconductor photocatalysts is a promising approach to combat both environmental
pollution and global energy shortage despite the challenges of recycling and
stability. In this paper, magnetic Fe3O4 particle is introduced in the system and
Fe3O4/TiO2/MoS2 heterostructures can be formed in a facile strategy. The
morphology and structure of Fe3O4/TiO2/MoS2 can be controlled by adjusting the
hydrolysis rate of the titanium source. MoS2 is designed to fill in the
mesoporous of TiO2 core, forming heterojunction on the surface and near-surface
of TiO2 under solvothermal conditions. With respect to the decomposition of a
rhodamine B (RhB) solution under visible light, the Fe3O4/TiO2/MoS2
heterostructures display highly photocatalytic activities in aqueous solutions,
and they can be easily recovered to realize cyclic utilization by applying an
external magnetic field. Thus, the effective magnetic recycle of the catalyst is
achieved, and high visible light catalytic activity is ensured simultaneously.
Since the current method is simple and flexible to create recyclable catalysts
with high stability in this way, it could promote the practicability of
semiconductor photocatalysts in water treatment, degradation of dye pollutants,
and environmental cleaning.
PMID- 29367065
TI - Mesoporous tungsten oxide modified by nanolayered manganese-calcium oxide as
robust photoanode for solar water splitting.
AB - Described herein is the synthesis, characterization and photoelectrochemical
behavior of a novel composite consisting of nanolayered manganese-calcium oxide
(MCO) and mesoporous tungsten trioxide (WO3). The samples were characterized by
transmission electron microscopy (TEM), and X-ray diffraction (XRD). The results
demonstrated that superior interfacial contacts had been formed between WO3 and
MCO. UV-vis diffuse reflectance spectroscopy (DRS), photoelectrochemical
characterization, and incident photon-to-current efficiency (IPCE) revealed an
enhanced light harvesting and effective electron-hole separation. A
photoelectrochemical (PEC) cell composed of the n-type MCO/WO3 as a photoanode
and platinum sheet as a counter electrode was assembled to estimate the
feasibility for overall water splitting under a solar simulator illumination. The
photocatalytic hydrogen and oxygen production from the photochemical cell with
optimized photocatalyst (MCO/WO3-9) under 2 h simulated solar light irradiation
was 1.9 MUmol and 0.7 MUmol, respectively, at low extra bias (0.90 V vs. RHE).
Our investigation suggests that coupling MCO with n-type semiconductor WO3 as
photoanode is a promising method to improve the activity of overall water
splitting to generate oxygen and hydrogen.
PMID- 29367066
TI - Effect of ionic strength and salt identity on poly(N-isopropylacrylamide) brush
modified colloidal silica particles.
AB - HYPOTHESIS: The thermoresponse of poly(N-isopropylacrylamide) stabilised
particles is influenced by the presence of salt and is dependent on the
concentration, and ions present. The conformation and electrophoretic mobility of
core/shell PNIPAM brush modified silica particles is expected to vary as a
function of these specific ion effects. EXPERIMENTS: The thermoresponse of PNIPAM
brush modified silica particles was investigated via dynamic light scattering and
electrophoretic mobility measurements between 5 and 45 degrees C in the presence
of 11 different salt solutions. FINDINGS: Specific ion effects were observed in
the presence of salt solutions for concentrations between 50 and 1000 mM. The
temperature response could be mapped to a master curve unlike PNIPAM brush
behaviour on planar substrates. The magnitude of brush layer lower critical
solution temperature reduction was found to follow the order F- > CH3CO2- > Cl- >
NO3- ~ Br- > I- > SCN- for the potassium series and Na+ > K+ > Cs+ > Li+ ~ NH4+
for the chloride salts. The electrophoretic mobility of the modified particles in
the presence of 100 mM potassium salts increased in magnitude as the brush layer
collapsed and also with the chaotropic nature of the anion.
PMID- 29367067
TI - Investigating the impact of sugar-based surfactants structure on surface tension
at critical micelle concentration with structure-property relationships.
AB - HYPOTHESIS: Surface tension of aqueous solutions of surfactants at their critical
micelle concentrations (gammaCMC), may be quantitatively linked to the surfactant
structure using Quantitative Structure Property Relationships (QSPR), all other
factors held equal (temperature, presence of additive or salts). Thus, QSPR
models can allow improved understanding and quantification of structure-gammaCMC
trends, direct gammaCMC predictions, and finally help to design renewable
substitutes for petroleum-based surfactants. EXPERIMENTS AND METHODS: A dataset
of 70 gammaCMC of single surfactants at ambient temperature has been gathered
from several research papers. Then, descriptors of the whole structure, of polar
heads and of alkyl chains of the 70 surfactants were calculated and introduced in
multilinear regressions to evidence the most predictive and physically meaningful
structure property relationships. FINDINGS: The best model, based on quantum
chemical descriptors, achieved a standard error of 2.4 mN/m on an external
validation. Simpler models were also achieved based solely on the count of H
atoms of the polar head but with prediction error of 2.9 mN/m. Among all
identified factors affecting gammaCMC of sugar-based surfactants (polar head
size, alkyl chain length and branching), polar head size was found to exhibit the
only effect clearly taken into account by all the models.
PMID- 29367068
TI - Characterization of EDTA-cross-linked beta-cyclodextrin grafted onto Fe-Al
hydroxides as an efficient adsorbent for methylene blue.
AB - The synthesis process of Fe-Al hydroxides coated with ethylenediaminetetraacetic
acid (EDTA)-cross-linked beta-cyclodextrin polymer (FA-ECD) and its utilization
in the adsorption of methylene blue (MB) were investigated. The FA-ECD before and
after adsorption was characterized by scanning electron microscopy (SEM),
Brunauer-Emmet-Teller (BET) analysis, Fourier-transform infrared (FTIR)
spectroscopy, thermogravimetric (TG) analysis, and X-ray diffraction (XRD). In
addition, the EDTA and beta-cyclodextrin contents were determined quantitatively.
The optimization of several variables such as contact time, pH, initial
concentration, and adsorbent dosage achieved the maximum removal percentages in
mild conditions. The results revealed that the adsorption process mainly depended
on the pH value and the optimal adsorption capacity of the MB was 60.71 mg/g at
pH 8. Subsequently, the experimental equilibrium data at different temperatures
were fitted with the Langmuir, Freundlich, and Dubinin-Radushkevich (D-R)
isotherm models; the Freundlich model provided the best results. In addition, the
pseudo-second-order kinetic model best described the adsorption of the MB. The
thermodynamic analysis proved that the adsorption process was endothermic and
spontaneous.
PMID- 29367069
TI - Significance of Liquid Biopsy for Monitoring and Therapy Decision of Colorectal
Cancer.
AB - PURPOSE: Despite therapeutic improvements, all patients with nonresectable
metastatic colorectal cancer (mCRC) acquire resistance to treatment probably due
to the growth of mutated clones. In contrast to tissue-based studies, liquid
biopsies have enabled the opportunity to reveal emerging resistance to treatment
by detecting mutated clones and noninvasively monitoring clonal dynamics during
therapy. METHODS: The courses of three patients with mCRC who were initially RAS
wild-type were monitored longitudinally using liquid biopsy with long-term follow
up of up to 20 sequential samples. Detection of fragmented RAS mutated
circulating cell-free DNA (cf)DNA in plasma was performed by BEAMing. In
addition, plasma digital droplet PCR was used to detect and quantify BRAF and
PIK3CA mutated cfDNA. Changes of mutational load were correlated with imaging
data. RESULTS: A combination of liquid biopsy and radiological imaging enabled
visualization of the occurrence of clonal redistribution after discontinuation of
anti-EGFR mAb therapy, as well as emerging RAS mutations during therapy with anti
EGFR mAb indicating resistance. Furthermore, we found that growth of RAS mutated
clones is independent of direct selective pressure by anti-EGFR therapy, which is
a significant and new finding of this study. CONCLUSIONS: Our findings
demonstrated the whole spectrum of clonal selection and redistribution of mutated
cell clones leading to acquired resistance. Given our observation that the growth
of RAS mutated clones can evolve even in the absence of anti-EGFR mAb therapy,
there is a clear imperative to monitor RAS mutations in serial blood draws in all
RAS wild-type patients in general and independent of the therapy.
PMID- 29367070
TI - Circulating Exosomal miR-17-5p and miR-92a-3p Predict Pathologic Stage and Grade
of Colorectal Cancer.
AB - : Exosomes are extracellular membrane vesicles of 50- to 130-nm diameter secreted
by most tumor cells. Exosomes can mediate the intercellular transfer of proteins
and RNAs, including microRNAs (miRNAs), and promote both tumorigenesis and
premetastatic niche formation. In this study, we performed exosomal RNA
sequencing to identify candidate exosomal miRNAs that could be associated with
colorectal cancer (CRC) and its distant metastasis. The expression profiles of
exosomal miRNA, as secreted by isogenic human primary CRC cell line SW480 and
highly metastatic cell line SW620, were analyzed and the potential targets
related to tumorigenesis and metastatic progression were investigated. We found
that 25 miRNAs had been up-regulated and 5 miRNAs had been down-regulated in
exosomes purified from SW620 culture supernatant. Candidate miRNAs were further
evaluated for CRC diagnosis using quantitative real-time polymerase chain
reaction in CRC patients. Higher expression levels of circulating exosomal miR-17
5p and miR-92a-3p were significantly associated with pathologic stages and grades
of the CRC patients. CONCLUSIONS: Circulating exosomal miR-17-5p and miR-92a-3p
may provide a promising noninvasive prognostic biomarker for primary and
metastatic CRC.
PMID- 29367071
TI - Joint effects of nine antidepressants on Raphidocelis subcapitata and Skeletonema
marinoi: A matter of amine functional groups.
AB - Antidepressants are among the most prescribed pharmaceuticals throughout the
world. Their presence has already been detected in several aquatic ecosystems
worldwide and their effects on non-target organisms justify the growing concern
of both the public and regulatory authorities. These emerging pollutants do not
occur as isolated compounds but rather as multi-component mixtures, which may
lead to increased adverse effects compared to individual compounds. Freshwater
and marine algae seem particularly sensitive to pharmaceuticals, including
antidepressants. Studies assessing the toxicity of antidepressant mixture to
algae focused mainly on binary mixtures of selective serotonin reuptake
inhibitors. In the present experiment, the freshwater algae Raphidocelis
subcapitata (formerly known as Pseudokirchneriella subcapitata) and the marine
diatom Skeletonema marinoi were exposed to equitoxic mixtures of 9
antidepressants (fluvoxamine, fluoxetine, sertraline, duloxetine, venlafaxine,
clomipramine, amitriptyline, and citalopram) at different concentrations. The
growth inhibition was measured. Results showed that the toxicity of this mixture
was higher than the effects of each individual component, highlighting simple
additivity or synergistic effects, whereas tested concentrations were below the
10% inhibition concentration (IC10) of each compound. Moreover, the QSAR analysis
highlighted that antidepressants would act through narcosis (non-specific mode of
action) towards the two species of algae. However, more specific effects can be
observed by differentiating compounds with a primary/secondary amine from those
with a tertiary amine. These mixture effects on algal species have to be
assessed, especially since any impacts on phytoplankton could ultimately impact
higher trophic levels (less food, secondary poisoning).
PMID- 29367072
TI - The effects of elevated environmental CO2 on nitrite uptake in the air-breathing
clown knifefish, Chitala ornata.
AB - Nitrite and carbon dioxide are common environmental contaminants in the intensive
aquaculture ponds used to farm clown knifefish (Chitala ornata) in the Mekong
delta, Vietnam. Here we tested the hypothesis that hypercapnia reduces nitrite
uptake across the gills, because pH regulation will reduce chloride uptake and
hence nitrite uptake as the two ions compete for the same transport route via the
branchial HCO3-/Cl- exchanger. Fish fitted with arterial catheters were exposed
to normocapnic/normoxic water (control), nitrite (1 mM), hypercapnia (21 mmHg
CO2), or combined hypercapnia (acclimated hypercapnia) and nitrite for 96 h.
Blood was sampled to measure acid-base status, haemoglobin derivatives and plasma
ions. Plasma nitrite increased for 48 h, but levels stayed below the exposure
concentration, and subsequently decreased as a result of nitrite detoxification
to nitrate. The total uptake of nitrite (evaluated as [NO2-] + [NO3-]) was
significantly decreased in hypercapnia, in accordance with the hypothesis.
Methemoglobin and nitrosylhemoglobin levels were similarly lower during
hypercapnic compared to normocapnic nitrite exposure. The respiratory acidosis
induced by hypercapnia was half-compensated by bicarbonate accumulation in 96 h,
which was mainly chloride-mediated (i.e. reduced Cl- influx via the branchial
HCO3-/Cl- exchanger). Plasma osmolality and main ions (Na+, Cl-) were
significantly decreased by hypercapnia and by nitrite exposure, consistent with
inhibition of active transport. We conclude that hypercapnia induces a long
lasting, and mainly chloride-mediated acid-base regulation that reduces the
uptake of nitrite across the gills.
PMID- 29367073
TI - "This body is me": Discovering the ways in which the body is salient in people's
identities.
AB - Although previous research has revealed associations between negative body image
and identity problems, there are sound theoretical reasons to assume much greater
diversity in relations between body image and identity. The aim of the present
qualitative study was to explore the ways people find the body salient to their
identities. Young adults (N=121; 51% women) were interviewed, and four main
themes were found: identification with the body, body functionality in identity
relevant tasks, appearance and identity in social interactions, and identity
relevant bodily engagement. Both positive and negative ways in which the body is
salient in identity were described and descriptions included functionality,
embodied experiences, and social environments. Gender differences were not found
with one exception: more women than men described experiences of identifying with
their bodies. These novel results have implications for the understanding of the
interconnection between body image and identity and may open avenues for
continued research.
PMID- 29367074
TI - Self-objectification, weight bias internalization, and binge eating in young
women: Testing a mediational model.
AB - Self-objectification and weight bias internalization are two internalization
processes that are positively correlated with binge eating among young women.
However, the mechanisms underlying these relationships are understudied.
Consistent with objectification theory, this study examined appearance anxiety
and body shame as mediators between self-objectification, weight bias
internalization and binge eating. Female undergraduates (N=102) completed self
report measures of self-objectification, weight bias internalization, appearance
anxiety, body shame, and binge eating. Results indicated that women who self
objectified and internalized negative weight-related attitudes reported greater
binge eating (rs=.43 and rs=.57, respectively) and these associations were
mediated by the combined effects of body shame and appearance anxiety. The
contrast between the two mediators was also significant, such that body shame
emerged as a stronger mediator within both mediational models. Results
demonstrated that these internalization processes contribute to negative affect
in young women, which may in turn lead to binge eating.
PMID- 29367075
TI - The effect of functionality-focused and appearance-focused images of models of
mixed body sizes on women's state-oriented body appreciation.
AB - Research demonstrates that exposure to appearance-focused images of models
depicting societal standards of beauty negatively affect women's state-oriented
body dissatisfaction. The purpose of this research was to extend this
experimental research to women's state-oriented body appreciation. The 374 women
participants were randomly assigned to view images that were either depicting a
model who was representative or not representative of the thin ideal (body size),
while this model was in either an appearance-focused pose or a function-oriented
pose (pose type). State body appreciation increased significantly after viewing
images depicting models who did not conform to societal standards of thinness
(p<0.001). Exposure to the control condition images, which were images of natural
environments, also produced increases in state body appreciation (p=0.049). These
findings provide insight into the construct of state body appreciation and offer
implications for future positive body image research.
PMID- 29367076
TI - Angiotensin peptides in the non-gravid uterus: Paracrine actions beyond
circulation.
AB - The renin-angiotensin system (RAS) involves a complex network of precursors,
peptides, enzymes and receptors comprising a systemic (endocrine) and a local
(paracrine/autocrine) system. The local RAS plays important roles in tissue
modulation and may operate independently of or in close interaction with the
circulatory RAS, acting in a complementary fashion. Angiotensin (Ang) II, its
receptor AT1 and Ang-(1-7) expression in the endometrium vary with menstrual
cycle, and stromal cell decidualization in vitro is accompanied by local
synthesis of angiotensinogen and prorenin. Mas receptor is unlikely to undergo
marked changes accompanying the cyclic ovarian steroid hormone fluctuations.
Studies investigating the functional relevance of the RAS in the non-gravid
uterus show a number of paracrine effects beyond circulation and suggest that RAS
peptides may be involved in the pathophysiology of proliferative and fibrotic
diseases. Endometrial cancer is associated with increased expression of Ang II,
Ang-converting enzyme 1 and AT1 in the tumoral tissue compared to neighboring non
neoplastic endometrium, and also with a gene polymorphism that enhances AT1
signal. Ang II induces human endometrial cells to transdifferentiate into cells
with myofibroblast phenotype and to synthetize extracellular matrix components
that might contribute to endometrial fibrosis. Altogether, these findings point
to a fully operating RAS within the uterus, but since many concepts rely on
preliminary evidence further studies are needed to clarify the role of the local
RAS in uterine physiology and pathophysiology.
PMID- 29367077
TI - Synthesis, anticancer assessment on human breast, liver and colon carcinoma cell
lines and molecular modeling study using novel pyrazolo[4,3-c]pyridine
derivatives.
AB - The key intermediate 3-aminopyrazolo[4,3-c]pyridine-4,6-dione (2) is considered
as a precursor for some novel pyrazolo[4,3-c]pyridines 4a-c,
arylhydrazopyrazolo[4,3-c]pyridines 8a-e, pyrazolo[4,5,1-ij][1,6]naphthyridines
11a-e and pyrido[4',3':3,4]pyrazolo[1,5-a]-pyrimidines 15a-d through Knovenegal
condensation, coupling reaction and Michael addition. Some of the newly
synthesized pyrazolo[4,3-c]pyridine derivatives were investigated for anticancer
activity. The results of the cytotoxic activity revealed that compound 6b was the
most active compound against the breast and liver carcinoma cell lines which
gives IC50 values of 1.937 and 3.695 ug/mL, respectively compared to reference
drug (doxorubicin) with IC50 values of 2.527 and 4.749 ug/ml, respectively.
Moreover, compound 6c was potent compound against the colon carcinoma cell line
which gives the value of IC50 = 2.914 ug/ml compared to doxorubicin with IC50
value of 3.641 ug/ml. Some selected of the novel synthesized compounds were
docked inside the active site of ERK2 enzyme and were found display a suitable
binding with the active site amino acids according to their bond lengths, angles
and conformational energy.
PMID- 29367078
TI - Influence of the d/l configuration of N-acyl-homoserine lactones (AHLs) and
analogues on their Lux-R dependent quorum sensing activity.
AB - Whereas l-3-oxo-hexanoyl homoserine lactone (OHHL) is the active enantiomer of
the of LuxR-regulated quorum sensing (QS) autoinducer, its d isomer is implicitly
considered as inactive. The present work aims to clarify this l-specificity and
investigate whether it extends to some analogues in the acyl homoserine lactone
(AHL) family. For this purpose, OHHL and a series of AHL analogs were synthesized
in racemic and enantiomerically pure d and l forms and their ability to induce or
attenuate bioluminescence in the LuxR-dependent QS system was evaluated. In this
study, l-isomers are confirmed as either the only, or as the most active,
enantiomers. However, in several cases, especially for the natural ligand of LuxR
(OHHL) and the very similar AHL agonist analogue 2, the d-isomer cannot be
considered as totally inactive on QS. Molecular modelling suggests that when the
lactone moiety of the d-isomer is able to twist, enabling the lactone carbonyl
group and the amide function to interact with the key residues in the binding
site, then the d-isomer can exhibit some activity.
PMID- 29367079
TI - Synthesis and structure-activity relationship study of multi-target triazine
derivatives as innovative candidates for treatment of Alzheimer's disease.
AB - The complex pathogenesis of Alzheimer's disease (AD) requires using multi-target
ligands (MTLs) for disease management. We synthesized, characterized and
evaluated a series of novel triazine analogues as MTLs for AD. The biological
screening results indicated that most of our compounds displayed potent
inhibitory activities against beta-site APP-cleaving enzyme 1 (BACE1) using a
FRET-based assay. Compounds 6c and 6m were found to possess significant BACE1
inhibitory properties with IC50 values of 0.91 (+/-0.25) uM and 0.69 (+/-0.20)
uM, respectively. DPPH radical scavenging activity evaluation showed that
compounds with hydroxyl and pyrrole moieties had antioxidant effects. Docking
evaluations provided insight into enzyme inhibitory interactions of novel
synthesized compounds with the BACE1 active site involving a critical role for
Gln73 and/or Phe108 alongside of Asp32. Metal chelation tests confirmed that
compound 6m is a chelator for Fe2+, Fe3+, Zn2+, Cu2+. Moreover 6m as the most
potent BACE1 inhibitor did not show any toxicity against PC12 neuronal cells.
These findings demonstrate the high potential of triazine scaffolds in the design
of MTLs for treatment of AD.
PMID- 29367080
TI - Human IgG lacking effector functions demonstrate lower FcRn-binding and reduced
transplacental transport.
AB - We have previously generated human IgG1 antibodies that were engineered for
reduced binding to the classical Fcgamma receptors (FcgammaRI-III) and C1q,
thereby eliminating their destructive effector functions (constant region
G1Deltanab). In their potential use as blocking agents, favorable binding to the
neonatal Fc receptor (FcRn) is important to preserve the long half-life typical
of IgG. An ability to cross the placenta, which is also mediated, at least in
part, by FcRn is desirable in some indications, such as feto-maternal alloimmune
disorders. Here, we show that G1Deltanab mutants retain pH-dependent binding to
human FcRn but that the amino acid alterations reduce the affinity of the
IgG1:FcRn interaction by 2.0-fold and 1.6-fold for the two antibodies
investigated. The transport of the modified G1Deltanab mutants across monolayers
of human cell lines expressing FcRn was approximately 75% of the wild-type,
except that no difference was observed with human umbilical vein endothelial
cells. G1Deltanab mutation also reduced transport in an ex vivo placenta model.
In conclusion, we demonstrate that, although the G1Deltanab mutations are away
from the FcRn-binding site, they have long-distance effects, modulating FcRn
binding and transcellular transport. Our findings have implications for the
design of therapeutic human IgG with tailored effector functions.
PMID- 29367081
TI - Immune modulatory properties of 6-gingerol and resveratrol in Atlantic salmon
macrophages.
AB - The innate immune system provides the first barrier to infection and disease
through various processes including activation of inflammation. Inflammation is a
biological process whereby immune cells, including macrophages, respond to, and
work together to eliminate, damage from injury and disease. Chronic or sustained
inflammation, however, can be detrimental to the health and growth of animals,
including fish. Immune modulating functional plant compounds, supplemented as
feed additives, may be safe and natural approaches to controlling inflammation
and disease. This project employed an in vitro cell model to assess the effect of
two plant phytoextracts, 6-gingerol and resveratrol, on Atlantic salmon
macrophages. To determine if these plant compounds can modify Atlantic salmon
macrophage function, primary macrophages were isolated from the head kidney,
cultured and pre-treated with the compound for 24 hours. The cells were then
challenged with a bacterial pathogen-associated molecular pattern (PAMP),
lipopolysaccharide (LPS). To determine if the plant compound pre-treatment
modifies macrophage response to LPS stimulation, the mRNA expression of anti
bacterial and cytokine genes (COX2, TNFalpha, IL-1beta, IL-8, Lect-2 and sTLR5)
was analyzed by real-time quantitative polymerase chain reaction (qPCR). In
addition, the effects of compound pre-treatment on macrophage ROS production and
phagocytic responses were analyzed via flow cytometry. We found that both 6
gingerol and resveratrol modified macrophage function, with 6-gingerol having an
overall greater effect on expression of the genes analyzed, suggesting that these
functional plant compounds may be good candidates as feed additives and should be
investigated further.
PMID- 29367082
TI - Argatroban dosing in obesity.
AB - PURPOSE: Obesity is associated with significant alterations in pharmacokinetic
and pharmacodynamic properties. The use of weight based anticoagulants such as
argatroban may put obese patients at an increased risk of hemorrhagic events. The
purpose of this study was to evaluate argatroban dosing requirements in obese vs
non-obese patients. METHODS: This single-center, retrospective cohort study
included patients >=18 years with suspected HIT, treated with argatroban for >=12
h. Patients were stratified by body mass index (BMI) into obese (BMI > 30 kg/m2)
and non-obese (BMI <= 30 kg/m2) groups. The primary outcome was the median
maintenance dose required to achieve two consecutive therapeutic activated
partial thromboplastin times. RESULTS: A total of 121 patients were included. The
median BMI in the obese vs non-obese groups was 35.8 vs 24.05 kg/m2 (p < .0001).
Although statistically significant, there was no clinically significant
difference in median maintenance argatroban dose in obese versus non-obese
patients (1 vs 1 MUg/kg/min; p = .01). In-hospital major bleeding and in-hospital
thrombosis also did not differ between the two groups. CONCLUSION: Obese patients
require similar median argatroban maintenance doses when compared to non-obese
patients. Based on these results argatroban should be dosed using actual body
weight regardless of BMI.
PMID- 29367083
TI - Assessment of two contact activation reagents for the diagnosis of congenital
factor XI deficiency.
AB - INTRODUCTION: Congenital FXI deficiency, a coagulopathy associated with low
bleeding risk but thrombotic protection, is usually diagnosed by prolonged APTT
and confirmed by coagulation assays. Recent evidences suggest that FXI deficiency
might be underestimated. Sensitive and reliable methods to detect FXI deficiency
are required. AIM: To examine the sensitivity of two methods and two contact
activators on FXI deficiency screening. METHODS: 140 cases with FXI deficiency, 9
severe and 131 moderate, caused by 11different mutations were recruited. APTT and
FXI:C were assessed in ACL-TOP 500coagulometer with silica-based (SynthASil) and
ellagic acid-based (SynthAFax) reagents. F12 rs1801020 SNP was genotyped with
Taqman probes. RESULTS: Severe FXI deficiency significantly prolonged APTT with
both reagents. However, a high proportion of moderate deficiencies would not be
detected using APTT, with false negatives of 22% for SynthASil and 12% for
SynthAFax. False negatives results mainly corresponded to cases with qualitative
deficiency (CRM+: p.Pro538Leu), which also had higher FXI coagulant activity.
Using SynthASil, the common F12 rs1801020 variant, associated to low FXII levels,
significantly prolonged APTT in moderate FXI deficiency subjects. FXI:C values
were significantly higher with SynthAFax than with SynthASil (47.7+/-12.7 vs.
40.4+/-14.9), so SynthAFax rendered higher rate of false negatives than SynthASil
(7% vs.2%). CONCLUSIONS: Moderate FXI deficiency, particularly CRM+, might be
underestimated using current diagnostic methods. The activator, FXI and FXII
levels may contribute to a higher rate of false negatives using APTT. Our results
suggests that the best screening method for FXI deficiency is FXI:C using silica.
PMID- 29367084
TI - Generation of a human induced pluripotent stem cell line (MUSIi001-A) from
caesarean section scar fibroblasts using Sendai viral vectors.
AB - We generated a human induced pluripotent stem cell (iPSC) line from caesarean
section scar fibroblasts of a 33-year-old healthy woman using transgene-free
Sendai viral vectors under feeder-free condition. The established iPSC line,
designated as MUSIi001-A, exhibited a normal karyotype, expressed pluripotent
markers, differentiated into cells of three embryonic germ layers. Further
analyses showed that the Sendai viral genome was absent at passage 25. The
MUSIi001-A line can serve as a control for studying developmental biology and
phenotypic comparison with disease-specific iPSCs.
PMID- 29367085
TI - Serum bone biomarkers osteocalcin and pyridinoline in mares during pregnancy and
lactation, and in foals during early post-natal life.
AB - Breeding mares typically foal yearly. Little is known about the dynamics of
maternal bone stores during gestation and lactation, the timing of any maternal
bone mobilisation, re-accretion post-foaling, or the dynamics of bone metabolism
in foals. We measured serum osteocalcin (OC) and serum pyridinoline (PYD)
concentrations in 18 mares monthly from 6months gestation to foaling, and in both
mares and foals for 4months after birth. From 6 to 11months of gestation, there
was no change in mean monthly OC. Serum PYD increased between 7months gestation
and foaling. After foaling, mean serum OC was low up to 14days, rising to peak at
1month. Serum PYD rose concomitantly during this period, but subsequently
declined. The mare OC:PYD ratio fell to a nadir within 14days of birth, before
rising to a peak at 2months. In foals, OC rose within the first 24h of birth to
peak at 3months. PYD fell from birth levels by 1month of age. Maternal bone
mobilisation occurs progressively from 8months of gestation until term, before
increasing markedly in very early lactation. Net mobilisation switches to
accretion by one to two months of foaling, suggesting that this is a period
during which mares replenish their own bone stores. Changes in the ratio of OC to
PYD indicate adaptation to the prevailing biological milieu. In foals, the
increase in the OC:PYD ratio in early life reflects the dominance of bone
accretion.
PMID- 29367086
TI - Sedation of sheep following the administration of acepromazine with buprenorphine
or morphine is similar.
AB - The aim of this study was to compare sedation of sheep with acepromazine and
buprenorphine or morphine. Twenty merino sheep received acepromazine (0.03mg/kg)
with buprenorphine (0.02mg/kg, AB, n=10) or morphine (0.3mg/kg, AM, n=10) by
intramuscular injection. Sedation was scored (SS) on a scale from 0 (no sedation)
to 10 (heavy sedation). Response to restraint was scored (RS) on a scale from 0
(agitated) to 4 (relaxed). Three independent blinded observers and a single
blinded observer determined the SS and RS, respectively. The SSs were summed
(maximum 30). Data were compared using a t-test. Data is mean+/-SD (95%
confidence interval). Each group comprised 2 wethers and 8 ewes. There was no
difference between the AB and AM groups: weight 44+/-3.1kg and 44.7+/-3kg
(p=0.58); SS 4.6+/-3.2 (2.4-6.9) and 6.6+/-3.5 (4.1-9.1) (p=0.21); and RS 1.6+/
1.3 (0.5-2.7) and 2+/-1.5 (0.7-3.3) (p=0.6). No adverse effects of the drugs were
observed. Sedation with AB or AM at these doses is similar in sheep without
observed adverse effects.
PMID- 29367087
TI - Mobility of 232Th and 210Po in red mud.
AB - The valorization of industrial by-products such as red mud became a tempting
opportunity, but the understanding of the risks involved is required for the safe
utilization of these products. One of the risks involved are the elevated levels
of radionuclides (in the 100-1300 Bq/kg range for both the 238U and 232 Th decay
chains, but usually lower than 1000 Bq/kg, which is the recommended limit for
excemption or clearance according to the EU BSS released in 2013) in red mud that
can affect human health. There is no satisfactory answer for the utilization of
red mud; the main current solution is still almost exclusively disposal into a
landfill. For the safe utilization and deposition of red mud, it is important to
be able to assess the leaching behaviour of radionuclides. Because there is no
commonly accepted measurement protocol for testing the leaching of radionuclides
in the EU a combined measurement protocol was made and tested based on heavy
metal leaching methods. The leaching features of red mud were studied by methods
compliant with the MSZ-21470-50 Hungarian standard, the CEN/TS 14429 standard and
the Tessier sequential extraction method for 232Th and 210Po. The leached
solutions were taken to radiochemical separation followed by spontaneous
deposition for Po and electrodeposition for Th. The 332 +/- 33 Bq/kg 232Th
content was minimally mobile, 1% became available for distilled water 1% and 6%
for Lakanen-Ervio solution; the Tessier extraction showed minimal mobility in the
first four steps, while more than 85% remained in the residue. The 210Po
measurements had a severe disturbing effect in many cases, probably due to large
amounts of iron present in the red mud, from the 310 +/- 12 Bq/kg by aqua regia
digestion, distilled water mobilized 23%, while Lakanen-Ervio solution mobilized
~13%. The proposed protocol is suitable for the analysis of Th and Po leaching
behaviour.
PMID- 29367088
TI - Sinomenine inhibits fibroblast-like synoviocyte proliferation by regulating
alpha7nAChR expression via ERK/Egr-1 pathway.
AB - Fibroblast like synoviocyte (FLS) is a crucial in the pathogenesis of rheumatoid
arthritis (RA), and involved in inflammation and joint destruction. Sinomenine
(SIN), an alkaloid derived from the plant Sinomenium acutum, has anti
inflammatory and analgesic effect and been used for RA treatment in China. Alpha
7 nicotinic acetylcholine receptors (alpha7nAChR), as the key receptor in
cholinergic anti-inflammatory pathway (CAP) to inhibit inflammation, has been
detected in RA patients synovium, but its role is still unclear. Here we
investigated the association between the aggressive proliferation of FLS and
alpha7nAChR expression and the effect of sinomenine. FLS was isolated from
synovial tissues of adjuvant-induced-arthritis (AIA) rat. Tumor necrosis
factor(TNF)-alpha was used to induce the aggressive proliferation of FLS. MTT
assay was applied to evaluate the proliferation of FLS. The messenger RNA (mRNA)
and protein levels of alpha7nAChR and early growth response gene-1 (Egr-1) were
measured. The results showed that TNF-alpha induced FLS proliferation in vitro (P
< .01) and increased the phosphorylation of ERK1/2 and the expression of Egr-1
and alpha7nAChR (P < .05 or P < .01). U0126, the inhibitor of ERK1/2 inhibited
alpha7nAChR expression and FLS proliferation significantly (P < .05 or P < .01).
Specific short interference RNA(siRNA) of alpha7nAChR decreased alpha7nAChR
expression and inhibited FLS proliferation as well. SIN inhibited the
proliferation of FLS and decreased the phosphorylation of ERK1/2, and the
expression of Egr-1 and alpha7nAChR induced by TNF-alpha (P < .05). In
conclusion, the expression of alpha7nAChR involved in the aggressive
proliferation of FLS induced by TNF-alpha and was regulated by ERK/Egr-1 signal
pathway. SIN inhibited FLS proliferation and alpha7nAChR expression through
inhibiting ERK/Egr-1 signal pathway, this may contribute to the anti-inflammatory
and anti-arthritic effect of SIN.
PMID- 29367089
TI - Cortisol inhibits NF-kappaB and MAPK pathways in LPS activated bovine endometrial
epithelial cells.
AB - The bovine uterus is subject to infection after calving, which may lead to
endometritis. Elevated cortisol levels have been observed in postpartum cattle.
However, the role of cortisol in the inflammatory response of the uterus has not
been reported. The aim of this study was to investigate the anti-inflammatory
effects of cortisol on lipopolysaccharide (LPS)-induced primary bovine
endometrial epithelial cells (BEECs). BEECs were treated with various
concentrations of cortisol (5, 15 and 30 ng/mL) in the presence of LPS. The mRNA
expression of TLR4 and proinflammatory cytokines was measured with qPCR. The
activation of NF-kappaB and MAPK signalling pathways was detected with Western
blotting and immunofluorescence. Cortisol induced the down-regulation of the mRNA
expression of toll-like receptor 4 (TLR4) and proinflammatory cytokines,
including interleukin (IL)-1beta, IL-6, IL-8, tumour necrosis factor-alpha (TNF
alpha), cyclooxygenase-2 (COX-2) and inducible NO synthase (iNOS). Cortisol
inhibited the activity of nuclear factor-kappaB (NF-kappaB) via blocking the
phosphorylation and degradation of IkappaB. Cortisol suppressed the
phosphorylation of mitogen-activated protein kinase (MAPK), including
extracellular signal-regulated kinase (ERK1/2), p38MAPK and c-Jun N-terminal
kinase/stress-activated protein kinase (JNK). These results demonstrated that
cortisol may exert its anti-inflammatory actions by regulating NF-kappaB
activation and MAPK phosphorylation.
PMID- 29367090
TI - Inhibition of NF-kappaB pathway in fibroblast-like synoviocytes by alpha
mangostin implicated in protective effects on joints in rats suffering from
adjuvant-induced arthritis.
AB - alpha-Mangostin (MG) is a bioactive compound isolated from mangosteen. This study
was aimed to investigate effects of MG on adjuvant-induced arthritis (AA) in rats
and decipher the underlying mechanisms. Clinical severity of AA was evaluated by
paw oedema, arthritis score, and hematological parameters. Digital radiography
(DR) and histological examinations were employed to assess joints destructions.
Immune functions were evaluated by T cell subsets distribution. Effects on NF
kappaB pathway were investigated by immunohistochemical, western-blot and
immunofluorescence methods both in vivo and vitro. It was found MG possessed
superior anti-inflammatory effects in vivo, suggested by attenuated paw swelling,
reduced inflammatory cells infiltration and decreased the secretion of TNF-alpha
and IL-1beta in serum. Meanwhile MG inhibited fibrous hyperplasia, synovial
angiogenesis, cartilage and bone degradation in AA rats. Although MG exerted
little effects on CD4+ population, it greatly decreased IFN-gamma positive cells
and promoted expression of FOXP3 in immune organs, indicating restoration of
Th1/Treg cells ratio and recovery of immune homeostasis in vivo. Inhibition of NF
kappaB induced by MG was indicated by reduced the expression of p-p65 and VEGF in
synovium. In vitro experiments found MG at 10 MUg/ml significantly suppressed the
expression and phosphorylation of key proteins implicated in NF-kappaB pathway
and inhibited nucleus translocation of p65. These changes led to increased
apoptosis and proliferation inhibition of HFLS-RA cells. The results demonstrated
regulation of immune functions was deeply involved in the therapeutic actions of
MG on AA, and it's inhibition on NF-kappaB in fibroblast-like synoviocytes was
associated to the protective effects on joints.
PMID- 29367091
TI - Chrysophanol demonstrates anti-inflammatory properties in LPS-primed RAW 264.7
macrophages through activating PPAR-gamma.
AB - Sepsis is a life-threatening disease. Inflammation is a major concomitant symptom
of sepsis Chrysophanol, an anthraquinone derivative isolated from the rhizomes of
rheumpalmatum, has been reported to have a protective effect against
lipopolysaccharide(LPS)-induced inflammation. However, the underlying molecular
mechanisms are not well understood. The aim of this study was to explore the
effect and mechanism of chrysophanol on lipopolysaccharide (LPS)-induced anti
inflammatory effect of RAW264.7 cells and its involved potential mechanism. The
mRNA and protein expression of tumor necrosis factor (TNF)-alpha, interleukin
(IL)-1beta and inducible nitric oxide synthase (iNOS), nuclear factor kappa B (NF
kappaB) and PPAR-gamma were measured by qRT-PCR and western blotting, the
production of TNF-alpha, IL-1beta was evaluated by ELISA. Then, the
phosphorylation of NF-kappaB p65 was also detected by western blotting. And NF
kappaB p65 promoter activity was analyzed by the Dual-Luciferase reporter assay
system as well. Meanwhile, PPAR-gamma inhibitor GW9662 was performed to knockdown
PPAR-gamma expression in cells. Our data revealed that LPS induced the up
regulation of TNF-alpha, IL-1beta, iNOS and NF-kappaB p65, the down-regulation of
PPAR-gamma were substantially suppressed by chrysophanol in RAW264.7 cells.
Furthermore, our data also figured out that these effects of chrysophanol were
largely abrogated by PPAR-gamma inhibitor GW9662. Taken together, our results
indicated that LPS-induced inflammation was potently compromised by chrysophanol
very likely through the PPAR-gamma-dependent inactivation of NF-kappaB in
RAW264.7 cells.
PMID- 29367092
TI - Paralysis without face mask test ventilation - Implications for the management of
unexpected airway difficulties.
PMID- 29367093
TI - A mini-fluid challenge of 150mL predicts fluid responsiveness using ModelflowR
pulse contour cardiac output directly after cardiac surgery.
AB - STUDY OBJECTIVE: The mini-fluid challenge may predict fluid responsiveness with
minimum risk of fluid overloading. However, the amount of fluid as well as the
best manner to evaluate the effect is unclear. In this prospective observational
pilot study, the value of changes in pulse contour cardiac output (CO)
measurements during mini-fluid challenges is investigated. DESIGN: Prospective
observational study. SETTING: Intensive Care Unit of a university hospital.
PATIENTS: Twenty-one patients directly after elective cardiac surgery on
mechanical ventilation. INTERVENTIONS: The patients were subsequently given 10
intravenous boluses of 50mL of hydroxyethyl starch with a total of 500mL per
patient while measuring pulse contour CO. MEASUREMENTS: We measured CO by minimal
invasive ModelflowR (COm) and PulseCOR (COli), before and one minute after each
fluid bolus. We analyzed the smallest volume that was predictive of fluid
responsiveness. A positive fluid response was defined as an increase in CO of
>10% after 500mL fluid infusion. MAIN RESULTS: Fifteen patients (71%) were COm
responders and 13 patients (62%) COli responders. An increase in COm after 150mL
of fluid >5.0% yielded a positive and negative predictive value (+PV and -PV) of
100% with an area under the curve (AUC) of 1.00 (P<0.001). An increase in COli
>6.3% after 200mL was able to predict a fluid response in COli after 500mL with a
+PV of 100% and -PV of 73%, with an AUC of 0.88 (P<0.001). CONCLUSION: The use of
minimal invasive ModelflowR pulse contour CO measurements following a mini-fluid
challenge of 150mL can predict fluid responsiveness and may help to improve fluid
management.
PMID- 29367094
TI - Bacitracin irrigation leading to anaphylaxis and cardiovascular collapse in the
ambulatory surgery center setting.
PMID- 29367095
TI - Re(CO)3([18F]FEDA), a novel 18F PET renal tracer: Radiosynthesis and preclinical
evaluation.
AB - INTRODUCTION: Our previous work demonstrated that the 99mTc renal tracer,
99mTc(CO)3(FEDA) (99mTc-1), has a rapid clearance comparable in rats to that of
131I-OIH, the radioactive gold standard for the measurement of effective renal
plasma flow. The uncharged fluoroethyl pendant group of 99mTc-1 provides a route
to the synthesis of a structurally analogous rhenium-tricarbonyl 18F renal
imaging agent, Re(CO)3([18F]FEDA) (18F-1). Our goal was to develop an efficient
one-step method for the preparation of 18F-1 and to compare its pharmacokinetic
properties with those of 131I-OIH in rats. METHODS: 18F-1 was prepared by the
nucleophilic 18F-fluorination of its tosyl precursor. The labeled compound was
isolated by HPLC and subsequently evaluated in Sprague-Dawley rats using 131I-OIH
as an internal control and by dynamic PET/CT imaging. Plasma protein binding
(PPB) and erythrocyte uptake (RCB) were determined and the urine was analyzed for
metabolites. RESULTS: 18F-1 was efficiently prepared as a single species with
high radiochemical purity (>99%) and it displayed high radiochemical stability in
vitro and in vivo. PPB was 87% and RCB was 21%. Biodistribution studies confirmed
rapid renal extraction and high specificity for renal excretion, comparable to
that of 131I-OIH, with minimal hepatic/gastrointestinal elimination. The activity
in the urine, as a percentage of 131I-OIH, was 92% and 95% at 10 and 60 min,
respectively. All other organs (heart, spleen, lungs) showed a negligible tracer
uptake (<0.4% ID). Dynamic microPET/CT imaging demonstrated rapid transit of 18F
1 through the kidneys and into the bladder; there was no demonstrable activity in
bone verifying the absence of free [18F]fluoride. CONCLUSIONS: 18F-1 exhibited a
high specificity for the kidney, rapid renal excretion comparable to that of 131I
OIH and high in vivo radiochemical stability. Not only is 18F-1 a promising PET
renal tracer, but it provides a route to the development of a pair of analogous
18F/99mTc renal imaging agents with almost identical structures and comparable
pharmacokinetic properties. These promising in vivo results warrant subsequent
evaluation in humans.
PMID- 29367096
TI - Molecular imaging of platelet-derived growth factor receptor-alpha (PDGFRalpha)
in papillary thyroid cancer using immuno-PET.
AB - INTRODUCTION: Receptor tyrosine kinase (RTK) platelet-derived growth factor
receptor-alpha (PDGFRalpha) was recently identified as a molecular switch for
dedifferentiation in thyroid cancer that predicts resistance to therapy as well
as recurrence of disease in papillary thyroid cancer. Here we describe the
radiolabeling and functional characterization of an imaging probe based on a
PDGFRalpha-specific monoclonal antibody (mAb) for immuno-PET imaging of
PDGFRalpha in papillary thyroid cancer. METHODS: Antibody D13C6 (Cell Signaling)
was decorated with chelator NOTA using bioconjugation reaction with 2-(p-NCS-Bz)
NOTA. Radiolabeling was carried out using 40 MUg of antibody-NOTA conjugate with
143-223 MBq of [64Cu]CuCl2 in 0.25 M NaOAc (pH 5.5) at 30 degrees C for 1 h. The
reaction mixture was purified with size-exclusion chromatography (PD-10 column).
PDGFRalpha and mock transfected B-CPAP thyroid cancer cells lines for validation
of 64Cu-labeled immuno-conjugates were generated using LVX-Tet-On technology. PET
imaging was performed in NSG mice bearing bilaterally-induced PDGFRalpha (+/-) B
CPAP tumors. RESULTS: Bioconjugation of NOTA chelator to monoclonal antibody
D13C6 resulted in 2.8 +/- 1.3 chelator molecules per antibody as determined by
radiometric titration with 64Cu. [64Cu]Cu-NOTA-D13C6 was isolated in high
radiochemical purity (>98%) and good radiochemical yields (19-61%). The specific
activity was 0.9-5.1 MBq/MUg. Cellular uptake studies revealed a specific
radiotracer uptake in PDGFRalpha expressing cells compared to control cells. PET
imaging resulted in SUVmean values of ~5.5 for PDGFRalpha (+) and ~2 for
PDGFRalpha (-) tumors, after 48 h p.i.. After 1 h, radiotracer uptake was also
observed in the bone marrow (SUVmean ~5) and spleen (SUVmean ~8.5). CONCLUSION:
Radiolabeled antibody [64Cu]Cu-NOTA-D13C6 represents a novel and promising
radiotracer for immuno-PET imaging of PDGFRalpha in metastatic papillary thyroid
cancer. ADVANCES IN KNOWLEDGE AND IMPLICATIONS FOR PATIENT CARE: The presented
work has the potential to allow physicians to identify papillary thyroid cancer
patients at risk of metastases by using the novel immuno-PET imaging assay based
on PDGFRalpha-targeting antibody [64Cu]Cu-NOTA-D13C6.
PMID- 29367097
TI - Children's trauma-related symptoms following complex trauma exposure: Evidence of
gender differences.
AB - Complex trauma exposure has been operationalized as multiple or chronic
interpersonal traumas that begin early in life and is thought to result in
widespread self-regulation difficulties across several domains of functioning.
Prior research has demonstrated that there are gender differences in trauma
exposure as well as trauma-related symptoms; however, gender discrepancies have
not previously been examined in the context of child survivors of complex trauma.
The aim of the present study was to determine whether there are gender
differences in both caregiver and child-reported trauma exposure and symptoms
among 167 children who have experienced complex trauma (3-18 years, M = 9.90, SD
= 3.92; 61.67% female). Male children were somewhat more likely to have been
exposed to domestic violence, while female children were more likely to have
experienced sexual abuse as well as more likely to have been abused by a
caregiver. Gender differences were observed for several of the caregiver-reported
symptom domains, with female children exhibiting higher levels of depression,
dissociation, posttraumatic stress disorder (PTSD) hyperarousal symptoms, and
total PTSD symptoms. Female children also self-reported higher levels of sexual
concerns, and marginally more re-experiencing and total PTSD symptoms. Secondary
analyses utilizing only children who experienced sexual abuse revealed that
gender differences largely remained, again with females manifesting higher levels
of symptoms. Thus, following complex trauma, female children may be at higher
risk for trauma-related difficulties, which has implications for research and
clinical interventions.
PMID- 29367098
TI - Developing a tailored substance use intervention for youth exiting foster care.
AB - Youth who are aging out of the foster care system face significant barriers to
accessing substance use treatment. Mobile interventions have shown efficacy for
several mental and physical health issues and may be helpful in overcoming
barriers facing foster youth with substance use problems. A program (iHeLP) for
substance use reduction was developed that used a computerized screening and
brief intervention (SBI) followed by six months of dynamically-tailored text
messages. The program was shown to focus groups of youth (N = 24) ages 18-19 who
recently left foster care and had moderate to severe substance use risk. Focus
group feedback was used to modify iHeLP prior to delivery in an open trial (N =
16). Both study phases included assessments of feasibility and acceptability; the
open trial also included assessments of substance use outcomes at 3 and 6 months.
Focus groups indicated a high level of acceptability for the proposed
intervention components. Of those screened for the open trial, 43% were eligible
and 74% of those eligible enrolled, indicating good feasibility. Retention
through the final follow-up was 59%, and drop out was associated with involvement
in the criminal justice system. Participant ratings for liking, ease of working
with, interest in and respectfulness of the SBI were high. Satisfaction ratings
for the texting component were also high. A computerized brief screening
intervention for substance use risk reduction together with tailored text
messaging is both feasible and highly acceptable among youth who have recently
aged-out of foster care.
PMID- 29367099
TI - Mid-gestational changes in cervicovaginal fluid cytokine levels in asymptomatic
pregnant women are predictive markers of inflammation-associated spontaneous
preterm birth.
AB - OBJECTIVES: Perturbation of the choriodecidual space before the onset of
spontaneous preterm birth (sPTB) could lead to a concomitant rise in both
cervicovaginal fluid (CVF) cytokine and fetal fibronectin (FFN), and assessing
the concentrations of both markers could improve the prediction of sPTB (delivery
before 37 completed weeks of gestation). Therefore, we prospectively determined
mid-trimester changes in CVF cytokine and FFN concentrations, and their
predictive capacity for sPTB in asymptomatic pregnant women. STUDY DESIGN: CVF
collected at 20+0-22+6 weeks (n = 47: Preterm-delivered = 22, Term-delivered =
25) and 26+0-28+6 weeks (n = 50: Preterm-delivered = 17, Term-delivered = 33)
from 63 asymptomatic pregnant women at risk of sPTB were examined. Cytokine and
FFN concentrations were determined by multiplexed bead-based immunoassay and 10Q
Rapid analysis (Hologic, MA, USA) respectively. The 20+0-22+6/26+0-28+6 weeks
ratios of cytokines and FFN concentrations were compared between preterm- and
term-delivered women using Receiver Operating Characteristics curves to predict
sPTB. Also, bacterial 16S rDNA from 64 samples (20+0-22+6 weeks n = 36, 26+0-28+6
weeks n = 28) was amplified by polymerase chain reaction to determine
associations between vaginal microflora, cytokine and FFN concentrations.
RESULTS: Changes in RANTES and IL-1beta concentrations between 20+0-22+6 and 26+0
28+6 weeks, expressed as a ratios, were predictive of sPTB, RANTES (AUC = 0.82,
CI = 0.62-0.94) more so than IL-1beta (AUC = 0.71, CI = 0.53-0.85) and FFN (not
predictive). Combining these markers (AUC = 0.83, CI = 0.63-0.95) showed similar
predictive capacity as RANTES alone. FFN concentrations at 26+0-28+6 weeks
correlated with IL-1beta (r = 0.4, P = 0.002) and RANTES (r = 0.3, P = 0.03). In
addition, there was increased prevalence of vaginal anaerobes including
Bacteroides, Fusobacterium and Mobiluncus between gestational time points in
women who experienced sPTB compared to the term women (P = 0.0006). CONCLUSIONS:
CVF RANTES and IL-1beta in mid-trimester of pregnancy correlate with quantitative
FFN. The levels of CVF RANTES and IL-1beta decline significantly in women who
deliver at term unlike women who deliver preterm. This observation suggests that
sPTB may be characterised by sustained choriodecidual inflammation and may have
clinical value in serial screening for sPTB if confirmed by larger studies.
PMID- 29367100
TI - Expression levels of interferon-gamma and type 2 deiodinase in patients diagnosed
with recurrent depressive disorders.
AB - BACKGROUND: Thyroid hormones (TH) are involved in modulation of the immune system
and inflammation. TH dysregulation is associated with depressive disorders. The
iodothyronine deiodinases (DIOs), the key enzymes for TH synthesis, can be
affected and induced by pro-inflammatory cytokines. We aimed to investigate the
levels of and correlation between type 2 DIO (DIO2) and interferon-gamma (IFN
gamma) in patients with recurrent depressive disorders (rDD). METHODS: Data from
91 rDD patients and 105 healthy controls were analyzed. The diagnoses are based
on the ICD-10 criteria (F33.0-F33.8). Expression levels of DIO2 and IFN-gamma
were estimated using the method based on the polymerase chain reaction and the
enzyme-linked immunosorbent assay (ELISA). RESULTS: The DIO2 expression on
mRNA/protein levels in rDD patients (both female and males) was reduced as
compared with the control subjects. No correlation between DIO2 and IFN-gamma
expression was observed. CONCLUSION: This is the first study to reveal that one
may cautiously suggest that DIO2 may be involved in the development and/or
progression of rDD. The mechanisms of TH regulation on depression, however, need
further investigation.
PMID- 29367101
TI - Neuroprotective action and mechanistic evaluation of protodioscin against rat
model of Parkinson's disease.
AB - BACKGROUND: Parkinson's disease (PD) is the most widespread motor-affecting
disease affecting majorly middle- and late age population. Thus, in the current
study, we intended to explore the neuroprotective effect of protodioscin (Proto)
against 6-hydroxydopamine (6-OHDA)-induced PD rat model. METHODS: After induction
of PD with the injection of 6-OHDA, the different dose of Proto was administered
for the duration of experimental protocol (2 months). We have scrutinized the
consequence of Proto on the cognitive behaviours via Moris water maze (MWM), and
recognition of novel objects and its location tasks. The effect of Proto was also
investigated on the expression of Nrf2 in human neuroblastoma SHSY5Y cells via
western blot analysis. RESULTS: The results showed significant decrease in
travelled distance as compared by the lesion treated group. Further significant
difference was revealed in the latency time to detect the platform that is
visible and it confirmed that, there were no noteworthy dissimilarity was
observed in the visual and motor function ability. The result also suggests that,
the activation of Nrf2 is the possible mechanism of neuroprotection of Proto
against PD. CONCLUSION: As a concluding remark, the present study confirmed the
neuroprotective role of Proto against PD both in in vitro and in vivo models.
PMID- 29367102
TI - Effects of prasugrel on membrane potential and contractile activity of rat
ventricular myocytes.
AB - BACKGROUND: Though prasugrel is one of the important P2Y12 inhibitors currently
in use for antiplatelet therapy, its potential effects on contractility and
electrical activity of ventricular myocytes have not yet been investigated. Hence
this study was designed to study the impact of prasugrel on contractile function
and membrane potential of isolated ventricular myocytes. METHODS: Freshly
isolated rat ventricular myocytes were used in this study. Myocyte contraction
was measured during electrical stimulation of cardiomyocytes and the action
potential (AP) recordings were obtained with current clamp mode of the patch
clamp amplifier. RESULTS: AP duration and fractional shortening of ventricular
myocytes did not show any change with the administration of 1MUM of prasugrel.
However, remarkable depolarization of resting membrane potential followed by
apparent fibrillation episodes was detected in the cardiomyocytes. Similar events
were observed in the contractile activity of myocytes during field stimulation.
Also, a higher concentration of prasugrel (10MUM) elicited repeated
fibrillations, which disappeared after washout or nitric oxide synthase (NOS)
inhibition with L-NAME. In contrast, the same concentration of ticagrelor,
another P2Y12 inhibitor did not induce fibrillation events though it decreased
the contractility of ventricular myocytes significantly. The perfusion of
ventricular myocytes with L-NAME did not alter the negative inotropic effect of
ticagrelor. CONCLUSIONS: Prasugrel, a widely used antithrombotic agent, may
induce depolarization in the membrane potential of myocytes as well as
fibrillation via NO mediated pathway.
PMID- 29367103
TI - Forskolin convalesces memory in high fat diet-induced dementia in wistar rats
Plausible role of pregnane x receptors.
AB - BACKGROUND: Studies have signified that high serum cholesterol plays an
intriguing role in amyloid beta metabolism and accumulation. Ligand activation of
pregnane x receptors (PXRs), up-regulates the expression of P- glycoprotein and
has a crucial role in amyloid beta efflux. The present study has been undertaken
to investigate the effect of forskolin, a PXR agonist in experimental dementia.
METHODS: Wistar rats were allowed free access to cholesterol-rich High Fat Diet
(HFD) for 90days to induce dementia. HFD rats were then treated with forskolin
(10mg/kg; 20mg/kg) followed by exposure to Morris water maze (MWM) test to
deconvolute the mechanistic of learning and memory. An array of biochemical and
histopathological tests were performed to demonstrate the extent of damage
induced by HFD. RESULTS: HFD-treated rats exhibited marked accentuation in brain
thiobarbituric acid reactive species, Interleukin-1beta, tumor necrosis factor
alpha levels, myeloperoxidase and acetylcholinestrase activity in addition to
attenuation of glutathione levels and superoxide dismutase activity as compared
to rats fed on normal chow diet. Consistent rise in serum cholesterol level was
also indicated. Histopathological examination of cerebral cortex using
hematoxylin and eosin and congo red staining methods demonstrated significant
neutrophilic incursion and amyloid deposition. Administration of forskolin to HFD
treated rats improved memory functions, biochemical and histopathological
alterations. Concomitant administration of ketoconazole, a PXR antagonist with
forskolin prevented the observed protective effects. CONCLUSION: Our findings
signify that forskolin defends HFD induced cognitive deficits. Current plethora
of results also defines the potential of PXR in neuroprotective action of
forskolin in dementia.
PMID- 29367104
TI - Farnesoid X receptor antagonist exacerbates dyslipidemia in mice.
AB - BACKGROUND: The effects of farnesoid X receptor (FXR) antagonists on plasma lipid
profile in mice have not been investigated thus far. The aim of this study was to
investigate the antidyslipidemic effects of an FXR antagonist in dyslipidemic
mice, and to clarify the mechanisms underlying the lipid modulatory effect.
METHODS: Compound-T0 (1-100 mg/kg) was orally administered to C57BL/6J mice fed a
Western-type diet or low-density lipoprotein receptor knockout (LDLR-/-) mice fed
a Western-type diet for a week, and plasma lipid levels were investigated.
Effects on lipid clearance, hepatic triglyceride secretion after Triton WR-1339
challenge, and intestinal lipid absorption were investigated after multiple
dosing. RESULTS: Compound-T0 significantly increased plasma level of non-high
density lipoprotein cholesterol in both C57BL/6 and LDLR-/- mice; in addition, it
significantly increased plasma triglyceride level in LDLR-/- mice. Compound-T0
failed to enhance the clearance of 3,3'-dioctadecylindocarbocyanine (DiI)-labeled
LDL in C57BL/6J mice. Although compound-T0 did not affect triglyceride clearance
and hepatic triglyceride secretion, it significantly increased intestinal
[3H]cholesterol absorption in LDLR-/- mice. CONCLUSIONS: It was found that the
FXR antagonist, compound-T0 exacerbated dyslipidemia in mice because it enhanced
intestinal lipid absorption via acceleration of bile acid excretion.
PMID- 29367105
TI - Advancing methods for health priority setting practice through the contribution
of systems theory: Lessons from a case study in Ethiopia.
AB - Setting priorities for health services is a complex and value laden process. Over
the past twenty years, there has been considerable scholarly attention paid to
strengthening fairness and legitimacy using the prominent ethical framework,
Accountability for Reasonableness (A4R). A variety of case studies applying A4R
have advanced our conceptual understanding of procedural fairness, and have
highlighted the significance of context through its application. There is a
paucity of research, however, that rigorously examines how and to what extent
context influences health priority setting processes and the establishment of
procedural fairness. We argue here that to study context rigorously requires
taking a holistic view of the system by examining the dynamics and
interrelationships within it. Using the Transformative Systems Change Framework
(TSCF), this investigation sought to examine the influence of system factors on
priority setting practice and procedural fairness. A qualitative case study of
Ethiopian district health planning was undertaken in 2010 and 2011. Methods
included 58 qualitative interviews with decision makers, participant observation,
and document analysis. Data analysis followed in three phases: i) an inductive
analysis of district health priority setting to highlight experiences across each
of the three districts selected, ii) deductive analysis applying A4R and the TSCF
independently; and iii) a synthesis of concepts of priority setting practice and
procedural fairness within a broader, theoretical understanding of the system.
Through the application of the TSCF, a nuanced understanding of priority setting
practice is revealed that situates this process within a system of interdependent
components that include: norms, operations, regulations, and resources. This
paper offers a practical guide attuned to system features influencing the design,
implementation, and sustainability of greater fairness in health priority setting
practice.
PMID- 29367106
TI - LncRNA MIR31HG overexpression serves as poor prognostic biomarker and promotes
cells proliferation in lung adenocarcinoma.
AB - MIR31HG, as the host gene of miR-31, has been suggested to involve in various
cancer developments. However, little is known about the clinical significance and
biological function of MIR31HG in lung adenocarcinoma. In our study, we found
MIR31HG was highly expressed in lung adenocarcinoma tissues and cell lines, and
associated with clinical staging, N classification, M classification and
differentiated degree. Survival analysis showed MIR31HG high-expression was an
independent unfavorable prognostic factor for lung adenocarcinoma patients. Loss
of-function studies suggested down-regulation of MIR31HG inhibited lung
adenocarcinoma cells proliferation and blocked cell-cycle, but has no effect on
cell apoptosis. There was no correlation between MIR31HG and miR-31 expression in
lung adenocarcinoma tissues, down-regulation of MIR31HG had no effect on the
expression of miR-31 in lung adenocarcinoma cells. In conclusion, MIR31HG high
expression is an independent unfavorable prognostic factor for lung
adenocarcinoma patients, and serves an oncogenic role to modulate lung
adenocarcinoma cells proliferation and cell-cycle.
PMID- 29367107
TI - Salinomycin derivatives exhibit activity against primary acute lymphoblastic
leukemia (ALL) cells in vitro.
AB - Salinomycin (SAL) and monensin (MON) are polyether ionophore antibiotics commonly
used in veterinary medicine. They are known from their anti-cancer activity
against various types of cancer cells, including those that display multi-drug
resistance as well as cancer stem cells. In order to increase the biological
activity profile and reduce toxicity against normal cells, while retaining the
activities in the micromolar range, a library of ester and amide derivatives of
SAL was synthesized and previously reported. In this paper, we examined the
activity of SAL, its ten derivatives, and MON on primary acute lymphoblastic
leukemia cells. MON and six SAL derivatives were more potent than SAL in cell
viability assays. Further, selected active SAL analogs induced characteristics of
apoptotic cell death and increased expression of p53. Moreover, SAL acted
synergistically with the Bcl-2 inhibitor ABT-263, whereas 2,2,2-trifluoroethyl
ester, the most active analog of SAL, antagonized ABT-263, suggesting possible
differences in molecular mechanism.
PMID- 29367108
TI - Thymoquinone activates MAPK pathway in hippocampus of streptozotocin-treated rat
model.
AB - Streptozotocin (STZ), a glucosamine-nitrosourea compound, produces deficiencies
in learning, memory, and cognitive functions when it was administered
intracerebroventricularly (i.c.v). In molecular level, increase in
neuroinflammation and oxidative stress in brain, and decrease in the number of
surviving neurons are the outcomes of STZ administration. Herein, we aimed to
investigate the effect of thymoquinone (TQ), an anti-inflammatory,
immunomodulatory and neuroprotective agent, on STZ-induced neurodegeneration in
rats. For this purpose, bilateral i.c.v. injection of STZ (3 mg/kg) was given to
adult female rats on days 1 and 3. TQ (20 mg/kg/day in cornoil) was administered
intragastrically to rats for 15 days starting from the 15th day of STZ injection.
The Morris water maze test and passive avoidance test were applied to measure the
learning and memory performance of animals. Following the behavioral tests, all
of the rats were sacrificed for evaluation of molecular alterations. Rats in the
STZ-TQ group showed higher performance in passive avoidance test than rats in the
STZ group whose memory performance declined compared to control group. The worse
memory performance in STZ group was correlated with low number of surviving
neurons and high number of degenerating neurons. In addition, an increase in APOE
expression and a decrease in NGF expression were observed with STZ injection.
Administration of TQ reversed these STZ-triggered cognitive and molecular
alterations. In the present study, we observed the neuroregenerative effects of
TQ by activation of JNK protein, upregulation of mir-124, and downregulation of
ERK1/2 and NOS enzymes. The same ameliorative effect of TQ was also observed in
the pTau protein expression. To sum up, we can say that the healing effect of TQ
on STZ induced neurodegeneration opens a new door for the development of
Alzheimer's disease treatment using natural products as an adjuvant when their
action mechanism was explained in detail.
PMID- 29367109
TI - NF-kappaB-induced WIP1 expression promotes colorectal cancer cell proliferation
through mTOR signaling.
AB - Colorectal cancer (CRC) is one of the major causes of cancer deaths worldwide.
Wild-type p53-induced protein 1 (WIP1) is overexpressed in multiple human cancers
and acted as an oncogene. This study was aimed to investigate the effect of WIP1
in colorectal cancer growth and analyzed underlying mechanisms. Herein, we
determined WIP1 expression in CRC tissues and cell lines, as well as evaluated
its detailed function in CRC cell proliferation. Several factors have been
reported to mediate WIP1 effects; herein, we examined the involvement of mTOR and
p21 in WIP1 regulation of CRC cell proliferation. Moreover, NF-kappaB has been
regarded as a positive transcriptional regulator of WIP1 to activate its
expression. NF-kappaB knockdown suppressed CRC cell proliferation, which could be
reversed by WIP1 overexpression, through p21 and mTOR. Further, we examined the
binding of NF-kappaB to the promoter region of WIP1. In CRC tissues, NF-kappaB
expression was significantly up-regulated, and positively correlated with WIP1
expression, suggesting that inhibiting NF-kappaB expression to attenuate its
activating effect on WIP1 expression presented a promising strategy of
controlling excess proliferation of CRC cell. In summary, WIP1 promotes CRC
proliferation through p21 and mTOR, both downstream targets of p53; NF-kappaB
served as a positive transcriptional regulator of WIP1 to activate its expression
and affect its function in CRC cells. Our finding provided a novel strategy for
treatment for CRC.
PMID- 29367110
TI - Antidotal or protective effects of Curcuma longa (turmeric) and its active
ingredient, curcumin, against natural and chemical toxicities: A review.
AB - Curcuma longa is a rhizomatous perennial herb that belongs to the family
Zingiberaceae, native to South Asia and is commonly known as turmeric. It is used
as herbal remedy due to the prevalent belief that the plant has medical
properties. C. longa possesses different effects such as antioxidant, anti-tumor,
antimicrobial, anti-inflammatory, wound healing, and gastroprotective activities.
The recent studies have shown that C. longa and curcumin, its important active
ingredient, have protective effects against toxic agents. In this review article,
we collected in vitro and animal studies which are related to protective effects
of turmeric and its active ingredient against natural and chemical toxic agents.
PMID- 29367111
TI - Zingerone attenuates diabetic nephropathy through inhibition of nicotinamide
adenine dinucleotide phosphate oxidase 4.
AB - Diabetes affects a large proportion of population wide across the world and
kidney is a main target organ of diabetic complications. Zingerone is a stable
active component derived from dry ginger rhizome. We investigated the effect of
zingerone on diabetic nephropathy and explored the possible mechanisms. We showed
that zingerone decreased the levels of serum insulin, C-peptide and glycosylated
hemoglobin A1c. The levels of blood urea nitrogen (BUN), serum creatinine,
urinary albumin content and albumin/creatinine ratio (ACR) were reduced by
zingerone. Moreover, zingerone attenuated the pathological injuries of kidneys,
reduced the surface area of Bowman's capsule, Bowman's space, glomerular tuft,
and decreased the expression of collagen IV and fibronectin in kidneys in db/db
mice. The high levels of triglyceride and cholesterol, and high expression of
TNFalpha and IL-6 were decreased by zingerone. Furthermore, zingerone decreased
the level of MDA and increased the content of glutathione (GSH). NADPH oxidase 4
(NOX4) expression was significantly increased in kidneys of db/db mice and in HK
2 cells after exposure to high glucose. Zingerone significantly decreased the
expression of NOX4 in vivo and in vitro. Upregualtion of NOX4 significantly
inhibited zingerone-induced protective effects against the cytotoxicity of high
glucose. Downregulation of NOX4 was responsible for zingerone-exhibited
pharmacological activities and reduction of diabetic nephropathy. Overall,
zingerone is a promising therapeutic treatment to attenuate diabetic nephropathy.
PMID- 29367112
TI - Osteogenic protein-1 attenuates the inflammatory cytokine-induced NP cell
senescence through regulating the ROS/NF-kappaB pathway.
AB - BACKGROUND: Nucleus pulposus (NP) cell senescence correlates with disc
degeneration. Previous studies imply that inflammation induces NP cell
senescence. Osteogenic protein-1 (OP-1) is helpful for regenerating degenerative
disc. OBJECTIVE: To investigate whether OP-1 treatment can protect against the
inflammatory cytokine TNF-alpha-induced NP cell senescence, and its potential
mechanism. METHODS: Rat NP cells were cultured in either basic culture medium or
basic culture medium with the inflammatory cytokine TNF-alpha for 3 days. OP-1
was added into the culture medium of TNF-alpha-treated NP cells to investigate
the protective effects of OP-1 against cellular senescence. NP cell senescence
was evaluated by some direct and indirect parameters, such as senescence
associated beta-galactosidase (SA-beta-Gal) activity, cell cycle, telomerase
activity, expression of senescence markers (p16 and p53), and the matrix
homeostatic phenotype. Additionally, intracellular reactive oxygen species (ROS)
and the activity of the NF-kappaB pathway were also analyzed. RESULTS: Compared
with the control group, the inflammatory cytokine TNF-alpha significantly
promoted NP cell senescence, reflected by the increase in SA-beta-Gal activity,
G0/G1 phase fraction and senescence markers (p16 and p53) expression, and the
decrease in telomerase activity and matrix macromolecules (aggrecan and collagen
II) expression. However, OP-1 suppressed the effects of TNF-alpha on NP cell
senescence. Further analysis showed that OP-1 reduced ROS generation in TNF-alpha
treated NP cells, as well as the resulting activity of the NF-kappaB pathway.
CONCLUSION: OP-1 can attenuate inflammatory cytokine TNF-alpha-induced NP cell
senescence, and the ROS/ NF-kappaB pathway may participate in this regulatory
process. This study provides that OP-1 may be efficacy in retarding inflammation
exacerbated disc degeneration.
PMID- 29367113
TI - Distribution and transfer of potentially toxic metal(loid)s in Juncus effusus
from the indigenous zinc smelting area, northwest region of Guizhou Province,
China.
AB - We collected samples (i.e., the aerial parts and roots of Juncus effusus and
their growth media) in the indigenous zinc smelting area in the northwest region
of Guizhou Province, China, and we measured and analyzed potentially toxic
metal(loid)s (arsenic, As; cadmium, Cd; chromium, Cr; copper, Cu; mercury, Hg;
lead, Pb and zinc, Zn) in these samples. The results include the following:
First, there is a high concentration of one or more potentially toxic
metal(loid)s in the slag and surrounding soil in the research area. This
situation might be caused by metal(loid) damage or contamination due to the
circumstances. Additionally, Juncus effusus in the indigenous zinc smelting area
are contaminated by some potentially toxic metal(loid)s; since they are used for
Chinese medical materials, it is especially significant that their As, Cd and Pb
concentrations are greater than their limited standard values. Finally, both the
bioconcentration factors and transfer factors for most potentially toxic
metal(loid)s in Juncus effusus are less than 1 in the study area. Therefore, we
suggest that Juncus effusus could be used for phytostabilization or as a pioneer
plant for phytoremediation of potentially toxic metal(loid)s because it has a
tolerance and exclusion mechanism for these metal(loid)s in the research
district.
PMID- 29367114
TI - A gentle reminder about p-values: What are we actually teaching?
PMID- 29367115
TI - Alcohol-induced blackouts, subjective intoxication, and motivation to decrease
drinking: Prospective examination of the transition out of college.
AB - OBJECTIVE: We prospectively examined whether subjective intoxication serves as a
risk factor for experiencing alcohol-induced blackouts. We then examined whether
subjective intoxication and/or blackouts predicted motivation to decrease their
drinking, and whether this motivation to change would promote future changes in
drinking behavior. METHOD: Participants (N=1854, 62.1% female, 53.2% Caucasian,
Mage=21.8) were recruited the summer prior to matriculating into a large, public
university to complete a 6-year longitudinal study. Self-reported motivation to
decrease their drinking behavior, their frequency of blackouts, quantity of
alcohol consumption, and subjective intoxication (i.e., feeling drunk) were
assessed annually during the transition out of college (Years 4-6). RESULTS: In a
cross-lagged model, subjective intoxication (i.e., feeling drunk) prospectively
predicted experiencing blackouts (p<0.001). Controlling for both objective (e.g.,
quantity) and subjective intoxication, blackouts at Year 4 predicted greater
motivation to decrease drinking behavior at Year 5 (p<0.01), but this motivation
did not predict less quantity of alcohol use by Year 6 (p=0.076). CONCLUSIONS:
Subjective intoxication is a robust predictor of blackouts across time.
Additionally, blackouts are modest, developmentally-limited predictors of
motivation to change drinking behavior, but blackouts do not predict future
behavior change.
PMID- 29367116
TI - Contingency management for college student smokers: The role of drinking as a
moderator and mediator of smoking abstinence during treatment.
AB - INTRODUCTION: Contingency management (CM) is effective for promoting smoking
abstinence; however, moderators and mediators of CM treatment efficacy in young
adult populations are under-explored. We leveraged fine-grained data from a large
randomized controlled trial: 1) to determine whether early attainment of
sustained abstinence mediated the effect of treatment on abstinence; 2) to test
whether heavy drinking moderated the effect of treatment on abstinence; and 3) to
test a serial mediation model of the effects of drinking during early treatment
on sustained smoking abstinence. METHODS: College student smokers (N=110) were
randomized to receive either CM treatment or noncontingent reinforcement (NR)
over a 21-day treatment period. All participants received $5 for providing twice
daily breath carbon monoxide (CO) samples. In CM, additional money was provided
for samples that indicated smoking reduction (Initial Phase; first 7days), and
for samples <=5ppm (Abstinence Phase; following 14days). RESULTS: CM treatment
led to greater sustained abstinence relative to NR. Longer sustained abstinence
in the Initial Phase partially mediated the effect of treatment on sustained
abstinence in the Abstinence Phase. Heavier pretreatment drinkers had shorter
periods of sustained abstinence in the Abstinence Phase; this effect was greater
in CM. A serial mediation model determined that increased drinking during the
Initial Phase led to decreased sustained abstinence, which then led to decreased
sustained abstinence in the Abstinence Phase. CONCLUSIONS: These data provide a
greater understanding of how heavy drinking and early sustained abstinence may
affect success during treatment in young adults undergoing contingency management
treatment for smoking.
PMID- 29367117
TI - Feasibility of addition of polyphenol-rich vegetable extracts in whole cooked
products: Benefits and drawbacks.
AB - Pork loins were cooked with 5 different polyphenol-rich vegetable extracts:
Polygonum cuspidatum, oregano extract, rosemary extract, white grape extract and
green tea extract. These extracts were added to brine, taking into account their
solubility, for subsequent injection and cooking. Loins with added vegetable
extracts exhibited higher antioxidant effect compared to controls, as shown by
the oxidized/reduced ascorbate ratio, the potential of reduction and the fat
oxidation rate. However, some effects were observed in terms of taste, colour
changes and, in some cases (green tea and oregano), presence of blots on meat
slices. Poor diffusion of the brine through the muscle fibres and insolubility of
the extracts in localized spots are weaknesses of these products. A significant
amount of polyphenols was lost or destroyed during the cooking phase of the
process. Sensory tests show that only Polygonum cuspidatum and rosemary extract
result in an acceptable product. In this case the contribution of active
molecules in the final product is rather limited.
PMID- 29367118
TI - Identification of ground meat species using near-infrared spectroscopy and class
modeling techniques - Aspects of optimization and validation using a one-class
classification model.
AB - Chemometric methods permit the construction of classifiers that effectively
assist in monitoring safety, quality and authenticity of meat based on the near
infrared (NIR) spectral fingerprints. Discriminant techniques are often
considered in multivariate quality control. However, when the authenticity of
meat products is the primary concern, they often lead to an incorrect recognition
of new samples. The performances of two class modeling techniques (CMT) in order
to recognize meat sample species based on their NIR spectra was compared - a one
class classifier variant of the partial least squares method (OCPLS) and the soft
independent modeling of class analogy (SIMCA). Based on obtained sensitivity and
specificity values, OCPLS and SIMCA can be considered as an effective CMT for the
classification of complex natural samples such as studied meat samples (with a
relatively large variability). Moreover, particular attention was paid to the
optimization and validation of a one-class classification model.
PMID- 29367119
TI - Nutritional value and potential chemical food safety hazards of selected Polish
sausages as influenced by their traditionality.
AB - Traditional food products have been regaining consumer interest worldwide. The
aim of the study was to investigate the differences in nutritional value of
traditional and conventional Polish sausages and to determine potential chemical
hazards connected with these products. The research material consisted of 5
varieties of registered traditional sausages and 4 varieties of conventional
sausages. The nutritional value was identified based on selected indicators:
protein, fat, NaCl, total ash, water, Feder's number, Ca, Fe, Mg, K, Zn, Cr, Cu;
whereas the chemical food safety - based on: nitrates and nitrites, total and
added phosphorus, Cd, Pb. The results of this study show that traditional
sausages have higher content of protein, zinc, magnesium and potassium as well as
lower concentrations of calcium, water and total ash, plus lower water to protein
ratio in comparison to conventional counterparts. Polyphosphates are not used in
the production of traditional sausages and the amounts of added nitrites are at
low levels.
PMID- 29367120
TI - Optimum hexametaphosphate concentration to inhibit efflorescence formation in dry
fermented sausages.
AB - The occurrence of efflorescences on the surface of dry fermented sausages
represents a current issue for the meat processing industry. Preventing the
efflorescence formation by the addition of sodium hexametaphosphate (SHMP) was
shown to be promising in a previous study. The optimum SHMP addition was studied
by adding SHMP (0.0, 1.0, 3.0, and 5.0g/kg) directly to the sausage batter.
Visual and chemical analyses were conducted during 8weeks of storage under
modified atmosphere. Visual analyses revealed significant lower amounts of
efflorescences on the sausage surface after 8weeks when 1.0 (27.1%), 3.0 (9.0%),
and 5.0g/kg SHMP (3.4%) were added, compared to the control with 38.0%
efflorescences. SHMP significantly affected the occurrence (8weeks) of magnesium
on the surface: +85.5%, +23.7%, +3.5%, and -28.2% for 0.0, 1.0, 3.0, and 5.0g/kg,
respectively. The addition of 4.785g/kg was calculated to fully inhibit the
formation of efflorescences by complexing magnesium ions.
PMID- 29367121
TI - Effect of ultraviolet light, organic acids, and bacteriophage on Salmonella
populations in ground beef.
AB - This study investigated individual and combined effects of organic acids,
bacteriophages, and ultraviolet light interventions on Salmonella populations in
ground beef. Beef trim was inoculated with four Salmonella strains to result in a
contamination level of 3.5 log CFU/g after grinding. Lactic (LA) and peroxyacetic
(PAA) acids, bacteriophages (S16 and FO1a) (BA), and ultraviolet light (UV) were
applied on fresh trim prior to grinding. Applications of individual or combined
organic acids did not significantly decrease Salmonella populations in ground
beef. Individual applications of BA and UV light decreased approximately 1 log
CFU/g (P<0.05). Combined applications of BA and UV provided an optimal decrease
of 2 log CFU/g (P<0.05). Organic acid applications do not reduce Salmonella
populations in ground beef when applied on trim prior to grinding. Combined
applications of UV and BA may be used in industry settings to improve Salmonella
control in ground beef.
PMID- 29367122
TI - Clinical classification criteria for nonspecific low back pain: A Delphi-survey
of clinical experts.
AB - BACKGROUND: Nonspecific low back pain (NSLBP) is a common problem. Attempts have
been made to classify NSLBP patients into homogenous subgroups. Classification
systems based on identifying the underlying mechanism(s) driving the disorder are
clinically useful to guide specific interventions. OBJECTIVE: To establish
consensus among experts regarding clinical criteria suggestive of a dominance of
'articular', 'myofascial', 'neural', 'central', and 'sensorimotor control'
dysfunction patterns (DPs) in NSLBP patients. STUDY DESIGN: A 2-phase sequential
design of a focus group and Delphi-study. METHODS: A focus group with 10 academic
experts was organized to elaborate on the different DPs discernible in LBP
patients. Consecutively, a 3-round online Delphi-survey was designed to obtain
consensual symptoms and physical examination findings for the 5 DPs resulting
from the focus group. RESULTS: Fifteen musculoskeletal physical therapists from
Belgium and the Netherlands experienced in assessing and treating LBP patients
completed the Delphi-survey. Respectively, 34 (response rate, 100.0%), 20 (58.8%)
and 15 (44.12%) respondents replied to rounds 1, 2 and 3. Twenty-two 'articular',
20 'myofascial', 21 'neural', 18 'central' and 11 'sensorimotor control' criteria
reached a predefined >=80% consensus level. For example, after round 2, 85.0% of
the Delphi-experts agreed to identify 'referred pain below the knee' as a
subjective examination criterion suggestive for a predominant 'neural DP'.
CONCLUSION: These indicators suggestive of a clinical dominance of the proposed
DPs could help clinicians to assess and diagnose NSLBP patients. Future
reliability and validity testing is needed to determine how these criteria may
help to improve physical therapy outcome for NSLBP patients.
PMID- 29367123
TI - Covariance data evaluation for 233U.
AB - The FITWR program was developed and applied to experimental nuclear data by using
the weighted least square method with nonlinear regression for high-order
polynomials to meet the growing demands of nuclear data. It can deal with
provided variance and covariance data along with experimental data to obtain
evaluation data. The aim of this study was to investigate the validity and
accuracy of the cross-sectional data for 233U to perform the calculations of the
critical system by performing the pre-calculations using the SAMMY code as
follows. First, by using the Bayes method, which is available in the SAMMY code,
and then by using the FITWR code, which consists of facilitated weighted least
square method with nonlinear regression. To validate the FITWR fitting method, a
comparison was made with the Bayes method for several benchmarks, and it was
tested in both thermal and fast-energy regions. All the computational work done
for this paper was carried out at IMAN1 Center - Jordan's National Supercomputing
Center.
PMID- 29367124
TI - Application of the 'Spiking' method to the measurement of low dose radiation (<=
1Gy) using alanine dosimeters.
AB - Alanine dosimeters are limited in radiotherapy by poor sensitivity at low doses
(< 5Gy). A set of alanine dosimeters were 'spiked' with a large dose of
radiation, (~30Gy, 6MV X-rays) and additional doses ranging between 0.5 and 10Gy.
The radical yield was measured by Electron Paramagnetic Resonance (EPR)
spectroscopy, and after subtraction of the contribution from the "spike" dose, a
linear correlation between the radiation dose and the area of the central EPR
signal was obtained for doses between 0.5 and 10Gy (regression value of 0.9890),
and for the central peak's amplitude (regression value of 0.9895). Overall, this
method is easy to perform, requires no complex EPR signal analysis, and offers
much potential to extend the current usage of alanine dosimeters in radiotherapy.
PMID- 29367125
TI - Graph cuts and neural networks for segmentation and porosity quantification in
Synchrotron Radiation X-ray MUCT of an igneous rock sample.
AB - X-ray Synchrotron Radiation Micro-Computed Tomography (SR-uCT) allows a better
visualization in three dimensions with a higher spatial resolution, contributing
for the discovery of aspects that could not be observable through conventional
radiography. The automatic segmentation of SR-uCT scans is highly valuable due to
its innumerous applications in geological sciences, especially for morphology,
typology, and characterization of rocks. For a great number of uCT scan slices, a
manual process of segmentation would be impractical, either for the time expended
and for the accuracy of results. Aiming the automatic segmentation of SR-uCT
geological sample images, we applied and compared Energy Minimization via Graph
Cuts (GC) algorithms and Artificial Neural Networks (ANNs), as well as the well
known K-means and Fuzzy C-Means algorithms. The Dice Similarity Coefficient
(DSC), Sensitivity and Precision were the metrics used for comparison. Kruskal
Wallis and Dunn's tests were applied and the best methods were the GC algorithms
and ANNs (with Levenberg-Marquardt and Bayesian Regularization). For those
algorithms, an approximate Dice Similarity Coefficient of 95% was achieved. Our
results confirm the possibility of usage of those algorithms for segmentation and
posterior quantification of porosity of an igneous rock sample SR-uCT scan.
PMID- 29367126
TI - Remote clinical decision making: Evaluation of a new education module.
PMID- 29367127
TI - Biomass based hydrogen production by dark fermentation-recent trends and
opportunities for greener processes.
AB - The generation of biohydrogen as source of biofuel/bioenergy from the wide
variety of biomass has gathered a substantial quantum of research efforts in
several aspects. One of the major thrusts in this field has been the pursuit of
technically sound and effective methods and/or approaches towards significant
improvement in the bioconversion efficiency and enhanced biohydrogen yields. In
this perspective, the present contribution showcases the views formulated based
on the latest advances reported in dark fermentative biohydrogen production
(DHFP), which is considered as the most feasible route for commercialization of
biohydrogen. The potential prospects and future research avenues are also
presented.
PMID- 29367128
TI - Remediation of As(III) and Cd(II) co-contamination and its mechanism in aqueous
systems by a novel calcium-based magnetic biochar.
AB - A novel calcium-based magnetic biochar (Ca-MBC), made by pyrolyzing the mixture
of rice straw, iron oxide (Fe3O4) and calcium carbonate (CaCO3), was developed in
this study for remediation of co-pollution of arsenic and cadmium.
Characteristics of the material showed that Fe3O4 and CaCO3 were adhered on the
surface of biochar. The experiments on the effects of pH, adsorption kinetics and
isotherm revealed that the Ca-MBC had a great ability to adsorb arsenic and
cadmium within 0.5 h for cadmium and 12 h for arsenic with a maximum adsorption
capacity of 6.34 and 10.07 mg g-1, respectively, and that the adsorption of both
metals was pH-dependent from 2 to 12 with an optimal pH of pH 5. The mechanism of
co-adsorption of Cd(II) and As(III) included both competitive and synergistic
effects. The presence of As(III) enhanced Cd(II) adsorption by 3-16% while Cd(II)
addition suppressed As(III) adsorption by 15-33%. The synergistic effects on
As(III) and Cd(II) adsorption had resulted from the electrostatic interaction and
the formation of type B ternary surface complexes. These new insights provide
valuable information for the application of Ca-MBC as a potential adsorbent in
treatment of water contaminated with As(III) and Cd(II).
PMID- 29367129
TI - Rapid and selective recovery of acetophenone from petrochemical effluents by
crosslinked starch polymer.
AB - A porous crosslinked starch polymer (CSTO) using bitolylene diisocyanate as
crosslinker was prepared by a facile method and used to selectively recover
acetophenone (AP) from petrochemical effluent mainly containing AP and 1
phenylethanol (PE). The theoretical calculation results indicated that AP
exhibited the superior affinity toward the adsorption sites of CSTO through
noncovalent interactions. The adsorption measurements showed that, due to the
large surface area and high affinity of phenyl rings, CSTO displayed an extremely
rapid adsorption rate, a desirable adsorption capacity and selectivity to AP. The
adsorption kinetics and isotherms in single solute system agreed well with the
pseudo-second-order kinetic model and Freundlich isotherm model, and the
adsorption was exothermic and spontaneous. Furthermore, CSTO showed excellent
reusability for selective adsorption of AP from equimolar mixture of AP/PE,
prompting us to further examine its applicability. In the practical application,
CSTO also revealed a fast, preferential and reusable adsorption of AP from actual
petrochemical effluent.
PMID- 29367130
TI - Surface molecular imprinting on carbon microspheres for fast and selective
adsorption of perfluorooctane sulfonate.
AB - Perfluorooctane sulfonate (PFOS) is a persistent organic pollutant with high
biological and chemical stability. It is important to develop fast and selective
adsorption method for PFOS wastewater treatment. In this study, novel molecularly
imprinted polymer (MIP) for PFOS adsorption was prepared. To obtain rapid
adsorption kinetics, the MIP has been designed as the surface polymer using the
carbon microsphere as carrier (MIP-CMSs). To ensure high adsorption selectivity
to the template, two monomers with different functional structures, namely
methacryloyloxyethyl trimethyl ammonium chloride (DMC) and 2
(trifluoromethyl)acrylic acid (TFMA), were employed as bi-functional monomers.
The structure and morphology of MIP-CMSs were characterized using field emission
scanning electron microscopy with the energy dispersive spectrometer,
transmission electron microscopy, and Fourier transformation infrared
spectroscopy. Based on the adsorption experiments, it was concluded that MIP-CMSs
had specific binding property for PFOS on acidic condition. The adsorption
equilibrium time was 1h, while the adsorption capacity was 75.99 mg g-1 at pH 3.
Coexistence with contaminants with different structures had little influence on
the selectivity for PFOS. The spent MIP-CMSs could be regenerated by the methanol
and acetic acid mixed solution. The electrostatic interaction and molecular size
played important roles in recognizing the target compound in the adsorption
process.
PMID- 29367131
TI - Stereochemistry of ephedrine and its environmental significance: Exposure and
effects directed approach.
AB - Analysis of drugs and pharmaceuticals in the environment is typically performed
with non-chiral chromatographic techniques. The environmental risks posed by
chiral compounds analysed in this way must therefore be assumed to be independent
of chirality, meaning that each enantiomer is equally potent in toxicity and long
lived in stability. This manuscript examines the degradation of each of the four
isomers of ephedrine in river simulating microcosms and links this to toxicity
data obtained by exposing three different organisms (D. magna, P. subcapitata and
T. thermophila) to each of the isomers individually. Microcosms showed that
significant degradation only occurred in biotic conditions and that only two
isomers (1R,2S-(-)-ephedrine, 1S,2S-(+)-pseudoephedrine) degraded significantly
over a period of fourteen days. This is concerning because at least one of the
non-degraded isomers (1S,2R-(+)-ephedrine) has been observed in wastewater
effluent, which discharges directly into rivers, meaning these isomers could be
persistent in the environment. We also observed formation of 1S,2R-(+)-ephedrine
in single isomer 1R,2S-(-)-ephedrine river simulating microcosms. Human liver
microsome assays and mass spectrometry based data mining revealed that 1S,2R-(+)
ephedrine is not human derived but it could be formed as a results of microbial
metabolic processes. Across all three organisms tested the persistent isomers
(1S,2R-(+)-ephedrine and 1R,2R-(-)-pseudoephedrine) were more toxic than those
that undergo degradation; meaning that if these isomers are entering or formed in
the environment they might represent a potentially hazardous contaminant.
PMID- 29367132
TI - Synthesis of zincphthalocyanine-based conjugated microporous polymers with rigid
linker as novel and green heterogeneous photocatalysts.
AB - The novel zincphthalocyanine-based conjugated microporous polymers with rigid
linker (alpha-ZnPc-CMP and beta-ZnPc-CMP) were synthesized by copolymerization of
zinc phthalocyanine (ZnPc) and 4, 6-diaminoresorcinol dihydrochloride (DADHC).
The alpha-ZnPc-CMP and beta-ZnPc-CMP were utilized as heterogeneous
photocatalysts to degrade Rhodamine B (RhB) in aqueous solution. It is the first
time for MPc-based CMPs used as heterogeneous photocatalysts for photodegradation
of RhB to date. The highly ordered skeletal alignment and two-dimensional open
channel structure of alpha-ZnPc-CMP and beta-ZnPc-CMP not only solve the
aggregation of ZnPc and enhance its photocatalytic activity, but also facilitate
the recycling and avoid the secondary pollution. The chemical structures and
morphologies of alpha-ZnPc-CMP and beta-ZnPc-CMP were well characterized by
Fourier transform infrared spectra (FT-IR), solid-state 13C nuclear magnetic
resonance (13C NMR), scanning electron microscopy (SEM), N2-sorption/ desorption
and X-ray diffraction (XRD). The solubility experiments and thermogravimetric
analysis (TGA) showed they have good chemical stability and recyclability.
Furthermore, the photocatalytic tests indicated alpha-ZnPc-CMP and beta-ZnPc-CMP
have excellent photocatalytic performances for degradation of RhB (3 h, degraded
98 and 97.47%) in the presence of H2O2 under visible-light irradiation. All
results reveal that alpha-ZnPc-CMP and beta-ZnPc-CMP have great potential as
photocatalysts on the degradation of organic dye contaminants. Moreover, the
possible reaction mechanism of alpha-ZnPc-CMP and beta-ZnPc-CMP as photocatalysts
for the degradation of RhB is proposed.
PMID- 29367133
TI - Occurrence and fate of colloids and colloid-associated metals in a mining
impacted agricultural soil upon prolonged flooding.
AB - Colloids formed during soil flooding can potentially facilitate the mobilization
of metal contaminants. Here, laboratory batch incubations with a contaminated
soil were performed to monitor temporal changes in the porewater dynamics of
metals, the morphology and composition of colloids, and the speciation of
colloids-associated metals during 30 days of flooding. The concentrations of
colloidal and dissolved metals increased initially and peaked at a certain time,
but then decreased with the on-going sulfate reduction. The combined analysis of
spectrometric, spectroscopic, and size-fractionation results revealed that the
dynamics of Cu were dominated by microbe-associated colloids and were mediated
largely by Cu(0) biomineralization and subsequent sulfidation, while the microbe
associated and freely dispersed colloids were equally relevant for governing the
dynamics of Cd and Pb. Mobilization of Zn, on the other hand, was dominated by
its dissolved form, probably due to the low thermodynamic stability of Zn
sulfide. Additionally, adsorption via organic functional groups was another
mechanism for metal incorporation into colloids. We also provided direct
spectroscopic evidence for the formation and persistence of dispersed
heterocolloids consisting of CuxS and CdS during flooding. Our findings suggest
that colloids-induced metal mobilization should be considered in assessing
bioavailability and risks of metals in contaminated soils upon flooding.
PMID- 29367134
TI - Impact of TiO2 on the chemical and biological transformation of formulated chiral
metalaxyl in agricultural soils.
AB - The impacts of TiO2 on the chemical and biological transformation of racemic
metalaxyl wettable powder (rac-metalaxyl WP) in agricultural soils, and soil
microorganisms were investigated. Under simulated solar irradiation, TiO2 highly
promoted the transformation of rac-metalaxyl WP without changing the enantiomer
fraction, with the promotion amplitude (60-1280%) being dependent on TiO2
characteristics. TiO2 characteristics showed different influence on the
transformation of rac-metalaxyl WP in soils and aqueous solutions because their
characteristics changed differently in soils. The impact of the mancozeb and
other co-constituents on the transformation of rac-metalaxyl WP was smaller in
soil media than in aqueous solution. Autoclave sterilization changed soil
properties and subsequently weakened the promotion effects of TiO2 on the
chemical transformations of rac-metalaxyl WP to 0-233%. Microorganism biomass and
bacterial community were not statistically significant changed by TiO2 exposure
regardless of rac-metalaxyl WP, suggesting that the promotional effects occurred
mainly through chemical processes. The results also showed TiO2-soil interactions
may be strengthened with TiO2 (Degussa P25) aging time in soils, which decreased
its promotion amplitude from 1060% (without aging) to 880% (aging for 20 days).
Intermediate formed in soil biological transformation process was different from
that in TiO2 photocatalysis process.
PMID- 29367135
TI - Multimedia fate modeling and risk assessment of antibiotics in a water-scarce
megacity.
AB - As a result of the widespread use of antibiotics, a large amount of excretion
from human and animals containing antibiotic residues was discharged into the
environment with wastewaters and manures, leading to potential adverse effects on
ecosystem health. To understand the environmental fate of antibiotics, a dynamic
level IV fugacity model was established here by introducing the novel process of
nondiffusive wastewater irrigation from water to soil, and applied to a large
scale water-scarce region, the megacity Beijing. Furthermore, a Monte-Carlo based
risk assessment approach was employed to evaluate the potential risks posed by
antibiotics in water, sediment and soil, combined with the soil-water equilibrium
partitioning method. Model validation, sensitivity and uncertainty analysis
suggests that the fugacity model can successfully simulate the reported
concentration data within an average difference of 0.2 logarithmic units. Results
showed that more than one hundred tonnes of antibiotics were estimated to be
discharged into the environment of Beijing in 2013, and, resulted in high
antibiotics levels and posed high potential risks on the aquatic environment. On
the other hand, although wastewater irrigation increased the antibiotics
concentrations in soil and even dominated the total transfer fluxes, the overall
risk levels of antibiotics in the soil were acceptable.
PMID- 29367136
TI - N- and O- ligand doped mesoporous silica-chitosan hybrid beads for the efficient,
sustainable and selective recovery of rare earth elements (REE) from acid mine
drainage (AMD): Understanding the significance of physical modification and
conditioning of the polymer.
AB - Silica-chitosan hybrid beads were synthesized via three different methods to
investigate the selective recovery of REE from AMD. The influence of amino/non
amino silanes, high molecular weight/high viscous chitosan and N-/O- based
ligands were studied and their effects on REE removal efficiencies were analyzed.
The adsorption efficiencies of three various groups of modified beads were
inspected with respect to feed pH, in a single and a multi-component system, and
their affinities towards the light and heavy rare earth elements (LREE/ HREEs)
were interpreted to understand the intra-series REE separation behavior. The
focus of the study was mainly directed towards utilizing these fabricated beads
for the recovery of valuable REEs from the real AMD obtained at three different
sampling depths which was found rich in iron, sulfur and aluminum. Moreover, the
selectivity of the beads towards REEs improved with silanized and ligand
immobilized gels and their impacts on REE recovery in the presence of competing
ions were successfully presented in this paper. Also, the synthesized beads
showed rapid REE adsorption and recovery within a process time of 5 min. Group II
adsorbents, synthesized by forming silica-chitosan hybrid beads followed by
PAN/acac modifications, showed superiority over the other groups of adsorbents.
PMID- 29367137
TI - Graphene oxide-facilitated transport of levofloxacin and ciprofloxacin in
saturated and unsaturated porous media.
AB - In this work, effects of graphene oxide (GO) on the co-transport of the two
typical Fluoroquinolones (FQs) - levofloxacin (LEV) and ciprofloxacin (CIP) in
saturated and unsaturated quartz sand media were studied. The adsorption
isotherms showed that GO had much larger sorption capacities to LEV and CIP than
sand with the largest Langmuir adsorption capacity of 409 mg g-1 (CIP-GO); while
the sorption affinity of the two FQs onto the two adsorbents might follow the
order of CIP-sand > LEV-sand > LEV-GO > CIP-GO. GO promoted the mobility of the
two FQs in both saturated and unsaturated porous media due to its strong mobility
and sorption capacity. The GO-bound LEV/CIP was responsible for the LEV/CIP
transport in the porous media, and transport of GO-bound FQs increased with the
increasing of initial GO concentration. Under unsaturated conditions, moisture
showed little effect on the transport of GO-bound CIP; however, the mobility of
GO-bound LEV reduced with the decreasing of moisture content, suggesting the
transport of adsorbed LEV from GO to air-water interface. GO sorption reduced the
antibacterial ability of the two FQs, but they were still effective in inhibiting
E. coli growth.
PMID- 29367138
TI - Evaluation of the effectiveness and mechanisms of acetaminophen and methylene
blue dye adsorption on activated biochar derived from municipal solid wastes.
AB - The adsorption potential and governing mechanisms of emerging contaminants, i.e.
acetaminophen or acetyl-para-aminophenol (APAP) and methylene blue (MB) dye, on
activated carbon derived from municipal solid waste were investigated in this
work. Results showed that MB adsorption was significantly more effective, with a
maximum removal of 99.9%, than APAP adsorption (%Rmax = 63.7%). MB adsorption was
found to be unaffected by pH change, while the adsorption capacity of APAP
drastically dropped by about 89% when the pH was adjusted from pH 2 to 12.
Surface reactions during APAP adsorption was dominated by both physical and
chemical interactions, with the kinetic data showing good fit in both pseudo
first order (R2 = 0.986-0.997) and pseudo-second order (R2>0.998) models. On the
other hand, MB adsorption was best described by the pseudo-second order model,
with R2>0.981, denoting that chemisorption controlled the process. Electrostatic
attractions and chemical reactions with oxygenated surface functional groups
(i.e., -OH and -COOH) govern the adsorption of APAP and MB on the activated
biochar. Thermodynamic study showed that APAP and MB adsorption were endothermic
with positive DeltaH degrees values of 16.5 and 74.7 kJ mol-1, respectively.
Negative DeltaG degrees values obtained for APAP (-3.7 to -5.1 kJ mol-1) and MB
(-11.4 to -17.1 kJ mol-1) implied that the adsorption onto the activated biochar
was spontaneous and feasible. Overall, the study demonstrates the effectiveness
of activated biochar from municipal solid wastes as alternative adsorbent for the
removal of acetaminophen and methylene blue dye from contaminated waters.
PMID- 29367139
TI - An assessment of the performance of municipal constructed wetlands in Ireland.
AB - While performance assessments of constructed wetlands sites around the world have
appraised their capacity for effective removal of organics, a large variance
remains in these sites' reported ability to retain nutrients, which appears to
depend on differences in design, operation and climate factors. Nutrient
retention is a very important objective for constructed wetlands, to avoid
eutrophication of aquatic environments receiving their effluents. This study
assessed the performance of constructed wetlands in terms of nutrient retention
and associated parameters under the humid conditions of Ireland's temperate
maritime climate. A review of the performance of 52 constructed wetland sites
from 17 local authorities aimed to identify the best performing types of
constructed wetlands and the treatment factors determining successful compliance
with environmental standards. Data analysis compared effluent results from
constructed wetlands with secondary free surface flow or tertiary horizontal
subsurface flow, hybrid systems and integrated constructed wetlands with those
from small-scale mechanical wastewater treatment plants of the same size class.
Nutrient concentrations in effluents of constructed wetlands were negatively
correlated (p < .01) with specific area, i.e. the ratio of surface area and
population equivalents. The latest generation of integrated constructed wetlands,
which had applied design guidelines issued by the Department of the Environment,
performed best. Storm management design features improved treatment performance
of constructed wetlands significantly (p < .05) for total suspended solids
concentrations and exceedance frequency of limit values for total nitrogen.
Mechanical wastewater treatment plants, secondary free surface water and tertiary
horizontal subsurface flow wetlands showed a very large variance in effluent
concentrations for organic and nutrient parameters. E. coli numbers in effluents
were lowest for integrated constructed wetlands with an arithmetic mean of 89
MPN/100 ml. Despite Ireland's humid climate, some constructed wetland sites
achieved long or frequent periods of zero effluent discharge and thus did not
transfer any waterborne pollution to their receptors during these periods.
PMID- 29367140
TI - Cost and performance tradeoffs between mail and internet survey modes in a
nonmarket valuation study.
AB - Using the results of a choice modeling survey, internet, mail-only and mixed
internet and mail survey modes were examined with regards to their cost
effectiveness, representativeness, and willingness to pay (WTP). The topical
focus of the study was biomass energy generation preferences of the residents of
Montana, Colorado and Arizona, USA. Compared to the mail and mixed mode samples,
the internet-only mode produced a sample of respondents that was younger, more
likely to have a college degree, and more likely to have a household income of at
least $100,000 per year. However, observed differences in the characteristics of
the collected sample did not result in significant differences in estimates of
WTP. The internet survey mode was the most cost-effective method of collecting
the target sample size of 400 responses. Sensitivity analysis showed that as the
target number of responses increased the cost advantage of internet over the mail
only and mixed mode surveys increased because of the low marginal cost associated
with extending additional invitations.
PMID- 29367141
TI - Combined heterogeneous Electro-Fenton and biological process for the treatment of
stabilized landfill leachate.
AB - Treatment of stabilized landfill leachate is a great challenge due to its poor
biodegradability. Present study made an attempt to treat this wastewater by
combining electro-Fenton (E-Fenton) and biological process. E-Fenton treatment
was applied prior to biological process to enhance the biodegradability of
leachate, which will be beneficial for the subsequent biological process. This
study also investigates the efficiency of iron molybdophosphate (FeMoPO)
nanoparticles as a heterogeneous catalyst in E-Fenton process. The effects of
initial pH, catalyst dosage, applied voltage and electrode spacing on Chemical
Oxygen Demand (COD) removal efficiency were analyzed to determine the optimum
conditions. Heterogeneous E-Fenton process gave 82% COD removal at pH 2, catalyst
dosage of 50 mg/L, voltage 5 V, electrode spacing 3 cm and electrode area 25 cm2.
Combined E-Fenton and biological treatment resulted an overall COD removal of
97%, bringing down the final COD to 192 mg/L.
PMID- 29367142
TI - A case for the commons: The Snow Crab in the Barents.
AB - Closing of the marine commons increases economic returns and slows depletion of
valuable ocean resources. Rights-based management is widely used for fisheries
rationalization. Regulators with sound biological and economic information can in
theory set overall harvest control rules that protect the fish stocks, and manage
for external costs and benefits from harvest. These may include ecosystem
damages, overcapitalization in the fishery, and/or equity concerns. Regulatory
efforts and related rights-based management instruments may increase the returns
to fishery stakeholders but miss important challenges that are increasing under
climate change. These include transboundary resource management and tradeoffs
between local economic returns and Total Economic Value. The case of the
valuable, yet invasive, crab species, Chionoecetes Opilio (Snow Crab) in the
Barents Sea illustrates the concerns. The spread of the crab has known and
unknown ecosystem and commercial fishery risks, particularly to uncertain
ecosystem values. We show how the progression of the biological invasion
interacts with human strategic behavior to identify limitations of management
options. Open access harvesting of the species in international waters has
generated a positive spillover effect by slowing the westward spread of the
species to sensitive benthic ecosystems. This benefit is threatened by
reclassification of the crab as a "sedentary species" (one which is not capable
of leaving the seabed when harvestable (UNCLOS, 1982, article 77, part VI)). This
shifts the regulatory environment for the crab in ways that exacerbate the
invasion in exchange for protection of local gains. Such problems will increase
in magnitude and impact as climate changes increasingly affect species' ranges.
Optimal decision-making regarding profitable species in new ecosystems must
incorporate how strategic institutional shifts occurring in response to the
economic incentives asymmetrically affect local and global stakeholders in
addition to standard concerns over ecological and economic damages.
PMID- 29367143
TI - A full-scale comparison of two hybrid constructed wetlands treating domestic
wastewater in Pakistan.
AB - Objective of the current work was to monitor the year-round response of full
scale hybrid constructed-wetlands (CWs) treating domestic wastewater under
variable continuous flow. Two systems were evaluated: system-I consisted of an
anaerobic baffled reactor (ABR) followed by a saturated vertical subsurface-flow
(VSSF) CW and a free-water-surface (FWS) CW as a tertiary treatment; system-II
consisted of an ABR followed by a horizontal subsurface-flow (HSSF) CW and FWS.
Maximum reduction of 80 and 78%, 81 and 82%, 63 and 69%, 79 and 89% for chemical
oxygen demand (COD), biological oxygen demand (BOD), total kjeldahl nitrogen
(TKN) and total suspended solids (TSS) was achieved in Systems I and II
respectively. There was also effective removal (94% and 93%) of the bacterial
population in both systems while more than 94% of pathogenic microorganisms were
removed. Data from both systems were further used to compute the first-order rate
constants for the k-C* model commonly used in CW design. The treatment
performance was confirmed to follow a first-order reaction rate, in which the k20
values of chemical oxygen demand (COD), biological oxygen demand (BOD), total
kjeldahl nitrogen (TKN), total phosphorus (TP) and total suspended solids were
calculated as 165, 117, 133, 7.5 and 78 m yr-1 respectively for VSSF and 226,
134, 199, 22 and 73 m yr-1 respectively for HSSF. A positive correlation with
temperature was discovered for all parameters in both systems.
PMID- 29367144
TI - A clinical-grade gene therapy vector for pharmacoresistant epilepsy successfully
overexpresses NPY in a human neuronal cell line.
AB - PURPOSE: Epilepsy is a common neurological condition characterised by recurrent
unprovoked seizures and often treatable with appropriate medication. However,
almost 30% of cases are pharmacoresistant and while a proportion of these may be
amenable to resective surgery, a gene therapy approach could be an attractive
alternative option. Neuropeptide Y (NPY) has anticonvulsant and anti
epileptogenic properties in animal models of temporal lobe epilepsy when
delivered by an adeno-associated viral (AAV) vector. Here we sought to
demonstrate successful secretion of NPY from AAV-transduced human neuronal cells,
which would be essential in planning any clinical trial. METHODS: A human
neuroblastoma cell line (SH-SY5Y) was used to assess in vitro whether an AAV
vector manufactured to clinical-grade protocols would be effective at transducing
these cells to express NPY. Optimal transduction efficiency was first achieved
with retinoic acid and tetradecanoylphorpol-13-acetate (TPA) treatment, prior to
expose to AAV1-green fluorescent protein (GFP) reporter vector, AAV1-NPY
therapeutic vector or sham treated with no vector. Levels of NPY in cell
supernatants were determined using two antibody-based methods RESULTS: We found
that the levels of NPY released into the cell culture media supernatant, and
protein extracts of the cell pellet, were significantly higher following exposure
to AAV1-NPY than when compared to either a control GFP reporter vector (AAV1-GFP)
or sham treated controls. CONCLUSION: This first demonstration that an AAV-NPY
construct can successfully transduce human neuronal cells supports the pre
clinical development of a clinical trial using AAV-based NPY for
pharmacoresistant epilepsy.
PMID- 29367145
TI - Pre-ictal heart rate changes: A systematic review and meta-analysis.
AB - PURPOSE: To estimate the incidence of pre-ictal heart rate (HR) manifestations
and to identify clinical and study-related factors modulating the estimate.
METHODS: We searched articles recording concurrent pre-ictal EEG and HR in adults
and children with epilepsy. Pre-ictal HR changes were classified as HR reduction
(HRR) or increase (HRI). Studies reporting the total number of seizures and the
number of seizures with pre-ictal HR changes were included in a random-effects
meta-analysis. A random-effects meta-regression was used to identify variables
affecting study heterogeneity. RESULTS: Thirty studies, including 1110
participants and 2957 seizures, were included. The meta-analysis showed a pooled
incidence of pre-ictal HRI of 36/100 seizures (95% CI 22-50). The pre-ictal HRI
incidence was 44/100 seizures (95% CI 33-55) in studies including temporal lobe
epilepsy, 55/100 seizures (95% CI 41-68) in studies enrolling adults and 35/100
seizures (95% CI 16-58) when patients on antiepileptic drugs were included. The
meta-regression showed that the age group, the length of the pre-ictal period,
the incidence of ictal tachycardia and the time of onset of the pre-ictal HRI had
a significant impact on estimates variability. The pooled incidence of pre-ictal
HRR was 0/100 seizures (95% CI 0-1). CONCLUSION: Review of bias evaluation and
methods assessment disclosed several major limitations in the evidence-base. HR
monitoring could be valuable to identify seizures prior to their apparent onset,
opening the possibility to early interventions. Additional effort is necessary to
delineate the target population who might benefit from its use and the mechanisms
sustaining the pre-ictal cardiac changes.
PMID- 29367146
TI - Surface active gold nanoparticles biosynthesis by new approach for
bionanocatalytic activity.
AB - In the present day, nanotechnology is one of the most promising leading
scientific and potentials areas in modern key technology development toward to
the humankind. The synthesis of noble metal nanoparticles (NPs) is an expanding
research area due to the possible applications for the development of bio-medical
applications. Eco-friendly approach for the biosynthesis of gold nanoparticles
(AuNPs) using the aqueous extract from Ruellia tuberosa and Phyllanthus acidus
(leaf and twig) for the first time. Surface active AuNPs were characterized by UV
Vis spectroscopy, FTIR (Fourier transform infrared) spectroscopy, DSC
(differential scanning colorimetry), DLS (dynamic light scattering) and
environmental SEM (scanning electron microscope) analysis at room temperature
(RT). Enhanced surface plasmon resonance (SPR) absorbance UV visible optical
spectra were detected in the range of 552, 548, 558 and 536 nm. SEM and DLS
(transmission mode) analysis confirmed the morphology of the nanoparticles to be
spherical with the average size in the range of 88.37, 94.31, 82.23 and 81.36 nm.
Further they have enhanced the enzyme activity on alpha-amylase, cellulase, and
xylanase. The results suggest that the phyto-fabricated AuNPs from R. tuberosa
and P. acidus is simple, less expensive, eco-friendly, green synthesis and also
can be exploited for the potential future industrial and bio-medical
applications.
PMID- 29367147
TI - Mixed microalgae consortia growth under higher concentration of CO2 from
unfiltered coal fired flue gas: Fatty acid profiling and biodiesel production.
AB - Biodiesel is produced by transesterification of fatty acid methyl esters (FAME)
from oleaginous microalgae feedstock. Biodiesel fuel properties were studied and
compared with biodiesel standards. Qualitative analysis of FAME was done while
cultivating mixed microalgae consortia under three concentrations of coal fired
flue gas (1%, 3.0% and 5.5% CO2). Under 1% CO2 concentration (flue gas), the FAME
content was 280.3 MUg/mL, whereas the lipid content was 14.03 MUg/mL/D (day).
Both FAMEs and lipid contents were low at other CO2 concentrations (3.0 and
5.5%). However, mixed consortia in the presence of phosphate buffer and flue gas
(PB + FG) showed higher saturated fatty acids (SFA) (36.28%) and unsaturated
fatty acids (UFA) (63.72%) versus 5.5% CO2 concentration, which might be
responsible for oxidative stability of biodiesel. Subsequently, higher cetane
number (52) and low iodine value (136.3 gI2/100 g) biodiesel produced from mixed
consortia (PB + FG) under 5.5% CO2 along with 50 mM phosphate buffer were found
in accordance with European (EN 14214) standard. Results revealed that phosphate
buffer significantly enhanced the biodiesel quality, but reduced the FAME yield.
This study intended to develop an integrated approach for significant improvement
in biodiesel quality under surplus phosphorus by utilizing waste flue gas (as CO2
source) using microalgae. The CO2 sequestration from industrial flue gas not only
reduced greenhouse gases, but may also ensure the sustainable and eco-benign
production of biodiesel.
PMID- 29367148
TI - Proposal for verifying dipole properties of light-harvesting antennas.
AB - For light harvesters with a reaction center complex (LH1-RC complex) of three
types, we propose an experiment to verify our analysis based upon antenna
theories that automatically include the required structural information. Our
analysis conforms to the current understanding of light-harvesting antennas in
that we can explain known properties of these complexes. We provide an
explanation for the functional roles of the notch at the light harvester, a
functional role of the polypeptide called PufX or W at the opening, a functional
role of the special pair, a reason that the cross section of the light harvester
must not be circular, a reason that the light harvester must not be spherical,
reasons for the use of dielectric bacteriochlorophylls instead of conductors to
make the light harvester, a mechanism to prevent damage from excess sunlight, an
advantage of the dimeric form, and reasons for the modular design of nature.
Based upon our analysis we provide a mechanism for dimerization. We predict that
the dimeric form of light-harvesting complexes is favored under intense sunlight.
We further comment upon the classification of the dimeric or S-shape complexes.
The S-shape complexes should not be considered as the third type of light
harvester but simply as a composite form.
PMID- 29367149
TI - Marine fungal DHICA as a UVB protectant: Assessment under in vitro and in vivo
conditions.
AB - The present study explores UVB protective role of a melanin precursor namely
DHICA (5,6- Dihydroxyindole-2-carboxylic acid) expressed by the marine imperfect
fungus Aspergillus nidulans. In brief, A. nidulans grown in a modified growth
medium for the period of 5 days at 25 degrees C under shaking conditions and the
extracellular medium free from fungal biomass used for the extraction of DHICA.
The extracted DHICA further exposed to partial purification and subjected to UVB
protection studies using HaCaT cells and Balb/c mice independently. DHICA
obtained in the present study found soluble in water. Experiments on HaCaT cell
compatibility revealed nil cell death up to 500 MUM concentration of DHICA. UVB
protection studies under in vitro conditions emphasizes DHICA significantly
protect HaCaT cells from UVB exposure by quenching the generated ROS, reducing
cell apoptosis, maintain the cellular integrity and sequentially down regulating
the LPO (Lipid peroxidation) and up-regulating the antioxidant enzyme (SOD
(Superoxide Dismutase), Catalase, GPx (Glutathione peroxidase)) respectively.
Further, experiments on cell cycle arrest analysis, gelatin zymography, and
western blot analysis on COX-2 and TNF-alpha, IHC (Immunohistochemistry) on
apoptotic markers (Bax, Bcl2) substantiate the protective role of DHICA.
Furthermore, in vivo studies on BALB/c mice carried out and compared with the
sunscreen cream with sun protective factor (SPF) of 20. Analysis of skin sections
of experimental samples revealed that an appreciable reduction in the epidermal
thickness of the skin samples of mice pre-exposed to DHICA followed by UVB
exposure compared to UVB exposure alone. RT-PCR results on various inflammatory
apoptotic markers also suggested that DHICA has UVB protective potential. The
observations made in the present study explore the possible application of DHICA
alone as a sun-protective agent for skin care.
PMID- 29367150
TI - Improving implementation of the smoking cessation guidelines with pregnant women:
How to support clinicians?
AB - OBJECTIVE: this study aimed to explore the enablers and barriers to
implementation of the Australian smoking cessation in pregnancy guidelines. These
guidelines direct clinicians to follow the 5As of cessation: Ask, Advise, Assess,
Assist and Arrange follow-up. DESIGN: semi-structured interviews based on the
Theoretical Domains Framework (TDF) elicited clinicians' views and experiences of
implementing the guidelines. SETTING: antenatal care in the NSW public health
system. PARTICIPANTS: 27 maternity service managers, obstetricians and midwives.
FINDINGS: participants confirmed that implementation of the smoking cessation
guidelines was sub-optimal. This was particularly the case with Assist and
Arrange follow up at the initial visit, and with following any of the 5As at
subsequent visits. Key barriers included systems which did not support
implementation or monitoring, lack of knowledge, skills and training, perceived
time restrictions, 'difficult conversations' and perceiving smoking as a social
activity. Enablers included clinicians' knowledge of the harms of smoking in
pregnancy, clinicians' skills in communicating with pregnant women, positive
emotions, professional role and identity, the potential of training and of
champions to influence practice, and systems that regulated behaviour. KEY
CONCLUSIONS: these findings will contribute to the development of a multifaceted
intervention to support clinicians in implementing the guidelines. IMPLICATIONS
FOR PRACTICE: Building on existing strengths, antenatal care providers may be
supported in implementing the guidelines by working with systems which remind and
support implementation, the clear reframing of smoking as an addiction, knowledge
and skills development and by realizing the potential of leadership to maximise
the impact of reinforcement and social influence.
PMID- 29367152
TI - Rediscovery of the Testate Amoeba Genus Penardeugenia (Thaumatomonadida,
Imbricatea).
AB - The genus Penardeugenia DEFLANDRE 1958 accommodates a single species which was
described as Pamphagus bathybioticus PENARD 1904. Although this species seems to
be well characterized in its description, it has never been recorded again.
Despite its possession of silica scales, it was assigned to the scale-lacking
Chlamydophryidae (Thecofilosea, Cercozoa). We here present morphological data of
three isolates. One of them was successfully cultured and enabled the
amplification of its SSU rDNA sequence. Molecular analyses revealed the
evolutionary origin of Penardeugenia in the scale-bearing flagellate class
Thaumatomonadida, which is backed up by morphological similarities of their
scales. We consider Penardeugenia to represent a closely related genus to
Thaumatomastix, which apparently lost its ability to form flagella. We describe
two new species from Germany, P. huelsmannii and P. hausmannii.
PMID- 29367151
TI - A New Classification of the Dictyostelids.
AB - Traditional morphology-based taxonomy of dictyostelids is rejected by molecular
phylogeny. A new classification is presented based on monophyletic entities with
consistent and strong molecular phylogenetic support and that are, as far as
possible, morphologically recognizable. All newly named clades are diagnosed with
small subunit ribosomal RNA (18S rRNA) sequence signatures plus morphological
synapomorphies where possible. The two major molecular clades are given the rank
of order, as Acytosteliales ord. nov. and Dictyosteliales. The two major clades
within each of these orders are recognized and given the rank of family as,
respectively, Acytosteliaceae and Cavenderiaceae fam. nov. in Acytosteliales, and
Dictyosteliaceae and Raperosteliaceae fam. nov. in Dictyosteliales. Twelve genera
are recognized: Cavenderia gen. nov. in Cavenderiaceae, Acytostelium,
Rostrostelium gen. nov. and Heterostelium gen. nov. in Acytosteliaceae,
Tieghemostelium gen. nov., Hagiwaraea gen. nov., Raperostelium gen. nov. and
Speleostelium gen. nov. in Raperosteliaceae, and Dictyostelium and
Polysphondylium in Dictyosteliaceae. The "polycephalum" complex is treated as
Coremiostelium gen. nov. (not assigned to family) and the "polycarpum" complex as
Synstelium gen. nov. (not assigned to order and family). Coenonia, which may not
be a dictyostelid, is treated as a genus incertae sedis. Eighty-eight new
combinations are made at species and variety level, and Dictyostelium ammophilum
is validated.
PMID- 29367153
TI - Ploidy and Number of Chromosomes in the Alveolate Alga Chromera velia.
AB - Chromera velia is an alveolate alga which represents the closest known
phototrophic relative to apicomplexan parasites. Although the nuclear,
mitochondrial, and plastid genomes of this alga have been sequenced, the number
of chromosomes and ploidy of C. velia are unknown. We explored ploidy in the
vegetative cell, the predominant stage in cultures of Chromera, using the
tyramide signal amplification-fluorescence in situ hybridization (TSA-FISH) in
isolated nuclei of C. velia. Probes were derived from three single copy genes
coding for 4-diphosphocytidyl-2-C-methyl-D-erythritol (CDP-ME) kinase, 2-C-methyl
D-erythritol 2,4-cyclodiphosphate (MEcPP) synthase and Topoisomerase II. Our
results indicate that the vegetative cell of C. velia is haploid, as each probe
produced a single fluorescent signal, although the possibility of diploidy with
somatic pairing of homologous chromosomes cannot be completely excluded.
Restriction analysis and hybridization with the telomere probe produced eight
bands suggesting the presence of four chromosomes in haploid vegetative cells of
C. velia. However, when the chromerid-specific telomere probe (TTTAGGG)4 was used
for TSA-FISH, we consistently obtained a double signal. This may indicate that
the four chromosomes are organized in clusters in interphase nuclei of C. velia,
which is a chromosome organization similar to that of their apicomplexan
relatives.
PMID- 29367154
TI - Rationally designed MoS2/protonated g-C3N4 nanosheet composites as photocatalysts
with an excellent synergistic effect toward photocatalytic degradation of organic
pollutants.
AB - The positively charged ultrathin g-C3N4 nanosheets are prepared by ultrasonic
assisted exfoliation of the protonated g-C3N4. Compared with the protonated g
C3N4 and exfoliated g-C3N4, the positively charged ultrathin g-C3N4 has abundant
functional groups as well as desired dispersibility in deionized water, thus it
could serve as a basic building block for designing related heterojunction
composites. To take a full advantage of these features, the positively charged
ultrathin g-C3N4/MoS2 composites are fabricated through a simple electrostatic
adsorption and self-assembly process followed by a hydrothermal method. By
loading an appropriate amount of MoS2 on the ultrathin g-C3N4 nanosheets, the as
fabricated composites exhibit considerable improvement on the photocatalytic
activities toward the degradation of typical organic pollutants (i.e., methyl
orange and phenol) under visible light irradiation. The composite containing 2
wt% MoS2 shows the highest efficiency of about 96.5% for the methyl orange
degradation, which is about 3.5 times and 8 times compared to those of the
positively charged ultrathin g-C3N4 and bulk g-C3N4, respectively. The superb
photocatalytic performance benefits from the unique advantages, including richly
available reaction sites, aligned energy levels between g-C3N4 and the MoS2, and
efficient electron transfer. This work opens new possibilities for the rational
design and construction of the g-C3N4 based composites as highly efficient and
stable visible-light driven photocatalysts for the degradation of organic
pollutants.
PMID- 29367155
TI - The role of ozone pretreatment on optimization of membrane bioreactor for
treatment of oil sands process-affected water.
AB - Previously, anoxic-aerobic membrane bioreactor (MBR) coupled with mild ozonation
pretreatment has been applied to remove toxic naphthenic acids (NAs) in oil sands
process-affected water (OSPW). To further improve MBR performance, the optimal
operation conditions including hydraulic retention time (HRT) and initial ammonia
nitrogen (NH4+-N) need to be explored. In this study, the role of ozone
pretreatment on MBR optimization was investigated. Compared with MBR treating raw
OSPW, MBR treating ozonated OSPW had the same optimal operation conditions (HRT
of 12 h and NH4+-N concentration of 25 mg/L). Nevertheless, MBR performance
benefited from HRT adjustment more after ozone pretreatment. HRT adjustment
resulted in NA removal in the range of 33-50% for the treatment of ozonated OSPW
whereas NA removal for raw OSPW only fluctuated between 27% and 38%. Compared
with the removal of classical NAs, the degradation of oxidized NAs was more
sensitive to the adjustment of operation conditions. Adjusting HRT increased the
removal of oxidized NAs in ozonated OSPW substantially (from 6% to 35%). It was
also noticed that microbial communities in MBR treating ozonated OSPW were more
responsive to the adjustment of operation conditions as indicated by the
noticeable increase of Shannon index and extended genetic distances.
PMID- 29367156
TI - Liquid-liquid extraction and facilitated transport of f-elements using an N-pivot
tripodal ligand.
AB - Diglycolamide (DGA)-functionalized tripodal ligands offer the required nine
coordinated complex for effective binding to a trivalent lanthanide/actinide ion.
A N-pivot tripodal ligand (TREN-DGA) containing three DGA pendant arms was
evaluated for the extraction and supported liquid membrane transport studies
using PTFE flat sheets. Solvent extraction studies indicated preferential
extraction of 1:1 (M:L) species, while the metal ion extraction increased with
increasing HNO3 concentration conforming to a solvated species extraction. Flat
sheet-supported liquid membrane studies, carried out using 4.0 * 10-3 M TREN-DGA
in 95% n-dodecane + 5% iso-decanol indicated faster mass transport for Eu3+ ion
as compared to Am3+ ion. The determined transport parameters indicated slow
diffusion of the M-TREN-DGA (M = Am or Eu) complex being the rate-determining
step. The transport of lanthanides and actinides followed the trend: Eu3+ > Am3+~
Pu4+ >> UO22+ and Am can be selectively separated from a mixture of U and Pu by
oxidizing the latter to its +6 oxidation state. The liquid membrane stability was
not encouraging and was deteriorating the transport efficiency with time, which
was attributed to carrier loss into the aqueous phases.
PMID- 29367157
TI - Comparative analyses of microbial structures and gene copy numbers in the
anaerobic digestion of various types of sewage sludge.
AB - Anaerobic co-digestion of various sewage sludges is a promising approach for
greater recovery of energy, but the process is more complicated than mono
digestion of sewage sludge. The applicability of microbial structure analyses and
gene quantification to understand microbial conditions was evaluated. The results
show that information from gene analyses is useful in managing anaerobic co
digestion and damaged microbes in addition to conventional parameters like total
solids, pH and biogas production. Total bacterial 16S rRNA gene copy numbers are
the most useful tools for evaluating unstable anaerobic digestion of sewage
sludge, rather than mcrA and total archaeal 16S rRNA gene copy numbers, and high
throughput sequencing. First order decay rates of gene copy numbers during pH
failure were higher than typical decay rates of microbes in stable operation. The
sequencing analyses, including multidimensional scaling, showed very different
microbial structure shifts, but the results were not consistent.
PMID- 29367158
TI - Operation of a vertical algal biofilm enhanced raceway pond for nutrient removal
and microalgae-based byproducts production under different wastewater loadings.
AB - In this study, a vertical-algal-biofilm-enhanced raceway pond (VAB-enhanced
raceway pond) was designed and assessed for wastewater treatment and algal
biomass production under different nutrient loading rates. Results indicated that
the maximum removal capacity of the system was accordingly 7.52, 6.76 and 0.11
g.m-2.day-1 for COD, TN and TP, under which the wastewater effluent concentration
could be respectively reduced from 106.00, 92.71 and 1.48 mg/L to 43.5, 11.03 and
0.46 mg/L in continuous mode. Meanwhile, about 7.47-10.10 t.ha-1.year-1 of lipid,
14.85-23.01 t.ha-1.year-1 of protein and 10.69-14.20 t.ha-1.year-1 of
carbohydrate could be produced from the algae by the system in large scale. The
corresponding estimated stoichiometric-methane-potential and biodiesel production
of the harvested biomass was 21,471-29,136 m3.ha-1.year-1 and 0.57-1.15 t.ha
1.year-1, respectively. The findings of this study demonstrate the feasibility of
using VAB-enhanced raceway pond for economically and cost-effectively recovery of
nutrients from the wastewater via algal-based byproducts production.
PMID- 29367159
TI - Target discovery of cytotoxic withanolides from Physalis angulata var. villosa
via reactivity-based screening.
AB - The reactivity-based screening (RBS) was developed for directed discovery of
cytotoxic withanolides. In this study, a thiol probe, 4-chlorobenzenethiol, was
used to selectively attack cytotoxic withanolides containing potential
pharmacophore, 2(3)-en-1-one in ring A (AEO) and 5beta,6beta-epoxy in ring B
(BE), from the plant extract of Physalis angulata var. villosa. The screening was
performed based on the potential mechanism of 4-chlorobenzenethiol nucleophilic
addition to AEO, followed by detection of adducts using liquid chromatography
quadrupole-time-of-flight mass spectrometry (LC-Q-TOF-MS). Guided by RBS, eleven
target withanolides, including five new compounds, physagulides R-V (10-14) and
six known ones (2, 7-9, 15, 16) were discovered. All of them exhibited
cytotoxicity against the both tested cell lines, especially, compounds 2, 7, 8
and 14 showed potent activities with IC50 values of 1.57-6.29 MUM. The results
suggested that RBS was efficient and accurate for rapid identification of
cytotoxic withanolides and could guide isolation of target components from the
complex medicinal plant extract.
PMID- 29367160
TI - Gas-phase structural characterization of neuropeptides Y Y1 receptor antagonists
using mass spectrometry: Orbitrap vs triple quadrupole.
AB - Collision induced dissociation of triple quadrupole mass spectrometer (CID-QqQ)
and high-energy collision dissociation (HCD) of Orbitrap were compared for four
neuropeptides Y Y1 (NPY Y1) receptor antagonists and showed similar qualitative
fragmentation and structural information. Orbitrap high resolution and high mass
accuracy HCD fragmentation spectra allowed unambiguous identification of product
ions in the range 0.04-4.25 ppm. Orbitrap mass spectrometry showed abundant
analyte-specific product ions also observed on CID-QqQ. These results show the
suitability of these product ions for use in quantitative analysis by MRM mode.
In addition, it was found that all compounds could be determined at levels >1 MUg
L-1 using the QqQ instrument and that the detection limits for this analyzer
ranged from 0.02 to 0.6 MUg L-1. Overall, the results obtained from experiments
acquired in QqQ show a good agreement with those acquired from the Orbitrap
instrument allowing the use of this relatively inexpensive technique (QqQ) for
accurate quantification of these compounds in clinical and academic applications.
PMID- 29367161
TI - Cathepsin D immobilized capillary reactors for on-flow screening assays.
AB - The treatment of diseases using enzymes as targets has called for the development
of new and reliable methods for screening. The protease cathepsin D is one such
target involved in several diseases such as tumors, degenerative processes, and
vital processes of parasites causing schistosomiasis. Herein, we describe the
preparation of a fused silica capillary, cathepsin D (CatD)-immobilized enzyme
reactor (IMER) using in a multidimensional High Performance Liquid Chromatography
based method (2D-HPLC) and zonal affinity chromatography as an alternative in the
search for new ligands. The activity and kinetic parameters of CatD-IMER were
evaluated by monitoring the product MOCAc-Gly-Lys-Pro-Ile-Leu-Phe (P-MOCAc) (KM =
81.9 +/- 7.49 MUmol/L) generated by cleavage of the fluorogenic substrate MOCAc
Gly-Lys-Pro-Ile-Leu-Phe-Phe-Arg-Leu-Lys(DNP)-d-Arg-NH2 (S-MOCAc). Stability
studies have indicated that CatD-IMER retained 20% of activity after 5 months, a
relevant result, because proteases are susceptible to autoproteolysis in solution
assays with free enzyme. In the search for inhibitors, 12 crude natural product
extracts were analyzed using CatD-IMER as the target, resulting in the isolation
of different classes of natural products. In addition, 26 compounds obtained from
different species of plants were also screened, demonstrating the efficiency and
reproducibility of the herein reported assay even in the case of complex matrices
such as plant crude extracts.
PMID- 29367162
TI - Surface modification by carboxymethy chitosan via pad-dry-cure method for binding
Ag NPs onto cotton fabric.
AB - To obtain durably antimicrobial cotton fabric, carboxymethyl chitosan (CMC) was
covalently linked to cotton fibers via an esterification with the cellulose
hydroxyl groups, and the silver nanoparticles (Ag NPs) were adhered to the fiber
surface by the coordination bonds with the amino groups of CMC. The finished
cotton fabrics have an excellent antibacterial function and outstanding
laundering durability. Even after 50 consecutive laundering tests, the modified
cotton fabrics still show satisfactory bacterial reduction rates (BR) against
both S. aureus and E. coli, which are all higher than 94%. These findings allow
for broader applications of antimicrobial cotton textiles with a decreased safety
risk and lower environmental impact arise from the Ag NPs.
PMID- 29367163
TI - Recent insights in microbial exopolysaccharide biosynthesis and engineering
strategies.
AB - The distinct biosynthesis pathways for microbial exopolysaccharide production
provide different engineering strategies to tailor the chemical structures of the
final polymers. This review focuses on the latest insights in the various
pathways and identifies bottlenecks as well as promising targets for tailoring
microbial polysaccharide production. The main engineering strategies includes the
combinatorial assembly of glycosyltransferases and engineering of the Wzx and Wzy
proteins for flipping of repeating units as well as polymerization. In the case
of synthase based polysaccharides, the use of epimerases or engineering
approaches of the synthase itself as well as overexpression of c-di-GMP levels is
identified as one of the most promising strategies. For sucrase-based
biosynthesis, the in vitro production by engineered sucrase enzymes or adjusted
production conditions is shown as a very promising method.
PMID- 29367164
TI - Integrated viral clearance strategies-reflecting on the present, projecting to
the future.
AB - Viral clearance and inactivation are critical steps in ensuring the safety of
biological products derived from mammalian cell culture and are a component of an
adventitious agent control strategy which spans both upstream and downstream
processes. Although these approaches have been sufficient to support the
development of biologics to date, the empirical and semi-quantitative nature of
the approach leaves some potential gaps. For example, the concept of performing a
quantitative risk assessment for the downstream components of virus safety was
introduced in ICH Q5A for XMuLV. An ideal future state would be to perform a
similar quantitative risk assessment for a range of viruses based on an
assessment of potential virus risk in both upstream and downstream processes.
This assessment combined with an integrated control strategy (including
monitoring) would be extremely beneficial in minimizing potential adventitious
agent risks. Significant progress has been achieved towards this goal in the last
several years including recent advances in quantification of virus sequences in
cell banks (ADVTIG), development of truly modular or generic viral clearance
claims for specific unit operations, enhanced controls of upstream media
(HTST/nanofiltration) and the use of RVLP for in-process monitoring. The recent
shift towards continuous processing has the potential to enhance the criticality
of in-line monitoring and the complexity of viral clearance and inactivation
(owing to a wide range of potential 'worst case' viral clearance scenarios).
However, gaps exist in, firstly, the ability to quantify potential virus risk
levels in process streams in real-time, secondly, mechanistic understanding of
virus/chromatography media interactions, and thirdly, mechanistic understanding
of virus/filter interactions. Some new technologies may also need to be developed
to allow for real-time confirmation of virus inactivation and clearance to
support process development (both batch and continuous) and assessment of the
impact of process deviations during manufacturing. This review paper provides an
overview of the current state of an overall integrated control strategy for
upstream and downstream processing and highlights the investments that could be
pursued to achieve the future state of a quantitative virus risk assessment for a
range of viruses. One potential approach to address these gaps is the use of data
mining from large, comprehensive and diverse data sets to establish heuristic
rules for virus detection, clearance and inactivation followed by specific
hypothesis-driven experiments for cases that fall outside of the normal paradigm.
Once this approach reaches a mature state suitable for implementation, there is
an opportunity to update regulatory guidance (e.g. ICH Q5A) accordingly.
PMID- 29367165
TI - Evaluation of afferent pain pathways in adrenomyeloneuropathic patients.
AB - OBJECTIVE: Patients with adrenomyeloneuropathy may have dysfunctions of visual,
auditory, motor and somatosensory pathways. We thought on examining the
nociceptive pathways by means of laser evoked potentials (LEPs), to obtain
additional information on the pathophysiology of this condition. METHODS: In 13
adrenomyeloneuropathic patients we examined LEPs to leg, arm and face
stimulation. Normative data were obtained from 10 healthy subjects examined in
the same experimental conditions. We also examined brainstem auditory evoked
potentials (BAEPs), pattern reversal full-field visual evoked potentials (VEPs),
motor evoked potentials (MEPs) and somatosensory evoked potentials (SEPs).
RESULTS: Upper and lower limb MEPs and SEPs, as well as BAEPs, were abnormal in
all patients, while VEPs were abnormal in 3 of them (23.1%). LEPs revealed
abnormalities to stimulation of the face in 4 patients (30.7%), the forearm in 4
patients (30.7%) and the leg in 10 patients (76.9%). CONCLUSIONS: The pathologic
process of adrenomyeloneuropathy is characterized by a preferential involvement
of auditory, motor and somatosensory tracts and less severely of the visual and
nociceptive pathways. This non-inflammatory distal axonopathy preferably damages
large myelinated spinal tracts but there is also partial involvement of small
myelinated fibres. SIGNIFICANCE: LEPs studies can provide relevant information
about afferent pain pathways involvement in adrenomyeloneuropathic patients.
PMID- 29367166
TI - Development, validation and utility of a simulation model of the nociceptive
flexion reflex threshold.
AB - OBJECTIVE: A variety of algorithms is used for nociceptive flexion reflex
threshold (NFRT) estimation, but their estimation accuracy is unknown. We
developed a computer based simulation model of the NFRT to quantify and compare
the accuracy of available estimation algorithms. METHODS: This simulation model
is based on basic characteristics of the NFRT and specified by data collected
from 60 healthy volunteers. We validated the model by comparing simulated data
with data obtained independently in another volunteer population. The model was
used to quantify the accuracy of previously published NFRT estimation algorithm
for three NFRT variabilities representing sensory deprivation, distraction and
general anaesthesia. RESULTS: The dynamic staircase algorithm obtained most
accurate NFRT estimates during all NFRT variabilities. The number of stimuli
applied can be chosen higher to increase estimate precision or lower to reduce
measurement time. CONCLUSIONS: Our simulation model is a valid tool to measure
the accuracy of NFRT estimation algorithms. It can be applied to analyse and
develop algorithms. The dynamic staircase algorithm shows the highest precision
in NFRT estimation and is recommended for NFRT studies. SIGNIFICANCE: Using
optimized NFRT estimation algorithms increases precision in clinical and
experimental NFRT studies and might therefore reduce the measurement effort
necessary.
PMID- 29367167
TI - Long-term experience with a novel uterine-sparing transvaginal mesh procedure for
uterovaginal prolapse.
AB - OBJECTIVES: To evaluate outcomes and quality of life in patients operated
transvaginally with an original mesh shape for uterus-sparing prolapse surgery
and to demonstrate the safety and efficacy of the technique. STUDY DESIGN: We
prospectively evaluated 66 postmenopausal patients (POP-Q Stage III: 32, IV: 34)
operated between May 2008 and December 2013. We used wide weave polypropylene
monofilament mesh that functions as a hammock anchored posteriorly to
sacrospinous ligaments, its anterior wings exit the pelvis through the obturatory
membrane. Follow-up was scheduled at 3-, 12- months and in May 2016. Prolapse
Quality of Life Questionnaire (P-QoL) was administered preoperatively, at 12
months and in May 2016. The chi square and Wilcoxon test were used for
statistical analysis. RESULTS: Mean follow-up was 5.6 (SD: 1.6, Range: 1.1-8.1)
years. The overall success rate (POP-Q <= 2) was 92.5% at 12 months and 84.4% at
May 2016, these data remained stable over time (p > 0.05). Early complications
occurred in 2 (3%) patients, late in 5 (7.8%) of which mesh extrusion in 4
(6.3%). Data from P-QoL showed significant improvement between preoperative and
postoperative data (P < 0.01 for all domains) and they remained stable with time
(p > 0.05). De-novo dyspareunia was 17.6% at 12 months and 10.3% at May 2016.
CONCLUSIONS: The low rate and grade of complications demonstrates the safety of
the procedure, which offers stable anatomical correction with significant
improvement in QoL.
PMID- 29367168
TI - Does vaginal estriol make urodynamic changes in women with overactive bladder
syndrome and genitourinary syndrome of menopause?
AB - OBJECTIVES: OAB is a common finding in postmenopausal women. Hypoestrogenism is
the root cause of many signs and symptoms of Genitourinary Syndrome of Menopause
(vaginal dryness, atrophy, dyspareunia, urinary disorders, etc.). As such the aim
of this study was to evaluate the urodynamic effects of ultralowdose estriol
vaginal gel formulation to treat women with Genitourinary Syndrome of Menopause
and Overactive Bladder Syndrome. STUDY DESIGN: This open-labeled, single center,
prospective study involved 37 women with OAB recruited in our Urogynecological
Unit between January and July 2016. They received estriol 50 mcg/g vaginal gel,
one applicator-dose per day for 3 weeks followed by one dose twice a week for 12
weeks. Objective and subjective parameters were evaluated before and after
treatment through the urodynamic examination, Overactive Bladder symptom score
and Short Form Health Survey-36 questionnaires. RESULTS: Vaginal atrophy symptoms
and signs as well as the overactive bladder subjective symptom parameter improved
significantly. Urodynamic evaluation showed significant improvement in first
desire to void and maximum cystometric capacity after estriol usage. Patients who
had detrusor overactivity did not show any improvement for this parameter after
treatment. The voiding function parameters did not significantly change. Short
form-36 showed a better quality of life after treatment especially for the
emotional role, as well as mental and general health. CONCLUSIONS: A local ultra
low dose concentration of estriol could be effective in women with vaginal
atrophy and Overactive Bladder Syndrome for improving both subjective symptoms
and urodynamic parameters of storage function not affecting voiding function.
PMID- 29367169
TI - Isolated fetal horseshoe kidney does not seem to increase the risk for abnormal
chromosomal microarray results.
AB - OBJECTIVE: To examine the risk for clinically significant chromosomal microarray
analysis (CMA) among fetuses with apparently isolated horseshoe kidney. METHODS:
Data from all CMA analyses performed due to isolated horseshoe kidney reported to
the Israeli Ministry of Health between January 2013 and September 2016 were
retrospectively obtained from a computerized database. Risk estimation was
performed comparing the rate of abnormal CMA findings to the general population,
based on a systematic review encompassing 9272 pregnancies with normal
ultrasound, and local data cohort of 5541 pregnancies undergoing CMA due to
maternal request. RESULTS: Of 82 pregnancies with isolated horseshoe kidney, one
loss-of-copy-number variant compatible with 16p13.11 microdeletion syndrome was
demonstrated (1.2%). In addition, two variants of unknown significance (VOUS)
were detected (2.4%). The relative risk for pathogenic CMA findings among
pregnancies with isolated single horseshoe kidney was not significantly different
from the control population (1.03-1.39%). DISCUSSION: To our best knowledge, our
study is the first report describing the rate of clinically significant CMA
findings in fetuses with isolated horseshoe kidney. The detection of one
pathogenic CMA findings in our cohort implies that the value of CMA analysis in
such pregnancies is similar to the general population.
PMID- 29367170
TI - Application of the 2015 diagnostic criteria for neuromyelitis optica spectrum
disorders in a cohort of Latin American patients.
AB - BACKGROUND: The 2015 International Panel for neuromyelitis optica (NMO) spectrum
disorders (NMOSD) diagnosis (IPND) criteria was recently proposed. However,
because there are no studies evaluating application of the IPND criteria in Latin
American populations, we aimed to assess whether these new criteria improve the
diagnostic rate and reduce the time taken to make the diagnosis in a cohort of
Latin American patients. METHODS: We reviewed medical records and applied both
the 2006 and 2015 diagnostic criteria to all patients seen in four centers in
Argentina, Brazil and Venezuela. Patients with multiple sclerosis (MS, n = 915)
or other well-established central nervous system (CNS) inflammatory diseases were
excluded. AQP4-ab status was measured using indirect immunofluorescence (23%) and
cell-based assay (CBA, 77%). In addition, data on gender, ethnicity, age and
symptoms at onset, relapses, neuroimaging and immunosuppressive therapy were
collected. RESULTS: A total of 104 patients were classified as presenting NMOSD
(2015 IPND). Of these, 64 patients (61.5%) fulfilled the 2006 NMO criteria (32
AQP4-ab positive, 17 AQP4-ab negative and 15 unknown). Thus, 40 new patients
(38.5%) were classified as presenting NMOSD using the 2015 IPND criteria (33 AQP4
ab positive, 5 AQP4-ab negative and 2 unknown AQP4-ab status), with a median time
taken to fulfill the 2015 NMOSD criteria (n = 104) of 1 month (95% CI: 0.6-1.3)
and a median time taken to fulfill the 2006 NMO criteria (n = 64) of 18 months
(95% CI: 9-26) (log-rank test: p < 0.0001). Females, with median age of 37 years,
white ethnicity and recurrent course, predominated in all samples. Ninety-nine
patients (95.1%) had at least 1 of the 3 major core clinical characteristics, of
which optic neuritis (56.7%) was the most frequent symptom at disease onset.
CONCLUSION: This study showed that there was a 62.5% increase in the rate of
diagnosing NMOSD through the 2015 IPND criteria, in comparison with the 2006 NMO
criteria, with a shorter median time to diagnosis.
PMID- 29367171
TI - The UK National DNA Database: Implementation of the Protection of Freedoms Act
2012.
AB - In 2008, the European Court of Human Rights, in S and Marper v the United
Kingdom, ruled that a retention regime that permits the indefinite retention of
DNA records of both convicted and non-convicted ("innocent") individuals is
disproportionate. The court noted that there was inadequate evidence to justify
the retention of DNA records of the innocent. Since the Marper ruling, the laws
governing the taking, use, and retention of forensic DNA in England and Wales
have changed with the enactment of the Protection of Freedoms Act 2012 (PoFA).
This Act, put briefly, permits the indefinite retention of DNA profiles of most
convicted individuals and temporal retention for some first-time convicted minors
and innocent individuals on the National DNA Database (NDNAD). The PoFA regime
was implemented in October 2013. This paper examines ten post-implementation
reports of the NDNAD Strategy Board (3), the NDNAD Ethics Group (3) and the
Office of the Biometrics Commissioner (OBC) (4). Overall, the reports highlight a
considerable improvement in the performance of the database, with a current match
rate of 63.3%. Further, the new regime has strengthened the genetic privacy
protection of UK citizens. The OBC reports detail implementation challenges
ranging from technical, legal and procedural issues to sufficient understanding
of the requirements of PoFA by police forces. Risks highlighted in these reports
include the deletion of some "retainable" profiles, which could potentially lead
to future crimes going undetected. A further risk is the illegal retention of
some profiles from innocent individuals, which may lead to privacy issues and
legal challenges. In conclusion, the PoFA regime appears to be working well,
however, critical research is still needed to evaluate its overall efficacy
compared to other retention regimes.
PMID- 29367172
TI - The pathology of torture.
AB - Detainees may be subjected to torture and extra-judicial execution by State
actors and terrorists. But, the pathology of torture has not been well-described.
This is due to the lack of autopsies performed on victims of torture, mostly due
to the disposal of the bodies of the victims by their torturers. On this basis,
the cause of death of detainees subjected to torture is often a matter of
speculation or remains obscure. This paper provides an overview of the pathology
of torture based on the authour's experience with the autopsies of torture
victims. At autopsy, many different types of inflicted injuries may be observed,
often ranging in severity. However, three recurrent patterns of trauma that are
the hallmarks of torture were recognized by the authour: (1) blunt impact trauma
characterized by bruises, patterned injuries, and internal injuries; (2)
electrical and thermal injuries; and (3) injuries from stress positions that
occur from prolonged suspension. The most under-recognized form of fatal torture
are the complications of stress positions related to suspension of the victim's
body by the upper, or lower extremities. For example, prolonged suspension by
reverse hanging (suspension of the victim's body by the wrists or forearms with
the arms extended backward at the shoulder joint) can cause over-stretching and
necrosis of the muscles of the shoulder, resulting in fatal myoglobinuric renal
failure. It is essential that autopsies be performed on all detainees who die in
custody, to determine if torture played a role in death. Furthermore, the true
nature of the injuries sustained often remains obscure unless a musculocutaneous
dissection is performed. Specifically, dissection of the back, limbs and the
soles of the feet, as well as the shoulders and knees is essential to determine
if specific forms of torture have been applied. This is especially true for fatal
complications of stress positions. Seeking the truth about the medical
consequences of fatal torture will raise awareness about torture-related
injuries, assist in rehabilitation of torture survivors, and strengthen forensic
humanitarian action.
PMID- 29367173
TI - Photoanthropometric face iridial proportions for age estimation: An investigation
using features selected via a joint mutual information criterion.
AB - Age assessment from images is of high interest in the forensic community because
of the necessity to establish formal protocols to identify child pornography,
child missing and abuses where visual evidences are the mostly admissible.
Recently, photoanthropometric methods have been found useful for age estimation
correlating facial proportions in image databases with samples of some age
groups. Notwithstanding the advances, newer facial features and further analysis
are needed to improve accuracy and establish larger applicability. In this
investigation, frontal images of 1000 individuals (500 females, 500 males),
equally distributed in five age groups (6, 10, 14, 18, 22 years old) were used in
a 10 fold cross-validated experiment for three age thresholds classifications
(<10, <14, <18 years old). A set of novel 40 features, based on a relation
between landmark distances and the iris diameter, is proposed and joint mutual
information is used to select the most relevant and complementary features for
the classification task. In a civil image identification database with diverse
ancestry, receiver operating characteristic (ROC) curves were plotted to verify
accuracy, and the resultant AUCs achieved 0.971, 0.969, and 0.903 for the age
classifications (<10, <14, <18 years old), respectively. These results add
support to continuing research in age assessment from images using the metric
approach. Still, larger samples are necessary to evaluate reliability in
extensive conditions.
PMID- 29367174
TI - Dental age assessment: Which is the most applicable method?
AB - To evaluate which method is the most applicable for estimating estimate dental
age in Brazilian children, Nolla or Demirjian. For this purpose, both methods
were reviewed and the differences between them brought to light. A total of 403
healthy children between the ages of 7 and 13 years with satisfactory panoramic
radiographs, similar socio-economic background and ethnic origin were included.
Panoramic radiographs were examined by the Nolla and Demirjian methods. Dental
age was calculated for both methods, and the differences between the dental age
and the chronological age were compared with analysis of variance with post hoc
Dunnett. The Nolla method showed no significant difference in relation to
chronological age in the majority of age groups for boys and girls, except for 12
year-old boys (over-estimation of 1.00) and between 11- and 12-year-old girls
(over-estimation of 0.51 and 0.59, respectively). An over-estimation of the
dental age was observed by using the Demirjian method (for boys 0.89-1.84 and for
girls 0.69-1.97) for all age groups. The Nolla method is suitable for Brazilian
children when it comes to age estimate with care to growth spurt beginning
(around 11 and 12 years). However, the Dermijian method should not be used,
because it over-estimated the age in both sexes.
PMID- 29367175
TI - Modeling the multi-scale mechanisms of macromolecular resource allocation.
AB - As microbes face changing environments, they dynamically allocate macromolecular
resources to produce a particular phenotypic state. Broad 'omics' data sets have
revealed several interesting phenomena regarding how the proteome is allocated
under differing conditions, but the functional consequences of these states and
how they are achieved remain open questions. Various types of multi-scale
mathematical models have been used to elucidate the genetic basis for systems
level adaptations. In this review, we outline several different strategies by
which microbes accomplish resource allocation and detail how mathematical models
have aided in our understanding of these processes. Ultimately, such modeling
efforts have helped elucidate the principles of proteome allocation and hold
promise for further discovery.
PMID- 29367176
TI - The morphological changes and molecular biomarker responses in the liver of
fluoride-exposed Bufo gargarizans larvae.
AB - The goal of the current study was to evaluate the negative influences of fluoride
on liver of Bufo gargarizans larvae. B. gargarizans larvae were treated with
42.4mgF-/L for 0, 24, 48 and 72h at Gosner stage 37. The morphological changes
and responses of molecular biomarkers involved in lipid metabolism, oxidative
stress and apoptosis were examined in liver. Disappearance of cell boundaries,
degeneration of hepatic parenchyma cells and significant increase in the number
of melanomacrophage centres and the quantity of lipid droplets were found in the
liver treated with 42.4mgF-/L for 72h. In addition, in the relative expression of
acetyl CoA carboxylase 1 (ACC-1), fatty acid elongase 1 (FAE-1), sterol carrier
protein 2 (SCP-2), and carnitine palmitoyltransferase-1 (CPT-1), decrease was
observed after 24, 48 and 72h of 42.4mgF-/L exposure. Furthermore, the transcript
levels of superoxide dismutase (SOD) and glutathione peroxidase (GPx) were
downregulated in tadpoles exposed for 24, 48 and 72h to 42.4mgF-/L, while the
transcript level of heat shock protein 90 (HSP90) was upregulated at 42.4mgF-/L
for 72h. Also, mRNA expression of Bcl-2-associated transcription factor 1(BCLAF1)
and thyroid hormone receptors (TRalpha and TRbeta) was significantly upregulated
in tadpoles treated with 42.4mgF-/L for 72h. Therefore, our results suggested
that the liver injury induced by fluoride might result from disruption of lipid
metabolism, oxidative damage and apoptosis.
PMID- 29367177
TI - Association between fetal exposure to phthalate endocrine disruptor and genome
wide DNA methylation at birth.
AB - BACKGROUND: Phthalic acid esters are ubiquitous and antiandrogenic, and may cause
systemic effects in humans, particularly with in utero exposure. Epigenetic
modification, such as DNA methylation, has been hypothesized to be an important
mechanism that mediates certain biological processes and pathogenic effects of in
utero phthalate exposure. OBJECTIVE: The aim of this study was to examine the
association between genome-wide DNA methylation at birth and prenatal exposure to
phthalate. METHODS: We studied 64 infant-mother pairs included in TMICS (Taiwan
Maternal and Infant Cohort Study), a long-term follow-up birth cohort from the
general population. DNA methylation levels at more than 450,000 CpG sites were
measured in cord blood samples using Illumina Infinium HumanMethylation450
BeadChips. The concentrations of three metabolites of di-(2-ethylhexyl) phthalate
(DEHP) were measured using liquid chromatography tandem-mass spectrometry (LC
MS/MS) in urine samples collected from the pregnant women during 28-36 weeks
gestation. RESULTS: We identified 25 CpG sites whose methylation levels in cord
blood were significantly correlated with prenatal DEHP exposure using a false
discovery rate (FDR) of 5% (q-value < 0.05). Via gene-set enrichment analysis
(GSEA), we also found that there was significant enrichment of genes involved in
the androgen response, estrogen response, and spermatogenesis within those genes
showing DNA methylation changes in response to exposure. Specifically, PA2G4,
HMGCR, and XRCC6 genes were involved in genes in response to androgen.
CONCLUSIONS: Phthalate exposure in utero may cause significant alterations in the
DNA methylation in cord blood. These changes in DNA methylation might serve as
biomarkers of maternal exposure to phthalate in infancy and potential candidates
for studying mechanisms via which phthalate may impact on health in later life.
Future investigations are warranted.
PMID- 29367178
TI - Ictal and interictal MEG in pediatric patients with tuberous sclerosis and drug
resistant epilepsy.
AB - PURPOSE: Drug resistant epilepsy (DRE) is common in patients with tuberous
sclerosis (TS). Interictal MEG has been shown as a valuable instrument in the
presurgical workup. The goal of our study was to evaluate the role of ictal MEG
in epileptogenic tuber selection, especially in patients with multiple irritative
zones. METHODS: The clinical and MEG data of 23 patients with TS and DRE from two
medical/research centers were reviewed. Seven pediatric patients, who had
seizures during MEG recording and underwent resection or disconnection surgery,
were included into the study. Cortical sources of ictal and interictal
epileptiform MEG discharges were compared with epileptogenic zone location in six
patients with favorable surgery outcome. RESULTS: In patients who improved
substantially after surgery all resected and several other tubers demonstrated
epileptiform activity on interictal MEG. Ictal MEG provided crucial information
about lobar location of the seizure onset zone (SOZ) in two cases, and in the
other four it confirmed the SOZ location derived from the interictal data. In one
case, ictal MEG findings were unreliable. In one patient, who did not benefit
from surgical treatment, the resected tubers did not overlap with interictal and
ictal MEG sources. CONCLUSION: The combination of interictal and ictal MEG is a
valuable tool for identification of the epileptogenic tuber/tubers in presurgical
work-up in patients with TS.
PMID- 29367179
TI - Childhood-onset generalized epilepsy in Bainbridge-Ropers syndrome.
AB - Bainbridge-Ropers syndrome is a genetic syndrome caused by heterozygous loss-of
function pathogenic variants in ASXL3, which encodes a protein involved in
transcriptional regulation. Affected individuals have multiple abnormalities
including developmental impairment, hypotonia and characteristic facial features.
Seizures are reported in approximately a third of cases; however, the
epileptology has not been thoroughly studied. We identified three patients with
pathogenic ASXL3 variants and seizures at Austin Health and in the DECIPHER
database. These three patients had novel de novo ASXL3 pathogenic variants, two
with truncation variants and one with a splice site variant. All three had
childhood-onset generalized epilepsy with generalized tonic-clonic seizures, with
one also having atypical absence seizures. We also reviewed available clinical
data on five published patients with Bainbridge-Ropers syndrome and seizures. Of
the five previously published patients, three also had generalized tonic-clonic
seizures, one of whom also had possible absence seizures; a fourth patient had
absence seizures and possible focal seizures. EEG typically showed features
consistent with generalized epilepsy including generalized spike-wave,
photoparoxysmal response, and occipital intermittent rhythmic epileptiform
activity. Bainbridge-Ropers syndrome is associated with childhood-onset
generalized epilepsy with generalized tonic-clonic seizures and/or atypical
absence seizures.
PMID- 29367180
TI - Fosphenytoin pre-medication for pediatric extra-operative electrical stimulation
brain mapping.
AB - PURPOSE: We studied the effect of fosphenytoin (FOS) pre-medication on the
incidence and thresholds of after-discharges (ADs), seizures, and functional
responses during electrical stimulation mapping (ESM). METHODS: As individualized
by the attending epileptologist, FOS was given intravenously at 2 mg-phenytoin
equivalents (PE)/kg/min or 150 mg-PE/min (whichever slower). Patients who
received and did not receive FOS were compared for the incidence and thresholds
of ADs, seizures, and functional responses. RESULTS: Before ESM, 40 and 82
patients respectively were pre-medicated/not pre-medicated with FOS. The
incidence of ESM-induced seizures was significantly lower in FOS pre-medicated
patients (22.5% vs. 42.7%, p = 0.044), whereas temporal language threshold was
higher (9.2 vs. 6.5 mA, p = 0.032). FOS was more efficacious in preventing ESM
induced seizures in patients with symptomatogenic zone ipsilateral to the side of
ESM. Although FOS dose had no significant effect on minimum language, minimum
motor, or AD thresholds; seizure and temporal language thresholds showed trends
approaching significance, intersecting at 12.2 mg-PE/kg. The incidence of ESM
induced seizures was significantly lower in those who received FOS at a dose of
<=12 mg/kg (9.1%) compared to those who did not receive any FOS (42.7%, p =
0.046), while the temporal language thresholds were not significantly different
(6.3 vs. 6.5 mA, p = 0.897). CONCLUSIONS: This study provides class III evidence
that FOS pre-medication before ESM decreases the incidence of ESM-induced
seizures, but increases temporal language threshold. FOS pre-medication may thus
be considered before ESM. Future studies should prospectively verify these
observations and characterize dose-response relationships.
PMID- 29367181
TI - The Use of Online Health Forums by Patients With Chronic Cough: Qualitative
Study.
AB - BACKGROUND: Online health discussion forums are used by different patient groups
for sharing advice and information. Chronic cough is a common problem, and people
with chronic cough use online health forums alongside formal medical therapies.
OBJECTIVE: The objective of this study was to assess how chronic cough sufferers
use online health forums, including the treatment advice they share with one
another and the possible clinical uses of online forums in chronic cough.
METHODS: Three open-access health forums were searched for threads related to
chronic cough. Identified threads were screened against inclusion and exclusion
criteria adapted from the British Thoracic Society (BTS) Guidelines related to
chronic cough diagnosis. Included data were subjected to qualitative thematic
analysis. All study data were cross-validated by a second author and
discrepancies were resolved. RESULTS: In total, 96 threads were included in the
analysis, consisting of posts by 223 forum users. Three main themes were
identified: the effect of chronic cough on the lives of patients, the treatment
advice shared between users, and the provision of support within forums.
CONCLUSIONS: Chronic cough symptoms had impacts on multiple aspects of patients'
health and well-being. To try and combat these issues, forum users suggested a
variety of treatments to one another, ranging from mainstream traditional
therapies to odd alternative remedies. The provision of support and empathy were
also prominent themes in discussion threads. Online forums themselves may provide
increasing benefit to users through the addition of a moderator.
PMID- 29367182
TI - Gender Differences in and the Relationships Between Social Anxiety and
Problematic Internet Use: Canonical Analysis.
AB - BACKGROUND: The cognitive-behavioral model of problematic Internet use (PIU)
proposes that psychological well-being is associated with specific thoughts and
behaviors on the Internet. Hence, there is growing concern that PIU is associated
with psychological impairments. OBJECTIVE: Given the proposal of gender schema
theory and social role theory, men and women are predisposed to experience social
anxiety and engage in Internet use differently. Thus, an investigation of gender
differences in these areas is warranted. According to the cognitive-behavioral
model of PIU, social anxiety is associated with specific cognitions and behaviors
on the Internet. Thus, an investigation of the association between social anxiety
and PIU is essential. In addition, research that takes into account the
multidimensional nature of social anxiety and PIU is lacking. Therefore, this
study aimed to explore multivariate gender differences in and the relationships
between social anxiety and PIU. METHODS: Participants included 505 college
students, of whom 241 (47.7%) were women and 264 (52.3%) were men. Participants'
ages ranged from 18 to 22 years, with a mean age of 20.34 (SD=1.16). The Social
Anxiety Scale and Problematic Internet Use Scale were used in data collection.
Multivariate analysis of variance (MANOVA) and canonical correlation analysis
were used. RESULTS: Mean differences between men and women were not statistically
significant in social anxiety (lambda=.02, F3,501=2.47, P=.06). In all three PIU
dimensions, men scored higher than women, and MANOVA shows that multivariate
difference was statistically significant (lambda=.94, F3,501=10.69, P<.001). Of
the canonical correlation functions computed for men, only the first was
significant (Rc=.43, lambda=.78, chi29=64.7, P<.001) and accounted for 19% of the
overlapping variance. Similarly, only the first canonical function was
significant for women (Rc=.36, lambda=.87, chi29=33.9, P<.001), which accounted
for 13% of the overlapping variance. CONCLUSIONS: On the basis of the findings,
we conclude that enhanced educational opportunities for women and their
increasing role in the society have led women to become more active and thus
closed the gap in social anxiety levels between men and women. We found that men
showed more difficulties than women in terms of running away from personal
problems (ie, social benefit), used the Internet more excessively, and
experienced more interpersonal problems with significant others due to Internet
use. We conclude that men are under a greater risk of social impairments due to
PIU. Our overall conclusion is that there is a substantial amount of association
between social anxiety and PIU and the association is stronger for men than it is
for women. We advise that future research continue to investigate PIU and social
anxiety as multidimensional constructs.
PMID- 29367183
TI - Facilitating Factors and Barriers to the Use of Emerging Technologies for Suicide
Prevention in Europe: Multicountry Exploratory Study.
AB - BACKGROUND: This study provides an analysis on the use of emerging technologies
for the prevention of suicide in 8 different European countries. OBJECTIVE: The
objective of this study was to analyze the potentiality of using emerging
technologies in the area of suicide prevention based on the opinion of different
professionals involved in suicide prevention. METHODS: Opinions of 3 groups of
stakeholders (ie, relevant professionals in suicide field) were gathered using a
specifically designed questionnaire to explore dimensions underlying perceptions
of facilitating factors and barriers in relation to the use of emerging
technologies for suicide prevention. RESULTS: Goal 1 involved facilitating
factors for the use of emerging technologies in suicide prevention. Northern
European countries, except for Belgium, attach greater relevance to those that
optimize implementation and benefits. On the other hand, Southern European
countries attach greater importance to professionally oriented and user-centered
facilitating factors. According to different stakeholders, the analysis of these
facilitating factors suggest that professionals in the field of social work
attach greater relevance to those that optimize implementation and benefits.
However, professionals involved in the area of mental health, policy makers, and
political decision makers give greater importance to professionally oriented and
user-centered facilitating factors. Goal 2 was related to barriers to the
usability of emerging technologies for suicide prevention. Both countries and
stakeholders attach greater importance to barriers associated with resource
constraints than to those centered on personal limitations. There are no
differences between countries or between stakeholders. Nevertheless, there is a
certain stakeholders-countries interaction that indicates that the opinions on
resource constraints expressed by different stakeholders do not follow a uniform
pattern in different countries, but they differ depending on the country.
CONCLUSIONS: Although all countries and stakeholders agree in identifying
resource constraints as the main barrier to the use of emerging technologies,
factors facilitating their use in suicide prevention differ among countries and
among stakeholders.
PMID- 29367184
TI - Activating Technology for Connected Health in Cancer: Protocol for a Research and
Training Program.
AB - BACKGROUND: As cancer survival rates increase, the challenge of ensuring that
cancer survivors reclaim their quality of life (QoL) becomes more important. This
paper outlines the research element of a research and training program that is
designed to do just that. OBJECTIVE: Bridging sectors, disciplines, and
geographies, it brings together eight PhD projects and students from across
Europe to identify the underlying barriers, test different technology-enabled
rehabilitative approaches, propose a model to optimize the patient pathways, and
examine the business models that might underpin a sustainable approach to cancer
survivor reintegration using technology. METHODS: The program, funded under the
European Union's Horizon 2020 research and innovation program under the Marie
Sklodowska-Curie grant agreement No 722012, includes deep disciplinary PhD
projects, intersectoral and international secondments, interdisciplinary plenary
training schools, and virtual subject-specific education modules. RESULTS: The 8
students have now been recruited and are at the early stages of their projects.
CONCLUSIONS: CATCH will provide a comprehensive training and research program by
embracing all key elements-technical, social, and economic sciences-required to
produce researchers and project outcomes that are capable of meeting existing and
future needs in cancer rehabilitation.
PMID- 29367186
TI - The Use of Facebook Advertising to Recruit Healthy Elderly People for a Clinical
Trial: Baseline Metrics.
AB - BACKGROUND: This report provides data on the use of social media advertising as a
clinical trial recruitment strategy targeting healthy volunteers aged 60 years
and older. The social media advertising campaign focused on enrollment for a
Phase 1 clinical trial. Traditional means of recruiting-billboards, newspaper
advertising, word of mouth, personal referrals, and direct mail-were not
producing enough qualified participants. OBJECTIVE: To demonstrate the
effectiveness of using targeted advertising on the social networking site
Facebook to recruit people aged 60 years and older for volunteer clinical trial
participation. METHODS: The trial sponsor used a proactive approach to recruit
participants using advertising on social media. The sponsor placed and monitored
an Institutional Review Board-approved advertising campaign on Facebook to
recruit potential candidates for a Phase 1 clinical trial. The clinical trial
required a 10-day residential (overnight) stay at a clinic in Michigan, with one
follow-up visit. The sponsor of the clinical trial placed the advertising, which
directed interested respondents to a trial-specific landing page controlled by
the Contract Research Organization (CRO). The CRO provided all follow-up
consenting, prescreening, screening, and enrollment procedures. The campaign was
waged over an 8-week period to supplement recruiting by the CRO. RESULTS: A total
of 621 people responded to a Facebook advertising campaign by completing an
online form or telephoning the CRO, and the clinical trial was fully enrolled at
45 subjects following an 8-week Facebook advertising campaign. CONCLUSIONS: An 8
week Facebook advertising campaign contributed to 868 inquiries made regarding a
Phase 1 clinical trial seeking to enroll healthy elderly subjects. Over the
initial 11 weeks of recruitment, 178 inquiries were received using traditional
methods of outreach. Respondents to the Facebook advertising campaign described
in this report engaged with the sponsored advertising at a higher rate than is
typical for social media-based clinical trial recruitment strategies. The older
adults' engagement rate of 4.92% was more than twice as high as click-through
rates of younger adults engaged with social media advertising in other clinical
trial recruitment studies. Advertising placed on the social media platform
Facebook is effective with the healthy volunteer population aged 60 years and
older. This approach can quickly and cost-effectively reach qualified candidates
for clinical trial recruitment as a supplement to traditional means of
recruiting. TRIAL REGISTRATION: ClinicalTrials.gov: NCT02840279;
https://clinicaltrials.gov/ct2/show/NCT02840279 (Archived by WebCite at
http://www.webcitation.org/6wamIWXAt).
PMID- 29367185
TI - Effect of Contract Compliance Rate to a Fourth-Generation Telehealth Program on
the Risk of Hospitalization in Patients With Chronic Kidney Disease:
Retrospective Cohort Study.
AB - BACKGROUND: Chronic kidney disease (CKD) is prevalent in Taiwan and it is
associated with high all-cause mortality. We have shown in a previous paper that
a fourth-generation telehealth program is associated with lower all-cause
mortality compared to usual care with a hazard ratio of 0.866 (95% CI 0.837
0.896). OBJECTIVE: This study aimed to evaluate the effect of renal function
status on hospitalization among patients receiving this program and to evaluate
the relationship between contract compliance rate to the program and risk of
hospitalization in patients with CKD. METHODS: We retrospectively analyzed 715
patients receiving the telehealth care program. Contract compliance rate was
defined as the percentage of days covered by the telehealth service before
hospitalization. Patients were stratified into three groups according to renal
function status: (1) normal renal function, (2) CKD, or (3) end-stage renal
disease (ESRD) and on maintenance dialysis. The outcome measurements were first
cardiovascular and all-cause hospitalizations. The association between contract
compliance rate, renal function status, and hospitalization risk was analyzed
with a Cox proportional hazards model with time-dependent covariates. RESULTS:
The median follow-up duration was 694 days (IQR 338-1163). Contract compliance
rate had a triphasic relationship with cardiovascular and all-cause
hospitalizations. Patients with low or very high contract compliance rates were
associated with a higher risk of hospitalization. Patients with CKD or ESRD were
also associated with a higher risk of hospitalization. Moreover, we observed a
significant interaction between the effects of renal function status and contract
compliance rate on the risk of hospitalization: patients with ESRD, who were on
dialysis, had an increased risk of hospitalization at a lower contract compliance
rate, compared with patients with normal renal function or CKD. CONCLUSIONS: Our
study showed that there was a triphasic relationship between contract compliance
rate to the telehealth program and risk of hospitalization. Renal function status
was associated with risk of hospitalization among these patients, and there was a
significant interaction with contract compliance rate.
PMID- 29367188
TI - Chronobiology --2017 Nobel Prize in Physiology or Medicine.
AB - Chronobiology is a field of biology that examines the generation of biological
rhythms in various creatures and in many parts of body, and their adaptive
fitness to solar- and lunar-related periodic phenomena. The synchronization of
internal circadian clocks with external timing signals confers accurate phase
response and tissue homeostasis. Herein we state a series of studies on circadian
rhythms and introduce the brief history of chronobiology. We also present a
detailed timeline of the discoveries on molecular mechanisms controlling
circadian rhythm in Drosophila, which was awarded the 2017 Nobel Prize in
Physiology or Medicine. The latest findings and new perspectives are further
summarized to indicate the significance of circadian research.
PMID- 29367187
TI - Quality of Decision Support in Computerized Provider Order Entry: Systematic
Literature Review.
AB - BACKGROUND: Computerized decision support systems have raised a lot of hopes and
expectations in the field of order entry. Although there are numerous studies
reporting positive impacts, concerns are increasingly high about alert fatigue
and effective impacts of these systems. One of the root causes of fatigue alert
reported is the low clinical relevance of these alerts. OBJECTIVE: The objective
of this systematic review was to assess the reported positive predictive value
(PPV), as a proxy to clinical relevance, of decision support systems in
computerized provider order entry (CPOE). METHODS: A systematic search of the
scientific literature published between February 2009 and March 2015 on CPOE,
clinical decision support systems, and the predictive value associated with alert
fatigue was conducted using PubMed database. Inclusion criteria were as follows:
English language, full text available (free or pay for access), assessed
medication, direct or indirect level of predictive value, sensitivity, or
specificity. When possible with the information provided, PPV was calculated or
evaluated. RESULTS: Additive queries on PubMed retrieved 928 candidate papers. Of
these, 376 were eligible based on abstract. Finally, 26 studies qualified for a
full-text review, and 17 provided enough information for the study objectives. An
additional 4 papers were added from the references of the reviewed papers. The
results demonstrate massive variations in PPVs ranging from 8% to 83% according
to the object of the decision support, with most results between 20% and 40%. The
best results were observed when patients' characteristics, such as comorbidity or
laboratory test results, were taken into account. There was also an important
variation in sensitivity, ranging from 38% to 91%. CONCLUSIONS: There is
increasing reporting of alerts override in CPOE decision support. Several causes
are discussed in the literature, the most important one being the clinical
relevance of alerts. In this paper, we tried to assess formally the clinical
relevance of alerts, using a near-strong proxy, which is the PPV of alerts, or
any way to express it such as the rate of true and false positive alerts. In
doing this literature review, three inferences were drawn. First, very few papers
report direct or enough indirect elements that support the use or the computation
of PPV, which is a gold standard for all diagnostic tools in medicine and should
be systematically reported for decision support. Second, the PPV varies a lot
according to the typology of decision support, so that overall rates are not
useful, but must be reported by the type of alert. Finally, in general, the PPVs
are below or near 50%, which can be considered as very low.
PMID- 29367189
TI - The critical roles of TBC proteins in human diseases.
AB - The Tre-2/Bub2/Cdc16 (TBC) domain is a conserved protein motif consisting of
approximately 200 amino acids, and is present in many eukaryotic proteins. TBC
domain-containing proteins (TBC proteins) function as GTPase activating proteins
(GAPs) for the small GTPase Rab, which can promote the hydrolysis of Rab-GTP to
Rab-GDP in regulation of specific intracellular trafficking pathways. Several TBC
proteins play important roles in cellular functions in mammals, and defects of
which are closely associated with numerous disease processes. In this review, we
summarize the structures and functions of the mammalian TBC proteins and recent
advances in understanding their critical roles in the development of human
diseases. This review serves as a reference for further investigations on the
functions of TBC proteins in disease pathogeneses.
PMID- 29367190
TI - Progress of GATA6 in liver development.
AB - GATA binding protein 6 (GATA6) is a member of the GATA family of zinc-finger
transcriptional regulators, whose names come from the conservative base sequence
(G/A)GATA(A/T). The GATA families play key roles in cell fate determination,
proliferation, migration, and organogenesis of endoderm- and mesoderm-derived
organs in vertebrates. As a lineage-specific factor, a chromatin remodeling
factor, a pluripotent factor and a pioneer factor, GATA6 is involved in various
stages of liver development, including endoderm liver-lineage determination,
liver specification, hepatic bud outgrowth and hepatoblast differentiation. In
this review, we summarize recent progress in the roles and regulatory mechanisms
of GATA6 in liver development.
PMID- 29367191
TI - Progress in ZIP transporter gene family in rice.
AB - Zinc and iron are essential mineral elements for the growth of Oryza sativa L.
and also micronutrients for human health. Therefore, it is vital to study
biofortification of rice with Zn and Fe in order to improve the yield and quality
of rice, as well as to enhance nutritional states of humans. The zinc-regulated
transporters and iron-regulated transporter-like proteins (the ZIP family)
control the absorption and translocation of Zn and Fe and maintain their
homeostasis in rice. Reciprocally, the expression of the ZIP family is induced by
the concentration of Zn and Fe. There are abundant natural allelic variations of
the ZIP genes, and some haplotypes only occur in indica or japonica, which could
affect Zn and Fe accumulation levels between these subspecies. Currently,
emerging functional studies of the accumulation mechanism of Zn and Fe in grains
reveal that a lot still needs to be learned about the allele variations of ZIP
genes. In fact, only OsZIP3 is functional characterized. In this review, we
summarize the latest progress in the molecular characteristics of the ZIP
transporters, including protein localization, gene expression patterns, transport
mechanism, metal ion interaction, and natural allelic variations.
PMID- 29367192
TI - Progress in plant paleogenomics.
AB - As a new branch of genomics, plant paleogenomics reconstructs ancestral genomes
from actual modern species and infers palaeohistory, evolutionary and/or
speciation events that have shaped the modern species. Advances in high
throughput sequencing technologies yield accurate long reads, promote the
progress of plant genome sequence assembly, and thereby offer paleogenomics a
large collection of valuable reference genomes from modern species. Whole-genome
duplication (WGD) and polyploidization cause rapid genomic reorganization,
massive gene losses and structural variations. WGD events are therefore central
to plant evolution. In this review, we summarize recent progress in sequencing
and assembly of plant genomes, principles of plant paleogenomics, WGD events in
plant genomes, and the most likely evolutionary scenario in plants. Furthermore,
we highlight some of the challenges as well as future directions.
PMID- 29367193
TI - Bacterial genome-wide association study: methodologies and applications.
AB - With the development of genome sequencing and the accumulation of whole genome
sequences, genome-wide association study (GWAS) has achieved remarkable advances
in understanding of human complex disease, and tens of thousands of disease risk
factors have been found. Meanwhile, GWAS provides a new tool for exploring the
genetic mechanism of bacterial phenotypes. Since the publication of the first
bacterial GWAS (BGWAS) work in 2013, there have been more than 10 reports, which
reveal the genetic basis of host adaption, drug resistance and virulence, etc.
These findings greatly enhance our understanding on genetics, evolution and
spread of bacteria. In this review, we summarize the current methodologies,
applications and problems of BGWAS and highlight its potential in future
research, which aims to provide helps for the applications of BGWAS in the field
of microbiology.
PMID- 29367194
TI - Construction of FGF21 knockout mouse models by the CRISPR/Cas9 system.
AB - Fibroblast growth factors (FGFs) are multifunctional signal molecules between
cells, regulating the various physiological functions of the organism. FGF21 is a
regulatory factor of the FGF family and has been postulated to play important
roles in hair follicle development and hair follicle growth cycle. To evaluate
the roles of FGF21, we had established a FGF21 knockout mouse model, using the
CRISPR/Cas9 technology. We had constructed a FGF21 targeting vector and
microinjected it with Cas9 mRNA and gRNA into fertilized ova of FVB mice. The
gRNA was designed to target the exon 1 of the endogenous mouse FGF21 gene. Three
lines of Fgf21 -/- mice were obtained from these experiments, and confirmed to
harbor Fgf21 -/- genotypes and null expression phenotype, using DNA sequencing,
qRT-PCR and Western blotting. FGF21 mRNA and FGF21 protein were not detected in
tissues of these Fgf21 -/- mice. Depilation and histochemistry analyses showed
that the Fgf21 -/- mice had lower body weight, slower hair regrowth and poorer
hair quantities and smaller hair follicles diameters, as compared to WT mice. The
Fgf21 -/- mice reported here could provide a useful genetic model for future
studies of FGF21 functions in hair follicle development and hair follicle growth
cycle.
PMID- 29367195
TI - Application of medical cases in general genetics teaching in universities.
AB - General genetics is a core course in life sciences, medicine, agriculture and
other related fields. As one of the most fast-developing disciplines of life
sciences in the 21th century, the influence of the genetics knowledge on daily
life is expanding, especially on human health and reproduction. In order to make
it easier for students to understand the profound principles of genetics and to
better apply the theories to daily life, we have introduced appropriate medical
cases in general genetics teaching and further extended them combined with
theoretical basis of genetics. This approach will be beneficial to enhance
students' abilities of genetic analysis and promote their enthusiasm to learn and
master practical skills. In this paper, we enumerate medical cases related to the
modern genetics teaching system to provide a reference for genetics teaching in
general and normal universities.
PMID- 29367196
TI - Chromatin-Remodeling Genes Promote Immunotherapy Resistance.
AB - Two studies show that genes that encode a chromatin-remodeling complex foster
resistance to checkpoint inhibitors. One study identified the proteins by using
CRISPR/Cas9 to knock out genes in mouse melanoma cells. The other study converged
on the same result by identifying mutations in patients with clear cell renal
cell carcinoma who responded to PD-1 inhibitors.
PMID- 29367197
TI - Circulating Tumor DNA Genomics Correlate with Resistance to Abiraterone and
Enzalutamide in Prostate Cancer.
AB - Primary resistance to androgen receptor (AR)-directed therapies in metastatic
castration-resistant prostate cancer (mCRPC) is poorly understood. We randomized
202 patients with treatment-naive mCRPC to abiraterone or enzalutamide and
performed whole-exome and deep targeted 72-gene sequencing of plasma cell-free
DNA prior to therapy. For these agents, which have never been directly compared,
time to progression was similar. Defects in BRCA2 and ATM were strongly
associated with poor clinical outcomes independently of clinical prognostic
factors and circulating tumor DNA abundance. Somatic alterations in TP53,
previously linked to reduced tumor dependency on AR signaling, were also
independently associated with rapid resistance. Although detection of AR
amplifications did not outperform standard prognostic biomarkers, AR gene
structural rearrangements truncating the ligand binding domain were identified in
several patients with primary resistance. These findings establish genomic
drivers of resistance to first-line AR-directed therapy in mCRPC and identify
potential minimally invasive biomarkers.Significance: Leveraging plasma specimens
collected in a large randomized phase II trial, we report the relative impact of
common circulating tumor DNA alterations on patient response to the most widely
used therapies for advanced prostate cancer. Our findings suggest that liquid
biopsy analysis can guide the use of AR-targeted therapy in general practice.
Cancer Discov; 8(4); 444-57. (c)2018 AACR.See related commentary by Jayaram et
al., p. 392This article is highlighted in the In This Issue feature, p. 371.
PMID- 29367199
TI - Looking in the rear-view mirror as we anticipate another 100 years.
PMID- 29367198
TI - Preoperative physiotherapy for the prevention of respiratory complications after
upper abdominal surgery: pragmatic, double blinded, multicentre randomised
controlled trial.
AB - OBJECTIVE: To assess the efficacy of a single preoperative physiotherapy session
to reduce postoperative pulmonary complications (PPCs) after upper abdominal
surgery. DESIGN: Prospective, pragmatic, multicentre, patient and assessor
blinded, parallel group, randomised placebo controlled superiority trial.
SETTING: Multidisciplinary preadmission clinics at three tertiary public
hospitals in Australia and New Zealand. PARTICIPANTS: 441 adults aged 18 years or
older who were within six weeks of elective major open upper abdominal surgery
were randomly assigned through concealed allocation to receive either an
information booklet (n=219; control) or preoperative physiotherapy (n=222;
intervention) and followed for 12 months. 432 completed the trial. INTERVENTIONS:
Preoperatively, participants received an information booklet (control) or an
additional 30 minute physiotherapy education and breathing exercise training
session (intervention). Education focused on PPCs and their prevention through
early ambulation and self directed breathing exercises to be initiated
immediately on regaining consciousness after surgery. Postoperatively, all
participants received standardised early ambulation, and no additional
respiratory physiotherapy was provided. MAIN OUTCOME MEASURES: The primary
outcome was a PPC within 14 postoperative hospital days assessed daily using the
Melbourne group score. Secondary outcomes were hospital acquired pneumonia,
length of hospital stay, utilisation of intensive care unit services, and
hospital costs. Patient reported health related quality of life, physical
function, and post-discharge complications were measured at six weeks, and all
cause mortality was measured to 12 months. RESULTS: The incidence of PPCs within
14 postoperative hospital days, including hospital acquired pneumonia, was halved
(adjusted hazard ratio 0.48, 95% confidence interval 0.30 to 0.75, P=0.001) in
the intervention group compared with the control group, with an absolute risk
reduction of 15% (95% confidence interval 7% to 22%) and a number needed to treat
of 7 (95% confidence interval 5 to 14). No significant differences in other
secondary outcomes were detected. CONCLUSION: In a general population of patients
listed for elective upper abdominal surgery, a 30 minute preoperative
physiotherapy session provided within existing hospital multidisciplinary
preadmission clinics halves the incidence of PPCs and specifically hospital
acquired pneumonia. Further research is required to investigate benefits to
mortality and length of stay. TRIAL REGISTRATION: Australian New Zealand Clinical
Trials Registry ANZCTR 12613000664741.
PMID- 29367200
TI - Novel mutation in the choroideremia gene and multi-Mendelian phenotypes in
Spanish families.
AB - AIMS: We aimed to accurately diagnose several retinitis pigmentosa (RP) patients
with complex ocular phenotypes by combining massive sequencing genetic diagnosis
and powerful clinical imaging techniques. METHODS: Whole-exome sequencing (WES)
of selected patients from two RP families was undertaken. The variants identified
were validated by Sanger sequencing and cosegregation analysis. Accurate clinical
re-evaluation was performed using electrophysiological and visual field records
as well as non-invasive imaging techniques, such as swept-source optical
coherence tomography and fundus autofluorescence. RESULTS: The WES results
highlighted one novel and one reported causative mutations in the X-linked
choroideremia gene (CHM), which challenged the initial RP diagnosis. Subsequent
clinical re-evaluation confirmed the choroideremia diagnosis. Carrier females
showed different degrees of affectation, even between twin sisters, probably due
to lyonization. A severe multi-Mendelian phenotype was associated with
coincidental dominant pathogenic mutations in two additional genes: PAX6 and
PDE6B. CONCLUSIONS: Genetic diagnosis via massive sequencing is instrumental in
identifying causative mutations in retinal dystrophies and additional genetic
variants with an impact on the phenotype. Multi-Mendelian phenotypes previously
ascribed to rare syndromes can thus be dissected and molecularly diagnosed.
Overall, the combination of powerful genetic diagnosis and clinical non-invasive
imaging techniques enables efficient management of patients and their
prioritisation for gene-specific therapies.
PMID- 29367201
TI - Corneal staining patterns in vernal keratoconjunctivitis: the new VKC-CLEK
scoring scale.
AB - AIM: To propose a new scoring system in the assessment of ocular surface
epithelial damage in vernal keratoconjunctivitis (VKC). METHODS: 25 consecutive
patients with VKC (50 eyes) were evaluated using the Quality of Life in children
with VKC (QUICK) questionnaire and objective clinical measures: fluorescein and
lissamine green staining and cornea confocal microscopy (Heidelberg Retina
Tomography 3). Oxford, Van Bljsterweld and a new system, the VKC-Collaborative
Longitudinal Evaluation of Keratoconus study (CLEK) (VKC-CLEK) scores, were used
to evaluate the epithelial damage after staining. RESULTS: Mean Oxford and VKC
CLEK scores were significantly different after fluorescein staining (P<0.001),
but significantly correlated (P<0.001; r=0.649). The same data were obtained
comparing Van Bljsterweld and VKC-CLEK after lissamine green staining (P<0.001;
r=0.760). In patient with limbal VKC, a statistically significant difference was
found comparing new VKC-CLEK scores and Oxford or Van Bljsterweld scores
(P<0.001), but not in tarsal VKC. A statistically superior concordance was found
between QUICK and VKC-CLEK scores compared with standard staining scores values
(P<0.001). CONCLUSIONS: Oxford and Van Bijsterveld scores are not adequate for
the evaluation of the epithelial damage in patients with limbal VKC because the
staining patterns considered for these tests do not correspond to the staining
patterns in patients with VKC. We propose a new scoring system, VKC-CLEK, to
better evaluate both limbal and tarsal epithelial damage in patients with VKC.
PMID- 29367202
TI - Prevalence and incidence of presbyopia in urban Southern China.
AB - AIMS: To investigate the prevalence and incidence of presbyopia in an urban
Chinese population. METHODS: 1817 subjects aged >=35 years were identified by
random cluster sampling in Yuexiu District, Guangzhou, China, at baseline in
2008, and all were invited for the follow-up examination in 2014. Distance and
near visual acuity (VA) tests, as well as non-cycloplegic automated refraction
were performed at each examination as per standardised protocol. Participants
with presenting near VA <=20/40 were further tested with add power at a standard
distance of 40 cm to obtain their best-corrected near VA. Functional presbyopia
was defined as near VA under presenting distance refraction correction of <20/50
and could be improved by at least one line with add power. RESULTS: A total of
1191 (83.5% of the 2014 follow-up) participants were included in the current
analysis with a mean (SD) age of 50.4 (9.7) years, and 52.9% were female.
Prevalence of functional presbyopia at baseline was 25.2% (95% CI 21.5 to 28.9)
and the 6-year incidence was 42.8% (95% CI 39.4 to 50.1). Older and more
hyperopic subjects had both higher prevalence and incidence of presbyopia
(P<0.001). Average presbyopic correction coverage (PCC) was 87.7% at baseline and
was significantly lower in myopic participants (P=0.006). CONCLUSIONS: Prevalence
of functional presbyopia in urban China is relatively lower along with a higher
PCC compared with previous population-based rural cohorts. We identified a high
presbyopia incidence, and further studies are needed to understand longitudinal
presbyopia progression as well as the urban-rural gap in presbyopia to throw
light on future strategic planning.
PMID- 29367203
TI - Implementation and Improvement of Pediatric Asthma Guideline Improves Hospital
Based Care.
AB - BACKGROUND: Standardized pediatric asthma care has been shown to improve measures
in specific hospital areas, but to our knowledge, the implementation of an asthma
clinical practice guideline (CPG) has not been demonstrated to be associated with
improved hospital-wide outcomes. We sought to implement and refine a pediatric
asthma CPG to improve outcomes and throughput for the emergency department (ED),
inpatient care, and the ICU. METHODS: An urban, quaternary-care children's
hospital developed and implemented an evidence-based, pediatric asthma CPG to
standardize care from ED arrival through discharge for all primary diagnosis
asthma encounters for patients >=2 years old without a complex chronic condition.
Primary outcomes included ED and inpatient length of stay (LOS), percent ED
encounters requiring admission, percent admissions requiring ICU care, and total
charges. Balancing measures included the number of asthma discharges between all
cause 30-day readmissions after asthma discharges and asthma relapse within 72
hours. Statistical process control charts were used to monitor and analyze
outcomes. RESULTS: Analyses included 3650 and 3467 encounters 2 years pre- and
postimplementation, respectively. Postimplementation, reductions were seen in ED
LOS for treat-and-release patients (3.9 hours vs 3.3 hours), hospital LOS (1.5
days vs 1.3 days), ED encounters requiring admission (23.5% vs 18.8%), admissions
requiring ICU (23.0% vs 13.2%), and total charges ($4457 vs $3651). Guideline
implementation was not associated with changes in balancing measures.
CONCLUSIONS: The hospital-wide standardization of a pediatric asthma CPG across
hospital units can safely reduce overall hospital resource intensity by reducing
LOS, admissions, ICU services, and charges.
PMID- 29367204
TI - Cardiac Arrest Survival in Pediatric and General Emergency Departments.
AB - BACKGROUND AND OBJECTIVES: Pediatric out-of-hospital cardiac arrest (OHCA) has a
low rate of survival to hospital discharge. Understanding whether pediatric
emergency departments (EDs) have higher survival than general EDs may help
identify ways to improve care for all patients with OHCA. We sought to determine
if OHCA survival differs between pediatric and general EDs. METHODS: We used the
2009-2014 Nationwide Emergency Department Sample to study children under 18 with
cardiac arrest. We compared pediatric EDs (those with >75% pediatric visits) to
general EDs on the outcome of survival to hospital discharge or transfer. We
determined unadjusted and adjusted survival, accounting for age, region, and
injury severity. Analyses were stratified by nontraumatic versus traumatic cause.
RESULTS: The incidences of nontraumatic and traumatic OHCA were 7.91 (95%
confidence interval [CI]: 7.52-8.30) and 2.67 (95% CI: 2.49-2.85) per 100 000
person years. In nontraumatic OHCA, unadjusted survival was higher in pediatric
EDs than general EDs (33.8% vs 18.9%, P < .001). The adjusted odds ratio of
survival in pediatric versus general EDs was 2.2 (95% CI: 1.7-2.8). Children with
traumatic OHCA had similar survival in pediatric and general EDs (31.7% vs 26.1%,
P = .14; adjusted odds ratio = 1.3 [95% CI: 0.8-2.1]). CONCLUSIONS: In a
nationally representative sample, survival from nontraumatic OHCA was higher in
pediatric EDs than general EDs. Survival did not differ in traumatic OHCA.
Identifying the features of pediatric ED OHCA care leading to higher survival
could be translated into improved survival for children nationally.
PMID- 29367205
TI - Predicting outcomes in aneurysmal subarachnoid haemorrhage.
PMID- 29367206
TI - Global elimination of viral hepatitis and hepatocellular carcinoma: opportunities
and challenges.
PMID- 29367207
TI - Non-alcoholic steatohepatitis pathogenesis: sublethal hepatocyte injury as a
driver of liver inflammation.
AB - A subset of patients with non-alcoholic fatty liver disease develop an
inflammatory condition, termed non-alcoholic steatohepatitis (NASH). NASH is
characterised by hepatocellular injury, innate immune cell-mediated inflammation
and progressive liver fibrosis. The mechanisms whereby hepatic inflammation
occurs in NASH remain incompletely understood, but appear to be linked to the
proinflammatory microenvironment created by toxic lipid-induced hepatocyte
injury, termed lipotoxicity. In this review, we discuss the signalling pathways
induced by sublethal hepatocyte lipid overload that contribute to the
pathogenesis of NASH. Furthermore, we will review the role of proinflammatory,
proangiogenic and profibrotic hepatocyte-derived extracellular vesicles as
disease biomarkers and pathogenic mediators during lipotoxicity. We also review
the potential therapeutic strategies to block the feed-forward loop between
sublethal hepatocyte injury and liver inflammation.
PMID- 29367209
TI - The Complement C3a-C3aR Axis Promotes Development of Thoracic Aortic Dissection
via Regulation of MMP2 Expression.
AB - Thoracic aortic dissection (TAD), once ruptured, is devastating to patients, and
no effective pharmaceutical therapy is available. Anaphylatoxins released by
complement activation are involved in a variety of diseases. However, the role of
the complement system in TAD is unknown. We found that plasma levels of C3a, C4a,
and C5a were significantly increased in patients with TAD. Elevated circulating
C3a levels were also detected in the developmental process of mouse TAD, which
was induced by beta-aminopropionitrile monofumarate (BAPN) treatment, with
enhanced expression of C1q and properdin in mouse dissected aortas. These
findings indicated activation of classical and alternative complement pathways.
Further, expression of C3aR was obviously increased in smooth muscle cells of
human and mouse dissected aortas, and knockout of C3aR notably inhibited BAPN
induced formation and rupture of TAD in mice. C3aR antagonist administered pre-
and post-BAPN treatment attenuated the development of TAD. We found that C3aR
knockout decreased matrix metalloproteinase 2 (MMP2) expression in BAPN-treated
mice. Additionally, recombinant C3a stimulation enhanced MMP2 expression and
activation in smooth muscle cells that were subjected to mechanical stretch.
Finally, we generated MMP2-knockdown mice by in vivo MMP2 short hairpin RNA
delivery using recombinant adeno-associated virus and found that MMP2 deficiency
significantly reduced the formation of TAD. Therefore, our study suggests that
the C3a-C3aR axis contributes to the development of TAD via regulation of MMP2
expression. Targeting the C3a-C3aR axis may represent a strategy for inhibiting
the formation of TAD.
PMID- 29367208
TI - IL-10 Paradoxically Promotes Autoimmune Neuropathy through S1PR1-Dependent CD4+ T
Cell Migration.
AB - Chronic inflammatory demyelinating polyneuropathy (CIDP) is a debilitating
condition caused by autoimmune demyelination of peripheral nerves. CIDP is
associated with increased IL-10, a cytokine with well-described anti-inflammatory
effects. However, the role of IL-10 in CIDP is unclear. In this study, we
demonstrate that IL-10 paradoxically exacerbates autoimmunity against peripheral
nerves. In IL-10-deficient mice, protection from neuropathy was associated with
an accrual of highly activated CD4+ T cells in draining lymph nodes and absence
of infiltrating immune cells in peripheral nerves. Accumulated CD4+ T cells in
draining lymph nodes of IL-10-deficient mice expressed lower sphingosine-1
phosphate receptor 1 (S1pr1), a protein important in lymphocyte egress.
Additionally, IL-10 stimulation in vitro induced S1pr1 expression in lymph node
cells in a STAT3-dependent manner. Together, these results delineate a novel
mechanism in which IL-10-induced STAT3 increases S1pr1 expression and CD4+ T cell
migration to accelerate T cell-mediated destruction of peripheral nerves.
PMID- 29367211
TI - Monosodium Urate Crystals Generate Nuclease-Resistant Neutrophil Extracellular
Traps via a Distinct Molecular Pathway.
AB - Neutrophil extracellular traps (NETs) and the cell death associated with it
(NETosis) have been implicated in numerous diseases. Mechanistic studies of
NETosis have typically relied on nonphysiological stimuli, such as PMA. The human
disease of gout is caused by monosodium urate (MSU) crystals. We observed that
DNA consistent with NETs is present in fluid from acutely inflamed joints of gout
patients. NETs also coat the crystals found in uninflamed tophi of chronic gout
patients. We developed a quantitative, live cell imaging assay, which measures
the key features of NETosis, namely, cell death and chromatin decondensation. We
show that MSU and other physiologically relevant crystals induce NETosis through
a molecular pathway that is distinct from PMA and Candida hyphae. Crystals
interact with lysosomes to induce NADPH oxidase-independent cell death, with
postmortem chromatin decondensation mediated by neutrophil elastase. The
resulting MSU-induced NETs are enriched for actin and are resistant to serum and
DNase degradation. These findings demonstrate a distinct physiological NETosis
pathway in response to MSU crystals, which coats MSU crystals in DNA that
persists in tissues as gouty tophi.
PMID- 29367210
TI - CD8+ T Cells Lack Local Signals To Produce IFN-gamma in the Skin during
Leishmania Infection.
AB - Resolution of leishmaniasis depends upon parasite control and limiting
inflammation. CD4+ Th1 cells are required to control parasites, whereas CD8+ T
cells play a dual role: they promote Th1 cell differentiation but can also
increase inflammation at the site of infection as a consequence of cytolysis.
Although CD8+ T cells taken from leishmanial lesions are cytolytic, in this
study, we showed that only a few CD8+ T cells produced IFN-gamma.
Correspondingly, only low levels of IL-12 and/or IL-12 mRNA were present in
lesions from infected mice, as well as patients. Addition of IL-12 increased IFN
gamma production by CD8+ T cells isolated from leishmanial lesions, suggesting
that a lack of IL-12 at the site of infection limits IFN-gamma production by CD8+
T cells. To determine whether CD8+ T cells could promote resistance in vivo if IL
12 was present, we administered IL-12 to Leishmania-infected RAG mice
reconstituted with CD8+ T cells. IL-12 treatment increased the ability of CD8+ T
cells to make IFN-gamma, but CD8+ T cells still failed to control the parasites.
Furthermore, despite the ability of CD8+ T cells to promote immunity to secondary
infections, we also found that CD8+ T cells from immune mice were unable to
control Leishmania in RAG mice. Taken together, these results indicate that
lesional CD8+ T cells fail to make IFN-gamma because of a deficit in IL-12 but
that, even with IL-12, CD8+ T cells are unable to control Leishmania in the
absence of CD4+ T cells.
PMID- 29367212
TI - Impact of Cardiac Progenitor Cells on Heart Failure and Survival in Single
Ventricle Congenital Heart Disease.
AB - RATIONALE: Intracoronary administration of cardiosphere-derived cells (CDCs) in
patients with single ventricles resulted in a short-term improvement in cardiac
function. OBJECTIVE: To test the hypothesis that CDC infusion is associated with
improved cardiac function and reduced mortality in patients with heart failure.
METHODS AND RESULTS: We evaluated the effectiveness of CDCs using an integrated
cohort study in 101 patients with single ventricles, including 41 patients who
received CDC infusion and 60 controls treated with staged palliation alone. Heart
failure with preserved ejection fraction (EF) or reduced EF was stratified by the
cardiac function after surgical reconstruction. The main outcome measure was to
evaluate the magnitude of improvement in cardiac function and all-cause mortality
at 2 years. Animal studies were conducted to clarify the underlying mechanisms of
heart failure with preserved EF and heart failure with reduced EF phenotypes. At
2 years, CDC infusion increased ventricular function (stage 2: +8.4+/-10.0%
versus +1.6+/-6.4%, P=0.03; stage 3: +7.9+/-7.5% versus -1.1+/-5.5%, P<0.001)
compared with controls. In all available follow-up data, survival did not differ
between the 2 groups (log-rank P=0.225), whereas overall patients treated by CDCs
had lower incidences of late failure (P=0.022), adverse events (P=0.013), and
catheter intervention (P=0.005) compared with controls. CDC infusion was
associated with a lower risk of adverse events (hazard ratio, 0.411; 95% CI,
0.179-0.942; P=0.036). Notably, CDC infusion reduced mortality (P=0.038) and late
complications (P<0.05) in patients with heart failure with reduced EF but not
with heart failure with preserved EF. CDC-treated rats significantly reversed
myocardial fibrosis with differential collagen deposition and inflammatory
responses between the heart failure phenotypes. CONCLUSIONS: CDC administration
in patients with single ventricles showed favorable effects on ventricular
function and was associated with reduced late complications except for all-cause
mortality after staged procedures. Patients with heart failure with reduced EF
but not heart failure with preserved EF treated by CDCs resulted in significant
improvement in clinical outcome. CLINICAL TRIAL REGISTRATION: URL:
http://www.clinicaltrials.gov. Unique identifiers: NCT01273857 and NCT01829750.
PMID- 29367213
TI - Trained Innate Immunity as a Novel Mechanism Linking Infection and the
Development of Atherosclerosis.
AB - RATIONALE: There is strong epidemiological evidence for an association between
acute and chronic infections and the occurrence of atherosclerotic cardiovascular
disease. The underlying pathophysiological mechanisms remain unclear. Monocyte
derived macrophages are the most abundant immune cells in atherosclerotic
plaques. It has recently been established that monocytes/macrophages can develop
a long-lasting proinflammatory phenotype after brief stimulation with micro
organisms or microbial products, which has been termed trained immunity.
OBJECTIVE: The aim of this study is to assess whether trained immunity mediates
the link between infections and atherosclerotic cardiovascular disease. METHODS
AND RESULTS: Brief exposure of monocytes to various micro-organisms results in
the development of macrophages with a persistent proinflammatory phenotype: this
represents a de facto nonspecific innate immune memory, which has been termed
trained immunity. This is mediated by epigenetic reprogramming at the level of
histone methylation and a profound rewiring of intracellular metabolism. Although
this mechanism offers powerful protection against reinfection, trained
macrophages display an atherogenic phenotype in terms of cytokine production and
foam cell formation. Trained monocytes are present up to 3 months after
experimental infection in humans. Moreover, a trained immunity phenotype is
present in patients with established atherosclerosis. CONCLUSIONS: We propose
that trained immunity provides the missing mechanistic link that explains the
association between infections and atherosclerosis. Therefore, pharmacological
modulation of trained immunity has the potential to prevent infection-related
atherosclerotic cardiovascular disease in the future.
PMID- 29367214
TI - Tropical bird species have less variable body sizes.
AB - Ecologists have often predicted that species' niche breadths should decline
towards the Equator. Dan Janzen arrived at this prediction based on climatic
constraints, while Robert MacArthur argued that a latitudinal gradient in
resource specialization drives the pattern. This idea has some support when it
comes to thermal niches, but has rarely been explored for other niche dimensions.
Body size is linked to niche dimensions related to diet, competition and
environmental tolerance in vertebrates. We identified 68 pairs of tropical and
nontropical sister bird species using a comprehensive phylogeny and used the
VertNet specimen database to ask whether tropical birds have lower intraspecific
body-size variation than their nontropical sister species. Our results show that
tropical species have less intraspecific variability in body mass ([Formula: see
text]; p = 0.009). Variation in body-size variability was poorly explained by
both abiotic and biotic drivers; thus the mechanisms underlying the pattern are
still unclear. The lower variation in body size of tropical bird species may have
evolved in response to more stable climates and resource environments.
PMID- 29367215
TI - Social manipulation of sperm competition intensity reduces seminal fluid gene
expression.
AB - A considerable body of evidence supports the prediction that males should
increase their expenditure on the ejaculate in response to sperm competition
risk. The prediction that they should reduce their expenditure with increasing
sperm competition intensity is less well supported. Moreover, most studies have
documented plasticity in sperm numbers. Here we show that male crickets
Teleogryllus oceanicus exhibit reduced seminal fluid gene expression and
accessory gland mass in response to elevated sperm competition intensity.
Together with previous research, our findings suggest that strategic adjustments
in seminal fluid composition contribute to competitive fertilization success in
this species.
PMID- 29367216
TI - Michael Farquhar: Sleep, the best medicine.
PMID- 29367217
TI - Antibiotics for simple skin abscesses: the new evidence in perspective.
PMID- 29367218
TI - Orthostatic blood pressure recovery patterns in suspected syncope in the
emergency department.
AB - INTRODUCTION: Orthostasis is a frequent trigger for (pre)syncope but some forms
of orthostatic (pre)syncope have a worse prognosis than others. Routine
assessment of orthostatic BP in the ED can detect classic orthostatic
hypotension, but often misses these other forms of orthostatic (pre)syncope. This
study aimed to determine the frequency of abnormal orthostatic BP recovery
patterns in patients with (pre)syncope by using continuous non-invasive BP
monitoring. METHODS: We performed a prospective cohort study in suspected
patients with (pre)syncope in the ED of a tertiary care teaching hospital between
January and August 2014. Orthostatic BP was measured during the active lying-to
standing test with Nexfin, a continuous non-invasive finger arterial pressure
measurement device. Orthostatic BP recovery patterns were defined as normal BP
recovery, initial orthostatic hypotension, delayed BP recovery, classic
orthostatic hypotension and reflex-mediated hypotension. RESULTS: Of 116 patients
recruited, measurements in 111 patients (age 63 years, 51% male) were suitable
for analysis. Classic orthostatic hypotension was the most prevalent abnormal BP
pattern (19%), but only half of the patients received a final diagnosis of
orthostatic hypotension. Initial orthostatic hypotension and delayed BP recovery
were present in 20% of the patients with (pre)syncope of whom 45% were diagnosed
as unexplained syncope. Reflex-mediated hypotension was present in 4% of the
patients. CONCLUSION: Continuous non-invasive BP measurement can potentially
identify more specific and concerning causes of orthostatic (pre)syncope. Correct
classification is important because of different short-term and long-term
clinical implications.
PMID- 29367219
TI - Parapedicular vertebral augmentation with polymethylmetacrylate for pedicle screw
loosening.
AB - A 71-year-old man who had a L1/S1 posterior fusion revision surgery complained of
increasing back pain 5 weeks after the open surgical procedure. The pain was
initially estimated at 9/10 on the visual analog scale (VAS) and thought to be
related to a right-sided L2 screw loosening. A right parapedicular vertebroplasty
was performed and polymethylmethacrylate cement was instilled around the right
pedicle screw, filling the anterior two-thirds of the vertebral body. On
postvertebroplasty day 1, the patient had significant improvement in his low back
pain. The pain further decreased at 1 and 3 months after the intervention (2/10
on the VAS). Vertebroplasty is a minimally invasive, accessible, effective, and
long lasting treatment for compression fractures. We believe that this technique
could also be indicated to treat pain related to low grade screw loosening in
properly selected patients.
PMID- 29367220
TI - A mesh masquerading as malignancy: a cancer misdiagnosed.
AB - After a positive faecal occult blood test, a 60-year-old woman underwent a
screening colonoscopy which identified a malignant-looking ulcer in the ascending
colon. Biopsies from the lesion were inconclusive. A subsequent CT scan of the
abdomen and pelvis commented on a polypoid lesion in the ascending colon. A
colorectal cancer multidisciplinary team discussion concluded that a right
hemicolectomy was indicated as the lesion was suspicious for malignancy.
Intraoperatively, there was a firm ascending colon mass adherent to the abdominal
wall, which was resected with clear margins. There were no other complications,
and the patient was discharged without further issues. Histopathology from the
retrieved specimen revealed a complete absence of malignancy, but rather,
inflamed granulation tissue with 'reaction to foreign birefringent material'
likely to represent a mesh from an incisional hernia repair 9 years previously.
The patient is currently recovering well without complication.
PMID- 29367221
TI - Severe systemic inflammatory response syndrome immediately after spinal surgery
in a patient with axial gout.
AB - We report a 55-year-old man with gouty arthritis who developed a 3-month history
of low back pain, gradual lower extremities weakness and urinary incontinence.
Lumbar MRI showed an exophytic lesion at L3-L4. Immediately after spinal
decompression surgery, he developed fever, disorientation, polyarthritis, acute
kidney injury and leucocytosis. He was treated with multiple antimicrobial agents
for presumed spinal abscess but did not improve. Multiple body site cultures were
negative. Aspiration of the sacroiliac joint revealed the presence of monosodium
uric acid crystals. A diagnosis of acute gout was done, and he was treated with
high-dose intravenous methylprednisolone and colchicine. Within 48 hours, he had
a remarkable clinical improvement. At discharge, neurological and laboratory
abnormalities had resolved. Awareness of risk factors for axial gout and a high
degree of suspicion are important to establish a prompt diagnosis and treatment
to prevent severe complications as seen in this case.
PMID- 29367222
TI - Left ventricular free-wall rupture that occurred during a cardiopulmonary
exercise test.
AB - Although exercise testing has become a standard procedure before discharge for
patients with acute coronary syndrome, a fatal accident during the test is
extremely rare. A 60-year-old man was admitted for a non-ST-segment elevation
myocardial infarction. A coronary angiogram showed stenosis at the distal lesion
of the circumflex, and a balloon angioplasty was performed. His recovery was
smooth, and a cardiopulmonary exercise test was performed 5 days after admission.
At 2.5 metabolic equivalents, he suddenly went into cardiac arrest, and
percutaneous cardiopulmonary support was initiated. Echocardiography revealed the
presence of a large amount of pericardial effusion, and emergency cardiac surgery
was performed to repair the free-wall rupture. This highlights the importance of
careful monitoring of patients with percutaneous coronary intervention during
cardiopulmonary exercise testing.
PMID- 29367223
TI - The curse of relieving pain.
AB - A 39-year-old woman with a history of chronic back pain due to spinal
haemangiomas, multiple malignancies and depression was brought by Emergency
medical servicesS to the emergency centre (EC) after being found unresponsive on
the bathroom floor. The patient had an exacerbation of her back pain the previous
day for which she admitted to taking double her usual dose of oxycodone, in
addition to alprazolam, lorazepam, diphenhydramine and a glass of wine. She
reported that she lost consciousness and was down for over 8 hours. In the EC,
she complained of right forearm pain which was accompanied by mild diffuse soft
tissue swelling and decreased sensation in the right hand. Radial pulse was
intact. Creatine kinase was found to be at 4663 U/L. The patient was found to
have acute compartment syndrome and underwent emergent forearm fasciotomy. She
eventually regained full function of the right arm.
PMID- 29367224
TI - The effect of a therapeutic lithium level on a stroke-related cerebellar tremor.
AB - Lithium is a mood stabiliser used in the treatment of acute mania, bipolar
disorder and as augmentation for unipolar major depression. Tremor is a common
adverse effect associated with lithium at both therapeutic and toxic serum
levels. We present a case of dose-dependent changes in the quality and intensity
of a stroke-related, chronic cerebellar tremor with lithium treatment at serum
levels within the therapeutic range. On admission, the patient in this case had a
baseline fine, postural tremor, which increased in frequency and evolved to
include myoclonic jerks once lithium therapy was initiated. Although the
patient's serum lithium level was never in the toxic range, his tremor returned
to baseline on reduction of his serum lithium level. This case highlights that a
pre-existing, baseline tremor may lower the threshold for developing myoclonus.
It also suggests that caution may be warranted with lithium therapy in the
setting of known cerebellar disease.
PMID- 29367225
TI - Super obesity is not necessarily a contraindication to deep inferior epigastric
perforator flap breast reconstruction.
AB - The deep inferior epigastric perforator (DIEP) flap is widely recognised as a
safe and reliable flap for use as a first-choice option in autologous tissue
breast reconstruction. Patients with obesity represent a challenging group for
autologous breast reconstruction, as they are at increased risk of developing
major and minor complications in comparison with patients with normal weight. We
report a 59-year-old woman with super obesity, who presented to our department
with right breast skin necrosis after implant reconstruction following mastectomy
for right breast cancer. After implant removal and local treatment with both
surgical debridement and negative pressure wound therapy, the patient
successfully underwent a DIEP flap breast reconstruction. We conclude that super
obesity should not be a contraindication to DIEP flap breast reconstruction.
PMID- 29367226
TI - Optic nerve grey crescent: an assessment using swept-source OCT.
PMID- 29367227
TI - Closed gastroschisis with left defect: a rare variant.
PMID- 29367228
TI - TRPing out Platelet Calcium: TRPM7 (Transient Receptor Potential Melastatin-Like
7) Modulates Calcium Mobilization and Platelet Function via Phospholipase C
Interactions.
PMID- 29367229
TI - PAR4 (Protease-Activated Receptor 4): PARticularly Important 4 Antiplatelet
Therapy.
PMID- 29367230
TI - The Importance of Sex in the Stress-Heart Disease Relationship and the Potential
Contribution of Gender to Future Research.
PMID- 29367231
TI - ATVB Named Lecture Review-Insight Into Author: Jeffrey I. Weitz, MD.
PMID- 29367232
TI - High-Density Lipoprotein Function in Cardiovascular Disease and Diabetes
Mellitus.
PMID- 29367233
TI - Control of Retrograde Signaling by Rapid Turnover of GENOMES UNCOUPLED1.
AB - The exchange of signals between cellular compartments coordinates development and
differentiation, modulates metabolic pathways, and triggers responses to
environmental conditions. The proposed central regulator of plastid-to-nucleus
retrograde signaling, GENOMES UNCOUPLED1 (GUN1), is present at very low levels,
which has hampered the discovery of its precise molecular function. Here, we show
that the Arabidopsis (Arabidopsis thaliana) GUN1 protein accumulates to
detectable levels only at very early stages of leaf development, where it
functions in the regulation of chloroplast biogenesis. GUN1 mRNA is present at
high levels in all tissues, but GUN1 protein undergoes rapid degradation (with an
estimated half-life of ~4 h) in all tissues where chloroplast biogenesis has been
completed. The rapid turnover of GUN1 is controlled mainly by the chaperone
ClpC1, suggesting degradation of GUN1 by the Clp protease. Degradation of GUN1
slows under stress conditions that alter retrograde signaling, thus ensuring that
the plant has sufficient GUN1 protein. We also find that the pentatricopeptide
repeat motifs of GUN1 are important determinants of GUN1 stability. Moreover,
overexpression of GUN1 causes an early flowering phenotype, suggesting a function
of GUN1 in developmental phase transitions beyond chloroplast biogenesis. Taken
together, our results provide new insight into the regulation of GUN1 by
proteolytic degradation, uncover its function in early chloroplast biogenesis,
and suggest a role in developmental phase transitions.
PMID- 29367234
TI - ER-Anchored Transcription Factors bZIP17 and bZIP28 Regulate Root Elongation.
AB - The unfolded protein response (UPR) is a eukaryotic transcriptional regulatory
network that is activated upon the accumulation of malformed proteins in the
endoplasmic reticulum (ER). In Arabidopsis (Arabidopsis thaliana), three bZIP
transcription factors modulate the UPR: bZIP17, bZIP28, and bZIP60. Although
bZIP28 and bZIP60 have been relatively well studied, the physiological and
transcriptional roles of bZIP17 remain largely unknown. Here, we generated a
double knockout mutant of bZIP17 and bZIP28 to elucidate the function of bZIP17.
The mutant plant exhibited multiple developmental defects, including markedly
reduced root elongation and constantly overinduced bZIP60 activity, indicating
the essential roles of bZIP17 and bZIP28 in plant development and UPR modulation.
Extended analysis of the transcriptomes of three double knockout mutants of
bZIP17, bZIP28, and bZIP60 revealed that bZIP28 and bZIP60 are the major
activators of the canonical induced UPR. By contrast, bZIP17 functions with
bZIP28 to mediate the noninducible expression of multiple genes involved in cell
growth, particularly to sustain their expression under stress conditions. Our
study reveals pivotal roles of bZIP17 in the plant UPR and vegetative
development, with functional redundancy to bZIP28.
PMID- 29367235
TI - Knockdown of Rice MicroRNA166 Confers Drought Resistance by Causing Leaf Rolling
and Altering Stem Xylem Development.
AB - MicroRNAs are 19- to 22-nucleotide small noncoding RNAs that have been implicated
in abiotic stress responses. In this study, we found that knockdown of
microRNA166, using the Short Tandem Target Mimic (STTM) system, resulted in
morphological changes that confer drought resistance in rice (Oryza sativa). From
a large-scale screen for miRNA knockdown lines in rice, we identified miR166
knockdown lines (STTM166); these plants exhibit a rolled-leaf phenotype, which is
normally displayed by rice plants under drought stress. The leaves of STTM166
rice plants had smaller bulliform cells and abnormal sclerenchymatous cells,
likely causing the rolled-leaf phenotype. The STTM166 plants had reduced stomatal
conductance and showed decreased transpiration rates. The STTM166 lines also
exhibited altered stem xylem and decreased hydraulic conductivity, likely due to
the reduced diameter of the xylem vessels. Molecular analyses identified rice
HOMEODOMAIN CONTAINING PROTEIN4 (OsHB4), a member of HD-Zip III gene family, as a
major target of miR166; moreover, rice plants overexpressing a miR166-resistant
form of OsHB4 resembled the STTM166 plants, including leaf rolling and higher
drought resistance. The genes downstream of miR166-OsHB4 consisted of
polysaccharide synthesis-related genes that may contribute to cell wall formation
and vascular development. Our results suggest that drought resistance in rice can
be increased by manipulating miRNAs, which leads to developmental changes, such
as leaf rolling and reduced diameter of the xylem, that mimic plants' natural
responses to water-deficit stress.
PMID- 29367236
TI - Stresses and strains on the human fetal skeleton during development.
AB - Mechanical forces generated by fetal kicks and movements result in stimulation of
the fetal skeleton in the form of stress and strain. This stimulation is known to
be critical for prenatal musculoskeletal development; indeed, abnormal or absent
movements have been implicated in multiple congenital disorders. However, the
mechanical stress and strain experienced by the developing human skeleton in
utero have never before been characterized. Here, we quantify the biomechanics of
fetal movements during the second half of gestation by modelling fetal movements
captured using novel cine-magnetic resonance imaging technology. By tracking
these movements, quantifying fetal kick and muscle forces, and applying them to
three-dimensional geometries of the fetal skeleton, we test the hypothesis that
stress and strain change over ontogeny. We find that fetal kick force increases
significantly from 20 to 30 weeks' gestation, before decreasing towards term.
However, stress and strain in the fetal skeleton rises significantly over the
latter half of gestation. This increasing trend with gestational age is important
because changes in fetal movement patterns in late pregnancy have been linked to
poor fetal outcomes and musculoskeletal malformations. This research represents
the first quantification of kick force and mechanical stress and strain due to
fetal movements in the human skeleton in utero, thus advancing our understanding
of the biomechanical environment of the uterus. Further, by revealing a potential
link between fetal biomechanics and skeletal malformations, our work will
stimulate future research in tissue engineering and mechanobiology.
PMID- 29367237
TI - The complexity of high-frequency electric fields degrades electrosensory inputs:
implications for the jamming avoidance response in weakly electric fish.
AB - Sensory systems encode environmental information that is necessary for adaptive
behavioural choices, and thus greatly influence the evolution of animal behaviour
and the underlying neural circuits. Here, we evaluate how the quality of sensory
information impacts the jamming avoidance response (JAR) in weakly electric fish.
To sense their environment, these fish generate an oscillating electric field:
the electric organ discharge (EOD). Nearby fish with similar EOD frequencies
perform the JAR to increase the difference between their EOD frequencies, i.e.
their difference frequency (DF). The fish determines the sign of the DF: when it
has a lower frequency (DF > 0), EOD frequency is decreased and vice versa. We
study the sensory basis of the JAR in two species: Apteronotus leptorhynchus have
a high frequency (ca 1000 Hz), spatio-temporally heterogeneous electric field,
whereas Eigenmannia sp. have a low frequency (ca 300 Hz), spatially uniform
field. We show that the increased complexity of the Apteronotus field decreases
the reliability of sensory cues used to determine the DF. Interestingly,
Apteronotus responds to all JAR stimuli by increasing EOD frequency, having lost
the neural pathway that produces JAR-related decreases in EOD frequency. Our
results suggest that electric field complexity may have influenced the evolution
of the JAR by degrading the related sensory information.
PMID- 29367238
TI - Overcoming hydrodynamic challenges in suspension feeding by juvenile Mya arenaria
clams.
AB - We present some of the few suspension-feeding measurements and to our knowledge
the first velocity-field measurements for early post-settlement juvenile bivalve
clams. We verify and extend our experimental results with numerical simulations.
For 1.8-2.8 mm shell length Mya arenaria clams, pumping rates ranged 0.03-0.22
MUl s-1, inhalant siphon Reynolds numbers (Re) ranged 0.16-0.79 and mean inhalant
velocities ranged 0.8-3.2 mm s-1 Owing to the low Re at which they pump and the
small diameters of their siphons, juvenile clams are subject to unique
hydrodynamic challenges, including high siphon resistance and susceptibility to
refiltration. At least three features of juvenile clam siphons differentiate them
from those of adults-shorter inhalant siphon length, a more rapid increase in
inhalant siphon diameter with shell length, and the presence of a prominent
exhalant siphon extension. These features are probably adaptations to the
challenges of suspension feeding at low Re.
PMID- 29367239
TI - Towards personalized computational oncology: from spatial models of tumour
spheroids, to organoids, to tissues.
AB - A main goal of mathematical and computational oncology is to develop quantitative
tools to determine the most effective therapies for each individual patient. This
involves predicting the right drug to be administered at the right time and at
the right dose. Such an approach is known as precision medicine. Mathematical
modelling can play an invaluable role in the development of such therapeutic
strategies, since it allows for relatively fast, efficient and inexpensive
simulations of a large number of treatment schedules in order to find the most
effective. This review is a survey of mathematical models that explicitly take
into account the spatial architecture of three-dimensional tumours and address
tumour development, progression and response to treatments. In particular, we
discuss models of epithelial acini, multicellular spheroids, normal and tumour
spheroids and organoids, and multi-component tissues. Our intent is to showcase
how these in silico models can be applied to patient-specific data to assess
which therapeutic strategies will be the most efficient. We also present the
concept of virtual clinical trials that integrate standard-of-care patient data,
medical imaging, organ-on-chip experiments and computational models to determine
personalized medical treatment strategies.
PMID- 29367241
TI - Temporal variation of human encounters and the number of locations in which they
occur: a longitudinal study of Hong Kong residents.
AB - Patterns of social contact between individuals are important for the transmission
of many pathogens and shaping patterns of immunity at the population scale. To
refine our understanding of how human social behaviour may change over time, we
conducted a longitudinal study of Hong Kong residents. We recorded the social
contact patterns for 1450 individuals, up to four times each between May 2012 and
September 2013. We found individuals made contact with an average of 12.5 people
within 2.9 geographical locations, and spent an average estimated total duration
of 9.1 h in contact with others during a day. Distributions of the number of
contacts and locations in which contacts were made were not significantly
different between study waves. Encounters were assortative by age, and the age
mixing pattern was broadly consistent across study waves. Fitting regression
models, we examined the association of contact rates (number of contacts, total
duration of contact, number of locations) with covariates and calculated the
inter- and intra-participant variation in contact rates. Participant age was
significantly associated with the number of contacts made, the total duration of
contact and the number of locations in which contact occurred, with children and
parental-age adults having the highest rates of contact. The number of contacts
and contact duration increased with the number of contact locations. Intra
individual variation in contact rate was consistently greater than inter
individual variation. Despite substantial individual-level variation, remarkable
consistency was observed in contact mixing at the population scale. This suggests
that aggregate measures of mixing behaviour derived from cross-sectional
information may be appropriate for population-scale modelling purposes, and that
if more detailed models of social interactions are required for improved public
health modelling, further studies are needed to understand the social processes
driving intra-individual variation.
PMID- 29367240
TI - Quantitative approaches to energy and glucose homeostasis: machine learning and
modelling for precision understanding and prediction.
AB - Obesity is a major global public health problem. Understanding how energy
homeostasis is regulated, and can become dysregulated, is crucial for developing
new treatments for obesity. Detailed recording of individual behaviour and new
imaging modalities offer the prospect of medically relevant models of energy
homeostasis that are both understandable and individually predictive. The
profusion of data from these sources has led to an interest in applying machine
learning techniques to gain insight from these large, relatively unstructured
datasets. We review both physiological models and machine learning results across
a diverse range of applications in energy homeostasis, and highlight how
modelling and machine learning can work together to improve predictive ability.
We collect quantitative details in a comprehensive mathematical supplement. We
also discuss the prospects of forecasting homeostatic behaviour and stress the
importance of characterizing stochasticity within and between individuals in
order to provide practical, tailored forecasts and guidance to combat the spread
of obesity.
PMID- 29367242
TI - A deep learning approach to estimate stress distribution: a fast and accurate
surrogate of finite-element analysis.
AB - Structural finite-element analysis (FEA) has been widely used to study the
biomechanics of human tissues and organs, as well as tissue-medical device
interactions, and treatment strategies. However, patient-specific FEA models
usually require complex procedures to set up and long computing times to obtain
final simulation results, preventing prompt feedback to clinicians in time
sensitive clinical applications. In this study, by using machine learning
techniques, we developed a deep learning (DL) model to directly estimate the
stress distributions of the aorta. The DL model was designed and trained to take
the input of FEA and directly output the aortic wall stress distributions,
bypassing the FEA calculation process. The trained DL model is capable of
predicting the stress distributions with average errors of 0.492% and 0.891% in
the Von Mises stress distribution and peak Von Mises stress, respectively. This
study marks, to our knowledge, the first study that demonstrates the feasibility
and great potential of using the DL technique as a fast and accurate surrogate of
FEA for stress analysis.
PMID- 29367243
TI - Immunogenicity in Rabbits of HIV-1 SOSIP Trimers from Clades A, B, and C, Given
Individually, Sequentially, or in Combination.
AB - Recombinant soluble HIV-1 envelope glycoprotein (Env) SOSIP trimers are a design
platform for inducing broadly neutralizing antibodies (bNAbs) by vaccination. To
date, these and alternative designs of native-like trimers, given singly or in
pairs, have not induced bNAbs in test animals such as rabbits or macaques. Here,
we have evaluated whether trivalent and tetravalent combinations of SOSIP trimers
from clades A, B, and C, delivered simultaneously or sequentially, induce better
neutralizing antibody responses in rabbits than when given alone. None of the
tested formulations led to the induction of bNAbs. We found that BG505 clade A
trimers dominated the autologous NAb responses induced by combinations, which
probably relates to the presence of immunodominant glycan holes on the BG505
trimer. Furthermore, autologous NAb responses to all individual trimers were
reduced when they were delivered in combinations compared with when delivered
alone, suggesting that immunogen interference had occurred. Finally, in a
sequential regimen, a heterologous clade C trimer cross-boosted NAb responses
that were primed by earlier immunizations with clade A and B trimers. Taken
together, these findings should allow us to improve the design of immunization
regimens based on native-like HIV-1 Env trimers.IMPORTANCE A successful HIV-1
vaccine most probably requires a trimeric envelope glycoprotein (Env) component,
as Env is the only viral protein on the surface of the virus and therefore the
only target for neutralizing antibodies. Native-like Env trimers can induce
strain-specific neutralizing antibodies but not yet broadly neutralizing
antibodies. To try to broaden the antibody response, we immunized rabbits with
soluble native-like Env trimers from three different clades using monovalent,
multivalent, and sequential regimens. We found that the neutralizing antibody
response against each immunogen was reduced when the immunogens were delivered in
combination or sequentially compared to the monovalent regimen. In contrast, when
the Env trimers from different clades were delivered sequentially, the
neutralizing antibody response could be cross-boosted. Although the combination
of native-like Env trimers from different clades did not induce broadly
neutralizing antibodies, the results provide clues on how to use native-like
trimers in vaccination experiments.
PMID- 29367244
TI - Hepatitis B Virus Subverts the Autophagy Elongation Complex Atg5-12/16L1 and Does
Not Require Atg8/LC3 Lipidation for Viral Maturation.
AB - Previous studies indicated that hepatitis B virus (HBV) stimulates autophagy to
favor its production. To understand how HBV co-opts autophagy as a proviral
machinery, we studied the roles of key autophagy proteins in HBV-replicating
liver cell cultures. RNA interference-mediated silencing of Atg5, Atg12, and
Atg16L1, which promote autophagophore expansion and LC3 membrane conjugation,
interfered with viral core/nucleocapsid (NC) formation/stability and strongly
diminished virus yields. Concomitantly, the core/NC membrane association and
their sorting to envelope-positive compartments were perturbed. A close
inspection of the HBV/autophagy cross talk revealed that the virus depended on
Atg12 covalently conjugated to Atg5. In support of this finding, HBV required the
E2-like enzymes Atg10 and Atg3, which catalyze or facilitate Atg5-12 conjugation,
respectively. Atg10 and Atg3 knockdowns decreased HBV production, while Atg3
overexpression increased virus yields. Mapping analyses demonstrated that the HBV
core protein encountered the Atg5-12/16L1 complex via interaction with the
intrinsically disordered region of the Atg12 moiety that is dispensable for
autophagy function. The role of Atg12 in HBV replication was confirmed by its
incorporation into virions. Although the Atg5-12/16L1 complex and Atg3 are
essential for LC3 lipidation and, thus, for autophagosome maturation and closure,
HBV propagation did not require LC3. Silencing of LC3B, the most abundant LC3
isoform, did not inhibit but rather augmented virus production. Similar
augmenting effects were obtained upon overexpression of a dominant negative
mutant of Atg4B that blocked the lipid conjugation of the LC3 isoforms and their
GABARAP paralogues. Together, our data indicate that HBV subverts early,
nondegradative autophagy components as assembly scaffolds, thereby concurrently
avoiding autophagosomal destruction.IMPORTANCE Infections with the hepatitis B
virus (HBV), an enveloped pararetrovirus, cause about 1 million deaths per year,
as current therapies rarely achieve a cure. Understanding the HBV life cycle and
concomitant host cell interactions is instrumental to develop new antiviral
concepts. Here, we proceeded to dissect the roles of the autophagy machinery in
virus propagation. By using RNA interference and overexpression studies in HBV
replicating cell lines, we identified the autophagic Atg5-12/16L1 elongation
complex along with Atg10 and Atg3 to be an essential scaffold for HBV
nucleocapsid assembly/stability. Deficits in Atg5-12/16L1 and Atg10/Atg3, which
normally drive autophagophore membrane expansion, strongly impaired progeny virus
yields. HBV gained access to Atg5-12/16L1 via interaction of its core protein
with the Atg12 moiety of the complex. In contrast, subsequent autophagosome
maturation and closure events were unnecessary for HBV replication, as evidenced
by inhibition of Atg8/LC3 conjugation. Interfering with the HBV/Atg12 cross talk
may be a tool for virus control.
PMID- 29367245
TI - Genetic Analysis of Serum-Derived Defective Hepatitis C Virus Genomes Revealed
Novel Viral cis Elements for Virus Replication and Assembly.
AB - Defective viral genomes (DVGs) of hepatitis C virus (HCV) exist, but their
biological significances have not been thoroughly investigated. Here, we analyzed
HCV DVGs circulating in patient sera that possess deletions in the structural
protein-encoding region. About 30% of 41 HCV clinical isolates possess DVGs that
originated from the full-length genome in the same patients. No correlation
between DVGs, viremia, and alanine aminotransferase (ALT) levels was found.
Sequencing analysis of DVGs revealed the existence of deletion hot spots, with
upstream sites in E1 and downstream sites in E2 and NS2. Interestingly, the
coding sequences for the core protein and the C-terminal protease domain of NS2
were always intact in DVGs despite the fact that both proteins are dispensable
for HCV genome replication. Mechanistic studies showed that transmembrane segment
3 (TMS3) of NS2, located immediately upstream of its protease domain, was
required for the cleavage of NS2-NS3 and the replication of DVGs. Moreover, we
identified a highly conserved secondary structure (SL750) within the core domain
2-coding region that is critical for HCV genome packaging. In summary, our
analysis of serum-derived HCV DVGs revealed novel viral cis elements that play
important roles in virus replication and assembly.IMPORTANCE HCV DVGs have been
identified in vivo and in vitro, but their biogenesis and physiological
significances remain elusive. In addition, a conventional packaging signal has
not yet been identified on the HCV RNA genome, and mechanisms underlying the
specificity in the encapsidation of the HCV genome into infectious particles
remain to be uncovered. Here, we identified new viral cis elements critical for
the HCV life cycle by determining genetic constraints that define the boundary of
serum-derived HCV DVGs. We found that transmembrane segment 3 of NS2, located
immediately upstream of its protease domain, was required for the cleavage of NS2
NS3 and the replication of DVGs. We identified a highly conserved secondary
structure (SL750) within the core-coding region that is critical for HCV genome
packaging. In summary, our analysis of serum-derived HCV DVGs revealed previously
unexpected novel cis elements critical for HCV replication and morphogenesis.
PMID- 29367246
TI - Human Papillomavirus 16 E7 Stabilizes APOBEC3A Protein by Inhibiting Cullin 2
Dependent Protein Degradation.
AB - APOBEC3 (A3) mutation signatures have been observed in a variety of human cancer
genomes, including those of cervical and head and neck cancers caused by human
papillomavirus (HPV) infection. However, the driving forces that promote off
target A3 activity remain mostly unclear. Here, we report a mechanism for the
dramatic increase of A3A protein levels in HPV-positive keratinocytes. We show
that expression of the viral protein E7 from high-risk HPVs, but not E7 from low
risk HPVs, significantly prolongs the cellular half-life of A3A protein in human
keratinocytes and HPV-positive cancer cell lines. We have mapped several residues
within the cullin 2 (CUL2) binding motif of HPV16 E7 as being important for
mediating A3A protein stabilization. Furthermore, we provide direct evidence that
both A3A and HPV16 E7 interact with CUL2, suggesting that the E7-CUL2 complex
formed during HPV infection may regulate A3A protein levels in the cell. Using an
in vitro cytidine deaminase assay, we show that E7-stabilized A3A remains
catalytically active. Taken together, our findings suggest that the HPV
oncoprotein E7 dysregulates endogenous A3A protein levels and thus provides novel
mechanistic insight into cellular triggers of A3 mutations in HPV-positive
cancers.IMPORTANCE Human papillomavirus (HPV) is causally associated with over 5%
of all human malignancies. Several recent studies have shown that a subset of
cancers, including HPV-positive head and neck and cervical cancers, have distinct
mutational signatures potentially caused by members of the APOBEC3 cytidine
deaminase family. However, the mechanism that induces APOBEC3 activity in cancer
cells is poorly understood. Here, we report that the HPV oncoprotein E7
stabilizes the APOBEC3A (A3A) protein in human keratinocytes by inhibiting
ubiquitin-dependent protein degradation in a cullin-dependent manner.
Interestingly, the HPV E7-stabilized A3A protein maintains its deaminase
activity. These findings provide a new insight into cancer mutagenesis enhanced
by virus-induced A3A protein stabilization.
PMID- 29367248
TI - Purification of highly active alphavirus replication complexes demonstrates
altered fractionation of multiple cellular membranes.
AB - Positive-strand RNA viruses replicate their genomes in membrane-associated
structures; alphaviruses and many other groups induce membrane invaginations
called spherules. Here, we established a protocol to purify these membranous
replication complexes (RCs) from cells infected with Semliki Forest virus (SFV).
We isolated SFV spherules located on the plasma membrane and further purified
them using two consecutive density gradients. This revealed that SFV infection
strongly modifies cellular membranes. We removed soluble proteins, the Golgi and
most of the mitochondria, but plasma membrane, endoplasmic reticulum (ER) and
late endosome markers enriched in the membrane fraction that contained viral RNA
synthesizing activity, replicase proteins and minus- and plus-strand RNA.
Electron microscopy revealed that the purified membranes displayed spherule-like
structures with a narrow neck. This membrane enrichment was specific to viral
replication as such a distribution of membrane markers was only observed after
infection. Besides the plasma membrane, SFV infection remodeled the ER, and the
co-fractionation of the RC-carrying plasma membrane and ER suggests that SFV may
recruit ER proteins or membrane to the site of replication. The purified RCs were
highly active in synthesizing both genomic and subgenomic RNA. Detergent
solubilization destroyed the replication activity demonstrating that the membrane
association of the complex is essential. Most of the newly made RNA was in double
stranded replicative molecules but the purified complexes also produced single
stranded RNA as well as released newly made RNA. This indicates that the
purification established here maintained the functionality of RCs and thus
enables further structural and functional studies of active RCs.IMPORTANCE
Similar to all positive-strand RNA viruses, the arthropod-borne alphaviruses
induce membranous genome factories but little is known about the arrangement of
viral replicase proteins and the presence of host proteins in these replication
complexes. To improve our knowledge of alphavirus RNA-synthesizing complexes, we
isolated and purified them from infected mammalian cells. Detection of viral RNA
and in vitro replication assays revealed that these complexes are abundant and
highly active when located on the plasma membrane. After multiple purification
steps, they remain functional in synthesizing and releasing viral RNA. Besides
the plasma membrane, markers for the endoplasmic reticulum and late endosomes
enriched with the replication complexes demonstrating that alphavirus infection
modified cellular membranes beyond inducing replication spherules on the plasma
membrane. We have here developed a gentle purification method to obtain large
quantities of highly active replication complexes, and similar methods can be
applied to other positive-strand RNA viruses.
PMID- 29367247
TI - Epstein-Barr Virus (EBV) Latent Protein EBNA3A Directly Targets and Silences the
STK39 Gene in B Cells Infected by EBV.
AB - Epstein-Barr virus (EBV) establishes latent infection in human B cells and is
associated with a wide range of cancers. The EBV nuclear antigen 3 (EBNA3) family
proteins are critical for B cell transformation and function as transcriptional
regulators. It is well established that EBNA3A and EBNA3C cooperate in the
regulation of cellular genes. Here, we demonstrate that the gene STK39 is
repressed only by EBNA3A. This is the first example of a gene regulated only by
EBNA3A in EBV-transformed lymphoblastoid cell lines (LCLs) without the help of
EBNA3C. This was demonstrated using a variety of LCLs carrying either knockout,
revertant, or conditional EBNA3 recombinants. Investigating the kinetics of
EBNA3A-mediated changes in STK39 expression showed that STK39 becomes derepressed
quickly after EBNA3A inactivation. This derepression is reversible as EBNA3A
reactivation represses STK39 in the same cells expressing a conditional EBNA3A.
STK39 is silenced shortly after primary B cell infection by EBV, and no STK39
encoded protein (SPAK) is detected 3 weeks postinfection. Chromatin
immunoprecipitation (ChIP) analysis indicates that EBNA3A directly binds to a
regulatory region downstream of the STK39 transcription start site. For the first
time, we demonstrated that the polycomb repressive complex 2 with the deposition
of the repressive mark H3K27me3 is not only important for the maintenance of an
EBNA3A target gene (STK39) but is also essential for the initial establishment of
its silencing. Finally, we showed that DNA methyltransferases are involved in the
EBNA3A-mediated repression of STK39IMPORTANCE EBV is well known for its ability
to transform B lymphocytes to continuously proliferating lymphoblastoid cell
lines. This is achieved in part by the reprogramming of cellular gene
transcription by EBV transcription factors, including the EBNA3 proteins that
play a crucial role in this process. In the present study, we found that EBNA3A
epigenetically silences STK39 This is the first gene where EBNA3A has been found
to exert its repressive role by itself, without needing its coregulators EBNA3B
and EBNA3C. Furthermore, we demonstrated that the polycomb repressor complex is
essential for EBNA3A-mediated repression of STK39 Findings in this study provide
new insights into the regulation of cellular genes by the transcription factor
EBNA3A.
PMID- 29367249
TI - Defining the Barriers to Women Publishing in High-Impact Journals.
AB - Publications are an important measure of success in science, and publications in
top-tier journals are often highly prized for that reason. This commentary
describes gender differences in publication of HIV-related papers that raise
questions about best practices in this important aspect of science. Data are
needed in cases where there are differences in the publication rates of
manuscripts with female versus male senior authors so that approaches that best
support diversity in science can be defined.
PMID- 29367251
TI - MALT1 Controls Attenuated Rabies Virus by Inducing Early Inflammation and T Cell
Activation in the Brain.
AB - MALT1 is involved in the activation of immune responses, as well as in the
proliferation and survival of certain cancer cells. MALT1 acts as a scaffold
protein for NF-kappaB signaling and a cysteine protease that cleaves substrates,
further promoting the expression of immunoregulatory genes. Deregulated MALT1
activity has been associated with autoimmunity and cancer, implicating MALT1 as a
new therapeutic target. Although MALT1 deficiency has been shown to protect
against experimental autoimmune encephalomyelitis, nothing is known about the
impact of MALT1 on virus infection in the central nervous system. Here, we
studied infection with an attenuated rabies virus, Evelyn-Rotnycki-Abelseth (ERA)
virus, and observed increased susceptibility with ERA virus in MALT1-/- mice.
Indeed, after intranasal infection with ERA virus, wild-type mice developed mild
transient clinical signs with recovery at 35 days postinoculation (dpi).
Interestingly, MALT1-/- mice developed severe disease requiring euthanasia at
around 17 dpi. A decreased induction of inflammatory gene expression and cell
infiltration and activation was observed in MALT1-/- mice at 10 dpi compared to
MALT1+/+ infected mice. At 17 dpi, however, the level of inflammatory cell
activation was comparable to that observed in MALT1+/+ mice. Moreover, MALT1-/-
mice failed to produce virus-neutralizing antibodies. Similar results were
obtained with specific inactivation of MALT1 in T cells. Finally, treatment of
wild-type mice with mepazine, a MALT1 protease inhibitor, also led to mortality
upon ERA virus infection. These data emphasize the importance of early
inflammation and activation of T cells through MALT1 for controlling the
virulence of an attenuated rabies virus in the brain.IMPORTANCE Rabies virus is a
neurotropic virus which can infect any mammal. Annually, 59,000 people die from
rabies. Effective therapy is lacking and hampered by gaps in the understanding of
virus pathogenicity. MALT1 is an intracellular protein involved in innate and
adaptive immunity and is an interesting therapeutic target because MALT1
deregulated activity has been associated with autoimmunity and cancers. The role
of MALT1 in viral infection is, however, largely unknown. Here, we study the
impact of MALT1 on virus infection in the brain, using the attenuated ERA rabies
virus in different models of MALT1-deficient mice. We reveal the importance of
MALT1-mediated inflammation and T cell activation to control ERA virus, providing
new insights in the biology of MALT1 and rabies virus infection.
PMID- 29367250
TI - Interaction of Human Enterochromaffin Cells with Human Enteric Adenovirus 41
Leads to Serotonin Release and Subsequent Activation of Enteric Glia Cells.
AB - Human adenovirus 41 (HAdV-41) causes acute gastroenteritis in young children. The
main characteristics of HAdV-41 infection are diarrhea and vomiting.
Nevertheless, the precise mechanism of HAdV-41-induced diarrhea is unknown, as a
suitable small-animal model has not been described. In this study, we used the
human midgut carcinoid cell line GOT1 to investigate the effect of HAdV-41
infection and the individual HAdV-41 capsid proteins on serotonin release by
enterochromaffin cells and on enteric glia cell (EGC) activation. We first
determined that HAdV-41 could infect the enterochromaffin cells.
Immunofluorescence staining revealed that the cells expressed HAdV-41-specific
coxsackievirus and adenovirus receptor (CAR); flow cytometry analysis supported
these findings. HAdV-41 infection of the enterochromaffin cells induced serotonin
secretion dose dependently. In contrast, control infection with HAdV-5 did not
induce serotonin secretion in the cells. Confocal microscopy studies of
enterochromaffin cells infected with HAdV-41 revealed decreased serotonin
immunofluorescence compared to that in uninfected cells. Incubation of the
enterochromaffin cells with purified HAdV-41 short fiber knob and hexon proteins
increased the serotonin levels in the harvested cell supernatant significantly.
HAdV-41 infection could also activate EGCs, as shown in the significantly altered
expression of glia fibrillary acidic protein (GFAP) in EGCs incubated with HAdV
41. The EGCs were also activated by serotonin alone, as shown in the
significantly increased GFAP staining intensity. Likewise, EGCs were activated by
the cell supernatant of HAdV-41-infected enterochromaffin cells.IMPORTANCE The
nonenveloped human adenovirus 41 causes diarrhea, vomiting, dehydration, and low
grade fever mainly in children under 2 years of age. Even though acute
gastroenteritis is well described, how human adenovirus 41 causes diarrhea is
unknown. In our study, we analyzed the effect of human adenovirus 41 infection on
human enterochromaffin cells and found it stimulates serotonin secretion in the
cells, which is involved in regulation of intestinal secretion and gut motility
and can also activate enteric glia cells, which are found in close proximity to
enterochromaffin cells in vivo This disruption of gut barrier homeostasis as
maintained by these cells following human adenovirus 41 infection might be a
mechanism in enteric adenovirus pathogenesis in humans and could indicate a
possible serotonin-dependent cross talk between human adenovirus 41,
enterochromaffin cells, and enteric glia cells.
PMID- 29367254
TI - Receptor signaling and neutral endopeptidase are involved in the resistance of C
type natriuretic peptide to human mesangial proliferation and collagen-IV
expression.
AB - C-type natriuretic peptide (CNP) is regarded as a local, paracrine hormone to
regulate vascular tone and cell proliferation. Although several in vivo studies
have documented that CNP exerts the inhibitory effects on mesangial cells (MCs)
proliferation and collagen production, a limited number of studies exist about
the resistance of CNP to MCs proliferation in vitro. Besides, whether its
receptor signaling and neutral endopeptidase (NEP) are involved remains unclear.
In the present study, human MCs were incubated in serum-containing medium in the
absence or presence of CNP (0, 10 and 100 pM) for 24, 48 and 72 hours,
respectively. CNP administration significantly suppresses MCs proliferation and
collagen-IV (Col-IV) expression in a time-dependent and dose-dependent manner. As
a down-stream signal molecule of CNP activation, the expressions of natriuretic
peptide receptor (NPR)-B, cyclic guanosine monophosphate-dependent protein
kinases II and NPR-C were obviously augmented, whereas NEP expression was
significantly decreased after CNP treatment. In conclusion, receptor signaling
and NEP are involved in the resistance of CNP to human mesangial proliferation
and Col-IV expression.
PMID- 29367252
TI - Mimicry of Cellular A Kinase-Anchoring Proteins Is a Conserved and Critical
Function of E1A across Various Human Adenovirus Species.
AB - The E1A proteins of the various human adenovirus (HAdV) species perform the
critical task of converting an infected cell into a setting primed for virus
replication. While E1A proteins differ in both sequence and mechanism, the
evolutionary pressure on viruses with limited coding capacity ensures that these
proteins often have significant overlap in critical functions. HAdV-5 E1A is
known to use mimicry to rewire cyclic AMP (cAMP) signaling by decoupling protein
kinase A (PKA) from cellular A kinase-anchoring proteins (AKAPs) and utilizing
PKA to its own advantage. We show here that E1As from other species of HAdV also
possess this viral AKAP (vAKAP) function and examine how they manipulate PKA. E1A
from most species of HAdV examined contain a small AKAP-like motif in their N
terminus which targets the docking-dimerization domain of PKA as the binding
interface for a conserved protein-protein interaction. This motif is also
responsible for an E1A-mediated relocalization of PKA regulatory subunits from
the cytoplasm into the nucleus, with species-specific E1A proteins having
preference for one particular isoform of PKA subunit over another. Importantly,
we showed that these newly characterized vAKAPs can integrate into cAMP
responsive transcription as well as contribute to viral genome replication and
infectious progeny production for several distinct HAdV species.IMPORTANCE These
data enhance the mechanistic knowledge on how HAdV E1A manipulates cellular PKA
to benefit infection. The work establishes that mimicry of AKAPs and subversion
of PKA-mediated cAMP signaling are conserved features for numerous human
adenoviruses. This study also highlights the molecular determinants conferring
selective protein-protein interactions between distinct PKA regulatory subunits
and the different E1A proteins of these viruses. Additionally, it further
emphasizes the utility of using viral proteins like E1A as tools for studying the
molecular biology of cellular regulatory pathways.
PMID- 29367253
TI - Model of OSBP-Mediated Cholesterol Supply to Aichi Virus RNA Replication Sites
Involving Protein-Protein Interactions among Viral Proteins, ACBD3, OSBP, VAP
A/B, and SAC1.
AB - Positive-strand RNA viruses, including picornaviruses, utilize cellular machinery
for genome replication. Previously, we reported that each of the 2B, 2BC, 2C, 3A,
and 3AB proteins of Aichi virus (AiV), a picornavirus, forms a complex with the
Golgi apparatus protein ACBD3 and phosphatidylinositol 4-kinase IIIbeta (PI4KB)
at viral RNA replication sites (replication organelles [ROs]), enhancing PI4KB
dependent phosphatidylinositol 4-phosphate (PI4P) production. Here, we
demonstrate AiV hijacking of the cellular cholesterol transport system involving
oxysterol-binding protein (OSBP), a PI4P-binding cholesterol transfer protein.
AiV RNA replication was inhibited by silencing cellular proteins known to be
components of this pathway, OSBP, the ER membrane proteins VAPA and VAPB (VAP
A/B), the PI4P-phosphatase SAC1, and PI-transfer protein beta. OSBP, VAP-A/B, and
SAC1 were present at RNA replication sites. We also found various previously
unknown interactions among the AiV proteins (2B, 2BC, 2C, 3A, and 3AB), ACBD3,
OSBP, VAP-A/B, and SAC1, and the interactions were suggested to be involved in
recruiting the component proteins to AiV ROs. Importantly, the OSBP-2B
interaction enabled PI4P-independent recruitment of OSBP to AiV ROs, indicating
preferential recruitment of OSBP among PI4P-binding proteins. Protein-protein
interaction-based OSBP recruitment has not been reported for other
picornaviruses. Cholesterol was accumulated at AiV ROs, and inhibition of OSBP
mediated cholesterol transfer impaired cholesterol accumulation and AiV RNA
replication. Electron microscopy showed that AiV-induced vesicle-like structures
were close to ER membranes. Altogether, we conclude that AiV directly recruits
the cholesterol transport machinery through protein-protein interactions,
resulting in formation of membrane contact sites between the ER and AiV ROs and
cholesterol supply to the ROs.IMPORTANCE Positive-strand RNA viruses utilize host
pathways to modulate the lipid composition of viral RNA replication sites for
replication. Previously, we demonstrated that Aichi virus (AiV), a picornavirus,
forms a complex comprising certain proteins of AiV, the Golgi apparatus protein
ACBD3, and the lipid kinase PI4KB to synthesize PI4P lipid at the sites for AiV
RNA replication. Here, we confirmed cholesterol accumulation at the AiV RNA
replication sites, which are established by hijacking the host cholesterol
transfer machinery mediated by a PI4P-binding cholesterol transfer protein, OSBP.
We showed that the component proteins of the machinery, OSBP, VAP, SAC1, and
PITPNB, are all essential host factors for AiV replication. Importantly, the
machinery is directly recruited to the RNA replication sites through previously
unknown interactions of VAP/OSBP/SAC1 with the AiV proteins and with ACBD3.
Consequently, we propose a specific strategy employed by AiV to efficiently
accumulate cholesterol at the RNA replication sites via protein-protein
interactions.
PMID- 29367256
TI - Drug shortages derail CCGs' budgets.
PMID- 29367255
TI - Hydration and obesity among outpatient-based population: H2Ob study.
AB - Recent evidence suggests that obese people are hypohydrated and that water
consumption may be a useful indicator for the prevention and treatment of
obesity. Nevertheless, there is no agreement regarding the best hydration status
indicators and there are few data about the relationship between hydration and
body weight. In the present study, we aim to analyze the correlation among
hydration status with obesity measured by three different methods (plasma
osmolarity, urinary specific gravity (USG) and urinary osmolarity) in a hospital
based outpatient population. We have carried out a cross-sectional study to
evaluate the association between obesity and hydration status in 260 patients,
average 56.5+/-15.7 years. Hydration status was estimated by means of plasma
osmolarity, urine osmolarity and USG. We did show significant trend of higher
urine osmolarity (P=0.03), USG (P=0.000) and plasma osmolarity (P=0.000) with an
increase of weight status categories, more accurate in the case of plasma
osmolarity. In a multivariate analysis, after controlled by confounders, we found
that obesity was associated with plasma osmolarity (OR 1.09; 95% CI 1.02 to 1.17,
P=0.009), urine osmolarity (OR 1.00; 95% CI 1.00 to 1.01, P=0.05) and USG (OR
1.02; 95% CI 1.00 to 1.04, P=0.05). Our results have shown a more accurate
relationship between plasma osmolarity with all body mass index categories. This
finding may have clinical implications that must be confirmed in further studies.
PMID- 29367257
TI - Elucidation of the Binding Mode of the Carboxyterminal Region of Peptide YY to
the Human Y2 Receptor.
AB - Understanding the agonist-receptor interactions in the neuropeptide Y
(NPY)/peptide YY (PYY) signaling system is fundamental for the design of novel
modulators of appetite regulation. We report here the results of a
multidisciplinary approach to elucidate the binding mode of the native peptide
agonist PYY to the human Y2 receptor, based on computational modeling, peptide
chemistry and in vitro pharmacological analyses. The preserved binding
orientation proposed for full-length PYY and five analogs, truncated at the amino
terminus, explains our pharmacological results where truncations of the N
terminal proline helix showed little effect on peptide affinity. This was
followed by receptor mutagenesis to investigate the roles of several receptor
positions suggested by the modeling. As a complement, PYY-(3-36) analogs were
synthesized with modifications at different positions in the common PYY/NPY C
terminal fragment (32TRQRY36-amide). The results were assessed and interpreted by
molecular dynamics and Free Energy Perturbation (FEP) simulations of selected
mutants, providing a detailed map of the interactions of the PYY/NPY C-terminal
fragment with the transmembrane cavity of the Y2 receptor. The amidated C
terminus would be stabilized by polar interactions with Gln2886.55 and
Tyr2195.39, while Gln1303.32 contributes to interactions with Q34 in the peptide
and T32 is close to the tip of TM7 in the receptor. This leaves the core, alpha
helix of the peptide exposed to make potential interactions with the
extracellular loops. This model agrees with most experimental data available for
the Y2 system and can be used as a basis for optimization of Y2 receptor
agonists.
PMID- 29367258
TI - Identifying Functional Hotspot Residues for Biased Ligand Design in G-Protein
Coupled Receptors.
AB - G-protein-coupled receptors (GPCRs) mediate multiple signaling pathways in the
cell, depending on the agonist that activates the receptor and multiple cellular
factors. Agonists that show higher potency to specific signaling pathways over
others are known as "biased agonists" and have been shown to have better
therapeutic index. Although biased agonists are desirable, their design poses
several challenges to date. The number of assays to identify biased agonists
seems expensive and tedious. Therefore, computational methods that can reliably
calculate the possible bias of various ligands ahead of experiments and provide
guidance, will be both cost and time effective. In this work, using the mechanism
of allosteric communication from the extracellular region to the intracellular
transducer protein coupling region in GPCRs, we have developed a computational
method to calculate ligand bias ahead of experiments. We have validated the
method for several beta-arrestin-biased agonists in beta2-adrenergic receptor
(beta2AR), serotonin receptors 5-HT1B and 5-HT2B and for G-protein-biased
agonists in the kappa-opioid receptor. Using this computational method, we also
performed a blind prediction followed by experimental testing and showed that the
agonist carmoterol is beta-arrestin-biased in beta2AR. Additionally, we have
identified amino acid residues in the biased agonist binding site in both beta2AR
and kappa-opioid receptors that are involved in potentiating the ligand bias. We
call these residues functional hotspots, and they can be used to derive
pharmacophores to design biased agonists in GPCRs.
PMID- 29367260
TI - Sequencing analysis of the SCA6 CAG expansion excludes an influence of repeat
interruptions on disease onset.
PMID- 29367259
TI - Pharmacogenomics of Chemically Distinct Classes of Keap1-Nrf2 Activators Identify
Common and Unique Gene, Protein, and Pathway Responses In Vivo.
AB - The Kelch-like erythroid-associated protein 1 (Keap1)-NF-E2-related factor 2
(Nrf2) signaling pathway is the subject of several clinical trials evaluating the
effects of Nrf2 activation on the prevention of cancer and diabetes and the
treatment of chronic kidney disease and multiple sclerosis. 3H-1,2-dithiole-3
thione (D3T) and 1-[2-cyano-3,12-dioxooleana-1,9(11)-dien-28-oyl]imidazole (CDDO
Im) are representative members of two distinct series of Nrf2 chemical
activators. Previous reports have described activator-specific effects on Nrf2
dependent gene regulation and physiologic outcomes. Here we used a robust
chemical genomics approach to characterize expression profiles between D3T and
CDDO-Im in livers from wild-type and Nrf2-null mice. At equally efficacious doses
in wild-type mice, 406 genes show common RNA responses to both treatments. These
genes enriched the Nrf2-regulated pathways of antioxidant defense and xenobiotic
metabolism. In addition, 197 and 745 genes were regulated uniquely in response to
either D3T or CDDO-Im, respectively. Functional analysis of the D3T-regulated set
showed a significant enrichment of Nrf2-regulated enzymes involved in cholesterol
biosynthesis. This result was supported by Nrf2-dependent increases in lanosterol
synthase and CYP51 protein expression. CDDO-Im had no effect on cholesterol
biosynthesis regardless of the dose tested. However, unlike D3T, CDDO-Im resulted
in Nrf2-dependent elevation of peroxisome proliferator alpha and Kruppel-like
factor 13, as well as the coactivator peroxisome proliferator gamma coactivator
1beta, together indicating regulation of beta-oxidation and lipid metabolic
pathways. These findings provide novel insights into the pharmacodynamic action
of these two activators of Keap1-Nrf2 signaling. Although both compounds modify
Keap1 to affect canonical cytoprotective gene expression, additional unique sets
of Nrf2-dependent genes were regulated by each agent with enrichment of selective
metabolic pathways.
PMID- 29367261
TI - Recreational nitrous oxide-associated neurotoxicity.
PMID- 29367262
TI - Early deaths from ischaemic heart disease in childhood-onset type 1 diabetes.
AB - AIMS: The risk of ischaemic heart disease (IHD) death in early type 1 diabetes
onset was assessed using death certification data. METHODS: The Yorkshire
Register of type 1 Diabetes in Children and Young People was linked to clinically
validated death certification data for those diagnosed under 15 years.
Standardised mortality ratios (SMRs) were calculated using the England and Wales
population and IHD death rates between 1978 and 2014 by 5-year age group and sex.
RESULTS: The cohort included 4382 individuals (83a?0/00097 person years). Of 156
deaths, nine were classed as IHD deaths before clinical validation. After
clinical validation, 14 IHD deaths were classified, with an SMR of 13.8 (95% CI
8.2 to 23.3) and median age at death of 35.1 years (range 21.9a?"47.9 years).
CONCLUSIONS: There is an early emergence of death from IHD in early onset type 1
diabetes. Underascertainment of IHD deaths was present without clinical
validation of death certification.
PMID- 29367264
TI - Cannabis use among middle and high school students in Ontario: a school-based
cross-sectional study.
AB - BACKGROUND: Cannabis use can have serious detrimental effects in children and
adolescents. It is therefore important to continually assess the use of cannabis
among young people in order to inform prevention efforts. We assessed the
prevalence of cannabis use among middle and high school students in Ontario and
examined its association with demographic and behavioural factors. METHODS: Data
were obtained from the 2015 Ontario Student Drug Use and Health Survey, a
province-wide school-based survey of students in grades 7 through 12. Analyses
included a representative sample of 9920 middle and high school students.
Bivariate cross-tabulations and logistic regression analyses were used to
investigate the factors associated with cannabis use. RESULTS: Overall, 21.5% and
13.9% of students reported using cannabis in the previous year and previous
month, respectively. The conditional probability that an adolescent who reported
cannabis use in the previous year would report daily use was 12.5%. There was a
significant dose-response gradient with age, with older students being more
likely to use cannabis than younger students. In multivariable analyses, being in
grades 10 through 12 (odds ratios [ORs] ranged from 3.71 to 3.85), being black
(OR 2.67 [95% confidence interval (CI) 1.76-4.05]), using tobacco cigarettes (OR
10.10 [95% CI 8.68-13.92]) and being an occasional (OR 5.35 [95% CI 4.01-7.13])
or regular (OR 14.6 [95% CI 10.8-19.89]) alcohol user were associated with
greater odds of cannabis use. Being an immigrant was associated with lower odds
of cannabis use (OR 0.55 [95% CI 0.39-0.78]). INTERPRETATION: The findings
suggest that cannabis use is prevalent among middle and high school students in
Ontario and is strongly associated with tobacco cigarette smoking and alcohol
consumption. Future research should document trends in cannabis use over time,
including its risks, especially when the legalization of recreational cannabis
comes into effect.
PMID- 29367266
TI - The Novel Oncolytic Adenoviral Mutant Ad5-3Delta-A20T Retargeted to alphavbeta6
Integrins Efficiently Eliminates Pancreatic Cancer Cells.
AB - Metastatic pancreatic ductal adenocarcinomas (PDAC) are incurable due to the
rapid development of resistance to all current therapeutics. Oncolytic adenoviral
mutants have emerged as a promising new strategy that negates such resistance. In
contrast to normal tissue, the majority of PDACs express the alphavbeta6 integrin
receptor. To exploit this feature, we modified our previously reported oncolytic
adenovirus, AdDeltaDelta, to selectively target alphavbeta6 integrins to
facilitate systemic delivery. Structural modifications to AdDeltaDelta include
the expression of the small but potent alphavbeta6-binding peptide, A20FMDV2, and
ablation of binding to the native coxsackie and adenovirus receptor (CAR) within
the fiber knob region. The resultant mutant, Ad5-3Delta-A20T, infected and killed
alphavbeta6 integrin-expressing cells more effectively than the parental wild
type (Ad5wt) virus and AdDeltaDelta. Viral uptake through alphavbeta6 integrins
rather than native viral receptors (CAR, alphavbeta3 and alphavbeta5 integrins)
promoted viral propagation and spread. Superior efficacy of Ad5-3Delta-A20T
compared with Ad5wt was demonstrated in 3D organotypic cocultures, and similar
potency between the two viruses was observed in Suit-2 in vivo models.
Importantly, Ad5-3Delta-A20T infected pancreatic stellate cells at low levels,
which may further facilitate viral spread and cancer cell elimination either as a
single agent or in combination with the chemotherapy drug, gemcitabine. We
demonstrate that Ad5-3Delta-A20T is highly selective for alphavbeta6 integrin
expressing pancreatic cancer cells, and with further development, this new and
exciting strategy can potentially be extended to improve the systemic delivery of
adenoviruses to pancreatic cancer patients. Mol Cancer Ther; 17(2); 575-87.
(c)2018 AACR.
PMID- 29367265
TI - Crystal structure of thermospermine synthase from Medicago truncatula and
substrate discriminatory features of plant aminopropyltransferases.
AB - Polyamines are linear polycationic compounds that play a crucial role in the
growth and development of higher plants. One triamine (spermidine, SPD) and two
tetraamine isomers (spermine, SPM, and thermospermine, TSPM) are obtained by the
transfer of the aminopropyl group from decarboxylated S-adenosylmethionine to
putrescine and SPD. These reactions are catalyzed by the specialized
aminopropyltransferases. In that respect, plants are unique eukaryotes that have
independently evolved two enzymes, thermospermine synthase (TSPS), encoded by the
gene ACAULIS5, and spermine synthase, which produce TSPM and SPM, respectively.
In this work, we structurally characterize the ACAULIS5 gene product, TSPS, from
the model legume plant Medicago truncatula (Mt). Six crystal structures of MtTSPS
- one without ligands and five in complexes with either reaction substrate (SPD),
reaction product (TSPM), or one of three cofactor analogs (5'
methylthioadenosine, S-adenosylthiopropylamine, and adenosine) - give detailed
insights into the biosynthesis of TSPM. Combined with small-angle X-ray
scattering data, the crystal structures show that MtTSPS is a symmetric
homotetramer with an interdomain eight-stranded beta-barrel. Such an assembly and
the presence of a hinge-like feature between N-terminal and C-terminal domains
give the protein additional flexibility which potentially improves loading
substrates and discarding products after the catalytic event. We also discuss the
sequence and structural features around the active site of the plant
aminopropyltransferases that distinguish them from each other and determine their
characteristic substrate discrimination.
PMID- 29367267
TI - Doctors are cleared to prescribe cheaper drug for wet AMD.
PMID- 29367268
TI - Hyporesponsiveness to Darbepoetin Alfa in Patients With Heart Failure and Anemia
in the RED-HF Study (Reduction of Events by Darbepoetin Alfa in Heart Failure):
Clinical and Prognostic Associations.
AB - BACKGROUND: A poor response to erythropoiesis-stimulating agents such as
darbepoetin alfa has been associated with adverse outcomes in patients with
diabetes mellitus, chronic kidney disease, and anemia; whether this is also true
in heart failure is unclear. METHODS AND RESULTS: We performed a post hoc
analysis of the RED-HF trial (Reduction of Events by Darbepoetin Alfa in Heart
Failure), in which 1008 patients with systolic heart failure and anemia
(hemoglobin level, 9.0-12.0 g/dL) were randomized to darbepoetin alfa. We
examined the relationship between the hematopoietic response to darbepoetin alfa
and the incidence of all-cause death or first heart failure hospitalization
during a follow-up of 28 months. For the purposes of the present study, patients
in the lowest quartile of hemoglobin change after 4 weeks were considered
nonresponders. The median initial hemoglobin change in nonresponders (n=252) was
0.25 g/dL and +1.00 g/dL in the remainder of patients (n=756). Worse renal
function, lower sodium levels, and less use of angiotensin-converting enzyme
inhibitors or angiotensin receptor blockers were independently associated with
nonresponse. Although a low endogenous erythropoietin level helped to
differentiate responders from nonresponders, its predictive value in a
multivariable model was poor (C statistic=0.69). Nonresponders had a higher rate
of all-cause death or first heart failure hospitalization (hazard ratio, 1.25;
95% confidence interval, 1.02-1.54) and a higher risk of all-cause mortality
(hazard ratio, 1.30; 95% confidence interval, 1.04-1.63) than responders.
CONCLUSIONS: A poor response to darbepoetin alfa was associated with worse
outcomes in heart failure patients with anemia. Patients with a poor response
were difficult to identify using clinical and biochemical biomarkers. CLINICAL
TRIAL REGISTRATION: URL: https://www.clinicaltrials.gov. Unique identifier:
NCT00358215.
PMID- 29367269
TI - Percutaneous Occlusion of Patent Ductus Arteriosus for an Elderly Patient With
Refractory Congestive Heart Failure.
PMID- 29367270
TI - The BMJ charity appeal Christmas 2017: help Medecins Sans Frontieres help child
refugees worldwide.
PMID- 29367271
TI - Functional plasticity of the gut and the Malpighian tubules underlies cold
acclimation and mitigates cold-induced hyperkalemia in Drosophila melanogaster.
AB - At low temperatures, Drosophila, like most insects, lose the ability to regulate
ion and water balance across the gut epithelia, which can lead to a lethal
increase of [K+] in the hemolymph (hyperkalemia). Cold acclimation, the
physiological response to a prior low temperature exposure, can mitigate or
entirely prevent these ion imbalances, but the physiological mechanisms that
facilitate this process are not well understood. Here, we test whether plasticity
in the ionoregulatory physiology of the gut and Malpighian tubules of Drosophila
may aid in preserving ion homeostasis in the cold. Upon adult emergence, D.
melanogaster females were subjected to 7 days at warm (25 degrees C) or cold (10
degrees C) acclimation conditions. The cold-acclimated flies had a lower critical
thermal minimum (CTmin), recovered from chill coma more quickly, and better
maintained hemolymph K+ balance in the cold. The improvements in chill tolerance
coincided with increased Malpighian tubule fluid secretion and better maintenance
of K+ secretion rates in the cold, as well as reduced rectal K+ reabsorption in
cold-acclimated flies. To test whether modulation of ion-motive ATPases, the main
drivers of epithelial transport in the alimentary canal, mediate these changes,
we measured the activities of Na+/K+-ATPase and V-type H+-ATPase at the
Malpighian tubules, midgut, and hindgut. Na+/K+-ATPase and V-type H+-ATPase
activities were lower in the midgut and the Malpighian tubules of cold-acclimated
flies, but unchanged in the hindgut of cold-acclimated flies, and were not
predictive of the observed alterations in K+ transport. Our results suggest that
modification of Malpighian tubule and gut ion and water transport probably
prevents cold-induced hyperkalemia in cold-acclimated flies, and that this
process is not directly related to the activities of the main drivers of ion
transport in these organs, Na+/K+- and V-type H+-ATPases.
PMID- 29367272
TI - Hormetic benefits of prior anoxia exposure in buffering anoxia stress in a soil
pupating insect.
AB - Oxygen is essential for most animals, and exposure to a complete lack of oxygen,
i.e. anoxia, can result in irreparable damage to cells that can extend up to the
organismal level to negatively affect performance. Although it is known that
brief anoxia exposure may confer cross-tolerance to other stressors, few data
exist on the biochemical and organismal consequences of repeated intermittent
bouts of anoxia exposure. In nature, the Caribbean fruit fly, Anastrepha suspensa
(Diptera: Tephritidae), is frequently exposed to heavy tropical rainfall while
pupating in the soil, equating to multiple exposures to hypoxia or anoxia during
development. Here, we tested whether prior anoxia exposures during pupal
development can induce a beneficial acclimation response, and we explored the
consequences of prior exposure for both whole-organism performance and correlated
biochemical metrics. Pharate adults (the last developmental stage in the pupal
case) were most sensitive to anoxia exposure, showing decreased survival and
fertility compared with controls. These negative impacts were ameliorated by
exposure to anoxia in earlier pupal developmental stages, indicating a hormetic
effect of prior anoxia exposure. Anoxia exposure early in pupal development
reduced the oxygen debt repaid after anoxia exposure relative to pharate adults
experiencing anoxia for the first time. Lipid levels were highest in all pupal
stages when exposed to prior anoxia. Prior anoxia thus benefits organismal
performance and relocates resources towards lipid storage throughout pupal-adult
development.
PMID- 29367273
TI - Through the eye of a lizard: hue discrimination in a lizard with ventral
polymorphic coloration.
AB - Colour polymorphisms are thought to be maintained by complex evolutionary
processes, some of which require that the colours of the alternative morphs
function as chromatic signals to conspecifics. Unfortunately, a key aspect of
this hypothesis has rarely been studied: whether the study species perceives its
own colour variation as discrete rather than continuous. The European common wall
lizard (Podarcis muralis) presents a striking colour polymorphism: the ventral
surface of adults of both sexes may be coloured orange, white, yellow or with a
mosaic of scales combining two colours (orange-white, orange-yellow). Here, we
used a discrimination learning paradigm to test whether P. muralis is capable of
discriminating colour stimuli designed to match the ventral colours of
conspecifics. We trained 20 lizards to eat from colour-coded wells bored in
wooden blocks. Blocks had four colour-coded wells (orange, white, yellow and an
achromatic control), but only one contained food (mealworm larvae). After six
trials, the lizards performed significantly better than expected by chance,
showing a decrease in both the number of wells explored and the latency to
finding the food. Using visual modelling techniques, we found that, based on
their spectral properties and the lizards' cone sensitivities, the ventral
colours of P. muralis correspond to discrete rather than continuous colour
categories, and that colour discriminability (i.e. distance in perceptual space)
varies depending on the morphs compared, which may have implications for signal
detection and discrimination. These results suggest that P. muralis can
discriminate hue differences matching their own ventral colour variation.
PMID- 29367275
TI - Vibration-guided mate searching in treehoppers: directional accuracy and sampling
strategies in a complex sensory environment.
AB - Animal movement decisions involve an action-perception cycle in which sensory
flow influences motor output. Key aspects of the action-perception cycle involved
in movement decisions can be identified by integrating path information with
measurement of environmental cues. We studied mate searching in insects for which
the primary sensory cues are mechanical vibrations traveling through the tissues
of living plants. We mapped search paths of male thornbug treehoppers locating
stationary females through an exchange of vibrational signals. At each of the
males' sampling locations, we used two-dimensional laser vibrometry to measure
stem motion produced by female vibrational signals. We related properties of the
vibrational signals to the males' movement direction, inter-sample distance and
accuracy. Males experienced gradients in signal amplitude and in the whirling
motion of the plant stem, and these gradients were influenced to varying degrees
by source distance and local stem properties. Males changed their sampling
behavior during the search, making longer inter-sample movements farther from the
source, where uncertainty is higher. The primary directional cue used by
searching males was the direction of wave propagation, and males made more
accurate decisions when signal amplitude was higher, when time delays were longer
between the front and back legs, and when female responses were short in
duration. The whirling motion of plant stems, including both the eccentricity and
the major axes of motion, is a fundamental feature of vibrational environments on
living plants, and we show for the first time that it has important influences on
the decisions of vibrationally homing insects.
PMID- 29367276
TI - Regulation of UDP-Glucuronosyltransferase 2B15 by miR-331-5p in Prostate Cancer
Cells Involves Canonical and Noncanonical Target Sites.
AB - UGT2B15 is an important androgen-metabolizing UDP-glucuronosyltransferase (UGT)
and the mechanisms controlling its expression are of considerable interest.
Recent studies showed that miR-376c regulates UGT2B15 in prostate cancer cells
via a canonical target site in the 3' untranslated region (3'UTR). The UGT2B15
3'UTR also contains a canonical miR-331-5p target site; previous work indicated
that deleting this site reduced, but did not abolish, the ability of miR-331-5p
to repress a luciferase reporter carrying the UGT2B15 3'UTR We report here the
discovery and characterization of a second, noncanonical miR-331-5p target site
in the UGT2B15 3'UTR miR-331-5p-mediated repression of a UGT2B15 3'UTR-reporter
was partly inhibited by mutating either of the two miR-331-5p target sites
separately, but completely abolished by mutating the two sites simultaneously,
indicating that the two sites act cooperatively. miR-331-5p mimics significantly
reduced both UGT2B15 mRNA levels and glucuronidation activity in prostate cancer
cells, confirming that the native transcript is a miR-331-5p target. Transfection
of either miR-331-5p or miR-376c mimics repressed the activity of the UGT2B15
3'UTR-reporter; however, cotransfection of both microRNAs (miRNAs) further
reduced activity, indicating cooperative regulation by these two miRNAs. A
significant negative correlation between miR-331 and UGT2B15 mRNA levels was
observed in a tissue RNA panel, and analysis of The Cancer Genome Atlas (TCGA)
hepatocellular carcinoma data set provided further evidence that miR-331 may play
an important role in regulation of UGT2B15 in vivo. There was no significant
correlation between miR-331 and UGT2B15 mRNA levels in the TCGA prostate
adenocarcinoma cohort, which may reflect the complexity of androgen-mediated
regulation in determining UGT2B15 levels in prostate cancer. Finally, we show
that miR-331-5p does not regulate UGT2B17, providing the first evidence for a
post-transcriptional mechanism that differentially regulates these two important
androgen-metabolizing UGTs.
PMID- 29367277
TI - Targeting Glycine Reuptake in Alcohol Seeking and Relapse.
AB - It has recently been demonstrated that pharmacological blockade of the glycine
transporter 1 (GlyT1) reduced alcohol intake and relapse in rats. The aim of the
present study was to further explore the role of GlyT1 in alcohol relapse-like
behavior. For this purpose we used three different GlyT1 blockers-SSR504734, A
1246399, and RO4993850-and tested their effect on alcohol-seeking and relapse
like consumption. Two behavioral models, the alcohol deprivation effect model and
the cue-induced reinstatement model, were used. Our data show that all three
GlyT1 blockers reduce relapse-like alcohol consumption and cause either minimal
or no side effects, measured as changes in home-cage activity, water intake, and
body weight. In the reinstatement test, GlyT1 blockers completely abolished
alcohol-seeking responses. Furthermore, we tested other drug/cue associations and
found that cocaine-seeking responses were also abolished by GlyT1 blockade. Our
data confirm that GlyT1 can be used as a target to develop novel anticraving and
antirelapse drugs.
PMID- 29367279
TI - NHS care has worsened over the past year, doctors warn.
PMID- 29367278
TI - "No deal" Brexit would threaten access to new drugs, Hunt warns.
PMID- 29367274
TI - Biogenesis of zinc storage granules in Drosophila melanogaster.
AB - Membrane transporters and sequestration mechanisms concentrate metal ions
differentially into discrete subcellular microenvironments for use in protein
cofactors, signalling, storage or excretion. Here we identify zinc storage
granules as the insect's major zinc reservoir in principal Malpighian tubule
epithelial cells of Drosophila melanogaster The concerted action of Adaptor
Protein-3, Rab32, HOPS and BLOC complexes as well as of the white-scarlet (ABCG2
like) and ZnT35C (ZnT2/ZnT3/ZnT8-like) transporters is required for zinc storage
granule biogenesis. Due to lysosome-related organelle defects caused by mutations
in the homologous human genes, patients with Hermansky-Pudlak syndrome may lack
zinc granules in beta pancreatic cells, intestinal paneth cells and presynaptic
vesicles of hippocampal mossy fibers.
PMID- 29367280
TI - Do active modes of transport cause lower body mass index? Findings from the
HABITAT longitudinal study.
AB - BACKGROUND: Few studies have examined the causal relationship between transport
mode and body mass index (BMI). METHODS: We examined between-person differences
and within-person changes in BMI by transport mode over four time points between
2007 and 2013. Data were from the How Areas in Brisbane Influence HealTh and
AcTivity project, a population-representative study of persons aged 40-65 in 2007
(baseline) residing in 200 neighbourhoods in Brisbane, Australia. The analytic
sample comprised 9931 respondents who reported on their main transport for all
travel purposes (work-related and non-work-related). Transport mode was measured
as private motor vehicle (PMV), public transport, walking and cycling. Self
reported height and weight were used to derive BMI. Sex-specific analyses were
conducted using multilevel hybrid regression before and after adjustment for time
varying and time-invariant confounders. RESULTS: Independent of transport mode
and after adjustment for confounders, average BMI increased significantly and
linearly across the four time points for both men and women. Men and women who
walked or cycled had a significantly lower BMI than their counterparts who used a
PMV. BMI was nearly always lower during the time men and women walked or cycled
than when they used a PMV; however, few statistically significant differences
were observed. For women, BMI was significantly higher during the time they used
public transport than when using a PMV. CONCLUSION: The findings suggest a causal
association between transport mode and BMI and support calls from health
authorities to promote walking and cycling for transport as a way of
incorporating physical activity into everyday life to reduce the risk of chronic
disease.
PMID- 29367281
TI - Prison environment and non-communicable chronic disease modifiable risk factors:
length of incarceration trend analysis in Mexico City.
AB - BACKGROUND: There is a lack of evidence regarding chronic disease modifiable risk
factors among prisoner populations in Latin America. The purpose of this study
was to estimate the prevalence of modifiable risk factors for chronic diseases
and to assess their relationship with length of incarceration. METHODS: We
analysed data from a cross sectional study in which 4241 prisoners were randomly
selected to answer a questionnaire with socio-demographic and health behaviour
content using an audio computer-assisted self-interview format. Physical activity
(PA), low-quality diet, current smoking and alcohol or cocaine use during the
last month in prison were our main outcomes. Quantile regression models and
logistic regression models were performed. RESULTS: Our final analytical sample
consisted of 3774 prisoners from four Mexico City prisons. PA was estimated as
579 median metabolic equivalents-min/week, prevalence of alcohol use was 23.4%,
cocaine use was 24.2% and current smoking was 53.2%. Our results suggest that, as
length of incarceration increased, PA as well as alcohol and cocaine use
increased, whereas the quality of diet decreased. CONCLUSION: This study supports
the hypothesis that exposure to prison environment (measured by length of
incarceration) fosters modifiable risk factors for chronic diseases, particularly
diet quality and cocaine use.
PMID- 29367282
TI - Panic in a (Zika) hot zone.
PMID- 29367283
TI - Does adiposity mediate the relationship between socioeconomic position and non
allergic asthma in childhood?
AB - BACKGROUND: Despite its high prevalence, early onset and chronic nature, the
causes of asthma are not clearly established. The present study examined a
plausible but untested relationship in the development of non-allergic asthma; an
asthma phenotype closely linked to deprivation and other preventable risk
factors. Our aim was to determine the mediating role of adiposity in the
relationship between socioeconomic position in infancy and non-allergic asthma
emergence in mid-childhood. METHODS: To estimate the causal indirect effect of
adiposity we applied the parametric g-computational procedure to 6203 singleton
children from the UK Millennium Cohort Study. Adiposity was measured at age 7 by
body mass index, waist circumference and waist circumference-to-height ratio.
Children who developed non-allergic asthma between the age of 7 and 14 were
compared with children without allergies or allergic asthma at these ages.
RESULTS: We found no evidence to suggest that adiposity is a mediator in the
relationship between socioeconomic position and the development of non-allergic
asthma in mid-childhood. After adjustment for risk factors, the direct effect of
socioeconomic position remained; children in the lowest tertile of socioeconomic
position had a 43% (OR 1.43, 95% CI 1.38 to 1.49) greater odds of developing non
allergic asthma compared with the highest tertile. CONCLUSIONS: Adiposity at age
7 does not mediate the relationship between socioeconomic position and non
allergic asthma. The results suggest that improving socioeconomic conditions and
promoting healthy weight are both important in reducing the development of non
allergic asthma in early to mid-childhood.
PMID- 29367284
TI - Biomarker assessment of tobacco smoking exposure and risk of dementia death:
pooling of individual participant data from 14 cohort studies.
AB - BACKGROUND: While there is a suggestion that self-reported tobacco smoking may be
a risk factor for dementia, to date, it has not been possible to explore the
thresholds at which this exposure elevates risk. Accordingly, our aim was to
relate cotinine, a biomarker of tobacco smoking, to risk of dementia death.
METHODS: We pooled 14 prospective cohort studies that held data on cotinine
(plasma or saliva), covariates and death records. RESULTS: In the 33 032 study
members (17 107 women) with salivary cotinine data, a mean duration of 8.3 years
of follow-up gave rise to 135 deaths ascribed to dementia; while in 15 130 study
members (7995 women) with plasma cotinine data, there were 119 dementia deaths
during 14.3 years of mortality surveillance. After multiple adjustment, both
plasma cotinine (per 1 SD higher cotinine; 95% CI 1.29; (1.05 to 1.59)) and
salivary cotinine (1.10 (0.89 to 1.36)) were positively related to dementia risk,
with stronger effects apparent for plasma. CONCLUSION: Our finding that plasma
cotinine was related to an elevated risk of dementia death warrants testing in
studies with measures of disease onset as opposed to just mortality.
PMID- 29367286
TI - Attention, attention: your most valuable scientific assets are under attack: How
digital contraptions and online accounts are contributing to academic attention
deficit disorder.
PMID- 29367285
TI - Che-1 is targeted by c-Myc to sustain proliferation in pre-B-cell acute
lymphoblastic leukemia.
AB - Despite progress in treating B-cell precursor acute lymphoblastic leukemia (BCP
ALL), disease recurrence remains the main cause of treatment failure. New
strategies to improve therapeutic outcomes are needed, particularly in high-risk
relapsed patients. Che-1/AATF (Che-1) is an RNA polymerase II-binding protein
involved in proliferation and tumor survival, but its role in hematological
malignancies has not been clarified. Here, we show that Che-1 is overexpressed in
pediatric BCP-ALL during disease onset and at relapse, and that its depletion
inhibits the proliferation of BCP-ALL cells. Furthermore, we report that c-Myc
regulates Che-1 expression by direct binding to its promoter and describe a
strict correlation between Che-1 expression and c-Myc expression. RNA-seq
analyses upon Che-1 or c-Myc depletion reveal a strong overlap of the respective
controlled pathways. Genomewide ChIP-seq experiments suggest that Che-1 acts as a
downstream effector of c-Myc. These results identify the pivotal role of Che-1 in
the control of BCP-ALL proliferation and present the protein as a possible
therapeutic target in children with relapsed BCP-ALL.
PMID- 29367288
TI - How Cells Fold the Cerebral Cortex.
AB - Folding of the cerebral cortex is as highly intriguing as poorly understood. At
first sight, this may appear as simple tissue crumpling inside an excessively
small cranium, but the process is clearly much more complex and developmentally
predetermined. Whereas theoretical modeling supports a critical role for
biomechanics, experimental evidence demonstrates the fundamental role of specific
progenitor cell types, cellular processes, and genetic programs on cortical
folding.Dual Perspectives Companion Paper: How Forces Fold the Cerebral Cortex,
by Christopher D. Kroenke and Philip V. Bayly.
PMID- 29367287
TI - How Forces Fold the Cerebral Cortex.
AB - Improved understanding of the factors that govern folding of the cerebral cortex
is desirable for many reasons. The existence of consistent patterns in folding
within and between species suggests a fundamental role in brain function.
Abnormal folding patterns found in individuals affected by a diverse array of
neurodevelopmental disorders underline the clinical relevance of understanding
the folding process. Recent experimental and computational efforts to elucidate
the biomechanical forces involved in cerebral cortical folding have converged on
a consistent approach. Brain growth is modeled with two components: an expanding
outer zone, destined to become the cerebral cortex, is mechanically coupled to an
inner zone, destined to become white matter, that grows at a slower rate, perhaps
in response to stress induced by expansion from the outer layer. This framework
is consistent with experimentally observed internal forces in developing brains,
and with observations of the folding process in physical models. In addition,
computational simulations based on this foundation can produce folding patterns
that recapitulate the characteristics of folding patterns found in gyroencephalic
brains. This perspective establishes the importance of mechanical forces in our
current understanding of how brains fold, and identifies realistic ranges for
specific parameters in biophysical models of developing brain tissue. However,
further refinement of this approach is needed. An understanding of mechanical
forces that arise during brain development and their cellular-level origins is
necessary to interpret the consequences of abnormal brain folding and its role in
functional deficits as well as neurodevelopmental disease.Dual Perspectives
Companion Paper: How Cells Fold the Cerebral Cortex, by Victor Borrell.
PMID- 29367290
TI - Sixty seconds on . . . loneliness.
PMID- 29367289
TI - Readiness Potential and Neuronal Determinism: New Insights on Libet Experiment.
PMID- 29367291
TI - False-Negative Serum Cryptococcal Lateral Flow Assay Result Due to the Prozone
Phenomenon.
PMID- 29367292
TI - CLSI Methods Development and Standardization Working Group Best Practices for
Evaluation of Antimicrobial Susceptibility Tests.
AB - Effective evaluations of antimicrobial susceptibility tests (ASTs) require robust
study design. The Clinical and Laboratory Standards Institute (CLSI) Subcommittee
on Antimicrobial Susceptibility Testing has recognized that many published
studies reporting the performance of commercial ASTs (cASTs) suffer from major
design and/or analysis flaws, rendering the results difficult or impossible to
interpret. This minireview outlines the current consensus of the Methods
Development and Standardization Working Group of the CLSI Subcommittee on
Antimicrobial Susceptibility Testing regarding best practices for systematic
evaluation of the performance of an AST, including the analysis and presentation
of essential data intended for publication.
PMID- 29367293
TI - Efficacy of T2 Magnetic Resonance Assay in Monitoring Candidemia after Initiation
of Antifungal Therapy: the Serial Therapeutic and Antifungal Monitoring Protocol
(STAMP) Trial.
AB - The performance of blood culture for monitoring candidemia clearance is hampered
by its low sensitivity, especially during antifungal therapy. The T2 magnetic
resonance (T2MR) assay combines magnetic resonance with nanotechnology to
identify whole Candida species cells. A multicenter clinical trial studied the
performance of T2MR in monitoring candidemia clearance compared to blood culture.
Adults with a blood culture positive for yeast were enrolled and had blood
cultures and T2MR testing performed on prespecified days. Thirty-one patients
completed the trial. Thirteen of the 31 patients (41.9%) had at least one
positive surveillance T2MR and/or blood culture result. All positive blood
cultures (7/7 [100%]) had an accompanying positive T2MR result with concordance
in the identified Candida sp., while only 7/23 (30.4%) T2MR results had an
accompanying positive blood culture. There was one case of discordance in species
identification between T2MR and the preenrollment blood culture with evidence to
support deep-seated infection by the Candida spp. detected by the T2MR assay.
Based on the log rank test, there was a statistically significant improvement in
posttreatment surveillance using the T2MR assay compared to blood culture (P =
0.004). Limitations of the study include the small sample size and lack of
outcome data. In conclusion, the T2MR assay significantly outperformed blood
cultures for monitoring the clearance of candidemia in patients receiving
antifungal therapy and may be useful in determining adequate source control,
timing for deescalation, and optimal duration of treatment. However, further
studies are needed to determine the viability of Candida species cells detected
by the T2MR assay and correlate the results with patient outcomes. (This study is
registered at ClinicalTrials.gov under registration number NCT02163889.).
PMID- 29367294
TI - Evaluation of Two DNA Extraction Methods for Detection of Strongyloides
stercoralis Infection.
AB - Strongyloides stercoralis is present worldwide, but its prevalence is still
uncertain, mainly due to the lack of sensitivity of diagnostic methods. Molecular
techniques are under development, but a standardized protocol is still
unavailable. We compared the sensitivity of real-time PCR, using two extraction
protocols, with that of the Baermann technique. Samples were collected in the
framework of the baseline screening of a randomized clinical trial evaluating
moxidectin against S. stercoralis in Lao People's Democratic Republic. Two stool
samples from each participant were processed by the Baermann method, and one
subsample was processed by PCR. DNA was extracted using the QIAamp DNA stool
minikit based on the standard protocol for the QIAamp DNA minikit (QIA) and using
a modification of the QIA procedure (POL). Subsequently, all extracted samples
were analyzed by real-time PCR. Overall, 95 samples were analyzed by the three
diagnostic methods. Sixty-nine (72.6%) samples were positive according to the
Baermann method, 25 (26.3%) by the QIA method, and 62 (65.3%) by the POL method.
The sensitivities were 86% (95% confidence interval [CI], 76.7 to 92.9), 31.0%
(95% CI, 21.3 to 42.6), and 78.0% (95% CI, 66.8 to 86.1) for the Baermann, QIA,
and POL methods, respectively. The sensitivities calculated for each day of the
Baermann method separately were 60% (48.4 to 70.8%) and 64% (52.2 to 74.2%) for
days 1 and 2, respectively. In conclusion, the POL method revealed a good
performance and was comparable to the Baermann test performed on two stool
samples and superior to the Baermann method performed on one stool sample.
Additional studies are needed to standardize a PCR protocol for S. stercoralis
diagnosis.
PMID- 29367295
TI - Validation of Active Surveillance Testing for Clostridium difficile Colonization
Using the cobas Cdiff Test.
AB - Clostridium difficile infection (CDI) is not declining in the United States.
Nucleic acid amplification tests (NAAT) are used as part of active surveillance
testing programs to prevent health care-associated infection. The objective of
this study was to validate the cobas Cdiff Test on the cobas 4800 System (cobas)
within a four-hospital system using prospectively collected perirectal swabs from
asymptomatic patients at admission and during monthly intensive care unit (ICU)
screening in an infection control CDI reduction program. Performance of the cobas
was compared to that of toxigenic culture. Each positive cobas sample and the
next following negative patient swab were cultured. The study design gave 273
samples processed by both cobas (137 positive and 136 negative) and culture (one
negative swab was not cultured). Discrepant analysis was performed using a second
NAAT, the Xpert C. difficile Epi test (Xpert). This strategy was compared to a
medical record review for antibiotic receipt that would inhibit growth of C.
difficile in colonic stool. None of the cobas-negative samples were culture
positive. The cobas positive predictive value was 75.2% (95% confidence interval
[CI], 66.9% to 82%) and positive percent agreement was 100% (95% CI, 96.0% to
100%). Overall agreement between cobas and direct toxigenic culture was 87.6%
(95% CI, 83.1% to 91%). For the cobas-positive/culture-negative (discrepant)
samples, 7 Xpert-positive samples were from patients receiving inhibitory
antimicrobials; only 4 of 23 Xpert-negative samples received these agents (P =
0.00006). Our results support use of the cobas as a reliable assay for an active
surveillance testing program to detect asymptomatic carriers of toxigenic C.
difficile.
PMID- 29367296
TI - Rapid, Accurate Identification of Candida auris by Using a Novel Matrix-Assisted
Laser Desorption Ionization-Time of Flight Mass Spectrometry (MALDI-TOF MS)
Database (Library).
PMID- 29367298
TI - Evaluation of Non-Tissue Culture- versus Tissue Culture-Treated Microplates for
Oritavancin Susceptibility Testing.
PMID- 29367297
TI - An Enzyme-Linked Immunosorbent Spot Assay Measuring Borrelia burgdorferi B31
Specific Interferon Gamma-Secreting T Cells Cannot Discriminate Active Lyme
Neuroborreliosis from Past Lyme Borreliosis: a Prospective Study in the
Netherlands.
AB - Two-tier serology testing is most frequently used for the diagnosis of Lyme
borreliosis (LB); however, a positive result is no proof of active disease. To
establish a diagnosis of active LB, better diagnostics are needed. Tests
investigating the cellular immune system are available, but studies evaluating
the utility of these tests on well-defined patient populations are lacking.
Therefore, we investigated the utility of an enzyme-linked immunosorbent spot
(ELISpot) assay to diagnose active Lyme neuroborreliosis. Peripheral blood
mononuclear cells (PBMCs) of various study groups were stimulated by using
Borrelia burgdorferi strain B31 and various recombinant antigens, and
subsequently, the number of Borrelia-specific interferon gamma (IFN-gamma)
secreting T cells was measured. We included 33 active and 37 treated Lyme
neuroborreliosis patients, 28 healthy individuals treated for an early
manifestation of LB in the past, and 145 untreated healthy individuals. The
median numbers of B. burgdorferi B31-specific IFN-gamma-secreting T cells/2.5 *
105 PBMCs did not differ between active Lyme neuroborreliosis patients (6.0;
interquartile range [IQR], 0.5 to 14.0), treated Lyme neuroborreliosis patients
(4.5; IQR, 2.0 to 18.6), and treated healthy individuals (7.4; IQR, 2.3 to 14.9)
(P = 1.000); however, the median number of B. burgdorferi B31-specific IFN-gamma
secreting T cells/2.5 * 105 PBMCs among untreated healthy individuals was lower
(2.0; IQR, 0.5 to 3.9) (P <= 0.016). We conclude that the Borrelia ELISpot assay,
measuring the number of B. burgdorferi B31-specific IFN-gamma-secreting T
cells/2.5 * 105 PBMCs, correlates with exposure to the Borrelia bacterium but
cannot be used for the diagnosis of active Lyme neuroborreliosis.
PMID- 29367299
TI - Molecular Prediction of the O157:H-Negative Phenotype Prevalent in Australian
Shiga Toxin-Producing Escherichia coli Cases Improves Concordance of In Silico
Serotyping with Phenotypic Motility.
AB - Shiga toxin-producing Escherichia coli (STEC) is a foodborne pathogen, and
serotype O157:H7 is typically associated with severe disease. Australia is unique
in its STEC epidemiology, as severe cases are typically associated with non-O157
serogroups, and locally acquired O157 isolates are H-negative/nonmotile. The H
negative phenotype and reduced severity of disease compared to that associated
with H7/motile strains are distinct features of Australian O157 strains, but the
molecular mechanism behind this phenotype has not been reported. Accurate
characterization of the H-negative phenotype is important in epidemiological
surveillance of STEC. Serotyping is moving away from phenotype-based methods, as
next generation sequencing allows rapid extrapolation of serotype through in
silico detection of the O-antigen processing genes, wzx, wzy, wzm, and wzt, and
the H-antigen gene, fliC The detection and genotyping of fliC alone is unable to
determine the motility of the strain. Typically, most Australian O157:H-negative
strains carry an H7 genotype yet phenotypically are nonmotile; thus, many are
mischaracterized as H7 strains by in silico serotyping tools. Comparative genomic
analysis of flagellar genes between Australian and international isolates was
performed and an insertion at nucleotide (nt) 125 in the flgF gene was identified
in H-negative isolates. Chi-square results showed that this insertion was
significantly associated with the H-negative phenotype (P < 0.0001). Phylogenetic
analysis was also completed and showed that the Australian H-negative isolates
with the insertion in flgF represent a clade within the O157 serogroup, distinct
from O157:H7 serotypes. This study provides a genetic target for inferring the
nonmotile phenotype of Australian O157 STEC, which increases the predictive value
of in silico serotyping.
PMID- 29367300
TI - Evaluation of Two Protein Extraction Protocols Based on Freezing and Mechanical
Disruption for Identifying Nontuberculous Mycobacteria by Matrix-Assisted Laser
Desorption Ionization-Time of Flight Mass Spectrometry from Liquid and Solid
Cultures.
AB - Matrix-assisted laser desorption ionization-time of flight mass spectrometry
(MALDI-TOF MS) has proved to be a useful diagnostic method for identifying
conventional bacteria. In the case of mycobacteria, a good protein extraction
protocol is essential in order to obtain reliable identification results. To
date, no such protocol has been definitively established. The aim of this study
was to compare the manufacturer's recommended protein extraction protocol
(protocol A) with two novel protocols (protocols B and C), which apply different
freezing temperatures and mechanical disruption times using an automatic tissue
homogenizer. A total of 302 clinical isolates, comprising 41 nontuberculous
mycobacteria (NTM) species, were grown in parallel on solid and liquid media and
analyzed: 174 isolates were slow-growing mycobacteria (SGM) and 128 isolates were
rapid-growing mycobacteria (RGM). Overall, MALDI-TOF MS identified a higher
number of NTM isolates from solid than from liquid media, especially with
protocol C (83.4 and 68.2%, respectively; P < 0.05). From solid media, this
protein extraction method identified 57.9 and 3.9% more isolates than protocols A
(P < 0.001) and B (P < 0.05), respectively. In the case of liquid media, protocol
C identified 49.7 and 6.3% more isolates than protocols A and B, respectively (P
< 0.001). With regard to the growth rate, MALDI-TOF MS identified more RGM
isolates than SGM isolates in all of the protocols studied. In conclusion, the
application of freezing and automatic tissue homogenizer improved protein
extraction of NTM and boosted identification rates. Consequently, MALDI-TOF MS,
which is a cheap and simple method, could be a helpful tool for identifying NTM
species in clinical laboratories.
PMID- 29367302
TI - CORRECTION.
PMID- 29367301
TI - Mycobacterial spindle cell pseudotumour: epidemiology and clinical outcomes.
AB - INTRODUCTION: Mycobacterial spindle cell pseudotumour (MSP) is a rare disease
characterised by tumour-like local proliferation of spindle-shaped histiocytes
containing acid-fast positive mycobacteria. The aim of this literature review is
to describe the clinical parameters and treatment outcomes of patients with MSP.
METHODS: A literature search was conducted using the search terms related to
mycobacteria and spindle cell tumours. A previously unreported stem cell
transplant recipient from our institution diagnosed with MSP was also included.
Demographics, comorbidities, site of infection, treatment and clinical outcomes
were analysed. RESULTS: Fifty-one patients were analysed. Twenty-six (51%) had
HIV infection. Mycobacterium avium complex was the most frequent organism
isolated in 24 (47.1%) followed by Mycobacterium tuberculosis complex in eight
(16%) cases. Lymph nodes were the most common site of infection (45.1%). Twenty
(39.2%) patients received antimycobacterial agents, 12 (23.5%) underwent surgical
resection and six (11.8%) received antimycobacterial agents plus surgery.
Treatment was successful in 24 (47.1%) patients and failed in 15 (29.4%); 13 of
these 15 patients died. Antimycobacterial therapy was significantly associated
with successful outcome compared with surgical resection or no treatment
(P<0.001). CONCLUSION: MSP is a rare condition associated primarily with
immunodeficiencies. Antimycobacterial therapy is significantly associated with
successful outcome.
PMID- 29367303
TI - Design Stars: How GRF-INTERACTING FACTORs Help Determine the Layout of the Root
Tip.
PMID- 29367304
TI - Interregulation of CDKA/CDK1 and the Plant-Specific Cyclin-Dependent Kinase CDKB
in Control of the Chlamydomonas Cell Cycle.
AB - The cyclin-dependent kinase CDK1 is essential for mitosis in fungi and animals.
Plant genomes contain the CDK1 ortholog CDKA and a plant kingdom-specific
relative, CDKB. The green alga Chlamydomonas reinhardtii has a long G1 growth
period followed by rapid cycles of DNA replication and cell division. We show
that null alleles of CDKA extend the growth period prior to the first division
cycle and modestly extend the subsequent division cycles, but do not prevent cell
division, indicating at most a minor role for the CDK1 ortholog in mitosis in
Chlamydomonas. A null allele of cyclin A has a similar though less extreme
phenotype. In contrast, both CDKB and cyclin B are essential for mitosis. CDK
kinase activity measurements imply that the predominant in vivo complexes are
probably cyclin A-CDKA and cyclin B-CDKB. We propose a negative feedback loop:
CDKA activates cyclin B-CDKB. Cyclin B-CDKB in turn promotes mitotic entry and
inactivates cyclin A-CDKA. Cyclin A-CDKA and cyclin B-CDKB may redundantly
promote DNA replication. We show that the anaphase-promoting complex is required
for inactivation of both CDKA and CDKB and is essential for anaphase. These
results are consistent with findings in Arabidopsis thaliana and may delineate
the core of plant kingdom cell cycle control that, compared with the well-studied
yeast and animal systems, exhibits deep conservation in some respects and
striking divergence in others.
PMID- 29367306
TI - A Conserved Mechanism to Terminate Floral Meristems.
PMID- 29367305
TI - Role of the Nod Factor Hydrolase MtNFH1 in Regulating Nod Factor Levels during
Rhizobial Infection and in Mature Nodules of Medicago truncatula.
AB - Establishment of symbiosis between legumes and nitrogen-fixing rhizobia depends
on bacterial Nod factors (NFs) that trigger symbiosis-related NF signaling in
host plants. NFs are modified oligosaccharides of chitin with a fatty acid
moiety. NFs can be cleaved and inactivated by host enzymes, such as MtNFH1
(MEDICAGO TRUNCATULA NOD FACTOR HYDROLASE1). In contrast to related chitinases,
MtNFH1 hydrolyzes neither chitin nor chitin fragments, indicating a high cleavage
preference for NFs. Here, we provide evidence for a role of MtNFH1 in the
symbiosis with Sinorhizobium meliloti Upon rhizobial inoculation, MtNFH1
accumulated at the curled tip of root hairs, in the so-called infection chamber.
Mutant analysis revealed that lack of MtNFH1 delayed rhizobial root hair
infection, suggesting that excess amounts of NFs negatively affect the initiation
of infection threads. MtNFH1 deficiency resulted in nodule hypertrophy and
abnormal nodule branching of young nodules. Nodule branching was also stimulated
in plants expressing MtNFH1 driven by a tandem CaMV 35S promoter and plants
inoculated by a NF-overproducing S. meliloti strain. We suggest that fine-tuning
of NF levels by MtNFH1 is necessary for optimal root hair infection as well as
for NF-regulated growth of mature nodules.
PMID- 29367307
TI - Just one cigarette a day seriously elevates cardiovascular risk.
PMID- 29367308
TI - The Brief Case: Staphylococcus intermedius Group-Look What the Dog Dragged In.
PMID- 29367309
TI - Closing the Brief Case: Staphylococcus intermedius Group-Look What the Dog
Dragged In.
PMID- 29367310
TI - The Utility of Multiplex Molecular Tests for Enteric Pathogens: a Micro-Comic
Strip.
PMID- 29367311
TI - Photo Quiz: Pneumonia and Pyogenic Skin Abscesses in a 79-Year-Old Man.
PMID- 29367312
TI - Answer to February 2018 Photo Quiz.
PMID- 29367314
TI - Overdiagnosis: what it is and what it isn't.
PMID- 29367315
TI - Beliefs, critical thinking and evidence-based medicine.
PMID- 29367313
TI - Targeted deletion of a 170-kb cluster of LINE-1 repeats and implications for
regional control.
AB - Approximately half the mammalian genome is composed of repetitive sequences, and
accumulating evidence suggests that some may have an impact on genome function.
Here, we characterized a large array class of repeats of long-interspersed
elements (LINE-1). Although widely distributed in mammals, locations of such
arrays are species specific. Using targeted deletion, we asked whether a 170-kb
LINE-1 array located at a mouse imprinted domain might function as a modulator of
local transcriptional control. The LINE-1 array is lamina associated in
differentiated ES cells consistent with its AT-richness, and although imprinting
occurs both proximally and distally to the array, active LINE-1 transcripts
within the tract are biallelically expressed. Upon deletion of the array, no
perturbation of imprinting was observed, and abnormal phenotypes were not
detected in maternal or paternal heterozygous or homozygous mutant mice. The
array does not shield nonimprinted genes in the vicinity from local imprinting
control. Reduced neural expression of protein-coding genes observed upon paternal
transmission of the deletion is likely due to the removal of a brain-specific
enhancer embedded within the LINE array. Our findings suggest that presence of a
170-kb LINE-1 array reflects the tolerance of the site for repeat insertion
rather than an important genomic function in normal development.
PMID- 29367316
TI - Rare adverse events in clinical trials: understanding the rule of three.
PMID- 29367317
TI - Analysis of conference abstract-to-publication rate in UK orthopaedic research.
AB - Presentation of research at orthopaedic conferences is an important component for
surgical evidence-based practice. However, there remains uncertainty as to how
many conference abstracts proceed to achieve full-text publication (FTP) for
wider dissemination. This study aimed to determine the abstract-to-publication
rate (APR) of research presented in the largest hip and knee orthopaedic meetings
in the UK, and to identify predictive factors which influence the APR. All
published abstracts (n=744) from the 2006, 2008, 2009 and 2010 British Hip
Society (BHS) and the 2007, 2009, 2010 and 2011 British Association for Surgery
of the Knee (BASK) annual conference meetings were examined by four researchers
independently. To determine whether abstracts had been published in full-text
form, Google Scholar, Medline and EMBASE evidence databases were used to verify
FTP status. Variables including sample size, statistical significance, grade of
the first author, research affiliated institution and research design were
extracted and analysed to identify whether these were associated with FTP. 176
out of 744 abstracts achieved FTP status (APR: 23.7%). Factors associated with
FTP status included statistically significant results (P<0.01) and research
design (P=0.02). Factors not associated included sample size, grade of the first
author and research affiliated institution (P>0.05). APRs of the assessed BHS and
BASK annual conference presentations are low in comparison to other scientific
meetings. Encouragement should be provided to clinicians and academics to submit
their work for publication to address this short fall, thereby enhancing the
potential for full-text research publications to inform evidence-based
orthopaedics.
PMID- 29367319
TI - External validity, generalisability, applicability and directness: a brief
primer.
AB - External validity is a construct that attempts to answer the question of whether
we can use the results of a study in patients other than those enrolled in the
study. External validity consists of two unique underlying concepts,
generalisability and applicability. When the concern is about extending the
results from a sample to the population from which the sample was drawn, the
problem is one of generalisability. When the concern is about using inferences
drawn from study participants in the care of specific patients belonging to any
population, the problem is one of applicability. Clinicians, guideline developers
and policymakers do not struggle with generalisability, but often struggle with
applicability. When applicability is deemed to be low for a certain population,
certainty in the supporting evidence becomes low due to indirectness.
PMID- 29367318
TI - Interactive visualisation for interpreting diagnostic test accuracy study
results.
AB - Information about the performance of diagnostic tests is typically presented in
the form of measures of test accuracy such as sensitivity and specificity. These
measures may be difficult to translate directly into decisions about patient
treatment, for which information presented in the form of probabilities of
disease after a positive or a negative test result may be more useful. These
probabilities depend on the prevalence of the disease, which is likely to vary
between populations. This article aims to clarify the relationship between pre
test (prevalence) and post-test probabilities of disease, and presents two free,
online interactive tools to illustrate this relationship. These tools allow
probabilities of disease to be compared with decision thresholds above and below
which different treatment decisions may be indicated. They are intended to help
those involved in communicating information about diagnostic test performance and
are likely to be of benefit when teaching these concepts. A substantive example
is presented using C reactive protein as a diagnostic marker for bacterial
infection in the older adult population. The tools may also be useful for
manufacturers of clinical tests in planning product development, for authors of
test evaluation studies to improve reporting and for users of test evaluations to
facilitate interpretation and application of the results.
PMID- 29367320
TI - Catalogue of bias: allocation bias.
AB - This article is part of a series of articles featuring the Catalogue of Bias
introduced in this volume of BMJ Evidence-Based Medicine that describes
allocation bias and outlines its potential impact on research studies and the
preventive steps to minimise its risk. Allocation bias is a type of selection
bias and is relevant to clinical trials of interventions. Knowledge of
interventions prior to group allocation can result in systematic differences in
important characteristics that could influence study findings. Allocation bias
can overestimate effect size by up to 30%-40%. Sequentially numbered, opaque,
sealed envelopes; containers; pharmacy-controlled randomisation and central
computer randomisation are methods to minimise allocation bias.
PMID- 29367321
TI - Catalogue of bias: attrition bias.
AB - This article is part of a series of articles featuring the Catalogue of Bias
introduced in this volume of BMJ Evidence-Based Medicine that describes attrition
bias and outlines its potential impact on research studies and the preventive
steps to minimise its risk. Attrition bias is a type of selection bias due to
systematic differences between study groups in the number and the way
participants are lost from a study. Differences between people who leave a study
and those who continue, particularly between study groups, can be the reason for
any observed effect and not the intervention itself. Associations for mortality
in trials of tranexamic acid and upper gastrointestinal bleeding were no longer
apparent after studies with high or unclear risk of attrition bias were removed.
Over-recruitment can help prevent important attrition bias. Sampling weights and
tailored replenishment samples can help to compensate for the effects of
attrition bias when present.
PMID- 29367323
TI - Correction: Ten essential papers for the practice of evidence-based medicine.
PMID- 29367322
TI - Catalogue of bias: observer bias.
AB - This article is part of a series featured from the Catalogue of Bias introduced
in this volume of BMJ Evidence-Based Medicine that describes biases and outlines
their potential impact in research studies. Observer bias is systematic
discrepancy from the truth during the process of observing and recording
information for a study. Many healthcare observations are at risk of this bias.
Evidence shows that treatment effect estimates can be exaggerated by a third to
two-thirds in the presence of observer bias in outcome assessment. Preventing
observer bias involves proper masking in intervention studies including the use
of matched placebo interventions where appropriate and training of observers to
make assessment consistent and reduce biases resulting from conscious or
unconscious prejudices. Where observers are involved in a research study, it is
probably not possible for the study to be entirely free of observer biases.
PMID- 29367324
TI - Key Concepts for Informed Health Choices: a framework for helping people learn
how to assess treatment claims and make informed choices.
AB - Many claims about the effects of treatments, though well intentioned, are wrong.
Indeed, they are sometimes deliberately misleading to serve interests other than
the well-being of patients and the public. People need to know how to spot
unreliable treatment claims so that they can protect themselves and others from
harm. The ability to assess the trustworthiness of treatment claims is often
lacking. Acquiring this ability depends on being familiar with, and correctly
applying, some key concepts, for example, that' association is not the same as
causation.' The Informed Health Choices (IHC) Project has identified 36 such
concepts and shown that people can be taught to use them in decision making. A
randomised trial in Uganda, for example, showed that primary school children with
poor reading skills could be taught to apply 12 of the IHC Key Concepts. The list
of IHC Key Concepts has proven to be effective in providing a framework for
developing and evaluating IHC resources to help children to think critically
about treatment claims. The list also provides a framework for retrieving, coding
and organising other teaching and learning materials for learners of any age. It
should help teachers, researchers, clinicians, and patients to structure critical
thinking about the trustworthiness of claims about treatment effects.
PMID- 29367325
TI - When is a guideline not a guideline? The devil is in the details.
PMID- 29367326
TI - High incidence of cervical cancer in women over 60 is likely due to less
intensive cervical screening in this generation of women.
PMID- 29367327
TI - Trial supports targeted radiotherapy for early breast cancer but protocol still
requires 3 weeks of daily therapy.
PMID- 29367328
TI - Low-dose levothyroxine did not improve symptoms in asymptomatic older people with
subclinical hypothyroidism.
PMID- 29367329
TI - NSAIDs are superior to paracetamol for osteoarthritic pain and function in a
network meta-analysis.
PMID- 29367330
TI - Angiotensin II increases blood pressure in patients with refractory vasodilatory
shock.
PMID- 29367331
TI - Effect size in CANTOS trial.
PMID- 29367332
TI - Effect of Dysphagia Screening Strategies on Clinical Outcomes After Stroke: A
Systematic Review for the 2018 Guidelines for the Early Management of Patients
With Acute Ischemic Stroke.
AB - INTRODUCTION: Dysphagia screening protocols have been recommended to identify
patients at risk for aspiration. The American Heart Association convened an
evidence review committee to systematically review evidence for the effectiveness
of dysphagia screening protocols to reduce the risk of pneumonia, death, or
dependency after stroke. METHODS: The Medline, Embase, and Cochrane databases
were searched on November 1, 2016, to identify randomized controlled trials
(RCTs) comparing dysphagia screening protocols or quality interventions with
increased dysphagia screening rates and reporting outcomes of pneumonia, death,
or dependency. RESULTS: Three RCTs were identified. One RCT found that a combined
nursing quality improvement intervention targeting fever and glucose management
and dysphagia screening reduced death and dependency but without reducing the
pneumonia rate. Another RCT failed to find evidence that pneumonia rates were
reduced by adding the cough reflex to routine dysphagia screening. A smaller RCT
randomly assigned 2 hospital wards to a stroke care pathway including dysphagia
screening or regular care and found that patients on the stroke care pathway were
less likely to require intubation and mechanical ventilation; however, the study
was small and at risk for bias. CONCLUSIONS: There were insufficient RCT data to
determine the effect of dysphagia screening protocols on reducing the rates of
pneumonia, death, or dependency after stroke. Additional trials are needed to
compare the validity, feasibility, and clinical effectiveness of different
screening methods for dysphagia.
PMID- 29367333
TI - Accuracy of Prediction Instruments for Diagnosing Large Vessel Occlusion in
Individuals With Suspected Stroke: A Systematic Review for the 2018 Guidelines
for the Early Management of Patients With Acute Ischemic Stroke.
AB - INTRODUCTION: Endovascular thrombectomy is a highly efficacious treatment for
large vessel occlusion (LVO). LVO prediction instruments, based on stroke signs
and symptoms, have been proposed to identify stroke patients with LVO for rapid
transport to endovascular thrombectomy-capable hospitals. This evidence review
committee was commissioned by the American Heart Association/American Stroke
Association to systematically review evidence for the accuracy of LVO prediction
instruments. METHODS: Medline, Embase, and Cochrane databases were searched on
October 27, 2016. Study quality was assessed with the Quality Assessment of
Diagnostic Accuracy-2 tool. RESULTS: Thirty-six relevant studies were identified.
Most studies (21 of 36) recruited patients with ischemic stroke, with few studies
in the prehospital setting (4 of 36) and in populations that included hemorrhagic
stroke or stroke mimics (12 of 36). The most frequently studied prediction
instrument was the National Institutes of Health Stroke Scale. Most studies had
either some risk of bias or unclear risk of bias. Reported discrimination of LVO
mostly ranged from 0.70 to 0.85, as measured by the C statistic. In meta
analysis, sensitivity was as high as 87% and specificity was as high as 90%, but
no threshold on any instruments predicted LVO with both high sensitivity and
specificity. With a positive LVO prediction test, the probability of LVO could be
50% to 60% (depending on the LVO prevalence in the population), but the
probability of LVO with a negative test could still be >=10%. CONCLUSIONS: No
scale predicted LVO with both high sensitivity and high specificity. Systems that
use LVO prediction instruments for triage will miss some patients with LVO and
milder stroke. More prospective studies are needed to assess the accuracy of LVO
prediction instruments in the prehospital setting in all patients with suspected
stroke, including patients with hemorrhagic stroke and stroke mimics.
PMID- 29367334
TI - 2018 Guidelines for the Early Management of Patients With Acute Ischemic Stroke:
A Guideline for Healthcare Professionals From the American Heart
Association/American Stroke Association.
AB - BACKGROUND AND PURPOSE: The purpose of these guidelines is to provide an up-to
date comprehensive set of recommendations for clinicians caring for adult
patients with acute arterial ischemic stroke in a single document. The intended
audiences are prehospital care providers, physicians, allied health
professionals, and hospital administrators. These guidelines supersede the 2013
guidelines and subsequent updates. METHODS: Members of the writing group were
appointed by the American Heart Association Stroke Council's Scientific
Statements Oversight Committee, representing various areas of medical expertise.
Strict adherence to the American Heart Association conflict of interest policy
was maintained. Members were not allowed to participate in discussions or to vote
on topics relevant to their relations with industry. The members of the writing
group unanimously approved all recommendations except when relations with
industry precluded members voting. Prerelease review of the draft guideline was
performed by 4 expert peer reviewers and by the members of the Stroke Council's
Scientific Statements Oversight Committee and Stroke Council Leadership
Committee. These guidelines use the American College of Cardiology/American Heart
Association 2015 Class of Recommendations and Levels of Evidence and the new
American Heart Association guidelines format. RESULTS: These guidelines detail
prehospital care, urgent and emergency evaluation and treatment with intravenous
and intra-arterial therapies, and in-hospital management, including secondary
prevention measures that are appropriately instituted within the first 2 weeks.
The guidelines support the overarching concept of stroke systems of care in both
the prehospital and hospital settings. CONCLUSIONS: These guidelines are based on
the best evidence currently available. In many instances, however, only limited
data exist demonstrating the urgent need for continued research on treatment of
acute ischemic stroke.
PMID- 29367335
TI - 2018 Guidelines for the Early Management of Patients With Acute Ischemic Stroke.
PMID- 29367336
TI - Late Window Paradox.
PMID- 29367337
TI - An essential thioredoxin is involved in the control of the cell cycle in the
bacterium Caulobacter crescentus.
AB - Thioredoxins (Trxs) are antioxidant proteins that are conserved among all
species. These proteins have been extensively studied and perform reducing
reactions on a broad range of substrates. Here, we identified Caulobacter
crescentus Trx1 (CCNA_03653; CcTrx1) as an oxidoreductase that is involved in the
cell cycle progression of this model bacterium and is required to sustain life.
Intriguingly, the abundance of CcTrx1 varies throughout the C. crescentus cell
cycle: although the expression of CcTrx1 is induced in stalked cells, right
before DNA replication initiation, CcTrx1 is actively degraded by the ClpXP
protease in predivisional cells. Importantly, we demonstrated that regulation of
the abundance of CcTrx1 is crucial for cell growth and survival as modulating
CcTrx1 levels leads to cell death. Finally, we also report a comprehensive
biochemical and structural characterization of this unique and essential Trx. The
requirement to precisely control the abundance of CcTrx1 for cell survival
underlines the importance of redox control for optimal cell cycle progression in
C. crescentus.
PMID- 29367338
TI - Structure-function analyses generate novel specificities to assemble the
components of multienzyme bacterial cellulosome complexes.
AB - The cellulosome is a remarkably intricate multienzyme nanomachine produced by
anaerobic bacteria to degrade plant cell wall polysaccharides. Cellulosome
assembly is mediated through binding of enzyme-borne dockerin modules to cohesin
modules of the primary scaffoldin subunit. The anaerobic bacterium Acetivibrio
cellulolyticus produces a highly intricate cellulosome comprising an adaptor
scaffoldin, ScaB, whose cohesins interact with the dockerin of the primary
scaffoldin (ScaA) that integrates the cellulosomal enzymes. The ScaB dockerin
selectively binds to cohesin modules in ScaC that anchors the cellulosome onto
the cell surface. Correct cellulosome assembly requires distinct specificities
displayed by structurally related type-I cohesin-dockerin pairs that mediate ScaC
ScaB and ScaA-enzyme assemblies. To explore the mechanism by which these two
critical protein interactions display their required specificities, we determined
the crystal structure of the dockerin of a cellulosomal enzyme in complex with a
ScaA cohesin. The data revealed that the enzyme-borne dockerin binds to the ScaA
cohesin in two orientations, indicating two identical cohesin-binding sites.
Combined mutagenesis experiments served to identify amino acid residues that
modulate type-I cohesin-dockerin specificity in A. cellulolyticus Rational design
was used to test the hypothesis that the ligand-binding surfaces of ScaA- and
ScaB-associated dockerins mediate cohesin recognition, independent of the
structural scaffold. Novel specificities could thus be engineered into one, but
not both, of the ligand-binding sites of ScaB, whereas attempts at manipulating
the specificity of the enzyme-associated dockerin were unsuccessful. These data
indicate that dockerin specificity requires critical interplay between the ligand
binding surface and the structural scaffold of these modules.
PMID- 29367339
TI - Systems analysis of the glycoside hydrolase family 18 enzymes from Cellvibrio
japonicus characterizes essential chitin degradation functions.
AB - Understanding the strategies used by bacteria to degrade polysaccharides
constitutes an invaluable tool for biotechnological applications. Bacteria are
major mediators of polysaccharide degradation in nature; however, the complex
mechanisms used to detect, degrade, and consume these substrates are not well
understood, especially for recalcitrant polysaccharides such as chitin. It has
been previously shown that the model bacterial saprophyte Cellvibrio japonicus is
able to catabolize chitin, but little is known about the enzymatic machinery
underlying this capability. Previous analyses of the C. japonicus genome and
proteome indicated the presence of four glycoside hydrolase family 18 (GH18)
enzymes, and studies of the proteome indicated that all are involved in chitin
utilization. Using a combination of in vitro and in vivo approaches, we have
studied the roles of these four chitinases in chitin bioconversion. Genetic
analyses showed that only the chi18D gene product is essential for the
degradation of chitin substrates. Biochemical characterization of the four
enzymes showed functional differences and synergistic effects during chitin
degradation, indicating non-redundant roles in the cell. Transcriptomic studies
revealed complex regulation of the chitin degradation machinery of C. japonicus
and confirmed the importance of CjChi18D and CjLPMO10A, a previously
characterized chitin-active enzyme. With this systems biology approach, we
deciphered the physiological relevance of the glycoside hydrolase family 18
enzymes for chitin degradation in C. japonicus, and the combination of in vitro
and in vivo approaches provided a comprehensive understanding of the initial
stages of chitin degradation by this bacterium.
PMID- 29367341
TI - Defining the binding determinants of Shewanella oneidensis OxyR: Implications for
the link between the contracted OxyR regulon and adaptation.
AB - It is well-established that OxyR functions as a transcriptional activator of the
peroxide stress response in bacteria, primarily based on studies on Escherichia
coli Recent investigations have revealed that OxyRs of some other bacteria can
regulate gene expression through both repression and activation or repression
only; however, the underlying mechanisms remain largely unknown. Here, we
demonstrated in gamma-proteobacteriumShewanella oneidensis regulation of OxyR on
expression of major catalase gene katB in a dual-control manner through
interaction with a single site in the promoter region. Under non-stress
conditions, katB expression was repressed by reduced OxyR (OxyRred), whereas when
oxidized, OxyR (OxyRoxi) outcompeted OxyRred for the site because of
substantially enhanced affinity, resulting in a graded response to oxidative
stress, from repression to derepression to activation. The OxyR-binding motif is
characterized as a combination of the E. coli motif (tetranucleotides spaced by
heptanucleotide) and palindromic structure. We provided evidence to suggest that
the S. oneidensis OxyR regulon is significantly contracted compared with those
reported, probably containing only five members that are exclusively involved in
oxygen reactive species scavenging and iron sequestering. These characteristics
probably reflect the adapting strategy of the bacteria that S. oneidensis
represents to thrive in redox-stratified microaerobic and anaerobic environments.
PMID- 29367340
TI - Purification and functional characterization of the vacuolar malate transporter
tDT from Arabidopsis.
AB - The exact transport characteristics of the vacuolar dicarboxylate transporter tDT
from Arabidopsis are elusive. To overcome this limitation, we combined a range of
experimental approaches comprising generation/analysis of tDT overexpressors,
13CO2 feeding and quantification of 13C enrichment, functional characterization
of tDT in proteoliposomes, and electrophysiological studies on vacuoles. tdt
knockout plants showed decreased malate and increased citrate concentrations in
leaves during the diurnal light-dark rhythm and after onset of drought, when
compared with wildtypes. Interestingly, under the latter two conditions, tDT
overexpressors exhibited malate and citrate levels opposite to tdt knockout
plants. Highly purified tDT protein transports malate and citrate in a 1:1
antiport mode. The apparent affinity for malate decreased with decreasing pH,
whereas citrate affinity increased. This observation indicates that tDT exhibits
a preference for dianion substrates, which is supported by electrophysiological
analysis on intact vacuoles. tDT also accepts fumarate and succinate as
substrates, but not alpha-ketoglutarate, gluconate, sulfate, or phosphate. Taking
tDT as an example, we demonstrated that it is possible to reconstitute a vacuolar
metabolite transporter functionally in proteoliposomes. The displayed, so far
unknown counterexchange properties of tDT now explain the frequently observed
reciprocal concentration changes of malate and citrate in leaves from various
plant species. tDT from Arabidopsis is the first member of the well-known and
widely present SLC13 group of carrier proteins, exhibiting an antiport mode of
transport.
PMID- 29367342
TI - GSE1 predicts poor survival outcome in gastric cancer patients by SLC7A5
enhancement of tumor growth and metastasis.
AB - Gastric cancer remains a malignancy with poor survival outcome. We herein report
that GSE1, a proline-rich protein, possesses a role in the progression of human
gastric cancer. The expression of GSE1 was observed to be much higher in human
gastric cancer tissues compared with normal gastric tissues, and GSE1 expression
correlated positively with lymph node metastasis, histological grade, depth of
invasion, and clinical stage in gastric cancer patients. Moreover, GSE1
expression was also associated with decreased post-operative relapse-free
survival and overall survival in the cohort. The forced expression of GSE1 in
gastric cancer cell lines resulted in increased cell proliferation, increased
colony formation, enhanced cell migration, and invasion. Furthermore, forced
expression of GSE1 also increased tumor size and enhanced lung metastasis in
xenograft models. The depletion of endogenous GSE1 with shRNAs decreased the
oncogenicity and invasiveness of gastric cancer cells both in vitro and in vivo
In addition, GSE1 was determined to be a direct target of miR-200b and miR-200c.
Furthermore, GSE1 positively regulated the downstream gene SLC7A5 (also known as
LAT-1), which was scanned and verified from mRNA sequencing. GSE1 therefore
possesses an oncogenic role in human gastric cancer, and targeted therapeutic
approaches to inhibit GSE1 function in gastric cancer warrant further
consideration.
PMID- 29367343
TI - Why governments cannot afford Codentify to support their track and trace
solutions.
AB - BACKGROUND: In anticipation of the Protocol to Eliminate Illicit Trade in Tobacco
Products (ITP) entering into force in 2018, there is a growing demand for
information on track and trace (T&T) solutions for tobacco products. This article
contrasts the efficacy of Codentify from the perspective of authentication with
that of material-based multilayered security technologies. METHOD: To calculate
the probability of detecting one fraudulent pack under Codentify, we relied on a
modified Bernoulli trial experiment with independent repeated sampling without
replenishment. The probability is calculated using a multinomial distribution
formula adjusted for the fact that both legitimate and non-legitimate packs may
be sold in the market. RESULTS: In a relatively small market, a law enforcement
authority would have to inspect over 27 000 (almost 31 000) packs per week to
have a 90% (95%) certainty that it did not miss a fraudulent pack under the
Codentify system. A material based T&T solution would require only 45 (59) pack
inspections a week to have the same level of confidence. CONCLUSIONS: This study
demonstrates the inefficiency of Codentify compared to other solutions that
incorporate material-based security features. Signatories to the ITP should
reject Codentify due to both its low efficacy and its clear violation of the
ITP's requirement that T&T shall not be performed by or delegated to the tobacco
industry or its front groups.
PMID- 29367344
TI - Erratum for the Research Article: "Single-cut genome editing restores dystrophin
expression in a new mouse model of muscular dystrophy" by L. Amoasii, C. Long, H.
Li, A. A. Mireault, J. M. Shelton, E. Sanchez-Ortiz, J. R. McAnally, S.
Bhattacharyya, F. Schmidt, D. Grimm, S. D. Hauschka, R. Bassel-Duby, E. N. Olson.
PMID- 29367345
TI - Dimethyl fumarate potentiates oncolytic virotherapy through NF-kappaB inhibition.
AB - Resistance to oncolytic virotherapy is frequently associated with failure of
tumor cells to get infected by the virus. Dimethyl fumarate (DMF), a common
treatment for psoriasis and multiple sclerosis, also has anticancer properties.
We show that DMF and various fumaric and maleic acid esters (FMAEs) enhance viral
infection of cancer cell lines as well as human tumor biopsies with several
oncolytic viruses (OVs), improving therapeutic outcomes in resistant syngeneic
and xenograft tumor models. This results in durable responses, even in models
otherwise refractory to OV and drug monotherapies. The ability of DMF to enhance
viral spread results from its ability to inhibit type I interferon (IFN)
production and response, which is associated with its blockade of nuclear
translocation of the transcription factor nuclear factor kappaB (NF-kappaB). This
study demonstrates that unconventional application of U.S. Food and Drug
Administration-approved drugs and biological agents can result in improved
anticancer therapeutic outcomes.
PMID- 29367347
TI - Miniaturized neural system for chronic, local intracerebral drug delivery.
AB - Recent advances in medications for neurodegenerative disorders are expanding
opportunities for improving the debilitating symptoms suffered by patients.
Existing pharmacologic treatments, however, often rely on systemic drug
administration, which result in broad drug distribution and consequent increased
risk for toxicity. Given that many key neural circuitries have sub-cubic
millimeter volumes and cell-specific characteristics, small-volume drug
administration into affected brain areas with minimal diffusion and leakage is
essential. We report the development of an implantable, remotely controllable,
miniaturized neural drug delivery system permitting dynamic adjustment of therapy
with pinpoint spatial accuracy. We demonstrate that this device can chemically
modulate local neuronal activity in small (rodent) and large (nonhuman primate)
animal models, while simultaneously allowing the recording of neural activity to
enable feedback control.
PMID- 29367346
TI - Genetically modified lentiviruses that preserve microvascular function protect
against late radiation damage in normal tissues.
AB - Improvements in cancer survival mean that long-term toxicities, which contribute
to the morbidity of cancer survivorship, are being increasingly recognized. Late
adverse effects (LAEs) in normal tissues after radiotherapy (RT) are
characterized by vascular dysfunction and fibrosis causing volume loss and tissue
contracture, for example, in the free flaps used for immediate breast
reconstruction after mastectomy. We evaluated the efficacy of lentivirally
delivered superoxide dismutase 2 (SOD2) overexpression and connective tissue
growth factor (CTGF) knockdown by short hairpin RNA in reducing the severity of
LAEs in an animal model of free flap LAEs. Vectors were delivered by intra
arterial injection, ex vivo, to target the vascular compartment. LVSOD2 and
LVshCTGF monotherapy before irradiation resulted in preservation of flap volume
or reduction in skin contracture, respectively. Flaps transduced with combination
therapy experienced improvements in both volume loss and skin contracture. Both
therapies reduced the fibrotic burden after irradiation. LAEs were associated
with impaired vascular perfusion, loss of endothelial permeability, and stromal
hypoxia, which were all reversed in the treatment model. Using a tumor recurrence
model, we showed that SOD2 overexpression in normal tissues did not compromise
the efficacy of RT against tumor cells but appeared to enhance it. LVSOD2 and
LVshCTGF combination therapy by targeted, intravascular delivery reduced LAE
severities in normal tissues without compromising the efficacy of RT and warrants
translational evaluation as a free flap-targeted gene therapy.
PMID- 29367349
TI - "Where there is life, there is hope".
PMID- 29367348
TI - Integrin alpha4beta7 expression on peripheral blood CD4+ T cells predicts HIV
acquisition and disease progression outcomes.
AB - The gastrointestinal (GI) mucosa is central to HIV pathogenesis, and the integrin
alpha4beta7 promotes the homing of immune cells to this site, including those
that serve as viral targets. Data from simian immunodeficiency virus (SIV) animal
models suggest that alpha4beta7 blockade provides prophylactic and therapeutic
benefits. We show that pre-HIV infection frequencies of alpha4beta7+ peripheral
blood CD4+ T cells, independent of other T cell phenotypes and genital
inflammation, were associated with increased rates of HIV acquisition in South
African women. A similar acquisition effect was observed in a Kenyan cohort and
in nonhuman primates (NHPs) after intravaginal SIV challenge. This association
was stronger when infection was caused by HIV strains containing V2 envelope
motifs with a preference for alpha4beta7 binding. In addition, pre-HIV
alpha4beta7+ CD4+ T cells predicted a higher set-point viral load and a greater
than twofold increased rate of CD4+ T cell decline. These results were confirmed
in SIV-infected NHPs. Increased frequencies of pre-HIV alpha4beta7+ CD4+ T cells
were also associated with higher postinfection expression of lipopolysaccharide
binding protein, a microbial translocation marker, suggestive of more extensive
gut damage. CD4+ T cells expressing alpha4beta7 were rapidly depleted very early
in HIV infection, particularly from the GI mucosa, and were not restored by early
antiretroviral therapy. This study provides a link between alpha4beta7 expression
and HIV clinical outcomes in humans, in line with observations made in NHPs.
Given the availability of a clinically approved anti-alpha4beta7 monoclonal
antibody for treatment of inflammatory bowel disease, these data support further
evaluation of targeting alpha4beta7 integrin as a clinical intervention during
HIV infection.
PMID- 29367350
TI - Are changes in objective working hour characteristics associated with changes in
work-life conflict among hospital employees working shifts? A 7-year follow-up.
AB - OBJECTIVE: To investigate whether changes in objective working hour
characteristics are associated with parallel changes in work-life conflict (WLC)
among hospital employees. METHODS: Survey responses from three waves of the
Finnish Public Sector study (2008, 2012 and 2015) were combined with payroll data
from 91 days preceding the surveys (n=2 482, 93% women). Time-dependent fixed
effects regression models adjusted for marital status, number of children and
stressfulness of the life situation were used to investigate whether changes in
working hour characteristics were associated with parallel change in WLC. The
working hour characteristics were dichotomised with cut-points in less than or
greater than 10% or less than or greater than25% occurrence) and WLC to frequent
versus seldom/none. RESULTS: Change in proportion of evening and night shifts and
weekend work was significantly associated with parallel change in WLC (adjusted
OR 2.19, 95% CI 1.62 to 2.96; OR 1.71, 95% CI 1.21 to 2.44; OR 1.63, 95% CI 1.194
to 2.22, respectively). Similarly, increase or decrease in proportion of quick
returns (adjusted OR 1.45, 95% CI 1.10 to 1.89) and long work weeks (adjusted OR
1.26, 95% CI 1.04 to 1.52) was associated with parallel increase or decrease in
WLC. Single days off and very long work weeks showed no association with WLC.
CONCLUSIONS: Changes in unsocial working hour characteristics, especially in
connection with evening shifts, are consistently associated with parallel changes
in WLC.
PMID- 29367351
TI - Deleting the IF1-like zeta subunit from Paracoccus denitrificans ATP synthase is
not sufficient to activate ATP hydrolysis.
AB - In oxidative phosphorylation, ATP synthases interconvert two forms of free
energy: they are driven by the proton-motive force across an energy-transducing
membrane to synthesize ATP and displace the ADP/ATP ratio from equilibrium. For
thermodynamically efficient energy conversion they must be reversible catalysts.
However, in many species ATP synthases are unidirectional catalysts (their rates
of ATP hydrolysis are negligible), and in others mechanisms have evolved to
regulate or minimize hydrolysis. Unidirectional catalysis by Paracoccus
denitrificans ATP synthase has been attributed to its unique zeta subunit, which
is structurally analogous to the mammalian inhibitor protein IF1 Here, we used
homologous recombination to delete the zeta subunit from the P. denitrificans
genome, and compared ATP synthesis and hydrolysis by the wild-type and knockout
enzymes in inverted membrane vesicles and the F1-ATPase subcomplex. ATP synthesis
was not affected by loss of the zeta subunit, and the rate of ATP hydrolysis
increased by less than twofold, remaining negligible in comparison with the rates
of the Escherichia coli and mammalian enzymes. Therefore, deleting the P.
denitrificans zeta subunit is not sufficient to activate ATP hydrolysis. We close
by considering our conclusions in the light of reversible catalysis and
regulation in ATP synthase enzymes.
PMID- 29367352
TI - Seeding of proteins into amyloid structures by metabolite assemblies may clarify
certain unexplained epidemiological associations.
AB - The accumulation of various metabolites appears to be associated with diverse
human diseases. However, the aetiological link between metabolic alteration and
the observed diseases is still elusive. This includes the correlation between the
abnormally high levels of homocysteine and quinolinic acid in Alzheimer's
disease, as well as the accumulation of oncometabolites in malignant processes.
Here, we suggest and discuss a possible mechanistic insight into metabolite
accumulation in conditions such as neurodegenerative diseases and cancer. Our
hypothesis is based on the demonstrated ability of metabolites to form amyloid
like structures in inborn error of metabolism disorders and the potential of such
metabolite amyloids to promote protein aggregation. This notion can provide a new
paradigm for neurodegeneration and cancer, as both conditions were linked to loss
of function due to protein aggregation. Similar to the well-established
observation of amyloid formation in many degenerative disorders, the formation of
amyloids by tumour-suppressor proteins, including p53, was demonstrated in
malignant states. Moreover, this new paradigm could fill the gap in understanding
the high occurrence of specific types of cancer among genetic error of metabolism
patients. This hypothesis offers a fresh view on the aetiology of some of the
most abundant human maladies and may redirect the efforts towards new therapeutic
developments.
PMID- 29367354
TI - The Harvey experiments.
PMID- 29367353
TI - Rab18 promotes lipid droplet (LD) growth by tethering the ER to LDs through SNARE
and NRZ interactions.
AB - Lipid incorporation from endoplasmic reticulum (ER) to lipid droplet (LD) is
important in controlling LD growth and intracellular lipid homeostasis. However,
the molecular link mediating ER and LD cross talk remains elusive. Here, we
identified Rab18 as an important Rab guanosine triphosphatase in controlling LD
growth and maturation. Rab18 deficiency resulted in a drastically reduced number
of mature LDs and decreased lipid storage, and was accompanied by increased ER
stress. Rab3GAP1/2, the GEF of Rab18, promoted LD growth by activating and
targeting Rab18 to LDs. LD-associated Rab18 bound specifically to the ER
associated NAG-RINT1-ZW10 (NRZ) tethering complex and their associated SNAREs
(Syntaxin18, Use1, BNIP1), resulting in the recruitment of ER to LD and the
formation of direct ER-LD contact. Cells with defects in the NRZ/SNARE complex
function showed reduced LD growth and lipid storage. Overall, our data reveal
that the Rab18-NRZ-SNARE complex is critical protein machinery for tethering ER
LD and establishing ER-LD contact to promote LD growth.
PMID- 29367355
TI - Hair loss is an important symptom of the menopause.
PMID- 29367356
TI - Multiple anomalies in the origin and course of vertebral arteries and aberrant
right subclavian artery in a child with moyamoya syndrome.
AB - Here we report, for the first time, a combination of five-vessel aortic arch,
anomalous origin of the right vertebral artery (VA) from the common carotid
artery (CCA), an aberrant right subclavian artery (SCA), and bilateral
symmetrical segmental agenesis of VAs.In this case report, we present a patient
with moyamoya syndrome (MMS) and Down syndrome (DS) who has bilateral symmetrical
segmental agenesis of VAs, left VA originating from aortic arch and anomalous
origin of right VA arising from CCA in combination with an aberrant right SCA.
Therefore, five vessels are originating from aortic arch. Here, we report, for
the first time, a combination of five-vessel aortic arch with an aberrant right
SCA and symmetrical segmental agenesis of both VAs. The possible embryological
mechanisms of the anomalies as well as an relation with MMS and DS are discussed.
PMID- 29367357
TI - Seesawing end-tidal carbon dioxide: portent of critical carbon dioxide embolism
in retroperitoneoscopy.
AB - An abrupt increase in end-tidal CO2 (EtCO2; from 35 to 58 mm Hg) followed by a
sudden fall (to 18 mm Hg) was noted during retroperitoneoscopic adrenalectomy
under general anaesthesia in a 23-year-old patient with adrenal hyperplasia. This
was accompanied by hypotension (systolic blood pressure of 60 mm Hg),
desaturation (88% SpO2) and ST depression (3.5 mm). The patient was resuscitated
with fluids and vasopressor drugs and about 4 mL of air was aspirated through the
central venous catheter, confirming the diagnosis of an intraoperative gas
embolism. Later, a rent in the adrenal vein extending into the inferior vena cava
was discovered and sutured. The blood pressure, EtCO2, ST segment and pulse
oximetry returned to normal after 15 min. This case demonstrates that gas
embolism may transpire during retroperitoneoscopic adrenalectomy and an acute
rise followed by a sharp fall in EtCO2 should alert the anaesthesiologist to this
rare but potentially fatal complication.
PMID- 29367358
TI - Recurrent migration of peripherally inserted central catheter into the azygos
vein.
AB - Peripherally inserted central catheter (PICC) migration into azygos vein (AV) is
a rare complication. It is recognised only when catheter malfunction occurs or
when patients develop associated complications. PICC migration into AV has been
reported to be associated with various complications such as catheter
malfunction, perforation, haemorrhage, thrombosis, infection and stenosis of AV.
Pleural effusion and trachea-azygos fistulas have also been reported. We present
a patient with recurrent migration of PICC into AV after an initial corrective
repositioning during the same hospital stay. In this case, PICC migration was
possibly related to left-sided approach, use of smaller diameter PICC, severe
congestive heart failure and her bedbound status. PICC migration should be
considered when PICC found be malfunctioning, especially if associated with the
above risk factors.
PMID- 29367359
TI - Illegal immigration: the puzzling role of several risk factors for
rhabdomyolysis.
AB - A 14-year-old boy presented with low-grade fever, widespread myalgia and
difficulty in walking and standing 2 days after the undocumented trip which
brought him from western Africa to Italy. His serum creatine phosphokinase was
markedly elevated. He was diagnosed with rhabdomyolysis and was volume-restored
with normal saline and bicarbonate-containing fluid. Anamnesis revealed illegal,
not well-specified, forced consumption in his fatherland, and very bad conditions
of the trip (prolonged immobility, dehydration, hypothermia). Workup included a
respiratory microbiological panel which was positive for Chlamydia pneumoniae
Other microbiological agents were excluded. After 3 weeks, he recovered complete
motility. Undocumented immigrants may present several risk factors for
rhabdomyolysis that give to this group of individuals a higher risk of developing
this disorder.
PMID- 29367360
TI - Recurrence of Graves' disease in ectopic thyroid tissue.
AB - A 40-year-old woman with a history of Graves' disease status postorbital
decompression for severe ophthalmopathy underwent total thyroidectomy by a high
volume thyroid surgeon in July 2013 with a benign final pathology. Eight months
later, she presented with a mass on the right anterior neck that showed minimal
growth over time. Her thyroid stimulating immunoglobulin and thyroid-stimulating
hormone receptor antibody levels were consistently elevated and increasing. She
underwent removal of the neck mass in September 2016. Final pathology showed
benign thyroid tissue with diffuse hyperplasia and lymphoid follicles, consistent
with Graves' disease. We present an unusual recurrence of Graves' disease post
total thyroidectomy that recurred secondary to ectopic thyroid tissue in the
right upper anterior neck deep to the strap muscles.
PMID- 29367361
TI - Twenty years of biological therapy in an patient with IBD.
AB - This interesting case is the first to our knowledge to report outcomes of a
patient with Crohn's disease (CD) treated with the biological drug, infliximab,
for around 20 years. The case highlights the positive effect of long-term use of
infliximab in achieving and maintaining remission in a patient with CD.In this
case, loss of response to infliximab was not dependent on length of exposure to
the drug. It also appeared that infliximab was still efficacious after drug
holidays, which can be especially important around times of pregnancy. Of
interest, 'loss of response' to infliximab occurred at one particular time point.
The drug was then reintroduced at a later date, which gave the patient clinical
benefit. This case suggests that in some situations infliximab can be
reintroduced in patients with previous 'loss of response'.Importantly, in this
case, Infliximab had no major adverse effects during the 20 years follow-up.
PMID- 29367362
TI - Primary meningococcal arthritis as a presentation of nodal marginal zone
lymphoma.
AB - A 68-year-old man presented with a 4-day history of worsening knee and arm pain.
On examination, there was erythema and swelling of the left knee and both wrists.
Joint aspiration grew Neisseria meningitidis Blood tests showed an unusually high
total protein level (100 g/L) and an IgM kappa paraprotein band of 45 g/L on
protein electrophoresis. CT showed widespread lymphadenopathy, hepatosplenomegaly
and multilevel thoracic vertebral collapse. A bone marrow biopsy revealed a
lymphoplasmacytic infiltrate and a lymph node biopsy showed features of nodal
marginal zone lymphoma with plasmacytic differentiation.
PMID- 29367364
TI - Unusual case of hemidiaphragmatic paralysis secondary to cervical herpes zoster.
AB - Hemidiaphragmatic paralysis is usually caused by surgery, malignancy or trauma
and rarely by viral infections. Herpes zoster (shingles) results in varied
neurological complications, but peripheral motor involvement or diaphragmatic
paralysis is rare. We report the case of an 87-year-old male who presented with
worsening breathlessness soon after an episode of shingles, affecting his right
neck and upper chest. He had no alarm symptoms, history of trauma or malignancy.
Skin lesions resolved after a few weeks, but his breathing did not improve. Chest
X-ray revealed a new finding of elevated right hemidiaphragm; diaphragmatic
ultrasound confirmed paradoxical cranial movement of right hemidiaphragm on sniff
testing. CT scan showed no lung mass and complete collapse of right lower lobe
due to elevated right hemidiaphragm. Patient has required no treatment and is
under regular follow-up with the ventilation clinic.
PMID- 29367363
TI - Bony swellings: an enigma.
PMID- 29367365
TI - Case of acute mixed liver injury due to hypothyroidism.
AB - The effects of hypothyroidism on the liver are not well understood. A 77-year-old
woman with Hashimoto's thyroiditis had stopped taking levothyroxine on her own
for 6 months. Her thyroid stimulating hormone (TSH) level was consistent with
severe hypothyroidism. She resumed thyroid replacement therapy. The following
month, her liver function tests were significantly elevated. Seven weeks after
resumption of therapy, her TSH and liver tests had returned to normal. We
attribute the mixed hepatocellular injury to hypothyroidism that resolved on
correction of the hypothyroid state. This case reminds us that thyroid disease
should be considered when evaluating acute liver injury.
PMID- 29367366
TI - Rothmund-Thomson syndrome (RTS) with osteosarcoma due to RECQL4 mutation.
AB - Rothmund-Thomson syndrome (RTS) is a rare autosomal recessive disorder with
clinical features consisting of poikiloderma, skeletal abnormalities, sparse
hair, absent or scanty eyelashes and eyebrows and short stature. Patients with
RTS due to genetic mutations of RECQL4 genes carry a high risk of developing
osteosarcoma during childhood. Because of this, early genetic diagnosis is
important. Here, we describe a 14-year-old white boy who developed an
erythematous rash on both cheeks before the age of 3 months and was noted to have
absent eyelashes and scanty eyebrows. He was found to have compound heterozygous
mutations of the RECQL4 gene alleles at the age of 6 months and was diagnosed to
have RTS type II. He subsequently developed osteosarcoma at age 10 which was
successfully treated, and currently he has been tumour free for over 3 years.
PMID- 29367367
TI - Dual mobility total hip dislocation-femoral stem loosening while attempting
closed reduction: a cautionary note.
AB - A 77-year-old man sustained an acetabular fracture after falling from a staircase
and landing on his right side. He fractured the anterior column of his acetabulum
and the quadrilateral plate. He underwent a single-stage open reduction and
internal fixation of the fracture combined with a dual mobility total hip
arthroplasty (THA). He sustained a traumatic hip dislocation 1 month
postoperatively. Closed reduction was attempted at another hospital, and the
femoral stem was pulled out. We believe this was caused by the polyliner being
hitched either to the acetabular cup or in the soft tissues around the ilium. We
present this case to caution orthopaedic surgeons that dual mobility THA
dislocations are difficult to diagnose as the polyliner is not clearly seen on X
rays, and reduction attempts may lead to worsening of an already difficult
situation.
PMID- 29367368
TI - Lamotrigine-induced drug reaction with eosinophilia and systemic symptoms (DRESS)
during primary Epstein-Barr virus (EBV) infection.
AB - Drug reaction with eosinophilia and systemic symptoms (DRESS) is a severe,
potentially life-threatening idiosyncratic drug reaction that may result in skin
eruption, mucous membrane involvement, eosinophilia, atypical lymphocytosis and
lymphadenopathy, with wide-ranging internal organ involvement. The authors report
the case of a 21-year-old man who was prescribed lamotrigine for anxiety
disorder. After 2 weeks of treatment, he developed a pruritic morbilliform rash
on his trunk and upper extremities that was associated with fever, sore throat,
bilateral scleral injection, nausea, vomiting and abdominal pain. A laboratory
work-up revealed elevated transaminases and atypical lymphocytosis. He was found
to have an active Epstein-Barr virus infection. Lamotrigine was discontinued due
to suspicion of DRESS; the patient received pulsed intravenous methylprednisolone
followed by oral prednisone taper, which resulted in a significant improvement of
symptoms. At follow-up 3 weeks later, signs and symptoms had completely resolved.
Follow-up laboratory tests revealed that liver dysfunction had normalised.
PMID- 29367369
TI - Optimal approach to assessing T-cell function in haematopoietic cell transplant
recipients.
AB - Standardised approaches to functional immune assessment after haematopoietic cell
transplantation (HCT) are lacking. A 12-year-old girl with relapsed acute
myelogenous leukaemia, 2 years post-unrelated HCT, underwent immunological
evaluation prior to receiving live vaccinations. Assessment of standard immune
parameters and T-cell proliferation to phytohaemagglutinin was reassuring. She
was given Varicella vaccination based on usual post-transplant protocols but was
hospitalised 10 days later with localised Varicella infection (vaccine strain).
Following recovery, she underwent further assessment that showed reduced T-cell
proliferation to an anti-CD3 stimulation panel (anti-CD3 alone, soluble anti-CD3+
anti-CD28 and soluble anti-CD3+ plus exogenous IL-2). On reassessment, 7 months
later, T-cell responses to anti-CD3 stimulation were normal and she was
revaccinated without further incident. Measurement of T-cell proliferation to
anti-CD3 stimulants likely yields more useful information about global T-cell
function and should be strongly considered prior to live vaccine administration
post-allogeneic haematopoietic transplant.
PMID- 29367370
TI - Endometriosis presenting as a vaginal mass.
AB - A 43-year-old woman with an anterior vaginal wall mass was referred to
gynaecology outpatient for diagnosis and management. Clinical assessment was
indicative of a urethral diverticulum, however MRI and ultrasound imaging
suggested a Gartner's duct cyst. Following excision of the lesion, histology
revealed evidence of an endometriotic cyst. The patient had no other symptoms of
endometriosis and remained asymptomatic 3 months following excision. This case
highlights the widespread locations in which endometrial tissue can be found, and
therefore the range of symptomatology. This in turn lends itself to diagnostic
difficulty without histological confirmation.
PMID- 29367371
TI - Non-ionic iodinated contrast-induced sialadenitis with parotid gland sparing in
patient of hepatocellular carcinoma.
AB - 61-years-old male patient presented with complain of neck swelling and soreness
following contrast-enhanced (CE) CT examination with resolution of symptoms in 36
48 hours. He is diagnosed with hepatitis C virus and hepatocellular carcinoma
(HCC); already treated with radiofrequency ablation for HCC. He had already
undergone two CECT examinations before he was referred to our institution for
disease staging/treatment. He also underwent three triphasic CT scan examinations
at our institution during the course of treatment for treatment response and
staging. Patient remained undiagnosed up to his fourth CT scan due to inability
to relate symptoms with contrast administration. The patient was offered close
monitoring on fifth CT exam and ultrasound of neck revealed enlarged and
echogenic bilateral submandibular glands, however, thyroid and bilateral parotid
glands appear unremarkable. This represents transient iodinated contrast induced
sialadenitis with sparing of parotid glands.
PMID- 29367372
TI - Doxycycline sclerotherapy for post-traumatic inguinal lymphocele in a child.
AB - Lymphoceles are abnormal collections of lymphatic fluid caused by a disruption in
the lymphatic channels and leakage of lymph. This most commonly occurs after
surgical procedures, but occasionally lymphoceles may be the result of trauma,
more commonly penetrating trauma. Lymphoceles resulting from blunt trauma are
rare in both adults and children. In the adult population, there are few
published case reports, and management principles vary. To date, there are no
reports of traumatic lymphoceles in the paediatric population, and therefore
there is no precedent for treatment. Here, we report the case of a young boy who
developed an inguinal lymphocele from a bicycle handle bar injury which was
successfully treated with doxycycline sclerotherapy.
PMID- 29367373
TI - Extensive skin ulcers in a child with juvenile dermatomyositis.
AB - Juvenile dermatomyositis (JDM) is a multisystemic disorder. Vasculitic ulcers in
JDM have been reported to involve axilla, elbow or extensor surfaces of other
joints. We report a young boy with JDM who presented with extensive cutaneous
ulcers involving scrotum, prepuce, gluteal region, neck, bilateral axilla,
periumbilical area and bilateral elbows and popliteal fossa. His disease course
was marked by several relapses and he required immunosuppression with
prednisolone, subcutaneous methotrexate and intravenous cyclophosphamide. His
muscle weakness improved and skin ulcers healed after 6 months of intensive
immunosuppressive therapy. Children with JDM and ulcers often show increased
resistance to immunosuppressive therapy. Extensive cutaneous ulcers in JDM,
especially those involving the scrotum, have never been described. Awareness
regarding the uncommon manifestations is important to guide appropriate therapy.
PMID- 29367374
TI - Intracranial myopericytoma: a tumour in a rare location.
AB - A 49-year-old female with history of headache, nausea and vomiting with some
weeks of evolution, without neurological symptoms. Radiology revealed an
expansive lesion near the inferior vermix and cerebellar tonsils, with
heterogeneous gadolinium uptake and mass effect on the fourth ventricle,
representing a probable extraventricular origin for the lesion. Pathological
examination showed a proliferation of oval/spindle cell proliferation with
eosinophil cytoplasm and small and monotonous nuclei, without mitoses. The cells
had a concentric growth, surrounding thin-walled blood vessels with foci of
stromal myxoid degeneration and whorled pattern. The vessels had a
haemangiopericytoma pattern and were lined by non-atypical endothelial cells. The
tumorous cells expressed vimentin, alpha-smooth actin and heavy-chain caldesmon
and were negative for epithelial membrane antigen, protein S100, HMB45, CD34,
calponin and desmin, thus providing the final diagnosis of intracranial
myopericytoma.
PMID- 29367375
TI - Delayed presentation of a virilising, pure testosterone-secreting adrenocortical
carcinoma with coexistent composite myelolipoma and a venous thrombus extending
to the heart.
AB - A 40-year-old normotensive woman presented with abnormal facial hair for 4 years
and amenorrhoea for 13 years. Hormonal, biochemical and haematological evaluation
showed isolated elevation of serum testosterone and free testosterone. Her
follicle-stimulating hormone and luteinising hormone were in the premenopausal
range. Until recently she had reconciled to early 'menopause' and visited beauty
clinics but never sought medical evaluation. Imaging revealed an enhancing left
adrenal mass with fat densities and venous thrombus extending through the
inferior vena cava to a 7 cm mass in the right atrium. She underwent left kidney
preserving surgery utilising hypothermic cardiopulmonary bypass with early
clamping of the pulmonary artery without circulatory arrest. Histology showed
adrenocortical carcinoma with composite incidental myelolipoma and neoplastic
thrombus. At 2 months, testosterone has normalised and she is doing well.
Isolated testosterone-secreting adrenocortical carcinoma with massive venous
thrombus is rare as is coincidental composite macroscopic myelolipoma.
PMID- 29367377
TI - Cranial neuropathy and severe pain due to early disseminated Borrelia burgdorferi
infection.
AB - A 61-year-old man presented to the emergency department in the summer with a
right seventh cranial nerve lower motor neuron palsy and worsening paraesthesias
for 6 weeks. He had debilitating pain at the scalp and spine. Prior work up was
unrevealing. The patient resided in the upper Midwest region of the USA and
worked outdoors, optimising the landscape for white tailed deer. Repeat
cerebrospinal fluid testing revealed a lymphocytic pleocytosis and positive IgM
Lyme serology. Brain MRI demonstrated enhancement of multiple cranial nerves
bilaterally. He was diagnosed with early Lyme neuroborreliosis and treated with
28 days of intravenous ceftriaxone. While the painful meningoradiculitis, also
known as Bannwarth syndrome, is more commonly seen in Europe, facial palsy is
more frequently encountered in the USA. Clinical manifestations of
neuroborreliosis are important to recognise as the classic presentation varies by
geography and on occasion repeat serological testing may be necessary.
PMID- 29367376
TI - New drugs and new toxicities: pembrolizumab-induced myocarditis.
AB - Pembrolizumab is an immune checkpoint inhibitor that significantly improves
clinical outcomes in numerous solid organ malignancies. Despite successful
therapeutic responses, this new drug comes with a constellation of adverse
reactions. Herein, we chronicle the case of a patient with metastatic non-small
cell lung cancer treated with pembrolizumab. After two cycles, he developed new
onset dyspnoea on exertion. Electrocardiogram showed idioventricular rhythm with
diffuse ST-segment elevations. Echocardiography revealed severe biventricular
cardiac dysfunction. Based on diagnostic workup and exclusion of probable
aetiologies, the patient was diagnosed with pembrolizumab-induced myocarditis.
The treatment was initiated with corticosteroids and guideline-conform heart
failure therapy. He demonstrated a marked clinical response with resolution of
congestive heart failure symptoms. This article summarises the clinical evidence
regarding the epidemiology, pathophysiology, clinical features, diagnostic
modalities and management of patients with pembrolizumab-associated myocarditis.
In addition, it highlights that programmed death receptor-1 inhibition can cause
a spectrum of autoimmune adverse events requiring clinical monitoring and
periodic screenings.
PMID- 29367378
TI - Combination drug chemotherapy and massive skeletal allograft in the management of
hydatid disease of femur.
AB - Hydatid disease of long bone is a rare presentation. Chemotherapy and surgery
constitute the standard treatment of choice. Non-union of a pathological fracture
of femur particularly due to hydatid disease has been known to be resistant to
treatment. These resistant cases require combination drug chemotherapy and
excision of the lesion. Reconstruction of a large skeletal defect following
resection of the lesion poses a challenge to the orthopaedic surgeons. We discuss
the staged treatment of hydatid disease of shaft of femur with resection and
cement spacer application followed by reconstruction using massive skeletal
allograft under cover of combination drug chemotherapy.
PMID- 29367379
TI - Rare presentation of AICA syndrome.
AB - We report a rare presentation of an anterior inferior cerebellar artery (AICA)
infarct in a 74-year-old woman with acute-onset nausea, vomiting, vertigo and
gait instability long before the full onset of symptoms and a negative MRI on
admission. Over the next several days the patient developed left facial weakness,
numbness, hypoacusis, and limb and gait ataxia, and was found to have acute
infarcts of the left pons and cerebellar peduncle consistent with an AICA
syndrome. We discuss this rare stepwise presentation in AICA syndrome and
possible underlying pathophysiology. Such patients at risk for cerebrovascular
disease should undergo a careful history, exam and follow-up, even with negative
MRI findings, as their symptoms may precede a serious vascular event.
PMID- 29367380
TI - Post-cholecystectomy partial biliary stricture leading to primary intrahepatic
calculi.
PMID- 29367381
TI - Fulminant myocarditis.
PMID- 29367383
TI - Understanding Pulmonary Stress-Strain Relationships in Severe ARDS and Its
Implications for Designing a Safer Approach to Setting the Ventilator.
AB - This review describes the current understanding of the lungs' response to
deforming stress under conditions of both normal physiology and acute lung
injury. Several limiting assumptions are needed to infer lung parenchymal stress
and strain from airway pressure, volume, and flow data from mechanically
ventilated patients with injured lungs. These assumptions include the effects of
the chest wall on lung-surface pressure, its topographical distribution, and the
effects of non-uniform tissue properties on local parenchymal stresses. In
addition, there is a spectrum of biophysical lung injury mechanisms that involves
normal as well as tangential alveolar wall stresses. To these are added important
secondary effects on pulmonary vascular resistance and right heart function.
Understanding both the assumptions of lung mechanics and the scope of injury
mechanisms operating during ARDS is necessary to interpret the results of
clinical trials that inform prevailing ventilator-management guidelines. The
implications issuing from these 3 topics inform a safer approach to setting and
adjusting the ventilator to minimize the risk of ventilator-induced lung injury.
This is enumerated in a 5-step approach that can be used to guide ventilator
management of unstable patients with severe lung injury.
PMID- 29367382
TI - GPR56/ADGRG1 regulates development and maintenance of peripheral myelin.
AB - Myelin is a multilamellar sheath generated by specialized glia called Schwann
cells (SCs) in the peripheral nervous system (PNS), which serves to protect and
insulate axons for rapid neuronal signaling. In zebrafish and rodent models, we
identify GPR56/ADGRG1 as a conserved regulator of PNS development and health. We
demonstrate that, during SC development, GPR56-dependent RhoA signaling promotes
timely radial sorting of axons. In the mature PNS, GPR56 is localized to distinct
SC cytoplasmic domains, is required to establish proper myelin thickness, and
facilitates organization of the myelin sheath. Furthermore, we define plectin-a
scaffolding protein previously linked to SC domain organization, myelin
maintenance, and a series of disorders termed "plectinopathies"-as a novel
interacting partner of GPR56. Finally, we show that Gpr56 mutants develop
progressive neuropathy-like symptoms, suggesting an underlying mechanism for
peripheral defects in some human patients with GPR56 mutations. In sum, we define
Gpr56 as a new regulator in the development and maintenance of peripheral myelin.
PMID- 29367384
TI - Office Spirometry in Primary Care for the Diagnosis and Management of COPD:
National Lung Health Education Program Update.
AB - The use of office spirometry was recommended by the National Lung Health
Education Program (NLHEP) consensus conference in 1999 for detection and
management of COPD. Since that time, spirometry utilization has increased, but
its role in the diagnosis of COPD is still evolving. This update reviews the role
of spirometry for screening and case finding in COPD as well as for asthma.
Spirometry has been used for disease management in patients with airway
obstruction, with varying results. The diagnostic criteria for COPD using
spirometry have also evolved in the past 17 years, with differences arising
between the Global Initiative for Chronic Obstructive Lung Disease and NLHEP
recommendations. More sophisticated spirometers as well as new reference
equations are widely available. Standardization guidelines from the American
Thoracic Society/European Respiratory Society published in 2005 provide a robust
framework for performing and interpreting spirometry, but clinicians still need
hands-on training and meaningful feedback to perform high-quality spirometry in
the office setting.
PMID- 29367385
TI - Pulmonary Rehabilitation House Calls.
PMID- 29367386
TI - Expanding the Evidence for Aerosol Therapy During Noninvasive Ventilation.
PMID- 29367389
TI - Masking of an auditory behaviour reveals how male mosquitoes use distortion to
detect females.
AB - The mating behaviour of many mosquito species is mediated essentially by sound:
males follow and mate with a female mid-flight by detecting and tracking the
whine of her flight-tones. The stereotypical rapid frequency modulation (RFM)
male behaviour, initiated in response to the detection of the female's flight
tones, has provided a means of investigating these auditory mechanisms while
males are free-flying. Mosquitoes hear with their antennae, which vibrate to near
field acoustic excitation. The antennae generate nonlinear vibrations (distortion
products, DPs) at frequencies that are equal to the difference between the two
simultaneously presented tones, e.g. the male and female flight-tones, which are
detected by mechanoreceptors in the auditory Johnston's organ (JO) at the base of
the antenna. Recent studies indicated the male mosquito's JO is tuned not to the
female flight-tone, but to the frequency difference between the male and female
flight-tones. To test the hypothesis that mosquitoes detect this frequency
difference, Culex quinquefasciatus males were presented simultaneously with a
female flight-tone and a masking tone, which should suppress the male's RFM
response to sound. The free-flight behavioural and in vivo electrophysiological
experiments revealed that acoustic masking suppresses the RFM response to the
female's flight-tones by attenuating the DPs generated in the nonlinear vibration
of the antennae. These findings provide direct evidence in support of the
hypothesis that male mosquitoes detect females when both are in flight through
difference tones generated in the vibrations of their antennae owing to the
interaction between their own flight-tones and those of a female.
PMID- 29367388
TI - Low cigarette consumption and risk of coronary heart disease and stroke: meta
analysis of 141 cohort studies in 55 study reports.
AB - OBJECTIVE: To use the relation between cigarette consumption and cardiovascular
disease to quantify the risk of coronary heart disease and stroke for light
smoking (one to five cigarettes/day). DESIGN: Systematic review and meta
analysis. DATA SOURCES: Medline 1946 to May 2015, with manual searches of
references. ELIGIBILITY CRITERIA FOR SELECTING STUDIES: Prospective cohort
studies with at least 50 events, reporting hazard ratios or relative risks (both
hereafter referred to as relative risk) compared with never smokers or age
specific incidence in relation to risk of coronary heart disease or stroke. DATA
EXTRACTION/SYNTHESIS: MOOSE guidelines were followed. For each study, the
relative risk was estimated for smoking one, five, or 20 cigarettes per day by
using regression modelling between risk and cigarette consumption. Relative risks
were adjusted for at least age and often additional confounders. The main measure
was the excess relative risk for smoking one cigarette per day (RR1_per_day-1)
expressed as a proportion of that for smoking 20 cigarettes per day (RR20_per_day
1), expected to be about 5% assuming a linear relation between risk and
consumption (as seen with lung cancer). The relative risks for one, five, and 20
cigarettes per day were also pooled across all studies in a random effects meta
analysis. Separate analyses were done for each combination of sex and disorder.
RESULTS: The meta-analysis included 55 publications containing 141 cohort
studies. Among men, the pooled relative risk for coronary heart disease was 1.48
for smoking one cigarette per day and 2.04 for 20 cigarettes per day, using all
studies, but 1.74 and 2.27 among studies in which the relative risk had been
adjusted for multiple confounders. Among women, the pooled relative risks were
1.57 and 2.84 for one and 20 cigarettes per day (or 2.19 and 3.95 using relative
risks adjusted for multiple factors). Men who smoked one cigarette per day had
46% of the excess relative risk for smoking 20 cigarettes per day (53% using
relative risks adjusted for multiple factors), and women had 31% of the excess
risk (38% using relative risks adjusted for multiple factors). For stroke, the
pooled relative risks for men were 1.25 and 1.64 for smoking one or 20 cigarettes
per day (1.30 and 1.56 using relative risks adjusted for multiple factors). In
women, the pooled relative risks were 1.31 and 2.16 for smoking one or 20
cigarettes per day (1.46 and 2.42 using relative risks adjusted for multiple
factors). The excess risk for stroke associated with one cigarette per day (in
relation to 20 cigarettes per day) was 41% for men and 34% for women (or 64% and
36% using relative risks adjusted for multiple factors). Relative risks were
generally higher among women than men. CONCLUSIONS: Smoking only about one
cigarette per day carries a risk of developing coronary heart disease and stroke
much greater than expected: around half that for people who smoke 20 per day. No
safe level of smoking exists for cardiovascular disease. Smokers should aim to
quit instead of cutting down to significantly reduce their risk of these two
common major disorders.
PMID- 29367390
TI - Native turncoats and indirect facilitation of species invasions.
AB - At local scales, native species can resist invasion by feeding on and competing
with would-be invasive species. However, this relationship tends to break down or
reverse at larger scales. Here, we consider the role of native species as
indirect facilitators of invasion and their potential role in this diversity
driven 'invasion paradox'. We coin the term 'native turncoats' to describe native
facilitators of non-native species and identify eight ways they may indirectly
facilitate species invasion. Some are commonly documented, while others, such as
indirect interactions within competitive communities, are largely undocumented in
an invasion context. Therefore, we use models to evaluate the likelihood that
these competitive interactions influence invasions. We find that native turncoat
effects increase with the number of resources and native species. Furthermore,
our findings suggest the existence, abundance and effectiveness of native
turncoats in a community could greatly influence invasion success at large
scales.
PMID- 29367391
TI - Brain size affects performance in a reversal-learning test.
AB - It has become increasingly clear that a larger brain can confer cognitive
benefits. Yet not all of the numerous aspects of cognition seem to be affected by
brain size. Recent evidence suggests that some more basic forms of cognition, for
instance colour vision, are not influenced by brain size. We therefore
hypothesize that a larger brain is especially beneficial for distinct and
gradually more complex aspects of cognition. To test this hypothesis, we assessed
the performance of brain size selected female guppies (Poecilia reticulata) in
two distinct aspects of cognition that differ in cognitive complexity. In a
standard reversal-learning test we first investigated basic learning ability with
a colour discrimination test, then reversed the reward contingency to
specifically test for cognitive flexibility. We found that large-brained females
outperformed small-brained females in the reversed-learning part of the test but
not in the colour discrimination part of the test. Large-brained individuals are
hence cognitively more flexible, which probably yields fitness benefits, as they
may adapt more quickly to social and/or ecological cognitive challenges. Our
results also suggest that a larger brain becomes especially advantageous with
increasing cognitive complexity. These findings corroborate the significance of
brain size for cognitive evolution.
PMID- 29367392
TI - Evidence that fertility trades off with early offspring fitness as males age.
AB - Models of ageing predict that sperm function and fertility should decline with
age as sperm are exposed to free radical damage and mutation accumulation.
However, theory also suggests that mating with older males should be beneficial
for females because survival to old age is a demonstration of a male's high
genetic and/or phenotypic quality. Consequently, declines in sperm fitness may be
offset by indirect fitness benefits exhibited in offspring. While numerous
studies have investigated age-based declines in male fertility, none has taken
the integrated approach of studying age-based effects on both male fertility and
offspring fitness. Here, using a cohort-based longitudinal study of zebrafish
(Danio rerio), we report a decline in male mating success and fertility with male
age but also compensating indirect benefits. Using in vitro fertilization, we
show that offspring from older males exhibit superior early survival compared to
those from their youngest counterparts. These findings suggest that the high
offspring fitness observed for the subset of males that survive to an old age
(approx. 51% in this study) may represent compensating benefits for declining
fertility with age, thus challenging widely held views about the fitness costs of
mating with older males.
PMID- 29367394
TI - How animals follow the stars.
AB - Throughout history, the stars have provided humans with ever more information
about our world, enabling increasingly accurate systems of navigation in addition
to fuelling some of the greatest scientific controversies. What information
animals have evolved to extract from a starry sky and how they do so, is a topic
of study that combines the practical and theoretical challenges faced by both
astronomers and field biologists. While a number of animal species have been
demonstrated to use the stars as a source of directional information, the
strategies that these animals use to convert this complex and variable pattern of
dim-light points into a reliable 'stellar orientation' cue have been more
difficult to ascertain. In this review, we assess the stars as a visual stimulus
that conveys directional information, and compare the bodies of evidence
available for the different stellar orientation strategies proposed to date. In
this context, we also introduce new technologies that may aid in the study of
stellar orientation, and suggest how field experiments may be used to
characterize the mechanisms underlying stellar orientation.
PMID- 29367393
TI - Species delimitation for the molecular taxonomy and ecology of the widely
distributed microbial eukaryote genus Euplotes (Alveolata, Ciliophora).
AB - Recent advances in high-throughput sequencing and metabarcoding technologies are
revolutionizing our understanding of the diversity and ecology of microbial
eukaryotes (protists). The interpretation of protist diversity and the
elucidation of their ecosystem function are, however, impeded by problems with
species delimitation, especially as it applies to molecular taxonomy. Here, using
the ciliate Euplotes as an example, we describe approaches for species
delimitation based on integrative taxonomy by using evolutionary and ecological
perspectives and selecting the most appropriate metabarcoding gene markers as
proxies for species units. Our analyses show that: Euplotes (sensu lato)
comprises six distinct clades, mainly as result of ecological speciation; the
validity of the genera Euplotes (sensu stricto), Euplotoides, Euplotopsis and
Moneuplotes are not supported; the vannus-type group, which includes species
without distinct morphological differences, seems to be undergoing incipient
speciation and contains cryptic species; the hypervariable V4 region of the small
subunit rDNA and D1-D2 region of the large subunit rDNA are the promising
candidates for general species delimitation in Euplotes.
PMID- 29367395
TI - Simple decision rules underlie collaborative hunting in yellow saddle goatfish.
AB - Collaborative hunting, the coordination of animal behaviour in space and time to
capture prey, is reported in several vertebrate species. However, previous
studies are observational, hampering our ability to identify individual decision
rules that result in collaboration. We experimentally investigated collaborative
hunting in yellow saddle goatfish (Parupeneus cyclostomus) by exposing pairs to a
mock prey that fled to an artificial shelter with multiple entrances. The first
fish to initiate the chase (the 'initiator') was always closest to the prey and
pursued it directly in its path. Conversely, the behaviour of the second goatfish
(the 'follower') depended on its spatial position relative to the initiator. When
the follower was less than one body length behind the initiator, it also
accelerated directly towards the prey in over 95% of cases. However, if the two
goatfish were separated by a distance of one body length or more, the follower
chose a less direct route to reach the prey in 87% of cases. In this scenario,
the follower often reached the prey's more distant refuge first, which might
increase its hunting success or block the prey's escape path under natural
conditions. Our findings suggest that coordinated hunting behaviour can result
from simple, self-serving decisions.
PMID- 29367396
TI - Trust your gut: using physiological states as a source of information is almost
as effective as optimal Bayesian learning.
AB - Approaches to understanding adaptive behaviour often assume that animals have
perfect information about environmental conditions or are capable of
sophisticated learning. If such learning abilities are costly, however, natural
selection will favour simpler mechanisms for controlling behaviour when faced
with uncertain conditions. Here, we show that, in a foraging context, a strategy
based only on current energy reserves often performs almost as well as a Bayesian
learning strategy that integrates all previous experiences to form an optimal
estimate of environmental conditions. We find that Bayesian learning gives a
strong advantage only if fluctuations in the food supply are very strong and
reasonably frequent. The performance of both the Bayesian and the reserve-based
strategy are more robust to inaccurate knowledge of the temporal pattern of
environmental conditions than a strategy that has perfect knowledge about current
conditions. Studies assuming Bayesian learning are often accused of being
unrealistic; our results suggest that animals can achieve a similar level of
performance to Bayesians using much simpler mechanisms based on their
physiological state. More broadly, our work suggests that the ability to use
internal states as a source of information about recent environmental conditions
will have weakened selection for sophisticated learning and decision-making
systems.
PMID- 29367397
TI - Simpler grammar, larger vocabulary: How population size affects language.
AB - Languages with many speakers tend to be structurally simple while small
communities sometimes develop languages with great structural complexity.
Paradoxically, the opposite pattern appears to be observed for non-structural
properties of language such as vocabulary size. These apparently opposite
patterns pose a challenge for theories of language change and evolution. We use
computational simulations to show that this inverse pattern can depend on a
single factor: ease of diffusion through the population. A population of
interacting agents was arranged on a network, passing linguistic conventions to
one another along network links. Agents can invent new conventions, or replicate
conventions that they have previously generated themselves or learned from other
agents. Linguistic conventions are either Easy or Hard to diffuse, depending on
how many times an agent needs to encounter a convention to learn it. In large
groups, only linguistic conventions that are easy to learn, such as words, tend
to proliferate, whereas small groups where everyone talks to everyone else allow
for more complex conventions, like grammatical regularities, to be maintained.
Our simulations thus suggest that language, and possibly other aspects of
culture, may become simpler at the structural level as our world becomes
increasingly interconnected.
PMID- 29367398
TI - Whole-body photoreceptor networks are independent of 'lenses' in brittle stars.
AB - Photoreception and vision are fundamental aspects of animal sensory biology and
ecology, but important gaps remain in our understanding of these processes in
many species. The colour-changing brittle star Ophiocoma wendtii is iconic in
vision research, speculatively possessing a unique whole-body visual system that
incorporates information from nerve bundles underlying thousands of crystalline
'microlenses'. The hypothesis that these might form a sophisticated compound eye
like system regulated by chromatophores has been extensively reiterated, with
investigations into biomimetic optics and similar supposedly 'visual' structures
in living and fossil taxa. However, no photoreceptors or visual behaviours have
ever been identified. We present the first evidence of photoreceptor networks in
three Ophiocoma species, both with and without microlenses and colour-changing
behaviour. High-resolution microscopy, immunohistochemistry and synchrotron
tomography demonstrate that putative photoreceptors cover the animals' oral,
lateral and aboral surfaces, but are absent at the hypothesized focal points of
the microlenses. The structural optics of these crystal 'lenses' are an
exaptation and do not fulfil any apparent visual role. This contradicts previous
studies, yet the photoreceptor network in Ophiocoma appears even more widespread
than previously anticipated, both taxonomically and anatomically.
PMID- 29367399
TI - Cooperative defence operates by social modulation of biogenic amine levels in the
honey bee brain.
AB - The defence of a society often requires that some specialized members coordinate
to repel a threat at personal risk. This is especially true for honey bee guards,
which defend the hive and may sacrifice their lives upon stinging. Central to
this cooperative defensive response is the sting alarm pheromone, which has
isoamyl acetate (IAA) as its main component. Although this defensive behaviour
has been well described, the neural mechanisms triggered by IAA to coordinate
stinging have long remained unknown. Here we show that IAA upregulates brain
levels of serotonin and dopamine, thereby increasing the likelihood of an
individual bee to attack and sting. Pharmacological enhancement of the levels of
both amines induces higher defensive responsiveness, while decreasing them via
antagonists decreases stinging. Our results thus uncover the neural mechanism by
which an alarm pheromone recruits individuals to attack and repel a threat, and
suggest that the alarm pheromone of honey bees acts on their response threshold
rather than as a direct trigger.
PMID- 29367400
TI - Prevalence of disruptive selection predicts extent of species differentiation in
Lake Victoria cichlids.
AB - Theory suggests that speciation with gene flow is most likely when both sexual
and ecological selection are divergent or disruptive. Divergent sexual and
natural selection on the visual system have been demonstrated before in
sympatric, morphologically similar sister species of Lake Victoria cichlids, but
this does not explain the subtle morphological differences between them. To
investigate the significance of natural selection on morphology during
speciation, we here ask whether the prevalence of disruptive ecological selection
differs between sympatric sister species that are at different stages of
speciation. Some of our species pairs do (Pundamilia) and others do not
(Neochromis) differ distinctively in sexually selected male nuptial coloration.
We find that (i) evidence for disruptive selection, and for evolutionary response
to it, is prevalent in traits that are differentiated between sister species;
(ii) prevalence of both predicts the extent of genetic differentiation; and (iii)
genetic differentiation is weaker in species pairs with conserved male nuptial
coloration. Our results speak to the existence of two different mechanisms of
speciation with gene flow: speciation mainly by sexual selection tightly followed
by ecological character displacement in some cases and speciation mainly by
divergent ecological selection in others.
PMID- 29367401
TI - Phanerozoic pO2 and the early evolution of terrestrial animals.
AB - Concurrent gaps in the Late Devonian/Mississippian fossil records of insects and
tetrapods (i.e. Romer's Gap) have been attributed to physiological suppression by
low atmospheric pO2 Here, updated stable isotope inputs inform a reconstruction
of Phanerozoic oxygen levels that contradicts the low oxygen hypothesis (and
contradicts the purported role of oxygen in the evolution of gigantic insects
during the late Palaeozoic), but reconciles isotope-based calculations with other
proxies, like charcoal. Furthermore, statistical analysis demonstrates that the
gap between the first Devonian insect and earliest diverse insect assemblages of
the Pennsylvanian (Bashkirian Stage) requires no special explanation if insects
were neither diverse nor abundant prior to the evolution of wings. Rather than
tracking physiological constraint, the fossil record may accurately record the
transformative evolutionary impact of insect flight.
PMID- 29367402
TI - Social brain volume is associated with in-degree social network size among older
adults.
AB - The social brain hypothesis proposes that large neocortex size evolved to support
cognitively demanding social interactions. Accordingly, previous studies have
observed that larger orbitofrontal and amygdala structures predict the size of an
individual's social network. However, it remains uncertain how an individual's
social connectedness reported by other people is associated with the social brain
volume. In this study, we found that a greater in-degree network size, a measure
of social ties identified by a subject's social connections rather than by the
subject, significantly correlated with a larger regional volume of the
orbitofrontal cortex, dorsomedial prefrontal cortex and lingual gyrus. By
contrast, out-degree size, which is based on an individual's self-perceived
connectedness, showed no associations. Meta-analytic reverse inference further
revealed that regional volume pattern of in-degree size was specifically involved
in social inference ability. These findings were possible because our dataset
contained the social networks of an entire village, i.e. a global network. The
results suggest that the in-degree aspect of social network size not only
confirms the previously reported brain correlates of the social network but also
shows an association in brain regions involved in the ability to infer other
people's minds. This study provides insight into understanding how the social
brain is uniquely associated with sociocentric measures derived from a global
network.
PMID- 29367403
TI - A Perfect Match Genomic Landscape Provides a Unified Framework for the Precise
Detection of Variation in Natural and Synthetic Haploid Genomes.
AB - We present a conceptually simple, sensitive, precise, and essentially
nonstatistical solution for the analysis of genome variation in haploid
organisms. The generation of a Perfect Match Genomic Landscape (PMGL), which
computes intergenome identity with single nucleotide resolution, reveals
signatures of variation wherever a query genome differs from a reference genome.
Such signatures encode the precise location of different types of variants,
including single nucleotide variants, deletions, insertions, and amplifications,
effectively introducing the concept of a general signature of variation. The
precise nature of variants is then resolved through the generation of targeted
alignments between specific sets of sequence reads and known regions of the
reference genome. Thus, the perfect match logic decouples the identification of
the location of variants from the characterization of their nature, providing a
unified framework for the detection of genome variation. We assessed the
performance of the PMGL strategy via simulation experiments. We determined the
variation profiles of natural genomes and of a synthetic chromosome, both in the
context of haploid yeast strains. Our approach uncovered variants that have
previously escaped detection. Moreover, our strategy is ideally suited for
further refining high-quality reference genomes. The source codes for the
automated PMGL pipeline have been deposited in a public repository.
PMID- 29367404
TI - Loss of eIF4E Phosphorylation Engenders Depression-like Behaviors via Selective
mRNA Translation.
AB - The MAPK/ERK (mitogen-activated protein kinases/extracellular signal-regulated
kinase) pathway is a cardinal regulator of synaptic plasticity, learning, and
memory in the hippocampus. One of major endpoints of this signaling cascade is
the 5' mRNA cap binding protein eIF4E (eukaryotic Initiation Factor 4E), which is
phosphorylated on Ser 209 by MNK (MAPK-interacting protein kinases) and controls
mRNA translation. The precise role of phospho-eIF4E in the brain is yet to be
determined. Herein, we demonstrate that ablation of eIF4E phosphorylation in male
mice (4Eki mice) does not impair long-term spatial or contextual fear memory, or
the late phase of LTP. Using unbiased translational profiling in mouse brain, we
show that phospho-eIF4E differentially regulates the translation of a subset of
mRNAs linked to inflammation, the extracellular matrix, pituitary hormones, and
the serotonin pathway. Consequently, 4Eki male mice display exaggerated
inflammatory responses and reduced levels of serotonin, concomitant with
depression and anxiety-like behaviors. Remarkably, eIF4E phosphorylation is
required for the chronic antidepressant action of the selective serotonin
reuptake inhibitor fluoxetine. Finally, we propose a novel phospho-eIF4E
dependent translational control mechanism in the brain, via the GAIT complex
(gamma IFN activated inhibitor of translation). In summary, our work proposes a
novel translational control mechanism involved in the regulation of inflammation
and depression, which could be exploited to design novel
therapeutics.SIGNIFICANCE STATEMENT We demonstrate that downstream of the MAPK
(mitogen-activated protein kinase) pathway, eukaryotic Initiation Factor 4E
(eIF4E) Ser209 phosphorylation is not required for classical forms of hippocampal
LTP and memory. We reveal a novel role for eIF4E phosphorylation in inflammatory
responses and depression-like behaviors. eIF4E phosphorylation is required for
the chronic action of antidepressants, such as fluoxetine in mice. These
phenotypes are accompanied by selective translation of extracellular matrix,
pituitary hormones, and serotonin pathway genes, in eIF4E phospho-mutant mice. We
also describe a previously unidentified translational control mechanism in the
brain, whereby eIF4E phosphorylation is required for inhibiting the translation
of gamma IFN activated inhibitor of translation element-containing mRNAs. These
findings can be used to design novel therapeutics for depression.
PMID- 29367405
TI - Diversity of Internal Sensory Neuron Axon Projection Patterns Is Controlled by
the POU-Domain Protein Pdm3 in Drosophila Larvae.
AB - Internal sensory neurons innervate body organs and provide information about
internal state to the CNS to maintain physiological homeostasis. Despite their
conservation across species, the anatomy, circuitry, and development of internal
sensory systems are still relatively poorly understood. A largely unstudied
population of larval Drosophila sensory neurons, termed tracheal dendrite (td)
neurons, innervate internal respiratory organs and may serve as a model for
understanding the sensing of internal states. Here, we characterize the
peripheral anatomy, central axon projection, and diversity of td sensory neurons.
We provide evidence for prominent expression of specific gustatory receptor genes
in distinct populations of td neurons, suggesting novel chemosensory functions.
We identify two anatomically distinct classes of td neurons. The axons of one
class project to the subesophageal zone (SEZ) in the brain, whereas the other
terminates in the ventral nerve cord (VNC). We identify expression and a
developmental role of the POU-homeodomain transcription factor Pdm3 in regulating
the axon extension and terminal targeting of SEZ-projecting td neurons.
Remarkably, ectopic Pdm3 expression is alone sufficient to switch VNC-targeting
axons to SEZ targets, and to induce the formation of putative synapses in these
ectopic target zones. Our data thus define distinct classes of td neurons, and
identify a molecular factor that contributes to diversification of axon
targeting. These results introduce a tractable model to elucidate molecular and
circuit mechanisms underlying sensory processing of internal body status and
physiological homeostasis.SIGNIFICANCE STATEMENT How interoceptive sensory
circuits develop, including how sensory neurons diversify and target distinct
central regions, is still poorly understood, despite the importance of these
sensory systems for maintaining physiological homeostasis. Here, we characterize
classes of Drosophila internal sensory neurons (td neurons) and uncover diverse
axonal projections and expression of chemosensory receptor genes. We categorize
td neurons into two classes based on dichotomous axon target regions, and
identify the expression and role of the transcription factor Pdm3 in mediating td
axon targeting to one of these target regions. Our results provide an entry point
into studying internal sensory circuit development and function, and establish
Pdm3 as a regulator of interoceptive axon targeting.
PMID- 29367406
TI - Cortical Neural Activity Predicts Sensory Acuity Under Optogenetic Manipulation.
AB - Excitatory and inhibitory neurons in the mammalian sensory cortex form
interconnected circuits that control cortical stimulus selectivity and sensory
acuity. Theoretical studies have predicted that suppression of inhibition in such
excitatory-inhibitory networks can lead to either an increase or, paradoxically,
a decrease in excitatory neuronal firing, with consequent effects on stimulus
selectivity. We tested whether modulation of inhibition or excitation in the
auditory cortex of male mice could evoke such a variety of effects in tone-evoked
responses and in behavioral frequency discrimination acuity. We found that,
indeed, the effects of optogenetic manipulation on stimulus selectivity and
behavior varied in both magnitude and sign across subjects, possibly reflecting
differences in circuitry or expression of optogenetic factors. Changes in neural
population responses consistently predicted behavioral changes for individuals
separately, including improvement and impairment in acuity. This correlation
between cortical and behavioral change demonstrates that, despite the complex and
varied effects that these manipulations can have on neuronal dynamics, the
resulting changes in cortical activity account for accompanying changes in
behavioral acuity.SIGNIFICANCE STATEMENT Excitatory and inhibitory interactions
determine stimulus specificity and tuning in sensory cortex, thereby controlling
perceptual discrimination acuity. Modeling has predicted that suppressing the
activity of inhibitory neurons can lead to increased or, paradoxically, decreased
excitatory activity depending on the architecture of the network. Here, we
capitalized on differences between subjects to test whether
suppressing/activating inhibition and excitation can in fact exhibit such
paradoxical effects for both stimulus sensitivity and behavioral
discriminability. Indeed, the same optogenetic manipulation in the auditory
cortex of different mice could improve or impair frequency discrimination acuity,
predictable from the effects on cortical responses to tones. The same
manipulations sometimes produced opposite changes in the behavior of different
individuals, supporting theoretical predictions for inhibition-stabilized
networks.
PMID- 29367408
TI - Physiology of the lung in idiopathic pulmonary fibrosis.
AB - The clinical expression of idiopathic pulmonary fibrosis (IPF) is directly
related to multiple alterations in lung function. These alterations derive from a
complex disease process affecting all compartments of the lower respiratory
system, from the conducting airways to the lung vasculature. In this article we
review the profound alterations in lung mechanics (reduced lung compliance and
lung volumes), pulmonary gas exchange (reduced diffusing capacity, increased dead
space ventilation, chronic arterial hypoxaemia) and airway physiology (increased
cough reflex and increased airway volume), as well as pulmonary haemodynamics
related to IPF. The relative contribution of these alterations to exertional
limitation and dyspnoea in IPF is discussed.
PMID- 29367407
TI - An Interaction between Serotonin Receptor Signaling and Dopamine Enhances Goal
Directed Vigor and Persistence in Mice.
AB - The functionally selective 5-HT2C receptor ligand SB242084 can increase
motivation and have rapid onset anti-depressant-like effects. We sought to
identify the specific behavioral effects of SB242084 treatment and elucidate the
mechanism in female and male mice. Using a quantitative behavioral approach, we
determined that SB242084 increases the vigor and persistence of goal-directed
activity across different types of physical work, particularly when work
requirements are demanding. We found this influence of SB242084 on effort, rather
than reward to be reflected in striatal DA measured during behavior. Using in
vivo fast scan cyclic voltammetry, we found that SB242084 has no effect on reward
related phasic DA release in the NAc. Using in vivo microdialysis to measure
tonic changes in extracellular DA, we also found no changes in the NAc. In
contrast, SB242084 treatment increases extracellular DA in the dorsomedial
striatum, an area that plays a key role in response vigor. These findings have
several implications. At the behavioral level, this work shows that the capacity
to work in demanding situations can be increased, without a generalized increase
in motor activity or reward value. At the circuit level, we identified a pathway
restricted potentiation of DA release and showed that this was the reason for the
increased response vigor. At the cellular level, we show that a specific
serotonin receptor cross talks to the DA system. Together, this information
provides promise for the development of treatments for apathy, a serious clinical
condition that can afflict patients with psychiatric and neurological
disorders.SIGNIFICANCE STATEMENT Motivated behaviors are modulated by reward
value, effort demands, and cost-benefit computations. This information drives the
decision to act, which action to select, and the intensity with which the
selected action is performed. Because these behavioral processes are all
regulated by DA signaling, it is very difficult to influence selected aspects of
motivated behavior without affecting others. Here we identify a pharmacological
treatment that increases the vigor and persistence of responding in mice, without
increasing generalized activity or changing reactions to rewards. We show that
the 5-HT2C-selective ligand boosts motivation by potentiating activity-dependent
DA release in the dorsomedial striatum. These results reveal a novel strategy for
treating patients with motivational deficits, avolition, or apathy.
PMID- 29367409
TI - Pulmonary vascular development in congenital diaphragmatic hernia.
AB - Congenital diaphragmatic hernia (CDH) is a rare congenital anomaly characterised
by a diaphragmatic defect, persistent pulmonary hypertension (PH) and lung
hypoplasia. The relative contribution of these three elements can vary
considerably in individual patients. Most affected children suffer primarily from
the associated PH, for which the therapeutic modalities are limited and
frequently not evidence based. The vascular defects associated with PH, which is
characterised by increased muscularisation of arterioles and capillaries, start
to develop early in gestation. Pulmonary vascular development is integrated with
the development of the airway epithelium. Although our knowledge is still
incomplete, the processes involved in the growth and expansion of the vasculature
are beginning to be unravelled. It is clear that early disturbances of this
process lead to major pulmonary growth abnormalities, resulting in serious
clinical challenges and in many cases death in the newborn. Here we provide an
overview of the current molecular pathways involved in pulmonary vascular
development. Moreover, we describe the abnormalities associated with CDH and the
potential therapeutic approaches for this severe abnormality.
PMID- 29367410
TI - Impact of the financial crisis on COPD burden: Greece as a case study.
AB - Poverty and low socioeconomic status have been associated with chronic
obstructive pulmonary disease (COPD). The current financial crisis has forced
millions back into poverty. Greece is one of the countries hit the hardest, and
is in the middle of a deep ongoing collapse. There have been early reports
stating the apparent effects of the Greek downturn on respiratory health. This
review summarises the overall impact of the financial crisis on COPD burden
throughout the period of economic downturn by analysing the case study of Greece.
In all levels of the healthcare system, current economic restrictions have
reduced the capacity to prevent, diagnose and treat COPD in parallel with current
higher detection rates of COPD. Remarkably, expenditure on healthcare has been
reduced by >25%, resulting in major healthcare equipment shortages. Lower wages
(by up to 20%) and higher co-payments of up to 25% of a drug's purchase price
have led to patients struggling to afford inhaled medications. Treatment
nonadherence has been reported, resulting in 11.5% more exacerbations and 14.1%
more hospitalisations annually, while the mean cost per severe COPD exacerbation
has been approximated as ?2600. Greece is a noteworthy example illustrating how
COPD burden, quality of care and patients' outcome can be affected by economic
crisis.
PMID- 29367411
TI - ARDS: challenges in patient care and frontiers in research.
AB - This review discusses the clinical challenges associated with ventilatory support
and pharmacological interventions in patients with acute respiratory distress
syndrome (ARDS). In addition, it discusses current scientific challenges facing
researchers when planning and performing trials of ventilatory support or
pharmacological interventions in these patients.Noninvasive mechanical
ventilation is used in some patients with ARDS. When intubated and mechanically
ventilated, ARDS patients should be ventilated with low tidal volumes. A plateau
pressure <30 cmH2O is recommended in all patients. It is suggested that a plateau
pressure <15 cmH2O should be considered safe. Patient with moderate and severe
ARDS should receive higher levels of positive end-expiratory pressure (PEEP).
Rescue therapies include prone position and neuromuscular blocking agents.
Extracorporeal support for decapneisation and oxygenation should only be
considered when lung-protective ventilation is no longer possible, or in cases of
refractory hypoxaemia, respectively. Tracheotomy is only recommended when
prolonged mechanical ventilation is expected.Of all tested pharmacological
interventions for ARDS, only treatment with steroids is considered to have
benefit.Proper identification of phenotypes, known to respond differently to
specific interventions, is increasingly considered important for clinical trials
of interventions for ARDS. Such phenotypes could be defined based on clinical
parameters, such as the arterial oxygen tension/inspiratory oxygen fraction
ratio, but biological marker profiles could be more promising.
PMID- 29367412
TI - "Metabolic dysfunction in pulmonary hypertension: from basic science to clinical
practice." Stephen Y. Chan and Lewis J. Rubin. Eur Respir Rev 2017; 26: 170094.
PMID- 29367413
TI - High glucose contributes to the proliferation and migration of non-small cell
lung cancer cells via GAS5-TRIB3 axis.
AB - Despite the growing number of studies exhibited an association of diabetes
mellitus (DM) and lung cancer progression, the concrete mechanism of DM
aggravating lung cancer has not been elucidated. This study was to investigate
whether and how high glucose (HG) contribute to the proliferation and migration
of non-small cell lung cancer (NSCLC) cells in vitro. In the present study, we
confirmed that HG promoted the proliferation and migration of NSCLC cells, and
also induced an anti-apoptosis effect on NSCLC cells. Moreover, HG inhibited the
expression of GAS5 in NSCLC cells but elevated the protein level of TRIB3. GAS5
overexpression promoted the degradation of TRIB3 protein by ubiquitination and
inhibited the HG induced-proliferation, anti-apoptosis and migration of NSCLC
cells. Importantly, TRIB3 overexpression reversed the effects of GAS5 on the HG
treated NSCLC cells. Taken together, down-regulated GAS5 by HG significantly
enhanced the proliferation, anti-apoptosis and migration in NSCLC cells through
TRIB3, thus promoting the carcinogenesis of NSCLC.
PMID- 29367414
TI - Plastid translation is essential for lateral root stem cell patterning in
Arabidopsis thaliana.
AB - The plastid evolved from a symbiotic cyanobacterial ancestor and is an essential
organelle for plant life, but its developmental roles in roots have been largely
overlooked. Here, we show that plastid translation is connected to the stem cell
patterning in lateral root primordia. The RFC3 gene encodes a plastid-localized
protein that is a conserved bacterial ribosomal protein S6 of beta/gamma
proteobacterial origin. The rfc3 mutant developed lateral roots with disrupted
stem cell patterning and associated with decreased leaf photosynthetic activity,
reduced accumulation of plastid rRNAs in roots, altered root plastid gene
expression, and changes in expression of several root stem cell regulators. These
results suggest that deficiencies in plastid function affect lateral root stem
cells. Treatment with the plastid translation inhibitor spectinomycin phenocopied
the defective stem cell patterning in lateral roots and altered plastid gene
expression observed in the rfc3 mutant. Additionally, when prps17 defective in a
plastid ribosomal protein was treated with low concentrations of spectinomycin,
it also phenocopied the lateral root phenotypes of rfc3 The spectinomycin
treatment and rfc3 mutation also negatively affected symplasmic connectivity
between primary root and lateral root primordia. This study highlights previously
unrecognized functions of plastid translation in the stem cell patterning in
lateral roots.
PMID- 29367415
TI - Recombinant Human ADAMTS13 Treatment Improves Myocardial Remodeling and
Functionality After Pressure Overload Injury in Mice.
AB - BACKGROUND: A disintegrin-like metalloproteinase with thrombospondin motif type 1
member 13 (ADAMTS13), the von Willebrand factor-cleaving enzyme, decreases
leukocyte and platelet recruitment and, thus, reduces thrombosis and
inflammation. Recombinant human ADAMTS13 (rhADAMTS13) is a novel drug candidate
for ischemia/reperfusion injury and has shown short-term benefits in mouse models
of myocardial injury, but long-term outcome has not been investigated. METHODS
AND RESULTS: We evaluated the impact of rhADAMTS13 on cardiac remodeling,
scarring, and contractile function, under chronic left ventricular pressure
overload. The role of von Willebrand factor and the effect of rhADAMTS13
treatment were studied. This model of heart failure, based on ascending aortic
constriction, produces a coronary inflammatory response and microvascular
dysfunction, resulting in fibrotic remodeling and cardiac failure. Mice were
treated with either rhADAMTS13 or vehicle and assessed for coronary vascular
inflammation and ventricular function at several postsurgical time points, as
well as for cardiac fibrosis after 4 weeks. Early upon induction of pressure
overload under rhADAMTS13 treatment, we detected less endothelial-lumen
associated von Willebrand factor, fewer platelet aggregates, and decreased
activated transforming growth factor-beta1 levels than in vehicle-treated mice.
We observed significant preservation of cardiac function and decrease in fibrotic
remodeling as a result of rhADAMTS13 administration. CONCLUSIONS: Herein, we show
that rhADAMTS13 decreases coronary vascular dysfunction and improves cardiac
remodeling after left ventricular pressure overload in mice. We propose that this
effect may, at least in part, be the result of decreased von Willebrand factor
mediated recruitment of platelets, a major source of the activated profibrotic
cytokine transforming growth factor-beta1. Our study further supports the
therapeutic potential of rhADAMTS13 for conditions characterized by inflammatory
cardiac damage that results in fibrosis.
PMID- 29367416
TI - Reduced Long-Term Risk of Aortic Aneurysm and Aortic Dissection Among Individuals
With Type 2 Diabetes Mellitus: A Nationwide Observational Study.
AB - BACKGROUND: No studies have examined long-term risks for aortic aneurysm (AA) and
aortic dissection (AD) or mortality after AA or AD hospitalization among patients
with type 2 diabetes mellitus (T2DM). METHODS AND RESULTS: In this observational
cohort study, we linked data for patients with T2DM in the Swedish National
Diabetes Register, and 5 individually matched population-based control subjects
(CSs) without diabetes mellitus (on the basis of sex, age, and county), to other
national databases to capture hospitalizations and death. We examined the risk of
hospitalization for AA and AD, as well as mortality risk after AA and AD using
Kaplan-Meier curves and Cox regression hazards models. Data on 448 319 patients
with T2DM and 2 251 015 CSs were obtained between 1998 and 2015. Mean follow-up
time was 7.0 years for the T2DM group and 7.2 years for the CS group. Patients
with T2DM had a relative risk reduction of 28% (hazard ratio, 0.72; 95%
confidence interval, 0.68-0.76; P<0.0001) for AA and a 47% relative risk
reduction (hazard ratio, 0.53; 95% confidence interval, 0.42-0.65; P<0.0001) for
AD compared with CSs. Patients with T2DM had a relative risk reduction of 12%
(hazard ratio, 0.88; 95% confidence interval, 0.82-0.94; P<0.0001) for mortality
after hospitalization for AA, and unaltered risk (hazard ratio, 1.07; 95%
confidence interval, 0.85-1.34; P=0.5859) for mortality after AD, up to 2 years
compared with CSs. CONCLUSIONS: Patients with T2DM had significantly reduced
risks of AA and AD as well as reduced risk of mortality after hospitalization for
AA, compared to CS. Data suggest that glycated cross-links in aortic tissue may
play a protective role in the progression of aortic diseases among patients with
T2DM.
PMID- 29367417
TI - Comparative Cardiovascular Risk of Abatacept and Tumor Necrosis Factor Inhibitors
in Patients With Rheumatoid Arthritis With and Without Diabetes Mellitus: A
Multidatabase Cohort Study.
AB - BACKGROUND: We examined the cardiovascular risk of abatacept compared with tumor
necrosis factor (TNF) inhibitors in patients with rheumatoid arthritis with and
without diabetes mellitus (DM). METHODS AND RESULTS: We conducted a cohort study
of patients with rheumatoid arthritis who newly started abatacept or TNF
inhibitors using claims data from Medicare and MarketScan. The primary outcome
was a composite cardiovascular end point of myocardial infarction (MI),
stroke/transient ischemic attack, and coronary revascularization. To account for
>60 baseline characteristics, abatacept initiators were 1:1 propensity score (PS)
matched to TNF initiators in each database. Cox proportional hazards models
estimated hazard ratio (HR) and 95% confidence interval (CI) in the PS-matched
cohort per database. A fixed-effects meta-analysis pooled database-specific HRs.
We included a total of 13 039 PS-matched pairs of abatacept and TNF inhibitor
initiators (6103 pairs in Medicare and 6936 pairs in MarketScan). A total of
34.7% in Medicare and 19.8% in MarketScan had baseline DM. The HR (95% CI) for
the primary outcome associated with abatacept use versus TNF inhibitor was 0.81
(0.66-0.99) in Medicare and 0.95 (0.74-1.23) in MarketScan, with a pooled HR of
0.86 (95% CI, 0.73-1.01; P=0.3 for heterogeneity). The risk of the primary
outcome was lower in abatacept initiators versus TNF inhibitors in the DM
subgroup, with a pooled HR of 0.74 (95% CI, 0.57-0.96; P=0.7 for heterogeneity),
but not in the non-DM subgroup, with a pooled HR of 0.94 (95% CI, 0.77-1.14;
P=0.4 for heterogeneity). CONCLUSIONS: In this large population-based cohort of
patients with rheumatoid arthritis, abatacept use appeared to be associated with
a modestly reduced cardiovascular risk when compared with TNF inhibitor use,
particularly in patients with DM.
PMID- 29367418
TI - Reply to Raison and Raichlen: Why does nutrition impact social decision making?
PMID- 29367419
TI - An evolutionary perspective on nutrition and social decision making.
PMID- 29367420
TI - Engineered bio-inspired coating for passive flow control.
AB - Flow separation and vortex shedding are some of the most common phenomena
experienced by bluff bodies under relative motion with the surrounding medium.
They often result in a recirculation bubble in regions with adverse pressure
gradient, which typically reduces efficiency in vehicles and increases loading on
structures. Here, the ability of an engineered coating to manipulate the large
scale recirculation region was tested in a separated flow at moderate momentum
thickness Reynolds number, [Formula: see text] We show that the coating, composed
of uniformly distributed cylindrical pillars with diverging tips, successfully
reduces the size of, and shifts downstream, the separation bubble. Despite the so
called roughness parameter, [Formula: see text], falling within the hydrodynamic
smooth regime, the coating is able to modulate the large-scale recirculating
motion. Remarkably, this modulation does not induce noticeable changes in the
near-wall turbulence levels. Supported with experimental data and theoretical
arguments based on the averaged equations of motion, we suggest that the inherent
mechanism responsible for the bubble modulation is essentially unsteady suction
and blowing controlled by the increasing cross-section of the tips. The coating
can be easily fabricated and installed and works under dry and wet conditions,
increasing its potential impact on a diverse range of applications.
PMID- 29367421
TI - BARD1 is necessary for ubiquitylation of nucleosomal histone H2A and for
transcriptional regulation of estrogen metabolism genes.
AB - Missense mutations that disrupt the RING domain of the tumor suppressor gene
BRCA1 lead to increased risk of breast and ovarian cancer. The BRCA1 RING domain
is a ubiquitin ligase, whose structure and function rely critically on forming a
heterodimer with BARD1, which also harbors a RING domain. The function of the
BARD1 RING domain is unknown. In families severely affected with breast cancer,
we identified inherited BARD1 missense mutations Cys53Trp, Cys71Tyr, and Cys83Arg
that alter three zinc-binding residues of the BARD1 RING domain. Each of these
mutant BARD1 proteins retained the ability to form heterodimeric complexes with
BRCA1 to make an active ubiquitin ligase, but the mutant BRCA1/BARD1 complexes
were deficient in binding to nucleosomes and in ubiquitylating histone H2A. The
BARD1 mutations also caused loss of transcriptional repression of BRCA1-regulated
estrogen metabolism genes CYP1A1 and CYP3A4; breast epithelial cells edited to
create heterozygous loss of BARD1 showed significantly higher expression of
CYP1A1 and CYP3A4 Reintroduction of wild-type BARD1 into these cells restored
CYP1A1 and CYP3A4 transcription to normal levels, but introduction of the cancer
predisposing BARD1 RING mutants failed to do so. These results indicate that an
intact BARD1 RING domain is critical to BRCA1/BARD1 binding to nucleosomes and
hence to ubiquitylation of histone H2A and also critical to transcriptional
repression of BRCA1-regulated genes active in estrogen metabolism.
PMID- 29367422
TI - Extracellular vesicle budding is inhibited by redundant regulators of TAT-5
flippase localization and phospholipid asymmetry.
AB - Cells release extracellular vesicles (EVs) that mediate intercellular
communication and repair damaged membranes. Despite the pleiotropic functions of
EVs in vitro, their in vivo function is debated, largely because it is unclear
how to induce or inhibit their formation. In particular, the mechanisms of EV
release by plasma membrane budding or ectocytosis are poorly understood. We
previously showed that TAT-5 phospholipid flippase activity maintains the
asymmetric localization of the lipid phosphatidylethanolamine (PE) in the plasma
membrane and inhibits EV budding by ectocytosis in Caenorhabditis elegans
However, no proteins that inhibit ectocytosis upstream of TAT-5 were known. Here,
we identify TAT-5 regulators associated with retrograde endosomal recycling:
PI3Kinase VPS-34, Beclin1 homolog BEC-1, DnaJ protein RME-8, and the
uncharacterized Dopey homolog PAD-1. PI3Kinase, RME-8, and semiredundant sorting
nexins are required for the plasma membrane localization of TAT-5, which is
important to maintain PE asymmetry and inhibit EV release. PAD-1 does not
directly regulate TAT-5 localization, but is required for the lipid flipping
activity of TAT-5. PAD-1 also has roles in endosomal trafficking with the GEF
like protein MON-2, which regulates PE asymmetry and EV release redundantly with
sorting nexins independent of the core retromer. Thus, in addition to uncovering
redundant intracellular trafficking pathways, our study identifies additional
proteins that regulate EV release. This work pinpoints TAT-5 and PE as key
regulators of plasma membrane budding, further supporting the model that PE
externalization drives ectocytosis.
PMID- 29367424
TI - Pharmacokinetics, safety, and patient acceptability of subcutaneous versus
intramuscular testosterone injection for gender-affirming therapy: A pilot study.
AB - PURPOSE: Results of a study comparing testosterone exposure and tolerability with
subcutaneous versus i.m. administration are presented. METHODS: In a prospective,
open-label, crossover study, adult participants already on stable i.m.
testosterone gender-affirming therapy self-injected testosterone cypionate or
enanthate i.m. for 3 weeks followed by subcutaneous injections for 8 weeks.
Trough serum testosterone concentrations were determined weekly, and serial total
serum testosterone (TST) concentrations were determined on postinjection days 1,
3, and 5 of weeks 3 and 11. Hemoglobin and alanine transaminase (ALT) levels were
measured at week 3 (the first visit), with repeat measurements at week 11 (the
final visit). The dose-normalized area under the time-concentration curve (AUC)
was calculated during weeks 3 and 11. RESULTS: Fourteen transgender males (mean
age, 30 +/- 10 years) participated in the study. The mean hemoglobin values at
the first and final visits were 160 +/- 9 and 153 +/- 9 g/L, respectively (p >
0.05); the mean ALT values were 18 +/- 6 and 21 +/- 10 IU/L (p > 0.05). Total
testosterone exposure was comparable with subcutaneous versus i.m. injection
(mean AUC, 1.7 +/- 0.6 nmol.days/L/mg versus 1.9 +/- 0.6 nmol.days/L/mg; p >
0.05). Information collected via weekly questionnaires indicated that the
subcutaneous route was more tolerable, with lower self-reported scores for
preinjection anxiety, pain during injection, and postinjection pain. CONCLUSION:
The subcutaneous route for the injection of testosterone was well tolerated and
appeared to be as effective as i.m. injection in delivering equivalent TST
levels, although there was wide intrapatient and interpatient variability.
PMID- 29367423
TI - Chemotherapy induces enrichment of CD47+/CD73+/PDL1+ immune evasive triple
negative breast cancer cells.
AB - Triple-negative breast cancer (TNBC) is treated with cytotoxic chemotherapy and
is often characterized by early relapse and metastasis. To form a secondary
(recurrent and/or metastatic) tumor, a breast cancer cell must evade the innate
and adaptive immune systems. CD47 enables cancer cells to evade killing by
macrophages, whereas CD73 and PDL1 mediate independent mechanisms of evasion of
cytotoxic T lymphocytes. Here, we report that treatment of human or murine TNBC
cells with carboplatin, doxorubicin, gemcitabine, or paclitaxel induces the
coordinate transcriptional induction of CD47, CD73, and PDL1 mRNA and protein
expression, leading to a marked increase in the percentage of CD47+CD73+PDL1+
breast cancer cells. Genetic or pharmacological inhibition of hypoxia-inducible
factors (HIFs) blocked chemotherapy-induced enrichment of CD47+CD73+PDL1+ TNBC
cells, which were also enriched in the absence of chemotherapy by incubation
under hypoxic conditions, leading to T cell anergy or death. Treatment of mice
with cytotoxic chemotherapy markedly increased the intratumoral ratio of
regulatory/effector T cells, an effect that was abrogated by HIF inhibition. Our
results delineate an HIF-dependent transcriptional mechanism contributing to TNBC
progression and suggest that combining chemotherapy with an HIF inhibitor may
prevent countertherapeutic induction of proteins that mediate evasion of innate
and adaptive antitumor immunity.
PMID- 29367425
TI - D-Dimer Predicts Long-Term Cause-Specific Mortality, Cardiovascular Events, and
Cancer in Patients With Stable Coronary Heart Disease.
AB - BACKGROUND: D-dimer, a degradation product of cross-linked fibrin, is a marker
for hypercoagulability and thrombotic events. Moderately elevated levels of D
dimer are associated with the risk of venous and arterial events in patients with
vascular disease. We assessed the role of D-dimer levels in predicting long-term
vascular outcomes, cause-specific mortality, and new cancers in the LIPID trial
(Long-Term Intervention with Pravastatin in Ischaemic Disease) in the context of
other risk factors. METHODS: LIPID randomized patients to placebo or pravastatin
40 mg/d 5 to 38 months after myocardial infarction or unstable angina. D-dimer
levels were measured at baseline and at 1 year. Median follow-up was 6.0 years
during the trial and 16 years in total. RESULTS: Baseline D-dimer levels for 7863
patients were grouped by quartile (<=112, 112-173, 173-273, >273 ng/mL). Higher
levels were associated with older age, female sex, history of hypertension, poor
renal function, and elevated levels of B-natriuretic peptide, high-sensitivity C
reactive protein, and sensitive troponin I (each P<0.001). During the first 6
years, after adjustment for up to 30 additional risk factors, higher D-dimer was
associated with a significantly increased risk of a major coronary event
(quartile 4 versus 1: hazard ratio [HR], 1.45; 95% confidence interval, 1.21
1.74), major cardiovascular disease (CVD) event (HR, 1.45; 95% confidence
interval, 1.23-1.71) and venous thromboembolism (HR, 4.03; 95% confidence
interval, 2.31-7.03; each P<0.001). During the 16 years overall, higher D-dimer
was an independent predictor of all-cause mortality (HR, 1.59), CVD mortality
(HR, 1.61), cancer mortality (HR, 1.54), and non-CVD noncancer mortality (HR,
1.57; each P<0.001), remaining significant for deaths resulting from each cause
occurring beyond 10 years of follow-up (each P<=0.01). Higher D-dimer also
independently predicted an increase in cancer incidence (HR, 1.16; P=0.02).The D
dimer level increased the net reclassification index for all-cause mortality by
4.0 and venous thromboembolism by 13.6. CONCLUSIONS: D-dimer levels predict long
term risk of arterial and venous events, CVD mortality, and non-CVD noncancer
mortality independent of other risk factors. D-dimer is also a significant
predictor of cancer incidence and mortality. These results support an association
of D-dimer with fatal events across multiple diseases and demonstrate that this
link extends beyond 10 years' follow-up.
PMID- 29367426
TI - Leptin Replacement Reestablishes Brain Insulin Action in the Hypothalamus in
Congenital Leptin Deficiency.
AB - OBJECTIVE: Human obesity is associated with impaired central insulin signaling,
and in very rare cases, severe obesity can be caused by congenital leptin
deficiency. In such patients, leptin replacement results in substantial weight
loss and improvement in peripheral metabolism. RESEARCH DESIGN AND METHODS: In a
leptin-deficient patient, we investigated the impact of leptin substitution on
central insulin action, as quantified by changes in neuronal activity after
intranasal insulin application. This was assessed before and during the first
year of metreleptin substitution. RESULTS: After only 1 year, treatment with
metreleptin reestablishes brain insulin sensitivity, particularly in the
hypothalamus and, to a lesser degree, in the prefrontal cortex. Results are
depicted in comparison with a control group. In our patient, brain activation
changes were accompanied by substantial weight loss, reduced visceral adipose
tissue, reduced intrahepatic lipid content, and improved whole-body insulin
sensitivity. CONCLUSIONS: Leptin replacement and weight loss improved homeostatic
insulin action in the patient in question.
PMID- 29367428
TI - Kruppel-like Factor 4 Suppresses Serine/Threonine Kinase 33 Activation and
Metastasis of Gastric Cancer through Reversing Epithelial-Mesenchymal Transition.
AB - Background: Cancers with aberrant expression of Serine/threonine kinase 33
(STK33) has been reported to be particularly aggressive. However, its expression,
clinical significance, and biological functions in gastric cancer remain largely
unknown. In the present study, we determined the expression and function of STK33
in gastric cancer and delineated the clinical significance of the Kruppel-like
factor 4 (KLF4)/STK33 signaling pathway.Methods: STK33 expression and its
association with multiple clinicopathologic characteristics were analyzed
immunohistochemically in human gastric cancer specimens. STK33 knockdown and
overexpression were used to dissect the underlying mechanism of its functions in
gastric cancer cells. Regulation and underlying mechanisms of STK33 expression by
KLF4 in gastric cancer cells were studied using cell and molecular biological
methods.Results: Drastically higher expression of STK33 was observed in gastric
cancer and gastric intraepithelial neoplasia tissues compared with adjacent
normal gastric tissues. Increased STK33 expression correlated directly with tumor
size, lymph node, and distant metastasis; and patients with low STK33 expression
gastric cancer were predicted to have a favorable prognosis. Enforced expression
of STK33 promoted gastric cancer cell proliferation, migration, and invasion in
vitro and in vivo, whereas reduced STK33 did the opposite. Moreover, STK33
promoted epithelial-mesenchymal transition (EMT) in vitro Mechanistically, KLF4
transcriptionally inhibited STK33 expression in gastric cancer cells. KLF4
mediated inhibition of gastric cancer cell invasion was reversed by upregulation
of STK33 expression.Conclusions: STK33 has pro-tumor function and is a critical
downstream mediator of KLF4 in gastric cancer. STK33 may serve as a potential
prognostic marker and therapeutic target for gastric cancer. Clin Cancer Res;
24(10); 2440-51. (c)2018 AACR.
PMID- 29367427
TI - High Baseline Levels of Tumor Necrosis Factor Receptor 1 Are Associated With
Progression of Kidney Disease in Indigenous Australians With Diabetes: The eGFR
Follow-up Study.
AB - OBJECTIVE: To examine the association between soluble tumor necrosis factor
receptor 1 (sTNFR1) levels and kidney disease progression in Indigenous
Australians at high risk of kidney disease. RESEARCH DESIGN AND METHODS: This
longitudinal observational study examined participants aged >=18 years recruited
from >20 sites across diabetes and/or kidney function strata. Baseline measures
included sTNFR1, serum creatinine, urine albumin-to-creatinine ratio (uACR),
HbA1c, C-reactive protein (CRP), waist-to-hip ratio, systolic blood pressure, and
medical history. Linear regression was used to estimate annual change in
estimated glomerular filtration rate (eGFR) for increasing sTNFR1, and Cox
proportional hazards were used to estimate the hazard ratio (HR) and 95% CI for
developing a combined renal outcome (first of a >=30% decline in eGFR with a
follow-up eGFR <60 mL/min/1.73 m2, progression to renal replacement therapy, or
renal death) for increasing sTNFR1. RESULTS: Over a median of 3 years,
participants with diabetes (n = 194) in the highest compared with the lowest
quartile of sTNFR1 experienced significantly greater eGFR decline (-4.22
mL/min/1.73 m2/year [95% CI -7.06 to -1.38]; P = 0.004), independent of baseline
age, sex, eGFR, and uACR. The adjusted HR (95% CI) for participants with diabetes
per doubling of sTNFR1 for the combined renal outcome (n = 32) was 3.8 (1.1-12.8;
P = 0.03). No association between sTNFR1 and either renal outcome was observed
for those without diabetes (n = 259). CONCLUSIONS: sTNFR1 is associated with
greater kidney disease progression independent of albuminuria and eGFR in
Indigenous Australians with diabetes. Further research is required to assess
whether TNFR1 operates independently of other metabolic factors associated with
kidney disease progression.
PMID- 29367429
TI - Flt-3L Expansion of Recipient CD8alpha+ Dendritic Cells Deletes Alloreactive
Donor T Cells and Represents an Alternative to Posttransplant Cyclophosphamide
for the Prevention of GVHD.
AB - Purpose: Allogeneic bone marrow transplantation (BMT) provides curative therapy
for leukemia via immunologic graft-versus-leukemia (GVL) effects. In practice,
this must be balanced against life threatening pathology induced by graft-versus
host disease (GVHD). Recipient dendritic cells (DC) are thought to be important
in the induction of GVL and GVHD.Experimental Design: We have utilized
preclinical models of allogeneic BMT to dissect the role and modulation of
recipient DCs in controlling donor T-cell-mediated GVHD and GVL.Results: We
demonstrate that recipient CD8alpha+ DCs promote activation-induced clonal
deletion of allospecific donor T cells after BMT. We compared pretransplant fms
like tyrosine kinase-3 ligand (Flt-3L) treatment to the current clinical strategy
of posttransplant cyclophosphamide (PT-Cy) therapy. Our results demonstrate
superior protection from GVHD with the immunomodulatory Flt-3L approach, and
similar attenuation of GVL responses with both strategies. Strikingly, Flt-3L
treatment permitted maintenance of the donor polyclonal T-cell pool, where PT-Cy
did not.Conclusions: These data highlight pre-transplant Flt-3L therapy as a
potent new therapeutic strategy to delete alloreactive T cells and prevent GVHD,
which appears particularly well suited to haploidentical BMT where the control of
infection and the prevention of GVHD are paramount. Clin Cancer Res; 24(7); 1604
16. (c)2018 AACR.
PMID- 29367430
TI - Molecular Markers Increase Precision of the European Association of Urology Non
Muscle-Invasive Bladder Cancer Progression Risk Groups.
AB - Purpose: The European Association of Urology (EAU) guidelines for non-muscle
invasive bladder cancer (NMIBC) recommend risk stratification based on
clinicopathologic parameters. Our aim was to investigate the added value of
biomarkers to improve risk stratification of NMIBC.Experimental Design: We
prospectively included 1,239 patients in follow-up for NMIBC in six European
countries. Fresh-frozen tumor samples were analyzed for GATA2, TBX2, TBX3, and
ZIC4 methylation and FGFR3, TERT, PIK3CA, and RAS mutation status. Cox regression
analyses identified markers that were significantly associated with progression
to muscle-invasive disease. The progression incidence rate (PIR = rate of
progression per 100 patient-years) was calculated for subgroups.Results: In our
cohort, 276 patients had a low, 273 an intermediate, and 555 a high risk of tumor
progression based on the EAU NMIBC guideline. Fifty-seven patients (4.6%)
progressed to muscle-invasive disease. The limited number of progressors in this
large cohort compared with older studies is likely due to improved treatment in
the past two decades. Overall, wild-type FGFR3 and methylation of GATA2 and TBX3
were significantly associated with progression (HR = 0.34, 2.53, and 2.64,
respectively). The PIR for EAU high-risk patients was 4.25. On the basis of FGFR3
mutation status and methylation of GATA2, this cohort could be reclassified into
a good class (PIR = 0.86, 26.2% of patients), a moderate class (PIR = 4.32,
49.7%), and a poor class (PIR = 7.66, 24.0%).Conclusions: We conclude that the
addition of selected biomarkers to the EAU risk stratification increases its
accuracy and identifies a subset of NMIBC patients with a very high risk of
progression. Clin Cancer Res; 24(7); 1586-93. (c)2018 AACR.
PMID- 29367431
TI - Evaluating Mismatch Repair Deficiency in Pancreatic Adenocarcinoma: Challenges
and Recommendations.
AB - Purpose: Immune checkpoint inhibition has been shown to generate profound and
durable responses in mismatch repair deficient (MMR-D) solid tumors and has
elicited interest in detection tools and strategies to guide therapeutic decision
making. Herein we address questions on the appropriate screening, detection
methods, patient selection, and initiation of therapy for MMR-D pancreatic ductal
adenocarcinoma (PDAC) and assess the utility of next-generation sequencing (NGS)
in providing additional prognostic and predictive information for MMR-D
PDAC.Experimental Design: Archival and prospectively acquired samples and matched
normal DNA from N = 833 PDAC cases were analyzed using a hybridization capture
based, NGS assay designed to perform targeted deep sequencing of all exons and
selected introns of 341 to 468 cancer-associated genes. A computational program
using NGS data derived the MSI status from the tumor-normal paired genome
sequencing data. Available germline testing, IHC, and microsatellite instability
(MSI) PCR results were reviewed to assess and confirm MMR-D and MSI
status.Results: MMR-D in PDAC is a rare event among PDAC patients (7/833),
occurring at a frequency of 0.8%. Loss of MMR protein expression by IHC, high
mutational load, and elevated MSIsensor scores were correlated with MMR-D PDAC.
All 7 MMR-D PDAC patients in the study were found to have Lynch syndrome. Four
(57%) of the MMR-D patients treated with immune checkpoint blockade had treatment
benefit (1 complete response, 2 partial responses, 1 stable disease).Conclusions:
An integrated approach of germline testing and somatic analyses of tumor tissues
in advanced PDAC using NGS may help guide future development of immune and
molecularly directed therapies in PDAC patients. Clin Cancer Res; 24(6); 1326-36.
(c)2018 AACR.
PMID- 29367432
TI - Within country inequalities in caesarean section rates: observational study of 72
low and middle income countries.
AB - OBJECTIVE: To provide an update on economic related inequalities in caesarean
section rates within countries. DESIGN: Secondary analysis of demographic and
health surveys and multiple indicator cluster surveys. SETTING: 72 low and middle
income countries with a survey conducted between 2010 and 2014 for analysis of
the latest situation of inequality, and 28 countries with a survey also conducted
between 2000 and 2004 for analysis of the change in inequality over time.
PARTICIPANTS: Women aged 15-49 years with a live birth during the two or three
years preceding the survey. MAIN OUTCOME MEASURES: Data on caesarean section were
disaggregated by asset based household wealth status and presented separately for
five subgroups, ranging from the poorest to the richest fifth. Absolute and
relative inequalities were measured using difference and ratio measures. The pace
of change in the poorest and richest fifths was compared using a measure of
excess change. RESULTS: National caesarean section rates ranged from 0.6% in
South Sudan to 58.9% in the Dominican Republic. Within countries, caesarean
section rates were lowest in the poorest fifth (median 3.7%) and highest in the
richest fifth (median 18.4%). 18 out of 72 study countries reported a difference
of 20 percentage points or higher between the richest and poorest fifth. The
highest caesarean section rates and greatest levels of absolute inequality were
observed in countries from the region of the Americas, whereas countries from the
African region had low levels of caesarean use and comparatively lower levels of
absolute inequality, although relative inequality was quite high in some
countries. 26 out of 28 countries reported increases in caesarean section rates
over time. Rates tended to increase faster in the richest fifth (median 0.9
percentage points per year) compared with the poorest fifth (median 0.2
percentage points per year), indicating an increase in inequality over time in
most of these countries. CONCLUSIONS: Substantial within country economic
inequalities in caesarean deliveries remain. These inequalities might be due to a
combination of inadequate access to emergency obstetric care among the poorest
subgroups and high levels of caesarean use without medical indication in the
richest subgroups, especially in middle income countries. Country specific
strategies should address these inequalities to improve maternal and newborn
health.
PMID- 29367433
TI - N-glycome of the Lysosomal Glycocalyx is Altered in Niemann-Pick Type C Disease
(NPC) Model Cells.
AB - Increasing evidence implicates lysosomal dysfunction in the pathogenesis of
neurodegenerative diseases, including the rare inherited lysosomal storage
disorders (LSDs) and the most common neurodegenerative diseases, such as
Alzheimer's and Parkinson's disease (AD and PD). Although the triggers of the
lysosomal impairment may involve the accumulated macromolecules or dysfunction of
the lysosomal enzymes, the role of the lysosomal glycocalyx in the lysosomal
(dys)function has not been studied. The goal of this work was to analyze whether
there are changes in the lysosomal glycocalyx in a cellular model of a LSD
Niemann-Pick type C disease (NPC). Using the ferrofluid nanoparticles we isolated
lysosomal organelles from NPC1-null and CHOwt cells. The magnetically isolated
lysosomal fractions were enriched with the lysosomal marker protein LAMP1 and
showed the key features of NPC disease: 3-fold higher cholesterol content and 4-5
fold enlarged size of the particles compared with the lysosomal fractions of wt
cells. These lysosomal fractions were further processed to isolate lysosomal
membrane proteins using Triton X-114 and their N-glycome was analyzed by HILIC
UPLC. N-glycans presented in each chromatographic peak were elucidated using
MALDI-TOF/TOF-MS. We detected changes in the N-glycosylation pattern of the
lysosomal glycocalyx of NPC1-null versus wt cells which involved high-mannose and
sialylated N-glycans. To the best of our knowledge this study is the first to
report N-glycome profiling of the lysosomal glycocalyx in NPC disease cellular
model and the first to report the specific changes in the lysosomal glycocalyx in
NPC1-null cells. We speculate that changes in the lysosomal glycocalyx may
contribute to lysosomal (dys)function. Further glycome profiling of the lysosomal
glycocalyx in other LSDs as well as the most common neurodegenerative diseases,
such as AD and PD, is necessary to better understand the role of the lysosomal
glycocalyx and to reveal its potential contribution in lysosomal dysfunction
leading to neurodegeneration.
PMID- 29367434
TI - Proteomics Profiling of CLL Versus Healthy B-cells Identifies Putative
Therapeutic Targets and a Subtype-independent Signature of Spliceosome
Dysregulation.
AB - Chronic lymphocytic leukemia (CLL) is a heterogeneous B-cell cancer exhibiting a
wide spectrum of disease courses and treatment responses. Molecular
characterization of RNA and DNA from CLL cases has led to the identification of
important driver mutations and disease subtypes, but the precise mechanisms of
disease progression remain elusive. To further our understanding of CLL biology
we performed isobaric labeling and mass spectrometry proteomics on 14 CLL
samples, comparing them with B-cells from healthy donors (HDB). Of 8694
identified proteins, ~6000 were relatively quantitated between all samples
(q<0.01). A clear CLL signature, independent of subtype, of 544 significantly
overexpressed proteins relative to HDB was identified, highlighting established
hallmarks of CLL (e.g. CD5, BCL2, ROR1 and CD23 overexpression). Previously
unrecognized surface markers demonstrated overexpression (e.g. CKAP4, PIGR, TMCC3
and CD75) and three of these (LAX1, CLEC17A and ATP2B4) were implicated in B-cell
receptor signaling, which plays an important role in CLL pathogenesis. Several
other proteins (e.g. Wee1, HMOX1/2, HDAC7 and INPP5F) were identified with
significant overexpression that also represent potential targets. Western
blotting confirmed overexpression of a selection of these proteins in an
independent cohort. mRNA processing machinery were broadly upregulated across the
CLL samples. Spliceosome components demonstrated consistent overexpression (p =
1.3 * 10-21) suggesting dysregulation in CLL, independent of SF3B1 mutations.
This study highlights the potential of proteomics in the identification of
putative CLL therapeutic targets and reveals a subtype-independent protein
expression signature in CLL.
PMID- 29367436
TI - Differential equation methods for simulation of GFP kinetics in non-steady state
experiments.
AB - Genetically encoded fluorescent proteins, combined with fluorescence microscopy,
are widely used in cell biology to collect kinetic data on intracellular
trafficking. Methods for extraction of quantitative information from these data
are based on the mathematics of diffusion and tracer kinetics. Current methods,
although useful and powerful, depend on the assumption that the cellular system
being studied is in a steady state, that is, the assumption that all the
molecular concentrations and fluxes are constant for the duration of the
experiment. Here, we derive new tracer kinetic analytical methods for non-steady
state biological systems by constructing mechanistic nonlinear differential
equation models of the underlying cell biological processes and linking them to a
separate set of differential equations governing the kinetics of the fluorescent
tracer. Linking the two sets of equations is based on a new application of the
fundamental tracer principle of indistinguishability and, unlike current methods,
supports correct dependence of tracer kinetics on cellular dynamics. This
approach thus provides a general mathematical framework for applications of GFP
fluorescence microscopy (including photobleaching [FRAP, FLIP] and
photoactivation to frequently encountered experimental protocols involving
physiological or pharmacological perturbations (e.g., growth factors,
neurotransmitters, acute knockouts, inhibitors, hormones, cytokines, and
metabolites) that initiate mechanistically informative intracellular transients.
When a new steady state is achieved, these methods automatically reduce to
classical steady state tracer kinetic analysis.
PMID- 29367435
TI - Interaction between the Caenorhabditis elegans centriolar protein SAS-5 and
microtubules facilitates organelle assembly.
AB - Centrioles are microtubule-based organelles that organize the microtubule network
and seed the formation of cilia and flagella. New centrioles assemble through a
stepwise process dependent notably on the centriolar protein SAS-5 in
Caenorhabditis elegans SAS-5 and its functional homologues in other species form
oligomers that bind the centriolar proteins SAS-6 and SAS-4, thereby forming an
evolutionarily conserved structural core at the onset of organelle assembly.
Here, we report a novel interaction of SAS-5 with microtubules. Microtubule
binding requires SAS-5 oligomerization and a disordered protein segment that
overlaps with the SAS-4 binding site. Combined in vitro and in vivo analysis of
select mutants reveals that the SAS-5-microtubule interaction facilitates
centriole assembly in C. elegans embryos. Our findings lead us to propose that
the interdependence of SAS-5 oligomerization and microtubule binding reflects an
avidity mechanism, which also strengthens SAS-5 associations with other centriole
components and, thus, promotes organelle assembly.
PMID- 29367437
TI - Comment: Genotype-phenotype correlations in NF1: A case for routine genetic
testing.
PMID- 29367438
TI - Intravenous thrombolysis and platelet count.
AB - OBJECTIVE: To study the effect of platelet count (PC) on bleeding risk and
outcome in stroke patients treated with IV thrombolysis (IVT) and to explore
whether withholding IVT in PC < 100 * 109/L is supported. METHODS: In this
prospective multicenter, IVT register-based study, we compared PC with
symptomatic intracranial hemorrhage (sICH; Second European-Australasian Acute
Stroke Study [ECASS II] criteria), poor outcome (modified Rankin Scale score 3
6), and mortality at 3 months. PC was used as a continuous and categorical
variable distinguishing thrombocytopenia (<150 * 109/L), thrombocytosis (>450 *
109/L), and normal PC (150-450 * 109/L [reference group]). Moreover, PC < 100 *
109/L was compared to PC >= 100 * 109/L. Unadjusted and adjusted odds ratios
(ORs) with 95% confidence intervals (CIs) from the logistic regression models
were calculated. RESULTS: Among 7,533 IVT-treated stroke patients, 6,830 (90.7%)
had normal PC, 595 (7.9%) had thrombocytopenia, and 108 (1.4%) had
thrombocytosis. Decreasing PC (every 10 * 109/L) was associated with increasing
risk of sICH (ORadjusted 1.03, 95% CI 1.02-1.05) but decreasing risk of poor
outcome (ORadjusted 0.99, 95% CI 0.98-0.99) and mortality (ORadjusted 0.98, 95%
CI 0.98-0.99). The risk of sICH was higher in patients with thrombocytopenic than
in patients with normal PC (ORadjusted 1.73, 95% CI 1.24-2.43). However, the risk
of poor outcome (ORadjusted 0.89, 95% CI 0.39-1.97) and mortality (ORadjusted
1.09, 95% CI 0.83-1.44) did not differ significantly. Thrombocytosis was
associated with mortality (ORadjusted 2.02, 95% CI 1.21-3.37). Forty-four (0.3%)
patients had PC < 100 * 109/L. Their risks of sICH (ORunadjusted 1.56, 95% CI
0.48-5.07), poor outcome (ORadjusted 1.63, 95% CI 0.82-3.24), and mortality
(ORadjusted 1.38, 95% CI 0.64-2.98) did not differ significantly from those of
patients with PC >= 100 * 109/L. CONCLUSION: Lower PC was associated with
increased risk of sICH, while higher PC indicated increased mortality. Our data
suggest that PC modifies outcome and complications in individual patients, while
withholding IVT in all patients with PC < 100 * 109/L is challenged.
PMID- 29367439
TI - Randomized, double-blind, placebo-controlled trial of arimoclomol in rapidly
progressive SOD1 ALS.
AB - OBJECTIVE: To examine the safety and tolerability as well as the preliminary
efficacy of arimoclomol, a heat shock protein co-inducer that promotes nascent
protein folding, in patients with rapidly progressive SOD1 amyotrophic lateral
sclerosis (ALS). METHODS: This was a double-blind, placebo-controlled trial in
which patients with rapidly progressive SOD1-mutant ALS were randomized 1:1 to
receive arimoclomol 200 mg tid or matching placebo for up to 12 months. Study
procedures were performed using a mix of in-person and remote assessments.
Primary outcome was safety and tolerability. Secondary outcome was efficacy, with
survival as the principal measure. Additional efficacy measures were the rates of
decline of the Revised ALS Functional Rating Scale (ALSFRS-R) and percent
predicted forced expiratory volume in 6 seconds (FEV6), and the Combined
Assessment of Function and Survival (CAFS). RESULTS: Thirty-eight participants
were randomized. Thirty-six (19 placebo, 17 arimoclomol) were included in the
prespecified intent-to-treat analysis. Apart from respiratory function, groups
were generally well-balanced at baseline. Adverse events occurred infrequently,
and were usually mild and deemed unlikely or not related to study drug. Adjusting
for riluzole and baseline ALSFRS-R, survival favored arimoclomol with a hazard
ratio of 0.77 (95% confidence interval [CI] 0.32-1.80). ALSFRS-R and FEV6
declined more slowly in the arimoclomol group, with treatment differences of 0.5
point/month (95% CI -0.63 to 1.63) and 1.24 percent predicted/month (95% CI -2.77
to 5.25), respectively, and the CAFS similarly favored arimoclomol. CONCLUSIONS:
This study provides Class II evidence that arimoclomol is safe and well-tolerated
at a dosage of 200 mg tid for up to 12 months. Although not powered for
therapeutic effect, the consistency of results across the range of prespecified
efficacy outcome measures suggests a possible therapeutic benefit of arimoclomol.
CLINICALTRIALSGOV IDENTIFIER: NCT00706147. CLASSIFICATION OF EVIDENCE: This study
provides Class II evidence that arimoclomol is safe and well-tolerated at a
dosage of 200 mg tid for up to 12 months. The study lacked the precision to
conclude, or to exclude, an important therapeutic benefit of arimoclomol.
PMID- 29367440
TI - Posthemorrhagic perils of prematurity.
PMID- 29367442
TI - Searching for the good and bad high-frequency oscillations.
PMID- 29367441
TI - Spatial variation in high-frequency oscillation rates and amplitudes in
intracranial EEG.
AB - OBJECTIVE: To assess the variation in baseline and seizure onset zone interictal
high-frequency oscillation (HFO) rates and amplitudes across different anatomic
brain regions in a large cohort of patients. METHODS: Seventy patients who had
wide-bandwidth (5 kHz) intracranial EEG (iEEG) recordings during surgical
evaluation for drug-resistant epilepsy between 2005 and 2014 who had high
resolution MRI and CT imaging were identified. Discrete HFOs were identified in 2
hour segments of high-quality interictal iEEG data with an automated detector.
Electrode locations were determined by coregistering the patient's preoperative
MRI with an X-ray CT scan acquired immediately after electrode implantation and
correcting electrode locations for postimplant brain shift. The anatomic
locations of electrodes were determined using the Desikan-Killiany brain atlas
via FreeSurfer. HFO rates and mean amplitudes were measured in seizure onset zone
(SOZ) and non-SOZ electrodes, as determined by the clinical iEEG seizure
recordings. To promote reproducible research, imaging and iEEG data are made
freely available (msel.mayo.edu). RESULTS: Baseline (non-SOZ) HFO rates and
amplitudes vary significantly in different brain structures, and between
homologous structures in left and right hemispheres. While HFO rates and
amplitudes were significantly higher in SOZ than non-SOZ electrodes when analyzed
regardless of contact location, SOZ and non-SOZ HFO rates and amplitudes were not
separable in some lobes and structures (e.g., frontal and temporal neocortex).
CONCLUSIONS: The anatomic variation in SOZ and non-SOZ HFO rates and amplitudes
suggests the need to assess interictal HFO activity relative to anatomically
accurate normative standards when using HFOs for presurgical planning.
PMID- 29367443
TI - Outcomes among patients with direct enteral vs nasogastric tube placement after
acute stroke.
AB - OBJECTIVE: To compare complications, disability, and long-term mortality of
patients who received direct enteral tube vs nasogastric tube feeding alone after
acute stroke. METHODS: We used the Ontario Stroke Registry to identify patients
who received direct enteral tubes (DET; gastrostomy or jejunostomy) or temporary
nasogastric tubes (NGT) alone during hospital stay after acute ischemic stroke or
intracerebral hemorrhage from July 1, 2003, to March 31, 2013. We used propensity
matching to compare groups from discharge and evaluated discharge disability,
institutionalization, complications, and mortality, with follow-up over 2 years,
and with cumulative incidence functions used to account for competing risks.
RESULTS: Among 1,448 patients with DET placement who survived until discharge,
1,421 were successfully matched to patients with NGT alone. Patients with DET had
reduced risk of death within 30 days after discharge (9.7% vs 15.3%; hazard ratio
[HR] 0.61, 95% confidence interval [CI] 0.49-0.75), but this difference was
eliminated after matching on length of stay and discharge disability (HR 0.90,
95% CI 0.70-1.17). Patients with DET had higher rates of severe disability at
discharge (modified Rankin Scale score 4-5; 89.6% vs 78.4%), discharge to long
term care (38.0% vs 16.1%), aspiration pneumonia (14.4% vs 5.1%) and other
complications, and mortality at 2 years (41.1% vs 35.9%). CONCLUSIONS: Patients
with DET placement after acute stroke have more severe disability at discharge
compared to those with NGT placement alone, and associated higher rates of
institutionalization, medical complications, and long-term mortality. These
findings may inform goals of care discussions and decisions regarding long-term
tube feeding after acute stroke.
PMID- 29367445
TI - Comparing nasogastric and direct tube feeding in stroke: Enteral feeding going
down the tube.
PMID- 29367444
TI - Neurofilament light protein in blood predicts regional atrophy in Huntington
disease.
AB - OBJECTIVE: Neurofilament light (NfL) protein in blood plasma has been proposed as
a prognostic biomarker of neurodegeneration in a number of conditions, including
Huntington disease (HD). This study investigates the regional distribution of NfL
associated neural pathology in HD gene expansion carriers. METHODS: We examined
associations between NfL measured in plasma and regionally specific atrophy in
cross-sectional (n = 198) and longitudinal (n = 177) data in HD gene expansion
carriers from the international multisite TRACK-HD study. Using voxel-based
morphometry, we measured associations between baseline NfL levels and both
baseline gray matter and white matter volume; and longitudinal change in gray
matter and white matter over the subsequent 3 years in HD gene expansion
carriers. RESULTS: After controlling for demographics, associations between
increased NfL levels and reduced brain volume were seen in cortical and
subcortical gray matter and within the white matter. After also controlling for
known predictors of disease progression (age and CAG repeat length), associations
were limited to the caudate and putamen. Longitudinally, NfL predicted subsequent
occipital gray matter atrophy and widespread white matter reduction, both before
and after correction for other predictors of disease progression. CONCLUSIONS:
These findings highlight the value of NfL as a dynamic marker of brain atrophy
and, more generally, provide further evidence of the strong association between
plasma NfL level, a candidate blood biomarker, and pathologic neuronal change.
PMID- 29367446
TI - Zonisamide for DLB parkinsonism: An old drug used in a new context.
PMID- 29367447
TI - Unraveling ALS due to SOD1 mutation through the combination of brain and cervical
cord MRI.
AB - OBJECTIVE: To explore structural and functional changes of the brain and cervical
cord in patients with amyotrophic lateral sclerosis (ALS) due to mutation in the
superoxide dismutase (SOD1) gene compared with sporadic ALS. METHODS: Twenty
patients with SOD1 ALS, 11 with sporadic ALS, and 33 healthy controls underwent
clinical evaluation and brain MRI. Cortical thickness analysis, diffusion tensor
MRI of the corticospinal tracts (CST) and corpus callosum, and resting-state
functional connectivity were performed. Patients with ALS also underwent cervical
cord MRI to evaluate cord cross-sectional area and magnetization transfer ratio
(MTR). RESULTS: Patients with SOD1 ALS showed longer disease duration and slower
rate of functional decline relative to those with sporadic ALS. No cortical
thickness abnormalities were found in patients with ALS compared with controls.
Fractional anisotropy showed that sporadic ALS patients had significant CST
damage relative to both healthy controls (p = 0.001-0.02) and SOD1-related ALS (p
= 0.05), although the latter showed alterations that were intermediate between
controls and sporadic ALS. Functional hyperconnectivity of the motor cortex in
the sensorimotor network was observed in patients with sporadic ALS relative to
controls. Conversely, patients with SOD1 ALS showed lower cord cross-sectional
area along the whole cervical cord relative to those with sporadic ALS (p <
0.001). No cord MTR differences were found between patient groups. CONCLUSIONS:
Patients with SOD1 ALS showed cervical cord atrophy relative to those with
sporadic ALS and a relative preservation of brain motor structural and functional
networks. Neurodegeneration in SOD1 ALS is likely to occur primarily in the
spinal cord. An objective and accurate estimate of spinal cord damage has
potential in the future assessment of preventive SOD1 ALS therapies.
PMID- 29367448
TI - Posthemorrhagic ventricular dilatation in preterm infants: When best to
intervene?
AB - OBJECTIVE: To compare neurodevelopmental outcomes of preterm infants with and
without intervention for posthemorrhagic ventricular dilatation (PHVD) managed
with an "early approach" (EA), based on ventricular measurements exceeding normal
(ventricular index [VI] <+2 SD/anterior horn width <6 mm) with initial
temporizing procedures, followed, if needed, by permanent shunt placement, and a
"late approach" (LA), based on signs of increased intracranial pressure with
mostly immediate permanent intervention. METHODS: Observational cohort study of
127 preterm infants (gestation <30 weeks) with PHVD managed with EA (n = 78) or
LA (n = 49). Ventricular size was measured on cranial ultrasound. Outcome was
assessed at 18-24 months. RESULTS: Forty-nine of 78 (63%) EA and 24 of 49 (49%)
LA infants received intervention. LA infants were slightly younger at birth, but
did not differ from EA infants for other clinical measures. Initial intervention
in the EA group occurred at younger age (29.4/33.1 week postmenstrual age; p <
0.001) with smaller ventricles (VI 2.4/14 mm >+2 SD; p < 0.01), and consisted
predominantly of lumbar punctures or reservoir taps. Maximum VI in infants
with/without intervention was similar in EA (3/1.5 mm >+2 SD; p = 0.3) but
differed in the LA group (14/2.1 mm >+2 SD; p < 0.001). Shunt rate (20/92%; p <
0.001) and complications were lower in EA than LA group. Most EA infants had
normal outcomes (>-1 SD), despite intervention. LA infants with intervention had
poorer outcomes than those without (p < 0.003), with scores <-2 SD in 81%.
CONCLUSION: In preterm infants with PHVD, those with early intervention, even
when eventually requiring a shunt, had outcomes indistinguishable from those
without intervention, all being within the normal range. In contrast, in infants
managed with LA, need for intervention predicted worse outcomes. Benefits of EA
appear to outweigh potential risks. CLASSIFICATION OF EVIDENCE: This study
provides Class III evidence that for preterm infants with PHVD, an EA to
management results in better neurodevelopmental outcomes than a LA.
PMID- 29367449
TI - Adjunct zonisamide to levodopa for DLB parkinsonism: A randomized double-blind
phase 2 study.
AB - OBJECTIVE: To investigate the efficacy and safety of zonisamide as an adjunct to
levodopa therapy for parkinsonism in patients with dementia with Lewy bodies
(DLB). METHODS: This phase 2, placebo-controlled, randomized, double-blind study
consisted of run-in (placebo, 4 weeks) and treatment (placebo or zonisamide 25 or
50 mg once daily, 12 weeks) periods. Outpatients diagnosed with probable DLB were
eligible for inclusion. The primary endpoint was the change from baseline in
Unified Parkinson's Disease Rating Scale (UPDRS) part 3 total score at week 12.
Cognitive function, behavioral and psychological symptoms of dementia (BPSD),
caregiver burden, other UPDRS parts as secondary endpoints, and safety were also
assessed. RESULTS: Overall, 158 patients with DLB received the study drug; 21
discontinued during treatment and 137 completed treatment. Improvement in UPDRS
part 3 total score at week 12 was significantly greater in the zonisamide 50 mg
group compared with placebo (between-group difference -4.1; 95% confidence
interval -6.8 to -1.4; p = 0.003). Zonisamide did not worsen cognitive function,
BPSD, or caregiver burden. The overall incidence of adverse events was higher in
the zonisamide 50 mg than the 25 mg and placebo groups (65.3%, 43.1%, and 50.0%,
respectively); similar rates of serious adverse events were observed among all
groups. CONCLUSION: Zonisamide (adjunctive to levodopa) improved parkinsonism
accompanying DLB without worsening cognitive function or psychiatric symptoms.
CLINICAL TRIAL REGISTRATION: JapicCTI-122040. CLASSIFICATION OF EVIDENCE: This
study provides Class I evidence that zonisamide (adjunctive to levodopa) improves
parkinsonism and is well-tolerated in patients with DLB.
PMID- 29367450
TI - A genotype-phenotype correlation for quantitative autistic trait burden in
neurofibromatosis 1.
PMID- 29367451
TI - Pleiotropic Functions of the Chromodomain-Containing Protein Hat-trick During
Oogenesis in Drosophila melanogaster.
AB - Chromatin-remodeling proteins have a profound role in the transcriptional
regulation of gene expression during development. Here, we have shown that the
chromodomain-containing protein Hat-trick is predominantly expressed within the
oocyte nucleus, specifically within the heterochromatinized karyosome, and that a
mild expression is observed in follicle cells. Colocalization of Hat-trick with
Heterochromatin Protein 1 and synaptonemal complex component C(3)G along with the
diffused karyosome after hat-trick downregulation shows the role of this protein
in heterochromatin clustering and karyosome maintenance. Germline mosaic analysis
reveals that hat-trick is required for maintaining the dorso-ventral patterning
of eggs by regulating the expression of Gurken. The increased incidence of double
strand breaks (DSBs), delayed DSB repair, defects in karyosome formation, altered
Vasa mobility, and, consequently, misexpression and altered localization of
Gurken in hat-trick mutant egg chambers clearly suggest a putative involvement of
Hat-trick in the early stages of oogenesis. In addition, based on phenotypic
observations in hat-trick mutant egg chambers, we speculate a substantial role of
hat-trick in cystoblast proliferation, oocyte determination, nurse cell
endoreplication, germ cell positioning, cyst encapsulation, and nurse cell
migration. Our results demonstrate that hat-trick has profound pleiotropic
functions during oogenesis in Drosophila melanogaster.
PMID- 29367454
TI - Strong uniaxial magnetic anisotropy in Co films on highly ordered grating-like
nanopatterned Ge surfaces.
AB - We present a systematic investigation on uniaxial magnetic anisotropy (UMA) in Co
thin films induced by high aspect ratio nanopatterned anisotropic substrates.
Self-organized long grating-like nanostructures, with extreme regularities, are
fabricated on Ge surfaces using Au-ion implantation at room temperature.
Subsequently deposition of Co films are carried out on the same at two different
angles. Magneto-optical Kerr effect measurements show strong UMA in Co films
grown on ion-patterned Ge substrates, fabricated under different ion fluences,
along and perpendicular to the direction of the patterns (long grating-like
nanostructures). Magnetic force microscopy measurements under different
externally applied magnetic fields reveal an easy domain wall motion when the
field is applied along the grating-like nanostructures. On the other hand, high
amplitude grating-like nanostructures hinder the spin rotation when the field is
applied along the hard axis. The present study will be useful for magnetic
recording media and ultra-small magnetic field sensors.
PMID- 29367452
TI - Systematic Functional Characterization of Human 21st Chromosome Orthologs in
Caenorhabditis elegans.
AB - Individuals with Down syndrome have neurological and muscle impairments due to an
additional copy of the human 21st chromosome (HSA21). Only a few of ~200 HSA21
genes encoding proteins have been linked to specific Down syndrome phenotypes,
while the remainder are understudied. To identify poorly characterized HSA21
genes required for nervous system function, we studied behavioral phenotypes
caused by loss-of-function mutations in conserved HSA21 orthologs in the nematode
Caenorhabditis elegans We identified 10 HSA21 orthologs that are required for
neuromuscular behaviors: cle-1 (COL18A1), cysl-2 (CBS), dnsn-1 (DONSON), eva-1
(EVA1C), mtq-2 (N6ATM1), ncam-1 (NCAM2), pad-2 (POFUT2), pdxk-1 (PDXK), rnt-1
(RUNX1), and unc-26 (SYNJ1). We also found that three of these genes are required
for normal release of the neurotransmitter acetylcholine. This includes a known
synaptic gene unc-26 (SYNJ1), as well as uncharacterized genes pdxk-1 (PDXK) and
mtq-2 (N6ATM1). As the first systematic functional analysis of HSA21 orthologs,
this study may serve as a platform to understand genes that underlie phenotypes
associated with Down syndrome.
PMID- 29367456
TI - We're listening.
PMID- 29367455
TI - PKA signaling drives reticularis differentiation and sexually dimorphic adrenal
cortex renewal.
AB - The adrenal cortex undergoes remodeling during fetal and postnatal life. How zona
reticularis emerges in the postnatal gland to support adrenarche, a process
whereby higher primates increase prepubertal androgen secretion, is unknown.
Using cell-fate mapping and gene deletion studies in mice, we show that
activation of PKA has no effect on the fetal cortex, while it accelerates
regeneration of the adult cortex, triggers zona fasciculata differentiation that
is subsequently converted into a functional reticularis-like zone, and drives
hypersecretion syndromes. Remarkably, PKA effects are influenced by sex. Indeed,
testicular androgens increase WNT signaling that antagonizes PKA, leading to
slower adrenocortical cell turnover and delayed phenotype whereas gonadectomy
sensitizes males to hypercorticism and reticularis-like formation. Thus,
reticularis results from ultimate centripetal conversion of adult cortex under
the combined effects of PKA and cell turnover that dictate organ size. We show
that PKA-induced progenitor recruitment is sexually dimorphic and may provide a
paradigm for overrepresentation of women in adrenal diseases.
PMID- 29367457
TI - Noninvasive gene delivery to foveal cones for vision restoration.
AB - Intraocular injection of adeno-associated viral (AAV) vectors has been an evident
route for delivering gene drugs into the retina. However, gaps in our
understanding of AAV transduction patterns within the anatomically unique
environments of the subretinal and intravitreal space of the primate eye impeded
the establishment of noninvasive and efficient gene delivery to foveal cones in
the clinic. Here, we establish new vector-promoter combinations to overcome the
limitations associated with AAV-mediated cone transduction in the fovea with
supporting studies in mouse models, human induced pluripotent stem cell-derived
organoids, postmortem human retinal explants, and living macaques. We show that
an AAV9 variant provides efficient foveal cone transduction when injected into
the subretinal space several millimeters away from the fovea, without detaching
this delicate region. An engineered AAV2 variant provides gene delivery to foveal
cones with a well-tolerated dose administered intravitreally. Both delivery
modalities rely on a cone-specific promoter and result in high-level transgene
expression compatible with optogenetic vision restoration. The model systems
described here provide insight into the behavior of AAV vectors across species to
obtain safety and efficacy needed for gene therapy in neurodegenerative
disorders.
PMID- 29367453
TI - The life of U6 small nuclear RNA, from cradle to grave.
AB - Removal of introns from precursor messenger RNA (pre-mRNA) and some noncoding
transcripts is an essential step in eukaryotic gene expression. In the nucleus,
this process of RNA splicing is carried out by the spliceosome, a multi
megaDalton macromolecular machine whose core components are conserved from yeast
to humans. In addition to many proteins, the spliceosome contains five uridine
rich small nuclear RNAs (snRNAs) that undergo an elaborate series of
conformational changes to correctly recognize the splice sites and catalyze
intron removal. Decades of biochemical and genetic data, along with recent cryo
EM structures, unequivocally demonstrate that U6 snRNA forms much of the
catalytic core of the spliceosome and is highly dynamic, interacting with three
snRNAs, the pre-mRNA substrate, and >25 protein partners throughout the splicing
cycle. This review summarizes the current state of knowledge on how U6 snRNA is
synthesized, modified, incorporated into snRNPs and spliceosomes, recycled, and
degraded.
PMID- 29367459
TI - Mutations causing congenital myasthenia reveal principal coupling pathway in the
acetylcholine receptor epsilon-subunit.
AB - We identify 2 homozygous mutations in the epsilon-subunit of the muscle
acetylcholine receptor (AChR) in 3 patients with severe congenital myasthenia:
epsilonR218W in the pre-M1 region in 2 patients and epsilonE184K in the beta8
beta9 linker in 1 patient. Arg218 is conserved in all eukaryotic members of the
Cys-loop receptor superfamily, while Glu184 is conserved in the alpha-, delta-,
and epsilon-subunits of AChRs from all species. epsilonR218W reduces channel
gating efficiency 338-fold and AChR expression on the cell surface 5-fold,
whereas epsilonE184K reduces channel gating efficiency 11-fold but does not alter
AChR cell surface expression. Determinations of the effective channel gating rate
constants, combined with mutant cycle analyses, demonstrate strong energetic
coupling between epsilonR218 and epsilonE184, and between epsilonR218 and
epsilonE45 from the beta1-beta2 linker, as also observed for equivalent residues
in the principal coupling pathway of the alpha-subunit. Thus, efficient and rapid
gating of the AChR channel is achieved not only by coupling between conserved
residues within the principal coupling pathway of the alpha-subunit, but also
between corresponding residues in the epsilon-subunit.
PMID- 29367458
TI - A pathophysiological role of PDE3 in allergic airway inflammation.
AB - Phosphodiesterase 3 (PDE3) and PDE4 regulate levels of cyclic AMP, which are
critical in various cell types involved in allergic airway inflammation. Although
PDE4 inhibition attenuates allergic airway inflammation, reported side effects
preclude its application as an antiasthma drug in humans. Case reports showed
that enoximone, which is a smooth muscle relaxant that inhibits PDE3, is
beneficial and lifesaving in status asthmaticus and is well tolerated. However,
clinical observations also showed antiinflammatory effects of PDE3 inhibition. In
this study, we investigated the role of PDE3 in a house dust mite-driven (HDM
driven) allergic airway inflammation (AAI) model that is characterized by T
helper 2 cell activation, eosinophilia, and reduced mucosal barrier function.
Compared with wild-type (WT) littermates, mice with a targeted deletion of the
PDE3A or PDE3B gene showed significantly reduced HDM-driven AAI. Therapeutic
intervention in WT mice showed that all hallmarks of HDM-driven AAI were
abrogated by the PDE3 inhibitors enoximone and milrinone. Importantly, we found
that enoximone also reduced the upregulation of the CD11b integrin on mouse and
human eosinophils in vitro, which is crucial for their recruitment during
allergic inflammation. This study provides evidence for a hitherto unknown
antiinflammatory role of PDE3 inhibition in allergic airway inflammation and
offers a potentially novel treatment approach.
PMID- 29367460
TI - IKKbeta is a beta-catenin kinase that regulates mesenchymal stem cell
differentiation.
AB - Mesenchymal stem cells (MSCs) can give rise to both adipocytes and osteoblasts,
but the molecular mechanisms underlying MSC fate determination remain poorly
understood. IkappaB kinase beta (IKKbeta), a central coordinator of inflammation
and immune responses through activation of NF-kappaB, has been implicated as a
critical molecular link between obesity and metabolic disorders. Here, we show
that IKKbeta can reciprocally regulate adipocyte and osteoblast differentiation
of murine and human MSCs through an NF-kappaB-independent mechanism. IKKbeta is a
beta-catenin kinase that phosphorylates the conserved degron motif of beta
catenin to prime it for beta-TrCP-mediated ubiquitination and degradation,
thereby increasing adipogenesis and inhibiting osteogenesis in MSCs. Animal
studies demonstrated that deficiency of IKKbeta in BM mesenchymal stromal cells
increased bone mass and decreased BM adipocyte formation in adult mice. In
humans, IKKbeta expression in adipose tissue was also positively associated with
increased adiposity and elevated beta-catenin phosphorylation. These findings
suggest IKKbeta as a key molecular switch that regulates MSC fate, and they
provide potentially novel mechanistic insights into the understanding of the
cross-regulation between the evolutionarily conserved IKKbeta and Wnt/beta
catenin signaling pathways. The IKKbeta-Wnt axis we uncovered may also have
important implications for development, homeostasis, and disease pathogenesis.
PMID- 29367461
TI - CD122 blockade restores immunological tolerance in autoimmune type 1 diabetes via
multiple mechanisms.
AB - Signaling through IL-2/IL-15Rbeta (CD122) is essential for the differentiation
and function of T cells and NK cells. A mAb against CD122 has been implicated to
suppress autoimmune type 1 diabetes (T1D) development in animal models. However,
the mechanisms remain poorly understood. We find that in vivo administration of
an anti-CD122 mAb (CD122 blockade) restores immune tolerance in nonobese diabetic
(NOD) mice via multiple mechanisms. First, CD122 blockade selectively ablates
pathogenic NK cells and memory phenotype CD8+ T cells from pancreatic islets. In
contrast, islet CD4+Foxp3+ Tregs are only mildly affected. Second, CD122 blockade
suppresses IFN-gamma production in islet immune cells. Third, CD122 blockade
inhibits the conversion of islet Th17 cells into diabetogenic Th1 cells.
Furthermore, a combination of anti-CD122 mAb and Treg-trophic cytokines (IL-2 or
IL-33) enhances the abundance and function of islet Tregs. In summary, these data
provide crucial mechanistic insights into CD122 blockade-mediated
immunoregulation and support therapeutic benefits of this combinational treatment
in T1D.
PMID- 29367462
TI - High self-reactivity drives T-bet and potentiates Treg function in tissue
specific autoimmunity.
AB - T cell receptor (TCR) affinity is a critical factor of Treg lineage commitment,
but whether self-reactivity is a determining factor in peripheral Treg function
remains unknown. Here, we report that a high degree of self-reactivity is crucial
for tissue-specific Treg function in autoimmunity. Based on high expression of
CD5, we identified a subset of self-reactive Tregs expressing elevated levels of
T-bet, GITR, CTLA-4, and ICOS, which imparted significant protection from
autoimmune diabetes. We observed that T-bet expression in Tregs, necessary for
control of Th1 autoimmunity, could be induced in an IFNgamma-independent fashion
and, unlike in conventional T cells (Tconv), was strongly correlated with the
strength of TCR signaling. The level of CD5 similarly identified human Tregs with
an increased functional profile, suggesting that CD5hi Tregs may constitute an
efficacious subpopulation appropriate for use in adoptive Treg therapies for
treatment of inflammatory conditions. Overall, this work establishes an
instrumental role of high TCR self-reactivity in driving Treg function.
PMID- 29367463
TI - Mutant p53R270H drives altered metabolism and increased invasion in pancreatic
ductal adenocarcinoma.
AB - Pancreatic cancer is characterized by nearly universal activating mutations in
KRAS. Among other somatic mutations, TP53 is mutated in more than 75% of human
pancreatic tumors. Genetically engineered mice have proven instrumental in
studies of the contribution of individual genes to carcinogenesis. Oncogenic Kras
mutations occur early during pancreatic carcinogenesis and are considered an
initiating event. In contrast, mutations in p53 occur later during tumor
progression. In our model, we recapitulated the order of mutations of the human
disease, with p53 mutation following expression of oncogenic Kras. Further, using
an inducible and reversible expression allele for mutant p53, we inactivated its
expression at different stages of carcinogenesis. Notably, the function of mutant
p53 changes at different stages of carcinogenesis. Our work establishes a
requirement for mutant p53 for the formation and maintenance of pancreatic cancer
precursor lesions. In tumors, mutant p53 becomes dispensable for growth. However,
it maintains the altered metabolism that characterizes pancreatic cancer and
mediates its malignant potential. Further, mutant p53 promotes epithelial
mesenchymal transition (EMT) and cancer cell invasion. This work generates new
mouse models that mimic human pancreatic cancer and expands our understanding of
the role of p53 mutation, common in the majority of human malignancies.
PMID- 29367464
TI - Cell-free hemoglobin promotes primary graft dysfunction through oxidative lung
endothelial injury.
AB - Primary graft dysfunction (PGD) is acute lung injury within 72 hours of lung
transplantation. We hypothesized that cell-free hemoglobin (CFH) contributes to
PGD by increasing lung microvascular permeability and tested this in patients, ex
vivo human lungs, and cultured human lung microvascular endothelial cells. In a
nested case control study of 40 patients with severe PGD at 72 hours and 80
matched controls without PGD, elevated preoperative CFH was independently
associated with increased PGD risk (odds ratio [OR] 2.75, 95%CI, 1.23-6.16, P =
0.014). The effect of CFH on PGD was magnified by reperfusion fraction of
inspired oxygen (FiO2) >= 0.40 (OR 3.41, P = 0.031). Isolated perfused human
lungs exposed to intravascular CFH (100 mg/dl) developed increased vascular
permeability as measured by lung weight (CFH 14.4% vs. control 0.65%, P = 0.047)
and extravasation of Evans blue-labeled albumin dye (EBD) into the airspace (P =
0.027). CFH (1 mg/dl) also increased paracellular permeability of human pulmonary
microvascular endothelial cell monolayers (hPMVECs). Hyperoxia (FiO2 = 0.95)
increased human lung and hPMVEC permeability compared with normoxia (FiO2 =
0.21). Treatment with acetaminophen (15 MUg/ml), a specific hemoprotein
reductant, prevented CFH-dependent permeability in human lungs (P = 0.046) and
hPMVECs (P = 0.037). In summary, CFH may mediate PGD through oxidative effects on
microvascular permeability, which are augmented by hyperoxia and abrogated by
acetaminophen.
PMID- 29367465
TI - Inflammatory macrophage-associated 3-gene signature predicts subclinical
allograft injury and graft survival.
AB - Late allograft failure is characterized by cumulative subclinical insults
manifesting over many years. Although immunomodulatory therapies targeting host T
cells have improved short-term survival rates, rates of chronic allograft loss
remain high. We hypothesized that other immune cell types may drive subclinical
injury, ultimately leading to graft failure. We collected whole-genome
transcriptome profiles from 15 independent cohorts composed of 1,697 biopsy
samples to assess the association of an inflammatory macrophage polarization
specific gene signature with subclinical injury. We applied penalized regression
to a subset of the data sets and identified a 3-gene inflammatory macrophage
derived signature. We validated discriminatory power of the 3-gene signature in 3
independent renal transplant data sets with mean AUC of 0.91. In a longitudinal
cohort, the 3-gene signature strongly correlated with extent of injury and
accurately predicted progression of subclinical injury 18 months before clinical
manifestation. The 3-gene signature also stratified patients at high risk of
graft failure as soon as 15 days after biopsy. We found that the 3-gene signature
also distinguished acute rejection (AR) accurately in 3 heart transplant data
sets but not in lung transplant. Overall, we identified a parsimonious signature
capable of diagnosing AR, recognizing subclinical injury, and risk-stratifying
renal transplant patients. Our results strongly suggest that inflammatory
macrophages may be a viable therapeutic target to improve long-term outcomes for
organ transplantation patients.
PMID- 29367467
TI - Lymphatic function measurements influenced by contrast agent volume and body
position.
AB - Several imaging modalities have been used to assess lymphatic function, including
fluorescence microscopy, near-infrared fluorescence (NIRF) imaging, and Doppler
optical coherence tomography (DOCT). They vary in how the mouse is positioned,
the invasiveness of the experimental setup, and the volume of contrast agent
injected. Here, we present how each of these experimental parameters affects
functional measurements of collecting lymphatic vessels. First, fluorescence
microscopy showed that supine mice have a statistically lower contraction
frequency compared with mice sitting upright. To assess the effect of different
injection volumes on these endpoints, mice were injected with 4, 10, or 20 MUl of
dye. The lowest frequencies were observed after 20-MUl injections. Interestingly,
lymph-flow DOCT revealed that although there was lower contraction frequency in
mice injected with 20 MUl versus 4 MUl, mice showed a higher volumetric flow with
a 20-MUl injection. This indicates that contraction frequency alone is not
sufficient to understand lymphatic transport. Finally, NIRF revealed that
removing the skin reduced contraction frequency. Therefore, this study reveals
how sensitive these techniques are to mouse position, removal of skin, and dye
volume. Care should be taken when comparing results obtained under different
experimental conditions.
PMID- 29367466
TI - Mutations in Hnrnpa1 cause congenital heart defects.
AB - Incomplete penetrance of congenital heart defects (CHDs) was observed in a mouse
model. We hypothesized that the contribution of a major genetic locus modulates
the manifestation of the CHDs. After genome-wide linkage mapping, fine mapping,
and high-throughput targeted sequencing, a recessive frameshift mutation of the
heterogeneous nuclear ribonucleoprotein A1 (Hnrnpa1) gene was confirmed
(Hnrnpa1ct). Hnrnpa1 was expressed in both the first heart field (FHF) and second
heart field (SHF) at the cardiac crescent stage but was only maintained in SHF
progenitors after heart tube formation. Hnrnpa1ct/ct homozygous mutants displayed
complete CHD penetrance, including truncated and incomplete looped heart tube at
E9.5, ventricular septal defect (VSD) and persistent truncus arteriosus (PTA) at
E13.5, and VSD and double outlet right ventricle at P0. Impaired development of
the dorsal mesocardium and sinoatrial node progenitors was also observed. Loss of
Hnrnpa1 expression leads to dysregulation of cardiac transcription networks and
multiple signaling pathways, including BMP, FGF, and Notch in the SHF. Finally,
two rare heterozygous mutations of HNRNPA1 were detected in human CHDs. These
findings suggest a role of Hnrnpa1 in embryonic heart development in mice and
humans.
PMID- 29367468
TI - DNA methylation signatures reflect aging in patients with nonalcoholic
steatohepatitis.
AB - A DNA methylation (DNAm) signature (the "Horvath clock") has been proposed as a
measure of human chronological and biological age. We determined peripheral blood
DNAm in patients with nonalcoholic steatohepatitis (NASH) and assessed whether
accelerated aging occurs in these patients. DNAm signatures were obtained in
patients with biopsy-proven NASH and stage 2-3 fibrosis. The DNAm profile from
one test and two validation cohorts served as controls. Age acceleration was
calculated as the difference between DNAm age and the predicted age based on the
linear model derived from controls. Hepatic collagen content was assessed by
quantitative morphometry. The Horvath clock accurately predicts the chronological
age of the entire cohort. Age acceleration was observed among NASH subjects
compared with control data sets and our test controls. Age acceleration in NASH
subjects did not differ by fibrosis stage but correlated with hepatic collagen
content. A set of 152 differentially methylated CpG islands between NASH subjects
and controls identified gene set enrichment for transcription factors and
developmental pathways. Patients with NASH exhibit epigenetic age acceleration
that correlates with hepatic collagen content.
PMID- 29367470
TI - Minimally Invasive Surgical Approach to Filum Lipoma.
AB - Filum terminale lipoma (FTL) causes various spinal symptoms known as tethered
cord syndrome. The treatment for FTL is surgical untethering by sectioning the
FTL, which can prevent symptom progression and often results in improvement of
symptoms. This report describes a minimally invasive surgical strategy that we
have introduced for FTL sectioning. The pediatric patients with FTL since 2007
were treated using this minimally invasive surgical strategy, which we refer to
as an interlaminar approach (ILA). In summary, the surgical technique involves:
minimal skin incision to expose the unilateral ligamentum flavum in the lower
lumbar region; ligamentum flavum incision to expose the dural sac, and dural
incision followed by identification and sectioning of the filum. Postoperatively,
no bed rest was required. Prior to introducing ILA, we had used standard one
level laminectomy/laminotomy (LL) with more than 1 week of postsurgical bed rest
until 2007, providing an adequate control group for the benefit of the ILA. A
total of 49 consecutive patients were treated using ILA. While 37 patients were
treated using LL. Surgical complications that need surgery were seen only in one
patient, who developed cerebrospinal fluid (CSF) leak in LL patients. No
retethering or additional neurological symptoms were seen during follow-up. All
patients complained of minimal postsurgical back pain, but no patients required
postoperative bed rest in ILA patients, while LL patients need postsurgical bed
rest because of back pain. The ILA strategy provides the advantage of a minimal
tissue injury, associated with minimal postoperative pain, blood loss, and bed
rest.
PMID- 29367469
TI - cGAS-mediated control of blood-stage malaria promotes Plasmodium-specific
germinal center responses.
AB - Sensing of pathogens by host pattern recognition receptors is essential for
activating the immune response during infection. We used a nonlethal murine model
of malaria (Plasmodium yoelii 17XNL) to assess the contribution of the pattern
recognition receptor cyclic GMP-AMP synthase (cGAS) to the development of humoral
immunity. Despite previous reports suggesting a critical, intrinsic role for cGAS
in early B cell responses, cGAS-deficient (cGAS-/-) mice had no defect in the
early expansion or differentiation of Plasmodium-specific B cells. As the
infection proceeded, however, cGAS-/- mice exhibited higher parasite burdens and
aberrant germinal center and memory B cell formation when compared with
littermate controls. Antimalarial drugs were used to further demonstrate that the
disrupted humoral response was not B cell intrinsic but instead was a secondary
effect of a loss of parasite control. These findings therefore demonstrate that
cGAS-mediated innate-sensing contributes to parasite control but is not
intrinsically required for the development of humoral immunity. Our findings
highlight the need to consider the indirect effects of pathogen burden in
investigations examining how the innate immune system affects the adaptive immune
response.
PMID- 29367471
TI - Effects of linagliptin monotherapy compared with voglibose on postprandial lipid
profiles in Japanese patients with type 2 diabetes: linagliptin study of effects
on postprandial blood glucose (L-STEP) sub-study 1.
AB - Recently, we reported that linagliptin had equivalent efficacy to voglibose in
reducing postprandial blood glucose levels in drug-naive patients with type 2
diabetes (L-STEP Study). As a sub-study of the L-STEP Study we examined the
effect of linagliptin on postprandial lipids profile. Between October 2012 and
April 2014, the study enrolled patients with type 2 diabetes mellitus who had
inadequate glycemic control. Patients were randomly assigned to either the
linagliptin group (5 mg once daily, n = 85) or the voglibose group (0.2 mg/meal
thrice daily, n = 71). Meal tolerance tests were performed at baseline (week 0)
and endpoint (week 12). The increments in 4-h postprandial triglyceride, remnant
lipoprotein cholesterol (RLP-C), and apolipoprotein B48 (ApoB48) from baseline to
endpoint in the linagliptin group were lower (p < 0.001, p = 0.025 and p <
0.001). 4-h postprandial ApoB48 at endpoint was lower in the linagliptin group (p
= 0.007), and positive correlation was detected between change of ApoB48 and
changes in both triglyceride (r = 0.67, p < 0.001) and RLP-C (r = 0.73, p <
0.001) at 4 h. This study revealed that in drug-naive Japanese patients with
relatively mild type 2 diabetes mellitus, linagliptin improves not only
postprandial blood glucose level but also levels of lipids such as TG and RLP-C
by reducing the ApoB48 level compared with voglibose.
PMID- 29367472
TI - Host-Symbiont Cospeciation of Termite-Gut Cellulolytic Protists of the Genera
Teranympha and Eucomonympha and their Treponema Endosymbionts.
AB - Cellulolytic flagellated protists inhabit the hindgut of termites. They are
unique and essential to termites and related wood-feeding cockroaches, enabling
host feeding on cellulosic matter. Protists of two genera in the family
Teranymphidae (phylum Parabasalia), Eucomonympha and Teranympha, are
phylogenetically closely related and harbor intracellular endosymbiotic bacteria
from the genus Treponema. In order to obtain a clearer understanding of the
evolutionary history of this triplex symbiotic relationship, the molecular
phylogenies of the three symbiotic partners, the Teranymphidae protists, their
Treponema endosymbionts, and their host termites, were inferred and compared.
Strong congruence was observed in the tree topologies of all interacting
partners, implying their cospeciating relationships. In contrast, the
coevolutionary relationship between the Eucomonympha protists and their
endosymbionts was more complex, and evidence of incongruence against cospeciating
relationships suggested frequent host switches of the endosymbionts, possibly
because multiple Eucomonympha species are present in the same gut community.
Similarities in the 16S rRNA and gyrB gene sequences of the endosymbionts were
higher among Teranympha spp. (>99.25% and >97.2%, respectively), whereas those
between Teranympha and Eucomonympha were lower (<97.1% and <91.9%, respectively).
In addition, the endosymbionts of Teranympha spp. formed a phylogenetic clade
distinct from those of Eucomonympha spp. Therefore, the endosymbiont species of
Teranympha spp., designated here as "Candidatus Treponema teratonymphae", needs
to be classified as a species distinct from the endosymbiont species of
Eucomonympha spp.
PMID- 29367474
TI - Cullin-associated NEDD8-dissociated protein 1, a novel interactor of rabphilin
3A, deubiquitylates rabphilin-3A and regulates arginine vasopressin secretion in
PC12 cells.
AB - The molecular mechanism involved in the exocytosis of arginine vasopressin (AVP)
is not fully known. Rabphilin-3A has been suggested as a novel autoantigen in
infundibulo-neurohypophysitis (LINH), which leads to central diabetes insipidus
through insufficient secretion of AVP. However, the role of rabphilin-3A in the
pathogenesis of LINH remains unclear. Thus, the aim of the present study was to
identify proteins binding rabphilin-3A in the posterior pituitary. Using
glutathione S-transferase (GST)-pulldown assays and proteomic analyses, cullin
associated NEDD8-dissociated protein 1 (CAND1) was identified as a rabphilin-3A
binding protein in the posterior pituitary. Co-immunoprecipitation assays
indicated that CAND1 interacted endogenously with rabphilin-3A. In addition,
immunohistochemistry experiments showed that CAND1 immunoreactivity was detected
mainly in the posterior pituitary, intermediate lobe, and the supraoptic nucleus
in the hypothalamus, and less in the anterior lobe, partially co-localizing with
rabphilin-3A. Overexpression of CAND1 resulted in deubiquitylation of rabphilin
3A in PC12 cells. Moreover, overexpression of CAND1 in PC12 cells co-transfected
with AVP enhanced both basal and KCl-stimulated AVP secretion. The findings
indicate that CAND1 inhibits the ubiquitylation of rabphilin-3A and positively
regulates AVP secretion. These data shed light on a novel potential mechanism
involving rabphilin-3A in AVP secretion, and suggest a new role of CAND1 as a
regulator of hormone or neurotransmitter secretion.
PMID- 29367473
TI - Nitrogen Fixation in Thermophilic Chemosynthetic Microbial Communities Depending
on Hydrogen, Sulfate, and Carbon Dioxide.
AB - The activity of nitrogen fixation measured by acetylene reduction was examined in
chemosynthetic microbial mats at 72-75 degrees C in slightly-alkaline sulfidic
hot springs in Nakabusa, Japan. Nitrogenase activity markedly varied from
sampling to sampling. Nitrogenase activity did not correlate with methane
production, but was detected in samples showing methane production levels less
than the maximum amount, indicating a possible redox dependency of nitrogenase
activity. Nitrogenase activity was not affected by 2-bromo-ethane sulfonate, an
inhibitor of methanogenesis. However, it was inhibited by the addition of
molybdate, an inhibitor of sulfate reduction and sulfur disproportionation,
suggesting the involvement of sulfate-reducing or sulfur-disproportionating
organisms. Nitrogenase activity was affected by different O2 concentrations in
the gas phase, again supporting the hypothesis of a redox potential dependency,
and was decreased by the dispersion of mats with a homogenizer. The loss of
activity that occurred from dispersion was partially recovered by the addition of
H2, sulfate, and carbon dioxide. These results suggested that the observed
activity of nitrogen fixation was related to chemoautotrophic sulfate reducers,
and fixation may be active in a limited range of ambient redox potential. Since
thermophilic chemosynthetic communities may resemble ancient microbial
communities before the appearance of photosynthesis, the present results may be
useful when considering the ancient nitrogen cycle on earth.
PMID- 29367475
TI - Triggering of Autophagy by Baicalein in Response to Apoptosis after Spinal Cord
Injury: Possible Involvement of the PI3K Activation.
AB - High level apoptosis induced by spinal cord injury (SCI) evokes serious damage
because of the loss and dysfunction of motor neurons. Our previous studies showed
that inhibition of autophagy evokes the activation of apoptosis. Interestingly,
Baicalein, a medicine with anti-apoptosis activity that is derived from the roots
of herb Scutellaria baicalensis, largely induces autophagy by activating
phosphatidylinositol 3-kinase (PI3K). In this study, we investigated the effects
of intraperitoneal injection of Baicalein on autophagy and apoptosis in SCI mice
and evaluated the relationship between autophagy and apoptosis. We demonstrated
that Baicalein promoted the functional recovery of motor neurons at 7 d after
SCI. In addition, Baicalein enhanced neuronal autophagy and the autophagy-related
factor PI3K, while inhibiting the p62 protein. Baicalein treatment decreased
neuronal apoptosis at 7 d after SCI. Moreover, when inhibiting autophagy,
apoptosis was upgraded by Baicalein treatment after injury. Thus, Baicalein
attenuated SCI by inducing autophagy to reduce apoptosis in neurons potentially
via activating PI3K.
PMID- 29367476
TI - Cardiovascular Structural Interventions - Echo/Computed Tomography-Fluoroscopy
Fusion Imaging Atlas.
PMID- 29367477
TI - Neoatherosclerosis With Napkin Ring Calcification.
PMID- 29367478
TI - Ultrasonic-assisted Aqueous Extraction and Physicochemical Characterization of
Oil from Clanis bilineata.
AB - Ultrasound-assisted aqueous extraction (UAAE) was used to extract oil from Clanis
bilineata (CB), a traditional edible insect that can be reared on a large scale
in China, and the physicochemical property and antioxidant capacity of the UAAE
derived oil (UAAEO) were investigated for the first time. UAAE conditions of CB
oil was optimized using response surface methodology (RSM) and the highest oil
yield (19.47%) was obtained under optimal conditions for ultrasonic power,
extraction temperature, extraction time, and ultrasonic interval time at 400 W,
40 degrees C, 50 min, and 2 s, respectively. Compared with Soxhlet extraction
derived oil (SEO), UAAEO had lower acid (AV), peroxide (PV) and p-anisidine
values (PAV) as well as higher polyunsaturated fatty acids contents and thermal
stability. Furthermore, UAAEO showed stronger antioxidant activities than those
of SEO, according to DPPH radical scavenging and beta-carotene bleaching tests.
Therefore, UAAE is a promising process for the large-scale production of CB oil
and CB has a developing potential as functional oil resource.
PMID- 29367479
TI - Development of gamma-Oryzanol Rich Extract from Leum Pua Glutinous Rice Bran
Loaded Nanostructured Lipid Carriers for Topical Delivery.
AB - Leum Pua is native Thai glutinous rice that contains antioxidants higher than
white rice and other colored rice. One of the major antioxidants in rice brans is
gamma-oryzanol (GO). In this study, Leum Pua glutinous rice bran was extracted by
different solvents. Oleic acid (~40 g/100 g extract), linoleic acid (~30 g/100 g
extract), and palmitic acid (~20 g/100 g extract) were found to be major lipid
components in the extracts. Methanol extract showed less variety of lipid
components compared to the others. However, hexane extract showed the highest
percent of gamma-oryzanol compared to other solvents. Therefore, the hexane
extract was selected to prepare nanostructured lipid carriers (NLC). The prepared
NLC had small particles in the size range of 142.9 +/- 0.4 nm for extract-loaded
NLC and 137.1 +/- 0.5 nm for GO-loaded NLC with narrow size distribution (PI <
0.1) in both formulations. The release profile of extract-loaded NLC formulation
was slightly higher than GO-loaded NLC formulation. However, they did not follow
the Higuchi model because of small amounts of gamma-oryzanol loaded in NLC
particles.
PMID- 29367480
TI - Effects of Extraction Methods on Phytochemicals of Rice Bran Oils Produced from
Colored Rice.
AB - Rice bran oil (RBO) especially from colored rice is rich in phytochemicals and
has become popular in food, cosmetic, nutraceutical and pharmaceutical
applications owing to its offering health benefits. This study determined the
contents of phytochemicals including oryzanols, phytosterols, tocopherols (Toc)
and tocotrienols (T3) in RBOs extracted using different methods namely cold-press
extraction (CPE), solvent extraction (SE) and supercritical CO2 extraction (SC
CO2). Two colored rice, Red Jasmine rice (RJM, red rice) and Hom-nin rice (HN,
black rice), were studied in comparison with the popular Thai fragrant rice Khao
Dawk Mali 105 (KDML 105, white rice). RBOs were found to be the rich source of
oryzanols, phytosterols, Toc and T3. Rice varieties had a greater effect on the
phytochemicals concentrations than extraction methods. HN rice showed the
significantly highest concentration of all phytochemicals, followed by RJM and
KDML 105 rice, indicating that colored rice contained high concentration of
phytochemicals in the oil than non-colored rice. The RBO samples extracted by the
CPE method had a greater concentration of the phytochemicals than those extracted
by the SC-CO2 and SE methods, respectively. In terms of phytochemical contents,
HN rice extracted using CPE method was found to be the best.
PMID- 29367481
TI - Laundry Detergency of Solid Non-Particulate Soil Using Microemulsion-Based
Formulation.
AB - Laundry detergency of solid non-particulate soil on polyester and cotton was
investigated using a microemulsion-based formulation, consisting of an anionic
extended surfactant (C12,13-4PO-SO4Na) and sodium mono-and di-methyl naphthalene
sulfonate (SMDNS) as the hydrophilic linker, to provide a Winsor Type III
microemulsion with an ultralow interfacial tension (IFT). In this work, methyl
palmitate (palmitic acid methyl ester) having a melting point around 30 degrees
C, was used as a model solid non-particulate (waxy) soil. A total surfactant
concentration of 0.35 wt% of the selected formulation (4:0.65 weight ratio of
C12,13-4PO-SO4Na:SMDNS) with 5.3 wt% NaCl was able to form a middle phase
microemulsion at a high temperature (40 degrees C),which provided the highest oil
removal level with the lowest oil redeposition and the lowest IFT, and was much
higher than that with a commercial detergent or de-ionized water. Most of the
detached oil, whether in liquid or solid state, was in an unsolubilized form.
Hence, the dispersion stability of the detached oil droplets or solidified oil
particles that resulted from the surfactant adsorption played an important role
in the oil redeposition. For an oily detergency, the lower the system IFT, the
higher the oil removal whereas for a waxy (non-particulate) soil detergency, the
lower the contact angle, the higher the solidified oil removal. For a liquefied
oil, the detergency mechanism was roll up and emulsification with dispersion
stability, while that for the waxy soil (solid oil) was the detachment by
wettability with dispersion stability.
PMID- 29367482
TI - Value-addition of Beef Meat By-products: Lipid Characterization by
Chromatographic Techniques.
AB - The lipid characterization of storage fat, subcutaneous fat and bone marrow,
originated from three different bovine categories, calf, young bull and cow, was
carried out in order to develop a re-use of these by-products. After the lipid
extraction, the compositions in fatty acids, triacylglycerols and cholesterol
were determined by GC-FID. A total of 25 fatty acids were identified in all by
products; the oleic acid was the preponderant component, followed by palmitic,
stearic, palmitoleic, linoleic and myristic acid. The long chain triacylglycerols
(T48, T50 and T52) were the main products, especially in the cow's by-products.
The subcutaneous fat of all animals showed the highest cholesterol content,
whereas it was present in low amount in the others. Phospholipids were analysed
in bone marrow of all the animals and phosphatidylcholine was the most abundant
compound. Because of the high fat content and essential fatty acids and the low
cholesterol amount, storage fat and bone marrow could represent a valuable lipid
resource in food and pharmaceutical industry.
PMID- 29367483
TI - Effect of Alcohols on the Phase Behavior and Emulsification of a Sucrose Fatty
Acid Ester/Water/Edible Oil System.
AB - The effect of alcohols (ethanol, 1-propanol, propylene glycol, glycerin, sucrose)
on the phase behavior and emulsification of sucrose stearic acid ester
(SSE)/water/edible vegetable oil (EVO) systems was investigated. Adding sucrose,
propylene glycol, and glycerin narrowed the oil-separated two-phase region in the
phase diagram of the SSE/water/EVO systems, whereas adding ethanol and 1-propanol
expanded the oil-separated two-phase region. Changing the course of
emulsification in the phase diagram showed that the size of the oil-droplet
particle typically decreased in a system with a narrowed oil-separated region.
The emulsification properties of the systems varied with respect to changes in
the phase diagram. The microstructure of the systems was examined using small
angle X-ray scattering, and the ability to retain the oil in the lamellar
structure of the SSEs was suggested as an important role in emulsification,
because the mechanism of the systems was the same as that for the liquid crystal
emulsification method.
PMID- 29367484
TI - Preparation of Chiral Triacylglycerols, sn-POO and sn-OOP, via Lipase-mediated
Acidolysis Reaction.
AB - It is well known that lipases are useful tools for preparing various structured
triacylglycerols (TAGs). However, the lipase-mediated preparation of chiral TAGs
has never been reported. This study aimed to prepare chiral TAGs (viz., 1
palmitoyl-2,3-dioleoyl-sn-glycerol (sn-POO) or 1,2-dioleoyl-3-palmitoyl-sn
glycerol (sn-OOP)) via lipase mediated acidolysis, using triolein (TO) and
palmitic acid (P) as substrates. Three commercially available lipases (viz.,
Lipozyme RM-IM(r), Lipozyme TL-IM(r), and Lipase OF(r)) were used. Lipozyme RM
IM(r) resulted in an increase 1P-2O (sn-POO + sn-OOP + 1,3-dioleoyl-2-palmitoyl
sn-glycerol) content with reaction time, which plateaued at 2~24 h (max. yield
47.1% at 4 h). The highest sn-POO/sn-OOP ratio of ca. 9 was obtained at 0.25 h,
and the rate got close to 1 with reaction time (sn-POO/sn-OOP = 1.3 at 24 h).
Lipozyme TL-IM(r) resulted in a lower 1P-2O synthesis rate than Lipozyme RM
IM(r), where its highest sn-POO/sn-OOP ratio of ca. 2 was obtained at 0.25 h and
did not vary much further with reaction time. In the case of Lipase OF(r), its
reaction rate for 1P-2O synthesis was lower than that of the other two lipases,
and the highest sn-POO/sn-OOP ratio of ca. 1.4 was obtained at 0.5 h, reaching
closer to 1 with a longer reaction time. Reaction solvents (viz., hexane,
acetone, and benzene) also affected the 1P-2O preparation, where the highest 1P
2O content was obtained with the solvent-free system. Furthermore, the solvent
free system showed a higher reaction rate for 1P-2O synthesis than did the hexane
system, with no effect on chiral specificity of the lipase for the TAG molecules.
These results suggested that among three types of commercial lipase, Lipozyme RM
IM(r) is the most useful for the preparation of chiral TAGs by acidolysis
reaction.
PMID- 29367485
TI - Safety Evaluation and Plasma Carotenoid Accumulation in Healthy Adult Subjects
after 12 Weeks of Paprika Oleoresin Supplementation.
AB - Paprika oleoresin is obtained by solvent extraction from Capsicum annuum L.
fruits and contains multiple carotenoids, such as capsanthin, beta-carotene,
zeaxanthin, and beta-cryptoxanthin, which are considered protective against
various diseases. Herein, we investigated the effect of paprika oleoresin
supplementation on plasma carotenoid accumulation and evaluated the safety of the
oleoresin. We used a double-blinded, placebo-controlled comparative clinical
study design and tested the effects of varying doses in healthy adult subjects.
In total, 33 subjects were randomly divided into three groups to take capsules
containing 0, 20, or 100 mg of paprika oleoresin daily for 12 consecutive weeks.
Plasma carotenoid concentrations were measured at 0, 4, 8, and 12 weeks, and the
safety of paprika oleoresin capsules was investigated using analyses of blood
biochemistry, hematology, and urine contents. In these experiments, beta
cryptoxanthin and zeaxanthin dose-dependently accumulated in plasma within the
dose range of the study over 12 consecutive weeks of paprika oleoresin
supplementation. Moreover, beta-cryptoxanthin accumulated to higher levels than
the other paprika oleoresin carotenoids. In contrast, capsanthin was not detected
in plasma before or during the 12-week treatment period. Finally, no adverse
events were associated with intake of paprika oleoresin (20 and 100 mg/day) in
safety evaluations. Paprika oleoresin is a suitable source of carotenoids,
especially beta-cryptoxanthin.
PMID- 29367486
TI - Improvement of Diet-induced Obesity by Ingestion of Mushroom Chitosan Prepared
from Flammulina velutipes.
AB - The anti-obesity effects of mushroom chitosan prepared from Flammulina velutipes
were investigated using an animal model with diet-induced obesity. In this study,
5-week-old imprinting control region (ICR) mice were divided into six groups of
10 mice each and fed different diets based on the MF powdered diet (standard
diet) for 6 weeks: standard diet control group, high-fat diet control group
(induced dietary obesity) consisting of the standard diet and 20% lard, and
mushroom chitosan groups consisting of the high-fat diet with mushroom chitosan
added at 100, 500, 1,000, and 2,000 mg/kg body weight. On the final day of the
experiment, mean body weight was 39.1 g in the high-fat control group and 36.3 g
in the 2,000 mg/kg mushroom chitosan group, compared to 35.8 g in the standard
diet control group. In the mushroom chitosan groups, a dose-dependent suppression
of weight gain and marked improvements in serum triglycerides, total cholesterol,
LDL-cholesterol, and HDL-cholesterol were found. The mushroom chitosan groups
showed fewer and smaller fat deposits in liver cells than the high-fat diet
control group, and liver weight was significantly reduced. Glutamic oxaloacetic
transaminase (GOT) and glutamate pyruvic transaminase (GPT), which are indices of
the hepatic function, all showed dose-dependent improvement with mushroom
chitosan administration. These results suggested that mushroom chitosan acts to
suppress enlargement of the liver from fat deposition resulting from a high-fat
diet and to restore hepatic function. The lipid content of feces showed a marked
increase correlated with the mushroom chitosan dose. These findings suggest the
potential use of mushroom chitosan as a functional food ingredient that
contributes to the prevention or improvement of dietary obesity by inhibiting
digestion and absorption of fats in the digestive tract and simultaneously
promotes lipolysis in adipocytes.
PMID- 29367487
TI - Optimization of Enzymatic Cell Disruption for Improving Lipid Extraction from
Schizochytrium sp. through Response Surface Methodology.
AB - This study is aimed to explore the optimal conditions of cell disruption in the
extraction algae oil process, using alkaline protease to disrupt cell of
Schizochytrium sp. to extract oil in this paper. The effects of enzymatic lysis
temperature, enzymatic lysis time, enzyme dosage and pH value on oil yield and
DHA yield were studied. Through the combination of single factor test and
response surface design, the optimal cell disruption conditions were screened
out. The fatty acid composition of algal oil was analyzed by gas chromatography
massspectrometry (GC-MS). The results showed that when the conditions were:
enzymatic lysis temperature 55 degrees C, enzymatic lysis time 9 h, enzyme dosage
3% of biomass and pH 8,oil yield and DHA yield reached the highest 14.52 g/L and
7.12 g/L, respectively. When the strains were cultured in 50 L fermentor, oil
yield reached 26.27 g/L and DHA yield reached 12.89 g/L. They were 1.81 times
higher than that in shake-flask cultivation. The optimization experiment provides
the basis for the industrial production of Schizochytrium sp.
PMID- 29367488
TI - Production of Ethylene through Ethanol Dehydration on SBA-15 Catalysts
Synthesized by Sol-gel and One-step Hydrothermal Methods.
AB - The present work deals with the catalytic performance of SBA-15 supported
catalysts in the gas phase catalytic dehydration of ethanol in the temperature
range of 200 to 400 degrees C. The SBA-15 support was incorporated on a zirconium
(Zr) and bimetal of zirconium and lanthanum (Zr-La) prepared by sol-gel (SG) and
hydrothermal (HT) methods. The catalysts were characterized by means of N2
physisorption, SEM/EDX, and NH3-TPD. The experimental results demonstrated that
the Zr-La/SBA-15-HT exhibited the highest catalytic activity. Ethanol conversion
and ethylene selectivity were found to increase with increased reaction
temperature. The best catalytic results were achieved for Zr-La/SBA-15-HT
indicating values of ethanol conversion and ethylene yield of ca. 84% and 80%,
respectively at 400 degrees C. The most important parameter influencing their
catalytic properties appears to be the interaction between metal and support
depending on different methods. The metal dispersion inside the siliceous matrix
of SBA-15 has a direct influence on their surface acidity. Meanwhile, the
performance of these SBA-15 supported catalysts in ethanol dehydration is also
related with the alteration of surface acidity caused by the introduction of Zr
and Zr-La.
PMID- 29367489
TI - Small Angle X-ray Scattering and Electron Spin Resonance Spectroscopy Study on
Fragrance Infused Cationic Vesicles Modeling Scent-Releasing Fabric Softeners.
AB - Industrially relevant systems for household and personal-care products often
involve a large number of components. Such multiple component formulations are
indispensable and effective for functionalization of the products, but may
simultaneously provide more complex structural features compared to those in
ideal systems comprising a smaller number of highly pure substances. Using
cryogenic transmission electron microscopy (cryo-TEM), small angle X-ray
scattering (SAXS), and electron spin resonance (ESR) spectroscopy, we have
investigated effects of fragrance-incorporation into cationic vesicles on their
bilayer structures and membrane-membrane interactions. Cationic vesicles were
prepared from TEQ surfactant, whose major component was di(alkyl fatty ester)
quaternary ammonium methosulfate, and fragrance components, l-menthol, linalool,
and d-limonene, were infused into the vesicle membranes to model scent-releasing
fabric softeners. The cryo-TEM images confirm formation of multilamellar vesicles
(MLVs). Generalized indirect Fourier transformation (GIFT) analysis of the SAXS
intensities based on the modified Caille structure factor model reveals that
incorporation of a more hydrophobic fragrance component leads to a more
pronounced increase of the surface separation (water layer thickness).
Furthermore, the fragrance-infused systems show longer-range order of the bilayer
correlations and enhanced undulation fluctuation of the membranes than those in
the TEQ alone system. The spin-label ESR results indicate different restricted
molecular motions in the TEQ bilayers depending on the labeled position and their
marked changes upon addition of the fragrance components, suggesting different
mixing schemes and solubilization positions of the fragrance molecules in the TEQ
bilayers. The present data have demonstrated how the infused fragrance molecules
having different hydrophobicity and molecular architectures into the cationic
vesicles affect the membrane structures and the intermembrane interactions, which
may provide useful information for precisely controlling a fragrance-releasing
property.
PMID- 29367490
TI - Aggregation and pH Responsive Behavior of Thioester Surfactants and Formation of
Disulfide Linkages in Aqueous Solutions.
AB - pH responsive surfactants, [C12H25N(CH3)2(CH2)nSCOCH3]Br (C12nSAc, n = 4, 11,
12), were prepared, and their properties in aqueous solution were examined. The
critical micelle concentration (cmc) and critical vesicle concentration (cvc)
were determined based on changes in conductivity, as well as by fluorescence
measurements, and light scattering methods. A significant increase in the light
scattering intensities of the C12nSAc (n=11, 12) systems suggested that the
growth of aggregates was accompanied by considerable counterion binding with
increasing surfactant concentration. The diameter of C1211SAc, recorded by the
dynamic light scattering measurements, was about 9.6 +/-1.0 nm, which was
slightly smaller than that for didodecyldimethylammonium bromide (DDAB) vesicles.
The thioester group was easily hydrolyzed upon the addition of NaOH, while it was
hardly hydrolyzed with the addition of HCl. The time course of alkaline
hydrolysis was examined by the conductivity measurements and high-performance
liquid chromatography analysis. [C12H25N(CH3)2(CH2)11SS(CH2)11N(CH3)2C12H25]2Br
(2C1211SS) was generated in the C1211SAc alkaline solution because of air
oxidation. The C1211SAc alkaline solution gradually became an opaque blue color
with increasing light scattering at 346 nm, indicating the remarkable growth of
vesicles. The chemical structure of 2C1211SS was consistent with that of a
disulfide linked double tailed surfactant, similar to DDAB. The disulfide linkage
between the double tailed surfactants will contribute to the stabilization and
growth of vesicles.
PMID- 29367491
TI - Inhibitory effect of Lactococcus lactis on the bioactivity of
periodontopathogens.
AB - Lactococcus lactis is a probiotic bacterium that produces various bacteriocins.
Periodontopathogens induce inflammation and halitosis through the actions of
lipopolysaccharide (LPS) and trypsin-like enzymes. The purpose of this study was
to investigate the inhibitory effects of L. lactis on the bioactivity of
periodontopathogens. To investigate the antimicrobial peptide of L. lactis, the
spent culture medium (SCM) of L. lactis was treated with or without proteinase K
after collection by centrifugation, and the antibacterial activity of SCM against
periodontopathogens was assessed. To evaluate the neutralizing effect of L.
lactis on halitosis, SCM of periodontopathogens was mixed with an L. lactis
suspension, and the levels of volatile sulfur compounds (VSCs) were measured by
gas chromatography. LPS from the periodontopathogens was extracted by an LPS
extraction kit with little modification, and THP-1 cells as a monocytic cell line
were treated with the extracted LPS in the presence or absence of UV-killed L.
lactis. The production of inflammatory cytokines was analyzed by ELISA. The SCM
of L. lactis exhibited antimicrobial activity against the periodontopathogens,
whereas the proteinase K-treated SCM showed little antimicrobial activity. In
addition, the L. lactis suspension had a neutralizing effect on the VSCs produced
by periodontopathogens, and UV-killed L. lactis inhibited the production of IL-6
and TNF-alpha induced by the LPS. These results suggest that L. lactis may be a
useful probiotic to prevent and treat periodontitis and halitosis.
PMID- 29367493
TI - Substrate specificity of Nudix hydrolases from Myxococcus xanthus.
PMID- 29367492
TI - Mumiamicin: Structure and bioactivity of a new furan fatty acid from Mumia sp.
YSP-2-79.
AB - A new antibiotic, designated mumiamicin, was isolated from the cultured broth of
the rare actinomycete strain, Mumia sp. YSP-2-79, by Diaion HP-20, silica gel and
ODS column chromatography, followed by HPLC purification. The chemical structure
of mumiamicin was elucidated as a new furan fatty acid by nuclear magnetic
resonance and mass spectrometry. Mumiamicin showed antimicrobial activity and
antioxidative activity.
PMID- 29367494
TI - [Amendment Ordinance on Safety and Health of Work under High Pressure and
Occupational Health].
PMID- 29367496
TI - Lingual ganglioneuroma in a dog.
AB - A mass was found at the base of the dorsum linguae of a male 11-year-old Labrador
retriever. The tumor comprised of ganglion cells and Schwannian cells with
Verocay bodies. The ganglion cells were positive for neuron-specific enolase, S
100, nerve growth factor receptor, and beta III tubulin. The Schwannian cells
were positive for neuron-specific enolase, S-100, nerve growth factor receptor,
and glial fibrillary acidic protein. The lingual mass was diagnosed as a
ganglioneuroma. To our knowledge, there has been no previous report of a lingual
ganglioneuroma in a dog.
PMID- 29367495
TI - Late pregnancy is vulnerable period for exposure to BPA.
AB - Bisphenol A (BPA) is among the better-known endocrine disruptors. BPA is used in
various food-contacting materials and is easily eluted into food; as a result, we
are exposed to BPA on a daily basis. In adults, BPA is metabolized and eliminated
rapidly from the body. However, numerous reports suggest that fetuses and young
children are susceptible to BPA. One of the concerning adverse effects of BPA is
disruption of behavior, especially anxiety-like behavior. In order to study the
mechanism of influences on offspring, it is important to clarify the most
vulnerable gestation period. We hypothesized that offspring in late pregnancy
would be more susceptible to BPA, because late pregnancy is a critical time for
functional brain development. In this study, C57BL/6 mouse fetuses were exposed
prenatally by oral dosing of pregnant dams, once daily from gestational day 5.5
to 12.5 (early pregnancy) or 11.5 to 18.5 (late pregnancy), with BPA (0 or 10
mg/kg body weight). Following birth and weaning, the resulting pups were tested
using an elevated plus maze at postnatal week 10. The behavior of the offspring
was altered by prenatal BPA exposure during late pregnancy but not during early
pregnancy. These results indicated that offspring are more vulnerable to exposure
to BPA in late pregnancy.
PMID- 29367497
TI - Registry Assessment of Peripheral Interventional Devices (RAPID) - Registry
Assessment of Peripheral Interventional Devices Core Data Elements.
AB - BACKGROUND: The current state of evaluating patients with peripheral artery
disease and more specifically of evaluating medical devices used for peripheral
vascular intervention (PVI) remains challenging because of the heterogeneity of
the disease process, the multiple physician specialties that perform PVI, the
multitude of devices available to treat peripheral artery disease, and the lack
of consensus about the best treatment approaches. Because PVI core data elements
are not standardized across clinical care, clinical trials, and registries,
aggregation of data across different data sources and physician specialties is
currently not feasible.Methods and Results:Under the auspices of the U.S. Food
and Drug Administration's Medical Device Epidemiology Network initiative-and its
PASSION (Predictable and Sustainable Implementation of the National Registries)
program, in conjunction with other efforts to align clinical data standards-the
Registry Assessment of Peripheral Interventional Devices (RAPID) workgroup was
convened. RAPID is a collaborative, multidisciplinary effort to develop a
consensus lexicon and to promote interoperability across clinical care, clinical
trials, and national and international registries of PVI. The current manuscript
presents the initial work from RAPID to standardize clinical data elements and
definitions, to establish a framework within electronic health records and health
information technology procedural reporting systems, and to implement an
informatics-based approach to promote the conduct of pragmatic clinical trials
and registry efforts in PVI. CONCLUSIONS: Ultimately, we hope this work will
facilitate and improve device evaluation and surveillance for patients,
clinicians, health outcomes researchers, industry, policymakers, and regulators.
PMID- 29367500
TI - Mucoepidermoid Carcinoma of Arising from a Bronchogenic Cyst of the Diaphragm.
AB - INTRODUCTION: Bronchogenic cysts may rupture or become infected, and malignant
degeneration may occur. Although various types of malignant degeneration have
been described, only a few reports of mucoepidermoid carcinoma arising from a
bronchogenic cyst have been published. We report such a case. CASE: A 77-year-old
female was referred to our institution for evaluation of left chest pain. A
computed tomography scan showed an enhancing 65 * 70 mm mass of the left
diaphragm. Based on the intraoperative findings of an intradiaphragmatic tumor
involving the lower lobe of the left lung, the resection of the tumor with the
wedge resection of left lower lobe and partial resection of the left diaphragm
was performed. Histopathologic examination revealed a mucoepidermoid carcinoma
arising from a bronchogenic cyst of the diaphragm with the presence of fibrous
adhesion to the lower lobe. CONCLUSION: We believe that complete resection of any
bronchogenic cyst is justified.
PMID- 29367501
TI - Is Pleurectomy/Decortication Superior to Extrapleural Pneumonectomy for Patients
with Malignant Pleural Mesothelioma? A Single-Institutional Experience.
AB - PURPOSE: This study was performed to compare the outcome of
pleurectomy/decortication (P/D) with that of extrapleural pneumonectomy (EPP) for
patients with malignant pleural mesothelioma (MPM). METHODS: Patients with MPM
underwent either P/D or EPP from August 2008 to December 2014. Various
clinicopathological factors were analyzed to identify differences between the two
procedures. RESULTS: P/D was performed in nine patients and EPP in 30 patients.
Most of the patients' background characteristics were not significantly different
between the groups. The surgery time (680 vs. 586 min, p = 0.0034) and bleeding
volume (4050 vs. 2110 mL, p = 0.002) were significantly greater in P/D than in
EPP; however, grade >=3 complications (44% vs. 33%, p = 0.54) and length of
postoperative hospital stay (29 vs. 37 days, p = 0.26) were not significantly
different. The median survival time and 2- and 3-year survival rates in all
patients were 16.7 months, 28.5%, and 15.3%, respectively. The median survival
time and 2- and 3-year survival in the P/D and EPP groups were 22.5 months,
43.8%, and 43.8% and 16.5 months, 24.0%, and 14.4%, respectively (p = 0.13).
CONCLUSION: Survival of patients with MPM remains poor despite multidisciplinary
treatment. P/D is comparable with EPP and could be a safe and another surgical
treatment for patients with MPM.
PMID- 29367502
TI - [CHYLOUS LEAKAGE AFTER LAPAROSCOPIC RENAL AND ADRENAL SURGERY].
AB - (Objectives) It is recognized that Chylous leakage is a rare complication but is
a relevant clinical problem after major abdominal surgery. It was occasionally
reported in urologic surgery, but the data about its incidence and treatment
outcome is still lacking. In this study, we reviewed our cases of chylous leakage
after laparoscopic adrenalectomy or nephrectomy. (Patients and methods) From
January 2005 to September 2014, laparoscopic adrenalectomies or nephrectomies
were performed in 300 patients. The factors that affected the development of
chylous leakage were analyzed. (Results) The overall incidence of chylous leakage
was 4.3% (13 of 300 cases). All chylous leakage was seen on the left side, and it
was commonly developed in patients with lymph node dissection or excessive hilar
dissection around the left renal pedicle. Importantly, all cases were
successfully managed conservatively by a low fat diet with or without octreotide.
(Conclusions) Our results suggest that chylous leakage is not a rare complication
after laparoscopic adrenalectomy or nephrectomy, but most cases can be treated
conservatively. Chylous leakage can occur in patients with lymphadenectomy or
hilar dissection on the left side.
PMID- 29367503
TI - [ROBOT-ASSISTED RADICAL PROSTATECTOMY FOR MEN AGE 75 AND OLDER].
AB - (Objectives) Surgical treatment prostate cancer in elderly patients is
controversial. However, robot-assisted radical prostatectomy (RARP) is a less
invasive procedure than conventional surgery. Therefore, we perform RARP for
elderly patients whose general condition is good (Performance status <=1). The
aim of this study is to evaluate surgical, oncological and functional outcomes
for RARP in men age 75 and older. (Patients and methods) From July 2013 to April
2016, 300 patients underwent RARP at our institution. They were divided into two
groups: an older patient group (>=75 years) and a younger patient group (<75
years). Treatment outcomes for each group, including surgical, oncological and
functional outcomes, were compared. (Results) There were no statistically
significant differences in surgical outcomes with the exception of nerve sparing
rates (older patients: 5.9% vs. younger patients: 17.7%, P=0.0192). Importantly,
intra- and postoperative complication rates were similar in both groups (minor
complication: 7.4% vs. 3.9%, P=0.322, major complication: 0.0% vs. 2.2%,
P=0.592). Regarding oncological outcomes, including positive surgical margin rate
and PSA failure (PSA>0.2 ng/ml) at 12 months after surgery, no significant
differences existed. Lastly, functional outcomes between the groups, including
continence (<=1 pads/day) at 12 months after surgery, had no significant
differences. (Conclusions) Our data suggests that RARP can be performed safely
for men age 75 and older, and can become a good option for older patients with
prostate cancer.
PMID- 29367504
TI - [SAFETY AND EFFICACY OF CONTINUOUS ADMINISTRATION OF ANTITHROMBOTIC DRUGS DURING
TRANSURETHRAL RESECTION OF BLADDER TUMORS].
AB - (Objectives) We evaluated the safety and efficacy of continuous administration of
antithrombotic drugs during transurethral resection of bladder tumors (TURBT).
(Methods) We performed a retrospective review of 351 TURBT procedures performed
at our institute from April 2011 to October 2015. Among these, antithrombotic
drugs were continued in 31 TURBT cases throughout their perioperative period
(continuation group), antithrombotic drugs were discontinued in 26 TURBT cases
(discontinuation group), and bridging anticoagulation therapy with heparin after
interruption of antithrombotic drugs was performed in 4 TURBT cases (heparin
bridging group). The safety and efficacy of continuous administration of
antithrombotic drugs during TURBT was evaluated by comparing the rate of
perioperative complications, median operative time, duration of hematuria,
urethral catheter placement, duration of stay after surgery, and by comparing the
duration of stay among the three groups. (Results) The median operative time was
40.0 min in the continuation group, 39.0 min in the discontinuation group, and
31.0 min in the heparin bridging group with no significant differences. There
were no significant differences in the median duration of hematuria (1.00 days
vs. 1.00 days vs. 1.00 days), urethral catheter placement (3.00 days vs. 2.50
days vs. 2.00 days), or stay after TURBT (4.00 days vs. 3.50 days vs. 3.00 days)
among the continuation, discontinuation, and heparin bridging groups. The median
duration of stay was 6.00 days in the continuation group, 7.00 days in the
discontinuation group, and 16.0 days in the heparin bridging group with
significant differences between the continuation group vs. the heparin bridging
group and the discontinuation group vs. the heparin bridging group. The rate of
complications was 6 (19.4%) in the continuation group and 3 (11.5%) in the
discontinuation group with no significant differences. However, a serious
complication, cerebral infarction leading to hemiplegia, occurred in the
discontinuation group. (Conclusion) Continuous administration of antithrombotic
drugs during TURBT is considered to be safe and useful because it may prevent
serious thromboembolism without adversely affecting the perioperative course.
PMID- 29367505
TI - [CORRESPONDENCE OF POLYMICROBIAL BACTERIURIA IN THE UNCOMPLICATED URINARY TRACT
INFECTION OF THE PREMENOPAUSAL WOMAN].
AB - (Objectives) We report the clinical features about polymicrobial bacteria
detection cases in the uncomplicated urinary tract infection of the premenopausal
woman from the voided midstream urine culture. (Methods) We retrospectively
reviewed the premenopausal woman from 18-49 years patients visited Sendai City
Hospital from April, 2006 to December, 2014, diagnosed uncomplicated cystitis or
uncomplicated pyelonephritis. We analyzed for 375 specimens from the voided
midstream urine culture. (Results) Among 375 specimens, the urine culture
positive for uropathogens were 211 specimens. The monomicrobial bacterial were
detected in 184 specimens (87.2%) and polymicrobial bacterial specimens were 27
specimens (12.8%). The most combination group was the caused bacteria and
periurethral microorganisms in 20 specimens (74.1%). Then 6 periurethral
microorganisms specimens (22.2%), the caused bacteria were only 1 specimen was
overlapped (3.7%). The case of urinary tract infections recurrence or revealed
voiding dysfunction that need periodic treatment were more prevalent in the
polymicrobial than the monomicrobial group (22.2% vs 9.8%, p=0.043).
(Conclusions) When polymicrobial bacteria were detected in uncomplicated urinary
tract infection in premenopausal woman, it was confirmed that there were the most
combinations of caused bacteria and periurethral microorganisms. In these cases,
treatment intended for only the caused bacteria. A risk of the infection
recurrence and voiding dysfunction were statistically significant higher rate in
the polymicrobial bacteria detection cases, and it might be necessary to consider
that search to complicated urinary tract infection.
PMID- 29367506
TI - [LAPAROSCOPIC LYMPHADENECTOMY FOR POSTOPERATIVE LYMPH-NODE METASTASIS OF RENAL
MUCINOUS TUBULAR AND SPINDLE CELL CARCINOMA: A CASE REPORT].
AB - We describe renal mucinous tubular and spindle cell carcinoma (MTSCC) that
metastasized to the lymph nodes seven years after radical nephrectomy. An 80-year
old man was admitted for treatment of a right renal tumor. A 6.5*6.0-cm tumor in
the right kidney (cT1bN0M0) revealed by abdominal computed tomography was treated
by laparoscopic radical nephrectomy. The pathological findings at that time
suggested papillary renal cell carcinoma type 1. Imaging findings seven years
later revealed enlarged pre-caval and right external iliac lymph nodes indicative
of delayed metastasis, and these were treated by laparoscopic lymphadenectomy.
The pathological findings and re-evaluation of the primary tumor suggested MTSCC.
The patient remains free of metastasis at 24 months of follow-up. MTSCC has been
a distinct entity in the World Health Organization classification of kidney
tumors since 2004. Tumors consist of tubules and cords separated by pale mucinous
material in some areas, whereas others have dense cellularity without significant
mucin. They are usually of low malignant potential, and metastasis has rarely
been reported. To our knowledge, this is the first report of MTSCC with
retroperitoneal lymph node metastasis treated by lymphadenectomy.
PMID- 29367507
TI - [A CASE OF METASTATIC BLADDER UROTHELIAL CARCINOMA WHOSE DISEASE WAS CONTROLLED
FOR A RELATIVE LONG PERIOD BY MULTIDISCIPLINARY TREATMENT INCLUDING RADIATION TO
A LUNG METASTASIS AND MULTIPLE COURSES OF CHEMOTHERAPY].
AB - A 67-year-old woman complained of urinary frequency and gross hematuria. She was
referred to our hospital with the diagnosis of bladder tumor. Transurethral
resection of the bladder tumor (TURBT) was performed and pathological diagnosis
was urothelial carcinoma (G2>G3) with muscular invasion. Because she hoped
bladder preservation therapy, she received two courses of M-VAC (methotrexate,
vinblastine, doxorubicin and cisplatin) therapy, one course of arterial infusion
chemotherapy, and chemoradiotherapy with cisplatin. After those therapies she
underwent 4 times of TURBT and two courses intravesical BCG therapy. However,
solitary lung metastasis appeared 19 months after the initial TURBT.
Multidisciplinary treatments including 25 courses of various multiagent
chemotherapies, radiation therapy to the lung metastasis and surgical resection
of a urethral recurrence were performed. Although a localized radiation
pneumonitis was developed, the lung metastasis appeared to be controlled for 26
months after the radiation therapy to the lung. Bilateral ureteral and renal
pelvic tumors appeared after the radiation therapy. Severe bleeding was occurred
from renal pelvic tumors several times and she needed the hospital stay 2 times.
Active bleeding stopped after the administration of chemotherapy each time.
Although she died of occlusive jaundice due to the liver metastasis, she had been
alive due to the multidisciplinary treatment for 67 months after lung metastasis
appearance with relatively good performance status.
PMID- 29367508
TI - [CUTANEOUS METASTASIS OF UROTHERIAL CARCINOMA FOR WHICH MOHS PASTE WAS USEFUL: A
CASE REPORT].
AB - The patient was a 71-year-old man referred to our department because of
asymptomatic gross hematuria. Detailed examination led to a diagnosis of
bilateral ureteral cancer and prostate cancer. Total nephroureterectomy was
performed for bilateral ureteral cancers on separate occasions, while prostate
cancer was managed with hormone therapy. Because multiple bladder tumors were
found by cystoscopy conducted after 3 months, total cystectomy was performed.
Neither distant metastasis nor local recurrence had developed, 2 years later,
when the patient visited our department again because of glans enlargement and
received a diagnosis of penile cancer. Partial penectomy was performed, and a 1
cm indurated nodule was found in the skin of the hypogastric region during
surgery and treated by simultaneous wedge-shaped excision. Histopathologically,
the lesions were urothelial carcinomas. Multiple metastatic foci occurred in the
skin postoperatively centering on the hypogastric region, growing rapidly and
accompanied by bleeding and exudate causing a bad odor. Topical application of
Mohs paste resulted in dramatic improvement of the pain and exudate, which
thereby improved the patient's quality of life (QOL) to a level enabling
discontinuation of narcotic drug therapy for cancer-related pain. Thereafter,
hepatic metastasis was found and chemotherapy with gemcitabine and paclitaxel was
administered. The patient did not respond to this chemotherapy and died of cancer
3 months later. Cutaneous metastasis of urothelial carcinoma, which is a very
rare condition, is often managed with palliative therapy due to the lack of
response to anticancer chemotherapy. In such cases, the use of Mohs paste appears
to be of great value for maintaining the patient's QOL which otherwise might
deteriorate markedly.
PMID- 29367509
TI - [FEMALE PARAURETHRAL LEIOMYOSARCOMA: A CASE REPORT].
AB - A 54-year-old woman visited another hospital with complaining of a palpable mass
in vagina and dysuria. The mass had gradually enlarged since the past 2 years.
Ultrasonography and CT revealed the tumor located between the urethra and vaginal
mucosa. Histopathological examination was well-differentiated leiomyosarcoma from
transvaginal needle biopsy. She was referred to our hospital. On MRI, the 4-cm
tumor showed no infiltration into the vaginal mucosa or urethra. PET/CT showed a
high uptake of FDG. No metastatic disease was evident. We performed excision of
the tumor transvaginally. The tumor cells demonstrated immunoreactivity for
estrogen receptors and partially progesterone receptors in histopathological
examination. We speculated that the developmental mechanism of female
paraurethral leiomyosarcoma was associated with female leiomyosarcoma in other
surrounding pelvic organs.
PMID- 29367510
TI - [A CASE OF DEXTRANOMER-HYALURONIC ACID COPOLYMER (Deflux(r)) IMPLANTS
CALCIFICATION MIMICKING DISTAL URETERAL CALCULI].
AB - Since 2011, endoscopic correction of vesicoureteral reflux using dextranomer
hyaluronic acid copolymer (Deflux(r)) has been widely accepted in Japan due to
its safety and minimally invasive nature. However, long-term complications are
unknown. We present a case of Deflux(r) implants calcification mimicking distal
ureteral calculi in a 12-year-old boy with a history of Deflux(r) injection
performed at three years of age for primary VUR. We should be aware of this
complication to avoid misdiagnosis and unnecessary invasive examination such as
radiological imaging or endoscopy.
PMID- 29367511
TI - [SIGNIFICANCE OF INTRADUCTAL CARCINOMA OF THE PROSTATE IN POST-OPERATIVE
BIOCHEMICAL RECURRENCE].
AB - (Objective) We investigated the prognostic significance of intraductal carcinoma
of the prostate (IDC-P) in radical prostatectomy specimens. (Materials and
methods) We evaluated 441 patients treated with radical prostatectomy and
analyzed data on IDC-P, lymph node metastases, Gleason score, seminal vesicle
invasion, extraprostatic extension, surgical margin, total cancer volume, and
zonal origin of dominant cancer focus in radical prostatectomy specimens. The
median follow-up was 50 months (range 6-164 months). (Results) We identified IDC
P in 112 cases (25.4%). The five-year biochemical progression-free survival rate
in patients with IDC-P was significantly lower than for those without IDC-P
(35.8% vs 69.6%; p<0.0001). In a univariate analysis, IDC-P (p<0.0001), lymph
node metastases (p=0.0022), Gleason score (p<0.0001), seminal vesicle invasion
(p<0.0001), extraprostatic extension (p<0.0001), surgical margin (p<0.0001) and
total cancer volume (p<0.0001) were significantly associated with the biochemical
progression-free survival. In a multivariate analysis, Gleason score (p<0.0001),
IDC-P (p=0.0002), seminal vesicle invasion (p=0.0011), extraprostatic extension
(p=0.0012), surgical margin (p=0.0019) and lymph node metastases (p=0.0402) were
significantly associated with biochemical progression-free survival.
(Conclusions) The presence of IDC-P is an independent factor of biochemical
recurrence in prostate cancer patients treated with radical prostatectomy. We
therefore recommend that the presence of IDC-P in radical prostatectomy specimens
be reported.
PMID- 29367512
TI - [A CASE OF PELVIC FRACTURE URETHRAL INJURY RECONSTRUCTED BY DEFERRED
URETHROPLASTY].
AB - We report a case of pelvic fracture urethral injury reconstructed by anastomotic
urethroplasty. A 24-year-old male was referred to our hospital because of pelvic
trauma accompanying ischial fracture. Retrograde urethrography showed urethral
disruption and suprapubic catheter was inserted. One week later, we underwent
endoscopic realignment. Three months later, we removed the Foley balloon catheter
after we had checked that there was no stricture by the voiding cystourethrogram.
However, 5 days after that, he came to our hospital because of urinary retention.
Cystoscopy detected urinary stricture between bulbar and membranous urethra. We
decided to do deferred urethroplasty. Five months after that we performed
anastomotic urethroplasty. He was discharged 31 days after the operation. No
stricture has been detected for 7 months postoperatively.
PMID- 29367513
TI - Gadolinium-based Contrast Media, Cerebrospinal Fluid and the Glymphatic System:
Possible Mechanisms for the Deposition of Gadolinium in the Brain.
AB - After Kanda's first report in 2014 on gadolinium (Gd) deposition in brain tissue,
a considerable number of studies have investigated the explanation for the
observation. Gd deposition in brain tissue after repeated administration of
gadolinium-based contrast medium (GBCM) has been histologically proven, and
chelate stability has been shown to affect the deposition. However, the mechanism
for this deposition has not been fully elucidated. Recently, a hypothesis was
introduced that involves the 'glymphatic system', which is a coined word that
combines 'gl' for glia cell and 'lymphatic' system. According to this hypothesis,
the perivascular space functions as a conduit for cerebrospinal fluid to flow
into the brain parenchyma. The perivascular space around the arteries allows
cerebrospinal fluid to enter the interstitial space of the brain tissue through
water channels controlled by aquaporin 4. The cerebrospinal fluid entering the
interstitial space clears waste proteins from the tissue. It then flows into the
perivascular space around the vein and is discharged outside the brain. In
addition to the hypothesis regarding the glymphatic system, some reports have
described that after GBCM administration, some of the GBCM distributes through
systemic blood circulation and remains in other compartments including the
cerebrospinal fluid. It is thought that the GBCM distributed into the
cerebrospinal fluid cavity via the glymphatic system may remain in brain tissue
for a longer duration compared to the GBCM in systemic circulation. Glymphatic
system may of course act as a clearance system for GBCM from brain tissue. Based
on these findings, the mechanism for Gd deposition in the brain will be discussed
in this review. The authors speculate that the glymphatic system may be the major
contributory factor to the deposition and clearance of gadolinium in brain
tissue.
PMID- 29367514
TI - Intravascular Ultrasound-Derived Virtual Fractional Flow Reserve for the
Assessment of Myocardial Ischemia.
AB - BACKGROUND: Fractional flow reserve (FFR) is widely used for the assessment of
myocardial ischemia. Intravascular ultrasound (IVUS) is an intracoronary imaging
method that provides information about lumen and vessel morphology. Previous
studies on the expanded use of IVUS to identify functional ischemia have noted an
association between anatomy and physiology, but IVUS-derived minimum lumen area
(MLA) has a weak-moderate correlation with myocardial ischemia compared with FFR.
We developed a method to calculate FFR using IVUS-derived anatomical information
for the assessment of myocardial ischemia. The aims of this study were to
investigate the relationship between wire-based FFR and IVUS-derived FFR (IVUS
FFR) and to compare the usefulness of IVUS-FFR and IVUS-derived MLA for
functional assessment.Methods and Results:We retrospectively analyzed 50 lesions
in 48 patients with coronary stenosis who underwent IVUS and FFR simultaneously.
IVUS-FFR was calculated using our original algorithm and fluid dynamics. Mean
percent diameter stenosis determined on quantitative coronary angiography and on
FFR was 56.4+/-10.7 and 0.69+/-0.08, respectively. IVUS-FFR had a stronger linear
correlation with FFR (R=0.78, P<0.001; root mean square error, 0.057 FFR units)
than with IVUS-derived MLA (R=0.43, P=0.002). CONCLUSIONS: IVUS-FFR may be a more
valuable method to identify myocardial ischemia, compared with IVUS-derived MLA.
PMID- 29367515
TI - Do Patients With High CHA2DS2-VASc Scores Need High Intensity of Anticoagulants
After Valve Surgery?
AB - BACKGROUND: Asian patients on warfarin therapy usually have lower international
normalized ratio (INR) intensities than those recommended by Western clinical
practice guidelines. This study evaluated whether a high INR reduces the
incidence of thromboembolism (TE) or bleeding events in Asian patients with high
CHA2DS2-VASc scores after valve surgery.Methods and Results:Data of adult
patients after valve surgery were retrieved from an integrated healthcare
information system of a single hospital between 2014 and 2016. The INR was
derived from the closest laboratory data before the index outpatient-clinic visit
date. The endpoint of every record was determined as emergency room visit or
hospitalization because of TE or bleeding event. A total of 37 TE or bleeding
events were retrieved from 8,207 records; the annual incidence rate were 1.2% and
2.8% for low (0-2) and high (3-8) CHA2DS2-VASc score groups, respectively
(P=0.007). The incidence rates were lowest for both groups at an INR of 1.5-2.0.
High INR intensities did not reduce TE or bleeding incidence. INR >3.0 was
associated with increased TE or bleeding incidence in the high-score group
(6.8%/year vs. 2.0%/year, P=0.079). CONCLUSIONS: The optimal INR is 1.5-2.5 for
low- or high-score Asian patients after valve surgery. INR >3.0 was associated
with increased TE or bleeding incidence in the high-score group.
PMID- 29367516
TI - Isolation, identification and characterization of novel Bacillus subtilis.
AB - In this study, we have identified a bacterium that can inhibit the growth of
Staphylococcus aureus, and further analyzed its antibacterial activity and other
biological characteristics and laid the foundation for its future application.
Through isolation and culture of the unknown bacteria, the culture
characteristics, morphology observation, biochemical test, preliminary
antibacterial test, 16S rRNA PCR amplification, sequence analysis, and homology
analysis were performed. It was found that the bacteria are Gram positive spore
chain Bacillus. The bacteria could only ferment glucose for acid production, but
could not utilize lactose and maltose. The VP test for this bacteria was
positive, while indole and methyl red tests were negative. Further analysis
showed that these bacteria shared a homology up to 99.4% with Bacillus subtilis
DQ198162.1. Thus, this newly identified bacterium was classified as Bacillus
subtilis. Importantly, the crude bacteriocin of this Bacillus subtilis could
inhibit the growth of Staphylococcus aureus, Escherichia coli, Enterococcus and
Salmonella, which implies its potential usage in the future.
PMID- 29367517
TI - One-step triplex PCR/RT-PCR to detect canine distemper virus, canine parvovirus,
and canine kobuvirus.
AB - To rapidly distinguish Canine distemper virus (CDV), canine parvovirus (CPV), and
canine kobuvirus (CaKoV) in practice, a one-step multiplex PCR/RT-PCR assay was
developed, with detection limits of 102.1 TCID50 for CDV, 101.9 TCID50 for CPV
and 103 copies for CaKoV. This method did not amplify nonspecific DNA or RNA from
other canine viruses. Therefore, the assay provides a sensitive tool for the
rapid clinical detection and epidemiological surveillance of CDV, CPV and CaKoV
in dogs.
PMID- 29367518
TI - Molecular characteristics and virulence analysis of eight Aeromonas hydrophila
isolates obtained from diseased Amur sturgeon Acipenser schrenckii Brandt, 1869.
AB - Aeromonas hydrophila is an opportunistic pathogen of a variety of aquatic animals
that displays extreme diversity in drug resistance, phenotypes, virulence genes,
and virulence. In this study, eight pathogenic A. hydrophila strains were
isolated from diseased Amur sturgeons and investigated for their sensitivity to
select antibiotics, their phenotype, virulence genes, and virulence. According to
the phylogenetic analysis of the DNA gyrase subunit B protein, the eight isolates
formed a single branch in the A. hydrophila group. The antibiotics ceftazidime,
cefuroxime, cefoperazone, cefotaxime, ceftriaxone, aztreonam, and cefepime
appeared effective against them. All of the isolates possessed the virulence
genes for aerolysin, flagellin, heat-stable cytotonic enterotoxin, heat-labile
cytotonic enterotoxin, hemolysin, and elastase, while only one isolate, HZ8,
possessed the gene for lateral flagella. The cytolytic enterotoxin and lipase
genes were present in all isolates, except in ZJ10 and ZJ12. Enterobacterial
repetitive intergenic consensus sequence PCR indicated that the eight A.
hydrophila isolates could be divided into four types. Isolates YW2, TR3, HZ8 and
ZJ10, each representing a different type, were selected for challenge
experiments. The challenge tests revealed that isolate HZ8 had the lowest lethal
dose, causing 50% mortality at 2.30 * 104 colony forming units (cfu)/ml. The
isolate ZJ10 had the highest LD50, 1.25 * 106 cfu/ml. Knowledge of the
characteristics of the A. hydrophila isolates obtained from Amur sturgeon will be
beneficial in developing potential disease control strategies.
PMID- 29367519
TI - Restraint stress in lactating mice alters the levels of sulfur-containing amino
acids in milk.
AB - It is well known that maternal stress during the gestation and lactation periods
induces abnormal behavior in the offspring and causes a lowering of the
offspring's body weight. Various causes of maternal stress during the lactation
period, relating to, for example, maternal nutritional status and reduced
maternal care, have been considered. However, little is known about the effects
on milk of maternal stress during the lactation period. The current study aimed
to determine whether free amino acids, with special reference to sulfur
containing amino acids in milk, are altered by restraint stress in lactating
mice. The dams in the stress group were restrained for 30 min at postnatal days
2, 4, 6, 8, 10 and 12. Restraint stress caused a reduction in the body weight of
lactating mice. The concentration of taurine and cystathionine in milk was
significantly higher in the stress group, though stress did not alter their
concentration in maternal plasma. The ratio of taurine concentration in milk to
its concentration in maternal plasma was significantly higher in the stress
group, suggesting that stress promoted taurine transportation into milk.
Furthermore, taurine concentration in milk was positively correlated with
corticosterone levels in plasma. In conclusion, restraint stress in lactating
mice caused the changes in the metabolism and in the transportation of sulfur
containing amino acids and resulted in higher taurine concentration in milk.
Taurine concentration in milk could also be a good parameter for determining
stress status in dams.
PMID- 29367520
TI - Cost-Effectiveness of Statin Plus Eicosapentaenoic Acid Combination Therapy for
Cardiovascular Disease Prevention in Japanese Patients With Hypercholesterolemia
An Analysis Based on the Japan Eicosapentaenoic Acid Lipid Intervention Study
(JELIS).
AB - BACKGROUND: The addition of eicosapentaenoic acid (EPA) to statin therapy has
been shown to reduce cardiovascular events. This study examined the cost
effectiveness of EPA plus statin (EPA+statin) combination therapy compared with
statin monotherapy for primary and secondary prevention of cardiovascular disease
(CVD) in Japan.Methods and Results:A Markov model was applied to assess the costs
and benefits associated with EPA+statin combination therapy over a projected 30
year period from the perspective of a public healthcare funder in Japan. The
incremental cost-effectiveness ratio (ICER), expressed as quality-adjusted life
years (QALY), was estimated for primary prevention and secondary prevention of
CVD in patients with hypercholesterolemia. Impact on survival and number of
events were based on the Japan EPA Lipid Intervention Study. Sensitivity analyses
examined the influence of various input parameters on costs and outcomes of
treatment. ICER was Y29.6 million per QALY gained in primary prevention and Y5.5
million per QALY gained in secondary prevention. The probabilities that
EPA+statin combination therapy would be cost-effective compared with statin
monotherapy were 39% in primary prevention and 49% in secondary prevention at a
cost-effectiveness threshold of Y5 million per QALY gained. Sensitivity analyses
showed that EPA was cost-effective in secondary prevention. CONCLUSIONS:
EPA+statin combination therapy showed acceptable cost-effectiveness for secondary
prevention, but not primary prevention, of CVD in patients with
hypercholesterolemia in Japan.
PMID- 29367522
TI - Low Plasma Levels of Fibroblast Growth Factor-21 in Patients with Peripheral
Artery Disease.
AB - AIM: Fibroblast growth factor-21 (FGF-21) is a metabolic regulator with
beneficial effects on glucolipid metabolism. Since FGF-21 has lipid-lowering,
anti-inflammatory and anti-oxidant properties, it may play a protective role
against atherosclerosis. However, blood FGF-21 levels in coronary artery disease
(CAD) or peripheral artery disease (PAD) have not been elucidated. METHODS: We
measured plasma FGF-21 levels in 417 patients undergoing coronary angiography,
who also had ankle-brachial index test for PAD screening. RESULTS: CAD was found
in 224 patients (1-vessel [1-VD], n=92; 2-vessel [2-VD], n=65; 3-vessel disease
[3-VD], n=67). No significant difference was found in the FGF-21 levels between
224 patients with CAD and 193 without CAD (median 26.0 vs. 25.9 pg/mL). FGF-21
levels in 4 groups of CAD(-), 1-VD, 2-VD, and 3-VD were 25.9, 37.2, 19.4, and 0.0
pg/mL. FGF-21 tended to be highest in 1-VD and lowest in 3-VD, but the difference
did not reach statistical significance. PAD was found in 38 patients. Compared to
the 379 patients without PAD, 38 with PAD had CAD more often (87% vs. 50%),
especially 3-VD (P<0.001). FGF-21 levels were lower in patients with PAD than in
those without PAD (0.0 vs. 30.7 pg/mL, P<0.02). In multivariate analysis, the FGF
21 level was an independent factor for PAD, but not for CAD. Odds ratio for PAD
was 2.13 (95%CI=1.01-4.49) for a low FGF-21 level (<15.6 pg/mL). CONCLUSION: No
significant difference was found in the FGF-21 levels between patients with and
without CAD. However, FGF-21 levels were low in patients with PAD, and were a
factor for PAD independent of atherosclerotic risk factors.
PMID- 29367521
TI - Clinical Outcomes after Isolated Infrapopliteal Revascularization in Hemodialysis
Patients with Critical Limb Ischemia: Endovascular Therapy versus Bypass Surgery.
AB - AIM: To investigate the long-term clinical outcome of endovascular therapy (EVT)
or bypass surgery in patients on hemodialysis (HD) with critical limb ischemia
due to isolated infrapopliteal disease. METHODS: We enrolled 254 consecutive HD
patients successfully undergoing infrapopliteal revascularization by EVT (126
patients) and bypass surgery (128 patients). They were followed up for five
years. Amputation-free survival (AFS) and incidence of any re-intervention were
evaluated. A propensity score from all baseline variables was incorporated into
Cox analysis. RESULTS: In the EVT group, age was higher (p=0.039), diabetes and
coronary artery disease were more frequent (p=0.004 and p=0.0052, respectively),
and tissue loss was more rarely observed (p< 0.0001) than in the bypass group.
During the follow-up period, 21 major amputations and 64 deaths occurred. The
propensity score-adjusted AFS rate at 5 years was comparable between groups
(61.0% in EVT group vs. 55.1% in the bypass group, adjusted hazard ratio [HR]
0.87, 95% confidence interval [CI] 0.52-1.42, p=0.58). The adjusted survival
rates were also similar between groups for amputation and all-cause mortality.
However, freedom from any re-intervention was markedly lower in the EVT than in
the bypass group (48.6% vs. 84.6%, adjusted-HR, 3.56, 95% CI 1.95-6.75, p<
0.0001). CONCLUSIONS: The rate of AFS was broadly comparable between the two
strategies, although compared with bypass surgery, EVT had much higher rates for
re-intervention.
PMID- 29367523
TI - [The pathogenic role of ACPA in rheumatoid arthritis].
AB - In rheumatoid arthritis (RA), ACPA (anti-citrullinated protein/peptide
antibody) is elevated with high specificity, and clinically, anti-CCP (cyclic
citrullinated peptide) antibody is widely used for diagnosis of RA. It is thought
ACPAs are produced with genetic background such as HLA-DR, environmental factors
such as periodontal disease and smoking, however, the pathogenic role of ACPA in
RA has not been elucidated. These were showed immune complexes including ACPA or
ACPA itself promoted inflammatory cytokine production such as TNF. PADs
(peptidylarginine deiminases) were expressed and citrullinated proteins existed
in RA synovium. ACPAs were deposited on the site of citrulline in CD68 positive
cells of RA synovium. The damage of bone and cartilage is observed in RA. It was
also suggested that deposition of ACPAs caused osteoclastogenesis and bone loss.
We introduce several findings about the pathogenic role of ACPA in RA.
PMID- 29367524
TI - [Unmet needs in systemic lupus erythematosus].
AB - Systemic lupus erythematosus (SLE) is an autoimmune disease involving multiple
lesions that cause inflammation and the production of autoantibodies. Lupus
nephritis (LN) and neuropsychiatric SLE (NPSLE) are common organ-threatening
manifestations of SLE and result in significant morbidity and mortality. In the
last 30 years, steroids and immunosuppressive drugs have improved the prognosis
of patients with SLE, and today the 5-year survival rate exceeds 90%. However,
the treatment of SLE still largely depends on these medications and sometimes
results in death due to complications. In recent years, biologic agents and low
molecular-weight compounds have emerged that are expected to be effective against
refractory LN and NPSLE. For the diagnosis of SLE, the classification revised in
1997 proposed by the American College of Rheumatology and the classification
standards of the Systemic Lupus International Collaborating Clinics 2012
classification criteria have been used, but they are not necessarily useful for
early diagnosis. New biomarkers are needed for the early diagnosis of SLE. In
this article, we summarize the unmet needs of diagnosis and treatment with SLE,
especially those with LN and NPSLE, with data from our own experiences.
PMID- 29367525
TI - [Intestinal immune response is regulated by gut microbe].
AB - Human Intestine has a diverse population of bacteria which induces pathogens to
disrupt not only the intestinal homeostasis but whole body immune systems.
Dysbiosis, the abnormal proliferation and reduction of the microbiota, breaks
down the homeostasis of the immunity and metabolisms in the host. The evolution
of the microbiota analysis technology contributed to reveal the molecular
biological complex interaction between the microbiota and its host systemically
as well as locally. Because several diseases are caused by the dysbiosis, fecal
transplantation would be the new therapeutic target for them. It has been
investigated in some intestinal diseases such as CD infection, or inflammatory
bowel disease. Here, we review these symbiotic interactions and the current state
for the clinical application.
PMID- 29367526
TI - [The formation of skin barrier and defective barrier-associated skin diseases].
AB - Since the discovery of loss-of-function mutations in filaggrin (FLG) gene in
atopic dermatitis (AD) individuals, significant attention has been paid against
the skin barrier as an initial starting point of atopic march. Although FLG is a
significant cornification-associated gene, skin barrier formation is a complex
process mediated by an array of genes with specific functions. In this article,
the mechanism of physical skin barrier formation is reviewed in detail, focusing
on specific gene functions and inherited disorders caused by genetic aberrations.
Additionally, the mechanism of percutaneous sensitization with environmental
allergens in association with FLG-deficiency is reviewed in order to clarify the
link between defective skin barrier and atopic march. Finally, updated knowledge
of psoriasis pathophysiology in connection with genetic defect in skin barrier is
reviewed. This article would provide a novel opportunity to understand the
allergic/autoimmune disorders from the viewpoint of non-classical immune cells.
PMID- 29367527
TI - [The scoring system for the risk-stratification in patients with the
antiphospholipid syndrome].
AB - Antiphospholipid syndrome (APS) is a clinical disorder characterized by
thrombosis and/or pregnancy morbidity in the persistence of the pathogenic
autoantibodies, the antiphospholipid antibodies (aPL). Recurernt thrombosis is
often observed in patients with APS which requires persistent prophylaxis.
However, an uniform prophylactic treatment for APS patients is inadequate and
stratification of the thrombotic risks is important as aPL are prevalently
observed in other various diseases or elderly population. It is previously known
that the multiple positivity or high titre of aPL correlate to the thrombotic
events. To progress the stratification of the thrombotic risks and to
quantitatively analyze them, antiphospholipid score (aPL-S) and the Global Anti
Phospholipid Syndrome Score (GAPSS) were defined as the scoring-systems. Both of
these scoring-systems were raised from the large patient cohort data and either
aPL profile classified in detail (aPL-S) or simplified aPL profile with classical
thrombotic risk factors (GAPSS) were put into scoring system. They have shown a
degree of accuracy in identifying high-risk APS patients, especially those at a
high risk of thrombosis. However, there are several areas requiring improvement,
or at least that clinicians should be aware of, before these instruments are
applied in clinical practice. One such issue is standardisation of the aPL tests,
including general testing of phosphatidylserine dependent antiprothrombin
antibodies (aPS/PT).
PMID- 29367528
TI - [Autoimmune diseases and ubiquitin system].
AB - Cytokines play important roles in the pathogenesis of autoimmune diseases. Anti
TNFalpha antibody therapy for rheumatoid arthritis, Crohn's disease, and
psoriasis has made enough progress to change its treatment goal. This review
focuses on the recent advances that have been made in understanding TNFR
signaling through ubiquitin system. Genome-wide association studies (GWAS)
identified numerous susceptibility loci associated with autoimmune diseases.
Ubiquitin related genes TNFAIP3 and TNIP1 have been linked to multiple autoimmune
diseases. Here, we review the importance of TNFAIP3 and TNIP1-mediated regulation
of ubiquitin-dependent signaling. To monitor the dynamics of ubiquitin chain
formation in vivo, we have developed a polyubiquitin-mediated fluorescence
complementation (PolyUb-FC) assay. The PolyUb-FC assay has the advantage that
monoubiquitination is non-fluorescent and chain-specific poly-ubiquitination can
be directly visualized in living cells without using antibodies. The PolyUb-FC
will be a useful tool for analyzing the dynamics of polyubiquitin chain
generation.
PMID- 29367529
TI - [A case of anti-PL-7 antibody positive polymyositis with thrombotic
microangiopathy].
AB - A 65-year-old woman with a 17-year history of polymyositis and 8-year history
of rheumatoid arthritis who was treated with a low dose of prednisolone and
tacrolimus (Tac) was admitted to our hospital because of general malaise and
hypertension. Blood tests showed thrombocytopenia, hemolytic anemia with
fragmented erythrocytes, and hypercreatinemia. Based on these clinical features,
she was diagnosed with thrombotic micro-angiopathy (TMA). Thrombocytopenia and
hemolytic anemia with fragmented erythrocytes improved with the discontinuation
of Tac and plasma exchange; however, hypertension and renal dysfunction
persisted. TMA due to calcineurin inhibitor (CNI) nephropathy was suspected based
on the histopathological findings of renal biopsy. However, the condition was
atypical of a CNI nephropathy because the trough level of Tac was lower than that
reported previously and renal dysfunction persisted after drug discontinuation.
She had mild sclerodactylia and Raynaud's symptoms, although the diagnostic
criteria for systemic sclerosis (SSc) were not satisfied. Moreover, the patient
tested positive for anti PL-7 antibody. The relationship between anti PL-7
antibody and pathogenesis of SSc has been reported. In this case, it was
suspected that CNI nephropathy worsened because of the potential basic factors of
SSc. These findings indicate that TMA may occur in patients testing positive for
anti PL-7 antibody who are treated with Tac.
PMID- 29367530
TI - [Transient detection of lupus anticoagulant in acute phase of Kawasaki disease].
AB - In Kawasaki disease (KD), endothelial damage and an elevation in coagulant
factors provoke thrombosis. Lupus anticoagulant (LA) is strongly associated with
the risk of thrombosis in patients with antiphospholipid syndrome; however, there
has been no report of positive LA in KD patients. A previously healthy, 2-year
old boy was admitted due to fever, bilateral conjunctivitis, redness of the lips,
and unilateral cervical lymphadenopathy. Typical Kawasaki disease was diagnosed
on day 5 of illness. Adenovirus antigens were detected in his stool. After the KD
symptoms were successfully treated with intravenous immunoglobulin, his activated
partial thromboplastin time (APTT) increased to 88 seconds at eight days of
illness. The cross-mixing test showed an inhibition pattern, and the presence of
LA was proved using diluted Russell's viper venom time. APPT elongation improved
due to continued low dose aspirin therapy without thromboembolisms. The
possibility of contamination by LA was low because six other patients treated
with the same immunoglobulin lot showed no APTT elongation. We speculated that KD
related infections led to the presence of LA, which may have triggered the
thrombosis. Further accumulation of data is warranted to elucidate the role of LA
in KD patients.
PMID- 29367531
TI - [A case of axial spondyloarthritis acute onset as opportunity tonsil foci
infection].
AB - A 49-year-old female with a chief complaints of arthralgia, and a medical
history is Hashimoto's disease presented to us. She had been previously treated
for Sjogren's syndrome at our hospital. She had anterior chest and polyarticular
pain. On admission, her blood test results were as follows: white blood cells,
12700/MUl; C reactive protein, 24.8 mg/dl; erythrocyte sedimentation rate 122
mm/h, Anti-streptolysin O, 1179 IU/ml;an, ASK, 10240. She had tenderness in both
her hand and finger joints, recurrent episodes of tonsillitis and pustular
eruption. Her imaging studies were remarkable for inflammation of the sacroiliac
joint and bone erosion of the hand joint, among other findings. We considered a
diagnosis of either axial spondyloarthritis or synovitis acne, pustulosis,
hyperostosis and osteitis (SAPHO) syndrome due to an opportunistic tonsillar
infection. The differential diagnosis between axial spondyloarthritis or SAPHO
syndrome is difficult to make. We discuss this case in the context of previous
literature.
PMID- 29367532
TI - [A case of mixed connective tissue disease positive for proteinase 3
antineutrophil cytoplasmic antibody in a patient with slowly progressive type 1
diabetes mellitus and chronic thyroiditis].
AB - A female in her sixties with slowly progressive type 1 diabetes mellitus
(SPT1DM) and chronic thyroiditis was referred to our rheumatology department with
swelling in her fingers. A prominent atherosclerotic lesion was revealed upon
brain magnetic resonance imaging, and she was found to have mixed connective
tissue disease (MCTD) positive for proteinase 3 (PR3)-antineutrophil cytoplasmic
antibody (ANCA). This rare case of MCTD accompanying SPT1DM and PR3-ANCA
suggested that a synergy between MCTD and PR3-ANCA triggers atherosclerosis.
PMID- 29367534
TI - Collagenolytic Activity Is Associated with Scar Resolution in Zebrafish Hearts
after Cryoinjury.
AB - Myocardial infarction is the major cause of cardiac injury in western countries
and can result in a massive loss of heart cells, leading eventually to heart
failure. A fibrotic collagen-rich scar may prevent ventricular wall rupture, but
also may result in heart failure because of its stiffness. In zebrafish, cardiac
cryoinjury triggers a fibrotic response and scarring. Unlike with mammals,
zebrafish heart has the striking ability to regenerate and to resolve the scar.
Thus, understanding the mechanisms of scar resolution in zebrafish heart might
facilitate the design of new therapeutic approaches to improve the recovery of
patients. To visualize the collagenolytic activity within the zebrafish heart
following cryoinjury, we used an in situ collagen zymography assay. We detected
expression of mmp2 and mmp14a and these matrix metalloproteinases might
contribute to the collagenase activity. Collagenolytic activity was present in
the wound area, but decreased as the myocardium regenerated. Comparison with
neonatal mouse hearts that failed to regenerate after transmural cryoinjury
revealed a similar collagenolytic activity in the scar. These findings suggest
that collagenolytic activity may be key to how the zebrafish heart resolves its
scar; however, it is not sufficient in mouse hearts that lack efficient
myocardial regeneration.
PMID- 29367535
TI - Management of Arrhythmias in Heart Failure.
AB - Heart failure patients are predisposed to develop arrhythmias. Supraventricular
arrhythmias can exacerbate the heart failure symptoms by decreasing the effective
cardiac output and their control require pharmacological, electrical, or catheter
based intervention. In the setting of atrial flutter or atrial fibrillation,
anticoagulation becomes paramount to prevent systemic or cerebral embolism.
Patients with heart failure are also prone to develop ventricular arrhythmias
that can present a challenge to the managing clinician. The management strategy
depends on the type of arrhythmia, the underlying structural heart disease, the
severity of heart failure, and the range from optimization of heart failure
therapy to catheter ablation. Patients with heart failure, irrespective of
ejection fraction are at high risk for developing sudden cardiac death, however
risk stratification is a clinical challenge and requires a multiparametric
evaluation for identification of patients who should undergo implantation of a
cardioverter defibrillator. Finally, patients with heart failure can also develop
symptomatic bradycardia, caused by sinus node dysfunction or atrio-ventricular
block. The treatment of bradycardia in these patients with pacing is usually
straightforward but needs some specific issue.
PMID- 29367537
TI - Lineages of the Cardiac Conduction System.
AB - The cardiac conduction system (CCS) initiates and coordinately propagates the
electrical impulse to orchestrate the heartbeat. It consists of a set of
interconnected components with shared properties. A better understanding of the
origin and specification of CCS lineages has allowed us to better comprehend the
etiology of CCS disease and has provided leads for development of therapies. A
variety of technologies and approaches have been used to investigate CCS
lineages, which will be summarized in this review. The findings imply that there
is not a single CCS lineage. In contrast, early cell fate decisions segregate the
lineages of the CCS components while they remain connected to each other.
PMID- 29367536
TI - On the Evolution of the Cardiac Pacemaker.
AB - The rhythmic contraction of the heart is initiated and controlled by an intrinsic
pacemaker system. Cardiac contractions commence at very early embryonic stages
and coordination remains crucial for survival. The underlying molecular
mechanisms of pacemaker cell development and function are still not fully
understood. Heart form and function show high evolutionary conservation. Even in
simple contractile cardiac tubes in primitive invertebrates, cardiac function is
controlled by intrinsic, autonomous pacemaker cells. Understanding the
evolutionary origin and development of cardiac pacemaker cells will help us
outline the important pathways and factors involved. Key patterning factors, such
as the homeodomain transcription factors Nkx2.5 and Shox2, and the LIM
homeodomain transcription factor Islet-1, components of the T-box (Tbx), and bone
morphogenic protein (Bmp) families are well conserved. Here we compare the
dominant pacemaking systems in various organisms with respect to the underlying
molecular regulation. Comparative analysis of the pathways involved in patterning
the pacemaker domain in an evolutionary context might help us outline a common
fundamental pacemaker cell gene programme. Special focus is given to pacemaker
development in zebrafish, an extensively used model for vertebrate development.
Finally, we conclude with a summary of highly conserved key factors in pacemaker
cell development and function.
PMID- 29367538
TI - Breast Cancer Clinical Trial of Chemotherapy and Trastuzumab: Potential Tool to
Identify Cardiac Modifying Variants of Dilated Cardiomyopathy.
AB - Doxorubicin and the ERBB2 targeted therapy, trastuzumab, are routinely used in
the treatment of HER2+ breast cancer. In mouse models, doxorubicin is known to
cause cardiomyopathy and conditional cardiac knock out of Erbb2 results in
dilated cardiomyopathy and increased sensitivity to doxorubicin-induced cell
death. In humans, these drugs also result in cardiac phenotypes, but severity and
reversibility is highly variable. We examined the association of decline in left
ventricular ejection fraction (LVEF) at 15,204 single nucleotide polymorphisms
(SNPs) spanning 72 cardiomyopathy genes, in 800 breast cancer patients who
received doxorubicin and trastuzumab. For 7033 common SNPs (minor allele
frequency (MAF) > 0.01) we performed single marker linear regression. For all
SNPs, we performed gene-based testing with SNP-set (Sequence) Kernel Association
Tests: SKAT, SKAT-O and SKAT-common/rare under rare variant non-burden; rare
variant optimized burden and non-burden tests; and a combination of rare and
common variants respectively. Single marker analyses identified seven missense
variants in OBSCN (p = 0.0045-0.0009, MAF = 0.18-0.50) and two in TTN (both p =
0.04, MAF = 0.22). Gene-based rare variant analyses, SKAT and SKAT-O, performed
very similarly (ILK, TCAP, DSC2, VCL, FXN, DSP and KCNQ1, p = 0.042-0.006). Gene
based tests of rare/common variants were significant at the nominal 5% level for
OBSCN as well as TCAP, DSC2, VCL, NEXN, KCNJ2 and DMD (p = 0.044-0.008). Our
results suggest that rare and common variants in OBSCN, as well as in other
genes, could have modifying effects in cardiomyopathy.
PMID- 29367540
TI - A Multiparametric Approach Based on NT-proBNP, ST2, and Galectin3 for Stratifying
One Year Prognosis of Chronic Heart Failure Outpatients.
AB - Galectin-3 and ST2 are emerging biomarkers involved in myocardial fibrosis. We
evaluate the relevance of a multiparametric biomarker approach based on increased
serum levels of NT-proBNP, galectin-3, and ST2 in stratifying the prognosis of
chronic heart failure (CHF) outpatients. In 315 CHF outpatients in stable
clinical condition clinical and echocardiographic evaluations were performed.
Routine chemistry and serum levels of NT-proBNP, galectin-3, and ST2 were also
assessed. During a 12 month follow-up, cardiovascular death, and/or heart failure
(HF) occurred in 64 patients. The presence of NT-proBNP, galectin-3, and ST2 were
higher than the recommended cutoffs and were all associated with events at
univariate Cox regression analysis, as well as in a multivariate analysis
including the three biomarkers. When a score based on the number of biomarkers
above the recommended cut-offs was used (in a range of 0-3), it was associated
with events both with respect to the univariate (HR 2.96, 95% CI 2.21-3.95, p <
0.001, C-index 0.78) and the multivariate (HR 1.52, 95% CI 1.06-2.17, p: 0.023, C
index 0.87) analyses, after correction for the variables of a reference model.
Our results suggest that an easy prognostic approach based on the combination of
three biomarkers, although with partially-overlapping pathophysiological
mechanisms, is able to identify patients with the highest risk of heart failure
progression.
PMID- 29367539
TI - Multiple Species Comparison of Cardiac Troponin T and Dystrophin: Unravelling the
DNA behind Dilated Cardiomyopathy.
AB - Animals have frequently been used as models for human disorders and mutations.
Following advances in genetic testing and treatment options, and the decreasing
cost of these technologies in the clinic, mutations in both companion and
commercial animals are now being investigated. A recent review highlighted the
genes associated with both human and non-human dilated cardiomyopathy. Cardiac
troponin T and dystrophin were observed to be associated with both human and
turkey (troponin T) and canine (dystrophin) dilated cardiomyopathies. This review
gives an overview of the work carried out in cardiac troponin T and dystrophin to
date in both human and animal dilated cardiomyopathy.
PMID- 29367541
TI - Diagnostic Yield of Whole Exome Sequencing in Pediatric Dilated Cardiomyopathy.
AB - Dilated cardiomyopathy (DCM) is a heritable, genetically heterogeneous disorder
characterized by progressive heart failure. DCM typically remains clinically
silent until adulthood, yet symptomatic disease can develop in childhood. We
sought to identify the genetic basis of pediatric DCM in 15 sporadic and three
affected-siblings cases, comprised of 21 affected children (mean age, five years)
whose parents had normal echocardiograms (mean age, 39 years). Twelve underwent
cardiac transplantation and five died with severe heart failure. Parent-offspring
whole exome sequencing (WES) data were filtered for rare, deleterious, de novo
and recessive variants. In prior work, we reported de novo mutations in TNNT2 and
RRAGC and compound heterozygous mutations in ALMS1 and TAF1A among four cases in
our cohort. Here, de novo mutations in established DCM genes-RBM20, LMNA, TNNT2,
and PRDM16-were identified among five additional cases. The RBM20 mutation was
previously reported in familial DCM. An identical unreported LMNA mutation was
identified in two unrelated cases, both harboring gene-specific defects in
cardiomyocyte nuclear morphology. Collectively, WES had a 50% diagnostic yield in
our cohort, providing an explanation for pediatric heart failure and enabling
informed family planning. Research is ongoing to discover novel DCM genes among
the remaining families.
PMID- 29367542
TI - Insights from Second-Line Treatments for Idiopathic Dilated Cardiomyopathy.
AB - BACKGROUND: Dilated cardiomyopathy (DCM) is an independent nosographic entity
characterized by left ventricular dilatation and contractile dysfunction leading
to heart failure (HF). The idiopathic form of DCM (iDCM) occurs in the absence of
coronaropathy or other known causes of DCM. Despite being different from other
forms of HF for demographic, clinical, and prognostic features, its current
pharmacological treatment does not significantly diverge. METHODS: In this study
we performed a Pubmed library search for placebo-controlled clinical
investigations and a post-hoc analysis recruiting iDCM from 1985 to 2016. We
searched for second-line pharmacologic treatments to reconsider drugs for iDCM
management and pinpoint pathological mechanisms. RESULTS: We found 33 clinical
studies recruiting a total of 3392 patients of various durations and sizes, as
well as studies that tested different drug classes (statins, pentoxifylline,
inotropes). A metanalysis was unfeasible, although a statistical significance for
changes upon treatment for molecular results, morphofunctional parameters, and
clinical endpoints was reported. Statins appeared to be beneficial in light of
their pleiotropic effects; inotropes might be tolerated more for longer times in
iDCM compared to ischemic patients. General anti-inflammatory therapies do not
significantly improve outcomes. Metabolic and growth modulation remain appealing
fields to be investigated. CONCLUSIONS: The evaluation of drug effectiveness
based on direct clinical benefit is an inductive method providing evidence-based
insights. This backward approach sheds light on putative and underestimated
pathologic mechanisms and thus therapeutic targets for iDCM management.
PMID- 29367544
TI - Establishing the Embryonic Axes: Prime Time for Teratogenic Insults.
AB - A long standing axiom in the field of teratology states that the teratogenic
period, when most birth defects are produced, occurs during the third to eighth
weeks of development post-fertilization. Any insults prior to this time are
thought to result in a slowing of embryonic growth from which the conceptus
recovers or death of the embryo followed by spontaneous abortion. However, new
insights into embryonic development during the first two weeks, including
formation of the anterior-posterior, dorsal-ventral, and left-right axes,
suggests that signaling pathways regulating these processes are prime targets for
genetic and toxic insults. Establishment of the left-right (laterality) axis is
particularly sensitive to disruption at very early stages of development and
these perturbations result in a wide variety of congenital malformations,
especially heart defects. Thus, the time for teratogenic insults resulting in
birth defects should be reset to include the first two weeks of development.
PMID- 29367543
TI - Dystrophic Cardiomyopathy: Complex Pathobiological Processes to Generate Clinical
Phenotype.
AB - Duchenne muscular dystrophy (DMD), Becker muscular dystrophy (BMD), and X-linked
dilated cardiomyopathy (XL-DCM) consist of a unique clinical entity, the
dystrophinopathies, which are due to variable mutations in the dystrophin gene.
Dilated cardiomyopathy (DCM) is a common complication of dystrophinopathies, but
the onset, progression, and severity of heart disease differ among these
subgroups. Extensive molecular genetic studies have been conducted to assess
genotype-phenotype correlation in DMD, BMD, and XL-DCM to understand the
underlying mechanisms of these diseases, but the results are not always
conclusive, suggesting the involvement of complex multi-layers of pathological
processes that generate the final clinical phenotype. Dystrophin protein is a
part of dystrophin-glycoprotein complex (DGC) that is localized in skeletal
muscles, myocardium, smooth muscles, and neuronal tissues. Diversity of cardiac
phenotype in dystrophinopathies suggests multiple layers of pathogenetic
mechanisms in forming dystrophic cardiomyopathy. In this review article, we
review the complex molecular interactions involving the pathogenesis of
dystrophic cardiomyopathy, including primary gene mutations and loss of
structural integrity, secondary cellular responses, and certain epigenetic and
other factors that modulate gene expressions. Involvement of epigenetic gene
regulation appears to lead to specific cardiac phenotypes in dystrophic hearts.
PMID- 29367546
TI - Strategy for Identification of Phosphorylation Levels of Low Abundance Proteins
in Vivo for Which Antibodies Are not Available.
AB - Protein function is mainly modulated by dynamic reversible or irreversible post
translational modifications. Among them, the identification of protein
phosphorylation sites and changes in phosphorylation levels in vivo are of
considerable interest for a better understanding of the protein function. Thus,
effective strategies for the quantitative determination of phosphorylation
degrees for low abundant proteins, for which antibodies are not available, are
required in order to evaluate the functional regulation of proteins attributed to
phosphorylation. In this study, we used the heart beta1-adrenergic receptor
(Adrb1) as a model protein and developed FLAG-Adrb1 knock-in mice, in which the
FLAG tag was inserted at the N-terminus of Adrb1. The phosphorylation sites and
levels of Adrb1 in the heart were elucidated by immuno-affinity purification
followed by quantitative mass spectrometry analysis using ion intensity ratio of
the phosphorylated peptide versus corresponding unphosphorylated peptide. The
phosphorylation levels at Ser274 and Ser462 of Adrb1 were approximately 0.25 and
0.0023. This effective strategy should be useful for not only analyzing site
specific phosphorylation levels of target proteins, but also quantifying the
expression levels of proteins of interest when appropriate antibodies are not
available.
PMID- 29367545
TI - Multiple Roles of Pitx2 in Cardiac Development and Disease.
AB - Cardiac development is a complex morphogenetic process initiated as bilateral
cardiogenic mesoderm is specified at both sides of the gastrulating embryo. Soon
thereafter, these cardiogenic cells fuse at the embryonic midline configuring a
symmetrical linear cardiac tube. Left/right bilateral asymmetry is first detected
in the forming heart as the cardiac tube bends to the right, and subsequently,
atrial and ventricular chambers develop. Molecular signals emanating from the
node confer distinct left/right signalling pathways that ultimately lead to
activation of the homeobox transcription factor Pitx2 in the left side of
distinct embryonic organ anlagen, including the developing heart. Asymmetric
expression of Pitx2 has therefore been reported during different cardiac
developmental stages, and genetic deletion of Pitx2 provided evidence of key
regulatory roles of this transcription factor during cardiogenesis and thus
congenital heart diseases. More recently, impaired Pitx2 function has also been
linked to arrhythmogenic processes, providing novel roles in the adult heart. In
this manuscript, we provide a state-of-the-art review of the fundamental roles of
Pitx2 during cardiogenesis, arrhythmogenesis and its contribution to congenital
heart diseases.
PMID- 29367547
TI - The Dark Side of the Moon: The Right Ventricle.
AB - The aim of this review article is to summarize current knowledge of the
pathophysiology underlying right ventricular failure (RVF), focusing, in
particular, on right ventricular assessment and prognosis. The right ventricle
(RV) can tolerate volume overload well, but is not able to sustain pressure
overload. Right ventricular hypertrophy (RVH), as a response to increased
afterload, can be adaptive or maladaptive. The easiest and most common way to
assess the RV is by two-dimensional (2D) trans-thoracic echocardiography
measuring surrogate indexes, such as tricuspid annular plane systolic excursion
(TAPSE), fractional area change (FAC), and tissue Doppler velocity of the lateral
aspect of the tricuspid valvular plane. However, both volumes and function are
better estimated by 3D echocardiography and cardiac magnetic resonance (CMR). The
prognostic role of the RV in heart failure (HF), pulmonary hypertension (PH),
acute myocardial infarction (AMI), and cardiac surgery has been overlooked for
many years. However, several recent studies have placed much greater importance
on the RV in prognostic assessments. In conclusion, RV dimensions and function
should be routinely assessed in cardiovascular disease, as RVF has a significant
impact on disease prognosis. In the presence of RVF, different therapeutic
approaches, either pharmacological or surgical, may be beneficial.
PMID- 29367548
TI - Kinking and Torsion Can Significantly Improve the Efficiency of Valveless Pumping
in Periodically Compressed Tubular Conduits. Implications for Understanding of
the Form-Function Relationship of Embryonic Heart Tubes.
AB - Valveless pumping phenomena (peristalsis, Liebau-effect) can generate
unidirectional fluid flow in periodically compressed tubular conduits. Early
embryonic hearts are tubular conduits acting as valveless pumps. It is unclear
whether such hearts work as peristaltic or Liebau-effect pumps. During the
initial phase of its pumping activity, the originally straight embryonic heart is
subjected to deforming forces that produce bending, twisting, kinking, and
coiling. This deformation process is called cardiac looping. Its function is
traditionally seen as generating a configuration needed for establishment of
correct alignments of pulmonary and systemic flow pathways in the mature heart of
lung-breathing vertebrates. This idea conflicts with the fact that cardiac
looping occurs in all vertebrates, including gill-breathing fishes. We speculate
that looping morphogenesis may improve the efficiency of valveless pumping. To
test the physical plausibility of this hypothesis, we analyzed the pumping
performance of a Liebau-effect pump in straight and looped (kinked)
configurations. Compared to the straight configuration, the looped configuration
significantly improved the pumping performance of our pump. This shows that
looping can improve the efficiency of valveless pumping driven by the Liebau
effect. Further studies are needed to clarify whether this finding may have
implications for understanding of the form-function relationship of embryonic
hearts.
PMID- 29367549
TI - Growth and Morphogenesis during Early Heart Development in Amniotes.
AB - In this review, we will focus on the growth and morphogenesis of the developing
heart, an aspect of cardiovascular development to which Antoon Moorman and
colleagues have extensively contributed. Over the last decades, genetic studies
and characterization of regionally regulated gene programs have provided abundant
novel insights into heart development essential to understand the basis of
congenital heart disease. Heart morphogenesis, however, is inherently a complex
and dynamic three-dimensional process and we are far from understanding its
cellular basis. Here, we discuss recent advances in studying heart morphogenesis
and regionalization under the light of the pioneering work of Moorman and
colleagues, which allowed the reinterpretation of regional gene expression
patterns under a new morphogenetic framework. Two aspects of early heart
formation will be discussed in particular: (1) the initial formation of the heart
tube and (2) the formation of the cardiac chambers by the ballooning process.
Finally, we emphasize that in addition to analyses based on fixed samples, new
approaches including clonal analysis, single-cell sequencing, live-imaging and
quantitative analysis of the data generated will likely lead to novel insights in
understanding early heart tube regionalization and morphogenesis in the near
future.
PMID- 29367552
TI - The Role of Cerl2 in the Establishment of Left-Right Asymmetries during Axis
Formation and Heart Development.
AB - The formation of the asymmetric left-right (LR) body axis is one of the
fundamental aspects of vertebrate embryonic development, and one still raising
passionate discussions among scientists. Although the conserved role of nodal is
unquestionable in this process, several of the details around this signaling
cascade are still unanswered. To further understand this mechanism, we have been
studying Cerberus-like 2 (Cerl2), an inhibitor of Nodal, and its role in the
generation of asymmetries in the early vertebrate embryo. The absence of Cerl2
results in a wide spectrum of malformations commonly known as heterotaxia, which
comprises defects in either global organ position (e.g., situs inversus totalis),
reversed orientation of at least one organ (e.g., situs ambiguus), and mirror
images of usually asymmetric paired organs (e.g., left or right isomerisms of the
lungs). Moreover, these laterality defects are frequently associated with
congenital heart diseases (e.g., transposition of the great arteries, or
atrioventricular septal defects). Here, reviewing the knowledge on the
establishment of LR asymmetry in mouse embryos, the emerging conclusion is that
as necessary as is the activation of the Nodal signaling cascade, the tight
control that Cerl2-mediates on Nodal signaling is equally important, and that
generates a further regionalized LR genetic program in the proper time and space.
PMID- 29367551
TI - The Potential of a Novel Class of EPAC-Selective Agonists to Combat
Cardiovascular Inflammation.
AB - The cyclic 3',5'-adenosine monophosphate (cAMP) sensor enzyme, EPAC1, is a
candidate drug target in vascular endothelial cells (VECs) due to its ability to
attenuate proinflammatory cytokine signalling normally associated with
cardiovascular diseases (CVDs), including atherosclerosis. This is through the
EPAC1-dependent induction of the suppressor of cytokine signalling gene, SOCS3,
which targets inflammatory signalling proteins for ubiquitinylation and
destruction by the proteosome. Given this important role for the EPAC1/SOCS3
signalling axis, we have used high throughput screening (HTS) to identify small
molecule EPAC1 regulators and have recently isolated the first known non-cyclic
nucleotide (NCN) EPAC1 agonist, I942. I942 therefore represents the first in
class, isoform selective EPAC1 activator, with the potential to suppress pro
inflammatory cytokine signalling with a reduced risk of side effects associated
with general cAMP-elevating agents that activate multiple response pathways. The
development of augmented I942 analogues may therefore provide improved research
tools to validate EPAC1 as a potential therapeutic target for the treatment of
chronic inflammation associated with deadly CVDs.
PMID- 29367550
TI - The Physiopathology of Cardiorenal Syndrome: A Review of the Potential
Contributions of Inflammation.
AB - Inter-organ crosstalk plays an essential role in the physiological homeostasis of
the heart and other organs, and requires a complex interaction between a host of
cellular, molecular, and neural factors. Derangements in these interactions can
initiate multi-organ dysfunction. This is the case, for instance, in the heart or
kidneys where a pathological alteration in one organ can unfavorably affect
function in another distant organ; attention is currently being paid to
understanding the physiopathological consequences of kidney dysfunction on
cardiac performance that lead to cardiorenal syndrome. Different cardiorenal
connectors (renin-angiotensin or sympathetic nervous system activation,
inflammation, uremia, etc.) and non-traditional risk factors potentially
contribute to multi-organ failure. Of these, inflammation may be crucial as
inflammatory cells contribute to over-production of eicosanoids and lipid second
messengers that activate intracellular signaling pathways involved in
pathogenesis. Indeed, inflammation biomarkers are often elevated in patients with
cardiac or renal dysfunction. Epigenetics, a dynamic process that regulates gene
expression and function, is also recognized as an important player in single
organ disease. Principal epigenetic modifications occur at the level of DNA
(i.e., methylation) and histone proteins; aberrant DNA methylation is associated
with pathogenesis of organ dysfunction through a number of mechanisms
(inflammation, nitric oxide bioavailability, endothelin, etc.). Herein, we focus
on the potential contribution of inflammation in pathogenesis of cardiorenal
syndrome.
PMID- 29367553
TI - Hemodynamics Modify Collagen Deposition in the Early Embryonic Chicken Heart
Outflow Tract.
AB - Blood flow is critical for normal cardiac development. Hemodynamic stimuli
outside of normal ranges can lead to overt cardiac defects, but how early heart
tissue remodels in response to altered hemodynamics is poorly understood. This
study investigated changes in tissue collagen in response to hemodynamic overload
in the chicken embryonic heart outflow tract (OFT) during tubular heart stages
(HH18 to HH24, ~24 h). A suture tied around the OFT at HH18 was tightened to
constrict the lumen for ~24 h (constriction range at HH24: 15-60%). Expression of
fibril collagens I and III and fibril organizing collagens VI and XIV were
quantified at the gene and protein levels via qPCR and quantitative
immunofluorescence. Collagen I was slightly elevated upstream of the band and in
the cushions in banded versus control OFTs. Changes in collagen III were not
observed. Collagen VI deposition was elevated downstream of the band, but not
overall. Collagen XIV deposition increased throughout the OFT, and strongly
correlated to lumen constriction. Interestingly, organization of collagen I
fibrils was observed for the tighter banded embryos in regions that also showed
increase in collagen XIV deposition, suggesting a potentially key role for
collagens I and XIV in the structural adaptation of embryonic heart tissue to
hemodynamic overload.
PMID- 29367554
TI - Segregation of Central Ventricular Conduction System Lineages in Early SMA+
Cardiomyocytes Occurs Prior to Heart Tube Formation.
AB - The cardiac conduction system (CCS) transmits electrical activity from the atria
to the ventricles to coordinate heartbeats. Atrioventricular conduction diseases
are often associated with defects in the central ventricular conduction system
comprising the atrioventricular bundle (AVB) and right and left branches (BBs).
Conducting and contractile working myocytes share common cardiomyogenic
progenitors, however the time at which the CCS lineage becomes specified is
unclear. In order to study the fate and the contribution to the CCS of
cardiomyocytes during early heart tube formation, we performed a genetic lineage
analysis using a Sma-CreERT2 mouse line. Lineage tracing experiments reveal a
sequential contribution of early Sma expressing cardiomyocytes to different
cardiac compartments, labeling at embryonic day (E) 7.5 giving rise to the
interventricular septum and apical left ventricular myocardium. Early Sma
expressing cardiomyocytes contribute to the AVB, BBs and left ventricular
Purkinje fibers. Clonal analysis using the R26-confetti reporter mouse crossed
with Sma-CreERT2 demonstrates that early Sma expressing cardiomyocytes include
cells exclusively fated to give rise to the AVB. In contrast, lineage segregation
is still ongoing for the BBs at E7.5. Overall this study highlights the early
segregation of the central ventricular conduction system lineage within
cardiomyocytes at the onset of heart tube formation.
PMID- 29367555
TI - Long-term Benefits of Risk Factor Reduction in Takotsubo Cardiomyopathy.-A
Comment on Khalighi et al. Entitled "Takotsubo Cardiomyopathy: A Long Term Follow
up Shows Benefit with Risk Factor Reduction", J. Cardiovasc. Dev. Dis., 2015, 2,
273-281.
AB - Takotsubo cardiomyopathy (TC) or stress-induced cardiomyopathy is also popularly
referred to as "broken heart syndrome" or "apical ballooning syndrome". [...].
PMID- 29367556
TI - Response to Ponamgi et al. Comments on Khalighi et al. Takotsubo Cardiomyopathy:
A Long Term Follow-up Shows Benefit with Risk Factor Reduction. J. Cardiovasc.
Dev. Dis., 2015, 2, 273-281.
AB - We appreciate the thorough response given by Ponagmi et al. [1], who rightly
point out that the pathophysiology and modifiable risk factors of Takotsubo
Cardiomyopathy (TC) have yet to be unequivocally established. [...].
PMID- 29367559
TI - Factors Affecting Hypertension among the Malaysian Elderly.
AB - Hypertension is a common chronic disease in the elderly. This study aimed to
determine the effects of age, ethnicity, gender, education, marital status,
nutritional parameters, and blood elements on the risk of high blood pressure in
the Malaysian elderly. This research was conducted on a group of 2322 non
institutionalized Malaysian elderly. The hierarchy binary logistic regression
analysis was applied to estimate the risk of hypertension in respondents.
Approximately, 45.61% of subjects had hypertension. The findings indicated that
the female gender (Odds ratio (OR) = 1.54), an increase in body weight (OR =
1.61), and an increase in the blood levels of albumin (OR = 1.51), glucose (OR =
1.92), and triglycerides (OR = 1.27) significantly increased the risk of
hypertension in subjects (p < 0.05). Conversely, an increase in both dietary
carbohydrates (OR = 0.74), and blood cholesterol level (OR = 0.42) significantly
reduced the risk of hypertension in samples (p < 0.05). Furthermore, the results
showed that ethnicity was a non-relevant factor to increase the risk of
hypertension in subjects. It was concluded that female gender, an increase in
body weight, and an increase in the blood levels of glucose, triglycerides, and
albumin enhanced the risk of high blood pressure in the Malaysian elderly. In
addition, an increase in both dietary carbohydrates and blood cholesterol level
decreased hypertension in subjects.
PMID- 29367558
TI - Drosophila in the Heart of Understanding Cardiac Diseases: Modeling
Channelopathies and Cardiomyopathies in the Fruitfly.
AB - Cardiovascular diseases and, among them, channelopathies and cardiomyopathies are
a major cause of death worldwide. The molecular and genetic defects underlying
these cardiac disorders are complex, leading to a large range of structural and
functional heart phenotypes. Identification of molecular and functional
mechanisms disrupted by mutations causing channelopathies and cardiomyopathies is
essential to understanding the link between an altered gene and clinical
phenotype. The development of animal models has been proven to be efficient for
functional studies in channelopathies and cardiomyopathies. In particular, the
Drosophila model has been largely applied for deciphering the molecular and
cellular pathways affected in these inherited cardiac disorders and for
identifying their genetic modifiers. Here we review the utility and the main
contributions of the fruitfly models for the better understanding of
channelopathies and cardiomyopathies. We also discuss the investigated
pathological mechanisms and the discoveries of evolutionarily conserved pathways
which reinforce the value of Drosophila in modeling human cardiac diseases.
PMID- 29367560
TI - TLR-4 and CD14 Genotypes and Soluble CD14: Could They Predispose to Coronary
Atherosclerosis?
AB - BACKGROUND: Inflammatory mechanisms are key to the pathogenesis of
atherosclerosis. Functional polymorphisms of TLR-4, Asp299Gly and Thr399Ile, CD14
promoter area C260T polymorphism and plasma levels of soluble CD14 are studied in
subjects with Coronary Artery Disease (CAD). METHODS: DNA was obtained from 100
human paraffin-embedded aortic specimens, from cadavers with known coronary
atheromatosis (Group A) and 100 blood samples from patients with CAD, as detected
by cardiac Multi-Detector-row-Computed-Tomography (MDCT) (Group B). Our control
group consisted of 100 healthy individuals (Group C). Genotyping was performed by
Restriction Fragment Length Polymorphism-Polymerase Chain Reaction (RFLP-PCR).
Plasma levels of sCD14 were measured with ELISA. RESULTS: For TLR-4 Asp299Gly and
Thr399Ile polymorphisms, no statistically significant differences were observed.
Regarding the C260T polymorphism, frequencies of T allele were significantly
higher in the control group compared to the case group (p = 0.05). The Odds Ratio
(OR) showed statistically significant association of TT genotype with healthy
individuals (OR 0.25, 95% Confidence Interval CI 0.10-0.62, p = 0.0017). Plasma
levels of sCD14 in patients with CAD (mean value = 1.35 MUg/mL) were reduced when
compared to reference value. CONCLUSIONS: The studied polymorphisms ofTLR-4
showed no association with CAD. Conversely, the functional polymorphism of CD14
has a statistically significant difference in expression between healthy and
affected by CAD individuals.
PMID- 29367562
TI - The "Dead-End Tract" and Its Role in Arrhythmogenesis.
AB - Idiopathic outflow tract ventricular arrhythmias (VAs) represent a significant
proportion of all VAs. The mechanism is thought to be catecholamine-mediated
delayed after depolarizations and triggered activity, although other etiologies
should be considered. In the adult cardiac conduction system it has been
demonstrated that sometimes an embryonic branch, the so-called "dead-end tract",
persists beyond the bifurcation of the right and left bundle branch (LBB).
Several findings suggest an involvement of this tract in idiopathic VAs (IVAs).
The aim of this review is to summarize our current knowledge and the possible
clinical significance of this tract.
PMID- 29367561
TI - Probing the Electrophysiology of the Developing Heart.
AB - Many diseases that result in dysfunction and dysmorphology of the heart originate
in the embryo. However, the embryonic heart presents a challenging subject for
study: especially challenging is its electrophysiology. Electrophysiological
maturation of the embryonic heart without disturbing its physiological function
requires the creation and deployment of novel technologies along with the use of
classical techniques on a range of animal models. Each tool has its strengths and
limitations and has contributed to making key discoveries to expand our
understanding of cardiac development. Further progress in understanding the
mechanisms that regulate the normal and abnormal development of the
electrophysiology of the heart requires integration of this functional
information with the more extensively elucidated structural and molecular
changes.
PMID- 29367563
TI - The Early Stages of Heart Development: Insights from Chicken Embryos.
AB - The heart is the first functioning organ in the developing embryo and a detailed
understanding of the molecular and cellular mechanisms involved in its formation
provides insights into congenital malformations affecting its function and
therefore the survival of the organism. Because many developmental mechanisms are
highly conserved, it is possible to extrapolate from observations made in
invertebrate and vertebrate model organisms to humans. This review will highlight
the contributions made through studying heart development in avian embryos,
particularly the chicken. The major advantage of chick embryos is their
accessibility for surgical manipulation and functional interference approaches,
both gain- and loss-of-function. In addition to experiments performed in ovo, the
dissection of tissues for ex vivo culture, genomic, or biochemical approaches is
straightforward. Furthermore, embryos can be cultured for time-lapse imaging,
which enables tracking of fluorescently labeled cells and detailed analysis of
tissue morphogenesis. Owing to these features, investigations in chick embryos
have led to important discoveries, often complementing genetic studies in mice
and zebrafish. As well as including some historical aspects, we cover here some
of the crucial advances made in understanding early heart development using the
chicken model.
PMID- 29367564
TI - On the Morphology of the Drosophila Heart.
AB - The circulatory system of Drosophilamelanogaster represents an easily amenable
genetic model whose analysis at different levels, i.e., from single molecules up
to functional anatomy, has provided new insights into general aspects of
cardiogenesis, heart physiology and cardiac aging, to name a few examples. In
recent years, the Drosophila heart has also attracted the attention of
researchers in the field of biomedicine. This development is mainly due to the
fact that several genes causing human heart disease are also present in
Drosophila, where they play the same or similar roles in heart development,
maintenance or physiology as their respective counterparts in humans. This review
will attempt to briefly introduce the anatomy of the Drosophila circulatory
system and then focus on the different cell types and non-cellular tissue that
constitute the heart.
PMID- 29367565
TI - Postnatal Cardiac Autonomic Nervous Control in Pediatric Congenital Heart
Disease.
AB - Congenital heart disease is the most common congenital defect. During childhood,
survival is generally good but, in adulthood, late complications are not
uncommon. Abnormal autonomic control in children with congenital heart disease
may contribute considerably to the pathophysiology of these long term sequelae.
This narrative review of 34 studies aims to summarize current knowledge on
function of the autonomic nervous system in children with a congenital heart
defect. Large scale studies that measure both branches of the nervous system for
prolonged periods of time in well-defined patient cohorts in various phases of
childhood and adolescence are currently lacking. Pending such studies, there is
not yet a good grasp on the extent and direction of sympathetic and
parasympathetic autonomic function in pediatric congenital heart disease.
Longitudinal studies in homogenous patient groups linking autonomic nervous
system function and clinical outcome are warranted.
PMID- 29367566
TI - Of Tracts, Rings, Nodes, Cusps, Sinuses, and Arrhythmias-A Comment on Szili-Torok
et al.'s Paper Entitled "The 'Dead-End Tract' and Its Role in Arrhythmogenesis".
J. Cardiovasc. Dev. Dis. 2016, 3, 11.
AB - In the review, now published as part of the special issue devoted to the
development of the conduction tissues, de Vries and his colleagues discuss the
potential role of the so-called "dead-end tract" as a substrate for
arrhythmogenesis [1].[...].
PMID- 29367568
TI - The Popeye Domain Containing Genes and Their Function in Striated Muscle.
AB - The Popeye domain containing (POPDC) genes encode a novel class of cAMP effector
proteins, which are abundantly expressed in heart and skeletal muscle. Here, we
will review their role in striated muscle as deduced from work in cell and animal
models and the recent analysis of patients carrying a missense mutation in
POPDC1. Evidence suggests that POPDC proteins control membrane trafficking of
interacting proteins. Furthermore, we will discuss the current catalogue of
established protein-protein interactions. In recent years, the number of POPDC
interacting proteins has been rising and currently includes ion channels (TREK
1), sarcolemma-associated proteins serving functions in mechanical stability
(dystrophin), compartmentalization (caveolin 3), scaffolding (ZO-1), trafficking
(NDRG4, VAMP2/3) and repair (dysferlin) or acting as a guanine nucleotide
exchange factor for Rho-family GTPases (GEFT). Recent evidence suggests that
POPDC proteins might also control the cellular level of the nuclear proto
oncoprotein c-Myc. These data suggest that this family of cAMP-binding proteins
probably serves multiple roles in striated muscle.
PMID- 29367567
TI - A Matter of the Heart: The African Clawed Frog Xenopus as a Model for Studying
Vertebrate Cardiogenesis and Congenital Heart Defects.
AB - The African clawed frog, Xenopus, is a valuable non-mammalian model organism to
investigate vertebrate heart development and to explore the underlying molecular
mechanisms of human congenital heart defects (CHDs). In this review, we outline
the similarities between Xenopus and mammalian cardiogenesis, and provide an
overview of well-studied cardiac genes in Xenopus, which have been associated
with congenital heart conditions. Additionally, we highlight advantages of
modeling candidate genes derived from genome wide association studies (GWAS) in
Xenopus and discuss commonly used techniques.
PMID- 29367569
TI - Vascular Development and Regeneration in the Mammalian Heart.
AB - Cardiovascular diseases including coronary artery disease are the leading cause
of death worldwide. Unraveling the developmental origin of coronary vessels could
offer important therapeutic implications for treatment of cardiovascular
diseases. The recent identification of the endocardial source of coronary vessels
reveals a heterogeneous origin of coronary arteries in the adult heart. In this
review, we will highlight recent advances in finding the sources of coronary
vessels in the mammalian heart from lineage-tracing models as well as
differentiation studies using pluripotent stem cells. Moreover, we will also
discuss how we induce neovascularization in the damaged heart through transient
yet highly efficient expression of VEGF-modified mRNAs as a potentially
therapeutic delivery platform.
PMID- 29367570
TI - Stem Cell Therapy and Congenital Heart Disease.
AB - For more than a decade, stem cell therapy has been the focus of intensive efforts
for the treatment of adult heart disease, and now has promise for treating the
pediatric population. On the basis of encouraging results in the adult field, the
application of stem cell-based strategies in children with congenital heart
disease (CHD) opens a new therapy paradigm. To date, the safety and efficacy of
stem cell-based products to promote cardiac repair and recovery in dilated
cardiomyopathy and structural heart disease in infants have been primarily
demonstrated in scattered clinical case reports, and supported by a few relevant
pre-clinical models. Recently the TICAP trial has shown the safety and
feasibility of intracoronary infusion of autologous cardiosphere-derived cells in
children with hypoplastic left heart syndrome. A focus on preemptive cardiac
regeneration in the pediatric setting may offer new insights as to the timing of
surgery, location of cell-based delivery, and type of cell-based regeneration
that could further inform acquired cardiac disease applications. Here, we review
the current knowledge on the field of stem cell therapy and tissue engineering in
children with CHD, and discuss the gaps and future perspectives on cell-based
strategies to treat patients with CHD.
PMID- 29367571
TI - Heart Failure in Patients with Preserved Ejection Fraction: Questions Concerning
Clinical Progression.
AB - Over the last two decades, important advances have been made in explaining some
pathophysiological aspects of heart failure with preserved ejection fraction
(HFpEF) with repercussions for the successful clinical management of the
syndrome. Despite these gains, our knowledge for the natural history of clinical
progression from the pre-clinical diastolic dysfunction (PDD) until the final
clinical stages is significantly limited. The subclinical progression of PDD to
the clinical phenotype of HFpEF and the further clinical progression to some more
complex clinical models with multi-organ involvement, similar to heart failure
with reduced ejection fraction (HFrEF), continue to be poorly understood.
Prospective studies are needed to elucidate the natural history of clinical
progression in patients with HFpEF and to identify the exact left ventricular
remodeling mechanism that underlies this progression.
PMID- 29367573
TI - Large Mammalian Animal Models of Heart Disease.
AB - Due to the biological complexity of the cardiovascular system, the animal model
is an urgent pre-clinical need to advance our knowledge of cardiovascular disease
and to explore new drugs to repair the damaged heart. Ideally, a model system
should be inexpensive, easily manipulated, reproducible, a biological
representative of human disease, and ethically sound. Although a larger animal
model is more expensive and difficult to manipulate, its genetic, structural,
functional, and even disease similarities to humans make it an ideal model to
first consider. This review presents the commonly-used large animals-dog, sheep,
pig, and non-human primates-while the less-used other large animals-cows, horses
are excluded. The review attempts to introduce unique points for each species
regarding its biological property, degrees of susceptibility to develop certain
types of heart diseases, and methodology of induced conditions. For example, dogs
barely develop myocardial infarction, while dilated cardiomyopathy is developed
quite often. Based on the similarities of each species to the human, the model
selection may first consider non-human primates-pig, sheep, then dog-but it also
depends on other factors, for example, purposes, funding, ethics, and policy. We
hope this review can serve as a basic outline of large animal models for
cardiovascular researchers and clinicians.
PMID- 29367572
TI - Part and Parcel of the Cardiac Autonomic Nerve System: Unravelling Its Cellular
Building Blocks during Development.
AB - The autonomic nervous system (cANS) is essential for proper heart function, and
complications such as heart failure, arrhythmias and even sudden cardiac death
are associated with an altered cANS function. A changed innervation state may
underlie (part of) the atrial and ventricular arrhythmias observed after
myocardial infarction. In other cardiac diseases, such as congenital heart
disease, autonomic dysfunction may be related to disease outcome. This is also
the case after heart transplantation, when the heart is denervated. Interest in
the origin of the autonomic nerve system has renewed since the role of autonomic
function in disease progression was recognized, and some plasticity in autonomic
regeneration is evident. As with many pathological processes, autonomic
dysfunction based on pathological innervation may be a partial recapitulation of
the early development of innervation. As such, insight into the development of
cardiac innervation and an understanding of the cellular background contributing
to cardiac innervation during different phases of development is required. This
review describes the development of the cANS and focuses on the cellular
contributions, either directly by delivering cells or indirectly by secretion of
necessary factors or cell-derivatives.
PMID- 29367575
TI - Genetic and Epigenetic Mechanisms Linking Air Pollution and Congenital Heart
Disease.
AB - Epidemiological studies strongly suggest that parental air pollutants exposure
during the periconceptional period may play a major role in causing fetal/newborn
malformations, including a frequent heterogeneity in the methods applied and a
difficulty in estimating the clear effect of environmental toxicants. Moreover,
only some couples exposed to toxicants during the pre-conception period give
birth to a child with congenital anomalies. The reasons for such phenomena remain
elusive but they can be explained by the individual, innate ability to metabolize
these contaminants that eventually defines the ultimate dose of a biological
active toxicant. In this paper, we reviewed the major evidence regarding the role
of parental air pollutant exposure on congenital heart disease (CHD) risk as well
as the modulating effect on detoxification systems. Finally, major epigenetic
alterations induced by adverse environment contaminants have been revised as
possible mechanisms altering a correct heart morphogenesis.
PMID- 29367574
TI - Myocarditis in Paediatric Patients: Unveiling the Progression to Dilated
Cardiomyopathy and Heart Failure.
AB - Myocarditis is a challenging and potentially life-threatening disease associated
with high morbidity in some paediatric patients, due to its ability to present as
an acute and fulminant disease and to ultimately progress to dilated
cardiomyopathy. It has been described as an inflammatory disease of the
myocardium caused by diverse aetiologies. Viral infection is the most frequent
cause of myocarditis in developed countries, but bacterial and protozoal
infections or drug hypersensitivity may also be causative agents. The prompt
diagnosis in paediatric patients is difficult, as the spectrum of clinical
manifestation can range from no myocardial dysfunction to sudden cardiac death.
Recent studies on myocarditis pathogenesis have revealed a triphasic nature of
this disease, which influences the diagnostic and therapeutic strategies to adopt
in each patient. Endomyocardial biopsy remains the gold standard for diagnosing
myocarditis, and several non-invasive diagnostic tools can be used to support the
diagnosis. Intravenous immunoglobulin has become part of routine practice in the
treatment of myocarditis in paediatric patients at many centres, but its true
effect on the cardiac function has been the target of many studies. The aim of
this review is to approach the recently discovered facets of paediatric
myocarditis regarding its progression to dilated cardiomyopathy.
PMID- 29367576
TI - Management of Mechanical Ventilation in Decompensated Heart Failure.
AB - Mechanical ventilation (MV) is a life-saving intervention for respiratory
failure, including decompensated congestive heart failure. MV can reduce
ventricular preload and afterload, decrease extra-vascular lung water, and
decrease the work of breathing in heart failure. The advantages of positive
pressure ventilation must be balanced with potential harm from MV: volutrauma,
hyperoxia-induced injury, and difficulty assessing readiness for liberation. In
this review, we will focus on cardiac, pulmonary, and broader effects of MV on
patients with decompensated HF, focusing on practical considerations for
management and supporting evidence.
PMID- 29367577
TI - Current Perspectives in Cardiac Laterality.
AB - The heart is the first organ to break symmetry in the developing embryo and onset
of dextral looping is the first indication of this event. Looping is a complex
process that progresses concomitantly to cardiac chamber differentiation and
ultimately leads to the alignment of the cardiac regions in their final topology.
Generation of cardiac asymmetry is crucial to ensuring proper form and consequent
functionality of the heart, and therefore it is a highly regulated process. It
has long been known that molecular left/right signals originate far before
morphological asymmetry and therefore can direct it. The use of several animal
models has led to the characterization of a complex regulatory network, which
invariably converges on the Tgf-beta signaling molecule Nodal and its downstream
target, the homeobox transcription factor Pitx2. Here, we review current data on
the cellular and molecular bases of cardiac looping and laterality, and discuss
the contribution of Nodal and Pitx2 to these processes. A special emphasis will
be given to the morphogenetic role of Pitx2 and to its modulation of
transcriptional and functional properties, which have also linked laterality to
atrial fibrillation.
PMID- 29367578
TI - Mechanical Circulatory Support for Advanced Heart Failure: Are We about to
Witness a New "Gold Standard"?
AB - The impact of left ventricular assist devices (LVADs) for the treatment of
advanced heart failure has played a significant role as a bridge to transplant
and more recently as a long-term solution for non-eligible candidates. Continuous
flow left ventricular assist devices (CF-LVADs), based on axial and centrifugal
design, are currently the most popular devices in view of their smaller size,
increased reliability and higher durability compared to pulsatile flow left
ventricular assist devices (PF-LVADs). The trend towards their use is increasing.
Therefore, it has become mandatory to understand the physics and the mathematics
behind their mode of operation for appropriate device selection and simulation
set up. For this purpose, this review covers some of these aspects. Although very
successful and technologically advanced, they have been associated with
complications such as pump thrombosis, haemolysis, aortic regurgitation, gastro
intestinal bleeding and arterio-venous malformations. There is perception that
the reduced arterial pulsatility may be responsible for these complications. A
flow modulation control approach is currently being investigated in order to
generate pulsatility in rotary blood pumps. Thrombus formation remains the most
feared complication that can affect clinical outcome. The development of a
preoperative strategy aimed at the reduction of complications and patient-device
suitability may be appropriate. Patient-specific modelling based on 3D
reconstruction from CT-scan combined with computational fluid dynamic studies is
an attractive solution in order to identify potential areas of stagnation or
challenging anatomy that could be addressed to achieve the desired outcome. The
HeartMate II (axial) and the HeartWare HVAD (centrifugal) rotary blood pumps have
been now used worldwide with proven outcome. The HeartMate III (centrifugal) is
now emerging as the new promising device with encouraging preliminary results.
There are now enough pumps on the market: it is time to focus on the
complications in order to achieve the full potential and selling-point of this
type of technology for the treatment of the increasing heart failure patient
population.
PMID- 29367579
TI - Vertebrate Left-Right Asymmetry: What Can Nodal Cascade Gene Expression Patterns
Tell Us?
AB - Laterality of inner organs is a wide-spread characteristic of vertebrates and
beyond. It is ultimately controlled by the left-asymmetric activation of the
Nodal signaling cascade in the lateral plate mesoderm of the neurula stage
embryo, which results from a cilia-driven leftward flow of extracellular fluids
at the left-right organizer. This scenario is widely accepted for laterality
determination in wildtype specimens. Deviations from this norm come in different
flavors. At the level of organ morphogenesis, laterality may be inverted (situs
inversus) or non-concordant with respect to the main body axis (situs ambiguus or
heterotaxia). At the level of Nodal cascade gene activation, expression may be
inverted, bilaterally induced, or absent. In a given genetic situation, patterns
may be randomized or predominantly lacking laterality (absence or bilateral
activation). We propose that the distributions of patterns observed may be
indicative of the underlying molecular defects, with randomizations being
primarily caused by defects in the flow-generating ciliary set-up, and
symmetrical patterns being the result of impaired flow sensing, on the left, the
right, or both sides. This prediction, the reasoning of which is detailed in this
review, pinpoints functions of genes whose role in laterality determination have
remained obscure.
PMID- 29367580
TI - Function of Adenylyl Cyclase in Heart: the AKAP Connection.
AB - Cyclic adenosine monophosphate (cAMP), synthesized by adenylyl cyclase (AC), is a
universal second messenger that regulates various aspects of cardiac physiology
from contraction rate to the initiation of cardioprotective stress response
pathways. Local pools of cAMP are maintained by macromolecular complexes formed
by A-kinase anchoring proteins (AKAPs). AKAPs facilitate control by bringing
together regulators of the cAMP pathway including G-protein-coupled receptors,
ACs, and downstream effectors of cAMP to finely tune signaling. This review will
summarize the distinct roles of AC isoforms in cardiac function and how
interactions with AKAPs facilitate AC function, highlighting newly appreciated
roles for lesser abundant AC isoforms.
PMID- 29367581
TI - Pre-Eclampsia and Eclampsia: An Update on the Pharmacological Treatment Applied
in Portugal.
AB - Pre-eclampsia and eclampsia are two hypertensive disorders of pregnancy,
considered major causes of maternal and perinatal death worldwide. Pre-eclampsia
is a multisystemic disease characterized by the development of hypertension after
20 weeks of gestation, with the presence of proteinuria or, in its absence, of
signs or symptoms indicative of target organ injury. Eclampsia represents the
consequence of brain injuries caused by pre-eclampsia. The correct diagnosis and
classification of the disease are essential, since the therapies for the mild and
severe forms of pre-eclampsia are different. Thus, this review aims to describe
the most advisable antepartum pharmacotherapy for pre-eclampsia and eclampsia
applied in Portugal and based on several national and international available
guidelines. Slow-release nifedipine is the most recommended drug for mild pre
eclampsia, and labetalol is the drug of choice for the severe form of the
disease. Magnesium sulfate is used to prevent seizures caused by eclampsia.
Corticosteroids are used for fetal lung maturation. Overall, the pharmacological
prevention of these diseases is limited to low-dose aspirin, so it is important
to establish the safest and most effective available treatment.
PMID- 29367585
TI - A Case of Recurrent Pasteurella Bacteremia in an Immunocompetent Patient with No
Animal Bite.
AB - BACKGROUND Pasteurella multocida is a gram negative-penicillin sensitive
bacterium and is part of normal respiratory microbiota of animals (e.g., cats and
dogs) and some birds. Various infections in humans, such as cellulitis, rarely
bacteremia, endocarditis, meningitis, and septic arthritis, are a result of
domestic cat or dog bites. These infections are rarely seen in an immunocompetent
person, without an associated animal bite. CASE REPORT We present a case of
refractory Pasteurella multocida bacteremia without any animal bite in an
immunocompetent person. CONCLUSIONS Pasteurella multocida bacteremia has been
seen in immunocompromised patients and mostly after a cat or dog bite or scratch
but might also happen in immunocompetent humans with only pet licking rather than
biting, which might increase hospital and emergency department visits or
admissions in the future.
PMID- 29367586
TI - Neutrophil Gelatinase-Associated Lipocalin Attenuates Ischemia/Reperfusion Injury
in an In Vitro Model via Autophagy Activation.
AB - BACKGROUND The aim of this study was to investigate the protective effects of
neutrophil gelatinase-associated lipocalin (NGAL) on hypoxia/reoxygenation (H/R)
induced acute kidney injury (AKI) in vitro. MATERIAL AND METHODS We used NRK-52E
cells and H/R treatments to mimic ischemia/reperfusion injury (IRI) in vitro.
Experimental groups were: the control group, the H/R group, the 3-methyladenine
(3-MA)+H/R group, the NGAL (0.25, 0.5, and 1 ug/mL)+H/R group, and the NGAL
(0.25, 0.5, 1 ug/mL)+3-MA+H/R group. After 24 hours of culture, cell
proliferation was analyzed by CCK-8 assay. Expression of LC3-II was detected by
immunoblot assay. Autophagy was detected by electron microscopy. RESULTS The
expression of LC3-II was increased in the H/R group compared with normoxic
condition (p<0.05) and proliferation also improved. Autophagy was significantly
inhibited by 3-MA, with downregulated of LC3-II, followed by decreased cell
viability (p<0.05). We further detected the effect of different doses of NGAL in
H/R induced injury, and found that low doses of NGAL alone slightly increased LC3
II protein accumulation, and autophagy was further induced with higher dose of
NGAL treatment. Meanwhile, cell viability assays showed induced cell survival. We
found that in the NGAL+3-MA group, cell viability assays revealed reduced cell
damage, followed concomitantly with depressed autophagy. The formulation of
autophagosomes were correlated with LC3-II protein expression in each group.
CONCLUSIONS Autophagy plays a renoprotective role in H/R injury, as well in AKI.
NGAL might be related to attenuated tubular epithelial cell damage via adjusting
autophagy.
PMID- 29367582
TI - Imaging of PDE2- and PDE3-Mediated cGMP-to-cAMP Cross-Talk in Cardiomyocytes.
AB - Cyclic nucleotides 3',5'-cyclic adenosine monophosphate (cAMP) and 3',5'-cyclic
guanosine monophosphate (cGMP) are important second messengers that regulate
cardiovascular function and disease by acting in discrete subcellular
microdomains. Signaling compartmentation at these locations is often regulated by
phosphodiesterases (PDEs). Some PDEs are also involved in the cross-talk between
the two second messengers. The purpose of this review is to summarize and
highlight recent findings about the role of PDE2 and PDE3 in cardiomyocyte cyclic
nucleotide compartmentation and visualization of this process using live cell
imaging techniques.
PMID- 29367587
TI - Long non-coding RNA and microRNA-675/let-7a mediates the protective effect of
melatonin against early brain injury after subarachnoid hemorrhage via targeting
TP53 and neural growth factor.
AB - The objective of this study was to identify the protective effect of melatonin
(MT) against early brain injury (EBI) following subarachnoid hemorrhage (SAH) and
explore the underlying molecular mechanism. Real-time polymerase chain reaction
(PCR) and luciferase assay were utilized to detect the effect of MT on H19
expression level, computation analysis and luciferase assay were conducted to the
underlying mechanism of let-7a and miR-675. Real-time PCR, western blot analysis,
immunohistochemistry, 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide (MTT) assay, and flow cytometry analysis were performed to detect the
effect of MT on H19, miR-675, let-7a, TP53, neural growth factor (NGF) levels,
cell viability, and apoptosis status. Melatonin increased H19 expression level by
enhancing H19 transcriptional efficiency in a concentration-dependent manner. MiR
675 and let-7a directly targeted P53 and NGF, respectively, and miR-675 reduced
luciferase activity of wild-type but not mutant TP53 3'UTR. Meanwhile, let-7a
suppressed luciferase activity of wild-type but not mutant NGF 3'UTR. H2O2
increased number of SA-b-gal, and while MT administration repressed the premature
senescence. H2O2 obviously upregulated expressions of H19, miR-675, and NGF, and
downregulated let-7a and TP53 levels; however, MT treatment reduced expressions
of H19, miR-675, and NGF, and improved let-7a and TP53 levels. Treating with MT
attenuated the neurological deficits and reduced the brain swelling. MT treatment
repressed apoptosis of neurons caused by SAH. Levels of H19, miR-675, and NGF
were much higher in the SAH + MT group, while there were even higher levels of
H19, miR-675, and NGF in the SAH group than in the sham group; levels of let-7a
and TP53 were much lower in the SAH + MT group, while they were even lower in the
SAH group than in the sham group. Our study revealed that treatment with MT
protected against EBI after SAH by modulating the signaling pathways of H19-miR
675-P53-apoptosis and H19-let-7a-NGF-apoptosis.
PMID- 29367588
TI - Dysfunctional autophagy following exposure to pro-inflammatory cytokines
contributes to pancreatic beta-cell apoptosis.
AB - Type 1 diabetes (T1D) results from beta-cell destruction due to concerted action
of both innate and adaptive immune responses. Pro-inflammatory cytokines, such as
interleukin-1beta and interferon-gamma, secreted by the immune cells invading
islets of Langerhans, contribute to pancreatic beta-cell death in T1D. Cytokine
induced endoplasmic reticulum (ER) stress plays a central role in beta-cell
demise. ER stress can modulate autophagic response; however, no study addressed
the regulation of autophagy during the pathophysiology of T1D. In this study, we
document that cytokines activate the AMPK-ULK-1 pathway while inhibiting mTORC1,
which stimulates autophagy activity in an ER stress-dependent manner. On the
other hand, time-course analysis of LC3-II accumulation in autophagosomes
revealed that cytokines block the autophagy flux in an ER stress independent
manner, leading to the formation of large dysfunctional autophagosomes and
worsening of ER stress. Cytokines rapidly impair lysosome function, leading to
lysosome membrane permeabilization, Cathepsin B leakage and lysosomal cell death.
Blocking cathepsin activity partially protects against cytokine-induced or torin1
induced apoptosis, whereas blocking autophagy aggravates cytokine-induced CHOP
overexpression and beta-cell apoptosis. In conclusion, cytokines stimulate the
early steps of autophagy while blocking the autophagic flux, which aggravate ER
stress and trigger lysosomal cell death. Restoration of autophagy/lysosomal
function may represent a novel strategy to improve beta-cell resistance in the
context of T1D.
PMID- 29367584
TI - Cyclic Nucleotide-Directed Protein Kinases in Cardiovascular Inflammation and
Growth.
AB - Cardiovascular disease (CVD), including myocardial infarction (MI) and peripheral
or coronary artery disease (PAD, CAD), remains the number one killer of
individuals in the United States and worldwide, accounting for nearly 18 million
(>30%) global deaths annually. Despite considerable basic science and clinical
investigation aimed at identifying key etiologic components of and potential
therapeutic targets for CVD, the number of individuals afflicted with these
dreaded diseases continues to rise. Of the many biochemical, molecular, and
cellular elements and processes characterized to date that have potential to
control foundational facets of CVD, the multifaceted cyclic nucleotide pathways
continue to be of primary basic science and clinical interest. Cyclic adenosine
monophosphate (cyclic AMP) and cyclic guanosine monophosphate (cyclic GMP) and
their plethora of downstream protein kinase effectors serve ubiquitous roles not
only in cardiovascular homeostasis but also in the pathogenesis of CVD. Already a
major target for clinical pharmacotherapy for CVD as well as other pathologies,
novel and potentially clinically appealing actions of cyclic nucleotides and
their downstream targets are still being discovered. With this in mind, this
review article focuses on our current state of knowledge of the cyclic nucleotide
driven serine (Ser)/threonine (Thr) protein kinases in CVD with particular
emphasis on cyclic AMP-dependent protein kinase (PKA) and cyclic GMP-dependent
protein kinase (PKG). Attention is given to the regulatory interactions of these
kinases with inflammatory components including interleukin 6 signals, with G
protein-coupled receptor and growth factor signals, and with growth and synthetic
transcriptional platforms underlying CVD pathogenesis. This article concludes
with a brief discussion of potential future directions and highlights the
importance for continued basic science and clinical study of cyclic nucleotide
directed protein kinases as emerging and crucial controllers of cardiac and
vascular disease pathologies.
PMID- 29367590
TI - Whole-genome assembly of the coral reef Pearlscale Pygmy Angelfish (Centropyge
vrolikii).
AB - The diversity of DNA sequencing methods and algorithms for genome assemblies
presents scientists with a bewildering array of choices. Here, we construct and
compare eight candidate assemblies combining overlapping shotgun read data, mate
pair and Chicago libraries and four different genome assemblers to produce a high
quality draft genome of the iconic coral reef Pearlscale Pygmy Angelfish,
Centropyge vrolikii (family Pomacanthidae). The best candidate assembly combined
all four data types and had a scaffold N50 127.5 times higher than the candidate
assembly obtained from shotgun data only. Our best candidate assembly had a
scaffold N50 of 8.97 Mb, contig N50 of 189,827, and 97.4% complete for BUSCO v2
(Actinopterygii set) and 95.6% complete for CEGMA matches. These contiguity and
accuracy scores are higher than those of any other fish assembly released to date
that did not apply linkage map information, including those based on more
expensive long-read sequencing data. Our analysis of how different data types
improve assembly quality will help others choose the most appropriate de novo
genome sequencing strategy based on resources and target applications.
Furthermore, the draft genome of the Pearlscale Pygmy angelfish will play an
important role in future studies of coral reef fish evolution, diversity and
conservation.
PMID- 29367589
TI - Evolutionary adaptations to new environments generally reverse plastic phenotypic
changes.
AB - Organismal adaptation to a new environment may start with plastic phenotypic
changes followed by genetic changes, but whether the plastic changes are stepping
stones to genetic adaptation is debated. Here we address this question by
investigating gene expression and metabolic flux changes in the two-phase
adaptation process using transcriptomic data from multiple experimental evolution
studies and computational metabolic network analysis, respectively. We discover
that genetic changes more frequently reverse than reinforce plastic phenotypic
changes in virtually every adaptation. Metabolic network analysis reveals that,
even in the presence of plasticity, organismal fitness drops after environmental
shifts, but largely recovers through subsequent evolution. Such fitness
trajectories explain why plastic phenotypic changes are genetically compensated
rather than strengthened. In conclusion, although phenotypic plasticity may serve
as an emergency response to a new environment that is necessary for survival, it
does not generally facilitate genetic adaptation by bringing the organismal
phenotype closer to the new optimum.
PMID- 29367591
TI - Publisher Correction: Low dose nitrite improves reoxygenation following renal
ischemia in rats.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29367593
TI - Active microbial biofilms in deep poor porous continental subsurface rocks.
AB - Deep continental subsurface is defined as oligotrophic environments where
microorganisms present a very low metabolic rate. To date, due to the energetic
cost of production and maintenance of biofilms, their existence has not been
considered in poor porous subsurface rocks. We applied fluorescence in situ
hybridization techniques and confocal laser scanning microscopy in samples from a
continental deep drilling project to analyze the prokaryotic diversity and
distribution and the possible existence of biofilms. Our results show the
existence of natural microbial biofilms at all checked depths of the Iberian
Pyrite Belt (IPB) subsurface and the co-occurrence of bacteria and archaea in
this environment. This observation suggests that multi-species biofilms may be a
common and widespread lifestyle in subsurface environments.
PMID- 29367592
TI - Persistent activation of interlinked type 2 airway epithelial gene networks in
sputum-derived cells from aeroallergen-sensitized symptomatic asthmatics.
AB - Atopic asthma is a persistent disease characterized by intermittent wheeze and
progressive loss of lung function. The disease is thought to be driven primarily
by chronic aeroallergen-induced type 2-associated inflammation. However, the vast
majority of atopics do not develop asthma despite ongoing aeroallergen exposure,
suggesting additional mechanisms operate in conjunction with type 2 immunity to
drive asthma pathogenesis. We employed RNA-Seq profiling of sputum-derived cells
to identify gene networks operative at baseline in house dust mite-sensitized
(HDMS) subjects with/without wheezing history that are characteristic of the
ongoing asthmatic state. The expression of type 2 effectors (IL-5, IL-13) was
equivalent in both cohorts of subjects. However, in HDMS-wheezers they were
associated with upregulation of two coexpression modules comprising multiple type
2- and epithelial-associated genes. The first module was interlinked by the hubs
EGFR, ERBB2, CDH1 and IL-13. The second module was associated with CDHR3 and
mucociliary clearance genes. Our findings provide new insight into the molecular
mechanisms operative at baseline in the airway mucosa in atopic asthmatics
undergoing natural aeroallergen exposure, and suggest that susceptibility to
asthma amongst these subjects involves complex interactions between type 2- and
epithelial-associated gene networks, which are not operative in equivalently
sensitized/exposed atopic non-asthmatics.
PMID- 29367594
TI - Long non-coding RNA HOTTIP promotes BCL-2 expression and induces chemoresistance
in small cell lung cancer by sponging miR-216a.
AB - Despite progress in treatment of small cell lung cancer (SCLC), its multidrug
chemoresistance and poor prognosis still remain. Recently, we globally assessed
long non-coding RNAs (lncRNAs) for contributions to SCLC chemoresistance using
microarray data, in vitro and in vivo assays. Here we reported that HOTTIP,
encoding a lncRNA that is frequently amplified in SCLC, was associated with SCLC
cell chemosensitivity, proliferation, and poor prognosis of SCLC patients.
Moreover, mechanistic investigations showed that HOTTIP functioned as an oncogene
in SCLC progression by binding miR-216a and abrogating its tumor-suppressive
function in this setting. On the other hand, HOTTIP increased the expression of
anti-apoptotic factor BCL-2, another important target gene of miR-216a, and
jointly enhanced chemoresistance of SCLC by regulating BCL-2. Taken together, our
study established a role for HOTTIP in SCLC progression and chemoresistance
suggest its candidacy as a new diagnostic and prognostic biomarker for clinical
management of SCLC.
PMID- 29367595
TI - New 2-Aryl-9-methyl-beta-carbolinium salts as Potential Acetylcholinesterase
Inhibitor agents: Synthesis, Bioactivity and Structure-Activity Relationship.
AB - A series of 2-aryl-9-methyl-beta-carbolinium bromides (B) were synthesized and
explored for anti-acetylcholinesterase (AChE) activities in vitro, action
mechanism and structure-activity relationship. All the compounds B along with
their respective 3,4-dihydro intermediates (A) presented anti-AChE activity at 10
MUM. Thirteen compounds B showed the excellent activity with IC50 values of 0.11
0.76 MUM and high selectivity toward AChE relative to butyrylcholinesterase
(BChE), superior to galantamine (IC50 = 0.79 MUM), a selective AChE inhibitor
drug. Kinetic analysis showed that the action mechanisms of both compounds B and
A are a competitive inhibition model. Structure-activity relationship analyses
showed that the C = N+ moiety is a determinant for the activity. Substituents at
6, 7 or 4' site, the indole-N-alkyl and the aromatization of the C-ring can
significantly improve the activity. Molecular docking studies showed that the
compounds could combine with the active site of AChE by the pi-pi or cation-pi
action between the carboline ring and the phenyl rings of the residues, and the
beta-carboline moiety is embedded in a cavity surrounded by four aromatic
residues of Trp86, Tyr337, Trp439 and Tyr449. The present results strongly
suggest that the para-position of the D-ring should be a preferred modification
site for further structural optimization design. Thus, 2-aryl-9-methyl-beta
carboliniums emerged as novel and promising tool compounds for the development of
new AChE inhibitor agents.
PMID- 29367596
TI - Graphene-based nonvolatile terahertz switch with asymmetric electrodes.
AB - We propose a nonvolatile terahertz (THz) switch which is able to perform the
switching with transient stimulus. The device utilizes graphene as its floating
gate layer, which changes the transmissivity of THz signal by trapping the
tunneling charges. The conventional top-down electrode configuration is replaced
by a left-right electrode configuration, so THz signals could transmit through
this device with the transmissivity being controlled by voltage pulses. The two
electrodes are made of metals with different work functions. The resultant
asymmetrical energy band structure ensures that both electrical programming and
erasing are viable. With the aid of localized surface plasmon resonances in
graphene ribbon arrays, the modulation depth is 89% provided that the Femi level
of graphene is tuned between 0 and 0.2 eV by proper voltage pulses.
PMID- 29367597
TI - Neuronal messenger ribonucleoprotein transport follows an aging Levy walk.
AB - Localization of messenger ribonucleoproteins (mRNPs) plays an essential role in
the regulation of gene expression for long-term memory formation and neuronal
development. Knowledge concerning the nature of neuronal mRNP transport is thus
crucial for understanding how mRNPs are delivered to their target synapses. Here,
we report experimental and theoretical evidence that the active transport
dynamics of neuronal mRNPs, which is distinct from the previously reported motor
driven transport, follows an aging Levy walk. Such nonergodic, transient
superdiffusion occurs because of two competing dynamic phases: the motor-involved
ballistic run and static localization of mRNPs. Our proposed Levy walk model
reproduces the experimentally extracted key dynamic characteristics of mRNPs with
quantitative accuracy. Moreover, the aging status of mRNP particles in an
experiment is inferred from the model. This study provides a predictive
theoretical model for neuronal mRNP transport and offers insight into the active
target search mechanism of mRNP particles in vivo.
PMID- 29367598
TI - Cerebral Perfusion Insufficiency and Relationships with Cognitive Deficits in
Alzheimer's Disease: A Multiparametric Neuroimaging Study.
AB - Micro- or macro-circulatory insufficiency has a negative impact in patients with
Alzheimer's disease (AD). This study used arterial spin-labeled magnetic
resonance imaging (ASL-MRI) and ethylcysteinate dimer single-photon emission
computed tomography (ECD-SPECT) in 50 patients with AD and 30 age-matched
controls to investigate how hypoperfusion patterns were associated with gray
matter atrophy and clinical data. All participants completed 3DT1-MRI, ECD-SPECT
and ASL-MRI examinations. Medial temporal cortex (MTC) volumes were correlated
with regional signals showing significantly lower relative cerebral blood flow
(rCBF) in ASL-MRI or perfusion index (PI) in ECD-SPECT. Neurobehavioral scores
served as the outcome measures. Regions with lower PI showed spatial similarities
with atrophy in the medial, anterior and superior temporal lobes, posterior
cingulate cortex and angular gyrus, while regions showing lower rCBF were
localized to the distal branches of posterior cerebral artery territories
(posterior parietal and inferior temporal lobe) and watershed areas (angular
gyrus, precuneus, posterior cingulate gyrus and middle frontal cortex). rCBF
values in watershed areas correlated with MTC volumes and language composite
scores. Precuneus and angular gyrus hypoperfusion were associated with the
corresponding cortical atrophy. Macro- or micro-vasculature perfusion integrities
and cortical atrophy determined the overall perfusion imaging topography and
contributed differently to the clinical outcomes.
PMID- 29367599
TI - Coupling molecular data and experimental crosses sheds light about species
delineation: a case study with the genus Ciona.
AB - Molecular studies sometimes reveal evolutionary divergence within accepted
species. Such findings can initiate taxonomic revision, as exemplified in the
formerly recognized species Ciona intestinalis. While an increasing number of
studies have examined the ecology, reproductive barriers and genetics of C.
intestinalis and C. robusta, there are still much uncertainties regarding other
species of this genus. Using experimental crosses and mitochondrial data, we
investigated the evolutionary relationships among four native and introduced
Ciona spp., found in sympatry in the Mediterranean Sea or English Channel.
Outcome of 62 bi-parental reciprocal crosses between C. intestinalis, C. robusta,
C. roulei and C. edwardsi showed that C. edwardsi is reproductively isolated from
the other taxa, which is in agreement with its distinct location in the
phylogenetic tree. Conversely, hybrids are easily obtained in both direction when
crossing C. intestinalis and C. roulei, reinforcing the hypothesis of two
genetically differentiated lineages but likely being from a same species.
Altogether, this study sheds light on the evolutionary relationship in this
complex genus. It also calls for further investigation notably based on genome
wide investigation to better describe the evolutionary history within the genus
Ciona, a challenging task in a changing world where biological introductions are
shuffling species distribution.
PMID- 29367600
TI - Transmembrane protein 170B is a novel breast tumorigenesis suppressor gene that
inhibits the Wnt/beta-catenin pathway.
AB - The identification of specific drug targets guides the development of precise
cancer treatments. Compared with oncogenes, tumor suppressor genes have been
poorly studied in the treatment of breast cancer. We integrate the microRNA
expression array from GEO (Gene Expression Omnibus) and TCGA (The Cancer Genome
Atlas) databases in clinical breast cancer tissues, and find that miR-27a is
significantly upregulated and correlated with poor survival outcome and tumor
progression. Transmembrane protein 170B (TMEM170B), a new functional target of
miR-27a, is identified via target prediction and experimental validation,
suppressing breast cancer proliferation, metastasis, and tumorigenesis.
Furthermore, TMEM170B overexpression promotes cytoplasmic beta-catenin
phosphorylation, resulting in the inhibition of beta-catenin stabilization,
reduction of nuclear beta-catenin levels and downstream targets expression.
Clinically, TMEM170B or beta-catenin expression is significantly correlated with
overall survival ratio in breast cancer patients. Thus, these results highlight
TMEM170B as a novel tumor suppressor target in association with the beta-catenin
pathway, which may provide a new therapeutic approach for human breast cancer
therapy.
PMID- 29367601
TI - Environmental pH modulates inerolysin activity via post-binding blockade.
AB - The cholesterol dependent cytolysins (CDCs) are a family of pore-forming toxins
produced by a wide range of bacteria. Some CDCs are important virulence factors
for their cognate organisms, but their activity must be tightly regulated to
ensure they operate at appropriate times and within the appropriate subcellular
compartments. pH-dependent activity has been described for several CDCs, but the
mechanism of such regulation has been studied in depth only for listeriolysin O
(LLO), which senses environmental pH through a triad of acidic residues that
mediate protein unfolding. Here we present data supporting a distinct mechanism
for pH-dependence for inerolysin (INY), the CDC produced by Lactobacillus iners.
Inerolysin (INY) has an acidic pH optimum with loss of activity at neutral pH.
INY pH-dependence is characterized by reversible loss of pore formation with
preservation of membrane binding. Fluorescent membrane probe assays indicated
that INY insertion into host cell membranes, but not oligomerization, was
defective at neutral pH. These data support the existence of a newly appreciated
form of CDC pH-dependence functioning at a late stage of pore formation.
PMID- 29367602
TI - Regulation of REM and Non-REM Sleep by Periaqueductal GABAergic Neurons.
AB - Mammalian sleep consists of distinct rapid eye movement (REM) and non-REM (NREM)
states. The midbrain region ventrolateral periaqueductal gray (vlPAG) is known to
be important for gating REM sleep, but the underlying neuronal mechanism is not
well understood. Here, we show that activating vlPAG GABAergic neurons in mice
suppresses the initiation and maintenance of REM sleep while consolidating NREM
sleep, partly through their projection to the dorsolateral pons. Cell-type
specific recording and calcium imaging reveal that most vlPAG GABAergic neurons
are strongly suppressed at REM sleep onset and activated at its termination. In
addition to the rapid changes at brain state transitions, their activity
decreases gradually between REM sleep and is reset by each REM episode in a
duration-dependent manner, mirroring the accumulation and dissipation of REM
sleep pressure. Thus, vlPAG GABAergic neurons powerfully gate REM sleep, and
their firing rate modulation may contribute to the ultradian rhythm of REM/NREM
alternation.
PMID- 29367603
TI - Multifunctional viral protein gamma34.5 manipulates nucleolar protein NOP53 for
optimal viral replication of HSV-1.
AB - To ensure efficient virus replication, herpes simplex virus type 1 (HSV-1)
encodes several viral proteins to counter host defense response upon infection.
Among these proteins, the multifunctional viral protein gamma34.5 crucially
interferes with or disrupts several antiviral pathways at multiple levels. The
current study shows that gamma34.5 utilizes nucleolar protein NOP53 to facilitate
the dephosphorylation of eukaryotic initiation factor eIF2alpha for efficient
viral translation. Our study shows that: (1) ectopic expression of NOP53 greatly
increases the intracellular and extracellular viral yields of HSV-1 (wild strain
F) in type I interferon-deficient Vero cells, and more subtly promotes viral
replication of gamma34.5 deletion mutant virus HSV-1/Deltagamma34.5. (2) NOP53 is
migrated from nuclei in HSV-1/F infected cells, but is redistributed incompletely
after infection by either HSV-1/Deltagamma34.5 or ICP4 deletion mutant virus HSV
1/d120 (replication inadequate). Ectopic expression of gamma34.5, consequently,
induces cytoplasmic translocation of NOP53 in response to HSV-1/Deltagamma34.5
infection. (3) Increase of NOP53, in two forms of transient transfection and in
vitro expression, attenuates the phosphorylation level of eIF2alpha in HSV-1/F
infected cells, but fails to affect eIF2alpha phosphorylation induced by HSV
1/Deltagamma34.5 infection. (4) Knockdown of NOP53, which impairs the specific
interaction between gamma34.5 and protein phosphatase PP1alpha, disrupts the
ability of gamma34.5 to maintain HSV-1 virulence. (5) NOP53 knockdown also
significantly reduces tissue damage and decreases viral yield in livers of HSV-1
infected mice. Our findings expand the understanding of the underlying mechanism
by which viral protein gamma34.5 induces NOP53 redistribution; cytoplasmic NOP53
facilitates gamma34.5 recruitment of PP1alpha to dephosphorylate eIF2alpha, for
optimal viral replication. This paper also demonstrates that blocking the
specific interaction between gamma34.5 and PP1alpha would be a useful approach
for the development of antiviral agents.
PMID- 29367604
TI - Covalent ISG15 conjugation to CHIP promotes its ubiquitin E3 ligase activity and
inhibits lung cancer cell growth in response to type I interferon.
AB - The carboxyl terminus of Hsp70-interacting protein (CHIP) acts as a ubiquitin E3
ligase and a link between the chaperones Hsp70/90 and the proteasome system,
playing a vital role in maintaining protein homeostasis. CHIP regulates a number
of proteins involved in a myriad of physiological and pathological processes, but
the underlying mechanism of action via posttranslational modification has not
been extensively explored. In this study, we investigated a novel modulatory mode
of CHIP and its effect on CHIP enzymatic activity. ISG15, an ubiquitin-like
modifier, is induced by type I interferon (IFN) stimulation and can be conjugated
to target proteins (ISGylation). Here we demonstrated that CHIP may be a novel
target of ISGylation in HEK293 cells stimulated with type I IFN. We also found
that Lys143/144/145 and Lys287 residues in CHIP are important for and target
residues of ISGylation. Moreover, ISGylation promotes the E3 ubiquitin ligase
activity of CHIP, subsequently causing a decrease in levels of oncogenic c-Myc,
one of its many ubiquitination targets, in A549 lung cancer cells and inhibiting
A549 cell and tumor growth. In conclusion, the present study demonstrates that
covalent ISG15 conjugation produces a novel CHIP regulatory mode that enhances
the tumor-suppressive activity of CHIP, thereby contributing to the antitumor
effect of type I IFN.
PMID- 29367605
TI - Single-cell variability in multicellular organisms.
AB - Noisy gene expression is of fundamental importance to single cells, and is
therefore widely studied in single-celled organisms. Extending these studies to
multicellular organisms is challenging since their cells are generally not
isolated, but individuals in a tissue. Cell-cell coupling via signalling, active
transport or pure diffusion, ensures that tissue-bound cells are neither fully
independent of each other, nor an entirely homogeneous population. In this
article, we show that increasing the strength of coupling between cells can
either increase or decrease the single-cell variability (and, therefore, the
heterogeneity of the tissue), depending on the statistical properties of the
underlying genetic network. We confirm these predictions using spatial stochastic
simulations of simple genetic networks, and experimental data from animal and
plant tissues. The results suggest that cell-cell coupling may be one of several
noise-control strategies employed by multicellular organisms, and highlight the
need for a deeper understanding of multicellular behaviour.
PMID- 29367606
TI - Bacterial community in naturally fermented milk products of Arunachal Pradesh and
Sikkim of India analysed by high-throughput amplicon sequencing.
AB - Naturally fermented milk (NFM) products are popular ethnic fermented foods in
Arunachal Pradesh and Sikkim states of India. The present study is the first to
have documented the bacterial community in 54 samples of NFM products viz.
chhurpi, churkam, dahi and gheu/mar by high-throughput Illumina amplicon
sequencing. Metagenomic investigation showed that Firmicutes (Streptococcaceae,
Lactobacillaceae) and Proteobacteria (Acetobacteraceae) were the two predominant
members of the bacterial communities in these products. Lactococcus lactis and
Lactobacillus helveticus were the predominant lactic acid bacteria while
Acetobacter spp. and Gluconobacter spp. were the predominant acetic acid bacteria
present in these products.
PMID- 29367607
TI - Shielding and activation of a viral membrane fusion protein.
AB - Entry of enveloped viruses relies on insertion of hydrophobic residues of the
viral fusion protein into the host cell membrane. However, the intermediate
conformations during fusion remain unknown. Here, we address the fusion mechanism
of Rift Valley fever virus. We determine the crystal structure of the Gn
glycoprotein and fit it with the Gc fusion protein into cryo-electron microscopy
reconstructions of the virion. Our analysis reveals how the Gn shields the
hydrophobic fusion loops of the Gc, preventing premature fusion. Electron
cryotomography of virions interacting with membranes under acidic conditions
reveals how the fusogenic Gc is activated upon removal of the Gn shield.
Repositioning of the Gn allows extension of Gc and insertion of fusion loops in
the outer leaflet of the target membrane. These data show early structural
transitions that enveloped viruses undergo during host cell entry and indicate
that analogous shielding mechanisms are utilized across diverse virus families.
PMID- 29367609
TI - Flexibly tunable high-quality-factor induced transparency in plasmonic systems.
AB - The quality (Q) factor and tunability of electromagnetically induced transparency
(EIT)-like effect in plasmonic systems are restrained by the intrinsic loss and
weak adjustability of metals, limiting the performance of the devices including
optical sensor and storage. Exploring new schemes to realize the high Q-factor
and tunable EIT-like effect is particularly significant in plasmonic systems.
Here, we present an ultrahigh Q-factor and flexibly tunable EIT-like response in
a novel plasmonic system. The results illustrate that the induced transparency
distinctly appears when surface plasmon polaritons excited on the metal satisfy
the wavevector matching condition with the guided mode in the high-refractive
index (HRI) layer. The Q factor of the EIT-like spectrum can exceed 2000, which
is remarkable compared to that of other plasmonic systems such as plasmonic
metamaterials and waveguides. The position and lineshape of EIT-like spectrum are
strongly dependent on the geometrical parameters. An EIT pair is generated in the
splitting absorption spectra, which can be easily controlled by adjusting the
incident angle of light. Especially, we achieve the dynamical tunability of EIT
like spectrum by changing the Fermi level of graphene inserted in the system. Our
results will open a new avenue toward the plasmonic sensing, spectral shaping and
switching.
PMID- 29367608
TI - An optimised protocol for platelet-rich plasma preparation to improve its
angiogenic and regenerative properties.
AB - Although platelet-rich plasma (PRP) is used as a source of growth factors in
regenerative medicine, its effectiveness remains controversial, partially due to
the absence of PRP preparation protocols based on the regenerative role of
platelets. Here, we aimed to optimise the protocol by analysing PRP angiogenic
and regenerative properties. Three optimising strategies were evaluated:
dilution, 4 degrees C pre-incubation, and plasma cryoprecipitate
supplementation. Following coagulation, PRP releasates (PRPr) were used to induce
angiogenesis in vitro (HMEC-1 proliferation, migration, and tubule formation) and
in vivo (chorioallantoic membrane), as well as regeneration of excisional wounds
on mouse skin. Washed platelet releasates induced greater angiogenesis than PRPr
due to the anti-angiogenic effect of plasma, which was decreased by diluting PRPr
with saline. Angiogenesis was also improved by both PRP pre-incubation at 4
degrees C and cryoprecipitate supplementation. A combination of optimising
variables exerted an additive effect, thereby increasing the angiogenic activity
of PRPr from healthy donors and diabetic patients. Optimised PRPr induced faster
and more efficient mouse skin wound repair compared to that induced by non
optimised PRPr. Acetylsalicylic acid inhibited angiogenesis and tissue
regeneration mediated by PRPr; this inhibition was reversed following
optimisation. Our findings indicate that PRP pre-incubation at 4 degrees C, PRPr
dilution, and cryoprecipitate supplementation improve the angiogenic and
regenerative properties of PRP compared to the obtained by current methods.
PMID- 29367610
TI - Removal of prolyl oligopeptidase reduces alpha-synuclein toxicity in cells and in
vivo.
AB - Prolyl oligopeptidase (PREP) inhibition by small-molecule inhibitors can reduce
alpha-synuclein (aSyn) aggregation, a key player in Parkinson's disease
pathology. However, the significance of PREP protein for aSyn aggregation and
toxicity is not known. We studied this in vivo by using PREP knock-out mice with
viral vector injections of aSyn and PREP. Animal behavior was studied by
locomotor activity and cylinder tests, microdialysis and HPLC were used to
analyze dopamine levels, and different aSyn forms and loss of dopaminergic
neurons were studied by immunostainings. Additionally, PREP knock-out cells were
used to characterize the impact of PREP and aSyn on autophagy, proteasomal system
and aSyn secretion. PREP knock-out animals were nonresponsive to aSyn-induced
unilateral toxicity but combination of PREP and aSyn injections increased aSyn
toxicity. Phosphorylated p129, proteinase K resistant aSyn levels and tyrosine
hydroxylase positive cells were decreased in aSyn and PREP injected knock-out
animals. These changes were accompanied by altered dopamine metabolite levels.
PREP knock-out cells showed reduced response to aSyn, while cells were restored
to wild-type cell levels after PREP overexpression. Taken together, our data
suggests that PREP can enhance aSyn toxicity in vivo.
PMID- 29367612
TI - Use of whole genome sequencing to investigate an increase in Neisseria
gonorrhoeae infection among women in urban areas of Australia.
AB - Increasing rates of gonorrhoea have been observed among women within the
Australian state of New South Wales. Here, we applied whole genome sequencing
(WGS) to better understand the associated networks and transmission dynamics.
Ninety-four isolates of a particular N. gonorrhoeae genotype (G122) associated
with women (years 2012 to 2014) underwent phylogenetic analysis using core single
nucleotide polymorphisms. WGS data revealed five main clusters, all of which were
heterogeneous in terms of patient age and site of infection. The relatively high
cervical/vaginal infections in each cluster was indicative of transmission in the
general heterosexual population, noting that there is typically high rates of
condom use for vaginal sex among local commercial sex workers. WGS also enabled
the identification of groups of individuals belonging to tighter transmission
chains within clusters, and hence may present a new tool for targeting public
health interventions. The enhanced resolution of WGS provides a ready means of
confirming suspected changes in N. gonorrhoeae epidemiology, but also enables key
features to be identified or new questions to be raised regarding the composition
of the associated sexual networks.
PMID- 29367611
TI - Genome-wide association study of paclitaxel and carboplatin disposition in women
with epithelial ovarian cancer.
AB - Identifying single nucleotide polymorphisms (SNPs) that influence chemotherapy
disposition may help to personalize cancer treatment and limit toxicity. Genome
wide approaches are unbiased, compared with candidate gene studies, but usually
require large cohorts. As most chemotherapy is given cyclically multiple blood
sampling is required to adequately define drug disposition, limiting patient
recruitment. We found that carboplatin and paclitaxel disposition are stable
phenotypes in ovarian cancer patients and tested a genome-wide association study
(GWAS) design to identify SNPs associated with chemotherapy disposition. We found
highly significant SNPs in ABCC2, a known carboplatin transporter, associated
with carboplatin clearance (asymptotic P = 5.2 * 106, empirical P = 1.4 * 10-5),
indicating biological plausibility. We also identified novel SNPs associated with
paclitaxel disposition, including rs17130142 with genome-wide significance
(asymptotic P = 2.0 * 10-9, empirical P = 1.3 * 10-7). Although requiring further
validation, our work demonstrated that GWAS of chemotherapeutic drug disposition
can be effective, even in relatively small cohorts, and can be adopted in drug
development and treatment programs.
PMID- 29367613
TI - Integrating transient cellular and nuclear motions to comprehensively describe
cell migration patterns.
AB - Various subcellular activities, such as protrusion and detachment, compose a cell
migration process. The molecular mechanisms of these subcellular activities have
been elucidated. However, there is no method that can assess the contributions of
these subcellular activities to the global cell migration pattern of a given cell
type. Hence, we develop a powerful approach based on CN correlations that
quantitatively profiles the cell migration pattern of a given cell type in terms
of assembled subcellular activities. In this way, we bridge migration data at the
cellular level with underlying molecular mechanisms. The CN correlation profile
is found to uniquely and consistently represent the cell migration pattern of
each cell type probed. It can clearly reveal the effects of molecular
perturbations, such as Y27632 and Cdc42 knockdown on each subcellular migratory
activity. As a result, the CN correlation approach serves as a cell dynamic
descriptor that can extract comprehensive quantitative data from cell migration
movies for integrative biological analyses.
PMID- 29367614
TI - Central and cerebral haemodynamic changes after antihypertensive therapy in
ischaemic stroke patients: A double-blind randomised trial.
AB - Central and cerebral haemodynamic parameters can vary under similar brachial
blood pressure (BP). We aimed to investigate the effects of antihypertensive
agents on central and cerebral haemodynamic parameters in hypertensive patients
with ischaemic stroke. The Fimasartan, Atenolol, and Valsartan On haemodynamic
paRameters (FAVOR) study was conducted in a prospective, double-blinded manner.
One hundred five patients were randomly administered atenolol, valsartan, or
fimasartan during 12 weeks. We measured brachial, central, cerebral haemodynamic
parameters and plasma N-terminal pro-brain natriuretic peptide (NT-proBNP) levels
at baseline and after 12-week. Baseline haemodynamic parameters were balanced
among the three groups. Even with similar brachial BP reduction, significantly
lower central systolic BP (atenolol; 146.5 +/- 18.8 vs. valsartan; 133.5 +/- 20.7
vs. fimasartan; 133.6 +/- 19.8 mmHg, p = 0.017) and augmentation index values
(89.8 +/- 13.2 vs. 80.6 +/- 9.2 vs. 79.2 +/- 11.6%; p = 0.001) were seen in the
angiotensin receptor blockers (ARBs) groups. The pulsatility index on
transcranial Doppler was significantly reduced in valsartan (p = 0.002) and
fimasartan group (p = 0.008). Plasma NT-proBNP level was also significantly
decreased in ARB groups, especially for the fimasartan group (37.8 +/- 50.6 vs.
29.2 +/- 36.9 vs.19.2 +/- 27.8 pg/mL; p = 0.006). These findings suggest that
short-term ARB administration would be favourable for ischaemic stroke patients
with hypertension, permitting effective reduction of central pressure and
dampening of cerebral pulsatility.
PMID- 29367615
TI - MicroRNA-379-5p is associate with biochemical premature ovarian insufficiency
through PARP1 and XRCC6.
AB - Premature ovarian insufficiency (POI) imposes great challenges on women's
fertility and lifelong health. POI is highly heterogeneous and encompasses
occult, biochemical, and overt stages. MicroRNAs (miRNAs) are negative regulators
of gene expression, whose roles in physiology and diseases like cancers and
neurological disorders have been recognized, but little is known about the miRNAs
profile and functional relevance in biochemical POI (bPOI). In this study, the
expression of miRNAs and mRNAs in granulosa cells (GCs) of bPOI women was
determined by two microarrays, respectively. MiR-379-5p, PARP1, and XRCC6 were
differentially expressed in GCs of bPOI as revealed by microarrays. Subsequently,
functional studies demonstrated that miR-379-5p overexpression inhibited
granulosa cell proliferation and attenuated DNA repair efficiency. Furthermore,
both PARP1 and XRCC6 showed lower levels in GCs from patients with bPOI and were
identified as executives of miR-379-5p. Therefore, our data first uncovered
potentially pathogenic miR-379-5p and two novel targets PARP1 and XRCC6 in bPOI,
which corroborated the significance of DNA repair for POI, and brought up an
epigenetic explanation for the disease.
PMID- 29367616
TI - Single Plasmonic Structure Enhanced Dual-band Room Temperature Infrared
Photodetection.
AB - Dual-band photodetection in mid- and near-wave infrared spectral bands is of
scientific interest and technological importance. Most of the state-of-the-art
mid-infrared photodetectors normally operate at low temperature and/or suffer
from toxicity and high cost due to limitations of material properties and device
structures. The capability of surface plasmons in confining electromagnetic waves
into extremely small volume provides an opportunity for improving the performance
for room temperature operation. Here, we report an n-InAsSb/n-GaSb
heterostructure photodiode integrated with plasmonic two-dimensional
subwavelength hole array (2DSHA) for room temperature two band photodetection. We
demonstrate that with a properly designed 2DSHA, room temperature detectivities
of the heterostructure device can be enhanced to ~1.4 * 109 Jones and ~1.5 * 1011
Jones for the two bands peaked at 3.4 MUm and 1.7 MUm, respectively. In addition,
we study the photocurrent enhancement in both photoconductor and heterojunction
modes in the same integrated structure. The demonstration of single 2DSHA
enhanced heterojunction photodiode brings a step closer to high sensitivity room
temperature devices and systems which require multiband absorption.
PMID- 29367617
TI - Genome-wide association mapping and genomic prediction for CBSD resistance in
Manihot esculenta.
AB - Cassava (Manihot esculenta Crantz) is an important security crop that faces
severe yield loses due to cassava brown streak disease (CBSD). Motivated by the
slow progress of conventional breeding, genetic improvement of cassava is
undergoing rapid change due to the implementation of quantitative trait loci
mapping, Genome-wide association mapping (GWAS), and genomic selection (GS). In
this study, two breeding panels were genotyped for SNP markers using genotyping
by sequencing and phenotyped for foliar and CBSD root symptoms at five locations
in Uganda. Our GWAS study found two regions associated to CBSD, one on chromosome
4 which co-localizes with a Manihot glaziovii introgression segment and one on
chromosome 11, which contains a cluster of nucleotide-binding site-leucine-rich
repeat (NBS-LRR) genes. We evaluated the potential of GS to improve CBSD
resistance by assessing the accuracy of seven prediction models. Predictive
accuracy values varied between CBSD foliar severity traits at 3 months after
planting (MAP) (0.27-0.32), 6 MAP (0.40-0.42) and root severity (0.31-0.42). For
all traits, Random Forest and reproducing kernel Hilbert spaces regression showed
the highest predictive accuracies. Our results provide an insight into the
genetics of CBSD resistance to guide CBSD marker-assisted breeding and highlight
the potential of GS to improve cassava breeding.
PMID- 29367618
TI - Prohibitin 2 localizes in nucleolus to regulate ribosomal RNA transcription and
facilitate cell proliferation in RD cells.
AB - Prohibitin 2 (PHB2), as a conserved multifunctional protein, is traditionally
localized in the mitochondrial inner membrane and essential for maintenance of
mitochondrial function. Here, we investigated the role of PHB2 in human
rhabdomyosarcoma (RMS) RD cells and found substantial localization of PHB2 in the
nucleolus. We demonstrated that PHB2 knockdown inhibited RD cell proliferation
through inducing cell cycle arrest and suppressing DNA synthesis. Meanwhile, down
regulation of PHB2 also induced apoptosis and promoted differentiation in
fractions of RD cells. In addition, PHB2 silencing led to altered nucleolar
morphology, as observed by transmission electron microscopy, and impaired
nucleolar function, as evidenced by down-regulation of 45S and 18S ribosomal RNA
synthesis. Consistently, upon PHB2 knockdown, occupancy of c-Myc at the ribosomal
DNA (rDNA) promoter was attenuated, while more myoblast determination protein 1
(MyoD) molecules bound to the rDNA promoter. In conclusion, our findings suggest
that nucleolar PHB2 is involved in maintaining nucleolar morphology and function
in RD cells by regulating a variety of transcription factors, which is likely to
be one of the underlying mechanisms by which PHB2 promotes tumor proliferation
and represses differentiation. Our study provides new insight into the
pathogenesis of RMS and novel characterizations of the highly conserved PHB2
protein.
PMID- 29367619
TI - Non-alcoholic fatty liver disease phenotypes in patients with inflammatory bowel
disease.
AB - Non-alcoholic fatty liver disease (NAFLD) can be detected in up to 33.6% of
inflammatory bowel disease (IBD) patients, often in absence of metabolic risk
factors. Nevertheless, most of previous studies on such issue were conducted
within the IBD population only. The primary aim of this study was to compare
clinical and metabolic features of NAFLD in patients with and without IBD (w/o
IBD) and to identify specific NAFLD phenotypes within the IBD population. Among
223 NAFLD patients, 78 patients with IBD were younger compared to 145 without
(w/o) IBD, were less likely to have altered liver enzymes, had lower mean body
weight, smaller waist circumference and lower body mass index (BMI); at the same
time, MetS was more prevalent among patients w/o IBD (56.6 vs. 23.1%, p < 0.001).
Within IBD population, patients with severe IBD showed more often severe
steatosis (S3) at ultrasound (US) (32.1 vs. 16.6%, p = 0.01), compared to mild-to
moderate disease. Independent risk factors for S3 US steatosis in IBD patients at
the multivariate logistic regression analysis were: more than 1 IBD relapse per
year during disease history (OR 17.3, 95% CI 3.6-84), surgery for IBD (OR 15.1,
95% CI 3.1-73.7) and more extensive intestinal involvement (OR 19.4, 95% CI 3.4
110.9); the ongoing anti-Tumor Necrosis Factor alpha (antiTNFalpha) therapy was
the only independent factor which protect toward the presence of altered liver
enzymes (OR 0.15, 95% CI 0-0.8, p = 0.02). In conclusion, NAFLD in IBD patients
is different from that in patients w/o IBD, who seem to develop different NAFLD
phenotypes according to intestinal disease clinical course. More severe IBD seem
to predict the presence of more severe steatosis. Therapy with antiTNFalpha
antibodies could prevent alteration of liver enzymes in such population.
PMID- 29367620
TI - Vital Recorder-a free research tool for automatic recording of high-resolution
time-synchronised physiological data from multiple anaesthesia devices.
AB - The current anaesthesia information management system (AIMS) has limited
capability for the acquisition of high-quality vital signs data. We have
developed a Vital Recorder program to overcome the disadvantages of AIMS and to
support research. Physiological data of surgical patients were collected from 10
operating rooms using the Vital Recorder. The basic equipment used were a patient
monitor, the anaesthesia machine, and the bispectral index (BIS) monitor.
Infusion pumps, cardiac output monitors, regional oximeter, and rapid infusion
device were added as required. The automatic recording option was used
exclusively and the status of recording was frequently checked through web
monitoring. Automatic recording was successful in 98.5% (4,272/4,335) cases
during eight months of operation. The total recorded time was 13,489 h (3.2 +/-
1.9 h/case). The Vital Recorder's automatic recording and remote monitoring
capabilities enabled us to record physiological big data with minimal effort. The
Vital Recorder also provided time-synchronised data captured from a variety of
devices to facilitate an integrated analysis of vital signs data. The free
distribution of the Vital Recorder is expected to improve data access for
researchers attempting physiological data studies and to eliminate inequalities
in research opportunities due to differences in data collection capabilities.
PMID- 29367622
TI - Cell-in-cell phenomena, cannibalism, and autophagy: is there a relationship?
PMID- 29367621
TI - Optineurin-mediated mitophagy protects renal tubular epithelial cells against
accelerated senescence in diabetic nephropathy.
AB - Premature senescence is a key process in the progression of diabetic nephropathy
(DN). Premature senescence of renal tubular epithelial cells (RTEC) in DN may
result from the accumulation of damaged mitochondria. Mitophagy is the principal
process that eliminates damaged mitochondria through PTEN-induced putative kinase
1 (PINK1)-mediated recruitment of optineurin (OPTN) to mitochondria. We aimed to
examine the involvement of OPTN in mitophagy regulation of cellular senescence in
RTEC in the context of DN. In vitro, the expression of senescence markers P16,
P21, DcR2, SA-beta-gal, SAHF, and insufficient mitophagic degradation marker
(mitochondrial P62) in mouse RTECs increased after culture in 30 mM high-glucose
(HG) conditions for 48 h. Mitochondrial fission/mitophagy inhibitor Mdivi-1
significantly enhanced RTEC senescence under HG conditions, whereas
autophagy/mitophagy agonist Torin1 inhibited cell senescence. MitoTempo inhibited
HG-induced mitochondrial reactive oxygen species and cell senescence with or
without Mdivi-1. The expression of PINK1 and OPTN, two regulatory factors for
mitophagosome formation, decreased significantly after HG stimulation.
Overexpression of PINK1 did not enhance mitophagosome formation under HG
conditions. OPTN silencing significantly inhibited HG-induced mitophagosome
formation, and overexpression of OPTN relieved cellular senescence through
promoting mitophagy. In clinical specimens, renal OPTN expression was gradually
decreased with increased tubulointerstitial injury scores. OPTN-positive renal
tubular cells did not express senescence marker P16. OPTN expression also
negatively correlated with serum creatinine levels, and positively correlated
with eGFR. Thus, OPTN-mediated mitophagy plays a crucial regulatory role in HG
induced RTEC senescence in DN. OPTN may, therefore, be a potential antisenescence
factor in DN.
PMID- 29367623
TI - Facile storage and release of white phosphorus and yellow arsenic.
AB - The storage of metastable compounds and modifications of elements are of great
interest for synthesis and other, e.g., semiconductor, applications. Whereas
white phosphorus is a metastable modification that can be stored under certain
conditions, storage of the extremely (light- and air-)sensitive form of arsenic,
yellow arsenic, is a challenge rarely tackled so far. Herein, we report on the
facile storage and release of these tetrahedral E4 molecules (E = P, As) using
activated carbon as a porous storage material. These loaded materials are air-
and light-stable and have been comprehensively characterized by solid-state
31P{1H} MAS NMR spectroscopy, powder X-ray diffraction analysis, nitrogen
adsorption measurements, and thermogravimetric analysis. Additionally, we show
that these materials can be used as a suitable E4 source for releasing intact
white phosphorus or yellow arsenic, enabling subsequent reactions in solution.
Because the uptake and release of E4 are reversible, these materials are
excellent carriers of these highly reactive modifications.
PMID- 29367625
TI - Ring-Size Effects on the Stability and Spectral Shifts of Hydrogen Bonded Cyclic
Ethers Complexes.
AB - In order to investigate ring-size effects on the stability and spectral shifts of
hydrogen bonded cyclic ethers complexes, the strength of hydrogen bonds in gas
phase complexes formed between 2,2,2-trifluoroethanol (TFE) and selected cyclic
ethers were examined using FTIR spectroscopy. TFE was chosen as hydrogen bond
donor in these complexes, while trimethylene oxide (TMO), tetrahydrofuran (THF)
and tetrahydropyran (THP) were selected as hydrogen bond acceptors. Comparable OH
stretching red shifts were observed in the three kinds of complexes. The
difference of red shifts is so small (<7 cm-1) for TFE-TMO/THF/THP complexes that
one can conclude that their stabilities and the strength of the hydrogen bonds
are nearly similar and do not show any marked dependence with the ring size of
the hydrogen bond acceptor. The equilibrium constants for the complexation were
determined, and atoms-in-molecules (AIM) and natural bond orbital (NBO) analyses
were performed to further investigate the intermolecular interactions. Regardless
of the ring size, hydrogen bonds in the complexes showed similar strength, in
agreement with the observed OH-stretching red shifts.
PMID- 29367624
TI - T cells specific for post-translational modifications escape intrathymic
tolerance induction.
AB - Establishing effective central tolerance requires the promiscuous expression of
tissue-restricted antigens by medullary thymic epithelial cells. However, whether
central tolerance also extends to post-translationally modified proteins is not
clear. Here we show a mouse model of autoimmunity in which disease development is
dependent on post-translational modification (PTM) of the tissue-restricted self
antigen collagen type II. T cells specific for the non-modified antigen undergo
efficient central tolerance. By contrast, PTM-reactive T cells escape thymic
selection, though the PTM variant constitutes the dominant form in the periphery.
This finding implies that the PTM protein is absent in the thymus, or present at
concentrations insufficient to induce negative selection of developing thymocytes
and explains the lower level of tolerance induction against the PTM antigen. As
the majority of self-antigens are post-translationally modified, these data raise
the possibility that T cells specific for other self-antigens naturally subjected
to PTM may escape central tolerance induction by a similar mechanism.
PMID- 29367626
TI - IL-36/LXR axis modulates cholesterol metabolism and immune defense to
Mycobacterium tuberculosis.
AB - Mycobacterium tuberculosis (Mtb) is a life-threatening pathogen in humans.
Bacterial infection of macrophages usually triggers strong innate immune
mechanisms, including IL-1 cytokine secretion. The newer member of the IL-1
family, IL-36, was recently shown to be involved in cellular defense against Mtb.
To unveil the underlying mechanism of IL-36 induced antibacterial activity, we
analyzed its role in the regulation of cholesterol metabolism, together with the
involvement of Liver X Receptor (LXR) in this process. We report that, in Mtb
infected macrophages, IL-36 signaling modulates cholesterol biosynthesis and
efflux via LXR. Moreover, IL-36 induces the expression of cholesterol-converting
enzymes and the accumulation of LXR ligands, such as oxysterols. Ultimately, both
IL-36 and LXR signaling play a role in the regulation of antimicrobial peptides
expression and in Mtb growth restriction. These data provide novel evidence for
the importance of IL-36 and cholesterol metabolism mediated by LXR in cellular
host defense against Mtb.
PMID- 29367627
TI - Diversity of meso-scale architecture in human and non-human connectomes.
AB - Brain function is reflected in connectome community structure. The dominant view
is that communities are assortative and segregated from one another, supporting
specialized information processing. However, this view precludes the possibility
of non-assortative communities whose complex inter-community interactions could
engender a richer functional repertoire. We use weighted stochastic blockmodels
to uncover the meso-scale architecture of Drosophila, mouse, rat, macaque, and
human connectomes. We find that most communities are assortative, though others
form core-periphery and disassortative structures, which better recapitulate
observed patterns of functional connectivity and gene co-expression in human and
mouse connectomes compared to standard community detection techniques. We define
measures for quantifying the diversity of communities in which brain regions
participate, showing that this measure is peaked in control and subcortical
systems in humans, and that inter-individual differences are correlated with
cognitive performance. Our report paints a more diverse portrait of connectome
communities and demonstrates their cognitive relevance.
PMID- 29367629
TI - Lighting-from-above prior in biological motion perception.
AB - The visual system is able to recognize body motion from impoverished stimuli.
This requires combining stimulus information with visual priors. We present a new
visual illusion showing that one of these priors is the assumption that bodies
are typically illuminated from above. A change of illumination direction from
above to below flips the perceived locomotion direction of a biological motion
stimulus. Control experiments show that the underlying mechanism is different
from shape-from-shading and directly combines information about body motion with
a lighting-from-above prior. We further show that the illusion is critically
dependent on the intrinsic luminance gradients of the most mobile parts of the
moving body. We present a neural model with physiologically plausible mechanisms
that accounts for the illusion and shows how the illumination prior might be
encoded within the visual pathway. Our experiments demonstrate, for the first
time, a direct influence of illumination priors in high-level motion vision.
PMID- 29367628
TI - The inhibition of UBC13 expression and blockage of the DNMT1-CHFR-Aurora A
pathway contribute to paclitaxel resistance in ovarian cancer.
AB - Paclitaxel is widely used as a first-line chemotherapeutic drug for patients with
ovarian cancer and other solid cancers, but drug resistance occurs frequently,
resulting in ovarian cancer still presenting as the highest lethality among all
gynecological tumors. Here, using DIGE quantitative proteomics, we identified
UBC13 as down-regulated in paclitaxel-resistant ovarian cancer cells, and it was
further revealed by immunohistochemical staining that UBC13 low-expression was
associated with poorer prognosis and shorter survival of the patients. Through
gene function experiments, we found that paclitaxel exposure induced UBC13 down
regulation, and the enforced change in UBC13 expression altered the sensitivity
to paclitaxel. Meanwhile, the reduction of UBC13 increased DNMT1 levels by
attenuating its ubiquitination, and the up-regulated DNMT1 enhanced the CHFR
promoter DNA methylation levels, leading to a reduction of CHFR expression, and
an increased in the levels of Aurora A. Our findings revealed a novel function
for UBC13 in regulating paclitaxel sensitivity through a DNMT1-CHFR-Aurora A
pathway in ovarian cancer cells. UBC13 could potentially be employed as a
therapeutic molecular drug for reversing paclitaxel resistance in ovarian cancer
patients.
PMID- 29367630
TI - Assessing fatty acid oxidation flux in rodent cardiomyocyte models.
AB - The healthy adult heart primarily relies on fatty acid oxidation (FAO) for energy
production but instantaneously adapts its substrate preference in response to
physiological or pathological challenges. Accurate FAO measurements are crucial
to investigate early metabolic (mal)adaptations. While measurements in intact
cardiomyocytes offer greater physiological relevance, current FAO protocols
mainly employ cell-free systems and/or require expensive equipment. Here, we
present an easy-to-use, inexpensive, and sensitive method to measure, compare and
modulate FAO in various cardiomyocyte models. Basal FAO was 2-fold higher in
fresh versus cultured adult rat cardiomyocytes (aRCM), while OXPHOS protein
levels were maintained. Basal FAO was higher in cultured (3-fold) and fresh (8
fold) aRCM, versus widely used neonatal rat cardiomyocytes (nRCM) and mouse HL1
cardiomyocytes. Moreover, we utilized chemical and pharmacological treatments in
order to modulate the FAO flux at different cellular signalling levels. Our data
indicate that caution should be taken when studying metabolism in nRCM and HL1
cell models, as these display significantly lower FAO than aRCM. Accurate FAO
measurement in cultured aRCM opens new avenues for studying the complex
cardiomyocyte metabolic responses to mechanical, nutritional, pharmacological,
and genetic manipulations.
PMID- 29367631
TI - Author Correction: Analytic model for the complex effective index of the leaky
modes of tube-type anti-resonant hollow core fibers.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has not been fixed in the paper.
PMID- 29367632
TI - Real-time colour hologram generation based on ray-sampling plane with multi-GPU
acceleration.
AB - Although electro-holography can reconstruct three-dimensional (3D) motion
pictures, its computational cost is too heavy to allow for real-time
reconstruction of 3D motion pictures. This study explores accelerating colour
hologram generation using light-ray information on a ray-sampling (RS) plane with
a graphics processing unit (GPU) to realise a real-time holographic display
system. We refer to an image corresponding to light-ray information as an RS
image. Colour holograms were generated from three RS images with resolutions of
2,048 * 2,048; 3,072 * 3,072 and 4,096 * 4,096 pixels. The computational results
indicate that the generation of the colour holograms using multiple GPUs (NVIDIA
Geforce GTX 1080) was approximately 300-500 times faster than those generated
using a central processing unit. In addition, the results demonstrate that 3D
motion pictures were successfully reconstructed from RS images of 3,072 * 3,072
pixels at approximately 15 frames per second using an electro-holographic
reconstruction system in which colour holograms were generated from RS images in
real time.
PMID- 29367633
TI - Writing and reading antiferromagnetic Mn2Au by Neel spin-orbit torques and large
anisotropic magnetoresistance.
AB - Using antiferromagnets as active elements in spintronics requires the ability to
manipulate and read-out the Neel vector orientation. Here we demonstrate for
Mn2Au, a good conductor with a high ordering temperature suitable for
applications, reproducible switching using current pulse generated bulk spin
orbit torques and read-out by magnetoresistance measurements. Reversible and
consistent changes of the longitudinal resistance and planar Hall voltage of star
patterned epitaxial Mn2Au(001) thin films were generated by pulse current
densities of ?107 A/cm2. The symmetry of the torques agrees with theoretical
predictions and a large read-out magnetoresistance effect of more than ?6% is
reproduced by ab initio transport calculations.
PMID- 29367634
TI - Guanylate cyclase C reduces invasion of intestinal epithelial cells by bacterial
pathogens.
AB - The guanylate cyclase C (GC-C) receptor regulates electrolyte and water secretion
into the gut following activation by the E. coli enterotoxin STa, or by weaker
endogenous agonists guanylin and uroguanylin. Our previous work has demonstrated
that GC-C plays an important role in controlling initial infection as well as
carrying load of non-invasive bacterial pathogens in the gut. Here, we use
Salmonella enterica serovar Typhimurium to determine whether GC-C signaling is
important in host defense against pathogens that actively invade enterocytes. In
vitro studies indicated that GC-C signaling significantly reduces Salmonella
invasion into Caco2-BBE monolayers. Relative to controls, GC-C knockout mice
develop severe systemic illness following oral Salmonella infection,
characterized by disrupted intestinal mucus layer, elevated cytokines and organ
CFUs, and reduced animal survival. In Salmonella-infected wildtype mice, oral
gavage of GC-C agonist peptide reduced host/pathogen physical interaction and
diminished bacterial translocation to mesenteric lymph nodes. These studies
suggest that early life susceptibility to STa-secreting enterotoxigenic E. coli
may be counter-balanced by a critical role of GC-C in protecting the mucosa from
non-STa producing, invasive bacterial pathogens.
PMID- 29367636
TI - Effect of spin relaxations on the spin mixing conductances for a bilayer
structure.
AB - The spin current can result in a spin-transfer torque in the normal-metal(NM)
ferromagnetic-insulator(FMI) or normal-metal(NM)-ferromagnetic-metal(FMM)
bilayer. In the earlier study on this issue, the spin relaxations were ignored or
introduced phenomenologically. In this paper, considering the FMM or FMI with
spin relaxations described by a non-Hermitian Hamiltonian, we derive an effective
spin-transfer torque and an effective spin mixing conductance in the non
Hermitian bilayer. The dependence of the effective spin mixing conductance on the
system parameters (such as insulating gap, s-d coupling, and layer thickness) as
well as the relations between the real part and the imaginary part of the
effective spin mixing conductance are given and discussed. We find that the
effective spin mixing conductance can be enhanced in the non-Hermitian system.
This provides us with the possibility to enhance the spin mixing conductance.
PMID- 29367635
TI - Antimicrobial activity of Ti-ZrN/Ag coatings for use in biomaterial applications.
AB - Severely broken bones often require external bone fixation pins to provide
support but they can become infected. In order to reduce such infections, novel
solutions are required. Titanium zirconium nitride (Ti-ZrN) and Ti-ZrN silver (Ti
ZrN/Ag) coatings were deposited onto stainless steel. Surface microtopography
demonstrated that on the silver containing surfaces, S a and S v values
demonstrated similar trends whilst the R a , average height and RMS value and S p
values increased with increasing silver concentration. On the Ti-ZrN/Ag coatings,
surface hydrophobicity followed the same trend as the S a and S v values. An
increase in dead Staphylococcus aureus and Staphylococcus epidermidis cells was
observed on the coatings with a higher silver concentration. Using CTC staining,
a significant increase in S. aureus respiration on the silver containing surfaces
was observed in comparison to the stainless steel control whilst against S.
epidermidis, no significant difference in viable cells was observed across the
surfaces. Cytotoxicity testing revealed that the TiZrN coatings, both with and
without varying silver concentrations, did not possess a detrimental effect to a
human monocyte cell line U937. This work demonstrated that such coatings have the
potential to reduce the viability of bacteria that result in pin tract
infections.
PMID- 29367637
TI - Rosmarinic acid attenuates cardiac fibrosis following long-term pressure overload
via AMPKalpha/Smad3 signaling.
AB - Agonists of peroxisome proliferator-activated receptor gamma (PPAR-gamma) can
activate 5' AMP-activated protein kinase alpha (AMPKalpha) and exert
cardioprotective effects. A previous study has demonstrated that rosmarinic acid
(RA) can activate PPAR-gamma, but its effect on cardiac remodeling remains
largely unknown. Our study aimed to investigate the effect of RA on cardiac
remodeling and to clarify the underlying mechanism. Mice were subjected to aortic
banding to generate pressure overload induced cardiac remodeling and then were
orally administered RA (100 mg/kg/day) for 7 weeks beginning 1 week after
surgery. The morphological examination, echocardiography, and molecular markers
were used to evaluate the effects of RA. To ascertain whether the beneficial
effect of RA on cardiac fibrosis was mediated by AMPKalpha, AMPKalpha2 knockout
mice were used. Neonatal rat cardiomyocytes and fibroblasts were separated and
cultured to validate the protective effect of RA in vitro. RA-treated mice
exhibited a similar hypertrophic response as mice without RA treatment, but had
an attenuated fibrotic response and improved cardiac function after pressure
overload. Activated AMPKalpha was essential for the anti-fibrotic effect of RA
via inhibiting the phosphorylation and nuclear translocation of Smad3 in vivo and
in vitro, and AMPKalpha deficiency abolished RA-mediated protective effects.
Small interfering RNA against Ppar-gamma (siPpar-gamma) and GW9662, a specific
antagonist of PPAR-gamma, abolished RA-mediated AMPKalpha phosphorylation and
alleviation of fibrotic response in vitro. RA attenuated cardiac fibrosis
following long-term pressure overload via AMPKalpha/Smad3 signaling and PPAR
gamma was required for the activation of AMPKalpha. RA might be a promising
therapeutic agent against cardiac fibrosis.
PMID- 29367638
TI - A new dry eye mouse model produced by exorbital and intraorbital lacrimal gland
excision.
AB - Chronic dry eye is an increasingly prevalent condition worldwide, with resulting
loss of visual function and quality of life. Relevant, repeatable, and stable
animal models of dry eye are still needed. We have developed an improved surgical
mouse model for dry eye based on severe aqueous fluid deficiency, by excising
both the exorbital and intraorbital lacrimal glands (ELG and ILG, respectively)
of mice. After ELG plus ILG excision, dry eye symptoms were evaluated using
fluorescein infiltration observation, tear production measurement, and
histological evaluation of ocular surface. Tear production in the model mice was
significantly decreased compared with the controls. The corneal fluorescein
infiltration score of the model mice was also significantly increased compared
with the controls. Histological examination revealed significant severe
inflammatory changes in the cornea, conjunctiva or meibomian glands of the model
mice after surgery. In the observation of LysM-eGFP(+/-) mice tissues,
postsurgical infiltration of green fluorescent neutrophils was observed in the
ocular surface tissues. We theorize that the inflammatory changes on the ocular
surface of this model were induced secondarily by persistent severe tear
reduction. The mouse model will be useful for investigations of both
pathophysiology as well as new therapies for tear-volume-reduction type dry eye.
PMID- 29367639
TI - Conformational folding and disulfide bonding drive distinct stages of protein
structure formation.
AB - The causal relationship between conformational folding and disulfide bonding in
protein oxidative folding remains incompletely defined. Here we show a stage
dependent interplay between the two events in oxidative folding of C-reactive
protein (CRP) in live cells. CRP is composed of five identical subunits, which
first fold spontaneously to a near-native core with a correctly positioned C
terminal helix. This process drives the formation of the intra-subunit disulfide
bond between Cys36 and Cys97. The second stage of subunit folding, however, is a
non-spontaneous process with extensive restructuring driven instead by the intra
subunit disulfide bond and guided by calcium binding-mediated anchoring. With the
folded subunits, pentamer assembly ensues. Our results argue that folding
spontaneity is the major determinant that dictates which event acts as the
driver. The stepwise folding pathway of CRP further suggests that one major route
might be selected out of the many in theory for efficient folding in the cellular
environment.
PMID- 29367640
TI - Decoherence and control of a qubit in spin baths: an exact master equation study.
AB - In spin-based nanosystems for quantum information processing, electron spin
qubits are subject to decoherence due to their interactions with nuclear spin
environments. In this paper, we present an exact master equation for a central
spin-1/2 system in time-dependent external fields and coupled to a spin-half bath
in terms of hyperfine interaction. The master equation provides a unified
description for free and controlled dynamics of the central spin and is formally
independent of the details and size of spin environments. Different from the
previous approaches, the master equation remains exact even in the presence of
external control fields. Using the parameters for realistic nanosystems with
nonzero nuclear spins, such as GaAs, we investigate the Overhauser's effect on
the decoherence dynamics of the central spin under different distributions of
bath-spin frequencies and system-bath coupling strengths. Furthermore, we apply
the leakage elimination operator, in a nonperturbative manner, to this system to
suppress the decoherence induced by hyperfine interaction.
PMID- 29367642
TI - The gait speed advantage of taller stature is lost with age.
AB - Taller individuals walk faster but it is unknown whether this advantage persists
at older ages. We examined the cross-sectional/longitudinal associations of
height with gait speed (GS) in participants from the Dijon-Three-City cohort
study (France) over 11 years. In 4011 participants (65-85 y), we measured
usual/fast GS (6 m) up to five times. We examined whether the baseline height-GS
association varied with age using linear regression, and whether height
influenced GS change using linear mixed models. Taller participants 65 y at
baseline walked faster than shorter ones (fast GS difference between top/bottom
height quartiles, 0.100 m/s, P < 0.001); this association weakened with age (P
interaction = 0.02), with a 0.012 m/s (P = 0.57) difference at 80 y. Ten-year
fast GS decline was 51% greater (P < 0.001) in younger participants in the top
height quartile (-0.183 m/s) compared to those in the bottom quartile (-0.121
m/s), leading the GS difference between the two groups to be attenuated by 50%
over the follow-up. The height-related difference in fast GS decline was not
explained by time-dependent comorbidities or height shrinkage. Analyses for usual
GS yielded consistent findings. The height-GS relation is more complex than
previously thought, as the height related advantage in GS disappears as persons
grow older due to faster decline in taller compared to shorter persons.
PMID- 29367644
TI - Intravitreal injection of aflibercept, an anti-VEGF antagonist, down-regulates
plasma von Willebrand factor in patients with age-related macular degeneration.
AB - We investigated the association between von Willebrand factor (VWF) and exudative
age-related macular degeneration (AMD) in 114 Japanese patients. Intravitreal
injection of vascular endothelial growth factor (VEGF) inhibitor is the most
effective therapy for AMD. Therefore, we analyzed changes of VWF antigen (VWF:Ag)
and VWF multimers (VWFMs) after intravitreal injection of aflibercept, an anti
VEGF antagonist. The relationship between polymorphisms in complement factor H
(p.Y402H and p.I62V) and AMD was previously reported. In our patients, p.I62V,
but not p.Y402H, was significantly associated with an increased risk of AMD. Pre
treatment plasma levels of VWF:Ag in patients with AMD were significantly higher
than those in controls. Unusually large VWFMs (UL-VWFMs) were detected in the
majority of AMD patients with concurrent vitreous or subretinal hemorrhage. After
intravitreal injection of aflibercept, plasma levels of VWF:Ag and VEGF-A were
significantly decreased. UL-VWFMs disappeared after aflibercept injection in
three cases, but persisted even 1 month after injection in the other five cases.
In conclusion, plasma VWF:Ag levels were significantly elevated in patients with
AMD, and decreased after intravitreal aflibercept injection. VWF may play an
important role in the pathophysiology of AMD, and aflibercept might improve AMD
by reducing plasma levels of VWF in addition to VEGF-A.
PMID- 29367643
TI - Characterization of Dopaminergic System in the Striatum of Young Adult Park2-/-
Knockout Rats.
AB - Mutations in parkin gene (Park2) are linked to early-onset autosomal recessive
Parkinson's disease (PD) and young-onset sporadic PD. Park2 knockout (PKO)
rodents; however, do not display neurodegeneration of the nigrostriatal pathway,
suggesting age-dependent compensatory changes. Our goal was to examine
dopaminergic (DAergic) system in the striatum of 2 month-old PKO rats in order to
characterize compensatory mechanisms that may have occurred within the system.
The striata form wild type (WT) and PKO Long Evans male rats were assessed for
the levels of DAergic markers, for monoamine oxidase (MAO) A and B activities and
levels, and for the levels of their respective preferred substrates, serotonin (5
HT) and beta-phenylethylamine (beta-PEA). The PKO rats displayed lower activities
of MAOs and higher levels of beta-PEA in the striatum than their WT counterparts.
Decreased levels of beta-PEA receptor, trace amine-associated receptor 1 (TAAR
1), and postsynaptic DA D2 (D2L) receptor accompanied these alterations. Drug
naive PKO rats displayed normal locomotor activity; however, they displayed
decreased locomotor response to a low dose of psychostimulant methamphetamine,
suggesting altered DAergic neurotransmission in the striatum when challenged with
an indirect agonist. Altogether, our findings suggest that 2 month-old PKO male
rats have altered DAergic and trace aminergic signaling.
PMID- 29367645
TI - CD40L mediated alternative NFkappaB-signaling induces resistance to BCR
inhibitors in patients with mantle cell lymphoma.
AB - Drug resistance is a significant obstacle in cancer treatment and therefore a
frequent subject of research. Developed or primary resistance limits the
treatment success of inhibitors of the B cell receptor (BCR) pathway in mantle
cell lymphoma (MCL) patients. Recent research has highlighted the role of the
nuclear factor-kappa B (NFkappaB) pathway in the context of resistance to BCR
inhibitors in MCL. In this study, we analyzed the dependency of MCL cell lines on
NFkappaB signaling and illustrated the ability of CD40L to activate the
alternative NFkappaB pathway in MCL. This activation leads to independency of
classical NFkappaB signaling and results in resistance to BCR inhibitors.
Therefore, ligands (such as CD40L) and their activation of the alternative
NFkappaB pathway have a major impact on the drug response in MCL. Furthermore,
this study indicates a protective role for cells expressing specific ligands as
microenvironmental niches for MCL cells and underlines the significance of
therapeutically targeting alternative NFkappaB signaling in MCL.
PMID- 29367641
TI - C9ORF72 repeat expansion causes vulnerability of motor neurons to Ca2+-permeable
AMPA receptor-mediated excitotoxicity.
AB - Mutations in C9ORF72 are the most common cause of familial amyotrophic lateral
sclerosis (ALS). Here, through a combination of RNA-Seq and electrophysiological
studies on induced pluripotent stem cell (iPSC)-derived motor neurons (MNs), we
show that increased expression of GluA1 AMPA receptor (AMPAR) subunit occurs in
MNs with C9ORF72 mutations that leads to increased Ca2+-permeable AMPAR
expression and results in enhanced selective MN vulnerability to excitotoxicity.
These deficits are not found in iPSC-derived cortical neurons and are abolished
by CRISPR/Cas9-mediated correction of the C9ORF72 repeat expansion in MNs. We
also demonstrate that MN-specific dysregulation of AMPAR expression is also
present in C9ORF72 patient post-mortem material. We therefore present multiple
lines of evidence for the specific upregulation of GluA1 subunits in human mutant
C9ORF72 MNs that could lead to a potential pathogenic excitotoxic mechanism in
ALS.
PMID- 29367646
TI - Vascular endothelial effects of collaborative binding to platelet/endothelial
cell adhesion molecule-1 (PECAM-1).
AB - Targeting drugs to endothelial cells has shown the ability to improve outcomes in
animal models of inflammatory, ischemic and thrombotic diseases. Previous studies
have revealed that certain pairs of ligands (antibodies and antibody fragments)
specific for adjacent, but distinct, epitopes on PECAM-1 enhance each other's
binding, a phenomenon dubbed Collaborative Enhancement of Paired Affinity
Ligands, or CEPAL. This discovery has been leveraged to enable simultaneous
delivery of multiple therapeutics to the vascular endothelium. Given the known
role of PECAM-1 in promoting endothelial quiescence and cell junction integrity,
we sought here to determine if CEPAL might induce unintended vascular effects.
Using a combination of in vitro and in vivo techniques and employing human and
mouse endothelial cells under physiologic and pathologic conditions, we found
only modest or non-significant effects in response to antibodies to PECAM-1,
whether given solo or in pairs. In contrast, these methods detected significant
elevation of endothelial permeability, pro-inflammatory vascular activation, and
systemic cytokine release following antibody binding to the related endothelial
junction protein, VE-Cadherin. These studies support the notion that PECAM-1
targeted CEPAL provides relatively well-tolerated endothelial drug delivery.
Additionally, the analysis herein creates a template to evaluate potential
toxicities of vascular-targeted nanoparticles and protein therapeutics.
PMID- 29367648
TI - Prognostic impact of a past or synchronous second cancer in diffuse large B cell
lymphoma.
PMID- 29367647
TI - Proteomic Analysis of Nucleus Pulposus Cell-derived Extracellular Matrix Niche
and Its Effect on Phenotypic Alteration of Dermal Fibroblasts.
AB - Reconstituting biomimetic matrix niche in vitro and culturing cells at the cell
niche interface is necessary to understand the effect and function of the
specific matrix niche. Here we attempted to reconstitute a biomimetic
extracellular matrix (ECM) niche by culturing nucleus pulposus cells (NPCs) in a
collagen microsphere system previously established and allowing them to remodel
the template matrix. The reconstituted NPC-derived complex ECM was obtained after
decellularization and the composition of such niche was evaluated by proteomic
analysis. Results showed that a complex acellular matrix niche consisting of ECM
proteins and cytoskeletal proteins by comparing with the template collagen matrix
starting material. In order to study the significance of the NPC-derived matrix
niche, dermal fibroblasts were repopulated in such niche and the phenotypes of
these cells were changed, gene expression of collagen type II and CA12 increased
significantly. A biomimetic NPC-derived cell niche consisting of complex ECM can
be reconstituted in vitro, and repopulating such matrix niche with fibroblasts
resulted in changes in phenotypic markers. This work reports a 3D in vitro model
to study cell niche factors, contributing to future understanding of cellular
interactions at the cell-niche interface and rationalized scaffold design for
tissue engineering.
PMID- 29367649
TI - Del11q-positive CLL lymphocytes exhibit altered glutamine metabolism and
differential response to GLS1 and glucose metabolism inhibition.
PMID- 29367650
TI - HOXC8 promotes proliferation and migration through transcriptional up-regulation
of TGFbeta1 in non-small cell lung cancer.
AB - Homeobox (HOX) genes encode a family of transcription factors, which play crucial
roles in numerous processes, and their dysregulation is involved in the
carcinogenesis of many human cancers. In the present study, we investigated the
roles of HOXC8 in non-small cell lung cancer (NSCLC). We showed that HOXC8 was
upregulated in clinical NSCLC specimens compared to normal lung tissues, and the
high expression of HOXC8 correlated with tumor node metastasis (TNM) stage, tumor
status, lymph nodal status and poor relapse-free survival for lung cancer
patients. Functionally, HOXC8 expression significantly promoted the
proliferation, anchorage-independent growth and migration of NSCLC, and HOXC8
functioned as a transcription activator to induce the expression of TGFbeta1,
leading to an increase in the proliferation, anchorage-independent growth and
migration of NSCLC. Furthermore, we demonstrated that HOXC8 expression was
associated with chemoresistance and anti-apoptosis in NSCLC, suggesting that
HOXC8 is a promising therapeutic target for chemosensitization of NSCLC to
cisplatin. Altogether, our study defined a critical role of HOXC8 in promoting
transcription of TGFbeta1 and NSCLC tumorigenesis.
PMID- 29367651
TI - Embryonic Surface Ectoderm-specific Mitofusin 2 Conditional Knockout Induces
Congenital Cataracts in Mice.
AB - Inherited mitochondrial mutations can result in mitochondrial dysfunction or
stochastic oxidative damage. Cumulative mitochondrial damage is an important
factor in age-related disorders, such as cataracts and macular degeneration. Mfn2
mediates the fusion of mitochondria and contribute to the dynamic balance between
fusion and fission that determines mitochondria morphology. We report here that
conditional loss of Mfn2 function in the head surface ectoderm leads to a range
of congenital eye defects, including small, opacified lens and small eyeball in
the most severe phenotypes. The Le-Cre transgenic mouse line and Mfn2 flox mouse
line were used in this study to generate Mfn2 conditional knockout mice. Our
study revealed Mfn2 gene function in lens development and addressed the
relationship between the mitochondria and lens transparency. Conditional loss of
Mfn2 affected lens epithelium cell proliferation, apoptosis and ultrastructure of
mitochondria. We conclude that proper development of the lens and lens
transparency depend on normal Mfn2 gene function.
PMID- 29367652
TI - 9c11tCLA modulates 11t18:1 and 9t18:1 induced inflammations differently in human
umbilical vein endothelial cells.
AB - Endothelial inflammation is recognized as the initial stage of a multistep
process leading to coronary heart disease (CHD). Recently, the different effects
of industrial trans fatty acids (elaidic acid, 9t18:1) and ruminant trans fatty
acids (vaccenic acid, 11t18:1) on CHD have been reported in epidemiological and
animal studies, however, the mechanism was not fully studied. Therefore, the
objective of this study was to explore the underlying mechanism by which 9t18:1
and 11t18:1 affect human umbilical vein endothelial cells (HUVECs) inflammation.
We found that 9c11t-CLA modulated the inflammation of HUVECs induced by 9t18:1
and 11t18:1. Fatty acid composition, pro-inflammatory factors, phosphorylation of
MAPKs, and the TLR4 level in HUVECs altered by 11t18:1 induction, collectively
suggest that the bio-conversion of 11t18:1 to 9c11tCLA might be the cause why
11t18:1 and 9t18:1 have distinct influences on endothelial injuries. It was
concluded that it is biosynthesis of 9c11t CLA from11t18:1, and the modulation of
TLR4-MAPK pathway by 9c11t CLA, which at least partially account for the slight
effect of 11t18:1 on endothelial inflammation.
PMID- 29367653
TI - Investigation of radiomic signatures for local recurrence using primary tumor
texture analysis in oropharyngeal head and neck cancer patients.
AB - Radiomics is one such "big data" approach that applies advanced image
refining/data characterization algorithms to generate imaging features that can
quantitatively classify tumor phenotypes in a non-invasive manner. We hypothesize
that certain textural features of oropharyngeal cancer (OPC) primary tumors will
have statistically significant correlations to patient outcomes such as local
control. Patients from an IRB-approved database dispositioned to
(chemo)radiotherapy for locally advanced OPC were included in this retrospective
series. Pretreatment contrast CT scans were extracted and radiomics-based
analysis of gross tumor volume of the primary disease (GTVp) were performed using
imaging biomarker explorer (IBEX) software that runs in Matlab platform. Data set
was randomly divided into a training dataset and test and tuning holdback
dataset. Machine learning methods were applied to yield a radiomic signature
consisting of features with minimal overlap and maximum prognostic significance.
The radiomic signature was adapted to discriminate patients, in concordance with
other key clinical prognosticators. 465 patients were available for analysis. A
signature composed of 2 radiomic features from pre-therapy imaging was derived,
based on the Intensity Direct and Neighbor Intensity Difference methods. Analysis
of resultant groupings showed robust discrimination of recurrence probability and
Kaplan-Meier-estimated local control rate (LCR) differences between "favorable"
and "unfavorable" clusters were noted.
PMID- 29367655
TI - Author Correction: Is body-weight supported treadmill training or robotic
assisted gait training superior to overground gait training and other forms of
physiotherapy in people with spinal cord injury? A systematic review.
AB - The original article can be found online at https://doi.org/10.1038/sc.2017.31 .
PMID- 29367654
TI - Prevalence of upper extremity pain in a population of people with paraplegia.
AB - STUDY DESIGN: Cross-sectional study. OBJECTIVES: To determine the prevalence,
patterns, and predictors of musculoskeletal pain in the upper extremity joints
among wheelchair-dependent individuals with post-traumatic paraplegia.
Secondarily, to document most common reported causes of upper extremity pain.
SETTING: Centre for Orthopaedics, Trauma Surgery and Spinal Cord Injury, Germany.
METHODS: The study was done by means of a structured questionnaire, which was
mailed to the individuals who had been treated between 1990 and 2007 for newly
sustained or pre-existing, accident-related paraplegia (n = 670). The
questionnaire was designed mainly to obtain the information regarding shoulder,
elbow, and wrist pain. Additional data included participant demographics,
mechanism, level and completeness of injury as well as wheelchair dependence and
time since injury. The Frankel classification system was used to define the
completeness of injury. RESULTS: Four hundred and fifty-one (67%) questionnaires
were included. Pain was reported by approximately 81% of the participants. Of
this sample, 61% had shoulder pain, 33% had elbow pain, and 43% had wrist pain,
19% had shoulder, elbow, and wrist pain, 27% had shoulder and elbow pain, 34% had
shoulder and wrist pain, 21% had elbow and wrist pain. The main diagnoses were
rotator cuff tears for individuals with shoulder pain, epicondylitis for those
with elbow pain, and carpal tunnel syndrome for those with wrist pain. The
development of shoulder/elbow and wrist pain correlated with age and time since
injury. CONCLUSIONS: Age and the length of time since injury correlated with a
higher rate of shoulder, elbow, and wrist pain. The completeness of injury,
neurological level, and gender were correlated with shoulder, elbow, and wrist
pain, respectively.
PMID- 29367656
TI - Patient participation from the perspective of staff members working in spinal
cord injury rehabilitation.
AB - STUDY DESIGN: Qualitative method, semi-structured interviews. OBJECTIVES: The
aims of this study were to explore the meaning of patient participation from the
perspective of staff members working with spinal cord injury (SCI)
rehabilitation, and what they saw as requisites for and constraints to patient
participation. SETTING: Swedish spinal injury unit. METHODS: Interviews with 13
staff members at a spinal unit were conducted individually and analyzed by means
of content analysis. RESULTS: One category describing patient participation
emerged from the interviews: Patient - a team member. Four categories were
extracted as requisites: Communication; information and knowledge; routines;
respecting the patient as a unique person; and an open climate. Three categories
of constraints were identified: Understaffing and new staff members; patients'
inability to grasp information; and structures and fragmented responsibilities.
CONCLUSIONS: The informants were unanimous in stating that the patient is an
integral and natural member of the rehabilitation team. Recognizing the person
with SCI as a team member acknowledges and endorses the patient as a person with
capabilities to participate in his or her rehabilitation. The patient as a person
also means that he or she has unique needs and preferences, which the staff
members must accommodate. This is also fundamental in a person-centered approach.
Therefore, the viewpoints of the informants may be useful for other settings to
enhance person centeredness and patient participation.
PMID- 29367658
TI - Variations among Streptococcus gallolyticus subsp. gallolyticus strains in
connection with colorectal cancer.
AB - Streptococcus gallolyticus subsp. gallolyticus (Sg) has long been reported to
display a strong association with colorectal cancer (CRC). It was recently
demonstrated to actively promote the development of CRC, underscoring the
importance of Sg in both clinical correlation and functional relevance in CRC.
Here we investigated several clinical isolates of Sg in their interactions with
human colon cancer cells and in mouse models. Some Sg strains were able to
stimulate host cell proliferation (proliferation-promoting Sg, PP-Sg) whereas
others were not (non-proliferation-promoting Sg, NP-Sg). PP-Sg strains adhered to
colon cancer cells much better than NP-Sg strains, suggesting that close contact
between Sg and host cells is important. In mice, PP-Sg is significantly better at
colonizing the colon tissues of A/J mice compared to NP-Sg, however this
difference was not observed in C57BL/6 mice, suggesting that Sg colonization of
mouse colon tissues involves specific interactions between bacterial and host
factors on the colonic epithelium. Finally, in an azoxymethane-induced mouse
model of CRC, PP-Sg promoted tumor development whereas NP-Sg did not. These
findings provide clues to the mechanism underlying the Sg-CRC association and
have important implications to clinical studies that aim to correlate Sg with
clinical and pathological features of CRC.
PMID- 29367657
TI - Phylogenomics and antimicrobial resistance of the leprosy bacillus Mycobacterium
leprae.
AB - Leprosy is a chronic human disease caused by the yet-uncultured pathogen
Mycobacterium leprae. Although readily curable with multidrug therapy (MDT), over
200,000 new cases are still reported annually. Here, we obtain M. leprae genome
sequences from DNA extracted directly from patients' skin biopsies using a
customized protocol. Comparative and phylogenetic analysis of 154 genomes from 25
countries provides insight into evolution and antimicrobial resistance,
uncovering lineages and phylogeographic trends, with the most ancestral strains
linked to the Far East. In addition to known MDT-resistance mutations, we detect
other mutations associated with antibiotic resistance, and retrace a potential
stepwise emergence of extensive drug resistance in the pre-MDT era. Some of the
previously undescribed mutations occur in genes that are apparently subject to
positive selection, and two of these (ribD, fadD9) are restricted to drug
resistant strains. Finally, nonsense mutations in the nth excision repair gene
are associated with greater sequence diversity and drug resistance.
PMID- 29367659
TI - Electric fields control the orientation of peptides irreversibly immobilized on
radical-functionalized surfaces.
AB - Surface functionalization of an implantable device with bioactive molecules can
overcome adverse biological responses by promoting specific local tissue
integration. Bioactive peptides have advantages over larger protein molecules due
to their robustness and sterilizability. Their relatively small size presents
opportunities to control the peptide orientation on approach to a surface to
achieve favourable presentation of bioactive motifs. Here we demonstrate control
of the orientation of surface-bound peptides by tuning electric fields at the
surface during immobilization. Guided by computational simulations, a peptide
with a linear conformation in solution is designed. Electric fields are used to
control the peptide approach towards a radical-functionalized surface.
Spontaneous, irreversible immobilization is achieved when the peptide makes
contact with the surface. Our findings show that control of both peptide
orientation and surface concentration is achieved simply by varying the solution
pH or by applying an electric field as delivered by a small battery.
PMID- 29367660
TI - Earthquake impacts on microcrustacean communities inhabiting groundwater-fed
springs alter species-abundance distribution patterns.
AB - Earthquakes are important natural events, yet their impacts on animal communities
are poorly known. Understanding earthquake impacts on groundwater communities is
essential to assess their resilience and hence to perform conservation actions.
We investigated how a 6.3 Mw earthquake that occurred in 2009 altered the
community structure (diversity, evenness, dominance, species abundance
distributions and beta-diversity) of microcrustaceans (Crustacea Copepoda)
inhabiting springs fed by the Gran Sasso Aquifer (Central Italy). Sampling was
done in low-discharge (1997), high-discharge (2005), and post-seismic (2012)
hydrological years. Stygobites (obligate groundwater species) and non-stygobites
(non-obligate groundwater species) showed different patterns. A high-water
discharge in 2005 altered abundance patterns of non-stygobites. The earthquake re
established former abundance patterns. Stygobites were less affected by high
water discharge in 2005, and showed strong increases in diversity and evenness
after the earthquake. This effect was due to the fact that the earthquake induced
a strong population decline of previously dominant stygobites (especially of
Nitocrella pescei) in the aquifer, and subsequently at the main spring outlets,
thus allowing a more equitable species-abundance distribution. These results
highlight the importance of considering species ecology to understand the effects
of a significant earthquake event on animal communities.
PMID- 29367661
TI - Ultrasensitive reversible chromophore reaction of BODIPY functions as high ratio
double turn on probe.
AB - Chromophore reactions with changes to conjugation degree, especially those
between the conjugated and unconjugated state, will bring a large spectral
variation. To realize such a process, a meso-naked BODIPY (MNBOD) with two
electron-withdrawing groups around the core is designed and synthesized. The
resulting system is extremely sensitive to bases. The red, highly fluorescent
solution readily becomes colorless and non-fluorescent after base addition;
however, the color and fluorescence can be totally and instantly restored by
addition of acid or formaldehyde. Analyses show that two identical MNBODs are
connected by a C-C single bond (sp3) at the meso-position through a radical
reaction that results in an unconjugated, colorless dimer complexed with bases.
When the bases are consumed, the dimer immediately dissociates into the red,
highly fluorescent, conjugated MNBOD monomer. With 260 nm spectral change and
over 120,000 turn-on ratio, this chromophore-reaction can be utilized as a
sensitive reaction-based dual-signal probe.
PMID- 29367663
TI - Force depression following a stretch-shortening cycle is independent of stretch
peak force and work performed during shortening.
AB - The steady-state isometric force following active muscle shortening or
lengthening is smaller (force depression; FD) or greater (residual force
enhancement; RFE) than a purely isometric contraction at the corresponding
length. The mechanisms behind these phenomena remain not fully understood, with
few studies investigating the effects of FD and RFE in stretch-shortening cycles
(SSC). The purpose of this study was to investigate the influence of RFE and peak
force at the end of the stretch phase on the steady-state isometric force
following shortening. Isometric thumb adduction force measurements were preceded
by an isometric, a shortening contraction to induce FD, and SSCs at different
stretch speeds (15 degrees /s, 60 degrees /s, and 120 degrees /s). The different
peak force values at the end of stretch and the different amounts of work
performed during shortening did not influence the steady-state isometric force at
the end of the SSC. We conclude that the FD following SSC depends exclusively on
the amount of RFE established in the initial stretch phase in situations where
the timing and contractile conditions of the shortening phase are kept constant .
PMID- 29367662
TI - Comprehensive profiling of the ligand binding landscapes of duplexed aptamer
families reveals widespread induced fit.
AB - Duplexed aptamers (DAs) are ligand-responsive constructs engineered by
hybridizing an aptamer with an aptamer-complementary element (ACE, e.g., a DNA
oligonucleotide). Although DAs are commonly deployed, the binding dynamics of
ternary ACE-aptamer-ligand systems remain underexplored, having been
conventionally described by a conformational selection framework. Here we
introduce aptamer-complementary element scanning (ACE-Scan) as a method to
generate comprehensive hybridization, spontaneous off-rate, and induced fit
ligand-binding landscapes for entire DA families. ACE-Scan reveals induced fit in
DAs engineered from small molecule- and protein-binding DNA and RNA aptamers, as
well as DAs engineered from the natural add riboswitch aptamer. To validate ACE
Scan, we engineer solution-phase ATP-specific DAs from 5 ACEs with varying
spontaneous and induced fit off-rates, generating aptasensors with 8-fold
differences in dynamic range consistent with ACE-Scan. This work demonstrates
that ACE-Scan can readily map induced fit in DAs, empowering aptamers in
biosensing, synthetic biology, and DNA nanomachines.
PMID- 29367664
TI - Prolactin inhibits the progression of intervertebral disc degeneration through
inactivation of the NF-kappaB pathway in rats.
AB - Intervertebral disc degeneration (IVDD) is one of the key predisposing factors
for low back pain. Although the exact mechanism remains unclear, inflammatory
response and nucleus pulposus (NP) apoptosis are known to play important roles in
this process. Prolactin protects against inflammation-associated chondrocyte
apoptosis in arthritis. Based on prior studies, we hypothesized that prolactin
might have therapeutic effects on IVDD by inhibiting the apoptosis of
degenerative human disc NP cells. An experimental model of IVDD was established
in 3-month-old Sprague-Dawley rats by submitting them to percutaneous disc
puncture with a 20-gauge needle on levels 7-8 and 8-9 of the coccygeal vertebrae.
Then the rats were injected with 20 or 200 ng prolactin on a weekly basis.
Radiologic and histologic analyses were performed on days 4, 7, 14, and 28. The
expression of prolactin and its receptor was analyzed in human tissue obtained
from symptomatic patients undergoing microencoscopy discectomy, or from scoliosis
patients undergoing deformity correction surgery. The results showed that
intradiscal injection of prolactin maintained disc height and the mean signal
intensity of the punctured disc. Histological analysis indicated that prolactin
treatment significantly retained the complete structure of the NP and annulus
fibrosus compared with the vehicle group. In addition, more collagen II, but
fewer collagen I-containing tissues were detected in the prolactin treatment
groups compared to the vehicle group. Moreover, low levels of tumor necrosis
factor-alpha, interleukin-1beta, cleaved-caspase 3, and TUNEL staining were
observed in the prolactin treatment groups. We also demonstrated that prolactin
impaired puncture-induced inflammation and cell apoptosis by downregulating
activation of the NF-kappaB pathway. The degenerated NP tissues from patients had
decreased expression of prolactin and its receptor, whereas expression was
increased in the NP tissues removed from scoliosis patients. These results
suggest that prolactin may be a novel therapeutic target for the treatment of
IVDD.
PMID- 29367665
TI - The spatial and metabolic basis of colony size variation.
AB - Spatial structure impacts microbial growth and interactions, with ecological and
evolutionary consequences. It is therefore important to quantitatively understand
how spatial proximity affects interactions in different environments. We tested
how proximity influences colony size when either Escherichia coli or Salmonella
enterica are grown on various carbon sources. The importance of colony location
changed with species and carbon source. Spatially explicit, genome-scale
metabolic modeling recapitulated observed colony size variation. Competitors that
determine territory size, according to Voronoi diagrams, were the most important
drivers of variation in colony size. However, the relative importance of
different competitors changed through time. Further, the effect of location
increased when colonies took up resources quickly relative to the diffusion of
limiting resources. These analyses made it apparent that the importance of
location was smaller than expected for experiments with S. enterica growing on
glucose. The accumulation of toxic byproducts appeared to limit the growth of
large colonies and reduced variation in colony size. Our work provides an
experimentally and theoretically grounded understanding of how location interacts
with metabolism and diffusion to influence microbial interactions.
PMID- 29367666
TI - Surface waves magnitude estimation from ionospheric signature of Rayleigh waves
measured by Doppler sounder and OTH radar.
AB - Surface waves emitted after large earthquakes are known to induce atmospheric
infrasonic waves detectable at ionospheric heights using a variety of techniques,
such as high frequency (HF) Doppler, global positioning system (GPS), and
recently over-the-horizon (OTH) radar. The HF Doppler and OTH radar are
particularly sensitive to the ionospheric signature of Rayleigh waves and are
used here to show ionospheric perturbations consistent with the propagation of
Rayleigh waves related to 28 and 10 events, with a magnitude larger than 6.2,
detected by HF Doppler and OTH radar respectively. A transfer function is
introduced to convert the ionospheric measurement into the correspondent ground
displacement in order to compare it with classic seismometers. The ground
vertical displacement, measured at the ground by seismometers, and measured at
the ionospheric altitude by HF Doppler and OTH radar, is used here to compute
surface wave magnitude. The ionospheric surface wave magnitude (M siono )
proposed here introduces a new way to characterize earthquakes observing the
signature of surface Rayleigh waves in the ionosphere. This work proves that
ionospheric observations are useful seismological data to better cover the Earth
and to explore the seismology of the Solar system bodies observing the ionosphere
of other planets.
PMID- 29367667
TI - Quantitative Structure-Activity Relationship Model for HCVNS5B inhibitors based
on an Antlion Optimizer-Adaptive Neuro-Fuzzy Inference System.
AB - The global prevalence of hepatitis C Virus (HCV) is approximately 3% and one
fifth of all HCV carriers live in the Middle East, where Egypt has the highest
global incidence of HCV infection. Quantitative structure-activity relationship
(QSAR) models were used in many applications for predicting the potential effects
of chemicals on human health and environment. The adaptive neuro-fuzzy inference
system (ANFIS) is one of the most popular regression methods for building a
nonlinear QSAR model. However, the quality of ANFIS is influenced by the size of
the descriptors, so descriptor selection methods have been proposed, although
these methods are affected by slow convergence and high time complexity. To avoid
these limitations, the antlion optimizer was used to select relevant descriptors,
before constructing a nonlinear QSAR model based on the PIC50 and these
descriptors using ANFIS. In our experiments, 1029 compounds were used, which
comprised 579 HCVNS5B inhibitors (PIC50 < ~14) and 450 non-HCVNS5B inhibitors
(PIC50 > ~14). The experimental results showed that the proposed QSAR model
obtained acceptable accuracy according to different measures, where [Formula: see
text] was 0.952 and 0.923 for the training and testing sets, respectively, using
cross-validation, while [Formula: see text] was 0.8822 using leave-one-out (LOO).
PMID- 29367668
TI - Honokiol is a FOXM1 antagonist.
AB - Honokiol is a natural product and an emerging drug for a wide variety of
malignancies, including hematopoietic malignancies, sarcomas, and common
epithelial tumors. The broad range of activity of honokiol against numerous
malignancies with diverse genetic backgrounds suggests that honokiol is
inhibiting an activity that is common to multiple malignancies. Oncogenic
transcription factor FOXM1 is one of the most overexpressed oncoproteins in human
cancer. Here we found that honokiol inhibits FOXM1-mediated transcription and
FOXM1 protein expression. More importantly, we found that honokiol's inhibitory
effect on FOXM1 is a result of binding of honokiol to FOXM1. This binding is
specific to honokiol, a dimerized allylphenol, and was not observed in compounds
that either were monomeric allylphenols or un-substituted dihydroxy phenols. This
indicates that both substitution and dimerization of allylphenols are required
for physical interaction with FOXM1. We thus demonstrate a novel and specific
mechanism for FOXM1 inhibition by honokiol, which partially may explain its
anticancer activity in cancer cells.
PMID- 29367670
TI - Publisher Correction: Stateful characterization of resistive switching TiO2 with
electron beam induced currents.
AB - The original version of this Article contained an error in Eq. 1. The arrows
between the symbols "T" and "B", and "B" and "T", were written "<->" but should
have been "->", and incorrectly read: IEBIC=IEBAC+ISEE+I(e<->h)+IEBICT<
>B+IESEEB<->T The correct from of the Eq. 1 is as follows:IEBIC=IEBAC+ISEE+I(e<
>h)+IEBICT->B+IESEEB->T This has now been corrected in both the PDF and HTML
versions of the article.
PMID- 29367669
TI - Investigation of 20S-hydroxyvitamin D3 analogs and their 1alpha-OH derivatives as
potent vitamin D receptor agonists with anti-inflammatory activities.
AB - 20S-hydroxyvitamin D3 [20S(OH)D3] is anti-inflammatory and not hypercalcemic,
suggesting its potential as a lead compound. In this study, side chain modified
20S(OH)D3 analogs (4, 13, 23 and 33) together with their 1alpha-OH derivatives
were synthesized and their metabolism and biological activities tested. 4, 13 and
23 are good substrates for CYP27B1, enabling enzymatic synthesis of their 1alpha
OH derivatives 5, 14 and 24. However, 33 could not be hydroxylated by CYP27B1 and
acts as an inhibitor. All analogs were poorer substrates for CYP24A1 than
calcitriol, indicating improved catabolic stability. While the parent analogs
showed minimal VDR stimulating activity, their 1alpha-OH derivatives were potent
VDR agonists. 4, 5, 14 and 24 significantly upregulated the expression of CYP24A1
at the mRNA level, consistent with their VDR activation abilities and indicating
that 1alpha-hydroxylation is required to produce analogs with strong activity.
These analogs have anti-inflammatory activities that are influenced by side chain
composition and by 1alpha-hydroxylation. To understand their molecular
interactions with the VDR, 20S(OH)D3, 4 and 33 were co-crystalized with the VDR
ligand binding domain, which revealed subtle differences to the calcitriol-bound
receptor. This study demonstrates the potential of the 20S(OH)D3 scaffold for the
development of novel anti-inflammatory agents.
PMID- 29367671
TI - Warming deferentially altered multidimensional soil legacy induced by past land
use history.
AB - The legacy effects of previous land use and climate history may affect current
soil function. However, the manner in which these legacy effects of land use are
modulated by the subsequent climate remains unclear. For this reason, we
investigated how the legacies of soil multiple functions left by conversion of
grassland to agricultural management were mediated by climate warming with a
reciprocal transplant approach. The overall legacy was further separated into the
contributions by changes in the abiotic properties of the soil (abiotic process)
and microbial community (biotic process). We here hypothesized that warming may
mediate the legacy effects of previous land use, mainly by changing biotic
processes. Results indicated that warming significantly influenced the total
legacies of soil respiration and three exoenzyme activities representing
recalcitrant carbon, nitrogen, and phosphorus cycling, but did not affect the
total legacy of beta-1,4-glucosidase activity, which is involved in labile carbon
cycling. The relative contributions of abiotic and biotic processes to the
warming effects on the total legacy depended on the type of soil function. The
effects of warming on land use change legacies were derived from altered
bacterial community structure. The results of the present study suggest that
climate conditions could interact with land use legacy to determine the ecosystem
functions in a process-specific way.
PMID- 29367673
TI - disLocate: tools to rapidly quantify local intermolecular structure to assess two
dimensional order in self-assembled systems.
AB - Order classification is particularly important in photonics, optoelectronics,
nanotechnology, biology, and biomedicine, as self-assembled and living systems
tend to be ordered well but not perfectly. Engineering sets of experimental
protocols that can accurately reproduce specific desired patterns can be a
challenge when (dis)ordered outcomes look visually similar. Robust comparisons
between similar samples, especially with limited data sets, need a finely tuned
ensemble of accurate analysis tools. Here we introduce our numerical Mathematica
package disLocate, a suite of tools to rapidly quantify the spatial structure of
a two-dimensional dispersion of objects. The full range of tools available in
disLocate give different insights into the quality and type of order present in a
given dispersion, accessing the translational, orientational and entropic order.
The utility of this package allows for researchers to extract the variation and
confidence range within finite sets of data (single images) using different
structure metrics to quantify local variation in disorder. Containing all metrics
within one package allows for researchers to easily and rapidly extract many
different parameters simultaneously, allowing robust conclusions to be drawn on
the order of a given system. Quantifying the experimental trends which produce
desired morphologies enables engineering of novel methods to direct self
assembly.
PMID- 29367672
TI - Parallel derivation of isogenic human primed and naive induced pluripotent stem
cells.
AB - Induced pluripotent stem cells (iPSCs) have considerably impacted human
developmental biology and regenerative medicine, notably because they circumvent
the use of cells of embryonic origin and offer the potential to generate patient
specific pluripotent stem cells. However, conventional reprogramming protocols
produce developmentally advanced, or primed, human iPSCs (hiPSCs), restricting
their use to post-implantation human development modeling. Hence, there is a need
for hiPSCs resembling preimplantation naive epiblast. Here, we develop a method
to generate naive hiPSCs directly from somatic cells, using OKMS overexpression
and specific culture conditions, further enabling parallel generation of their
isogenic primed counterparts. We benchmark naive hiPSCs against human
preimplantation epiblast and reveal remarkable concordance in their
transcriptome, dependency on mitochondrial respiration and X-chromosome status.
Collectively, our results are essential for the understanding of pluripotency
regulation throughout preimplantation development and generate new opportunities
for disease modeling and regenerative medicine.
PMID- 29367674
TI - Tryptophan hydroxylase (TRH) loss of function mutations induce growth and
behavioral defects in Daphnia magna.
AB - Tryptophan hydroxylase (TRH) is the rate limiting enzyme in the serotonin
synthesis. CRISPR-Cas9 technology was used to generate seven indel TRH mutants in
Daphnia magna. Mono-allelic indel TRH-/+ clones showed normal levels of
serotonin, measured by both immunohistochemistry and mass spectrometry (LC
MS/MS), whereas bi-allelic indel TRH-/- clones showed no detectable levels of
serotonin. Life history and behavioral responses of TRH-/- clones showed the anti
phenotype of those exposed to selective serotonin reuptake inhibitors (SSRI).
Mutants lacking serotonin grew less and hence reproduced latter, produced smaller
clutches of smaller offspring and responded to a greater extent to light than
wild type individuals. Mono-allelic indel TRH-/+ individuals showed the
intermediate phenotype. The SSRI fluoxetine enhanced offspring production in all
clones and decreased the response to light only in those clones having serotonin,
thus indication that behavioral effects of this drug in D. magna are associated
to serotonin. Results obtained with the TRH mutants are in line with reported
ones in TRH knockouts of Caenorhabditis elegans, Drosophila and mice, indicating
that there is one gene encoding TRH, which is the serotonin limiting enzyme in
both the central and the periphery nervous system in Daphnia and that deprivation
of serotonin increases anxiety-like behavior.
PMID- 29367675
TI - Vertical Light Sheet Enhanced Side-View Imaging for AFM Cell Mechanics Studies.
AB - The ability to measure dynamic structural changes within a cell under applied
load is essential for developing more accurate models of cell mechanics and
mechanotransduction. Atomic force microscopy is a powerful tool for evaluating
cell mechanics, but the dominant applied forces and sample strains are in the
vertical direction, perpendicular to the imaging plane of standard fluorescence
imaging. Here we report on a combined sideways imaging and vertical light sheet
illumination system integrated with AFM. Our system enables high frame rate, low
background imaging of subcellular structural dynamics in the vertical plane
synchronized with AFM force data. Using our system for cell compression
measurements, we correlated stiffening features in the force indentation data
with onset of nuclear deformation revealed in the imaging data. In adhesion
studies we were able to correlate detailed features in the force data during
adhesive release events with strain at the membrane and within the nucleus.
PMID- 29367676
TI - Inhibition of angiogenesis by leflunomide via targeting the soluble ephrin
A1/EphA2 system in bladder cancer.
AB - Angiogenesis plays an important role in bladder cancer (BCa). The
immunosuppressive drug leflunomide has attracted worldwide attention. However,
the effects of leflunomide on angiogenesis in cancer remain unclear. Here, we
report the increased expression of soluble ephrin-A1 (sEphrin-A1) in supernatants
of BCa cell lines (RT4, T24, and TCCSUP) co-cultured with human umbilical vein
endothelial cells (HUVECs) compared with that in immortalized uroepithelial cells
(SV-HUC-1) co-cultured with HUVECs. sEphrin-A1 is released from BCa cells as a
monomeric protein that is a functional form of the ligand. The co-culture
supernatants containing sEphrin-A1 caused the internalization and down-regulation
of EphA2 on endothelial cells and dramatic functional activation of HUVECs. This
sEphrin-A1/EphA2 system is mainly functional in regulating angiogenesis in BCa
tissue. We showed that leflunomide (LEF) inhibited angiogenesis in a N-butyl-N-(4
hydroxybutyl)-nitrosamine (BBN)-induced bladder carcinogenesis model and a tumor
xenograft model, as well as in BCa cell and HUVEC co-culture systems, via
significant inhibition of the sEphrin-A1/EphA2 system. Ephrin-A1 overexpression
could partially reverse LEF-induced suppression of angiogenesis and subsequent
tumor growth inhibition. Thus, LEF has a significant anti-angiogenesis effect on
BCa cells and BCa tissue via its inhibition of the functional angiogenic sEphrin
A1/EphA2 system and may have potential for treating BCa beyond immunosuppressive
therapy.
PMID- 29367677
TI - Interactive effects of compost and pre-planting soil moisture on plant biomass,
nutrition and formation of mycorrhizas: a context dependent response.
AB - We aimed to investigate the combined impacts of compost addition and pre-planting
soil moisture conditions, on plant-available nutrients, and subsequent impacts on
the biomass, nutrition and formation of AM by two important crop species. A
glasshouse study was undertaken in which wheat and tomato plants were grown in
compost amended or un-amended soil that was subjected to different moisture
regimes prior to planting. The availability of P was strongly influenced by
compost addition, but not pre-planting moisture conditions. In contrast, mineral
N pools were affected by compost addition and pre-planting soil moisture
conditions in complex ways. These changes in nutrient availability affected plant
biomass, nutrient uptake and formation of AM. In general, plant performance was
better where pre-planting soil moisture conditions were wet or dry, and worse
where they involved a wet/dry cycle, and mycorrhizal colonisation was lower where
compost was added to the soil. That pre-planting moisture conditions affect the
biomass of subsequent crops is an important finding, the potential implications
of which are considered here.
PMID- 29367678
TI - Publisher Correction: The burden and challenges of tuberculosis in China:
findings from the Global Burden of Disease Study 2015.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29367679
TI - Multilocus Sequence Typing Reveals both Shared and Unique Genotypes of
Cryptococcus neoformans in Jiangxi Province, China.
AB - Cryptococcosis is a globally distributed infectious fungal disease. However, much
remains unknown about its molecular epidemiology in many parts of the world. In
this study, we analyzed 86 clinical Cryptococcus neoformans isolates from 14
regions in Jiangxi Province in south central China. Each isolate was from a
different patient and 35 of the 86 (40.7%) patients were infected with HIV. All
strains belonged to serotype A and mating type alpha (MATalpha). Genotyping based
on DNA sequences at seven nuclear loci revealed eight sequence types (STs) among
the 86 isolates, including two novel STs that have not been reported from other
parts of the world. ST5 was the dominant genotype and our comparative analyses
showed that these genotypes in Jiangxi likely originated by dispersal from other
regions within and outside of China and/or mutations from another genotype within
Jiangxi. Though none of the isolates was resistant to the five tested antifungal
drugs (flucytosine, amphotericin B, fluconazole, itraconazole, and voriconazole),
obvious differences in their minimum inhibitory concentrations were observed,
even among isolates of the same ST. Our results suggest that continuous
monitoring should be conducted to understand the changing dynamics of C.
neoformans in this and other regions.
PMID- 29367681
TI - Two types of weight-dependent walks with a trap in weighted scale-free treelike
networks.
AB - In this paper, we present the weighted scale-free treelike networks controlled by
the weight factor r and the parameter m. Based on the network structure, we study
two types of weight-dependent walks with a highest-degree trap. One is standard
weight-dependent walk, while the other is mixed weight-dependent walk including
both nearest-neighbor and next-nearest-neighbor jumps. Although some properties
have been revealed in weighted networks, studies on mixed weight-dependent walks
are still less and remain a challenge. For the weighted scale-free treelike
network, we derive exact solutions of the average trapping time (ATT) measuring
the efficiency of the trapping process. The obtained results show that ATT is
related to weight factor r, parameter m and spectral dimension of the weighted
network. We find that in different range of the weight factor r, the leading term
of ATT grows differently, i.e., superlinearly, linearly and sublinearly with the
network size. Furthermore, the obtained results show that changing the walking
rule has no effect on the leading scaling of the trapping efficiency. All results
in this paper can help us get deeper understanding about the effect of link
weight, network structure and the walking rule on the properties and functions of
complex networks.
PMID- 29367680
TI - Olfactory receptors are expressed in pancreatic beta-cells and promote glucose
stimulated insulin secretion.
AB - Olfactory receptors (ORs) mediate olfactory chemo-sensation in OR neurons.
Herein, we have demonstrated that the OR chemo-sensing machinery functions in
pancreatic beta-cells and modulates insulin secretion. First, we found several OR
isoforms, including OLFR15 and OLFR821, to be expressed in pancreatic islets and
a beta-cell line, MIN6. Immunostaining revealed OLFR15 and OLFR821 to be
uniformly expressed in pancreatic beta-cells. In addition, mRNAs of Olfr15 and
Olfr821 were detected in single MIN6 cells. These results indicate that multiple
ORs are simultaneously expressed in individual beta-cells. Octanoic acid, which
is a medium-chain fatty acid contained in food and reportedly interacts with
OLFR15, potentiated glucose-stimulated insulin secretion (GSIS), thereby
improving glucose tolerance in vivo. GSIS potentiation by octanoic acid was
confirmed in isolated pancreatic islets and MIN6 cells and was blocked by OLFR15
knockdown. While Galpha olf expression was not detectable in beta-cells,
experiments using inhibitors and siRNA revealed that the pathway dependent on
phospholipase C-inositol triphosphate, rather than cAMP-protein kinase A,
mediates GSIS potentiation via OLFR15. These findings suggest that the OR system
in pancreatic beta-cells has a chemo-sensor function allowing recognition of
environmental substances obtained from food, and potentiates insulin secretion in
a cell-autonomous manner, thereby modulating systemic glucose metabolism.
PMID- 29367682
TI - Activation of Activin receptor-like kinases curbs mucosal inflammation and
proliferation in chronic rhinosinusitis with nasal polyps.
AB - Chronic rhinosinusitis with nasal polyps (CRSwNP) is a widespread disease causing
obstruction of the nasal cavity. Its cause remains unclear. The transforming
growth-factor beta (TGF-beta) superfamily and their receptors, termed Activin
receptor-like kinases (ALKs), have recently been suggested to play a role in
local airway inflammation, but have so far not been evaluated in human nasal
epithelial cells (HNECs) from CRSwNP patients. We demonstrated that ALK1-7 were
expressed in the nasal polyp epithelium, and the expression of ALK1-6 was
markedly elevated in polyps compared to nasal mucosa from healthy controls.
Stimulation with the ALK ligand TGF-beta1 decreased Ki67 expression in HNECs from
CRSwNP patients, not evident in controls. Likewise, TGF-beta1, Activin A and
Activin B, all ALK ligands, decreased IL-8 release and Activin A and Activin B
reduced ICAM1 expression on HNECs from CRSwNP patients, not seen in controls. Pre
stimulation with TGF-beta1, Activin A, BMP4 and Activin B attenuated a TNF-alpha
induced ICAM1 upregulation on HNECs of CRSwNP. No effect was evident in controls.
In conclusion, an increased expression of ALK1-6 was found on polyp epithelial
cells and ligand stimulation appeared to reduce proliferation and local
inflammation in polyps.
PMID- 29367683
TI - Staphylococcal LTA antagonizes the B cell-mitogenic potential of LPS.
AB - Lipoteichoic acid (LTA) of Gram-positive bacteria is regarded as the counterpart
biomolecule of lipopolysaccharide (LPS) of Gram-negative bacteria because of
their structural and immunological similarities. Although LPS induces a strong
polyclonal expansion of B cells, little is known about the effect of LTA on B
cell proliferation. In the present study, we prepared LTAs from Gram-positive
bacteria and examined their effect on splenic B cell proliferation. Unlike LPS,
LTA did not induce B cell proliferation. Instead, Staphylococcus aureus LTA
(Sa.LTA) appeared to inhibit LPS-induced B cell proliferation in vitro, ex vivo,
and in vivo models. Such effect was observed neither in splenocytes from Toll
like receptor 2 (TLR2)-deficient mice nor in the purified splenic B cells.
Furthermore, decreased ERK phosphorylation appeared to be responsible for this
phenomenon. Collectively, our results support that Sa.LTA inhibited LPS-induced B
cell proliferation through the decrease of ERK phosphorylation via TLR2 signaling
pathway.
PMID- 29367684
TI - Perovskite Thin Film Synthesised from Sputtered Lead Sulphide.
AB - In the last few years, research on dye-sensitised devices has been focused on the
development of solar cells, based on CH3NH3PbX3 (X = I-, Br-, Cl-) composites
with perovskite structure. The deposition of perovskite thin films is usually
carried out by solution-based processes using spin-coating techniques that result
in the production of high quality films. Solar cells made by this method exceed
20% efficiency, with the potential for use in large scale production through ink
print or screen printing techniques. As an alternative route, perovskite thin
films can be deposited through thermal evaporation. A new method is proposed to
produce CH3NH3PbI3, based on a radio-frequency (rf) -sputtering technique that
results in a high reproducibility of the films and is compatible with roll-to
roll processes. We deposited thin films of lead-sulphide (PbS) and converted them
into perovskite by placing the films in an iodine atmosphere, followed by dipping
in a solution of methylammonium iodide (CH3NH3I). The conversions to PbI2 and
CH3NH3PbI3 were confirmed by elemental analyses, absorption, and
photoluminescence spectroscopy. Structural properties were revealed by X-ray
diffraction and infrared and Raman spectroscopy.
PMID- 29367685
TI - In vivo imaging of adeno-associated viral vector labelled retinal ganglion cells.
AB - A defining characteristic of optic neuropathies, such as glaucoma, is progressive
loss of retinal ganglion cells (RGCs). Current clinical tests only provide weak
surrogates of RGC loss, but the possibility of optically visualizing RGCs and
quantifying their rate of loss could represent a radical advance in the
management of optic neuropathies. In this study we injected two different adeno
associated viral (AAV) vector serotypes in the vitreous to enable green
fluorescent protein (GFP) labelling of RGCs in wild-type mice for in vivo and non
invasive imaging. GFP-labelled cells were detected by confocal scanning laser
ophthalmoscopy 1-week post-injection and plateaued in density at 4 weeks.
Immunohistochemical analysis 5-weeks post-injection revealed labelling
specificity to RGCs to be significantly higher with the AAV2-DCX-GFP vector
compared to the AAV2-CAG-GFP vector. There were no adverse functional or
structural effects of the labelling method as determined with electroretinography
and optical coherence tomography, respectively. The RGC-specific positive and
negative scotopic threshold responses had similar amplitudes between control and
experimental eyes, while inner retinal thickness was also unchanged after
injection. As a positive control experiment, optic nerve transection resulted in
a progressive loss of labelled RGCs. AAV vectors provide strong and long-lasting
GFP labelling of RGCs without detectable adverse effects.
PMID- 29367687
TI - The association between short-term response and long-term survival for cervical
cancer patients undergoing neoadjuvant chemotherapy: a system review and meta
analysis.
AB - Controversy exists regarding whether a short-term response has an impact on the
long-term survival of cervical cancer patients undergoing neoadjuvant
chemotherapy (NACT). This study was designed to identify the predictive role of
an early response by pooling the results of previous studies. The PubMed and
Embase databases were searched through July 2016, and the associations between an
early response and disease-free survival (DFS) were pooled by hazard ratio (HR)
using random effects models. Six studies involving 490 cervical cancer patients,
with 336 responders and 154 non-responders, were finally included in the meta
analysis. The HR for 1-year DFS between early responders and non-responders was
0.25 (95% CI 0.10-0.58, P = 0.001). The HRs for 2-, 3-, 4-, and 5-year DFS were
0.28 (95% CI 0.15-0.56), 0.27 (95% CI 0.16-0.45), 0.29 (95% CI 0.17-0.50) and
0.33 (95% CI 0.20-0.54), respectively. No obvious heterogeneity was found among
the studies, with I2 = 0, and a sensitivity analysis showed that all pooled
results were robust with logHR confidence limits < 0. An early response was
associated with DFS, and responders achieved a significantly higher survival rate
than non-responders. This finding should be validated in future prospective
studies.
PMID- 29367686
TI - Palisade cell shape affects the light-induced chloroplast movements and leaf
photosynthesis.
AB - Leaf photosynthesis is regulated by multiple factors that help the plant to adapt
to fluctuating light conditions. Leaves of sun-light-grown plants are thicker and
contain more columnar palisade cells than those of shade-grown plants. Light
induced chloroplast movements are also essential for efficient leaf
photosynthesis and facilitate efficient light utilization in leaf cells. Previous
studies have demonstrated that leaves of most of the sun-grown plants exhibited
no or very weak chloroplast movements and could accomplish efficient
photosynthesis under strong light. To examine the relationship between palisade
cell shape, chloroplast movement and distribution, and leaf photosynthesis, we
used an Arabidopsis thaliana mutant, angustifolia (an), which has thick leaves
that contain columnar palisade cells similar to those in the sun-grown plants. In
the highly columnar cells of an mutant leaves, chloroplast movements were
restricted. Nevertheless, under white light condition (at 120 umol m-2 s-1), the
an mutant plants showed higher chlorophyll content per unit leaf area and, thus,
higher light absorption by the leaves than the wild type, which resulted in
enhanced photosynthesis per unit leaf area. Our findings indicate that
coordinated regulation of leaf cell shape and chloroplast movement according to
the light conditions is pivotal for efficient leaf photosynthesis.
PMID- 29367688
TI - Mitral valve annuloplasty versus replacement for severe ischemic mitral
regurgitation.
AB - Although practice guidelines recommend surgery for patients with severe chronic
ischemic mitral regurgitation (CIMR), they do not specify whether to repair or
replace the mitral valve. 436 consecutive patients with severe CIMR were eligible
for inclusion in the study, of which 316 (72.5%) underwent mitral valve
annuloplasty (MVA) whereas 120 (27.5%) received mitral valve replacement (MVR).
At 59 months (interquartile range, 37-85 months) follow-up, though the left
ventricle end-diastolic diameter was markedly larger (P = 0.019) in the MVA group
than in the MVR group, no significant difference was observed in overall
survival, freedom from cardiac death, or avoidance of major adverse cardiac or
cerebrovascular events (MACCE). MVA provides better results in freedom from
cardiac death in subgroups of age >=65years and left ventricular ejection
fraction (EF) >=50% (P = 0.014 and P = 0.016, respectively), whereas MVR was
associated with a lower risk of MACCE in subgroups of age <65years, EF <50% and
left ventricular inferior basal wall motion abnormality (BWMA) (all P < 0.05). In
conclusion, MVR is a suitable management of patients with severe CIMR, and it is
more favorable to ventricular remodeling. The choice of MVA or MVR should depend
on major high-risk clinical factors.
PMID- 29367690
TI - Spondyloarthritis: Low-dose CT for spondyloarthritis - a brilliant new chapter?
PMID- 29367689
TI - Repression of Akt3 gene transcription by the tumor suppressor RIZ1.
AB - RIZ1 has been studied as a tumor suppressor and may play a role in metabolic
diseases related to the Western style diet, such as cancer and obesity. The Akt
pathway is known to play a role in both cancer and obesity, and a link between
Akt and RIZ1 has also been found. To better understand the role of RIZ1 in
obesity and cancer, we investigated how RIZ1 regulates the expression of Akt3. We
found that overexpression of RIZ1 in HEK293 cells reduced the expression of Akt3
protein. Luciferase reporter activity of Akt3 gene promoter was significantly
reduced in cells co-transfected with RIZ1. Recombinant proteins of RIZ1 was able
to bind the Akt3 promoter in vitro, and chromatin immunoprecipitation assay also
demonstrated the ability of RIZ1 binding to the Akt3 promoter in vivo.
Overexpression of RIZ1 increased H3K9 methylation on the Akt3 promoter. These
results identify Akt3 as a target of RIZ1 regulation and expand our understanding
of the Akt pathway in cancer and obesity.
PMID- 29367691
TI - Osteoporosis: Romosozumab to rebuild the foundations of bone strength.
PMID- 29367692
TI - Systemic lupus erythematosus: Stress and the onset of SLE.
PMID- 29367693
TI - HMG-box transcription factor 1: a positive regulator of the G1/S transition
through the Cyclin-CDK-CDKI molecular network in nasopharyngeal carcinoma.
AB - HMG-box transcription factor 1 (HBP1) has been reported to be a tumor suppressor
in diverse malignant carcinomas. However, our findings provide a conclusion that
HBP1 plays a novel role in facilitating nasopharyngeal carcinoma (NPC) growth.
The Kaplan-Meier analysis indicates that high expression HBP1 and low miR-29c
expression both are negatively correlated with the overall survival rates of NPC
patients. HBP1 knockdown inhibits cellular proliferation and growth, and arrested
cells in G1 phase rather than affected cell apoptosis via flow cytometry (FCM)
analysis. Mechanistically, HBP1 induces the expression of CCND1 and CCND3 levels
by binding to their promoters, and binds to CDK4, CDK6 and p16INK4A promoters
while not affects their expression levels. CCND1 and CCND3 promote CCND1-CDK4,
CCND3-CDK6, and CDK2-CCNE1 complex formation, thus, E2F-1 and DP-1 are activated
to accelerate the G1/S transition in the cell cycle. MiR-29c is down-regulated
and correlated with NPC tumorigenesis and progression. Luciferase assays confirms
that miR-29c binds to the 3' untranslated region (3'-UTR) of HBP1. Introduction
of pre-miR-29c decreased HBP1 mRNA and protein levels. Therefore, the high
endogenous HBP1 expression might be attributed to the low levels of endogenous
miR-29c in NPC. In addition, HBP1 knockdown and miR-29c agomir administration
both decrease xenograft growth in nude mice in vivo. It is firstly reported that
HBP1 knockdown inhibited the proliferation and metastasis of NPC, which indicates
that HBP1 functions as a non-tumor suppressor gene in NPC. This study provides a
novel potential target for the prevention of and therapies for NPC.
PMID- 29367694
TI - The Arabidopsis NLP7 gene regulates nitrate signaling via NRT1.1-dependent
pathway in the presence of ammonium.
AB - Nitrate is not only an important nutrient but also a signaling molecule for
plants. A few of key molecular components involved in primary nitrate responses
have been identified mainly by forward and reverse genetics as well as systems
biology, however, many underlining mechanisms of nitrate regulation remain
unclear. In this study, we show that the expression of NRT1.1, which encodes a
nitrate sensor and transporter (also known as CHL1 and NPF6.3), is modulated by
NIN-like protein 7 (NLP7). Genetic and molecular analyses indicate that NLP7
works upstream of NRT1.1 in nitrate regulation when NH4+ is present, while in
absence of NH4+, it functions in nitrate signaling independently of NRT1.1.
Ectopic expression of NRT1.1 in nlp7 resulted in partial or complete restoration
of nitrate signaling (expression from nitrate-regulated promoter NRP), nitrate
content and nitrate reductase activity in the transgenic lines. Transcriptome
analysis revealed that four nitrogen-related clusters including amino acid
synthesis-related genes and members of NRT1/PTR family were modulated by both
NLP7 and NRT1.1. In addition, ChIP and EMSA assays results indicated that NLP7
may bind to specific regions of the NRT1.1 promoter. Thus, NLP7 acts as an
important factor in nitrate signaling via regulating NRT1.1 under NH4+
conditions.
PMID- 29367695
TI - High diversity and abundance of cultivable tetracycline-resistant bacteria in
soil following pig manure application.
AB - By performing a microcosm experiment mimicking fertilization, we assessed the
dynamic distribution of tetracycline-resistant bacteria (TRB) and corresponding
tetracycline resistance genes (TRGs) from pig manure (PM) to the fertilized soil,
by culture-dependent methods and PCR detection. Cultivable TRB were most abundant
in PM, followed by fertilized soil and unfertilized soil. By restriction fragment
length polymorphism (RFLP) analysis, TRB were assigned to 29, 20, and 153
operational taxonomic units (OTUs) in PM, unfertilized soil, and fertilized soil,
respectively. After identification, they were further grouped into 19, 12, and 62
species, showing an enhanced diversity of cultivable TRB in the soil following PM
application. The proportions of potentially pathogenic TRB in fertilized soil
decreased by 69.35% and 41.92% compared with PM and unfertilized soil. Bacillus
cereus was likely widely distributed TRB under various environments, and
Rhodococcus erythropolis and Acinetobacter sp. probably spread from PM to the
soil via fertilization. Meanwhile, tetL was the most common efflux pump gene in
both unfertilized and fertilized soils relative to PM; tetB(P) and tet36 were
common in PM, whereas tetO was predominant in unfertilized and fertilized soil
samples. Sequencing indicated that over 65% of randomly selected TRB in
fertilized soil with acquired resistance derived from PM.
PMID- 29367696
TI - Social Engagement and Its Change are Associated with Dementia Risk among Chinese
Older Adults: A Longitudinal Study.
AB - This study aimed to examine the association of social engagement (SE) and changes
in SE with the risk of dementia among older adults in China. Data were collected
from the 2002, 2005, 2008/2009, and 2011/2012 waves of the Chinese Longitudinal
Healthy Longevity Survey (CLHLS). Random-effects logistic regression models were
used to examine the association of SE and changes in SE with the risk of
dementia. Of the 7511 older Chinese adults aged 65 years and over, 338 developed
dementia during the 9-year follow-up. SE was associated with dementia risk after
adjusting for sociodemographic characteristics, lifestyles and health status
(odds ratio (OR) = 0.71, 95% confidence interval (CI) = 0.63-0.81). Participants
with consistently high or increased SE had a lower risk of dementia than those
with consistently low SE ((OR = 0.14, 95% CI = 0.06-0.28 and OR = 0.33, 95% CI =
0.23-0.48, respectively). Higher SE can reduce the risk of dementia. Furthermore,
consistently high or increasing SE is associated with a lower risk of dementia.
PMID- 29367697
TI - Identification of microRNA signature in the progression of gestational
trophoblastic disease.
AB - Gestational trophoblastic disease (GTD) encompasses a range of trophoblast
derived disorders. The most common type of GTD is hydatidiform mole (HM). Some of
HMs can further develop into malignant gestational trophoblastic neoplasia (GTN).
Aberrant expression of microRNA (miRNA) is widely reported to be involved in the
initiation and progression of cancers. MiRNA expression profile also has been
proved to be the useful signature for diagnosis, staging, prognosis, and response
to chemotherapy. Till now, the profile of miRNA in the progression of GTD has not
been determined. In this study, a total of 34 GTN and 60 complete HMs (CHM)
trophoblastic tissues were collected. By miRNA array screening and qRT-PCR
validating, six miRNAs, including miR-370-3p, -371a-5p, -518a-3p, -519d-3p, -520a
3p, and -934, were identified to be differentially expressed in GTN vs. CHM.
Functional analyses further proved that miR-371a-5p and miR-518a-3p promoted
proliferation, migration, and invasion of choriocarcinoma cells. Moreover, we
demonstrated that miR-371a-5p was negatively related to protein levels of its
predictive target genes BCCIP, SOX2, and BNIP3L, while miR-518a-3p was negatively
related to MST1 and EFNA4. For the first time, we proved that miR-371a-5p and miR
518a-3p directly targeted to 3'-UTR regions of BCCIP and MST1, respectively.
Additionally, we found that miR-371a-5p and miR-518a-3p regulated diverse
pathways related to tumorigenesis and metastasis in choriocarcinoma cells. The
results presented here may offer new clues to the progression of GTD and may
provide diagnostic biomarkers for GTN.
PMID- 29367698
TI - The Lewis A phenotype is a restriction factor for Rotateq and Rotarix vaccine
take in Nicaraguan children.
AB - Histo-blood group antigens (HBGAs) and the Lewis and secretor antigens are
associated with susceptibility to rotavirus infection in a genotype-dependent
manner. Nicaraguan children were prospectively enrolled in two cohorts vaccinated
with either RotaTeq RV5 (n = 68) or Rotarix RV1 (n = 168). Lewis and secretor
antigens were determined by saliva phenotyping and genotyping. Seroconversion was
defined as a 4-fold increase in plasma IgA antibody titer 1 month after
administration of the first dose of the vaccine. Regardless of the vaccine
administered, significantly fewer of the children with Lewis A phenotype (0/14)
seroconverted after receiving the first vaccine dose compared to 26% (45/175) of
those with the Lewis B phenotype and 32% (15/47) of the Lewis negative
individuals (P < 0.01). Furthermore, following administration of the RV1 vaccine,
secretor-positive ABO blood group B children seroconverted to a significantly
lesser extent (5%) compared to secretor-positive children with ABO blood groups A
(26%) and O (27%) (P < 0.05). Other factors such as pre-vaccination titers, sex,
breastfeeding, and calprotectin levels did not influence vaccine-take.
Differences in HBGA expression appear to be a contributing factor in the
discrepancy in vaccine-take and thus, in vaccine efficacy in different ethnic
populations.
PMID- 29367699
TI - Plastid phylogenomics with broad taxon sampling further elucidates the distinct
evolutionary origins and timing of secondary green plastids.
AB - Secondary plastids derived from green algae occur in chlorarachniophytes,
photosynthetic euglenophytes, and the dinoflagellate genus Lepidodinium. Recent
advances in understanding the origin of these plastids have been made, but
analyses suffer from relatively sparse taxon sampling within the green algal
groups to which they are related. In this study we aim to derive new insights
into the identity of the plastid donors, and when in geological time the
independent endosymbiosis events occurred. We use newly sequenced green algal
chloroplast genomes from carefully chosen lineages potentially related to
chlorarachniophyte and Lepidodinium plastids, combined with recently published
chloroplast genomes, to present taxon-rich phylogenetic analyses to further
pinpoint plastid origins. We integrate phylogenies with fossil information and
relaxed molecular clock analyses. Our results indicate that the
chlorarachniophyte plastid may originate from a precusor of siphonous green algae
or a closely related lineage, whereas the Lepidodinium plastid originated from a
pedinophyte. The euglenophyte plastid putatively originated from a lineage of
prasinophytes within the order Pyramimonadales. Our molecular clock analyses
narrow in on the likely timing of the secondary endosymbiosis events, suggesting
that the event leading to Lepidodinium likely occurred more recently than those
leading to the chlorarachniophyte and photosynthetic euglenophyte lineages.
PMID- 29367700
TI - Optimization reconstruction method of object profile using flexible laser plane
and bi-planar references.
AB - An optimization method to reconstruct the object profile is performed by using a
flexible laser plane and bi-planar references. The bi-planar references are
considered as flexible benchmarks to realize the transforms among two world
coordinate systems on the bi-planar references, the camera coordinate system and
the image coordinate system. The laser plane is confirmed by the intersection
points between the bi-planar references and laser plane. The 3D camera
coordinates of the intersection points between the laser plane and a measured
object are initially reconstructed by the image coordinates of the intersection
points, the intrinsic parameter matrix and the laser plane. Meanwhile, an
optimization function is designed by the parameterized differences of the
reconstruction distances with the help of a target with eight markers, and the
parameterized reprojection errors of feature points on the bi-planar references.
The reconstruction method with the bi-planar references is evaluated by the
difference comparisons between true distances and standard distances. The mean of
the reconstruction errors of the initial method is 1.01 mm. Moreover, the mean of
the reconstruction errors of the optimization method is 0.93 mm. Therefore, the
optimization method with the bi-planar references has great application prospects
in the profile reconstruction.
PMID- 29367701
TI - Induced neural stem cell-derived astrocytes modulate complement activation and
mediate neuroprotection following closed head injury.
AB - The complement system is a crucial component of immunity, and its activation has
critical roles in neuroinflammatory response and cellular damage following closed
head injury (CHI). We previously demonstrated that systemically injected induced
neural stem cells (iNSCs) could modulate complement activation to ameliorate
neuronal apoptosis in mouse CHI models. However, it remains unknown whether iNSC
derivatives can regulate complement activation. In the present study, after CHI
mouse serum treatment, we found dramatic decreases in the cellular viabilities of
differentiated iNSCs. Interestingly, following CHI mouse serum treatment, the
death of astrocytes derived from iNSCs which were pre-treated with CHI mouse
serum was significantly decreased. Meanwhile, the deposition of C3 (C3d) and C5b
9 in these astrocytes was substantially reduced. Remarkably, we detected
increased expression of complement receptor type 1-related protein y (Crry) in
these astrocytes. Moreover, these astrocytes could reduce the numbers of
apoptotic neurons via Crry expression post-CHI mouse serum treatment.
Additionally, intracerebral-transplanted iNSCs, pre-treated with CHI mouse serum,
significantly increased the levels of Crry expression in astrocytes to reduce the
accumulation of C3d and C9 and the death of neurons in the brains of CHI mice. In
summary, iNSCs receiving CHI mouse serum pre-treatment could enhance the
expression of Crry in iNSC-derived astrocytes to modulate complement activation
and mediate neuroprotection following CHI.
PMID- 29367703
TI - In vivo fluorescence bioimaging of ascorbic acid in mice: Development of an
efficient probe consisting of phthalocyanine, TEMPO, and albumin.
AB - After a groundbreaking study demonstrated that a high dose of ascorbic acid
selectively kills cancer cells, the compound has been tested in the clinic
against various forms of cancers, with some success. However, in vivo tracing of
intravenously injected ascorbic acid has not been achieved. Herein, we
successfully imaged ascorbic acid intravenously injected into mice based on the
discovery of a novel, highly sensitive, and appropriately selective fluorescent
probe consisting of silicon phthalocyanine (SiPc) and two 2,2,6,6-tetramethyl-1
piperidinyloxy (TEMPO) radicals, i.e., R2c. The radicals in this R2c were
encapsulated in dimeric bovine serum albumin, and the sensitivity was >100-fold
higher than those of other R2c-based probes. Ascorbic acid intravenously injected
into mice was efficiently transported to the liver, heart, lung, and cholecyst.
The present results provide opportunities to advance the use of ascorbic acid as
cancer therapy.
PMID- 29367702
TI - Angiogenic factor-driven inflammation promotes extravasation of human
proangiogenic monocytes to tumours.
AB - Recruitment of circulating monocytes is critical for tumour angiogenesis.
However, how human monocyte subpopulations extravasate to tumours is unclear.
Here we show mechanisms of extravasation of human CD14dimCD16+ patrolling and
CD14+CD16+ intermediate proangiogenic monocytes (HPMo), using human tumour
xenograft models and live imaging of transmigration. IFNgamma promotes an
increase of the chemokine CX3CL1 on vessel lumen, imposing continuous crawling to
HPMo and making these monocytes insensitive to chemokines required for their
extravasation. Expression of the angiogenic factor VEGF and the inflammatory
cytokine TNF by tumour cells enables HPMo extravasation by inducing GATA3
mediated repression of CX3CL1 expression. Recruited HPMo boosts angiogenesis by
secreting MMP9 leading to release of matrix-bound VEGF-A, which amplifies the
entry of more HPMo into tumours. Uncovering the extravasation cascade of HPMo
sets the stage for future tumour therapies.
PMID- 29367704
TI - Association of metreleptin treatment and dietary intervention with neurological
outcomes in Celia's encephalopathy.
AB - Celia's encephalopathy (progressive encephalopathy with/without lipodystrophy,
PELD) is a recessive neurodegenerative disease that is fatal in childhood. It is
caused by a c.985C>T variant in the BSCL2/seipin gene that results in an aberrant
seipin protein. We evaluated neurological development before and during treatment
with human recombinant leptin (metreleptin) plus a dietary intervention rich in
polyunsaturated fatty acids (PUFA) in the only living patient. A 7 years and 10
months old girl affected by PELD was treated at age 3 years with metreleptin,
adding at age 6 omega-3 fatty acid supplementation. Her mental age was evaluated
using the Battelle Developmental Inventory Screening Test (BDI), and brain
PET/MRI was performed before treatment and at age 5, 6.5, and 7.5 years. At age
7.5 years, the girl remains alive and leads a normal life for her mental age of
30 months, which increased by 4 months over the last 18 months according to BDI.
PET images showed improved glucose uptake in the thalami, cerebellum, and
brainstem. This patient showed a clear slowdown in neurological regression during
leptin replacement plus a high PUFA diet. The aberrant BSCL2 transcript was
overexpressed in SH-SY5Y cells and was treated with docosahexaenoic acid (200 uM)
plus leptin (0.001 mg/ml) for 24 h. The relative expression of aberrant BSCL2
transcript was measured by qPCR. In vitro studies showed significant reduction
(32%) in aberrant transcript expression. This therapeutic approach should be
further studied in this devastating disease.
PMID- 29367705
TI - Somatic APC mosaicism and oligogenic inheritance in genetically unsolved
colorectal adenomatous polyposis patients.
AB - Germline variants in the APC gene cause familial adenomatous polyposis. Inherited
variants in MutYH, POLE, POLD1, NTHL1, and MSH3 genes and somatic APC mosaicism
have been reported as alternative causes of polyposis. However, ~30-50% of cases
of polyposis remain genetically unsolved. Thus, the aim of this study was to
investigate the genetic causes of unexplained adenomatous polyposis. Eight
sporadic cases with >20 adenomatous polyps by 35 years of age or >50 adenomatous
polyps by 55 years of age, and no causative germline variants in APC and/or
MutYH, were enrolled from a cohort of 56 subjects with adenomatous colorectal
polyposis. APC gene mosaicism was investigated on DNA from colonic adenomas by
Sanger sequencing or Whole Exome Sequencing (WES). Mosaicism extension to other
tissues (peripheral blood, saliva, hair follicles) was evaluated using Sanger
sequencing and/or digital PCR. APC second hit was investigated in adenomas from
mosaic patients. WES was performed on DNA from peripheral blood to identify
additional polyposis candidate variants. We identified APC mosaicism in 50% of
patients. In three cases mosaicism was restricted to the colon, while in one it
also extended to the duodenum and saliva. One patient without APC mosaicism,
carrying an APC in-frame deletion of uncertain significance, was found to harbor
rare germline variants in OGG1, POLQ, and EXO1 genes. In conclusion, our
restrictive selection criteria improved the detection of mosaic APC patients. In
addition, we showed for the first time that an oligogenic inheritance of rare
variants might have a cooperative role in sporadic colorectal polyposis onset.
PMID- 29367706
TI - Human beta defensin (HBD) gene copy number affects HBD2 protein levels: impact on
cervical bactericidal immunity in pregnancy.
AB - Human beta defensin 2 (HBD2) is an endogenous mucosal antimicrobial peptide (AMP)
upregulated during infection and inflammation. HBD2 is encoded by the DEFB4 gene,
which exhibits extensive copy number variation. Previous studies have
demonstrated a relationship between HBD copy number and serum HBD2 protein
levels; however, our current understanding of the influence of copy number on
mucosal AMP function remains limited. This study explores the relationship
between HBD copy number, cervicovaginal HBD2 protein levels and antimicrobial
activity in 203 women with risk factors for preterm birth. We provide evidence
that suggests HBD copy number modulates cervical antimicrobial immunity.
PMID- 29367708
TI - Ruxolitinib: a steroid sparing agent in chronic graft-versus-host disease.
AB - Inhibition of the Janus-associated kinases (JAK) with ruxolitinib (RUX) reduces
graft-versus-host disease (GVHD) in preclinical and clinical models. In total 19
allograft recipients with moderate/severe steroid-dependent chronic GVHD received
RUX as >=2nd line salvage. RUX was well tolerated, and led to complete/partial
resolution of oral (92/7%), cutaneous (82/0%), hepatic (71/28%), gastro
intestinal (75/17%), musculoskeletal (33/67%), pulmonary (0/80%), scleroderma
(0/75%), vaginal (0/75%), and ocular (0/100%) chronic GVHD. Overall 18 achieved
partial response and 1 complete response according to NIH Consensus Criteria.
Responses occurred early and were sustained which enabled discontinuation (68%)
or reduction of steroids to physiologic doses (21%). We conclude that RUX is an
effective steroid-sparing agent in chronic GVHD.
PMID- 29367707
TI - Cost of cancer diagnosis using next-generation sequencing targeted gene panels in
routine practice: a nationwide French study.
AB - It is currently unclear if next-generation sequencing (NGS) technologies can be
implemented in the diagnosis setting at an affordable cost. The aim of this study
was to measure the total cost of performing NGS in clinical practice in France,
in both germline and somatic cancer genetics.The study was performed on 15 French
representative cancer molecular genetics laboratories performing NGS panels'
tests. The production cost was estimated using a micro-costing method with
resources consumed collected in situ in each laboratory from a healthcare
provider perspective. In addition, we used a top-down methodology for specific
post-sequencing steps including bioinformatics, technical validation, and
biological validation. Additional non-specific costs were also included. Costs
were detailed per step of the process (from the pre-analytical phase to delivery
of results), and per cost driver (consumables, staff, equipment, maintenance,
overheads). Sensitivity analyses were performed.The mean total cost of NGS for
targeted gene panels was estimated to 607? (+/-207) in somatic genetics and 550?
(+/-140) in germline oncogenetic analysis. Consumables were the highest cost
driver of the sequencing process. The sensitivity analysis showed that a 25%
reduction of consumables resulted in a 15% decrease in total NGS cost in somatic
genetics, and 13% in germline analysis. Additional costs accounted for 30-32% of
the total NGS costs.Beyond cost assessment considerations, the diffusion of NGS
technologies will raise questions about their efficiency when compared to more
targeted approaches, and their added value in a context of routine diagnosis.
PMID- 29367710
TI - The Japan Marrow Donor Program, 25 years of experience in achieving 20,000 bone
marrow transplantations: organization structure, activity, and financial basis.
AB - The Japan Marrow Donor Program (JMDP), established in 1991, has continued to grow
in its capacity to facilitate unrelated bone marrow (BMT) and peripheral blood
stem cell transplantation (PBSCT) for the past 25 years in Japan. The current
donor pool is 463,465 (as of 31 December 2016) and 20,237 transplants were
performed with the help of the Japanese Red Cross, government, and supporters. As
JMDP introduced PBSCT in 2010, the vast majority of transplants are BMT. All
donors are fully typed for HLA-A, B, C, and DR. The peak age of registered donors
is around 40 years. The 8/8 HLA-matched donors are found in our registry for 96%
of the patients and 54% of the patients receive a transplant. The median time
between the initiation of donor search and the transplantation is approximately
122 days. The median interval between the initiation of donor search and
identification of the first potential donor is 40 days. The most common diseases
for which unrelated BMT/PBSCT is indicated are acute myelogenous leukemia (AML),
acute lymphocytic leukemia (ALL), myelodysplastic syndrome (MDS), and malignant
lymphoma. In recent years we have seen a marked increase in elderly patients who
received BMT.
PMID- 29367709
TI - Haploidentical allogeneic hematopoietic stem cell transplantation in patients
with high-risk soft tissue sarcomas: results of a single-center prospective
trial.
PMID- 29367711
TI - Chemotherapy with stem cell transplantation is more effective than immunotherapy
in sporadic late onset nemaline myopathy with monoclonal gammopathy.
PMID- 29367712
TI - Response to first-line treatment and histology are associated with achieving
complete remission after the first salvage high-dose chemotherapy in relapsing
germ cell tumor patients.
AB - Sequential high-dose chemotherapy (HDCT) with autologous stem cell
transplantation (ASCT) is a curative option in relapsing germ cell tumor (GCT)
patients, and complete remission (CR) after the first ASCT (early CR2) is
associated with favorable outcome. Prognostic factors predicting early CR2 have
not been investigated so far. We analyzed consecutive patients with a first
relapse of GCT treated with three sequential cycles of carboplatin/etoposide
based HDCT with ASCT in the two largest academic centers in Switzerland. The
cohort comprised 96 relapsing GCT patients, with 19 (19.8%) patients achieving
early CR2 after the first HDCT cycle. The median progression-free survival and
overall survival were not reached in patients achieving early CR2, whereas they
were 9.6 months (P = 0.0301) and 34.8 months (P = 0.0684) for patients missing
early CR2. Patients with early CR2 more often had CR1 after first-line bleomycin,
etoposide, and cisplatin chemotherapy (68.4 vs. 31.6%; P = 0.0037) and an
interval longer than 2 years between initial diagnosis and first HDCT (36.8 vs.
15.6%; P = 0.0373), but less often a histology of mixed nonseminomatous tumor
(46.8 vs. 21.1%; P = 0.0418). These data suggest that response to first-line
chemotherapy, late relapse, and histology are associated with achieving early CR2
after a first HDCT with ASCT in relapsing GCT patients.
PMID- 29367713
TI - Secondary malignancies after high-dose chemotherapy in germ cell tumor patients:
a 34-year retrospective study of the European Society for Blood and Marrow
Transplantation (EBMT).
AB - We aimed to assess the incidence and risk factors of secondary malignancy (SM) in
the young adult patients who received high-dose chemotherapy (HDCT) for germ cell
tumors (GCT). The EBMT database was interrogated. Criteria for patient selection
included adult male GCT and HDCT administered in any line of therapy. Cumulative
incidence methods were used to estimate the time-to-SM diagnosis. Univariable
Fine and Gray proportional hazard regression evaluated risk factors of SM
occurrence. From 1981 to 2015, 9153 autografts were identified. Among 5295
patients, 59 cases of SM, developed after a median follow-up of 3.8 years, were
registered. Of these patients, 23 (39%) developed hematologic SM, 34 (57.6%)
solid SM (two patients had uncoded SM). Twenty-year cumulative incidence of solid
versus hematologic SM was 4.17% (95% CI: 1.78-6.57) versus 1.37% (95% CI: 0.47
2.27). Median overall survival after SM was significantly shorter for patients
who developed hematologic SM versus solid SM (8.6 versus 34.4 months, p = 0.003).
Age older than 40 years at the time of HDCT was significantly associated with
hematologic, but not solid, SM development (p = 0.004 versus p = 0.234). SM
occurrence post-HDCT showed different patterns of incidence and mortality in GCT.
These data may be important to optimize patient selection, counseling and follow
up after HDCT.
PMID- 29367714
TI - Selected biological issues affecting relapse after stem cell transplantation:
role of T-cell impairment, NK cells and intrinsic tumor resistance.
AB - The graft vs. leukemia (GvL) effect as a method of preventing relapse is well
described after allogeneic hematopoietic cell transplantation (HCT), but the
mechanisms to this effect and how tumor sometimes develops resistance to GvL are
just beginning to be understood. This article reviews and expands upon data
presented at the Third International Workshop on Biology, Prevention and
Treatment of Relapse after Stem Cell Transplantation held in Hamburg, Germany, in
November 2016. We first discuss in detail the role that T-cell impairment early
after HCT plays in relapse by looking at data from T cell-depleted approaches as
well as the clear role that early T-cell recovery has shown in improving
outcomes. We then review key findings regarding the role of specific KIR
donor/recipient pairings that contribute to relapse prevention after HCT for
several tumor types. Finally, we discuss a unique mouse model following the
development of tumor resistance to GvL. Detailed molecular characterization of
events marking the development of tumor resistance to the immunotherapy of GvL
may help in developing future strategies to overcome immune escape.
PMID- 29367715
TI - Biomarkers in chronic graft-versus-host disease: quo vadis?
AB - Biomarkers are increasingly used for diagnosis and treatment of transplant
related complications including the first biomarker-driven interventional trials
of acute graft-versus-host disease (GvHD). In contrast, the development of
biomarkers of chronic GvHD (cGvHD) has lagged behind due to a broader variety of
manifestations, overlap with acute GvHD, a greater variation in time to onset and
maximum severity, and lack of sufficient patient numbers within prospective
trials. An international workshop organized by a North-American and European
consortium was held in Marseille in March 2017 with the goal to discuss
strategies for future biomarker development to guide cGvHD therapy. As a result
of this meeting, two areas were prioritized: the development of prognostic
biomarkers for subsequent onset of moderate/severe cGvHD, and in parallel, the
development of qualified clinical-grade assays for biomarker quantification. The
most promising prognostic serum biomarkers are CXCL9, ST2, matrix
metalloproteinase-3, osteopontin, CXCL10, CXCL11, and CD163. Urine-proteomics and
cellular subsets (CD4+ T-cell subsets, NK cell subsets, and CD19+CD21low B cells)
represent additional potential prognostic biomarkers of cGvHD. A joint effort is
required to verify the results of numerous exploratory trials before any of the
potential candidates is ready for validation and subsequent clinical application.
PMID- 29367717
TI - Sensitivity to lunar cycles prior to the 2007 eruption of Ruapehu volcano.
AB - A long-standing question in Earth Science is the extent to which seismic and
volcanic activity can be regulated by tidal stresses, a repeatable and
predictable external excitation induced by the Moon-Sun gravitational force.
Fortnightly tides, a ~14-day amplitude modulation of the daily tidal stresses
that is associated to lunar cycles, have been suggested to affect volcano
dynamics. However, previous studies found contradictory results and remain mostly
inconclusive. Here we study how fortnightly tides have affected Ruapehu volcano
(New Zealand) from 2004 to 2016 by analysing the rolling correlation between
lunar cycles and seismic amplitude recorded close to the crater. The long-term
(~1-year) correlation is found to increase significantly (up to confidence level
of 5-sigma) during the ~3 months preceding the 2007 phreatic eruption of Ruapehu,
thus revealing that the volcano is sensitive to fortnightly tides when it is
prone to explode. We show through a mechanistic model that the real-time
monitoring of seismic sensitivity to lunar cycles may help to detect the clogging
of active volcanic vents, and thus to better forecast phreatic volcanic
eruptions.
PMID- 29367716
TI - Novel insights on new particle formation derived from a pan-european observing
system.
AB - The formation of new atmospheric particles involves an initial step forming
stable clusters less than a nanometre in size (<~1 nm), followed by growth into
quasi-stable aerosol particles a few nanometres (~1-10 nm) and larger (>~10 nm).
Although at times, the same species can be responsible for both processes, it is
thought that more generally each step comprises differing chemical contributors.
Here, we present a novel analysis of measurements from a unique multi-station
ground-based observing system which reveals new insights into continental-scale
patterns associated with new particle formation. Statistical cluster analysis of
this unique 2-year multi-station dataset comprising size distribution and
chemical composition reveals that across Europe, there are different major
seasonal trends depending on geographical location, concomitant with diversity in
nucleating species while it seems that the growth phase is dominated by organic
aerosol formation. The diversity and seasonality of these events requires an
advanced observing system to elucidate the key processes and species driving
particle formation, along with detecting continental scale changes in aerosol
formation into the future.
PMID- 29367718
TI - Enhanced Thermal Conductivity of Polyimide Composites with Boron Nitride
Nanosheets.
AB - A strategy was reported to prepare boron nitride nanosheets (BNNSs) by a molten
hydroxide assisted liquid exfoliation from hexagonal boron nitride (h-BN) powder.
BNNSs with an average thickness of 3 nm were obtained by a facile, low-cost, and
scalable exfoliation method. Highly thermally conductive polyimide (PI) composite
films with BNNSs filler were prepared by solution-casting process. The in-plane
thermal conductivity of PI composite films with 7 wt% BNNSs is up to 2.95 W/mK,
which increased by 1,080% compared to the neat PI. In contrast, the out-of plane
thermal conductivity of the composites is 0.44 W/mK, with an increase by only
76%. The high anisotropy of thermal conductivity was verified to be due to the
high alignment of the BNNSs. The PI/BNNSs composite films are attractive for the
thermal management applications in the field of next-generation electronic
devices.
PMID- 29367720
TI - Innate immune alterations are elicited in microglial cells before plaque
deposition in the Alzheimer's disease mouse model 5xFAD.
AB - Alzheimer's disease (AD) is the most common form of dementia characterized by the
formation of amyloid plaques (Abeta). Over the last decade, the important role of
the innate immune system for the disease development has been established.
Chronic activation of microglial cells creates a proinflammatory environment,
which is believed to be central for the development of the disease as well as its
progression. We used the AD mouse model 5xFAD to investigate if inflammatory
alterations are present in microglial cells before plaque deposition. We applied
mass spectrometry and bioinformation analysis to elucidate early microglial
alterations. Interestingly, we found the cytokines IL1beta and IL10 to be
elevated in the 5xFAD brain after the formation of Abeta plaque at 10 weeks only.
Using mass spectrometry analysis of microglial cells with bioinformation
analysis, we found JAK/STAT, p38 MAPK and Interleukin pathways affected in
microglial cells before plaque deposition at 6 weeks. At 10 weeks, GO analysis
showed affected pathways related to interferon-gamma regulation and MAPK
pathways. Our study points toward early inflammatory changes in microglial cells
even before the accumulation of Abeta.
PMID- 29367719
TI - The cytomegalovirus protein US31 induces inflammation through mono-macrophages in
systemic lupus erythematosus by promoting NF-kappaB2 activation.
AB - It has been hypothesized that human cytomegalovirus (HCMV) infection, especially
in monocyte and CD34 (+) myeloid cells, acts as a important regulator of immune
system to promote inflammation in multiple autoimmune diseases. The aim of this
study was to elucidate the HCMV gene expression profiles in the peripheral blood
mononuclear cells (PBMCs) of SLE patients and demonstrate the effect and
mechanism of viral gene associated with SLE in mono-macrophages functions. Using
two RNA-Seq techniques in combination with RT-PCR, 11 viral genes mainly
associated with latent HCMV infection were identified in the PBMCs of SLE
patients. Among these viral genes, US31 with previously unknown function was
highly expressed in the PBMCs of SLE patients compared to healthy controls.
Analysis of function indicated that US31 expression could induce inflammation in
monocyte and macrophage and stimulate macrophage differentiation toward an M1
macrophage phenotype. Screening via protein chips in combination with
bioinformatic analysis and consequent detection of mono-macrophages function
indicates that the direct interaction between US31 and NF-kappaB2 contributed the
NF-kB2 activation. Consequent analysis indicated US31 directly interacted with NF
kappaB2, contribute to the polyubiquitination of the phosphorylated p100 and
consequent activation of NF-kappaB2. Taken together, our data uncovered a
previously unknown role of the HCMV protein US31 in inducing NF-kappaB-mediated
mono-macrophage inflammation in the pathogenesis and development of SLE. Our
findings provide a foundation for the continued investigation of novel
therapeutic targets for SLE patients.
PMID- 29367721
TI - Simultaneous realization of slow and fast acoustic waves using a fractal
structure of Koch curve.
AB - An acoustic metamaterial based on a fractal structure, the Koch curve, is
designed to simultaneously realize slow and fast acoustic waves. Owing to the
multiple transmitting paths in the structure resembling the Koch curve, the
acoustic waves travelling along different paths interfere with each other.
Therefore, slow waves are created on the basis of the resonance of a Koch-curve
shaped loop, and meanwhile, fast waves even with negative group velocities are
obtained due to the destructive interference of two acoustic waves with opposite
phases. Thus, the transmission of acoustic wave can be freely manipulated with
the Koch-curve shaped structure.
PMID- 29367722
TI - Slow moving neural source in the epileptic hippocampus can mimic progression of
human seizures.
AB - Fast and slow neural waves have been observed to propagate in the human brain
during seizures. Yet the nature of these waves is difficult to study in a
surgical setting. Here, we report an observation of two different traveling waves
propagating in the in-vitro epileptic hippocampus at speeds similar to those in
the human brain. A fast traveling spike and a slow moving wave were recorded
simultaneously with a genetically encoded voltage sensitive fluorescent protein
(VSFP Butterfly 1.2) and a high speed camera. The results of this study indicate
that the fast traveling spike is NMDA-sensitive but the slow moving wave is not.
Image analysis and model simulation demonstrate that the slow moving wave is
moving slowly, generating the fast traveling spike and is, therefore, a moving
source of the epileptiform activity. This slow moving wave is associated with a
propagating neural calcium wave detected with calcium dye (OGB-1) but is
independent of NMDA receptors, not related to ATP release, and much faster than
those previously recorded potassium waves. Computer modeling suggests that the
slow moving wave can propagate by the ephaptic effect like epileptiform activity.
These findings provide an alternative explanation for slow propagation seizure
wavefronts associated with fast propagating spikes.
PMID- 29367723
TI - Double-layered protein nanoparticles induce broad protection against divergent
influenza A viruses.
AB - Current influenza vaccines provide limited protection against circulating
influenza A viruses. A universal influenza vaccine will eliminate the intrinsic
limitations of the seasonal flu vaccines. Here we report methodology to generate
double-layered protein nanoparticles as a universal influenza vaccine. Layered
nanoparticles are fabricated by desolvating tetrameric M2e into protein
nanoparticle cores and coating these cores by crosslinking headless HAs.
Representative headless HAs of two HA phylogenetic groups are constructed and
purified. Vaccinations with the resulting protein nanoparticles in mice induces
robust long-lasting immunity, fully protecting the mice against challenges by
divergent influenza A viruses of the same group or both groups. The results
demonstrate the importance of incorporating both structure-stabilized HA stalk
domains and M2e into a universal influenza vaccine to improve its protective
potency and breadth. These potent disassemblable protein nanoparticles indicate a
wide application in protein drug delivery and controlled release.
PMID- 29367724
TI - Elimination of stem-like cancer cell side-population by auranofin through
modulation of ROS and glycolysis.
AB - Cancer side-population (SP) represents a sub-population of stem-like cancer cells
that have an important role in drug resistance due to their high expression of
the ATP-binding cassette transporter ABCG2 involved in drug export. Auranofin
(AF), a clinical drug of gold complex that is used in treatment of rheumatoid
arthritis, has been reported inducing tumor antiproliferation. However, whether
AF can impact SP cells remains unclear. Our study showed that AF caused a
depletion of SP cells and a downregulation of stem cell markers, and impaired
their ability to form tumor colonies in vitro and incidence to develop tumors in
vivo of lung cancer cells. Reactive oxygen species (ROS) had an important role in
mediating AF-induced depletion of SP cells, which could be reversed by
antioxidant NAC. Further study revealed that AF could also cause ATP depletion by
inhibition of glycolysis. The depletion of cellular ATP might impair the function
of ABCG2 pump, leading to increased drug accumulation within the cells and thus
enhancing anticancer activity of chemotherapeutic agents such as adriamycin.
Synergistic effect of AF and adriamycin was demonstrated both in vitro and in
vivo. Simultaneous increase of ROS and inhibition of glycolysis is a novel
strategy to eliminate stem-like cancer cells. Combination of AF with adriamycin
seems to be promising to enhance therapeutic effectiveness.
PMID- 29367725
TI - Omentectomy Prevents Metabolic Syndrome By Reducing Appetite and Body Weight In A
Diet-Induced Obesity Rat Model.
AB - Visceral fat deposition is associated with impairment of glucose and lipid
metabolism while leptin levels are frequently related to subcutaneous fat area.
At present, there is considerable controversy regarding the role of visceral
adipose tissue accumulation in the development of metabolic syndrome (MS). Here
we show the effects of omentectomy on the liver and MS in a diet induced obesity
rat model. Our results reveal that undergoing omentectomy previously the
establishment of the diet-induced-obesity reduced significantly body weight gain
and avoid the development of MS, including non-alcoholic fatty liver disease.
Intriguingly, the significantly lower body weight gain was due to decreased food
intake. Omentum drives obesity progression through leptin resistance mediated by
C-reactive protein, Interleucin (IL)-6 and high lipolysis activity. Omentum
removal reversed immediately the increased plasma levels of CRP and IL-6 and
gradually food intake, weight gain, and features of MS in diet-induced-obesity.
Omentectomy caused no changes in normal-weigh-rats. This report displays causal
mechanism by which omentum promotes obesity and propose omentectomy as a
promising procedure in MS prevention.
PMID- 29367726
TI - Genome editing for dissecting and curing human genetic diseases.
PMID- 29367727
TI - Verification of Argentine ant defensive compounds and their behavioral effects on
heterospecific competitors and conspecific nestmates.
AB - The invasive Argentine ant (Linepithema humile) has become established worldwide
in regions with Mediterranean or subtropical climates. The species typically
disrupts the balance of natural ecosystems by competitively displacing some
native ant species via strong exploitation and interference competition. Here we
report that Argentine ants utilize glandular secretions for inter and intra
specific communications during aggressive interactions with a heterospecific
competitor, California harvester ant (Pogonomyrmex californicus). Chemical
analyses indicated that Argentine ants deploy glandular secretions containing two
major volatile iridoids, dolichodial and iridomyrmecin, on the competitor's
cuticular surface during aggressive interactions. Bioassays indicated that the
glandular secretions function as a defensive allomone, causing high levels of
irritation in the heterospecific. Furthermore, the same glandular secretions
elicited alarm and attraction of conspecific nestmates, potentially enabling more
rapid/coordinated defense by the Argentine ants. Two major volatile constituents
of the glandular secretion, dolichodial and iridomyrmecin, were sufficient to
elicit these responses in conspecifics (as a mixture or individual compounds).
The current study suggests that invasive Argentine ants' superior exploitation
and interference competition may rely on the species' effective semiochemical
parsimony.
PMID- 29367728
TI - Combined analysis of the salivary microbiome and host defence peptides predicts
dental disease.
AB - Understanding the triad of host response, microbiome and disease status is
potentially informative for disease prediction, prevention, early intervention
and treatment. Using longitudinal assessment of saliva and disease status, we
demonstrated that partial least squares modelling of microbial, immunological and
clinical measures, grouped children according to future dental disease status.
Saliva was collected and dental health assessed in 33 children aged 4 years, and
again 1-year later. The composition of the salivary microbiome was assessed and
host defence peptides in saliva were quantified. Principal component analysis of
the salivary microbiome indicated that children clustered by age and not disease
status. Similarly, changes in salivary host defence peptides occurred with age
and not in response to, or preceding dental caries. Partial least squares
modelling of microbial, immunological and clinical baseline measures clustered
children according to future dental disease status. These data demonstrate that
isolated evaluation of the salivary microbiome or host response failed to predict
dental disease. In contrast, combined assessment of both host response together
with the microbiome revealed clusters of health and disease. This type of
approach is potentially relevant to myriad diseases that are modified by host
microbiome interactions.
PMID- 29367729
TI - Ethanolic extract of the fungus Trichoderma stromaticum decreases inflammation
and ameliorates experimental cerebral malaria in C57BL/6 mice.
AB - Increased resistance to the first-line treatment against P. falciparum malaria,
artemisinin-based combination therapies, has been reported. Here, we tested the
effect of crude ethanolic extract of the fungus Trichoderma stromaticum (Ext-Ts)
on the growth of P. falciparum NF54 in infected human red blood cells (ihRBCs)
and its anti-malarial and anti-inflammatory properties in a mouse model of
experimental cerebral malaria. For this purpose, ihRBCs were treated with Ext-Ts
and analysed for parasitaemia; C57BL/6 mice were infected with P. berghei ANKA
(PbA), treated daily with Ext-Ts, and clinical, biochemical, histological and
immunological features of the disease were monitored. It was observed that Ext-Ts
presented a dose-dependent ability to control P. falciparum in ihRBCs. In
addition, it was demonstrated that Ext-Ts treatment of PbA-infected mice was able
to increase survival, prevent neurological signs and decrease parasitaemia at the
beginning of infection. These effects were associated with systemically decreased
levels of lipids and IFN-gamma, ICAM-1, VCAM-1 and CCR5 cerebral expression,
preserving blood brain barrier integrity and attenuating the inflammatory lesions
in the brain, liver and lungs. These results suggest that Ext-Ts could be a
source of immunomodulatory and antimalarial compounds that could improve the
treatment of cerebral malaria.
PMID- 29367730
TI - Effects of temperature and photosynthetically active radiation on virioplankton
decay in the western Pacific Ocean.
AB - In this study, we investigated virioplankton decay rates and their responses to
changes in temperature and photosynthetically active radiation (PAR) in the
western Pacific Ocean. The mean decay rates for total, high-fluorescence, and low
fluorescence viruses were 1.64 +/- 0.21, 2.46 +/- 0.43, and 1.57 +/- 0.26% h-1,
respectively. Higher temperatures and PAR increased viral decay rates, and the
increases in the decay rates of low-fluorescence viruses were greater than those
of high-fluorescence viruses. Our results revealed that low-fluorescence viruses
are more sensitive to warming and increasing PAR than are high-fluorescence
viruses, which may be related to differences in their biological characteristics,
such as the density of packaged nucleic acid materials. Our study provided
experimental evidence for the responses of natural viral communities to changes
in global environmental factors (e.g., temperature and solar radiation).
PMID- 29367731
TI - Vitamin D status in irritable bowel syndrome and the impact of supplementation on
symptoms: what do we know and what do we need to know?
AB - BACKGROUND: Low vitamin D status is associated with risk of colorectal cancer and
has been implicated in inflammatory bowel disease. Irritable bowel syndrome (IBS)
is a chronic, relapsing, functional bowel disorder. A nascent literature suggests
a role for vitamin D in IBS, but this has not been collated or critiqued. To
date, seven studies have been published: four observational studies and three
randomised controlled trials (RCTs). All observational studies reported that a
substantial proportion of the IBS population was vitamin D deficient. Two
intervention studies reported improvement in IBS symptom severity scores and
quality of life (QoL) with vitamin D supplementation. There are limited data
around the role of vitamin D in IBS. CONCLUSIONS: The available evidence suggests
that low vitamin D status is common among the IBS population and merits
assessment and rectification for general health reasons alone. An inverse
correlation between serum vitamin D and IBS symptom severity is suggested and
vitamin D interventions may benefit symptoms. However, the available RCTs do not
provide strong, generalisable evidence; larger and adequately powered
interventions are needed to establish a case for therapeutic application of
vitamin D in IBS.
PMID- 29367732
TI - Associations between 25-hydroxyvitamin D levels, body composition and metabolic
profiles in young women.
AB - BACKGROUND/OBJECTIVES: Cardiovascular disease (CVD) is a major cause of mortality
and morbidity globally. Results from previous studies are inconsistent and it
remains unclear whether low-serum 25 OHD levels are associated with an increased
risk of CVD. These associations have been little studied in young women. The aim
of this study was to assess the relationship between serum 25 OHD and obesity,
body composition, metabolic profiles and blood pressure in young women.
SUBJECTS/METHODS: Women aged 16-25 years living in Victoria, Australia, were
recruited through Facebook advertising in this cross-sectional study.
Participants completed an online survey and attended a site visit in a fasted
state, where parameters, including blood pressure, anthropometry, metabolic
profiles, serum 25 OHD levels and body composition (using dual energy X-ray
absorptiometry) were measured. RESULTS: A total of 557 participants were
recruited into this study. Multiple linear regression analysis showed that after
adjusting for visceral fat, season, smoking, physical activity, age, alcohol
intake, oral contraceptive use, country of birth, taking multivitamins and taking
vitamin D supplement, a 10 nmol/L increase in 25 OHD levels was associated with
0.65% greater HDL levels (p = 0.016) and 0.92% greater triglyceride levels (p =
0.003). It was also associated with 0.48% lower BMI (p < 0.001), 0.50% lower
total fat percentage (p < 0.001), 0.09% lower visceral fat percentage (p <
0.001), 0.14% lower visceral fat to total fat ratio (p < 0.001) and 0.36% lower
trunk fat to total fat ratio (p < 0.001), after adjustment for season, smoking,
physical activity, age, alcohol intake, oral contraceptive use, country of birth,
taking multivitamins and taking vitamin D supplements. Although these
associations were statistically significant, they were very small in magnitude
and of uncertain clinical significance. CONCLUSIONS: These findings may help to
explain an association between 25 OHD levels and CVD risk factors through
associations with HDL, BMI, total body and visceral fat mass. Possible underlying
mechanisms warrant further investigation.
PMID- 29367733
TI - Skipping breakfast is associated with lower diet quality in young US children.
AB - BACKGROUND/OBJECTIVES: Breakfast consumption has been shown to impact children's
growth and development, but the influence of breakfast skipping on total daily
intakes is not known. The purpose of this study was to examine the differences in
nutritional intakes and food consumption between children who consume breakfast
versus those who do not. SUBJECTS/METHODS: Dietary recall data were assessed for
2-year-old to 5-year-old children (n = 3443) and 6-year-old to 12-year-old
children (n = 5147) from NHANES 2005-2012. Dietary intakes and diet quality
scores were compared across breakfast consumption and skipping with means and 95%
confidence interval. RESULTS: Children who skipped breakfast on the day of intake
had significantly lower energy intakes for the total day (5911 vs 6723 kJ) but
had greater energy intakes from non-breakfast meals and snacks. Children who
skipped breakfast consumed nearly 40% of the day's intake (2332 kJ of 5911 kJ)
from snacks, with 586 kJ from snacks as added sugars. Breakfast skipping was also
related to significantly lower intakes of fiber, folate, iron, and calcium
intakes. Overall diet quality scores, as well as fruit, whole fruit, whole
grains, dairy and empty calorie subscale scores were significantly better in
children who ate breakfast. CONCLUSIONS: Children who skipped breakfast presented
poorer overall diet quality and lower total intakes commonly obtained at
breakfast. To address missed opportunities, nutrition professionals should
encourage children's consumption of a nutritious breakfast to support overall
diet quality.
PMID- 29367734
TI - Variants of the 5'-terminal region of p53 mRNA influence the ribosomal scanning
and translation efficiency.
AB - The p53 protein is one of the major cell cycle regulators. The protein is
expressed as at least twelve protein isoforms resulting from the use of
alternative promoters, alternative splicing or downstream initiation codons.
Importantly, there is growing evidence that translation initiation of p53 mRNA
may be regulated by the structure and length of the naturally occurring variants
of the 5'-terminal region of p53 mRNA transcripts. Here, several mRNA constructs
were synthesized with variable length of the p53 5'-terminal regions and encoding
luciferase reporter protein, and their translation was monitored continuously in
situ in a rabbit reticulocyte lysate system. Moreover, four additional mRNA
constructs were prepared. In two constructs, the structural context of AUG1
initiation codon was altered while in the other two constructs, characteristic
hairpin motifs present in the p53 5'-terminal region were changed. Translation of
the last two constructs was also performed in the presence of the cap analogue to
test the function of the 5'-terminal region in cap-independent translation
initiation. Superposition of several structural factors connected with the length
of the 5'-terminal region, stable elements of the secondary structure, structural
environment of the initiation codon and IRES elements greatly influenced the
ribosomal scanning and translation efficiency.
PMID- 29367735
TI - A genome-wide association study in the Japanese population identifies the 12q24
locus for habitual coffee consumption: The J-MICC Study.
AB - Coffee is one of the most widely consumed beverages worldwide, and its role in
human health has received much attention. Although genome-wide association
studies (GWASs) have investigated genetic variants associated with coffee
consumption in European populations, no such study has yet been conducted in an
Asian population. Here, we conducted a GWAS to identify common genetic variations
that affected coffee consumption in a Japanese population of 11,261 participants
recruited as a part of the Japan Multi-Institutional Collaborative Cohort (J
MICC) study. Coffee consumption was collected using a self-administered
questionnaire, and converted from categories to cups/day. In the discovery stage
(n = 6,312), we found 2 independent loci (12q24.12-13 and 5q33.3) that met
suggestive significance (P < 1 * 10-6). In the replication stage (n = 4,949), the
lead variant for the 12q24.12-13 locus (rs2074356) was significantly associated
with habitual coffee consumption (P = 2.2 * 10-6), whereas the lead variant for
the 5q33.3 locus (rs1957553) was not (P = 0.53). A meta-analysis of the discovery
and replication populations, and the combined analysis using all subjects,
revealed that rs2074356 achieved genome-wide significance (P = 2.2 * 10-16 for a
meta-analysis). These findings indicate that the 12q24.12-13 locus is associated
with coffee consumption among a Japanese population.
PMID- 29367736
TI - Statins do not increase Markers of Cerebral Angiopathies in patients with
Cardioembolic Stroke.
AB - We investigated whether pre-treatment with statins is associated with surrogate
markers of amyloid and hypertensive angiopathies in patients who need to start
long-term oral anticoagulation therapy. A prospective multicenter study of
patients naive for oral anticoagulants, who had an acute cardioembolic stroke.
MRI was performed at admission to evaluate microbleeds, leukoaraiosis and
superficial siderosis. We collected data on the specific statin compound, the
dose and the statin intensity. We performed bivariate analyses and a logistic
regression to investigate variables associated with microbleeds. We studied 470
patients (age 77.5 +/- 6.4 years, 43.7% were men), and 193 (41.1%) of them
received prior treatment with a statin. Microbleeds were detected in 140 (29.8%),
leukoaraiosis in 388 (82.5%) and superficial siderosis in 20 (4.3%) patients. The
presence of microbleeds, leukoaraiosis or superficial siderosis was not related
to pre-treatment with statins. Microbleeds were more frequent in patients with
prior intracerebral hemorrhage (OR 9.7, 95% CI 1.06-90.9) and in those pre
treated antiplatelets (OR 1.66, 95% CI 1.09-2.53). Prior treatment with statins
was not associated with markers of bleeding-prone cerebral angiopathies in
patients with cardioembolic stroke. Therefore, previous statin treatment should
not influence the decision to initiate or withhold oral anticoagulation if these
neuroimaging markers are detected.
PMID- 29367737
TI - miR-375 is involved in Hippo pathway by targeting YAP1/TEAD4-CTGF axis in gastric
carcinogenesis.
AB - miR-375 is a tumor-suppressive microRNA (miRNA) in gastric cancer (GC). However,
its molecular mechanism remains unclear. The aim of this study is to
comprehensively investigate how miR-375 is involved in Hippo pathway by targeting
multiple oncogenes. miR-375 expression in gastric cancer cell lines and primary
GC was investigated by qRT-PCR. The regulation of YAP1, TEAD4, and CTGF
expression by miR-375 was evaluated by qRT-PCR, western blot, and luciferase
reporter assays, respectively. The functional roles of the related genes were
examined by siRNA-mediated knockdown or ectopic expression assays. The clinical
significance and expression correlation analysis of miR-375, YAP1, and CTGF were
performed in primary GCs. TCGA cohort was also used to analyze the expression
correlation of YAP1, TEAD4, CTGF, and miR-375 in primary GCs. miR-375 was down
regulated in GC due to promoter methylation and histone deacetylation. miR-375
downregulation was associated with unfavorable outcome and lymph node metastasis.
Ectopic expression of miR-375 inhibited tumor growth in vitro and in vivo. Three
components of Hippo pathway, YAP1, TEAD4 and CTGF, were revealed to be direct
targets of miR-375. The expression of three genes showed a negative correlation
with miR-375 expression and YAP1 re-expression partly abolished the tumor
suppressive effect of miR-375. Furthermore, CTGF was confirmed to be the key
downstream of Hippo-YAP1 cascade and its knockdown phenocopied siYAP1 or miR-375
overexpression. YAP1 nuclear accumulation was positively correlated with CTGF
cytoplasmic expression in primary GC tissues. Verteporfin exerted an anti
oncogenic effect in GC cell lines by quenching CTGF expression through YAP1
degradation. In short, miR-375 was involved in the Hippo pathway by targeting
YAP1-TEAD4-CTGF axis and enriched our knowledge on the miRNA dysregulation in
gastric tumorigenesis.
PMID- 29367738
TI - Differential effects of reticulophagy and mitophagy on nonalcoholic fatty liver
disease.
AB - Autophagy affects the pathological progression of non-alcoholic fatty liver
disease (NAFLD); however, the precise role of autophagy in NAFLD remains unclear.
In this study, we want to identify the role of autophagy including reticulophagy
and mitophagy in NAFLD pathogenesis. When HepG2 cells were treated with 400 MUM
oleic acid (OA), increased reticulophagy was induced 8 h after treatment, which
correlated with an anti-apoptotic response as shown by the activation of the
PI3K/AKT pathway, an increase in BCL-2 expression, and the downregulation of OA
induced lipotoxicity. When treated with OA for 24 h, DRAM expression-dependent
mitophagy resulted in increased apoptosis in HepG2 cells. Inhibition of
reticulophagy aggravated and increased lipotoxicity-induced apoptosis 8 h after
treatment; however, the inhibition of mitophagy decreased hepatocyte apoptosis
after 24 h of OA treatment. Results from the analysis of patient liver samples
showed that autophagic flux increased in patients with mild or severe NAFL.
PI3K/AKT phosphorylation was observed only in samples from patients with low
grade steatosis, whereas DRAM expression was increased in samples from patients
with high-grade steatosis. Together, our results demonstrate that reticulophagy
and mitophagy are independent, sequential events that influence NAFLD
progression, which opens new avenues for investigating new therapeutics in NAFLD.
PMID- 29367739
TI - Mixed pyruvate labeling enables backbone resonance assignment of large proteins
using a single experiment.
AB - Backbone resonance assignment is a critical first step in the investigation of
proteins by NMR. This is traditionally achieved with a standard set of
experiments, most of which are not optimal for large proteins. Of these, HNCA is
the most sensitive experiment that provides sequential correlations. However,
this experiment suffers from chemical shift degeneracy problems during the
assignment procedure. We present a strategy that increases the effective
resolution of HNCA and enables near-complete resonance assignment using this
single HNCA experiment. We utilize a combination of 2-13C and 3-13C pyruvate as
the carbon source for isotope labeling, which suppresses the one bond
(1Jalphabeta) coupling providing enhanced resolution for the Calpha resonance and
amino acid-specific peak shapes that arise from the residual coupling. Using this
approach, we can obtain near-complete (>85%) backbone resonance assignment of a
42 kDa protein using a single HNCA experiment.
PMID- 29367741
TI - Population genetic structure of Diaphorina citri Kuwayama (Hemiptera: Liviidae):
host-driven genetic differentiation in China.
AB - The Asian citrus psyllid Diaphorina citri Kuwayama is a major pest in citrus
production, transmitting Candidatus Liberibacter asiaticus. It has spread widely
across eastern and southern China. Unfortunately, little is known about the
genetic diversity and population structure of D. citri, making pest control
difficult. In this study, nine specifically developed SSR markers and three known
mitochondrial DNA were used for population genetics study of D. citri using 225
samples collected from all 7 distribution regions in China. Based on the SSR
data, D. citri was found highly diverse with a mean observed heterozygosity of
0.50, and three subgroups were structured by host plant: (i) Shatangju, NF
mandarin and Ponkan; (ii) Murraya paniculata and Lemon; (iii) Citrus unshiu,
Bingtangcheng, Summer orange and Navel. No significant genetic differences were
found with mtDNA data. We suggested the host-associated divergence is likely to
have occurred very recently. A unimodal distribution of paired differences, the
negative and significant Tajima's D and Fu's F S parameters among mtDNA suggested
a recent demographic expansion. The extensive citrus cultivation and increased
suitable living habitat was recommended as a key for this expansion event.
PMID- 29367740
TI - Combined chemical genetics and data-driven bioinformatics approach identifies
receptor tyrosine kinase inhibitors as host-directed antimicrobials.
AB - Antibiotic resistance poses rapidly increasing global problems in combatting
multidrug-resistant (MDR) infectious diseases like MDR tuberculosis, prompting
for novel approaches including host-directed therapies (HDT). Intracellular
pathogens like Salmonellae and Mycobacterium tuberculosis (Mtb) exploit host
pathways to survive. Only very few HDT compounds targeting host pathways are
currently known. In a library of pharmacologically active compounds (LOPAC)-based
drug-repurposing screen, we identify multiple compounds, which target receptor
tyrosine kinases (RTKs) and inhibit intracellular Mtb and Salmonellae more
potently than currently known HDT compounds. By developing a data-driven in
silico model based on confirmed targets from public databases, we successfully
predict additional efficacious HDT compounds. These compounds target host RTK
signaling and inhibit intracellular (MDR) Mtb. A complementary human kinome siRNA
screen independently confirms the role of RTK signaling and kinases (BLK, ABL1,
and NTRK1) in host control of Mtb. These approaches validate RTK signaling as a
drugable host pathway for HDT against intracellular bacteria.
PMID- 29367742
TI - Young and healthy C57BL/6 J mice performing sprint interval training reveal
gender- and site-specific changes to the cortical bone.
AB - Physical exercise is considered to impede the bone loss associated with
physiological ageing however, a training program that efficiently leads to bone
accrual in the healthy does not yet exist. We turned to the C57BL/6 J mouse and
designed a sprint interval training for treadmill that was tailored to the
individual performance limits. It consisted of four weeks with five training
sessions each, followed by another four weeks with three. After completion of the
training period, mice were sacrificed and the hind legs were analyzed via uCT and
MRI for changes in bone parameters and muscle volume, respectively. Increased
performance limits in both sexes confirmed an effect of the treadmill training.
However, while male tibiae after eight weeks revealed a significant reduction of
cortical bone mass at the distal metaphysis, the cross sectional analysis of
female tibiae showed a transient decrease of cortical bone mass after four weeks
that was reversed into a significant accrual after eight weeks of training and
occurred over the entire length of the tibia. The observed net reduction of
female bone mass after four weeks of training is suggestive of a remodelling
process which may be delayed in the males.
PMID- 29367743
TI - Vaccine vectors based on Adenovirus 19a/64 exhibit broad cellular tropism and
potently restimulate HCMV-specific T cell responses ex vivo.
AB - Human Cytomegalovirus (HCMV) remains a major health burden and the development of
a vaccine is a global priority. We developed new viral vectors delivering the T
cell immunogens IE-1 and pp65 based on Adenovirus 19a/64 (Ad19a/64), a member of
subgroup D. In this ex vivo study, the novel vectors were compared side by side
to Ad5 or modified Vaccinia Ankara (MVA) strains expressing the same transgenes.
We found that unlike Ad5, Ad19a/64 vectors readily transduce a broad panel of
immune cells, including monocytes, T cells, NK cells and monocyte-derived
dendritic cells (moDCs). Both Ad19a/64- and MVA-transduced moDCs efficiently
restimulated IE-1 or pp65-specific T cells but MVA induced a higher amount of
cytotoxicity in this cell type. Ad5 and Ad19 induced upregulation of CD86 and HLA
DR in moDCs whereas expression of CD80 and CD83 was largely unaltered. By
contrast, MVA transduction led to downregulation of all markers. Taken together,
our data demonstrate that Ad19a/64 is a promising vector for the delivery of HCMV
immunogens since it transduces dendritic cells with an efficiency that is
comparable to MVA, but cytotoxicity and interference with dendritic cell
maturation are less pronounced.
PMID- 29367744
TI - Overexpression of parkin protects retinal ganglion cells in experimental
glaucoma.
AB - Glaucoma is a leading cause of irreversible blindness and characterized by
progressive damage of retinal ganglion cells (RGCs). Growing evidences have
linked impaired mitophagy with neurodegenerative diseases, while the E3 ubiquitin
ligase parkin may play a key role. However, the pathophysiological relationship
between parkin and glaucoma remains largely unknown. Using chronic hypertensive
glaucoma rats induced by translimbal laser photocoagulation, we show here that
the protein level of parkin and its downstream optineurin proteins were increased
in hypertensive retinas. The ratio of LC3-II to LC3-I, the number of
mitophagosomes, and unhealthy mitochondria were increased in hypertensive optic
nerves. Overexpression of parkin by viral vectors increased RGC survival in
glaucomatous rats in vivo and under excitotoxicity in vitro. It also promoted
optineurin expression and improved mitochondrial health. In parkin-overexpressed
glaucomatous rats, the ratio of LC3-II to LC3-I, LAMP1 level, and the number of
mitophagosomes in optic nerve were decreased at 3 days, yet increased at 2 weeks
following intraocular pressure (IOP) elevation. These findings demonstrate that
dysfunction of mitophagy exist in RGCs of glaucomatous rats. Overexpression of
parkin exerted a significant protective effect on RGCs and partially restored
dysfunction of mitophagy in response to cumulative IOP elevation.
PMID- 29367745
TI - A systematic analysis of nucleosome core particle and nucleosome-nucleosome
stacking structure.
AB - Chromatin condensation is driven by the energetically favourable interaction
between nucleosome core particles (NCPs). The close NCP-NCP contact, stacking, is
a primary structural element of all condensed states of chromatin in vitro and in
vivo. However, the molecular structure of stacked nucleosomes as well as the
nature of the interactions involved in its formation have not yet been
systematically studied. Here we undertake an investigation of both the structural
and physico-chemical features of NCP structure and the NCP-NCP stacking. We
introduce an "NCP-centred" set of parameters (NCP-NCP distance, shift, rise,
tilt, and others) that allows numerical characterisation of the mutual positions
of the NCPs in the stacking and in any other structures formed by the NCP. NCP
stacking in more than 140 published NCP crystal structures were analysed. In
addition, coarse grained (CG) MD simulations modelling NCP condensation was
carried out. The CG model takes into account details of the nucleosome structure
and adequately describes the long range electrostatic forces as well as excluded
volume effects acting in chromatin. The CG simulations showed good agreement with
experimental data and revealed the importance of the H2A and H4 N-terminal tail
bridging and screening as well as tail-tail correlations in the stacked
nucleosomes.
PMID- 29367746
TI - Contrasting maternal and paternal genetic variation of hunter-gatherer groups in
Thailand.
AB - The Maniq and Mlabri are the only recorded nomadic hunter-gatherer groups in
Thailand. Here, we sequenced complete mitochondrial (mt) DNA genomes and ~2.364
Mbp of non-recombining Y chromosome (NRY) to learn more about the origins of
these two enigmatic populations. Both groups exhibited low genetic diversity
compared to other Thai populations, and contrasting patterns of mtDNA and NRY
diversity: there was greater mtDNA diversity in the Maniq than in the Mlabri,
while the converse was true for the NRY. We found basal uniparental lineages in
the Maniq, namely mtDNA haplogroups M21a, R21 and M17a, and NRY haplogroup K.
Overall, the Maniq are genetically similar to other negrito groups in Southeast
Asia. By contrast, the Mlabri haplogroups (B5a1b1 for mtDNA and O1b1a1a1b and
O1b1a1a1b1a1 for the NRY) are common lineages in Southeast Asian non-negrito
groups, and overall the Mlabri are genetically similar to their linguistic
relatives (Htin and Khmu) and other groups from northeastern Thailand. In
agreement with previous studies of the Mlabri, our results indicate that the
Malbri do not directly descend from the indigenous negritos. Instead, they likely
have a recent origin (within the past 1,000 years) by an extreme founder event
(involving just one maternal and two paternal lineages) from an agricultural
group, most likely the Htin or a closely-related group.
PMID- 29367747
TI - Ultrafast quantum beats of anisotropic excitons in atomically thin ReS2.
AB - Quantum beats, periodic oscillations arising from coherent superposition states,
have enabled exploration of novel coherent phenomena. Originating from strong
Coulomb interactions and reduced dielectric screening, two-dimensional transition
metal dichalcogenides exhibit strongly bound excitons either in a single
structure or hetero-counterpart; however, quantum coherence between excitons is
barely known to date. Here we observe exciton quantum beats in atomically thin
ReS2 and further modulate the intensity of the quantum beats signal.
Surprisingly, linearly polarized excitons behave like a coherently coupled three
level system exhibiting quantum beats, even though they exhibit anisotropic
exciton orientations and optical selection rules. Theoretical studies are also
provided to clarify that the observed quantum beats originate from pure quantum
coherence, not from classical interference. Furthermore, we modulate on/off
quantum beats only by laser polarization. This work provides an ideal laboratory
toward polarization-controlled exciton quantum beats in two-dimensional
materials.
PMID- 29367748
TI - Transport of Photonic Bloch Wave in Arrayed Two-Level Atoms.
AB - In a quantum system of arrayed two-level atoms interacting with light, the
interacted (dressed) photon is propagating in a periodic medium and its
eigenstate ought to be of Bloch type with lattice symmetry. As the energy of
photon is around the spacing between the two atomic energy levels, the photon
will be absorbed and is not in the propagating mode but the attenuated mode.
Therefore an energy gap exists in the dispersion relation of the photonic Bloch
wave of dressed photon in addition to the nonlinear behaviors due to atom-light
interactions. There follows several interesting results which are distinct from
those obtained through a linear dispersion relation of free photon. For example,
slow light can exist, the density of state of dressed photon is non-Lorentzian
and is very large around the energy gap; the Rabi oscillations become
monotonically decreasing in some cases; and besides the superradiance occurs at
long wavelengths, the spontaneous emission is also very strong near the energy
gap because of the high density of state.
PMID- 29367749
TI - Glucansucrase (mutant) enzymes from Lactobacillus reuteri 180 efficiently
transglucosylate Stevia component rebaudioside A, resulting in a superior taste.
AB - Steviol glycosides from the leaves of the plant Stevia rebaudiana are high
potency natural sweeteners but suffer from a lingering bitterness. The
Lactobacillus reuteri 180 wild-type glucansucrase Gtf180-DeltaN, and in
particular its Q1140E-mutant, efficiently alpha-glucosylated rebaudioside A
(RebA), using sucrose as donor substrate. Structural analysis of the products by
MALDI-TOF mass spectrometry, methylation analysis and NMR spectroscopy showed
that both enzymes exclusively glucosylate the Glc(beta1->C-19 residue of RebA,
with the initial formation of an (alpha1->6) linkage. Docking of RebA in the
active site of the enzyme revealed that only the steviol C-19 beta-D-glucosyl
moiety is available for glucosylation. Response surface methodology was applied
to optimize the Gtf180-DeltaN-Q1140E-catalyzed alpha-glucosylation of RebA,
resulting in a highly productive process with a RebA conversion of 95% and a
production of 115 g/L alpha-glucosylated products within 3 h. Development of a
fed-batch reaction allowed further suppression of alpha-glucan synthesis which
improved the product yield to 270 g/L. Sensory analysis by a trained panel
revealed that glucosylated RebA products show a significant reduction in
bitterness, resulting in a superior taste profile compared to RebA. The Gtf180
DeltaN-Q1140E glucansucrase mutant enzyme thus is an efficient biocatalyst for
generating alpha-glucosylated RebA variants with improved edulcorant/organoleptic
properties.
PMID- 29367750
TI - Methylphenidate regulation of osteoclasts in a dose- and sex-dependent manner
adversely affects skeletal mechanical integrity.
AB - Methylphenidate (MP) is the most prescribed psychostimulant for ADHD patients,
with clinically demonstrated detrimental effects on bone quality, potentially
leading to early onset osteoporosis and higher fracture risk. The underlying
mechanism for the effects of MP on bone remains elusive. This study demonstrates
that sex- and dose-dependent effects of MP on bone quality and quantity are
mediated by osteoclast activity. Four-week-old male and female rats were treated
with low and high dose MP for 13 weeks. Bone quality and quantity were analyzed
using microCT, mechanical testing, histomorphometry, and TRAP staining. Male and
female rat bone marrow-derived osteoclasts were treated in a dose-dependent
manner (0-1000 ng/ml) and osteoclast activity was determined at days 5, 7, and 14
using TRAP staining, as well as a pit formation assay at day 18. Animal studies
showed a dose- and a sex-dependent decrease in mechanical integrity in femora and
increased TRAP staining in MP-treated rats. Primary cultures revealed that MP had
direct dose- and sex-dependent effects on osteoclast activity, as seen by
increased differentiation, activity, and resorption. This study demonstrates for
the first time that osteoclasts are differentially regulated by MP in adolescent
male and female rats, resulting in sex-dependent effects on the skeleton.
PMID- 29367751
TI - Controllable population dynamics in Landau-quantized graphene.
AB - In this paper, we carry out a theoretical investigation on the population
dynamics of graphene system under continuous-wave (cw) laser and chirped pulse
excitation. Results of our numerical simulations reveal that complete population
transfer from an initially occupied ground state to the initially unoccupied
excited states can be achieved by choosing appropriate values of the chirp rate,
the laser field intensity and frequency, as well as other system parameters.
Also, we observe coherent Rabi-like population oscillations between the initial
ground state and the excited final state. It is induced by the combined effect of
cw and chirped-pulse laser fields. These results will contribute to the
understanding of carrier-carrier and carrier-phonon interactions in graphene
system, and may find applications in graphene-based high-speed electronic and
optoelectronic devices.
PMID- 29367753
TI - Author Correction: Orientational Mapping Augmented Sub-Wavelength Hyper-Spectral
Imaging of Silk.
AB - A correction to this article has been published and is linked from the HTML
version of this paper. The error has been fixed in the paper.
PMID- 29367752
TI - Hotspot relaxation time of NbN superconducting nanowire single-photon detectors
on various substrates.
AB - Hotspot relaxation time (tau th ) is one of the essential parameter which defines
the maximum count rate of superconducting nanowire single-photon detectors
(SNSPDs). We studied the tau th for NbN-based SNSPDs on various substrates using
the two-photon detection method based on the pump-probe spectroscopy technique.
We observed that tau th strongly increased with increasing bias current in the
two-photon detection regime. In addition, the minimum hotspot relaxation time
(tau th )min was not significantly affected by the bath temperature; this is
different from the previous observations reported for WSi SNSPDs. In addition, a
strong dependency of (tau th )min on the substrate was found. The minimum (tau th
)min was 11.6 ps for SNSPDs made of 5.5-nm-thick NbN on MgO (100), whereas the
maximum (tau th )min was 34.5 ps for SNSPDs made of 7.5-nm-thick NbN on Si (100).
We presented a direct correlation between the values of tau th and degrees of
disorder of NbN films grown on different substrates.
PMID- 29367754
TI - Mitochondrial biogenesis and metabolic hyperactivation limits the application of
MTT assay in the estimation of radiation induced growth inhibition.
AB - Metabolic viability based high throughput assays like MTT and MTS are widely used
in assessing the cell viability. However, alteration in both mitochondrial
content and metabolism can influence the metabolic viability of cells and
radiation is a potential mitochondrial biogenesis inducer. Therefore, we tested
if MTT assay is a true measure of radiation induced cell death in widely used
cell lines. Radiation induced cellular growth inhibition was performed by
enumerating cell numbers and metabolic viability using MTT assay at 24 and 48
hours (hrs) after exposure. The extent of radiation induced reduction in cell
number was found to be larger than the decrease in MTT reduction in all the cell
lines tested. We demonstrated that radiation induces PGC-1alpha and TFAM to
stimulate mitochondrial biogenesis leading to increased levels of SDH-A and
enhanced metabolic viability. Radiation induced disturbance in calcium (Ca2+)
homeostasis also plays a crucial role by making the mitochondria hyperactive.
These findings suggest that radiation induces mitochondrial biogenesis and
hyperactivation leading to increased metabolic viability and MTT reduction.
Therefore, conclusions drawn on radiation induced growth inhibition based on
metabolic viability assays are likely to be erroneous as it may not correlate
with growth inhibition and/or loss of clonogenic survival.
PMID- 29367755
TI - Wild-type and mutated IDH1/2 enzymes and therapy responses.
AB - Isocitrate dehydrogenase 1 and 2 (IDH1/2) are key enzymes in cellular metabolism,
epigenetic regulation, redox states, and DNA repair. IDH1/2 mutations are causal
in the development and/or progression of various types of cancer due to
supraphysiological production of D-2-hydroxyglutarate. In various tumor types,
IDH1/2-mutated cancers predict for improved responses to treatment with
irradiation or chemotherapy. The present review discusses the molecular basis of
the sensitivity of IDH1/2-mutated cancers with respect to the function of mutated
IDH1/2 in cellular processes and their interactions with novel IDH1/2-mutant
inhibitors. Finally, lessons learned from IDH1/2 mutations for future clinical
applications in IDH1/2 wild-type cancers are discussed.
PMID- 29367756
TI - PAX3-FOXO1 drives miR-486-5p and represses miR-221 contributing to pathogenesis
of alveolar rhabdomyosarcoma.
AB - Rhabdomyosarcoma is the most common soft-tissue sarcoma in childhood and
histologically resembles developing skeletal muscle. Alveolar rhabdomyosarcoma
(ARMS) is an aggressive subtype with a higher rate of metastasis and poorer
prognosis. The majority of ARMS tumors (80%) harbor a PAX3-FOXO1 or less commonly
a PAX7-FOXO1 fusion gene. The presence of either the PAX3-FOXO1 or PAX7-FOXO1
fusion gene foretells a poorer prognosis resulting in clinical re-classification
as either fusion-positive (FP-RMS) or fusion-negative RMS (FN-RMS). The PAX3/7
FOXO1 fusion genes result in the production of a rogue transcription factors that
drive FP-RMS pathogenesis and block myogenic differentiation. Despite knowing the
molecular driver of FP-RMS, targeted therapies have yet to make an impact for
patients, highlighting the need for a greater understanding of the molecular
consequences of PAX3-FOXO1 and its target genes including microRNAs. Here we show
FP-RMS patient-derived xenografts and cell lines display a distinct microRNA
expression pattern. We utilized both loss- and gain-of function approaches in
human cell lines with knockdown of PAX3-FOXO1 in FP-RMS cell lines and expression
of PAX3-FOXO1 in human myoblasts and identified microRNAs both positively and
negatively regulated by the PAX3-FOXO1 fusion protein. We demonstrate PAX3-FOXO1
represses miR-221/222 that functions as a tumor suppressing microRNA through the
negative regulation of CCND2, CDK6, and ERBB3. In contrast, miR-486-5p is
transcriptionally activated by PAX3-FOXO1 and promotes FP-RMS proliferation,
invasion, and clonogenic growth. Inhibition of miR-486-5p in FP-RMS xenografts
decreased tumor growth, illustrating a proof of principle for future therapeutic
intervention. Therefore, PAX3-FOXO1 regulates key microRNAs that may represent
novel therapeutic vulnerabilities in FP-RMS.
PMID- 29367757
TI - The miR-17/92 cluster is involved in the molecular etiology of the SCLL syndrome
driven by the BCR-FGFR1 chimeric kinase.
AB - MicroRNAs (miRNAs) have pathogenic roles in the development of a variety of
leukemias. Here we identify miRNAs that have important roles in the development
of B lymphomas resulting from the expression of the chimeric BCR-FGFR1 kinase.
The miR-17/92 cluster was particularly implicated and forced expression resulted
in increased cell proliferation, while inhibiting its function using miRNA
sponges reduced cell growth and induced apoptosis. Cells treated with the potent
BGJ389 FGFR1 inhibitor led to miR-17/92 downregulation, suggesting regulation by
FGFR1. Transient luciferase reporter assays and qRT-PCR detection of endogenous
miR-17/92 expression in stable transduced cell lines demonstrated that BCR-FGFR1
can regulate miR-17/92 expression. This positive association of miR-17/92 with
BCR-FGFR1 was also confirmed in primary mouse SCLL tissues and primary human CLL
samples. miR-17/92 promotes cell proliferation and survival by targeting CDKN1A
and PTEN in B-lymphoma cell lines and primary tumors. An inverse correlation in
expression levels was seen between miR-17/92 and both CDKN1A and PTEN in two
cohorts of CLL patients. Finally, in vivo engraftment studies demonstrated that
manipulation of miR-17/92 was sufficient to affect BCR-FGFR1-driven
leukemogenesis. Overall, our results define miR-17/92 as a downstream effector of
FGFR1 in BCR-FGFR1-driven B-cell lymphoblastic leukemia.
PMID- 29367759
TI - Genetic and pharmacologic abrogation of Snail1 inhibits acinar-to-ductal
metaplasia in precursor lesions of pancreatic ductal adenocarcinoma and
pancreatic injury.
AB - Pancreatic cancer (PDAC) is one of the most dismal of human malignancies.
Inhibiting or delaying the progression of precursor lesions of PDAC, pancreatic
intraepthial neoplasia (PanINs), to invasive cancer, would be a major step. In
the present study, we used a transgenic murine model of pancreatic cancer to
evaluate the impact of a conditional knockout of the transcription factor Snail1,
a major factor in epithelial-to-mesenchymal transition, on acinar-to-ductal
formation and on PanIN progression. By interbreeding conditional LsL-Snail
floxf/wt ; LsL-Kras G12D and Pdx1-Cre strains, we obtained LsL-Kras G12D ;Pdx1
Cre(KP) mice, Snail1 heterozygous knockout LsL-Kras G12D ; LsL-Snail flox/- ;Pdx1
Cre(KPShet) mice or Snail1 homozygous knockout LsL-Kras G12D ;LsL-Snail flox/flox
;Pdx1-Cre(KPS) mice. Mice were then followed in a longitudinal study for 2, 4, 6,
8, 10, and 12 months. Furthermore, in mice with a genetic or pharmacological
inhibition of Snail1, using the Snail1 inhibitor GN25, a model of pancreatic
injury by administration of cerulein was introduced to evaluate ADM formation in
this setting. A translational approach with a tissue microarray (TMA) of human
PanINs and an in vivo nude mouse platform to test GN25 in human pancreatic
adenocarcinoma was then adopted. Quantification of PanINs showed delayed
initiation and progression of PanIN lesions at all ages in both homozygous and
heterozygous Snaildel1;Pdx-1-Cre;LSL-KrasG12D/+-Mice. PanINs at TMA revealed
snail expression in the majority of cases. GN25 showed growth inhibition in 2/2
human pancreatic adenocarcinomas using a nude mice in vivo platform. Genetic and
pharmacologic abrogation of Snail1 signaling in exocrine pancreas impairs
development of acinar-to-ductal metaplasia following cerulein-mediated pancreatic
injury. The present study suggests a fundamental new approach to delay the
progression of PDAC.
PMID- 29367758
TI - The tumor suppressor Hic1 maintains chromosomal stability independent of Tp53.
AB - Hypermethylated-in-Cancer 1 (Hic1) is a tumor suppressor gene frequently
inactivated by epigenetic silencing and loss-of-heterozygosity in a broad range
of cancers. Loss of HIC1, a sequence-specific zinc finger transcriptional
repressor, results in deregulation of genes that promote a malignant phenotype in
a lineage-specific manner. In particular, upregulation of the HIC1 target gene
SIRT1, a histone deacetylase, can promote tumor growth by inactivating TP53. An
alternate line of evidence suggests that HIC1 can promote the repair of DNA
double strand breaks through an interaction with MTA1, a component of the
nucleosome remodeling and deacetylase (NuRD) complex. Using a conditional
knockout mouse model of tumor initiation, we now show that inactivation of Hic1
results in cell cycle arrest, premature senescence, chromosomal instability and
spontaneous transformation in vitro. This phenocopies the effects of deleting
Brca1, a component of the homologous recombination DNA repair pathway, in mouse
embryonic fibroblasts. These effects did not appear to be mediated by
deregulation of Hic1 target gene expression or loss of Tp53 function, and rather
support a role for Hic1 in maintaining genome integrity during sustained
replicative stress. Loss of Hic1 function also cooperated with activation of
oncogenic KRas in the adult airway epithelium of mice, resulting in the formation
of highly pleomorphic adenocarcinomas with a micropapillary phenotype in vivo.
These results suggest that loss of Hic1 expression in the early stages of tumor
formation may contribute to malignant transformation through the acquisition of
chromosomal instability.
PMID- 29367760
TI - ROS release by PPARbeta/delta-null fibroblasts reduces tumor load through
epithelial antioxidant response.
AB - Tumor stroma has an active role in the initiation, growth, and propagation of
many tumor types by secreting growth factors and modulating redox status of the
microenvironment. Although PPARbeta/delta in fibroblasts was shown to modulate
oxidative stress in the wound microenvironment, there has been no evidence of a
similar effect in the tumor stroma. Here, we present evidence of oxidative stress
modulation by intestinal stromal PPARbeta/delta, using a FSPCre-Pparb/d-/- mouse
model and validated it with immortalized cell lines. The FSPCre-Pparb/d-/- mice
developed fewer intestinal polyps and survived longer when compared with
Pparb/dfl/fl mice. The pre-treatment of FSPCre-Pparb/d-/- and Pparb/dfl/fl with
antioxidant N-acetyl-cysteine prior DSS-induced tumorigenesis resulted in lower
tumor load. Gene expression analyses implicated an altered oxidative stress
processes. Indeed, the FSPCre-Pparb/d-/- intestinal tumors have reduced oxidative
stress than Pparb/dfl/fl tumors. Similarly, the colorectal cancer cells and human
colon epithelial cells also experienced lower oxidative stress when co-cultured
with fibroblasts depleted of PPARbeta/delta expression. Therefore, our results
establish a role for fibroblast PPARbeta/delta in epithelial-mesenchymal
communication for ROS homeostasis.
PMID- 29367761
TI - Hypoxia induces miR-153 through the IRE1alpha-XBP1 pathway to fine tune the
HIF1alpha/VEGFA axis in breast cancer angiogenesis.
AB - It is well documented that hypoxia activates the hypoxia-inducible factor 1-alpha
(HIF1alpha)/vascular endothelial growth factor A (VEGFA) axis to promote
angiogenesis in breast cancer. However, it is unclear how this axis is negatively
regulated. In this study, we demonstrated that miR-153 directly inhibits
expression of HIF1alpha by binding to the 3'UTR of HIF1A mRNA, as well as
suppresses tube formation of primary human umbilical vein endothelial cells
(HUVECs) and breast cancer angiogenesis by decreasing the secretion of VEGFA.
Importantly, expression of miR-153 was induced by hypoxia-stimulated ER stress,
which activates IRE1alpha and its downstream transcription factor X-box binding
protein 1 (XBP1). X-box binding protein 1 directly binds to the promoter of the
miR-153 host gene PTPRN and activates transcription. These results indicate that
hypoxia induces miR-153 to fine tune the HIF1alpha/VEGFA axis in breast cancer
angiogenesis and miR-153 could be used for breast cancer anti-angiogenesis
therapy.
PMID- 29367762
TI - Suppression of STING signaling through epigenetic silencing and missense mutation
impedes DNA damage mediated cytokine production.
AB - The production of cytokines in response to DNA-damage events may be an important
host defense response to help prevent the escape of pre-cancerous cells. The
innate immune pathways involved in these events are known to be regulated by
cellular molecules such as stimulator of interferon genes (STING), which controls
type I interferon and pro-inflammatory cytokine production in response to the
presence of microbial DNA or cytosolic DNA that has escaped from the nucleus.
STING signaling has been shown to be defective in a variety of cancers, such as
colon cancer and melanoma, actions that may enable damaged cells to escape the
immunosurveillance system. Here, we report through examination of databases that
STING signaling may be commonly suppressed in a greater variety of tumors due to
loss-of-function mutation or epigenetic silencing of the STING/cGAS promoter
regions. In comparison, RNA activated innate immune pathways controlled by RIG
I/MDA5 were significantly less affected. Examination of reported missense STING
variants confirmed that many exhibited a loss-of-function phenotype and could not
activate cytokine production following exposure to cytosolic DNA or DNA-damage
events. Our data imply that the STING signaling pathway may be recurrently
suppressed by a number of mechanisms in a considerable variety of malignant
disease and be a requirement for cellular transformation.
PMID- 29367763
TI - Network analysis of SRC-1 reveals a novel transcription factor hub which
regulates endocrine resistant breast cancer.
AB - Steroid receptor coactivator 1 (SRC-1) interacts with nuclear receptors and other
transcription factors (TFs) to initiate transcriptional networks and regulate
downstream genes which enable the cancer cell to evade therapy and metastasise.
Here we took a top-down discovery approach to map out the SRC-1 transcriptional
network in endocrine resistant breast cancer. First, rapid immunoprecipitation
mass spectrometry of endogenous proteins (RIME) was employed to uncover new SRC-1
TF partners. Next, RNA sequencing (RNAseq) was undertaken to investigate SRC-1 TF
target genes. Molecular and patient-derived xenograft studies confirmed STAT1 as
a new SRC-1 TF partner, important in the regulation of a cadre of four SRC-1
transcription targets, NFIA, SMAD2, E2F7 and ASCL1. Extended network analysis
identified a downstream 79 gene network, the clinical relevance of which was
investigated in RNAseq studies from matched primary and local-recurrence tumours
from endocrine resistant patients. We propose that SRC-1 can partner with STAT1
independently of the estrogen receptor to initiate a transcriptional cascade and
control regulation of key endocrine resistant genes.
PMID- 29367764
TI - Blockade of insulin-like growth factors increases efficacy of paclitaxel in
metastatic breast cancer.
AB - Breast cancer remains the leading cause of cancer death in women owing to
metastasis and the development of resistance to established therapies.
Macrophages are the most abundant immune cells in the breast tumor
microenvironment and can both inhibit and support cancer progression. Thus,
gaining a better understanding of how macrophages support cancer could lead to
the development of more effective therapies. In this study, we find that breast
cancer-associated macrophages express high levels of insulin-like growth factors
1 and 2 (IGFs) and are the main source of IGFs within both primary and metastatic
tumors. In total, 75% of breast cancer patients show activation of insulin/IGF-1
receptor signaling and this correlates with increased macrophage infiltration and
advanced tumor stage. In patients with invasive breast cancer, activation of
Insulin/IGF-1 receptors increased to 87%. Blocking IGF in combination with
paclitaxel, a chemotherapeutic agent commonly used to treat breast cancer, showed
a significant reduction in tumor cell proliferation and lung metastasis in pre
clinical breast cancer models compared to paclitaxel monotherapy. Our findings
provide the rationale for further developing the combination of paclitaxel with
IGF blockers for the treatment of invasive breast cancer, and Insulin/IGF1R
activation and IGF+ stroma cells as potential biomarker candidates for further
evaluation.
PMID- 29367765
TI - Employing mesenchymal stem cells to support tumor-targeted delivery of
extracellular vesicle (EV)-encapsulated microRNA-379.
AB - Adult Mesenchymal Stem Cells (MSCs) have a well-established tumor-homing
capacity, highlighting potential as tumor-targeted delivery vehicles. MSCs
secrete extracellular vesicle (EV)-encapsulated microRNAs, which play a role in
intercellular communication. The aim of this study was to characterize a
potential tumor suppressor microRNA, miR-379, and engineer MSCs to secrete EVs
enriched with miR-379 for in vivo therapy of breast cancer. miR-379 expression
was significantly reduced in lymph node metastases compared to primary tumor
tissue from the same patients. A significant reduction in the rate of tumor
formation and growth in vivo was observed in T47D breast cancer cells stably
expressing miR-379. In more aggressive HER2-amplified HCC-1954 cells, HCC-379 and
HCC-NTC tumor growth rate in vivo was similar, but increased tumor necrosis was
observed in HCC-379 tumors. In response to elevated miR-379, COX-2 mRNA and
protein was also significantly reduced in vitro and in vivo. MSCs were
successfully engineered to secrete EVs enriched with miR-379, with the majority
found to be of the appropriate size and morphology of exosomal EVs.
Administration of MSC-379 or MSC-NTC cells, or EVs derived from either cell
population, resulted in no adverse effects in vivo. While MSC-379 cells did not
impact tumor growth, systemic administration of cell-free EVs enriched with miR
379 was demonstrated to have a therapeutic effect. The data presented support miR
379 as a potent tumor suppressor in breast cancer, mediated in part through
regulation of COX-2. Exploiting the tumor-homing capacity of MSCs while
engineering the cells to secrete EVs enriched with miR-379 holds exciting
potential as an innovative therapy for metastatic breast cancer.
PMID- 29367766
TI - PTEN loss in the fallopian tube induces hyperplasia and ovarian tumor formation.
AB - The signaling events involved in the onset of ovarian cancer from the fallopian
tube epithelium (FTE) are crucial for early detection and treatment of the
disease, but they remain poorly defined. Conditional homozygous knockout of PTEN
mediated by PAX8-cre recombinase was sufficient to drive endometrioid and serous
borderline ovarian carcinoma, providing the first model of FTE-derived borderline
tumors. In addition, heterozygous PTEN deletion in the FTE resulted in
hyperplasia, providing a model to study early events of human ovarian
pathogenesis. To uncover the mechanism underlying the invasion of cancerous
oviductal cells to the ovary, PTEN-deficient murine oviductal cells were
developed and tagged with green fluorescent protein. Loss of PTEN increased cell
migration, invasion, and upregulated WNT4, a key regulator of Mullerian duct
development during embryogenesis. Further investigation revealed that WNT4 was
required for increased migration and colonization of the ovary by PTEN-deficient
oviductal cells in a beta-catenin independent manner. Human tumor microarrays and
ovarian cancer cells lines confirmed WNT4 expression in cancer and its role in
migration. Together, these findings provide a novel model to study the mechanism
of fallopian tube tumor initiation and invasion to the ovary mediated by loss of
PTEN, which may help to define early events of human ovarian carcinogenesis.
PMID- 29367768
TI - Components of a Measure to Describe Organizational Culture in Academic Pharmacy.
AB - Objective. To develop a measure of organizational culture in academic pharmacy
and identify characteristics of an academic pharmacy program that would be
impactful for internal (eg, students, employees) and external (eg, preceptors,
practitioners) clients of the program. Methods. A three-round Delphi procedure of
24 panelists from pharmacy schools in the U.S. and Canada generated items based
on the Organizational Culture Profile (OCP), which were then evaluated and
refined for inclusion in subsequent rounds. Items were assessed for
appropriateness and impact. Results. The panel produced 35 items across six
domains that measured organizational culture in academic pharmacy:
competitiveness, performance orientation, social responsibility, innovation,
emphasis on collegial support, and stability. Conclusion. The items generated
require testing for validation and reliability in a large sample to finalize this
measure of organizational culture.
PMID- 29367767
TI - A Carcinogen-induced mouse model recapitulates the molecular alterations of human
muscle invasive bladder cancer.
AB - The N-butyl-N-(4-hydroxybutyl)-nitrosamine (BBN) mouse model is an attractive
model system of muscle-invasive bladder cancer (MIBC) as it recapitulates the
histology of human tumors in a background with intact immune system. However, it
was unknown whether this carcinogen-induced model also mimicked human MIBC at the
molecular and mutational level. In our study, we analyzed gene expression and
mutational landscape of the BBN model by next-generation sequencing followed by a
bioinformatic comparison to human MIBC using data from The Cancer Genome Atlas
and other repositories. BBN tumors showed overexpression of markers of basal
cancer subtype, and had a high mutation burden with frequent Trp53 (80%), Kmt2d
(70%), and Kmt2c (90%) mutations by exome sequencing, similar to human MIBC. Many
variants corresponded to human cancer hotspot mutations, supporting their role as
driver mutations. We extracted two novel mutational signatures from the BBN mouse
genomes. The integrated analysis of mutation frequencies and signatures
highlighted the contribution of aberrations to chromatin regulators and genetic
instability in the BBN tumors. Together, our study revealed several similarities
between human MIBC and the BBN mouse model, providing a strong rationale for its
use in molecular and drug discovery studies.
PMID- 29367769
TI - Assessment of Learner Metacognition in a Professional Pharmacy Elective Course.
AB - Objective. To investigate the effect of strategic feedback and metacognitive
processes on learners' ability to predict performance and improve self-awareness.
Methods. Strategic faculty and peer feedback, as well as self-assessments, were
implemented in a professional pharmacy elective course throughout the semester,
focused on three case-based oral presentations. After each presentation, students
utilized an objective rubric to determine self-predicted and peer-predicted
scores. Actual scores from faculty were compared to students' predicted scores.
Results. Students' ability to predict presentation scores did not improve over
time; however, students were able to accurately estimate performance in certain
rubric sections on individual presentations (depth of problem, presentation).
Students were generally overconfident in predicting their performance. When
broken down into tertiles, top performing students were more accurate in their
self-assessments compared to bottom performing students. Bottom performing
students were highly overconfident in their assessment. Conclusion. Self
awareness is essential for professionals, though difficult to cultivate and
improve in one semester. Incorporating longitudinal, continuous feedback and
metacognitive skills may help learners become more aware of their own performance
and devise a plan for enhancement.
PMID- 29367770
TI - Contemporary Professional Skills Development for Pharmacists in the Middle East.
AB - Objective. To determine professional skills development and its utility among the
"bridge" curriculum for undergraduate and graduate students in the Middle East.
Methods. Qatar University College of Pharmacy offers a part-time Doctor of
Pharmacy (PharmD) program for licensed pharmacists, which includes pre-internship
or "bridge" courses adapted from the undergraduate baccalaureate program.
Assessments for all professional skills courses delivered in the undergraduate
and post-baccalaureate part-time PharmD curriculums between 2011 and 2015
academic years were inventoried. The number and nature of assignments and exams
administered to both student cohorts were identified and aggregate class scores
recorded. Results were compared using Mann-Whitney tests for non-parametric
continuous data with significance level (2-sided) set at alpha <.05. Results.
Twenty-seven common assessments were conducted over a 5-year period. Overall, the
performance between the undergraduate and graduate students was comparable except
for specific assignments and in certain cohorts. Chart note documentation skills
were poor among part-time PharmD students in both professional skills years and
may be attributed to lack of prior instruction or current use in practice.
Conclusion. Our comparison of graduate and undergraduate student performance in a
professional skills course series has reinforced its legitimacy in our part-time
PharmD bridge curriculum. Such quality assurance is relevant for programs
offering advanced degree training for licensed professionals to ensure ongoing
alignment of student abilities with desired educational outcomes and ultimately,
delivery of patient care.
PMID- 29367771
TI - A Qualitative Study Designed to Build an Experiential Education Curriculum for
Practice-Ready Community Pharmacy-Bound Students.
AB - Objective. To design an experiential education curriculum that sequentially and
deliberately prepares community pharmacy-bound graduates to practice at the level
of the care provider and display the skills needed to be a pharmacist-in-charge.
Methods. Semi-structured interviews were conducted with community pharmacy
stakeholders. Transcriptions from the interviews were analyzed to identify common
themes in needed community pharmacy training for core and elective advanced
pharmacy practice experiences (APPEs). The themes were used to distinguish key
elements of a community pharmacy experiential education curriculum that would
meet the project objective. Results. Forty-two individuals were interviewed: 11
were interviewed individually and 31 in focus groups, with each group comprising
two to six individuals. There were 11 focus groups. Theme analysis allowed
differentiation of activities and performance levels for the community pharmacy
introductory pharmacy practice experience (IPPE) and the core APPE as well as the
goal of the core APPE for all program graduates. Participants identified two
important elective APPEs for students planning to practice in community pharmacy
after graduation: an advanced patient care experience and a management
experience. Participants emphasized the importance of sequencing the core and
advanced elective APPEs so that the advanced electives could build upon the
skills demonstrated in the core APPE. Participants identified knowledge, skills,
and attitudes needed for practice-readiness upon graduation. Conclusion. The
identified experiential education curriculum for students planning to practice in
the community pharmacy setting upon graduation will prepare them to provide care
to complex community-dwelling patients and function as a pharmacist-in-charge in
this setting.
PMID- 29367772
TI - Design and Implementation of an Elective on the Ethnopharmacology of Appalachia
for the PharmD Curriculum.
AB - Objective. To create and implement a class in ethnopharmacology that would
educate student pharmacists on folk medicine, including home remedies and native
plants that are used as alternative medicinal sources; active components of
medicinal plants including toxicity issues and the mechanism of action of
beneficial compounds, such as catechins and other flavonoids; and nutraceuticals
and poisonous plants. Methods. In this three-credit hour class, herbal remedies
are investigated from the standpoints of medical efficacy, potential toxicities
and drug interactions with prescribed medications. Class discussions are
conducted on the usefulness of remedies, the attitudes of practitioners toward
traditional remedy use and the risks of relying on herbal preparations. Each
student prepares a 15-minute presentation on a disease state, which covers modern
pharmaceuticals and herbal or folk remedy alternatives used in that disease.
Special emphasis is given to drug-herb interactions. Results. The class has
gained popularity among students and consistently fills within the first hour of
computerized registration. Students agree that being educated in the benefits and
potential toxicities of herbal products will better prepare them to counsel their
patients who use these remedies. The elective has been offered 10 times since
2007. Anecdotal comments from our alumni indicate that they have found the
information to be very useful in their practice environments. Conclusion.
Providing our students with a greater understanding of herbal remedies is
essential to prepare them for practice. By including both the uses and potential
toxicities, the student pharmacist is able to counsel her patients from a
standpoint of expertise on these self-administered remedies.
PMID- 29367773
TI - Pharmacy Residency School-wide Match Rates and Modifiable Predictors in ACPE
accredited Colleges and Schools of Pharmacy.
AB - Objective. To analyze the modifiable predictors of institution-wide residency
match rates. Methods. This was a retrospective analysis of colleges and schools
of pharmacy data and school-wide PGY-1 pharmacy residency match rates for 2013
through 2015. Independent variables included NAPLEX passing rates, history of
ACPE probation, NIH funding, academic health center affiliation, dual-degree
availability, program length, admit-to-applicant ratio, class size, tuition,
student-driven research, clinically focused academic tracks, residency
affiliation, U.S. News & World Report rankings, and minority enrollment. Results.
In a repeated measures model, predictors of match results were NAPLEX pass rate,
class size, academic health center affiliation, admit-to-applicant ratio, U.S.
News & World Report rankings, and minority enrollment. Conclusion. Indicators of
student achievement, college/school reputation, affiliations, and class
demographics were significant predictors of institution-wide residency match
rates. Further research is needed to understand how changes in these factors may
influence overall match rates.
PMID- 29367774
TI - Pharmacists' Opinions of the Value of CAPE Outcomes in Hiring Decisions.
AB - Objective. The Hiring Intent Reasoning Examination (HIRE) was designed to explore
the utility of the CAPE 2013 outcomes attributes from the perspective of
practicing pharmacists, examine how each attribute influences hiring decisions,
and identify which of the attributes are perceived as most and least valuable by
practicing pharmacists. Methods. An electronic questionnaire was developed and
distributed to licensed pharmacists in four states to collect their opinions
about 15 CAPE subdomains plus five additional business related attributes. The
attributes that respondents identified were: necessary to be a good pharmacist,
would impact hiring decisions, most important to them, and in short supply in the
applicant pool. Data were analyzed using statistical analysis software to
determine the relative importance of each to practicing pharmacists and various
subsets of pharmacists. Results. The CAPE subdomains were considered necessary
for most jobs by 51% or more of the 3723 respondents (range, 51% to 99%). The
necessity for business-related attributes ranged from 21% to 92%. The percentage
who would not hire an applicant who did not possess the attribute ranged from 2%
to 71.5%; the percentage who considered the attribute most valuable ranged from
0.3% to 35%; and the percentage who felt the attribute was in short supply ranged
from 5% to 36%. Opinions varied depending upon gender, practice setting and
whether the pharmacist was an employee or employer. Conclusion. The results of
this study can be used by faculty and administrators to inform curricular design
and emphasis on CAPE domains and business-related education in pharmacy programs.
PMID- 29367775
TI - Cost-Effectiveness of Using Standardized Patients to Assess Student-Pharmacist
Communication Skills.
AB - Objective. To explore the cost-effectiveness of including standardized patients
(SP) in the didactic curriculum for application and assessment of students'
pharmacist-patient communication skills. Methods. Five role play/case study
(RP/CS) activities from a communication skills curriculum were replaced with five
SP encounters. Communication was assessed using a rubric. This study developed an
economic model to examine the costs and effectiveness of replacing RP/CS events
with SP events in knowledge-application and communication assessment. Costs
consisted of SP hourly wages for training and delivery of SP events. Outcomes
examined were the incremental cost-effectiveness ratio (ICER) per student.
Results. The ICER comparing SP to RP/CS was $100.93 higher per student on first
attempt pass rates and $9.04 per one-point increase in the mean score.
Conclusion. SP was more effective and more costly than RP/CS. Further research
into students' willingness to pay needs to occur before determining if using SPs
is cost-effective in teaching communication skills.
PMID- 29367776
TI - Evaluation of a Unique Interprofessional Education Program Involving Medical and
Pharmacy Students.
AB - Objective. To measure changes in interprofessional competencies among pharmacy
and medical students following a half-day event focusing on interprofessional
learning. Methods. There were 118 pharmacy students and 28 medical students who
participated in the Healthcare Interprofessional Education Day (HIPED) which
consisted of three stations (communication, patient interviewing, and
prescribing) in which pharmacy and medical students had to work collaboratively.
The standardized Interprofessional Collaborative Competency Attainment Survey
(ICCAS) was used to evaluate the effectiveness of the program. Results. There
were 133 surveys completed for a response rate of 91%. All 20 items measured by
the ICCAS showed a significant improvement. The strongest effect sizes were in
the collaboration, roles & responsibilities, and collaborative practice/family
centered approach categories. The least robust effects were in the conflict
management/resolution category. Conclusion. The HIPED activity was an effective
IPE experience. The strong and consistent improvement in all ICCAS scores suggest
a framework for pharmacy and medical school training to move from siloed
educational experiences to synergistic learning opportunities.
PMID- 29367777
TI - Impact of Pre-Pharmacy Work Experience on Development of Professional Identity in
Student Pharmacists.
AB - Objective. To determine the benefit of pharmacy work experience on the
development of student pharmacists' professional identity. Methods. Students in
all four professional years were surveyed using a validated Professional Self
identity Questionnaire (PSIQ). They were also asked about pharmacy experience
prior to matriculation and their performance on Drug Information tests given
midway through the P1 year and at the beginning of the P3 year. PSIQ responses
and test results were compared based on pharmacy experience. Results. The PSIQ
was completed by 293 student pharmacists, for a 67% response rate, with 76% of
respondents reporting pharmacy experience prior to matriculation. Statistically
higher scores on responses to 6 of the 9 PSIQ Likert-type items were observed
from students in the first professional year for those with pharmacy experience;
however, only one item in the second year showed differences with none in the
third and fourth years. No impact of experience was observed on Top 100 or Top
300 grades. Conclusion. Pre-matriculation pharmacy experience may increase
development of professional identity early in the student experience but may have
little impact on academic readiness. Schools and colleges of pharmacy hoping to
recruit students with an early sense of professional identity should consider
adding such experience to their admissions requirements.
PMID- 29367778
TI - Design and Implementation of a Professional Development Course Series.
AB - Objective. To design and implement a longitudinal course series focused on
professional development and professional identity formation in pharmacy students
at Western New England University. Methods. A four-year, theme-based course
series was designed to sequentially and longitudinally impart the values,
attributes, and characteristics of a professional pharmacist. Requirements of the
course include: goal planning and reflective assignments, submission of "Best
Works," attendance at professional meetings, completion of service hours, annual
completion of a Pharmacy Professionalism Instrument, attendance at Dean's
Seminar, participation in roundtable discussions, and maintenance of an
electronic portfolio. Though the Professional Development course series carries
no credit, these courses are progression requirements and students are assessed
on a pass/fail basis. Results. Course pass rates in the 2015-2016 academic year
for all four classes were 99% to 100%, suggesting the majority of students take
professional development seriously and are achieving the intended outcomes of the
courses. Conclusion. A professional development course series was designed and
implemented in the new Doctor of Pharmacy program at Western New England
University to enhance the professional identity formation of students.
PMID- 29367779
TI - Design and Evaluation of Video Podcasts for Providing Online Feedback on
Formative Pharmaceutical Calculations Assessments.
AB - Objective. To evaluate worked example video podcasts as a method of providing
feedback to pharmacy interns for an online and formative pharmaceutical
calculations assessment. Methods. A theory-informed approach based on multimedia
learning theory was used to design video podcasts as feedback on a calculations
examination. A mixed-methods evaluation completed by pharmacy interns enrolled in
Ireland's National Pharmacy Internship Programme was used to establish cognitive
and affective attitudes toward video podcasts compared with conventional written
solutions. Results. The majority of students found video podcasts were clear,
helpful for learning, easy to understand, and useful as a method of feedback.
Majority reported that they felt positively about standard written solutions. The
evaluation suggested distinct benefits for each kind of feedback, something that
has not been previously reported. Thematic analysis of qualitative data indicated
useful features of video podcasts, including clear explanation, step-by-step
approach, and synchronization of audio and visual information. Conclusion.
Respondents reported positive cognitive and affective attitudes toward video
podcasts as online feedback. Video podcasts are a helpful and novel way of
providing feedback on pharmaceutical calculations. A similar opinion of
traditional written solutions suggests that students may benefit from both forms
of feedback. Further study is required to identify the particular benefits
associated with both kinds.
PMID- 29367780
TI - Marking our Milestones: Address of the 2016-2017 President to the Opening General
Session at the 2017 Annual Meeting.
PMID- 29367781
TI - Dietary Supplement Use, Knowledge, and Perceptions Among Student Pharmacists.
PMID- 29367782
TI - Response to Dietary Supplement Use, Knowledge, and Perceptions Among Student
Pharmacists.
PMID- 29367783
TI - Help! Dean Drowning in Survey Requests.
PMID- 29367784
TI - Purposeful Global Engagement in Pharmacy Education.
PMID- 29367786
TI - The Psychological Assessment of Clerics.
AB - The psychological assessment of novice and experienced clerics is an important
component of ordination, suitability, and risk evaluation to ensure that
representatives of religious organizations are equipped, motivated, and safe for
a life commitment to a faith vocation. It is the authors' opinion that such
assessments should be conducted by skilled psychologists with expertise that
covers occupational, clinical, and forensic domains. Further, the authors
emphasize the importance of an objective and secular assessment to better inform
the church about its role and responsibility for the oversight and spiritual
development of the cleric. A thorough psychological assessment should incorporate
a multimodal approach to information gathering, which includes a comprehensive
review of background information and medical records, a personal history
interview, a mental status examination, and administration of relevant
psychometric measures and assessment tools. We also advise that, upon completion
of the assessment, the requesting religious organization should be offered the
opportunity to meet with the evaluating psychologist to discuss suitability
issues and, if necessary, risk management planning.
PMID- 29367785
TI - Image Correspondence: Cover Image: Detection of hair follicleassociated Merkel
cell polyomavirus in an immunocompromised host with follicular spicules and
alopecia.
PMID- 29367787
TI - Zinc Removal from the Aqueous Solutions by the Chemically Modified Biosorbents.
AB - Biosorbents are the natural origin adsorbents, which popularity in environmental
engineering is steadily increasing due to their low price, ease of acquisition,
and lack of the toxic properties. Presented research aimed to analyze the
possibility of chemical modification of the straw, which is a characteristic
waste in the Polish agriculture, to improve its biosorption properties with
respect to removal of selected metals from aquatic solutions. Biosorbents used
during the tests was a barley straw that was shredded to a size in the range of
0.2-1.0 mm. The biosorption process was performed for aqueous solutions of zinc
at a pH 5. Two different modifications of straw were analyzed: esterification
with methanol and modification using the citric acid at elevated temperature. The
results, obtained during the research, show a clear improvement in sorption
capacity of the straw modified by the citric acid. In the case of straw modified
with methanol, it has been shown that the effectiveness of zinc biosorption
process was even a twice lower with respect to the unmodified straw. Moreover, it
was concluded that the removal of analyzed metals was based mainly on the ion
exchange adsorption mechanism by releasing a calcium and magnesium ions from the
straw surface to the solution. Graphical Abstract?.
PMID- 29367788
TI - Effect of Silene vulgaris and Heavy Metal Pollution on Soil Microbial Diversity
in Long-Term Contaminated Soil.
AB - In this study, we analysed the impact of heavy metals and plant rhizodeposition
on the structure of indigenous microbial communities in rhizosphere and bulk soil
that had been exposed to heavy metals for more than 150 years. Samples of the
rhizosphere of Silene vulgaris and non-rhizosphere soils 250 and 450 m from the
source of emission that had different metal concentrations were collected for
analyses. The results showed that soils were collected 250 m from the smelter had
a higher number of Cd-resistant CFU compared with the samples that were collected
from 450 m, but no significant differences were observed in the number of total
and oligotrophic CFU or the equivalent cell numbers between rhizosphere and non
rhizosphere soils that were taken 250 and 450 m from the emitter. Unweighted pair
group method with arithmetic mean (UPGMA) cluster analysis of the denaturing
gradient gel electrophoresis (DGGE) profiles, as well as a cluster analysis that
was generated on the phospholipid fatty acid (PLFA) profiles, showed that the
bacterial community structure of rhizosphere soils depended more on the plant
than on the distance and metal concentrations. The sequencing of the 16S rDNA
fragments that were excised from the DGGE gel revealed representatives of the
phyla Bacteroidetes, Acidobacteria, Gemmatimonadetes, Actinobacteria and
Betaproteobacteria in the analysed soil with a predominance of the first three
groups. The obtained results demonstrated that the presence of S. vulgaris did
not affect the number of CFUs, except for those of Cd-resistant bacteria.
However, the presence of S. vulgaris altered the soil bacterial community
structure, regardless of the sampling site, which supported the thesis that
plants have a higher impact on soil microbial community than metal contamination.
PMID- 29367789
TI - Stimulation of Heavy Metal Adsorption Process by Using a Strong Magnetic Field.
AB - The adsorption process is one of the most important techniques of water and
wastewater treatment technology. Therefore, there are many methods allowing to
improve the effectiveness of these processes based mainly on the chemical
modification of adsorbents. However, they are always associated with the
necessity of introducing an additional wastes or sewage to the environment. That
is why a purpose of the presented was to investigate an innovative and
noninvasive adsorption supporting method based on the using of a static magnetic
field. The results showed that in the adsorption process of equimolar copper,
nickel, and cadmium mixture, a presence of the magnetic field may increase the
effectiveness of the process, with respect to copper by more than 40% and a
summary molar removal was increased about 11%. However, the effectiveness of the
analyzed modification depends largely on the heavy metal equilibrium
concentration, and when it increases, a beneficial effect of magnetic field
significantly decreases. Nevertheless, due to the fact that heavy metal
adsorption processes are very important part of environmental engineering
technologies, it can be assumed that further work on magnetic modification of
these processes can allow for a significant improvement of many water and
wastewater purification plants. Graphical Abstract.
PMID- 29367790
TI - Volatile organic compound emissions from Alnus glutinosa under interacting
drought and herbivory stresses.
AB - Plant volatile organic compounds (VOCs) elicited in response to herbivory can
serve as cues for parasitic and predatory insects, but the modification of VOC
elicitation responses under interacting abiotic stresses is poorly known. We
studied foliage VOC emissions in the deciduous tree Alnus glutinosa induced by
feeding by the larvae of green alder sawfly (Monsoma pulveratum) under well
watered and drought-stressed conditions. Drought strongly curbed photosynthesis
rate and stomatal conductance, but there were no effects of insect feeding on
photosynthetic characteristics. Feeding induced emissions of volatile products of
lipoxygenase pathway and monoterpenes, and emissions of stress marker compounds
(E)-beta-ocimene and homoterpene DMNT. The emissions were more strongly elicited
and reached a maximum value earlier in drought-stressed plants. In addition,
methyl salicylate emissions were elicited in herbivory-fed drought-stressed
plants. Herbivores were more strongly attracted to well-watered plants and
consumed more than a four-fold greater fraction of leaf area than they consumed
from drought-treated plants. Overall, this study demonstrates an important
priming effect of drought, suggesting that plants under combined
drought/herbivory stress are more resistant to herbivores.
PMID- 29367791
TI - Mono- and sesquiterpene release from tomato (Solanum lycopersicum) leaves upon
mild and severe heat stress and through recovery: from gene expression to
emission responses.
AB - Plants frequently experience heat ramps of various severities, but how and to
what degree plant metabolic activity recovers from mild and severe heat stress is
poorly understood. In this study, we exposed the constitutive terpene emitter,
Solanum. lycopersicum leaves to mild (37 and 41 degrees C), moderate (46
degrees C) and severe (49 degrees C) heat ramps of 5 min. and monitored foliage
photosynthetic activity, lipoxygenase pathway volatile (LOX), and mono- and
sesquiterpene emissions and expression of two terpene synthase genes, beta
phellandrene synthase and (E)-beta-caryophyllene/alpha-humulene synthase, through
a 24 h recovery period upon return to pre-stress conditions. Leaf monoterpene
emissions were dominated by beta-phellandrene and sesquiterpene emissions by (E)
beta-caryophyllene, and thus, these two terpene synthase genes were
representative for the two volatile terpene classes. Photosynthetic
characteristics partly recovered under moderate heat stress, and very limited
recovery was observed under severe stress. All stress treatments resulted in
elicitation of LOX emissions that declined during recovery. Enhanced mono- and
sesquiterpene emissions were observed immediately after the heat treatment, but
the emissions decreased even to below the control treatment during recovery
between 2-10 h, and raised again by 24 h. The expression of beta-phellandrene and
(E)-beta-caryophyllene synthase genes decreased between 2-10 h after heat stress,
and recovered to pre-stress level in mild heat stress treatment by 24 h. Overall,
this study demonstrates a highly sensitive heat response of terpenoid synthesis
that is mainly controlled by gene level responses under mild stress, while severe
stress leads to non-recoverable declines in foliage physiological and gene
expression activities.
PMID- 29367792
TI - Disproportionate photosynthetic decline and inverse relationship between
constitutive and induced volatile emissions upon feeding of Quercus robur leaves
by large larvae of gypsy moth (Lymantria dispar).
AB - Gypsy moth (Lymantria dispar L., Lymantriinae) is a major pest of pedunculate oak
(Quercus robur) forests in Europe, but how its infections scale with foliage
physiological characteristics, in particular with photosynthesis rates and
emissions of volatile organic compounds has not been studied. Differently from
the majority of insect herbivores, large larvae of L. dispar rapidly consume leaf
area, and can also bite through tough tissues, including secondary and primary
leaf veins. Given the rapid and devastating feeding responses, we hypothesized
that infection of Q. robur leaves by L. dispar leads to disproportionate scaling
of leaf photosynthesis and constitutive isoprene emissions with damaged leaf
area, and to less prominent enhancements of induced volatile release. Leaves with
0% (control) to 50% of leaf area removed by larvae were studied. Across this
range of infection severity, all physiological characteristics were
quantitatively correlated with the degree of damage, but all these traits changed
disproportionately with the degree of damage. The net assimilation rate was
reduced by almost 10-fold and constitutive isoprene emissions by more than 7
fold, whereas the emissions of green leaf volatiles, monoterpenes, methyl
salicylate and the homoterpene (3E)-4,8-dimethy-1,3,7-nonatriene scaled
negatively and almost linearly with net assimilation rate through damage
treatments. This study demonstrates that feeding by large insect herbivores
disproportionately alters photosynthetic rate and constitutive isoprene
emissions. Furthermore, the leaves have a surprisingly large capacity for
enhancement of induced emissions even when foliage photosynthetic function is
severely impaired.
PMID- 29367794
TI - Heterogeneity and Cooperation: The Role of Capability and Valuation on Public
Goods Provision.
AB - We experimentally investigate the effects of two different sources of
heterogeneity - capability and valuation - on the provision public goods when
punishment is possible or not. We find that compared to homogeneous groups,
asymmetric valuations for the public good have negative effects on cooperation
and its enforcement through informal sanctions. Asymmetric capabilities in
providing the public good, in contrast, have a positive and stabilizing effect on
voluntary contributions. The main reason for these results are the different
externalities contributions have on the other group members' payoffs affecting
individuals' willingness to cooperate. We thus provide evidence that it is not
the asymmetric nature of groups per se that facilitates or impedes collective
action, but that it is rather the nature of asymmetry that determines the degree
of cooperation and the level of public good provision.
PMID- 29367795
TI - Assimilation of SMOS Retrievals in the Land Information System.
AB - The Soil Moisture and Ocean Salinity (SMOS) satellite provides retrievals of soil
moisture in the upper 5 cm with a 30-50 km resolution and a mission accuracy
requirement of 0.04 cm3 cm-3. These observations can be used to improve land
surface model soil moisture states through data assimilation. In this paper, SMOS
soil moisture retrievals are assimilated into the Noah land surface model via an
Ensemble Kalman Filter within the NASA Land Information System. Bias correction
is implemented using Cumulative Distribution Function (CDF) matching, with points
aggregated by either land cover or soil type to reduce sampling error in
generating the CDFs. An experiment was run for the warm season of 2011 to test
SMOS data assimilation and to compare assimilation methods. Verification of soil
moisture analyses in the 0-10 cm upper layer and root zone (0-1 m) was conducted
using in situ measurements from several observing networks in the central and
southeastern United States. This experiment showed that SMOS data assimilation
significantly increased the anomaly correlation of Noah soil moisture with
station measurements from 0.45 to 0.57 in the 0-10 cm layer. Time series at
specific stations demonstrate the ability of SMOS DA to increase the dynamic
range of soil moisture in a manner consistent with station measurements. Among
the bias correction methods, the correction based on soil type performed best at
bias reduction but also reduced correlations. The vegetation-based correction did
not produce any significant differences compared to using a simple uniform
correction curve.
PMID- 29367796
TI - Nonlinear Functional Connectivity Network Recovery in the Human Brain with Mutual
Connectivity Analysis (MCA): Convergent Cross-Mapping and Non-Metric Clustering.
AB - We explore a computational framework for functional connectivity analysis in
resting-state functional MRI (fMRI) data acquired from the human brain for
recovering the underlying network structure and understanding causality between
network components. Termed mutual connectivity analysis (MCA), this framework
involves two steps, the first of which is to evaluate the pair-wise cross
prediction performance between fMRI pixel time series within the brain. In a
second step, the underlying network structure is subsequently recovered from the
affinity matrix using non-metric network clustering approaches, such as the so
called Louvain method. Finally, we use convergent cross-mapping (CCM) to study
causality between different network components. We demonstrate our MCA framework
in the problem of recovering the motor cortex network associated with hand
movement from resting state fMRI data. Results are compared with a ground truth
of active motor cortex regions as identified by a task-based fMRI sequence
involving a finger-tapping stimulation experiment. Our results regarding
causation between regions of the motor cortex revealed a significant directional
variability and were not readily interpretable in a consistent manner across
subjects. However, our results on whole-slice fMRI analysis demonstrate that MCA
based model-free recovery of regions associated with the primary motor cortex and
supplementary motor area are in close agreement with localization of similar
regions achieved with a task-based fMRI acquisition. Thus, we conclude that our
MCA methodology can extract and visualize valuable information concerning the
underlying network structure between different regions of the brain in resting
state fMRI.
PMID- 29367793
TI - Phylogenetic classification and generic delineation of Calyptosphaeria gen. nov.,
Lentomitella, Spadicoides and Torrentispora (Sordariomycetes).
AB - The genus Ceratostomella has a long history of taxonomic confusion. While species
with evanescent asci have been transferred to the Microascales and
Ophiostomatales, the taxonomic status of species with persistent asci has not
been completely resolved. In previous studies using DNA sequence data, cultures
and morphology, several Ceratostomella spp. were allocated in 13 genera in the
Eurotiomycetes and Sordariomycetes. In our study, the systematics of the
remaining Ceratostomella spp. with persistent asci is revisited with new
collection data, cultures and phylogeny based on novel DNA sequences from six
nuclear loci. Bayesian inference and Maximum Likelihood analyses support the
monophyly of several wood-inhabiting species formerly classified in
Ceratostomella and other unknown morphologically similar taxa and their division
into four genera, i.e. Lentomitella, Spadicoides, Torrentispora and the newly
described Calyptosphaeria. This robust clade represents the order
Xenospadicoidales in the Sordariomycetidae. Comparative analysis of the ITS2
secondary structure revealed a genetic variation among Lentomitella isolates; 11
species were recognised, of which five are newly introduced and two are new
combinations. Other taxonomic novelties include four new species and eight new
combinations in Calyptosphaeria, Spadicoides, and Torrentispora. Molecular data
suggest that Spadicoides is polyphyletic. The core of the genus is positioned in
the Xenospadicoidales; Spadicoides s. str. is experimentally linked with sexual
morphs for the first time. Based on DNA sequence data, the monotypic genera
Xenospadicoides and Pseudodiplococcium are reduced to synonymy under Spadicoides,
while Fusoidispora and Pseudoannulatascus are synonymised with Torrentispora.
Members of the Xenospadicoidales inhabit decaying wood in terrestrial and
freshwater environments and share a few morphological characters such as the
absence of stromatic tissue, ascomata with a cylindrical or rostrate neck,
similar anatomies of the ascomatal walls, thin-walled unitunicate asci with a non
amyloid apical annulus, disintegrating paraphyses, usually ellipsoidal to
fusiform ascospores and holoblastic-denticulate or tretic conidiogenesis. Revised
Ceratostomella spp. with persistent asci are listed and the taxonomic status of
each species is re-evaluated based on revision of the holotype and other
representative material, published details and available phylogenetic data.
PMID- 29367797
TI - Assessing vertebral fracture risk on volumetric quantitative computed tomography
by geometric characterization of trabecular bone structure.
AB - The current clinical standard for measuring Bone Mineral Density (BMD) is dual X
ray absorptiometry, however more recently BMD derived from volumetric
quantitative computed tomography has been shown to demonstrate a high association
with spinal fracture susceptibility. In this study, we propose a method of
fracture risk assessment using structural properties of trabecular bone in spinal
vertebrae. Experimental data was acquired via axial multi-detector CT (MDCT) from
12 spinal vertebrae specimens using a whole-body 256-row CT scanner with a
dedicated calibration phantom. Common image processing methods were used to
annotate the trabecular compartment in the vertebral slices creating a circular
region of interest (ROI) that excluded cortical bone for each slice. The pixels
inside the ROI were converted to values indicative of BMD. High dimensional
geometrical features were derived using the scaling index method (SIM) at
different radii and scaling factors (SF). The mean BMD values within the ROI were
then extracted and used in conjunction with a support vector machine to predict
the failure load of the specimens. Prediction performance was measured using the
root-mean-square error (RMSE) metric and determined that SIM combined with mean
BMD features (RMSE = 0.82 +/- 0.37) outperformed MDCT-measured mean BMD (RMSE =
1.11 +/- 0.33) (p < 10-4). These results demonstrate that biomechanical strength
prediction in vertebrae can be significantly improved through the use of SIM
derived texture features from trabecular bone.
PMID- 29367798
TI - NEURAL RESPONSES TO APPEARANCE-BEHAVIOR CONGRUITY.
AB - Research evidences stronger reactions toward those whose behaviors seem
consistent with appearance. To better understand the processes underlying
appearance-behavior congruity effects, we assessed regions responding as a
function of the congruity between visual (appearance) and abstract (behavior)
cues. Using fMRI, trustworthy- and untrustworthy-looking faces were paired with
positive, negative, or neutral behaviors. Approach judgments were stronger for
congruent over incongruent targets, replicating prior work. Incongruent targets
(e.g., untrustworthy face/positive behavior) elicited medial prefrontal (mPFC)
and dorsolateral prefrontal (dlPFC) cortex activity more than congruent (e.g.,
untrustworthy face/negative behavior), suggesting processing incongruent targets
requires additional mentalizing and controlled processing. Individual differences
in enjoying interpersonal interactions negatively correlated with mPFC activity
toward incongruent over congruent targets, suggesting more effortful processing
of incongruent targets for individuals with lower levels of social motivation.
These findings indicate mPFC contributions to processing incongruent appearance
behavior cues, but suggest that individual differences may temper the extent of
this effect.
PMID- 29367799
TI - Do Gender Differences in Perceived Prototypical Computer Scientists and Engineers
Contribute to Gender Gaps in Computer Science and Engineering?
AB - Women are vastly underrepresented in the fields of computer science and
engineering (CS&E). We examined whether women might view the intellectual
characteristics of prototypical individuals in CS&E in more stereotype-consistent
ways than men might and, consequently, show less interest in CS&E. We asked 269
U.S. college students (187, 69.5% women) to describe the prototypical computer
scientist (Study 1) or engineer (Study 2) through open-ended descriptions as well
as through a set of trait ratings. Participants also rated themselves on the same
set of traits and rated their similarity to the prototype. Finally, participants
in both studies were asked to describe their likelihood of pursuing future
college courses and careers in computer science (Study 1) or engineering (Study
2). Across both studies, we found that women offered more stereotype-consistent
ratings than did men of the intellectual characteristics of prototypes in CS
(Study 1) and engineering (Study 2). Women also perceived themselves as less
similar to the prototype than men did. Further, the observed gender differences
in prototype perceptions mediated the tendency for women to report lower interest
in CS&E fields relative to men. Our work highlights the importance of prototype
perceptions for understanding the gender gap in CS&E and suggests avenues for
interventions that may increase women's representation in these vital fields.
PMID- 29367800
TI - The long-term studies of osmotic membrane distillation.
AB - The results of osmotic membrane distillation carried out for 2.5 years were
presented in this work. The influence of the process conditions, such as
temperature and brine concentration on the permeate flux, was investigated. The
saturated NaCl solutions and distilled water were used as a stripping solution
and feed, respectively. A continuous regeneration of stripping solution was
conducted using a method of natural evaporation from the surface of Bialecki
rings to the air surrounding the installation. The possibilities of application
of Accurel PP S6/2 hydrophobic polypropylene membranes were tested. It was
studied whether a saturation stripping solution does not cause scaling and
wettability of membranes. It was found that most of the pores in the used
membranes were non-wetted, and the salt retention over 99% was maintained during
a study period. However, the obtained permeate flux was decreased by 10-20%. The
SEM examinations revealed that it was caused by amorphous deposit, which was
formed on the membrane surface on the brine side. The SEM-EDS analysis
demonstrated that the deposit composition mainly included Si and O.
PMID- 29367801
TI - Spectroelectrochemistry of poly(3-hexylthiophenes) in solution.
AB - Abstract: The first comprehensive spectroelectrochemical account of the behaviour
of regioregular (RR-P3HT) and statistical (ST-P3HT) poly(3-hexylthiophenes) in
solution is presented, in contrast to the many reports dealing with P3HT films
merely deposited from solution. The conducted experiments revealed that the two
types of P3HTs behave in sharply different ways upon the application of
electrochemical stimuli: ST-P3HT readily precipitates at mildly oxidative
potentials, while the precipitation of the RR-P3HT takes place to a much lesser
extent, even at higher potentials. The two polymers, studied via UV-Vis-NIR-EPR
spectroelectrochemistry, exhibited properties mostly in line with earlier
reports. Further study revealed that RR-P3HT largely remains in solution, even in
its doped form, whereas only traces of the doped ST-P3HT are observed in solution
in identical conditions. The high concentration of the doped RR-P3HT in solution
can be explained by its ability to form soluble polymer agglomerates, in which
the positive charge of the p-doped chains is stabilised by and delocalised over
neighbouring, interacting undoped chains. These conclusions are consistent with
SEM micrographs, which show that after cycling the potential of the electrode in
a solution of ST-P3HT, a uniform layer is formed, covering most of the surface of
the electrode, whereas in the case of RR-P3HT surface coverage is marginal and
formed layer has the appearance of veined blotches. Graphical abstract:
PMID- 29367802
TI - Experimental restoration of coppice-with-standards: Response of understorey
vegetation from the conservation perspective.
AB - A substantial part of European lowland woodlands was managed as coppices or wood
pastures for millennia. However, traditional management forms were almost
completely abandoned in Central Europe by the middle of the 20th century.
Combined with the effects of nitrogen deposition and herbivore pressure, shifts
in management resulted in biodiversity loss affecting particularly light
demanding oligotrophic plant species. Experimental thinning was applied in a
former oak coppice-with-standards in an attempt to restore vanishing understorey
plant communities. Two levels of thinning intensity and zero management as
control were used on 90 plots. Ten years after the treatment, significant changes
in species composition and diversity were observed in heavily thinned plots,
while moderate thinning had mostly insignificant effects. Light-demanding
oligotrophic species significantly increased, indicating positive consequences of
restoration. However, heavy thinning also brought about the expansion of native
ruderal species. Alien species remained unchanged. We conclude that the
restoration of coppice-with-standards can be an efficient tool to support
vanishing light-demanding woodland species. Combined with biodiversity benefits,
the increasing demand for biofuel may contribute to the renaissance of
traditional management forms in forestry.
PMID- 29367803
TI - Regulation of Floral Terpenoid Emission and Biosynthesis in Sweet Basil (Ocimum
basilicum).
AB - Past studies have focused on the composition of essential oil of Ocimum basilicum
leaves, but data on composition and regulation of its aerial emissions,
especially floral volatile emissions are scarce. We studied the chemical profile,
within-flower spatial distribution (sepals, petals, pistils with stamina and
pedicels), diurnal emission kinetics and effects of exogenous methyl jasmonate
(MeJA) application on the emission of floral volatiles by dynamic headspace
collection and identification using gas chromatography-mass spectrometry (GC-MS)
and proton transfer reaction mass spectrometry (PTR-MS). We observed more
abundant floral emissions from flowers compared with leaves. Sepals were the main
emitters of floral volatiles among the flower parts studied. The emissions of
lipoxygenase compounds (LOX) and monoterpenoids, but not sesquiterpene emissions,
displayed a diurnal variation driven by light. Response to exogenous MeJA
treatment of flowers consisted of a rapid stress response and a longer-term
acclimation response. The initial response was associated with enhanced emissions
of fatty acid derivatives, monoterpenoids, and sesquiterpenoids without variation
of the composition of individual compounds. The longer-term response was
associated with enhanced monoterpenoid and sesquiterpenoid emissions with
profound changes in the emission spectrum. According to correlated patterns of
terpenoid emission changes upon stress, highlighted by a hierarchical cluster
analysis, candidate terpenoid synthases responsible for observed diversity and
complexity of released terpenoid blends were postulated. We conclude that flower
volatile emissions differ quantitatively and qualitatively from leaf emissions,
and overall contribute importantly to O. basilicum flavor, especially under
stress conditions.
PMID- 29367804
TI - Understanding How Domestic Violence Support Services Promote Survivor Well-being:
A Conceptual Model.
AB - Domestic violence (DV) victim service programs have been increasingly expected by
legislators and funders to demonstrate that they are making a significant
difference in the lives of those using their services. Alongside this
expectation, they are being asked to describe the Theory of Change guiding how
they believe their practices lead to positive results for survivors and their
children. Having a widely accepted conceptual model is not just potentially
useful to funders and policy makers as they help shape policy and practice -- it
can also help programs continually reflect upon and improve their work. This
paper describes the iterative and collaborative process undertaken to generate a
conceptual model describing how DV victim services are expected to improve
survivors' lives. The Social and Emotional Well-Being Framework guiding the model
is an ideal structure to use to describe the goals and practices of DV programs
because this framework: (1) accurately represents DV programs' goal of helping
survivors and their children thrive; and (2) recognizes the importance of
community, social, and societal context in influencing individuals' social and
emotional well-being. The model was designed to guide practice and to generate
new questions for research and evaluation that address individual, community, and
systems factors that promote or hinder survivor safety and well-being.
PMID- 29367805
TI - "Give me some space": exploring youth to parent aggression and violence.
AB - A small scale qualitative project, undertaken by an interdisciplinary domestic
violence research group involving academic researchers and research assistants,
with colleagues from Independent Domestic Abuse Services (IDAS), investigated
youth aggression and violence against parents. Following the literature review,
data was generated through several research conversations with young people (n =
2), through semi-structured interviews with mothers (n = 3) and practitioners (n
= 5), and through a practitioner focus group (n = 8). Thematic analysis and
triangulation of the data from parents, practitioners and young people, elicited
interconnected and complex overarching themes. Young people could be both victim
and perpetrator. The witnessing or experiencing of domestic aggression and
violence raised the concept of 'bystander children'. The impact of young people
experiencing familial violence was underestimated by parents. For practitioners,
the effects of working with domestic violence was shown to be significant - both
positively and negatively.
PMID- 29367806
TI - Morpho-phonemic analysis boosts word reading for adult struggling readers.
AB - A randomized control trial compared the effects of two kinds of vocabulary
instruction on component reading skills of adult struggling readers. Participants
seeking alternative high school diplomas received 8 h of scripted tutoring to
learn forty academic vocabulary words embedded within a civics curriculum. They
were matched for language background and reading levels, then randomly assigned
to either morpho-phonemic analysis teaching word origins, morpheme and syllable
structures, or traditional whole word study teaching multiple sentence contexts,
meaningful connections, and spellings. Both groups made comparable gains in
learning the target words, but the morpho-phonemic group showed greater gains in
reading unfamiliar words on standardized tests of word reading, including word
attack and word recognition. Findings support theories of word learning and
literacy that promote explicit instruction in word analysis to increase poor
readers' linguistic awareness by revealing connections between morphological,
phonological, and orthographic structures within words.
PMID- 29367807
TI - Rhythm production at school entry as a predictor of poor reading and spelling at
the end of first grade.
AB - Rhythm plays an organisational role in the prosody and phonology of language, and
children with literacy difficulties have been found to demonstrate poor rhythmic
perception. This study explored whether students' performance on a simple rhythm
task at school entry could serve as a predictor of whether they would face
difficulties in word reading and spelling at the end of grade 1. The participants
were 479 Norwegian 6-year-old first graders randomized as controls in the
longitudinal RCT on track (n = 1171). Rhythmic timing and pre-reading skills were
tested individually at school entry on a digital tablet. On the rhythm task, the
students were told to tap a drum appearing on the screen to two different rhythms
(2 Hz paced and 1.5 Hz paced). Children's responses were recorded as they tapped
on the screen with their index finger. Significant group differences were found
in rhythm tapping ability measured at school entry, when groups were defined upon
whether children went on to score above or below the 20th percentile reading and
spelling thresholds in national assessment tests at the end of grade one.
Inclusion of the school-entry rhythmic tapping measure into a model of
classification accuracy for above or below threshold reading and spelling
improved accuracy of classification by 6.2 and 9.2% respectively.
PMID- 29367808
TI - Spin-label Order Parameter Calibrations for Slow Motion.
AB - Calibrations are given to extract orientation order parameters from pseudo-powder
electron paramagnetic resonance line shapes of 14N-nitroxide spin labels
undergoing slow rotational diffusion. The nitroxide z-axis is assumed parallel to
the long molecular axis. Stochastic-Liouville simulations of slow-motion 9.4-GHz
spectra for molecular ordering with a Maier-Saupe orientation potential reveal a
linear dependence of the splittings, [Formula: see text] and [Formula: see text],
of the outer and inner peaks on order parameter [Formula: see text] that depends
on the diffusion coefficient [Formula: see text] which characterizes fluctuations
of the long molecular axis. This results in empirical expressions for order
parameter and isotropic hyperfine coupling: [Formula: see text] and [Formula: see
text], respectively. Values of the calibration constants [Formula: see text],
[Formula: see text], [Formula: see text], [Formula: see text] and [Formula: see
text] are given for different values of [Formula: see text] in fast and slow
motional regimes. The calibrations are relatively insensitive to anisotropy of
rotational diffusion [Formula: see text], and corrections are less significant
for the isotropic hyperfine coupling than for the order parameter.
PMID- 29367809
TI - Noise and Dissipation on Coadjoint Orbits.
AB - We derive and study stochastic dissipative dynamics on coadjoint orbits by
incorporating noise and dissipation into mechanical systems arising from the
theory of reduction by symmetry, including a semidirect product extension. Random
attractors are found for this general class of systems when the Lie algebra is
semi-simple, provided the top Lyapunov exponent is positive. We study in details
two canonical examples, the free rigid body and the heavy top, whose stochastic
integrable reductions are found and numerical simulations of their random
attractors are shown.
PMID- 29367810
TI - Particle Interactions Mediated by Dynamical Networks: Assessment of Macroscopic
Descriptions.
AB - We provide a numerical study of the macroscopic model of Barre et al. (Multiscale
Model Simul, 2017, to appear) derived from an agent-based model for a system of
particles interacting through a dynamical network of links. Assuming that the
network remodeling process is very fast, the macroscopic model takes the form of
a single aggregation-diffusion equation for the density of particles. The
theoretical study of the macroscopic model gives precise criteria for the phase
transitions of the steady states, and in the one-dimensional case, we show
numerically that the stationary solutions of the microscopic model undergo the
same phase transitions and bifurcation types as the macroscopic model. In the two
dimensional case, we show that the numerical simulations of the macroscopic model
are in excellent agreement with the predicted theoretical values. This study
provides a partial validation of the formal derivation of the macroscopic model
from a microscopic formulation and shows that the former is a consistent
approximation of an underlying particle dynamics, making it a powerful tool for
the modeling of dynamical networks at a large scale.
PMID- 29367811
TI - On the Quasistatic Limit of Dynamic Evolutions for a Peeling Test in Dimension
One.
AB - The aim of this paper is to study the quasistatic limit of a one-dimensional
model of dynamic debonding. We start from a dynamic problem that strongly couples
the wave equation in a time-dependent domain with Griffith's criterion for the
evolution of the domain. Passing to the limit as inertia tends to zero, we find
that the limit evolution satisfies a stability condition; however, the activation
rule in Griffith's (quasistatic) criterion does not hold in general, thus the
limit evolution is not rate-independent.
PMID- 29367813
TI - New half-discrete Hilbert inequalities for three variables.
AB - In this paper, we obtain two new half-discrete Hilbert inequalities for three
variables. The obtained inequalities are with the best constant factor. Moreover,
we give their equivalent forms.
PMID- 29367814
TI - Convergence analysis of modulus-based matrix splitting iterative methods for
implicit complementarity problems.
AB - In this paper, we demonstrate a complete version of the convergence theory of the
modulus-based matrix splitting iteration methods for solving a class of implicit
complementarity problems proposed by Hong and Li (Numer. Linear Algebra Appl.
23:629-641, 2016). New convergence conditions are presented when the system
matrix is a positive-definite matrix and an [Formula: see text]-matrix,
respectively.
PMID- 29367812
TI - Estrogen receptor subtype ratio change protects against podocyte damage.
AB - Women are relatively protected against the development and progression of
glomerulosclerosis (GS) prior to menopause. However, the "female advantage" is
lost in women who are either diabetic, post-menopausal or both. We showed that
17beta-estradiol (E2) was effective in prevention of diabetic GS development in
part through the stabilization of podocyte cytoskeleton and a change in estrogen
receptor (ER) subtype ratio. The objective of this study was to examine whether
resveratrol (RSV), reported to have estrogen-like action and renoprotective
activity against diabetic GS, would affect similar pathways. After in vitro
treatment with RSV we found a change in the ERalpha and ERbeta expression ratio
in favor of ERbeta, suppression of heat shock protein 25 (Hsp25) expression and
increase in beta1-integrin expression, important for maintaining podocyte
cytoskeleton. We noted a reduction of insulin-like growth factor 1 receptor
(IGFR1) expression, decrease in extracellular signal-regulated kinase (ERK)
activation, decrease in reactive oxygen species (ROS), and decrease in cleaved
caspase 3 expression. We found an increase in [3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide] (MTT) and an increase in matrix metalloproteinases
(MMP-2 and MMP-9) activity. Using cre-loxP strategy we developed podocyte
specific ERalpha knockout mice to show the importance of ERbeta. In isolated
podocytes, we confirmed reduction of ERalpha expression in conjunction with a
decrease in IGFR1 expression, ERK and increase of MMP-2 similar to that of our in
vitro treatment with RSV. Taken together these data suggest an important role for
ERbeta and ER subtype ratio in podocyte stabilization. Therefore RSV or other
regulators of ER pathways could offer protection against diabetic and age-related
podocyte changes.
PMID- 29367815
TI - On Pell, Pell-Lucas, and balancing numbers.
AB - In this paper, we derive some identities on Pell, Pell-Lucas, and balancing
numbers and the relationships between them. We also deduce some formulas on the
sums, divisibility properties, perfect squares, Pythagorean triples involving
these numbers. Moreover, we obtain the set of positive integer solutions of some
specific Pell equations in terms of the integer sequences mentioned in the text.
PMID- 29367816
TI - Simultaneous and semi-alternating projection algorithms for solving split
equality problems.
AB - In this article, we first introduce two simultaneous projection algorithms for
solving the split equality problem by using a new choice of the stepsize, and
then propose two semi-alternating projection algorithms. The weak convergence of
the proposed algorithms is analyzed under standard conditions. As applications,
we extend the results to solve the split feasibility problem. Finally, a
numerical example is presented to illustrate the efficiency and advantage of the
proposed algorithms.
PMID- 29367817
TI - Some inequalities on the spectral radius of matrices.
AB - Let [Formula: see text] be nonnegative matrices. In this paper, some upper bounds
for the spectral radius [Formula: see text] are proposed. These bounds generalize
some existing results, and comparisons between these bounds are also considered.
PMID- 29367819
TI - The uniqueness of a nonlinear diffusion equation related to the p-Laplacian.
AB - Consider a nonlinear diffusion equation related to the p-Laplacian. Different
from the usual evolutionary p-Laplacian equation, the equation is degenerate on
the boundary due to the fact that the diffusion coefficient is dependent on the
distance function. Not only the existence of the weak solution is established,
but also the uniqueness of the weak solution is proved.
PMID- 29367818
TI - Some weighted inequalities for Hausdorff operators and commutators.
AB - In this paper, we consider the problem of boundedness of Hausdorff operator on
weighted central Morrey spaces. In particular, we obtain sharp bounds for
Hausdorff operators on power weighted central Morrey spaces. Analogous results
for the commutators of Hausdorff operators when the symbol functions belong to
weighted central-BMO spaces are obtained as well.
PMID- 29367820
TI - Schur convexity of the generalized geometric Bonferroni mean and the relevant
inequalities.
AB - In this paper, we discuss the Schur convexity, Schur geometric convexity and
Schur harmonic convexity of the generalized geometric Bonferroni mean. Some
inequalities related to the generalized geometric Bonferroni mean are established
to illustrate the applications of the obtained results.
PMID- 29367821
TI - On almost B-summable double sequence spaces.
AB - The concept of a four-dimensional generalized difference matrix and its domain on
some double sequence spaces was recently introduced and studied by Tug and Basar
(AIP Conference Proceedings, vol. 1759, 2016) and Tug (J. Inequal. Appl.
2017(1):149, 2017). In this present paper, as a natural continuation of (J.
Inequal. Appl. 2017(1):149, 2017), we introduce new almost null and almost
convergent double sequence spaces [Formula: see text] and [Formula: see text] as
the four-dimensional generalized difference matrix [Formula: see text] domain in
the spaces [Formula: see text] and [Formula: see text], respectively. Firstly, we
prove that the spaces [Formula: see text] and [Formula: see text] of double
sequences are Banach spaces under some certain conditions. Then we give an
inclusion relation of these new almost convergent double sequence spaces.
Moreover, we identify the alpha-dual, [Formula: see text]-dual and gamma-dual of
the space [Formula: see text]. Finally, we characterize some new matrix classes
[Formula: see text], [Formula: see text], and we complete this work with some
significant results.
PMID- 29367822
TI - Berry-Esseen bounds of weighted kernel estimator for a nonparametric regression
model based on linear process errors under a LNQD sequence.
AB - In this paper, the authors investigate the Berry-Esseen bounds of weighted kernel
estimator for a nonparametric regression model based on linear process errors
under a LNQD random variable sequence. The rate of the normal approximation is
shown as [Formula: see text] under some appropriate conditions. The results
obtained in the article generalize or improve the corresponding ones for mixing
dependent sequences in some sense.
PMID- 29367823
TI - The law of the iterated logarithm for LNQD sequences.
AB - Let [Formula: see text] be a stationary LNQD sequence of random variables with
zero means and finite variance. In this paper, by the Kolmogorov type maximal
inequality and Stein's method, we establish the result of the law of the iterated
logarithm for LNQD sequence with less restriction of moment conditions. We also
prove the law of the iterated logarithm for a linear process generated by an LNQD
sequence with the coefficients satisfying [Formula: see text] by a Beveridge and
Nelson decomposition.
PMID- 29367824
TI - Proximal iteratively reweighted algorithm for low-rank matrix recovery.
AB - This paper proposes a proximal iteratively reweighted algorithm to recover a low
rank matrix based on the weighted fixed point method. The weighted singular value
thresholding problem gains a closed form solution because of the special
properties of nonconvex surrogate functions. Besides, this study also has shown
that the proximal iteratively reweighted algorithm lessens the objective function
value monotonically, and any limit point is a stationary point theoretically.
PMID- 29367825
TI - Gap functions for quasi-variational inequalities via duality.
AB - This paper deals with an application of duality theory in optimization to the
construction of gap functions for quasi-variational inequalities. The same
approach was investigated for variational inequalities and equilibrium problems
in (Pac. J. Optim. 2(3): 667-678, 2006; Asia-Pac. J. Oper. Res. 24(3): 353-371,
2007), and the study shows that we can obtain some previous results for
variational inequalities as special cases. Moreover, some applications dealing
with the generalized Nash equilibrium problems and mixed variational inequalities
are presented.
PMID- 29367826
TI - The spectral norms of geometric circulant matrices with the generalized k-Horadam
numbers.
AB - In this paper, we use the algebra methods, the properties of the r-circulant
matrix and the geometric circulant matrix to study the upper and lower bound
estimate problems for the spectral norms of a geometric circulant matrix
involving the generalized k-Horadam numbers, and we obtain some sharp estimations
for them. We can also give a new estimation for the norms of a r-circulant matrix
involving the generalized k-Horadam numbers.
PMID- 29367827
TI - Weighted almost convergence and related infinite matrices.
AB - The purpose of this paper is to introduce the notion of weighted almost
convergence of a sequence and prove that this sequence endowed with the sup-norm
[Formula: see text] is a BK-space. We also define the notions of weighted almost
conservative and regular matrices and obtain necessary and sufficient conditions
for these matrix classes. Moreover, we define a weighted almost A-summable
sequence and prove the related interesting result.
PMID- 29367828
TI - Analysis of propeller-induced ground vortices by particle image velocimetry.
AB - Abstract: The interaction between a propeller and its self-induced vortices
originating on the ground is investigated in a scaled experiment. The velocity
distribution in the flow field in two different planes containing the self
induced vortices is measured by particle image velocimetry (PIV). These planes
are a wall-parallel plane in close proximity to the ground and a wall-normal
plane just upstream of the propeller. Based on the visualization of the flow
field in these two planes, the occurrence of ground vortices and its domain
boundary are analysed. The elevation of the propeller from the ground and the
thrust of the propeller are two parameters that determine the occurrence of
ground vortices. The main features of the propeller inflow in the presence of the
ground vortices are highlighted. Moreover, the analysis of the non-uniform inflow
in the azimuthal direction shows that with increasing the propeller thrust
coefficient and decreasing the elevation of the propeller above the ground, the
variation of the inflow angle of the blade increases. Graphical Abstract:
PMID- 29367829
TI - Numerical analysis of propeller induced ground vortices by actuator disk model.
AB - Abstract: During the ground operation of aircraft, the interaction between the
propulsor-induced flow field and the ground may lead to the generation of ground
vortices. Utilizing numerical approaches, the source of vorticity entering ground
vortices is investigated. The results show that the production of wall-parallel
components of vorticity has a strong contribution from the wall-parallel
components of the pressure gradient on the wall, which is generated by the action
of the propulsor. This mechanism is a supplementation for the vorticity
transported from the far-field boundary layer, which has been assumed the main
vorticity source in a number of previous publications. Furthermore, the
quantitative prediction of the occurrence of ground vortices is performed from
the numerical results. As the distance of the propeller form the ground
decreases, and as the thrust of the propeller increases, ground vortices are
generated from the ground and enter the propeller. In addition, the vortices
which exist near the ground but does not enter the propeller plane are observed
and visualized by three-dimensional data. Graphical abstract:
PMID- 29367830
TI - Numerical simulations of magnetic resonance elastography using finite element
analysis with a linear heterogeneous viscoelastic model.
AB - Abstract: Magnetic resonance elastography (MRE) is a technique to identify the
viscoelastic moduli of biological tissues by solving the inverse problem from the
displacement field of viscoelastic wave propagation in a tissue measured by MRI.
Because finite element analysis (FEA) of MRE evaluates not only the viscoelastic
model for a tissue but also the efficiency of the inversion algorithm, we
developed FEA for MRE using commercial software called ANSYS, the Zener model for
displacement field of a wave inside tissue, and an inversion algorithm called the
modified integral method. The profile of the simulated displacement field by FEA
agrees well with the experimental data measured by MRE for gel phantoms.
Similarly, the value of storage modulus (i.e., stiffness) recovered using the
modified integral method with the simulation data is consistent with the value
given in FEA. Furthermore, applying the suggested FEA to a human liver
demonstrates the effectiveness of the present simulation scheme. Graphical
abstract:
PMID- 29367831
TI - Experimental and numerical study of heat transfer through a synchronous belt
transmission type AT10.
AB - In most industrial applications, the re-use of belts in power transmissions
continues to increase and invade the market especially in automobiles where
various types of belts are used. For this purpose, the belt manufacturers are
aware of the importance and the valuable advantages of this transmission member
and put all their efforts to develop and improve its geometrical and mechanical
characteristics in order to achieve an optimal service life. Indeed, this article
is devoted to an experimental and numerical thermal study of a toothed belt
transmission type AT10. The tests were carried out on an original derrick of test
for the purpose of measuring belt and pulley temperatures, detector devices and
data acquisitions will also be described. Further, we have developed a model that
calculates the heat flux through our pulley-belt transmission. The results will
be synthesized to make a comparison between two thermal fluxes. The first is
determined from our mathematical model and the second is calculated using the
thermal simulation of this belt pulley transmission. Finally, a discussion of the
results, which will allow us to fully understand this thermal phenomenon.
PMID- 29367832
TI - Resistive states in strontium titanate thin films: Bias effects and mechanisms at
high and low temperature.
AB - A study on charge transport properties of thin film Fe-doped SrTiO3 epitaxially
grown on Nb-doped SrTiO3 is reported. Electric measurements between 350 degrees
C and 750 degrees C show a transition from predominant ionic to electronic
conduction and lower conductivity of the thin films compared to the bulk of
polycrystalline samples. Defect chemical changes at elevated temperature were
investigated by applying a bias voltage. A model is described which successfully
predicts additional features such as inductive loops or extra semicircles
measureable by impedance spectroscopy as well as the complicated time dependence
of electric DC-measurements. With this model it is also possible to calculate the
negligibly small ionic conductivity next to the dominating electronic
conductivity in the high temperature regime. The ionic conductivity is referenced
by oxygen isotope depth profiling. Changes of resistive states in Fe-doped SrTiO3
thin films at high temperature and moderate fields are compared to room
temperature resistive switching phenomena at high electric fields. A conductive
filament based switching process is observed at room temperature, and the
capability for forming such filaments and their electric properties is further
analysed using microelectrodes.
PMID- 29367833
TI - Forecast and analysis of the cosmological redshift drift.
AB - The cosmological redshift drift could lead to the next step in high-precision
cosmic geometric observations, becoming a direct and irrefutable test for cosmic
acceleration. In order to test the viability and possible properties of this
effect, also called Sandage-Loeb (SL) test, we generate a model-independent mock
data set in order to compare its constraining power with that of the future mock
data sets of Type Ia Supernovae (SNe) and Baryon Acoustic Oscillations (BAO). The
performance of those data sets is analyzed by testing several cosmological models
with the Markov chain Monte Carlo (MCMC) method, both independently as well as
combining all data sets. Final results show that, in general, SL data sets allow
for remarkable constraints on the matter density parameter today [Formula: see
text] on every tested model, showing also a great complementarity with SNe and
BAO data regarding dark energy parameters.
PMID- 29367835
TI - Effects of bedtime periocular and posterior cervical cutaneous warming on sleep
status in adult male subjects: a preliminary study.
AB - Appropriate warming of the periocular or posterior cervical skin has been
reported to induce autonomic or mental relaxation in humans. To clarify the
effects of cutaneous warming on human sleep, eight male subjects with mild sleep
difficulties were asked to try three experimental conditions at home, each
lasting for 5 days, in a cross-over manner: warming of the periocular skin with a
warming device for 10 min before habitual bedtime, warming of the posterior
cervical skin with a warming device for 30 min before habitual bedtime, and no
treatment as a control. The warming device had a heat- and steam-generating sheet
that allowed warming of the skin to 40 degrees C through a chemical reaction
with iron. Electroencephalograms (EEGs) were recorded during nocturnal sleep
using an ambulatory EEG device and subjected to spectral analysis. All the
participants reported their sleep status using a visual analog scale. We found
that warming of the periocular or posterior cervical skin significantly improved
subjective sleep status relative to the control. The EEG delta power density in
the first 90 min of the sleep episode was significantly increased under both
warming of the periocular or posterior cervical skin relative to the control.
These results suggest that warming of appropriate skin regions may have favorable
effects on subjective and objective sleep quality.
PMID- 29367834
TI - Physiological and autonomic stress responses after prolonged sleep restriction
and subsequent recovery sleep in healthy young men.
AB - Purpose: Sleep restriction is increasingly common and associated with the
development of health problems. We investigated how the neuroendocrine stress
systems respond to prolonged sleep restriction and subsequent recovery sleep in
healthy young men. Methods: After two baseline (BL) nights of 8 h time in bed
(TIB), TIB was restricted to 4 h per night for five nights (sleep restriction,
SR, n = 15), followed by three recovery nights (REC) of 8 h TIB, representing a
busy workweek and a recovery weekend. The control group (n = 8) had 8 h TIB
throughout the experiment. A variety of autonomic cardiovascular parameters,
together with salivary neuropeptide Y (NPY) and cortisol levels, were assessed.
Results: In the control group, none of the parameters changed. In the
experimental group, heart rate increased from 60 +/- 1.8 beats per minute (bpm)
at BL, to 63 +/- 1.1 bpm after SR and further to 65 +/- 1.8 bpm after REC. In
addition, whole day low-frequency to-high frequency (LF/HF) power ratio of heart
rate variability increased from 4.6 +/- 0.4 at BL to 6.0 +/- 0.6 after SR. Other
parameters, including salivary NPY and cortisol levels, remained unaffected.
Conclusions: Increased heart rate and LF/HF power ratio are early signs of an
increased sympathetic activity after prolonged sleep restriction. To reliably
interpret the clinical significance of these early signs of physiological stress,
a follow-up study would be needed to evaluate if the stress responses escalate
and lead to more unfavourable reactions, such as elevated blood pressure and a
subsequent elevated risk for cardiovascular health problems.
PMID- 29367836
TI - Polytolerance to abiotic stresses: how universal is the shade-drought tolerance
trade-off in woody species?
AB - Aims: According to traditional ecophysiological theories stress tolerance of
plants is predominately determined by universal physiochemical constraints. Plant
acclimation to environmental stress therefore compromises plant performance under
a different stress, hindering successful toleration of several abiotic stress
factors simultaneously. Yet, recent studies have shown that these trade-offs are
less exclusive than postulated so far, leaving more wiggle room for gaining
polytolerance through adaptations We tested whether the polytolerance to shade
and drought depends on cold and waterlogging tolerances - hypothesizing that
polytolerance patterns in different species groups (angiosperms vs. gymnosperms;
deciduous vs. evergreen; species originating from North America, Europe and East
Asia) depend on the length of the vegetation period and species's dormancy
through limiting the duration of favourable growing season. Location: Northern
hemisphere. Methods: Our study analyzed four main abiotic stress factors - shade,
drought, cold and waterlogging stress - for 806 Northern hemisphere woody species
using cross-calibrated tolerance rankings. The importance of trade-offs among
species ecological potentials was evaluated using the species-specific estimates
of polytolerance to chosen factors. Results: We found that both cold and
waterlogging tolerance are negatively related to species' capabilities of
simultaneously tolerating low light and water conditions. While this pattern was
different in angiosperms and gymnosperms, species region of origin and leaf type
had no effect on this relationship. Main conclusions: Our results demonstrate
that adaptation to different abiotic stress factors in woody plants is highly
complex. Vegetation period length and dormancy are the key factors explaining why
woody plants are less capable of tolerating both shade and drought in habitats
where vegetation period is relatively short and water table high. While dormancy
enables angiosperms to more successfully face additional stress factors besides
shade and drought, gymnosperms have lower polytolerance, but are better
tolerators of shade and drought when other environmental factors are favorable.
PMID- 29367838
TI - An integrated remote sensing and GIS approach for monitoring areas affected by
selective logging: A case study in northern Mato Grosso, Brazilian Amazon.
AB - Forest cover disturbances due to processes such as logging and forest fires are a
widespread issue especially in the tropics, and have heavily affected forest
biomass and functioning in the Brazilian Amazon in the past decades. Satellite
remote sensing has played a key role for assessing logging activities in this
region; however, there are still remaining challenges regarding the
quantification and monitoring of these processes affecting forested lands. In
this study, we propose a new method for monitoring areas affected by selective
logging in one of the hotspots of Mato Grosso state in the Brazilian Amazon,
based on a combination of object-based and pixel-based classification approaches
applied on remote sensing data. Logging intensity and changes over time are
assessed within grid cells of 300 m * 300 m spatial resolution. Our method
encompassed three main steps: (1) mapping forest/non-forest areas through an
object-based classification approach applied to a temporal series of Landsat
images during the period 2000-2015, (2) mapping yearly logging activities from
soil fraction images on the same Landsat data series, and (3) integrating
information from previous steps within a regular grid-cell of 300 m * 300 m in
order to monitor disturbance intensities over this 15-years period. The overall
accuracy of the baseline forest/non-forest mask (year 2000) and of the
undisturbed vs disturbed forest (for selected years) were 93% and 84%
respectively. Our results indicate that annual forest disturbance rates, mainly
due to logging activities, were higher than annual deforestation rates during the
whole period of study. The deforested areas correspond to circa 25% of the areas
affected by forest disturbances. Deforestation rates were highest from 2001 to
2005 and then decreased considerably after 2006. In contrast, the annual forest
disturbance rates show high temporal variability with a slow decrease over the 15
year period, resulting in a significant increase of the ratio between disturbed
and deforested areas. Although the majority of the areas, which have been
affected by selective logging during the period 2000-2014, were not deforested by
2015, more than 70% of the deforested areas in 2015 had been at least once
identified as disturbed forest during that period.
PMID- 29367839
TI - A comparison of sample preparation methods for extracting volatile organic
compounds (VOCs) from equine faeces using HS-SPME.
AB - Introduction: Disturbance to the hindgut microbiota can be detrimental to equine
health. Metabolomics provides a robust approach to studying the functional aspect
of hindgut microorganisms. Sample preparation is an important step towards
achieving optimal results in the later stages of analysis. The preparation of
samples is unique depending on the technique employed and the sample matrix to be
analysed. Gas chromatography mass spectrometry (GCMS) is one of the most widely
used platforms for the study of metabolomics and until now an optimised method
has not been developed for equine faeces. Objectives: To compare a sample
preparation method for extracting volatile organic compounds (VOCs) from equine
faeces. Methods: Volatile organic compounds were determined by headspace solid
phase microextraction gas chromatography mass spectrometry (HS-SPME-GCMS).
Factors investigated were the mass of equine faeces, type of SPME fibre coating,
vial volume and storage conditions. Results: The resultant method was unique to
those developed for other species. Aliquots of 1000 or 2000 mg in 10 ml or 20 ml
SPME headspace were optimal. From those tested, the extraction of VOCs should
ideally be performed using a divinylbenzene-carboxen-polydimethysiloxane (DVB-CAR
PDMS) SPME fibre. Storage of faeces for up to 12 months at - 80 degrees C shared
a greater percentage of VOCs with a fresh sample than the equivalent stored at -
20 degrees C. Conclusions: An optimised method for extracting VOCs from equine
faeces using HS-SPME-GCMS has been developed and will act as a standard to enable
comparisons between studies. This work has also highlighted storage conditions as
an important factor to consider in experimental design for faecal metabolomics
studies.
PMID- 29367837
TI - Appetite-Related Gut Peptides in Obesity and Binge Eating Disorder.
AB - The worldwide increase in obesity prevalence is a result of positive energy
balance, with energy intake exceeding expenditure. The eating behavior in obesity
ranges from mild passive overconsumption to excessive overeating with loss of
control observed in binge eating disorder (BED). The signaling systems that
underlie appetite control in BED are complex and, at this point, not well
understood. The present review highlights the current knowledge of key components
of the gut peptide system and examines evidence of defects in signaling that
differentiate obese binge eaters from obese non-binge eaters. The signaling
network underlying hunger, satiety, and metabolic status includes leptin and
insulin from energy stores and cholecystokinin, glucagon-like peptide-1, peptide
YY(3-36), and ghrelin from the gastrointestinal tract. Of the many
gastrointestinal peptides, ghrelin is the only established appetite-stimulating
one, whereas cholecystokinin, glucagon-like peptide-1, and peptide YY(3-36)
promote satiety. Adipose tissue provides hormonal signals via leptin and insulin
to the brain about energy stores and likely from adiponectin and resistin. Binge
eating has been related to a dysfunction in the ghrelin signaling system.
Moreover, the larger gastric capacity observed in BED may further reduce satiety
signals and contribute to overeating.
PMID- 29367840
TI - Fitness costs associated with infections of secondary endosymbionts in the
cassava whitefly species Bemisia tabaci.
AB - We investigated the dual effects of bacterial infections and diseased cassava
plants on the fitness and biology of the Bemisia tabaci infesting cassava in
Africa. Isofemale B. tabaci colonies of sub-Saharan Africa 1-subgroup 3 (SSA1
SG3), infected with two secondary endosymbiotic bacteria Arsenophonus and
Rickettsia (AR+) and those free of AR infections (AR-), were compared for fitness
parameters on healthy and East African cassava mosaic virus-Uganda variant (EACMV
UG)-infected cassava plants. The whitefly fecundity and nymph development was not
affected by bacterial infections or the infection of cassava by the virus.
However, emergence of adults from nymphs was 50 and 17% higher by AR- on healthy
and virus-infected plants, respectively, than AR+ flies. Development time of
adults also was 10 days longer in AR+ than AR-. The whiteflies were further
compared for acquisition and retention of EACMV-UG. Higher proportion of AR-
acquired (91.8%) and retained (87.6%) the virus than AR+ (71.8, 61.2%,
respectively). Similarly, the AR- flies retained higher quantities of virus
(~ninefold more) than AR+. These results indicated that bacteria-free whiteflies
were superior and better transmitters of EACMV-UG, as they had higher adult
emergence, quicker life cycle and better virus retention abilities than those
infected with bacteria.
PMID- 29367841
TI - Effect of synthetic hormones on reproduction in Mastomys natalensis.
AB - Rodent pest management traditionally relies on some form of lethal control.
Developing effective fertility control for pest rodent species could be a major
breakthrough particularly in the context of managing rodent population outbreaks.
This laboratory-based study is the first to report on the effects of using
fertility compounds on an outbreaking rodent pest species found throughout sub
Saharan Africa. Mastomys natalensis were fed bait containing the synthetic
steroid hormones quinestrol and levonorgestrel, both singly and in combination,
at three concentrations (10, 50, 100 ppm) for 7 days. Consumption of the bait and
animal body mass was mostly the same between treatments when analysed by sex, day
and treatment. However, a repeated measures ANOVA indicated that quinestrol and
quinestrol + levonorgestrel treatments reduced consumption by up to 45%,
particularly at the higher concentrations of 50 and 100 ppm. Although there was
no clear concentration effect on animal body mass, quinestrol and quinestrol +
levonorgestrel lowered body mass by up to 20% compared to the untreated and
levonorgestrel treatments. Quinestrol and quinestrol + levonorgestrel reduced the
weight of male rat testes, epididymis and seminal vesicles by 60-80%, and sperm
concentration and motility were reduced by more than 95%. No weight changes were
observed to uterine and ovarian tissue; however, high uterine oedema was observed
among all female rats consuming treated bait at 8 and 40 days from trial start.
Trials with mate pairing showed there were significant differences in the
pregnancy rate with all treatments when compared to the untreated control group
of rodents.
PMID- 29367842
TI - Direct and indirect influences of intercrops on the coconut defoliator Opisina
arenosella.
AB - Coconut palm (Cocos nucifera) infestation by Opisina arenosella (Lepidoptera:
Oecophoridae) in the Indian subcontinent may occur in November to May each year
in the same or adjoining areas of plantations. Parasitoids of O. arenosella may
also be consistently present at these times. During other periods, pests and/or
parasitoids could be maintained on intercrops that are commonly grown throughout
the year. Field surveys of 54 intercrop species in Kerala, India, found that O.
arenosella attacks banana, but not others, while laboratory screening showed that
O. arenosella can mature on jack fruit, cashew and oil palm. Larvae of 20
lepidopteran species found on intercrops were screened for use by Goniozus
nephantidis (Hymenoptera: Bethylidae), a larval parasitoid of O. arenosella,
which oviposited on two species but its offspring failed to mature. Thirteen
intercrop herbivore species were screened for use by Brachymeria nosatoi
(Hymenoptera: Chalcididae), a pupal parasitoid of O. arenosella, which completed
development on the pyralids Herculia nigrivita, Syllepte derogata and Psara
basalis. Further, connectance trophic webs were compiled using prior field
records of coconut, 33 species of intercrops, 58 species of lepidopteran
herbivores and 29 species of primary parasitoids. Both laboratory and literature
evidence suggests that populations of O. arenosella are unlikely to be maintained
by feeding on intercrops or strongly influenced by direct competition with other
lepidopterans but are likely to be affected by sharing parasitoids. Intercrop
herbivores have clear potential for maintaining parasitoids of O. arenosella, and
we recommend thirteen plant species as intercrops that should aid in conservation
biocontrol.
PMID- 29367843
TI - DnaJ/Hsp40 Family and Parkinson's Disease.
AB - Parkinson's disease (PD) is the second most common devastating neurodegenerative
disorder after Alzheimer's disease. The precise molecular and cellular basis
underlying PD still remains uncertain; however, accumulating evidence suggests
that neuronal cell death is caused by a combination of environmental and genetic
factors. Over the previous two decades, more than 20 genes have been identified
as the cause of and/or risk for PD. Because sporadic and familial forms of PD
have many similarities in clinical and neuropathological features, common
molecular pathways, such as aberrant mitochondrial and protein homeostasis, are
likely to exist in both conditions. Of the various genes and proteins involved in
PD, the versatile DnaJ/Hsp40 co-chaperones have attracted particular attention
since several genes encoding this protein family have been successively
identified as the cause of the familial forms of PD/Parkinsonism. In this review,
we will introduce the current knowledge regarding the integratory and modulatory
effect of DnaJ/Hsp40 in various cellular functions and argue how the failure of
these proteins may initiate and/or facilitate of the disease.
PMID- 29367844
TI - Electroencephalography Amplitude Modulation Analysis for Automated Affective
Tagging of Music Video Clips.
AB - The quantity of music content is rapidly increasing and automated affective
tagging of music video clips can enable the development of intelligent retrieval,
music recommendation, automatic playlist generators, and music browsing
interfaces tuned to the users' current desires, preferences, or affective states.
To achieve this goal, the field of affective computing has emerged, in particular
the development of so-called affective brain-computer interfaces, which measure
the user's affective state directly from measured brain waves using non-invasive
tools, such as electroencephalography (EEG). Typically, conventional features
extracted from the EEG signal have been used, such as frequency subband powers
and/or inter-hemispheric power asymmetry indices. More recently, the coupling
between EEG and peripheral physiological signals, such as the galvanic skin
response (GSR), have also been proposed. Here, we show the importance of EEG
amplitude modulations and propose several new features that measure the amplitude
amplitude cross-frequency coupling per EEG electrode, as well as linear and non
linear connections between multiple electrode pairs. When tested on a publicly
available dataset of music video clips tagged with subjective affective ratings,
support vector classifiers trained on the proposed features were shown to
outperform those trained on conventional benchmark EEG features by as much as 6,
20, 8, and 7% for arousal, valence, dominance and liking, respectively. Moreover,
fusion of the proposed features with EEG-GSR coupling features showed to be
particularly useful for arousal (feature-level fusion) and liking (decision-level
fusion) prediction. Together, these findings show the importance of the proposed
features to characterize human affective states during music clip watching.
PMID- 29367845
TI - Reproducibility of Heart Rate Variability Is Parameter and Sleep Stage Dependent.
AB - Objective: Measurements of heart rate variability (HRV) during sleep have become
increasingly popular as sleep could provide an optimal state for HRV assessments.
While sleep stages have been reported to affect HRV, the effect of sleep stages
on the variance of HRV parameters were hardly investigated. We aimed to assess
the variance of HRV parameters during the different sleep stages. Further, we
tested the accuracy of an algorithm using HRV to identify a 5-min segment within
an episode of slow wave sleep (SWS, deep sleep). Methods: Polysomnographic (PSG)
sleep recordings of 3 nights of 15 healthy young males were analyzed. Sleep was
scored according to conventional criteria. HRV parameters of consecutive 5-min
segments were analyzed within the different sleep stages. The total variance of
HRV parameters was partitioned into between-subjects variance, between-nights
variance, and between-segments variance and compared between the different sleep
stages. Intra-class correlation coefficients of all HRV parameters were
calculated for all sleep stages. To identify an SWS segment based on HRV, Pearson
correlation coefficients of consecutive R-R intervals (rRR) of moving 5-min
windows (20-s steps). The linear trend was removed from the rRR time series and
the first segment with rRR values 0.1 units below the mean rRR for at least 10
min was identified. A 5-min segment was placed in the middle of such an
identified segment and the corresponding sleep stage was used to assess the
accuracy of the algorithm. Results: Good reproducibility within and across nights
was found for heart rate in all sleep stages and for high frequency (HF) power in
SWS. Reproducibility of low frequency (LF) power and of LF/HF was poor in all
sleep stages. Of all the 5-min segments selected based on HRV data, 87% were
accurately located within SWS. Conclusions: SWS, a stable state that, in contrast
to waking, is unaffected by internal and external factors, is a reproducible
state that allows reliable determination of heart rate, and HF power, and can
satisfactorily be detected based on R-R intervals, without the need of full PSG.
Sleep may not be an optimal condition to assess LF power and LF/HF power ratio.
PMID- 29367846
TI - Reduction of Endothelial Nitric Oxide Increases the Adhesiveness of Constitutive
Endothelial Membrane ICAM-1 through Src-Mediated Phosphorylation.
AB - Nitric oxide (NO) is a known anti-adhesive molecule that prevents platelet
aggregation and leukocyte adhesion to endothelial cells (ECs). The mechanism has
been attributed to its role in the regulation of adhesion molecules on leukocytes
and the adhesive properties of platelets. Our previous study conducted in rat
venules found that reduction of EC basal NO synthesis caused EC ICAM-1-mediated
firm adhesion of leukocytes within 10-30 min. This quick response occurred in the
absence of alterations of adhesion molecules on leukocytes and also opposes the
classical pattern of ICAM-1-mediated leukocyte adhesion that requires protein
synthesis and occurs hours after stimulation. The objective of this study is to
investigate the underlying mechanisms of reduced basal NO-induced EC-mediated
rapid leukocyte adhesion observed in intact microvessels. The relative levels of
ICAM-1 at different cell regions and their activation status were determined with
cellular fractionation and western blot using cultured human umbilical vein ECs.
ICAM-1 adhesiveness was determined by immunoprecipitation in non-denatured
proteins to assess the changes in ICAM-1 binding to its inhibitory antibody,
mAb1A29, and antibody against total ICAM-1 with and without NO reduction. The
adhesion strength of EC ICAM-1 was assessed by atomic force microscopy (AFM) on
live cells. Results showed that reduction of EC basal NO caused by the
application of caveolin-1 scaffolding domain (AP-CAV) or NOS inhibitor, L-NMMA,
for 30 min significantly increased phosphorylated ICAM-1 and its binding to
mAb1A29 in the absence of altered ICAM-1 expression and its distribution at
subcellular regions. The Src inhibitor, PP1, inhibited NO reduction-induced
increases in ICAM-1 phosphorylation and adhesive binding. AFM detected
significant increases in the binding force between AP-CAV-treated ECs and mAb1A29
coated probes. These results demonstrated that reduced EC basal NO lead to a
rapid increase in ICAM-1 adhesive binding via Src-mediated phosphorylation
without de novo protein synthesis and translocation. This study suggests that a
NO-dependent conformational change of constitutive EC membrane ICAM-1 might be
the mechanism of rapid ICAM-1 dependent leukocyte adhesion observed in vivo. This
new mechanistic insight provides a better understanding of EC/leukocyte
interaction-mediated vascular inflammation under many disease conditions that
encounter reduced basal NO in the circulation system.
PMID- 29367848
TI - Aging and Work Ability: The Moderating Role of Job and Personal Resources.
AB - Objective: Demographic changes involving western countries and later retirements
due to the recent pension reforms induce a gradual aging of the workforce. This
imply an increasing number of workers with health problems and a decreasing of
ability to work. In this direction, the present study aims at examining the role
of job and personal resources between age and work ability within nurses. Method:
The study was cross-sectional and not randomized; data were collected by a self
report questionnaire during a multi-center survey conducted in two Italian
hospitals in 2016. In this way, 333 nurses were reached. Results: Multiple linear
regression showed that age is significantly and negatively associated to work
ability, and that job resources (e.g., decision authority and meaning of work)
and personal resources (e.g., hope and resilience) moderate the relationship
between age and work ability. Discussion: These results highlight that investing
in work and personal resources to support WA is even more relevant for those
professions where high physical effort is required.
PMID- 29367847
TI - Brain Structure and Function in Women with Comorbid Bipolar and Premenstrual
Dysphoric Disorder.
AB - Introduction: Hormonal fluctuations associated with female reproductive life
events may precipitate or worsen affective episodes in women with bipolar
disorder (BD). Previous studies have shown that women with BD report higher rates
of premenstrual dysphoric disorder (PMDD) than controls. Further, bipolar women
who report premenstrual worsening of mood display a worse course of their bipolar
illness. Despite this, the neural correlates of comorbid BD and PMDD have not
been investigated. Methodology: Eighty-five [CTRL, n = 25; PMDD, n = 20; BD, n =
21; BD with comorbid PMDD (BDPMDD), n = 19], regularly cycling women, not on
hormonal contraception, underwent two MRI scans: during their mid-follicular and
late luteal menstrual phases. We investigated resting-state functional
connectivity (Rs-FC), cortical thickness, and subcortical volumes of brain
regions associated with the pathophysiology of BD and PMDD between groups, in the
mid-follicular and late luteal phases of the menstrual cycle. All BD subjects
were euthymic for at least 2 months prior to study entry. Results: Women in the
BDPMDD group displayed greater disruption in biological rhythms and more
subthreshold depressive and anxious symptoms through the menstrual cycle compared
to other groups. Rs-FC was increased between the L-hippocampus and R-frontal
cortex and decreased between the R-hippocampus and R-premotor cortex in BDPMDD
vs. BD (FDR-corrected, p < 0.05). Cortical thickness analysis revealed decreased
cortical thickness of the L-pericalcarine, L-superior parietal, R-middle
temporal, R-rostral middle frontal, and L-superior frontal, as well as increased
cortical thickness of the L-superior temporal gyri in BDPMDD compared to BD. We
also found increased left-caudate volume in BDPMDD vs. BD (pCORR < 0.05).
Conclusion: Women with BD and comorbid PMDD display a distinct clinical and
neurobiological phenotype of BD, which suggests differential sensitivity to
endogenous hormones.
PMID- 29367849
TI - How Will We React to the Discovery of Extraterrestrial Life?
AB - How will humanity react to the discovery of extraterrestrial life? Speculation on
this topic abounds, but empirical research is practically non-existent. We report
the results of three empirical studies assessing psychological reactions to the
discovery of extraterrestrial life using the Linguistic Inquiry and Word Count
(LIWC) text analysis software. We examined language use in media coverage of past
discovery announcements of this nature, with a focus on extraterrestrial
microbial life (Pilot Study). A large online sample (N = 501) was asked to write
about their own and humanity's reaction to a hypothetical announcement of such a
discovery (Study 1), and an independent, large online sample (N = 256) was asked
to read and respond to a newspaper story about the claim that fossilized
extraterrestrial microbial life had been found in a meteorite of Martian origin
(Study 2). Across these studies, we found that reactions were significantly more
positive than negative, and more reward vs. risk oriented. A mini-meta-analysis
revealed large overall effect sizes (positive vs. negative affect language: g =
0.98; reward vs. risk language: g = 0.81). We also found that people's forecasts
of their own reactions showed a greater positivity bias than their forecasts of
humanity's reactions (Study 1), and that responses to reading an actual
announcement of the discovery of extraterrestrial microbial life showed a greater
positivity bias than responses to reading an actual announcement of the creation
of man-made synthetic life (Study 2). Taken together, this work suggests that our
reactions to a future confirmed discovery of microbial extraterrestrial life are
likely to be fairly positive.
PMID- 29367850
TI - Hot and Cool Executive Functions in Adolescence: Development and Contributions to
Important Developmental Outcomes.
AB - Despite significant theoretical advancement in the area of child neuropsychology,
limited attention has been paid to the developmental features of adolescence. The
present study intends to address this issue in relation to executive function
(EF). EF refers to the psychological processes that underlie goal-directed
behavior; recent studies separate cool EF (psychological process involves pure
logic and critical analysis) and hot EF (psychological process driven by
emotion). Although neurological findings suggest that adolescence is a sensitive
period for EF development, data on comparing the developmental progression in hot
or cool EFs is highly missing. Moreover, while evidence has confirmed the
relationships between EF and day-to-day functioning, whether and how hot and cool
EFs contribute to core developmental outcomes in adolescence is still remained
unknown. The current study aims to enhance our understanding of the development
and impacts of hot and cool EFs in adolescence. A total of 136 typically
developing adolescents from age 12 to 17 completed four cool EF tasks including
Backward digit span, Contingency naming test, Stockings of Cambridge, and Stroop
Color and Word test, and one hot task on Cambridge gambling task. Data on
academic performance and psychological adjustment was also collected. Results
showed that cool and hot EF exhibited different patterns of age-related growth in
adolescence. Specifically, cool EF ascended with age while hot EF showed a bell
shaped development. Moreover, there were correlations among cool EF measures but
no association between cool and hot EFs. Further, cool EF was a better predictor
of academic performance, while hot EF uniquely related to emotional problems. The
results provide evidence for the association among cool EF tests and the
differentiation of hot and cool EFs. The bell-shaped development of hot EF might
suggest a period of heightened risk-taking propensity in middle adolescence.
Given the plastic nature of EF, especially over adolescence, the current findings
may have practical implications for future EF identification and training.
PMID- 29367851
TI - Hemiface Differences in Visual Exploration Patterns When Judging the Authenticity
of Facial Expressions.
AB - Past studies have found asymmetry biases in human emotion recognition. The left
side bias refers to preferential looking at the left-hemiface when actively
exploring face images. However, these studies have been mainly conducted with
static and frontally oriented stimuli, whereas real-life emotion recognition
takes place on dynamic faces viewed from different angles. The aim of this study
was to assess the judgment of genuine vs. masked expressions in dynamic movie
clips of faces rotated to the right or left side. Forty-eight participants judged
the expressions on faces displaying genuine or masked happy, sad, and fearful
emotions. The head of the actor was either rotated to the left by a 45 degrees
angle, thus showing the left side of the face (standard orientation), or
inverted, with the same face shown from the right side perspective. The eye
movements were registered by the eye tracker and the data were analyzed for the
inverse efficiency score (IES), the number of fixations, gaze time on the whole
face and in the regions of interest. Results showed shorter IESs and gaze times
for happy compared to sad and fearful emotions, but no difference was found for
these variables between sad and fearful emotions. The left side preference was
evident from comparisons of the number of fixations. Standard stimuli received a
higher number of fixations than inverted ones. However, gaze time was long on
inverted compared to standard faces. Number of fixations on exposed hemiface
interacted with the emotions decreasing from happy to sad and fearful. An
opposite pattern was found for the occluded hemiface. These results suggest a
change in fixation patterns in the rotated faces that may be beneficial for the
judgments of expressions. Furthermore, this study replicated the effects of the
judgment of genuine and masked emotions using dynamic faces.
PMID- 29367852
TI - Pichia pastoris-Expressed Bivalent Virus-Like Particulate Vaccine Induces Domain
III-Focused Bivalent Neutralizing Antibodies without Antibody-Dependent
Enhancement in Vivo.
AB - Dengue, a significant public health problem in several countries around the
world, is caused by four different serotypes of mosquito-borne dengue viruses
(DENV-1, -2, -3, and -4). Antibodies to any one DENV serotype which can protect
against homotypic re-infection, do not offer heterotypic cross-protection. In
fact, cross-reactive antibodies may augment heterotypic DENV infection through
antibody-dependent enhancement (ADE). A recently launched live attenuated vaccine
(LAV) for dengue, which consists of a mixture of four chimeric yellow
fever/dengue vaccine viruses, may be linked to the induction of disease-enhancing
antibodies. This is likely related to viral interference among the replicating
viral strains, resulting in an unbalanced immune response, as well as to the fact
that the LAV encodes prM, a DENV protein documented to elicit ADE-mediating
antibodies. This makes it imperative to explore the feasibility of alternate ADE
risk-free vaccine candidates. Our quest for a non-replicating vaccine centered on
the DENV envelope (E) protein which mediates virus entry into the host cell and
serves as an important target of the immune response. Serotype-specific
neutralizing epitopes and the host receptor recognition function map to E domain
III (EDIII). Recently, we found that Pichia pastoris-expressed DENV E protein, of
all four serotypes, self-assembled into virus-like particles (VLPs) in the
absence of prM. Significantly, these VLPs displayed EDIII and elicited EDIII
focused DENV-neutralizing antibodies in mice. We now report the creation and
characterization of a novel non-replicating recombinant particulate vaccine
candidate, produced by co-expressing the E proteins of DENV-1 and DENV-2 in P.
pastoris. The two E proteins co-assembled into bivalent mosaic VLPs (mVLPs)
designated as mE1E2bv VLPs. The mVLP, which preserved the serotype-specific
antigenic integrity of its two component proteins, elicited predominantly EDIII
focused homotypic virus-neutralizing antibodies in BALB/c mice, demonstrating its
efficacy. In an in vivo ADE model, mE1E2bv VLP-induced antibodies lacked
discernible ADE potential, compared to the cross-reactive monoclonal antibody
4G2, as evidenced by significant reduction in the levels of IL-6 and TNF-alpha,
suggesting inherent safety. The results obtained with these bivalent mVLPs
suggest the feasibility of incorporating the E proteins of DENV-3 and DENV-4 to
create a tetravalent mVLP vaccine.
PMID- 29367853
TI - B Cell-Intrinsic Role for IRF5 in TLR9/BCR-Induced Human B Cell Activation,
Proliferation, and Plasmablast Differentiation.
AB - Upon recognition of antigen, B cells undergo rapid proliferation followed by
differentiation to specialized antibody secreting cells (ASCs). During this
transition, B cells are reliant upon a multilayer transcription factor network to
achieve a dramatic remodeling of the B cell transcriptional landscape. Increased
levels of ASCs are often seen in autoimmune diseases and it is believed that
altered expression of regulatory transcription factors play a role in this
imbalance. The transcription factor interferon regulatory factor 5 (IRF5) is one
such candidate as polymorphisms in IRF5 associate with risk of numerous
autoimmune diseases and correlate with elevated IRF5 expression. IRF5 genetic
risk has been widely replicated in systemic lupus erythematosus (SLE), and loss
of Irf5 ameliorates disease in murine lupus models, in part, through the lack of
pathogenic autoantibody secretion. It remains unclear, however, whether IRF5 is
contributing to autoantibody production through a B cell-intrinsic function. To
date, IRF5 function in healthy human B cells has not been characterized. Using
human primary naive B cells, we define a critical intrinsic role for IRF5 in B
cell activation, proliferation, and plasmablast differentiation. Targeted IRF5
knockdown resulted in significant immunoglobulin (Ig) D retention, reduced
proliferation, plasmablast differentiation, and IgG secretion. The observed
decreases were due to impaired B cell activation and clonal expansion. Distinct
from murine studies, we identify and confirm new IRF5 target genes, IRF4, ERK1,
and MYC, and pathways that mediate IRF5 B cell-intrinsic function. Together,
these results identify IRF5 as an early regulator of human B cell activation and
provide the first dataset in human primary B cells to map IRF5 dysfunction in
SLE.
PMID- 29367854
TI - The Contribution of Cytomegalovirus Infection to Immune Senescence Is Set by the
Infectious Dose.
AB - The relationship between human cytomegalovirus (HCMV) infections and accelerated
immune senescence is controversial. Whereas some studies reported a CMV
associated impaired capacity to control heterologous infections at old age, other
studies could not confirm this. We hypothesized that these discrepancies might
relate to the variability in the infectious dose of CMV occurring in real life.
Here, we investigated the influence of persistent CMV infection on immune
perturbations and specifically addressed the role of the infectious dose on the
contribution of CMV to accelerated immune senescence. We show in experimental
mouse models that the degree of mouse CMV (MCMV)-specific memory CD8+ T cell
accumulation and the phenotypic T cell profile are directly influenced by the
infectious dose, and data on HCMV-specific T cells indicate a similar connection.
Detailed cluster analysis of the memory CD8+ T cell development showed that high
dose infection causes a differentiation pathway that progresses faster throughout
the life span of the host, suggesting a virus-host balance that is influenced by
aging and infectious dose. Importantly, short-term MCMV infection in adult mice
is not disadvantageous for heterologous superinfection with lymphocytic
choriomeningitis virus (LCMV). However, following long-term CMV infection the
strength of the CD8+ T cell immunity to LCMV superinfection was affected by the
initial CMV infectious dose, wherein a high infectious dose was found to be a
prerequisite for impaired heterologous immunity. Altogether our results
underscore the importance of stratification based on the size and differentiation
of the CMV-specific memory T cell pools for the impact on immune senescence, and
indicate that reduction of the latent/lytic viral load can be beneficial to
diminish CMV-associated immune senescence.
PMID- 29367855
TI - Mitochondrial Dysfunction Causes Oxidative Stress and Tapetal Apoptosis in
Chemical Hybridization Reagent-Induced Male Sterility in Wheat.
AB - Male sterility in plants has been strongly linked to mitochondrial dysfunction.
Chemical hybridization agent (CHA)-induced male sterility is an important tool in
crop heterosis. Therefore, it is important to better understand the relationship
between mitochondria and CHA-induced male sterility in wheat. This study reports
on the impairment of mitochondrial function duo to CHA-SQ-1, which occurs by
decreasing cytochrome oxidase and adenosine triphosphate synthase protein levels
and theirs activities, respiratory rate, and in turn results in the inhibition of
the mitochondrial electron transport chain (ETC), excessive production of
reactive oxygen species (ROS) and disruption of the alternative oxidase pathway.
Subsequently, excessive ROS combined with MnSOD defects results in damage to the
mitochondrial membrane, followed by ROS release into the cytoplasm. The
microspores underwent severe oxidative stress during pollen development.
Furthermore, chronic oxidative stress, together with the overexpression of type
II metacaspase, triggered premature tapetal apoptosis, which resulted in pollen
abortion. Accordingly, we propose a metabolic pathway for mitochondrial-mediated
male sterility in wheat, which provides information on the molecular events
underlying CHA-SQ-1-induced abortion of anthers and may serve as an additional
guide to the practical application of hybrid breeding.
PMID- 29367856
TI - Soybean NADP-Malic Enzyme Functions in Malate and Citrate Metabolism and
Contributes to Their Efflux under Al Stress.
AB - Malate accumulation has been suggested to balance Al-induced citrate synthesis
and efflux in soybean roots. To test this hypothesis, characteristics of Al
induced accumulation and efflux of citrate and malate were compared between two
soybean genotypes combining a functional analysis of GmME1 putatively encode a
cytosolic NADP-malic enzyme. Similar amounts of citrate were released, and root
elongation was equally inhibited before 8 h of Al treatment of Jiyu 70 and Jiyu
62 cultivars. Jiyu 70 began to secrete more citrate and exhibited higher Al
resistance than did Jiyu 62 at 12 h. A sustained increase in internal malate and
citrate concentrations was observed in Jiyu 70 at 24 h of Al treatment. However,
Jiyu 62 decreased its malate concentration at 12 h and its citrate concentration
at 24 h of Al treatment. GmME1 localized to the cytoplast and clustered closely
with cytosolic malic enzymes AtME2 and SgME1 and was constitutively expressed in
the roots. Al treatment induced higher NADP-malic enzyme activities and GmME1
expression levels in Jiyu 70 than in Jiyu 62 within 24 h. Compared with wild-type
hairy roots, over-expressing GmME1 in hairy roots (GmME1-OE) produced higher
expression levels of GmME1 but did not change the expression patterns of either
of the putative citrate transporter genes GmAACT1 and GmFRDL or the malate
transporter gene GmALMT1, with or without Al treatment. GmME1-OE showed a higher
internal concentration and external efflux of both citrate and malate at 4 h of
Al stress. Lighter hematoxylin staining and lower Al contents in root apices of
GmME1-OE hairy roots indicated greater Al resistance. Comprehensive experimental
results suggest that sustaining Al-induced citrate efflux depends on the malate
pool in soybean root apices. GmME1 encodes a cytosolic malic enzyme that
contributes to increased internal malate and citrate concentrations and their
external efflux to confer higher Al resistance.
PMID- 29367858
TI - Proton tracking for medical imaging and dosimetry.
AB - For many years, silicon micro-strip detectors have been successfully used as
tracking detectors for particle and nuclear physics experiments. A new
application of this technology is to the field of particle therapy, where
radiotherapy is carried out by use of charged particles such as protons or carbon
ions. Such a treatment has been shown to have advantages over standard x-ray
radiotherapy and as a result of this, many new centres offering particle therapy
are currently under construction - including two in the U.K.. The characteristics
of a new silicon micro-strip detector based system for this application will be
presented. The array uses specifically designed large area sensors in several
stations in an x-u-v co-ordinate configuration suitable for very fast proton
tracking with minimal ambiguities. The sensors will form a tracker capable of
giving information on the path of high energy protons entering and exiting a
patient. This will allow proton computed tomography (pCT) to aid the accurate
delivery of treatment dose with tuned beam profile and energy. The tracker will
also be capable of proton counting and position measurement at the higher
fluences and full range of energies used during treatment allowing monitoring of
the beam profile and total dose. Results and initial characterisation of sensors
will be presented along with details of the proposed readout electronics.
Radiation tests and studies with different electronics at the Clatterbridge
Cancer Centre and the higher energy proton therapy facility of iThemba LABS in
South Africa will also be shown.
PMID- 29367857
TI - Co-inoculation of a Pea Core-Collection with Diverse Rhizobial Strains Shows
Competitiveness for Nodulation and Efficiency of Nitrogen Fixation Are Distinct
traits in the Interaction.
AB - Pea forms symbiotic nodules with Rhizobium leguminosarum sv. viciae (Rlv). In the
field, pea roots can be exposed to multiple compatible Rlv strains. Little is
known about the mechanisms underlying the competitiveness for nodulation of Rlv
strains and the ability of pea to choose between diverse compatible Rlv strains.
The variability of pea-Rlv partner choice was investigated by co-inoculation with
a mixture of five diverse Rlv strains of a 104-pea collection representative of
the variability encountered in the genus Pisum. The nitrogen fixation efficiency
conferred by each strain was determined in additional mono-inoculation
experiments on a subset of 18 pea lines displaying contrasted Rlv choice.
Differences in Rlv choice were observed within the pea collection according to
their genetic or geographical diversities. The competitiveness for nodulation of
a given pea-Rlv association evaluated in the multi-inoculated experiment was
poorly correlated with its nitrogen fixation efficiency determined in mono
inoculation. Both plant and bacterial genetic determinants contribute to pea-Rlv
partner choice. No evidence was found for co-selection of competitiveness for
nodulation and nitrogen fixation efficiency. Plant and inoculant for an improved
symbiotic association in the field must be selected not only on nitrogen fixation
efficiency but also for competitiveness for nodulation.
PMID- 29367859
TI - The global problem of child maltreatment: Perspectives on mechanisms of influence
and illness presentation.
AB - Child abuse and neglect negatively impact both neurological and psychological
development. Patterns of abuse are learned and repeated in families. Adverse
childhood experiences are a risk factor for psychopathology later in life,
including borderline personality disorder (BPD). BPD is prevalent in clinical
populations in the United States, but its prevalence has not been well-documented
in most other parts of the world. The aim of this paper is to explore the impact
of culture upon the intergenerational transmission of childhood maltreatment and
the clinical presentation of abused children. To facilitate this exploration, we
will consider the cases of four adolescent girls in unique socioeconomic and
cultural settings around the world: Liberia, El Salvador, India, and a Congolese
immigrant in France. Each of these girls endorsed some features of BPD, but only
two met full criteria. In societies in which externalizing behaviors are not
acceptable, children may internalize their distress or separate from their
families. Defining BPD in terms of internal experience makes it more difficult to
identify, but it would allow for the inclusion of cases in which symptoms may
manifest differently while the underlying problem is similar.
PMID- 29367860
TI - Fentanyls continue to replace heroin in the drug arena: the cases of ocfentanil
and carfentanil.
AB - Purpose: Ocfentanil and carfentanil are two potent synthetic opioids that are
analogues of fentanyl and are actively involved in the recent fentanyl crisis.
The aim of this review is to provide all the available information on these two
fentanyl analogues. Methods: All reviewed information was gathered through a
detailed search of PubMed and the World Wide Web using relevant keywords.
Results: Like most of the members of the family of fentanyls, they are either
sold as heroin to unsuspecting users or used extensively to lace heroin street
samples. Despite the fact that ocfentanil was studied clinically in the early
1990s, it did not manage to find its place in clinical practice. On the other
hand, carfentanil is mainly used today as an anesthetic agent in large animals.
Ocfentanil and carfentanil are used and abused extensively, mainly in Europe and
in the United States. As a result, they are the cause of some verified
intoxication cases and deaths worldwide. This review provides information
concerning chemistry, synthesis, prevalence, pharmacology, and toxicology, as
well as the current legal status of these two fentanyl analogues. Analytical
methods developed for the determination of ocfentanil and carfentanil in
biological specimens and seized materials, as well as related intoxication and
lethal cases are also presented. Conclusions: Ocfentanil and carfentanil are
undeniably very dangerous opioid drugs and a very serious matter of concern for
public safety. The authorities should take the appropriate actions to avoid the
expansion of this threat by taking proper and prompt measures.
PMID- 29367861
TI - The newest cathinone derivatives as designer drugs: an analytical and
toxicological review.
AB - Purpose: Currently, among new psychoactive substances, cathinone derivatives
constitute the biggest group, which are mainly classified into N-alkylated, 3,4
methylenedioxy-N-alkylated, N-pyrrolidinyl, and 3,4-methylenedioxy-N-pyrrolidinyl
derivatives. These derivatives are actively being subjected to minor
modifications at the alkyl chains or the aromatic ring to create new synthetic
cathinones with the goal of circumventing laws. In this review, the new synthetic
cathinones that have appeared on the illegal drug market during the period 2014
2017 are highlighted, and their characterization by gas chromatography-mass
spectrometry and liquid chromatography-tandem mass spectrometry is presented.
Methods: Various key words were used to conduct an extensive literature search
across a number of databases, specifically for synthetic cathinones that emerged
between 2014 and 2017. Results: More than 30 new cathinone derivatives were
discovered. The preexisting parental compounds for the new derivatives are also
referenced, and their mass spectral data are compiled in a table to facilitate
their identification by forensic toxicologists. Conclusions: To our knowledge,
this is the most current review presenting new synthetic cathinones. Political
authorities should take measures to implement and enforce generic scheduling
(comprehensive system) laws to control the diversely modified synthetic
cathinones. Supplementing the existing databases with new findings can greatly
facilitate the efforts of forensic toxicologists.
PMID- 29367862
TI - Evaluation of carboxamide-type synthetic cannabinoids as CB1/CB2 receptor
agonists: difference between the enantiomers.
AB - Recently, carboxamide-type synthetic cannabinoids have been distributed globally
as new psychoactive substances (NPS). Some of these compounds possess asymmetric
carbon, which is derived from an amide moiety composed of amino acid derivatives
(i.e., amides or esters of amino acids). In this study, we synthesized both
enantiomers of synthetic cannabinoids, N-(1-amino-3-methyl-1-oxobutan-2-yl)-1-(2
fluorobenzyl)-1H-indazole-3-carboxamide (AB-FUBINACA 2-fluorobenzyl isomer), N-(1
amino-1-oxo-3-phenylpropan-2-yl)-1-(cyclohexylmethyl)-1H-indazole-3-carboxamide
(APP-CHMINACA), ethyl [1-(5-fluoropentyl)-1H-indazole-3-carbonyl]valinate (5F-EMB
PINACA), ethyl [1-(4-fluorobenzyl)-1H-indazole-3-carbonyl]valinate (EMB
FUBINACA), and methyl 2-[1-(4-fluorobenzyl)-1H-indole-3-carboxamido]-3,3
dimethylbutanoate (MDMB-FUBICA), which were reported as NPS found in Europe from
2014 to 2015, to evaluate their activities as CB1/CB2 receptor agonists. With the
exception of (R) MDMB-FUBICA, all of the tested enantiomers were assumed to be
agonists of both CB1 and CB2 receptors, and the EC50 values of the (S)
enantiomers for the CB1 receptors were about five times lower than those of (R)
enantiomers. (R) MDMB-FUBICA was shown to function as an agonist of the CB2
receptor, but lacks CB1 receptor activity. To the best of our knowledge, this is
the first report to show that the (R)-enantiomers of the carboxamide-type
synthetic cannabinoids have the potency to activate CB1 and CB2 receptors. The
findings presented here shed light on the pharmacological properties of these
carboxamide-type synthetic cannabinoids in forensic cases.
PMID- 29367863
TI - Fatal sarin poisoning in Syria 2013: forensic verification within an
international laboratory network.
AB - During the United Nations fact-finding mission to investigate the alleged use of
chemical warfare agents in the Syrian Arab Republic in 2013, numerous tissues
from a deceased female victim, who had displayed symptoms of cholinergic crisis,
were collected. The Organisation for the Prohibition of Chemical Weapons (OPCW)
authorized two specialized laboratories in the Netherlands and Germany for
forensic analysis of these samples. Diverse modern mass spectrometry (MS)-based
procedures in combination with either liquid chromatography (LC) or gas
chromatography (GC) separation were applied. A variety of biotransformation
products of the nerve agent sarin was detected, including the hydrolysis product
O-isopropyl methylphosphonic acid (IMPA) as well as covalent protein adducts with
e.g., albumin and human butyrylcholinesterase (hBChE). IMPA was extracted after
sample acidification by solid-phase extraction and directly analyzed by LC-tandem
MS with negative electrospray ionization (ESI). Protein adducts were found,
either by fluoride-induced reactivation applying GC-MS techniques or by LC-MS
based detection after positive ESI for proteolyzed proteins yielding
phosphonylated tyrosine residues or a specific phosphonylated hBChE-derived
nonapeptide. These experimental results provided unambiguous evidence for a
systemic intoxication and were the first proving the use of sarin in the ongoing
bellicose conflict. This scenario underlines the requirement for qualified and
specialized analytical laboratories to face repeated violation of the Chemical
Weapons Convention.
PMID- 29367864
TI - Identification and quantification of mepirapim and acetyl fentanyl in authentic
human whole blood and urine samples by GC-MS/MS and LC-MS/MS.
AB - Purpose: We encountered a curious case in which two male subjects self
administered mepirapim plus acetyl fentanyl by different routes, i.e.,
intravenously and by inhalation. We have thus established a detailed procedure
for quantification of mepirapim and acetyl fentanyl in whole blood and urine
specimens using gas chromatography (GC)-tandem mass spectrometry (MS/MS).
Methods: The GC-MS/MS method was validated for linearity, extraction recovery,
accuracy, and precision. Liquid chromatography-MS/MS was also used for
identification of the target compounds. Results: Good linearity and
reproducibility were achieved in the range of 20-1000 ng/g for both target
compounds in both matrices. The concentrations of mepirapim in heart whole blood,
femoral vein whole blood, and urine of the deceased individual with
administration by intravenous injection were 593, 567, and 527 ng/g,
respectively; those of acetyl fentanyl were 155, 125, and 126 ng/g, respectively.
The mepirapim and acetyl fentanyl concentrations in the urine specimen of the
surviving individual who had administered them by inhalation were 4900 and 570
ng/g, respectively. Conclusions: To our knowledge, with the exception of a brief
mention of a mepirapim concentration in a serum sample in emergency medicine,
there are no reported data on the identification and quantification of mepirapim
in biological samples. Mepirapim is a new synthetic cannabinoid. The
concentration profiles of unchanged mepirapim in whole blood and urine were quite
different and unique. A detailed clarification of such uniqueness is under way in
our laboratory.
PMID- 29367865
TI - Spectroscopic and crystallographic characterization of two cathinone derivatives:
1-(4-fluorophenyl)-2-(methylamino)pentan-1-one (4-FPD) hydrochloride and 1-(4
methylphenyl)-2-(ethylamino)pentan-1-one (4-MEAP) hydrochloride.
AB - Purpose: In this study, we performed identification and physicochemical
characterization of two cathinone derivatives, 4-FPD and 4-MEAP, found in market
available materials. Methods: The compounds were characterized by electrospray
ionization ion trap mass spectrometry (MS) in MS2 and MS3 modes, gas
chromatography-MS, infrared, Raman and ultraviolet-visible spectroscopies, X-ray
crystallography, differential scanning calorimetry and nuclear magnetic resonance
spectroscopy. Results: We could obtain detailed and comprehensive physicochemical
characterization of 4-FPD and 4-MEAP-new cathinone derivatives available on the
designer drugs market. Conclusions: Dynamic growth in the number of psychoactive
substances available on the designer drug markets makes it compulsory to obtain
analytical data allowing unequivocal identification of these drugs in the fastest
possible way. In this study we presented analytical data useful in quick
identification of the investigated compounds.
PMID- 29367866
TI - Spectroscopic characterization and crystal structures of two cathinone
derivatives: 1-(4-chlorophenyl)-2-(1-pyrrolidinyl)-pentan-1-one (4-chloro-alpha
PVP) sulfate and 1-(4-methylphenyl)-2-(dimethylamino)-propan-1-one (4-MDMC)
hydrochloride salts, seized on illicit drug market.
AB - Purpose: Two compounds newly found in the seizures by drug enforcement agencies
were identified and characterized by various instrumental analytical methods.
Methods: The obtained powder samples were analyzed by gas chromatography-mass
spectrometry (GC-MS), liquid chromatography-mass spectrometryn (LC-MSn), nuclear
magnetic resonance (NMR) spectroscopy, infrared and Raman spectroscopy and X-ray
crystallography. Results: The two compounds were tentatively identified as 4
chloro-alpha-PVP and 4-MDMC by GC-MS, and LC-MS/MS. The confirmation of the
results was made by NMR spectroscopy. The X-ray crystallography gave information
that 4-chloro-alpha-PVP and 4-MDMC were in salted forms with sulfate and
hydrochloride, respectively; in addition, both compounds existed as racemic
mixtures. Conclusions: We could identify 4-chloro-alpha-PVP and 4-MDMC in the
seizure powder samples by various analytical methods. X-ray crystallography was
especially useful for identifying the salted forms and enantiomeric forms.
PMID- 29367867
TI - Berries and other natural products in the pancreatic cancer chemoprevention in
human clinical trials.
AB - Pancreatic ductal adenocarcinoma (PDAC) was the 12th and 11th most common cancer
in men and women worldwide in 2012, with the highest incidence in North America
and Europe and the lowest in Africa and Asia. Due to the lack of efficient early
diagnosis and rapid disease progression, PDAC patients have a 5-year survival
rate of just 5%. Epidemiological studies suggest that smoking, obesity, type II
diabetes, and pancreatitis are common risk factors for PDAC development. By
contrast, high intake of fresh fruit, vegetables, and nuts rich in phytochemicals
could reduce PDAC risk. This review summarizes the human clinical studies that
have used berries or other natural products for chemoprevention of PDAC.
Developing chemopreventive agents against PDAC would be tremendously valuable for
the high-risk population and patients with premalignant lesions. Although some
clinical trials of these agents have been completed, most are in early phases,
and the results are not promising, which may be due to administration of the
natural products at advanced stages of PDAC. Thus, further mechanistic studies
using genetic animal models that recapitulate the tumor microenvironment and
immunology of human PDAC would be informative for selecting an effective window
for intervention with berries or other natural compounds.
PMID- 29367868
TI - The Effects of Heuristics and Apophenia on Probabilistic Choice.
AB - Given a repeated choice between two or more options with independent and
identically distributed reward probabilities, overall pay-offs can be maximized
by the exclusive selection of the option with the greatest likelihood of reward.
The tendency to match response proportions to reward contingencies is suboptimal.
Nevertheless, this behaviour is well documented. A number of explanatory accounts
have been proposed for probability matching. These include failed pattern
matching, driven by apophenia, and a heuristic-driven response that can be
overruled with sufficient deliberation. We report two experiments that were
designed to test the relative effects on choice behaviour of both an intuitive
versus strategic approach to the task and belief that there was a predictable
pattern in the reward sequence, through a combination of both direct experimental
manipulation and post-experimental self-report. Mediation analysis was used to
model the pathways of effects. Neither of two attempted experimental
manipulations of apophenia, nor self-reported levels of apophenia, had a
significant effect on proportions of maximizing choices. However, the use of
strategy over intuition proved a consistent predictor of maximizing, across all
experimental conditions. A parallel analysis was conducted to assess the effect
of controlling for individual variance in perceptions of reward contingencies.
Although this analysis suggested that apophenia did increase probability matching
in the standard task preparation, this effect was found to result from an
unforeseen relationship between self-reported apophenia and perceived reward
probabilities. A Win-Stay Lose-Shift (WSLS ) analysis indicated no reliable
relationship between WSLS and either intuition or strategy use.
PMID- 29367869
TI - Clinical profile of 300 men with facial hypermelanosis.
AB - Background: Facial hypermelanosis is a significant cause of cosmetic
disfigurement, social embarrassment and psychological morbidity affecting quality
of life. Objective: To study clinicoepidemlogic patterns of facial hypermelanoses
among men. Material and Methods: Medical records of all adult males presenting
with facial hypermelanoses were analyzed for this retrospective cross sectional
study for demographic details, duration, cosmetic usage, sun exposure, drug
intake, infections, systemic or cutaneous diseases, and family history of
hypermelanotic dermatosis. Laboratory investigations and skin biopsy were
performed when deemed necessary. Results: These were 300 Indian men aged 18 to 74
(mean 37.35) years with 121 (40.3%) individuals aged 31-50 years. Various
patterns of melasma in 230 (76.7%) patients were the major cause of facial
hypermelanosis. Periorbital hypermelanosis was observed in 32 (10.7%), freckles
and lentigens in 26 (8.7%), acanthosis nigricans in 12 (4%) and lichen planus
pigmentosus in 10 (3.3%), pigmented cosmetic contact dermatitis in 7, and nevus
of Ota in 6 persons. The 71 (30.8%) patients with melasma had a history of
frequent sun exposure, 9 (3.9%) patients had systemic comorbidities. Family
history of periorbital melanosis was present in 7 (21.8%), personal or family
history of atopy in 5 (15.6%) patients. Acanthosis nigricans was associated with
obesity in 9 (75%) of patients and with diabetes mellitus in 4 (33.3%) cases.
Conclusions: Melasma, periorbital hypermelanosis, acanthosis nigricans and lichen
planus pigmentosus remain the predominant causes for facial hypermelanosis in
men.
PMID- 29367871
TI - Rapid clearance of erythrodermic psoriasis with apremilast.
AB - Background: Apremilast is a new immunomodulatory drug, a small molecule inhibitor
of PDE4, which down-regulates the expression of multiple pro-inflammatory
cytokines, such as tumor necrosis factor alpha, interleukin 17, interleukin 23.
Main observations: We describe a case of a 54-year-old man with erythroderma in
the course of psoriasis (PASI=49), with contraindications to other psoriasis
therapies, in whom total clearance of skin lesions was achieved by day 20 after
therapy with apremilast at a dose of 30 mg bid (DeltaPASI = 100). The patient had
a history of prior use of cyclosporine, methotrexate and adalimumab. His
comorbidities included obesity, fatty liver and hypercholesterolemia. Conclusion:
In this case of erythroderma in the course of psoriasis apremilast led to total
clearance of all cutaneous lesions.
PMID- 29367870
TI - Epstein-Barr virus-related cutaneous necrotizing vasculitis in a girl
heterozygous for factor V Leiden.
AB - Background: Necrotizing vasculitides are basically characterized by vessel wall
neutrophil infiltration and necrosis and they can occur as a primary process or
secondary to an underlying disease. Although Henoch-Schonlein purpura (HSp) is
the more frequent primary vasculitis in childhood, sometimes it has to be
distinguished from other secondary vasculitides induced by infections, drugs,
vaccines, or immune-mediated disorders. Main observations: We report a case of a
14-year-old girl with cutaneous necrotizing vasculitis, appearing in the course
of acute Epstein-Barr virus infection. Physical examination revealed highly
aching erythematous-purple lesions with reticular edges localized on the back of
feet. Pain was non-responsive to ibuprofen and required administration of
tapentadol and pregabalin. The patient was also heterozygous for factor V Leiden
that might have contributed to the development of cutaneous painful lesions.
Conclusions: To our knowledge this is the first documented pediatric case of
necrotizing vasculitis associated with acute EBV infection in a girl heterozygous
for factor V Leiden. In this patient the severity of skin manifestations might
have been influenced by the concomitant factor V Leiden, which gave rise to
hypercoagulability and occlusive vasculopathy with markedly severe pain, a
symptom rather infrequent in other childhood vasculitides.
PMID- 29367872
TI - Photoletter to the editor: Atypical primary cutaneous mucormycosis of the scalp.
AB - Mucormycosis of the scalp is a rare cutaneous presentation of the disease. It is
also an unusual infection in children. We present the case of a 4-year-old girl
with acute lymphoblastic leukemia, who presented with atypical cutaneous
mucormycosis simulating an ecthyma gangrenosum lesion. Risk factors for the
infection are diabetes, neoplastic diseases, immunosuppression in organ
transplant recipients, and neutropenia. The cutaneos forms have been associated
with trauma, burns and surgical wounds. First line treatment is amphotericin B.
Posaconazole was recently approved to treat invasive mucormycosis. Surgical
removal of the infected tissue is indicated.
PMID- 29367873
TI - Relative contributions of hydraulic dysfunction and carbohydrate depletion during
tree mortality caused by drought.
AB - Drought-induced tree mortality has been observed worldwide. Nevertheless, the
physiological mechanisms underlying this phenomenon are still being debated.
Potted Robinia pseudoacacia and Platycladus orientalis saplings were subjected to
drought and their hydraulic failure and carbon starvation responses were studied.
They underwent simulated fast drought (FD) and slow drought (SD) until death. The
dynamics of their growth, photosynthesis, water relations and carbohydrate
concentration were measured. The results showed that during drought, growth and
photosynthesis of all saplings were significantly reduced in both species. The
predawn water potential in both species was ~ -8 MPa at mortality. The percentage
loss of conductivity (PLC) was at a maximum at mortality under both FD and SD.
For R. pseudoacacia and P. orientalis, they were >95 and ~45 %, respectively. At
complete defoliation, the PLC of R. pseudoacacia was ~90 % but the trees
continued to survive for around 46 days. The non-structural carbohydrate (NSC)
concentrations in the stems and roots of both FD and SD R. pseudoacacia declined
to a very low level near death. In contrast, the NSC concentrations in the
needles, stems and roots of P. orientalis at mortality under FD did not
significantly differ from those of the control, whereas the NSC concentrations in
SD P. orientalis stems and roots at death were significantly lower than those of
the control. These results suggest that the duration of the drought affected NSC
at mortality in P. orientalis. In addition, the differences in NSC between FD and
SD P. orientalis did not alter mortality thresholds associated with hydraulic
failure. The drought-induced death of R. pseudoacacia occurred at 95 % PLC for
both FD and SD, indicating that hydraulic failure played an important role in
mortality. Nevertheless, the consistent decline in NSC in R. pseudoacacia
saplings following drought-induced defoliation may have also contributed to its
mortality.
PMID- 29367875
TI - Myotonic dystrophy type 1 with diabetes mellitus, mixed hypogonadism and adrenal
insufficiency.
AB - : Myotonic dystrophy type 1 (DM1) is an autosomal dominant multisystem disease
affecting muscles, the eyes and the endocrine organs. Diabetes mellitus and
primary hypogonadism are endocrine manifestations typically seen in patients with
DM1. Abnormalities of hypothalamic-pituitary-adrenal (HPA) axis have also been
reported in some DM1 patients. We present a case of DM1 with a rare combination
of multiple endocrinopathies; diabetes mellitus, a combined form of primary and
secondary hypogonadism, and dysfunction of the HPA axis. In the present case,
diabetes mellitus was characterized by severe insulin resistance with
hyperinsulinemia. Glycemic control improved after modification of insulin
sensitizers, such as metformin and pioglitazone. Hypogonadism was treated with
testosterone replacement therapy. Notably, body composition analysis revealed
increase in muscle mass and decrease in fat mass in our patient. This implies
that manifestations of hypogonadism could be hidden by symptoms of myotonic
dystrophy. Our patient had no symptoms associated with adrenal deficiency, so
adrenal dysfunction was carefully followed up without hydrocortisone replacement
therapy. In this report, we highlight the necessity for evaluation and treatment
of multiple endocrinopathies in patients with DM1. Learning points: DM1 patients
could be affected by a variety of multiple endocrinopathies.Our patients with DM1
presented rare combinations of multiple endocrinopathies; diabetes mellitus,
combined form of primary and secondary hypogonadism and dysfunction of HPA
axis.Testosterone treatment of hypogonadism in patients with DM1 could improve
body composition.The patients with DM1 should be assessed endocrine functions and
treated depending on the degree of each endocrine dysfunction.
PMID- 29367874
TI - Locoregional therapies in cholangiocarcinoma.
AB - Cholangiocarcinoma is a rare and aggressive malignancy of the biliary tract.
Complete surgical resection can be curative, but the majority of patients are
diagnosed with advanced disease and usually die within a year of diagnosis. Most
deaths are attributable to local disease progression rather than distant
metastases, supporting the use of locoregional therapies. There is evidence that
locoregional therapies can provide local tumor control resulting in increased
survival while avoiding some of the side effects of systemic treatments,
increasing potential treatment options for patients who may be unsuitable for
systemic palliative treatments. This review considers the evidence for
locoregional therapies in cholangiocarcinoma, which can be classified into
endoscopic, vascular, percutaneous and radiation oncological therapies. Current
guidelines do not recommend the routine use of locoregional therapies due to a
lack of prospective data, but the results of ongoing trials are likely to
increase the evidence base and impact on clinical practice.
PMID- 29367876
TI - Insulinoma presenting with post-prandial hypoglycaemia following fundoplication.
AB - : Insulinomas are rare neuroendocrine tumours that classically present with
fasting hypoglycaemia. This case report discusses an uncommon and challenging
case of insulinoma soon after upper gastrointestinal surgery. A 63-year-old man
presented with 6 months of post-prandial hypoglycaemia beginning after a
laparoscopic revision of Toupet fundoplication. Hyperinsulinaemic hypoglycaemia
was confirmed during a spontaneous episode and in a mixed-meal test. Localisation
studies including magnetic resonance imaging (MRI), endoscopic ultrasound (EUS)
and gallium dotatate positron emission tomography (68Ga Dotatate PET) were
consistent with a small insulinoma in the mid-body of the pancreas. The lesion
was excised and histopathology was confirmed a localised well-differentiated
neuroendocrine pancreatic neoplasm. There have been no significant episodes of
hypoglycaemia since. This case highlights several key points. Insulinoma should
be sought in proven post-prandial hyperinsulinaemic hypoglycaemia - even in the
absence of fasting hypoglycaemia. The use of nuclear imaging targeting
somatostatin and GLP1 receptors has improved accuracy of localisation. Despite
these advances, accurate surgical resection can remain challenging. Learning
points: Hypoglycaemia is defined by Whipple's triad and can be provoked by
fasting or mixed-meal tests.Although uncommon, insulinomas can present with post
prandial hypoglycaemia.In hypoglycaemia following gastrointestinal surgery (i.e.
bariatric surgery or less commonly Nissen fundoplication) dumping syndrome or non
insulinoma pancreatogenous hypoglycaemia syndrome (NIPHS) should be
considered.Improved imaging techniques including MRI, endoscopic ultrasound and
functional nuclear medicine scans aid localisation of insulinomas.Despite
advances in imaging and surgical techniques, accurate resection of insulinomas
remains challenging.
PMID- 29367877
TI - WNT10A variants isolated from Japanese patients with congenital tooth agenesis.
AB - It has been reported that dozens of WNT10A variants are associated with human
isolated tooth agenesis, however, little is known about the precise phenotypes.
In 50 Japanese patients with severe congenital tooth agenesis, we identified 11
patients with WNT10A variants. Comparing phenotypes between the tooth agenesis
patients carrying the wild-type and variants of WNT10A, we revealed that the
development of lateral incisors is relatively susceptive to insufficiency of
WNT/beta-catenin signaling.
PMID- 29367879
TI - Advantages of meta-total RNA sequencing (MeTRS) over shotgun metagenomics and
amplicon-based sequencing in the profiling of complex microbial communities.
AB - Sequencing-based microbiome profiling aims at detecting and quantifying
individual members of a microbial community in a culture-independent manner.
While amplicon-based sequencing (ABS) of bacterial or fungal ribosomal DNA is the
most widely used technology due to its low cost, it suffers from PCR
amplification biases that hinder accurate representation of microbial population
structures. Shotgun metagenomics (SMG) conversely allows unbiased microbiome
profiling but requires high sequencing depth. Here we report the development of a
meta-total RNA sequencing (MeTRS) method based on shotgun sequencing of total RNA
and benchmark it on a human stool sample spiked in with known abundances of
bacterial and fungal cells. MeTRS displayed the highest overall sensitivity and
linearity for both bacteria and fungi, the greatest reproducibility compared to
SMG and ABS, while requiring a ~20-fold lower sequencing depth than SMG. We
therefore present MeTRS as a valuable alternative to existing technologies for
large-scale profiling of complex microbiomes.
PMID- 29367878
TI - Coastal urbanisation affects microbial communities on a dominant marine
holobiont.
AB - Host-associated microbial communities play a fundamental role in the life of
eukaryotic hosts. It is increasingly argued that hosts and their microbiota must
be studied together as 'holobionts' to better understand the effects of
environmental stressors on host functioning. Disruptions of host-microbiota
interactions by environmental stressors can negatively affect host performance
and survival. Substantial ecological impacts are likely when the affected hosts
are habitat-forming species (e.g., trees, kelps) that underpin local
biodiversity. In marine systems, coastal urbanisation via the addition of
artificial structures is a major source of stress to habitat formers, but its
effect on their associated microbial communities is unknown. We characterised
kelp-associated microbial communities in two of the most common and abundant
artificial structures in Sydney Harbour-pier-pilings and seawalls-and in
neighbouring natural rocky reefs. The kelp Ecklonia radiata is the dominant
habitat-forming species along 8000 km of the temperate Australian coast. Kelp
associated microbial communities on pilings differed significantly from those on
seawalls and natural rocky reefs, possibly due to differences in abiotic (e.g.,
shade) and biotic (e.g., grazing) factors between habitats. Many bacteria that
were more abundant on kelp on pilings belonged to taxa often associated with
macroalgal diseases, including tissue bleaching in Ecklonia. There were, however,
no differences in kelp photosynthetic capacity between habitats. The observed
differences in microbial communities may have negative effects on the host by
promoting fouling by macroorganisms or by causing and spreading disease over
time. This study demonstrates that urbanisation can alter the microbiota of key
habitat-forming species with potential ecological consequences.
PMID- 29367880
TI - Cytogenomic identification and long-read single molecule real-time (SMRT)
sequencing of a Bardet-Biedl Syndrome 9 (BBS9) deletion.
AB - Bardet-Biedl syndrome (BBS) is a recessive disorder characterized by
heterogeneous clinical manifestations, including truncal obesity, rod-cone
dystrophy, renal anomalies, postaxial polydactyly, and variable developmental
delays. At least 20 genes have been implicated in BBS, and all are involved in
primary cilia function. We report a 1-year-old male child from Guyana with
obesity, postaxial polydactyly on his right foot, hypotonia, ophthalmologic
abnormalities, and developmental delay, which together indicated a clinical
diagnosis of BBS. Clinical chromosomal microarray (CMA) testing and high
throughput BBS gene panel sequencing detected a homozygous 7p14.3 deletion of
exons 1-4 of BBS9 that was encompassed by a 17.5 Mb region of homozygosity at
chromosome 7p14.2-p21.1. The precise breakpoints of the deletion were delineated
to a 72.8 kb region in the proband and carrier parents by third-generation long
read single molecule real-time (SMRT) sequencing (Pacific Biosciences), which
suggested non-homologous end joining as a likely mechanism of formation. Long
read SMRT sequencing of the deletion breakpoints also determined that the
aberration included the neighboring RP9 gene implicated in retinitis pigmentosa;
however, the clinical significance of this was considered uncertain given the
paucity of reported cases with unambiguous RP9 mutations. Taken together, our
study characterized a BBS9 deletion, and the identification of this shared
haplotype in the parents suggests that this pathogenic aberration may be a BBS
founder mutation in the Guyanese population. Importantly, this informative case
also highlights the utility of long-read SMRT sequencing to map nucleotide
breakpoints of clinically relevant structural variants.
PMID- 29367881
TI - Changes in zinc-alpha2-glycoprotein (ZAG) plasma concentrations pre and post Roux
En-Y gastric bypass surgery (RYGB) or a very low calorie (VLCD) diet in
clinically severe obese patients: Preliminary Study.
AB - The purpose of this preliminary study was to investigate changes in plasma
concentrations of zinc-alpha2-glycoprotein (ZAG), a lipid mobilizing hormone, in
obese subjects following Roux-En-Y Gastric Bypass (RYGB) surgery or a very low
calorie diet (VLCD). Fasting blood concentrations and anthropometric measurements
were measured pre and 12 weeks post intervention. 14 healthy, obese individuals
underwent either RYGB (N=6) surgery or a VLCD (N=8). Body composition and fasting
plasma ZAG concentrations were measured at baseline (pre) and 12 weeks post
intervention (post). At pre-intervention baseline, there was no difference in
plasma ZAG between the two intervention groups. Post-intervention, there was a
significant overall reduction (F(1,11) = 32.8, p<0.001) in plasma ZAG, which was
significant only within the RYGB group from pre to post intervention (33.2 +/-
5.7 MUg/ml to 26.7 +/- 4.8 MUg/ml (p<0.015)) and significantly greater than the
change within the VLCD group. The change in ZAG was inversely correlated across
groups with BMI reduction (r= -0.60, p<0.05), % body fat reduction (r= -0.68,
p<0.015), reduction in weight (r= -0.58, p<0.05), and % weight loss (r= -0.70,
p<0.05). Overall, subjects who underwent RYGB or VLCD had a significant reduction
in plasma ZAG. This reduction was significant within the RYGB group alone, who
lost a larger amount of weight than the VLCD group, which suggests that ZAG may
have a protective effect during marked weight loss.
PMID- 29367882
TI - Determinants of postnatal spleen tissue regeneration and organogenesis.
AB - The spleen is an organ that filters the blood and is responsible for generating
blood-borne immune responses. It is also an organ with a remarkable capacity to
regenerate. Techniques for splenic auto-transplantation have emerged to take
advantage of this characteristic and rebuild spleen tissue in individuals
undergoing splenectomy. While this procedure has been performed for decades, the
underlying mechanisms controlling spleen regeneration have remained elusive.
Insights into secondary lymphoid organogenesis and the roles of stromal organiser
cells and lymphotoxin signalling in lymph node development have helped reveal
similar requirements for spleen regeneration. These factors are now considered in
the regulation of embryonic and postnatal spleen formation, and in the
establishment of mature white pulp and marginal zone compartments which are
essential for spleen-mediated immunity. A greater understanding of the cellular
and molecular mechanisms which control spleen development will assist in the
design of more precise and efficient tissue grafting methods for spleen
regeneration on demand. Regeneration of organs which harbour functional white
pulp tissue will also offer novel opportunities for effective immunotherapy
against cancer as well as infectious diseases.
PMID- 29367883
TI - Specific mutations in the D1-D2 linker region of VCP/p97 enhance ATPase activity
and confer resistance to VCP inhibitors.
AB - Valosin-containing protein (VCP), together with several partner proteins,
extracts ubiquitinated client proteins from E3 ligase complex and facilitates
their degradation through ubiquitin-proteasome system. Therefore, it plays an
important role in regulating protein quality control and various cellular
pathways. Recent studies also identified VCP as a lineage-specific essential gene
in ovarian cancer. An orally bioavailable VCP inhibitor, CB-5083, is currently in
Phase I clinical trials because it shows therapeutic effects in multiple tumor
xenograft models. However, the mechanism of resistance to CB-5083 is unknown.
Here, we characterized molecular mechanism of resistance to CB-5083. Using
incremental exposure to CB-5083, we established CB-5083-resistant ovarian cancer
cells that showed five- to six-fold resistance in vitro compared with parental
cells. Genomic and complementary DNA sequencing of the VCP coding region revealed
a pattern of co-selected mutations: (1) missense mutations at codon 470 in one
copy resulting in increased ATPase activity and (2) nonsense or frameshift
mutations at codon 606 or codon 616 in another copy causing the loss of allele
specific expression. Unbiased molecular docking studies showed codon 470 as a
putative binding site for CB-5083. Furthermore, the analysis of somatic mutations
in cancer genomes from the Cancer Genome Atlas (TCGA) indicated that codon 616
contains hotspot mutations in VCP. Thus, identification of these mutations
associated with in vitro resistance to VCP inhibitors may be useful as potential
theranostic markers while screening for patients to enroll in clinical trials.
VCP has emerged as a viable therapeutic target for several cancer types, and
therefore targeting such hyperactive VCP mutants should aid in improving the
therapeutic outcome in cancer patients.
PMID- 29367884
TI - Glucose-regulated protein 75 determines ER-mitochondrial coupling and sensitivity
to oxidative stress in neuronal cells.
AB - The crosstalk between different organelles allows for the exchange of proteins,
lipids and ions. Endoplasmic reticulum (ER) and mitochondria are physically
linked and signal through the mitochondria-associated membrane (MAM) to regulate
the transfer of Ca2+ from ER stores into the mitochondrial matrix, thereby
affecting mitochondrial function and intracellular Ca2+ homeostasis. The
chaperone glucose-regulated protein 75 (GRP75) is a key protein expressed at the
MAM interface which regulates ER-mitochondrial Ca2+ transfer. Previous studies
revealed that modulation of GRP75 expression largely affected mitochondrial
integrity and vulnerability to cell death. In the present study, we show that
genetic ablation of GRP75, by weakening ER-mitochondrial junctions, provided
protection against mitochondrial dysfunction and cell death in a model of
glutamate-induced oxidative stress. Interestingly, GRP75 silencing attenuated
both cytosolic and mitochondrial Ca2+ overload in conditions of oxidative stress,
blocked the formation of reactive oxygen species and preserved mitochondrial
respiration. These data revealed a major role for GRP75 in regulating
mitochondrial function, Ca2+ and redox homeostasis. In line, GRP75 overexpression
enhanced oxidative cell death induced by glutamate. Overall, our findings suggest
weakening ER-mitochondrial connectivity by GRP75 inhibition as a novel protective
approach in paradigms of oxidative stress in neuronal cells.
PMID- 29367886
TI - Newborn Survival Case Study in Rwanda - Bottleneck Analysis and Projections in
Key Maternal and Child Mortality Rates Using Lives Saved Tool (LiST).
AB - Background and Objective: The Newborn Survival Case study in Rwanda provides an
analysis of the newborn health and survival situation in the country. It reviews
evidence-based interventions and coverage levels already implemented in the
country; identifies key issues and bottlenecks in service delivery and uptake of
services by community/beneficiaries, and provides key recommendations aimed at
faster reduction in newborn mortality rate. Methods: This study utilized mixed
method research including qualitative and quantitative analyses of various
maternal and newborn health programs implemented in the country. This included
interviewing key stakeholders at each level, field visits and also interviewing
beneficiaries for assessment of uptake of services. Monitoring systems such as
Health Management Information Systems (HMIS), maternal and newborn death audits
were reviewed and data analyzed to aid these analyses. Results: Policies,
protocols, various guidelines and tools for monitoring are already in place
however, implementation of these remains a challenge e.g. infection control
practices to reduce deaths due to sepsis. Although existing staff are quite
knowledgeable and are highly motivated, however, shortage of health personnel
especially doctors in an issue. New facilities are being operationalized e.g. at
Gisenyi, however, the existing facilities needs expansion. Conclusion and Global
Health Implications: It is essential to implement high impact evidence based
interventions but coverage levels need to be significantly high in order to
achieve higher reduction in newborn mortality rate. Equity approach should be
considered in planning so that the services are better implemented and the poor
and needy can get the benefits of public health programs.
PMID- 29367885
TI - A heterogeneous human immunodeficiency virus-like particle (VLP) formulation
produced by a novel vector system.
AB - First identified as the etiological agent behind Acquired Immunodeficiency
Syndrome (AIDS) in the early 1980s, HIV-1 has continued to spread into a global
pandemic and major public health concern. Despite the success of antiretroviral
therapy at reducing HIV-1 viremia and preventing the dramatic CD4+ T-cell
collapse, infected individuals remain HIV positive for life. Unfortunately, it is
increasingly clear that natural immunity is not, and may never be, protective
against this pathogen. Therefore, efficacious vaccine interventions, which can
either prevent infection or eradicate the latent viral reservoir and effect cure,
are a major medical priority. Here we describe the development of a safe vaccine
platform, currently being utilized in on-going prophylactic and therapeutic
preclinical studies and consisting of highly heterogeneous virus-like particle
formulations that represent the virus diversity within infected individuals.
These VLPs contain no 5'LTR, no functional integrase, and have a severely mutated
stem loop 1-thereby preventing any potential reverse transcription, integration,
and RNA packaging. Furthermore, we demonstrate that these VLPs are
morphologically identical to wild-type virus with polyvalent Env in a functional
form. Finally, we show that the VLPs are antigenic and capable of generating
strong immune recall responses.
PMID- 29367887
TI - Determinants of Voluntary HIV/AIDS Counseling and Testing among Community College
Students in the United States.
AB - Background: Human Immunodeficiency Virus (HIV) testing remains the best public
health preventive strategy in the fight against HIV/AIDS. We assessed the factors
that determined voluntary counseling and screening/testing for HIV among college
students. Methods: In this cross-sectional study, a purposeful sample of 189
college students was analyzed using three health belief model (HBM) variables as
the theoretical framework. Results: All the HBM variables were positively
associated with intention to test for HIV, and with perceived benefits (p =
0.023) having the strongest association. Conclusion and Global Health
Implications: The results of this study underscore the important factors that
predict intention to screen for HIV among college students. Understanding the
factors that influence intention for HIV testing is useful in formulating public
health policies and in the design of programs and interventions aimed at
increasing the number of people who get tested for HIV.
PMID- 29367888
TI - Emigration of skilled healthcare workers from developing countries: can team
based healthcare practice fill the gaps in maternal, newborn and child healthcare
delivery?
AB - Background and Introduction: Emigration of healthcare workers from developing
countries is on the rise and there is an urgent need for policies that increase
access to and continuity of healthcare. In this commentary, we highlight some of
the negative impacts of emigration on maternal and child health and discuss
whether team-based healthcare delivery could possibly mitigate the shortfall of
maternal and child health professionals in developing countries. Methodology: We
cross-examine the availability of supporting structures to implement team-based
maternal and child healthcare delivery in developing countries. We briefly
discuss three key supporting structures: culture of sharing, telecommunication,
and inter-professional education. Supporting structures are examined at system,
organizational and individual levels. We argue that the culture of sharing,
limited barriers to inter-professional education and increasing access to
telecommunication will be advantageous to implementing team-based healthcare
delivery in developing countries. Conclusion and Global Health Implications:
Although most developing countries may have notable supporting structures to
implement team-based healthcare delivery, the effectiveness of such models in
terms of cost, time and infrastructure in resource limited settings is still to
be evaluated. Hence, we call on usual stakeholders, government, regulatory
colleges and professional associations in countries with longstanding emigration
of maternal and child healthcare workers to invest in establishing comprehensive
models needed to guide the development, implementation and evaluation of team
based maternal and child healthcare delivery.
PMID- 29367889
TI - Progresses and challenges of utilizing traditional birth attendants in maternal
and child health in Nigeria.
AB - Background and Objectives: Despite advances in modern healthcare, Traditional
Birth Attendants (TBA) have continued to be heavily utilized in rural communities
in Nigeria. Major disparities in maternal health care in Nigeria remain present
despite the goal of the United Nations Millennium Development Goal to reduce
maternal mortality by 2015. The objective of this study is to review the
contribution of TBAs in the birthing process in Nigeria, and to examine barriers
and opportunities for utilizing TBAs in improving maternal and child health
outcomes in Nigeria. Methods: A literature review of two major electronic
databases was conducted using the PRISMA framework to identify English language
studies conducted between 2006 and 2016. Inclusion criteria included articles
that examined the role of traditional birth attendants as a factor influencing
maternal health in Nigeria. Results: The value of TBAs has not been fully
examined as few studies have aimed to examine its potential role in reducing
maternal mortality with proper training. Eight manuscripts that were examined
highlighted the role of TBAs in maternal health including outcomes of utilizing
trained versus non-trained TBAs. Conclusion and Global Health Implications:
Specific areas of training for TBAs that were identified and recommended in
review including: recognizing delivery complications, community support for TBA
practices through policy, evaluation of TBA training programs and increasing
collaboration between healthcare facilities and TBAs. Policies focused on
improving access to health services and importantly, formal health education
training to TBAs, are required to improve maternal health outcomes and
underserved communities.
PMID- 29367890
TI - Social Determinants of Health in the United States: Addressing Major Health
Inequality Trends for the Nation, 1935-2016.
AB - Objectives: This study describes key population health concepts and examines
major empirical trends in US health and healthcare inequalities from 1935 to 2016
according to important social determinants such as race/ethnicity, education,
income, poverty, area deprivation, unemployment, housing, rural-urban residence,
and geographic location. Methods: Long-term trend data from the National Vital
Statistics System, National Health Interview Survey, National Survey of
Children's Health, American Community Survey, and Behavioral Risk Factor
Surveillance System were used to examine racial/ethnic, socioeconomic, rural
urban, and geographic inequalities in health and health care. Life tables, age
adjusted rates, prevalence, and risk ratios were used to examine health
differentials, which were tested for statistical significance at the 0.05 level.
Results: Life expectancy of Americans increased from 69.7 years in 1950 to 78.8
years in 2015. However, despite the overall improvement, substantial gender and
racial/ethnic disparities remained. In 2015, life expectancy was highest for
Asian/Pacific Islanders (87.7 years) and lowest for African-Americans (75.7
years). Life expectancy was lower in rural areas and varied from 74.5 years for
men in rural areas to 82.4 years for women in large metro areas, with rural-urban
disparities increasing during the 1990-2014 time period. Infant mortality rates
declined dramatically during the past eight decades. However, racial disparities
widened over time; in 2015, black infants had 2.3 times higher mortality than
white infants (11.4 vs. 4.9 per 1,000 live births). Infant and child mortality
was markedly higher in rural areas and poor communities. Black infants and
children in poor, rural communities had nearly three times higher mortality rate
compared to those in affluent, rural areas. Racial/ethnic, socioeconomic, and
geographic disparities were particularly marked in mortality and/or morbidity
from cardiovascular disease, cancer, diabetes, COPD, HIV/AIDS, homicide,
psychological distress, hypertension, smoking, obesity, and access to quality
health care. Conclusions and Global Health Implications: Despite the overall
health improvement, significant social disparities remain in a number of health
indicators, most notably in life expectancy and infant mortality. Marked
disparities in various health outcomes indicate the underlying significance of
social determinants in disease prevention and health promotion and necessitate
systematic and continued monitoring of health inequalities according to social
factors. A multi-sectoral approach is needed to tackle persistent and widening
health inequalities among Americans.
PMID- 29367891
TI - Intrasession Repeatability and Interocular Symmetry of Foveal Avascular Zone and
Retinal Vessel Density in OCT Angiography.
AB - Purpose: To measure intrasession repeatability and interocular symmetry of the
foveal avascular zone area (FAZA) and superficial retinal vessel density (SRVD)
using AngioVue Analytics optical coherence tomography angiography (OCTA).
Methods: Fifty healthy individuals were prospectively enrolled. OCTA scans (3 * 3
and 6 * 6 mm) were acquired twice in right and once in left eyes. FAZA (with and
without rescaling) and SRVD for 18 regions (whole, fovea, parafovea, six
parafoveal subregions, and nine square zones) were compared between two scans in
right eyes (repeatability) and between both eyes (symmetry). Coefficients of
repeatability (CRs) and limits of agreement (LAs) were calculated. Results: Axial
length-based image size rescaling had negligible impact on the intrasession CR of
FAZA in both 3 * 3- and 6 * 6-mm images. The intrasession CRs for the foveal SRVD
were 3.3% and 6.1% in the 3 * 3- and 6 * 6-mm OCTA images, respectively. Age and
axial length did not influence test-retest variability of FAZA or SRVD. The
interocular LAs in FAZA (0.039-0.059 mm2) was comparable to its CR. However, the
interocular LAs in foveal SRVD were -4.5% to +3.8%, with 13% of the cohort
showing an interocular difference greater than the CR. Conclusions: FAZA
repeatability is not influenced by image size correction, and foveal SRVD is more
variable in 6 * 6- than 3 * 3-mm OCTA images. Low image quality may contribute to
interocular SRVD asymmetry. Translational Relevance: CRs and LAs can be used to
set a threshold for true changes in FAZA and SRVD in longitudinal studies of
healthy individuals.
PMID- 29367893
TI - Three Dimensional Stimulus Source for Pattern Electroretinography in Mid- and Far
peripheral Retina.
AB - Purpose: The pattern electroretinogram (pERG) response reflects, in part,
ganglion cell function. However, probing retinal ganglion cell (RGC) function in
the mid- and far peripheral retina is difficult with conventional flat-panel pERG
stimulus sources. A pattern stimulus source is presented for probing the
peripheral retina. Peripheral pERG (ppERG) responses were evaluated versus
luminance, reversal rate, and field subtended, and were compared with
conventional pERG in healthy eyes. Methods: Eleven normally-sighted subjects were
recruited. A hemispherical surface was used to present a reversing checkerboard
pattern to the peripheral retina, from approximately 35 degrees to 85 degrees
of visual field, in all directions. Responses to stimuli presented to peripheral
field sectors (superior, nasal, inferior, temporal) were also recorded.
Conventional pERG responses were recorded on the same day. Amplitudes and
implicit times of waveform peaks were evaluated. Results: Robust pERG responses
from peripheral retina resemble conventional pERG responses but with shorter
implicit times and reduced positive component. Responses to high-luminance
patterns include high-frequency components resembling flash ERG oscillatory
potentials. Negative response component amplitudes increased with increasing
pattern luminance, and decreased with increasing reversal rate. Conclusions:
Peripheral-field pERG responses are robust and repeatable; the unique response
properties reflect differences between central and peripheral retina. Field
sector response ratios can be used to probe for sectoral dysfunction associated
with disease. Translational Relevance: The ppERG approach provides direct
measurement of proximal retinal function beyond the fields probed by conventional
perimetry and pERG, providing access to a relatively under studied part of the
retina relevant to early stage glaucoma.
PMID- 29367892
TI - The Magnetic Levator Prosthesis for Temporary Management of Severe
Blepharoptosis: Initial Safety and Efficacy.
AB - Purpose: We further optimized and evaluated the safety of the magnetic levator
prosthesis (MLP) for temporary management of severe blepharoptosis, and compared
efficacy and comfort against the ptosis crutch. Methods: The interpalpebral
fissure (IPF) of participants (n = 12) with ptosis was measured during attempted
eyelid opening, volitional closing, and spontaneous closing with no device,
ptosis crutch, or the MLP. A 10-point scale documented comfort. Additionally, a
20 minute and then 1 week trial of the MLP was offered. Safety measures were skin
erythema rating, change in visual acuity, and change in corneal staining.
Results: The MLP and crutch opened the eye (IPF 11.2 and 9.3 mm), but the MLP
allowed better volitional closure (IPF 1.0 vs. 4.9 mm, P = 0.009), but was no
better in allowing spontaneous blink (IPF 7.5 vs. 7.7 mm, P = 0.722). Both
devices were equally comfortable (both median 8/10 comfort, P = 0.46). With
extended use, opening with the MLP showed IPF 9.24 mm at 20 minutes and 9.46 mm
at 1 week, and volitional closure was IPF 0.95 and 0.52 mm, respectively. Closure
on spontaneous blink improved with extended wear to IPF 5.14 and 5.18 mm,
respectively (P = 0.002). Two participants exhibited moderate skin erythema and
one had increased corneal staining without change in acuity. Conclusions: The MLP
is safe and feasible for temporary correction of severe ptosis. Translational
Relevance: First group data in patients showing successful reanimation of the
eyelid with magnetic force.
PMID- 29367894
TI - A Functional Regression Model of the Retinal Nerve Fiber Layer Thickness in
Healthy Subjects.
AB - Purpose: A new functional regression model is presented to explain the
intersubject variability of the circumpapillary retinal nerve fiber layer (RNFL)
thickness in healthy subjects. Methods: To evaluate the functional regression
approach we used data from 202 healthy volunteers, divided equally into training
samples (TS) and validation samples (VS). Covariates included RNFL, fovea
distance, fovea angle, optic disk ratio, orientation and area provided by Fourier
domain-optical coherence tomography, age, and refractive error. Root mean square
errors (RMSE) were calculated for each of the 256 sectors and for the 12 clock
hour sectors in the TS and VS and were compared to the RMSE of the previous model
and the standard deviation of the raw data. Results: With the functional
regression approach, we were able to explain on average 27.4% of the variation in
the TS and 25.1% of the variation in the VS. The new model performed better
compared to a multivariate linear regression model. It performed best in the
superior-temporal and inferior-temporal clock-hour sectors where the percentage
of RMSE reduction ranged between 26.3% and 44.1% for the TS and between 20.6% and
35.4% for the VS. Conclusions: The new functional regression approach improves on
the multivariate linear regression model and allows an even larger reduction of
the amount of intersubject variability, while at the same time using a
substantially smaller number of parameters to be estimated. Translational
Relevance: The demonstrated reduction of interindividual variation is expected to
translate into an improved diagnostic separation between healthy and glaucomatous
subjects, but this remains to be demonstrated in further studies.
PMID- 29367895
TI - Correlates of weekday compliance to physical activity recommendations in Swiss
youth non-compliant in weekend days.
AB - Some children are inactive on weekends but active on weekdays. Correlates of such
behavior remain to be clarified. We assessed school, out-of-school and family
correlates of compliance with physical activity (PA) recommendations during
weekdays among weekend non-compliant youth in Switzerland. Cross-sectional data
collected in 2013-2015 from the SOPHYA study. PA was objectively measured during
one week using waist-worn accelerometers. Compliance with PA recommendations (>=
60 min/day of moderate-to-vigorous PA) was assessed separately for weekend and
weekdays. Data on school sport, transport to school, sports club participation,
household income, parent's PA and education were collected by phone interview and
questionnaires. Data from 540 youth (316 girls) aged 6-16 years were available
for analysis. Participants who were compliant to recommendations during weekdays
were more frequently boys (50.3% vs. 31.4%, p < 0.001), more often participated
in sports club (73.3% vs. 64.3%, p = 0.024), and were more prone to adopt active
transport to school (75.8% vs. 62.0%, p = 0.001) than non-compliers.
Multivariable adjustment showed male gender [odds ratio and (95% confidence
interval): 4.30 (2.71-6.81)], sports club participation [1.91 (1.21-3.02)], and
PA-active parent [1.98 (1.20-3.28)] were significantly associated with weekday
compliance. Being a male, a sports club participant and having a physically
active parent significantly increase compliance with PA recommendations during
weekdays among Swiss youth who are inactive on weekends.
PMID- 29367896
TI - Pleomorphic undifferentiated sarcoma: A case of a giant renal mass.
PMID- 29367897
TI - Non-traumatic testicular rupture following episode of epididymo-orchitis.
PMID- 29367898
TI - RBO in a new era.
PMID- 29367899
TI - Evaluation of interobserver agreement in Albertoni's classification for mallet
finger.
AB - Objective: To measure the reliability of Albertoni's classification for mallet
finger. Methods: Agreement study. Forty-three radiographs of patients with mallet
finger were assessed by 19 responders (12 hand surgeons and seven residents).
Injuries were classified by Albertoni's classification. For agreement comparison,
lesions were grouped as: (A) tendon avulsion; (B) avulsion fracture; (C) fracture
of the dorsal lip; and (D) physis injury-and subgroups (each group divided into
two subgroups). Agreement was assessed by Fleiss's modification for kappa
statistics. Results: Agreement was excellent for Group A (k = 0.95 (0.93-0.97))
and remained good when separated into A1 and A2. Group B was moderate (k = 0.42
(0.39-0.44)) and poor when separated into B1 and B2. In the Group C, agreement
was good (k = 0.72 (0.70-0.74)), but when separated into C1 and C2, it became
moderate. Group D was always poor (k = 0.16 (0.14-0.19)). The general agreement
was moderate, with (k = 0.57 (0.56-0.58)). Conclusion: Albertoni's classification
evaluated for interobserver agreement is considered a reproducible classification
by the method used in the research.
PMID- 29367900
TI - Epidemiological analysis of patients with Dupuytren's disease.
AB - Objective: To evaluate the risk factors and analyze the characteristics of
patients and lesions in Dupuytren's disease. Methods: Retrospective analysis of
patients diagnosed with Dupuytren's disease in a hand surgery clinic in 2013. The
authors evaluated parameters associated with the patient profiles and risk
factors, the form and severity of involvement, and characteristics of the
lesions. Results: 58 patients were evaluated, totaling 79 hands, with bilateral
involvement in 46% of cases. The involvement of the ulnar fingers of the hand
represented 78%, 44% being the ring finger. In 55% of cases, the patients had
cords, while 45% showed only nodules. As for related factors, they were found
most commonly in men (55%), whites (93%), and the elderly. Of coexisting
diseases, the following were present: diabetes mellitus (49%), especially in the
insulin-dependent (62%), hypertension (55.2%), and dyslipidemia (19%). With
regard to lifestyle, 22% were smokers and 9% were alcohol consumers. Conclusion:
It was observed a higher incidence of Dupuytren's disease was observed among men,
whites, and the ulnar fingers of the hand, especially the ring finger. The most
common associated diseases were diabetes mellitus and hypertension.
PMID- 29367901
TI - Axillary nerve neurotization by a triceps motor branch: comparison between
axillary and posterior arm approaches.
AB - Objectives: This study is aimed at comparing the functional outcome of axillary
nerve neurotization by a triceps motor branch through the axillary approach and
posterior arm approach. Methods: The study included 27 patients with post
traumatic brachial plexus injury treated with axillary nerve neurotization by a
triceps motor branch for functional recovery of shoulder abduction and external
rotation. The patients were retrospectively evaluated and two groups were
identified, one with 13 patients undergoing axillary nerve neurotization by an
axillary approach and the second with 14 patients using the posterior arm
approach. Patients underwent assessment of muscle strength using the scale
recommended by the British Medical Research Council, preoperatively and 18 months
postoperatively, with useful function recovery considered as grade M3 or greater.
Results: In the axillary approach group, 76.9% of patients achieved useful
abduction function recovery and 69.2% achieved useful external rotation function
recovery. In the group with posterior arm approach, 71.4% of patients achieved
useful abduction function recovery and 50% achieved useful external rotation
function recovery. The difference between the two groups was not statistically
significant (p = 1.000 for the British Medical Research Council abduction scale
and p = 0.440 for external rotation). Conclusion: According to the British
Medical Research Council grading, axillary nerve neurotization with a triceps
motor branch using axillary approach or posterior arm approach shows no
statistical differences.
PMID- 29367902
TI - Venous thromboembolism prophylaxis after total knee arthroplasty (TKA): aspirin
vs. rivaroxaban.
AB - Objectives: To compare the efficacy and safety of aspirin and rivaroxaban in
preventing venous thromboembolism (VTE) after total knee arthroplasty (TKA).
Methods: Thirty-two patients with osteoarthritis of the knee and knee
arthroplasty indication were selected. The operated patients were randomized into
two groups (A and B). Group A received 300 mg of acetylsalicylic acid (aspirin)
and Group B received 10 mg of rivaroxaban daily for 14 days. Follow-up was
performed weekly for four weeks and evaluated the presence of signs and symptoms
of DVT, the healing of the surgical wound, and possible local complications such
as hematoma, and superficial or deep infection that required surgical approach.
Results: It was verified that there were no differences between groups
(rivaroxaban and aspirin) regarding gender, age, and (p > 0.05). After using the
general linear model (GLM) test, it was found that there was a decrease in Hb and
Ht levels, preoperatively and at one, three, seven, and 14 days (Hb: p = 1.334 *
10-30; Ht: p = 1.362 * 10-28). However, they did not differ as to the type of
medication (Hb: p = 0.152; Ht: p = 0.661). There were no identifiable differences
in local complications, systemic complications, deep vein thrombosis (DVT),
readmission to hospital, reoperation, or death (p > 0.05) between groups
(rivaroxaban and aspirin). Conclusions: Both aspirin and rivaroxaban can be
considered useful among drugs available VTE the prevention after TKA.
PMID- 29367903
TI - Use of MRI by radiologists and orthopaedic surgeons to detect intra-articular
injuries of the knee.
AB - Objective: Magnetic resonance imaging (MRI) is paramount in the assessment of
knee pathology, particularly when planning for a surgical procedure. This study
compared the diagnostic accuracy in MRI reading of pathological knees by
radiologists and orthopaedic surgeons. Materials and methods: Cross-sectional
study comprising 80 randomly selected patients previously submitted to
arthroscopic surgery after clinical examination and MRI. A diagnosis by MRI
interpretation was requested from the two teams, one of radiologists and another
of orthopaedic surgeons. The conclusions of each team were later compared.
Statistical significance was considered for p < 0.05. Results: The radiologists'
findings achieved statistical significance regarding osteochondral injuries, ACL,
and medial meniscus (p < 0.05), and orthopaedic surgeons regarding ACL injuries
and menisci (p < 0.05). ACL injuries demonstrated a statistically significant
association between teams (p < 0.001). Conclusions: MRI appears to offer reliable
readings of ACL injuries, regardless the specialty of the observer. The lateral
compartment is scarcely well read.
PMID- 29367904
TI - Epidemiological characteristics of patients with pelvic tumors submitted to
surgical treatment.
AB - Objective: Describe the epidemiological profile of patients with primary or
secondary neoplastic lesions in the pelvis who required a surgical procedure such
as hemipelvectomy. Methods: This study retrospectively evaluated 69 patients
located in the database of a Sao Paulo educational institution, subject to
surgical hemipelvectomy treatment between January 1990 and December 2013. All
patients had previous diagnosis of bone tumor (primary or metastatic) in the
pelvis (ilium, ischium, pubis, and/or sacrum). Results: Analyzing the data
obtained in this study, it was observed that these are partly similar to those
found in the literature, with primary bone malignancies as the main diagnosis;
general injuries affecting the pelvic area I (pelvic bone) and its most frequent
complication, infection. The differences are mainly due to rarity of the bone
tumors evaluated in this study, and the type of surgical procedure in question,
which is even more unusual. Conclusion: Building a picture that conveys the
reality of each diagnosis and that indicates which characteristics of these
patients would better resemble an absolute or relative indication for the
realization of hemipelvectomy is harder by the rarity of these cases.
PMID- 29367905
TI - Influence of anxiety and depression symptoms on the quality of life in patients
undergoing lumbar spine surgery.
AB - Objective: Comparative analysis of the quality of life and functionality of
patients undergoing lumbar spine arthrodesis due to degenerative lumbar spine
disease. The authors sought to correlate the influence of anxious and depressive
symptoms before and after surgery. Methods: A prospective cohort study was
performed, with 32 patients submitted to arthrodesis due to degenerative lumbar
spine disease and the visual analogue pain scale pain questionnaire, the Oswestry
Disability Index questionnaire, the Medical Outcomes Survey Short Form
questionnaire - 36 items (SF-36), and the Hospital Anxiety and Depression Scale,
applied in the preoperative period and four months after the procedure. Results:
There was improvement in the mean scores of the visual analogue pain scale (p <
0.001) and the Oswestry Disability Index (p < 0.001). In the preoperative period,
the variables that presented a difference between patients with and without
anxiety symptoms were the SF-36 domains of general health (p = 0.031), social
aspects (p = 0.008), and mental health (p = 0.035). In the postoperative period,
patients without anxiety symptoms showed better results in the vitality (p =
0.004), social aspects (p = 0.001), mental health (p < 0.001), and pain (p =
0.011) domains. In the preoperative period, the variable that presented a
difference between patients with and without depression was the SF-36 domain of
emotional aspects (p = 0.022). In the post-operative period, patients without
depression presented better vitality (p < 0.001), social aspects (p < 0.001),
emotional aspects (p = 0.004), and mental health results (p = 0.001). Conclusion:
Lumbar spine arthrodesis was effective in improving pain, low back pain,
functional capacity, limitation due to physical aspects, vitality, and social and
emotional aspects. Patients without anxiety and depression symptoms had better
results on the scales compared to those with such symptoms.
PMID- 29367906
TI - Proliferation and differentiation of stem cells in contact with eluate from
fibrin-rich plasma membrane.
AB - Objective: To evaluate the ability of the eluate from fibrin-rich plasma (FRP)
membrane to induce proliferation and differentiation of isolated human adipose
derived stem cells (ASCs) into chondrocytes. Method: FRP membranes were obtained
by centrifugation of peripheral blood from two healthy donors, cut, and
maintained in culture plate wells for 48 h to prepare the fibrin eluate. The
SCATh were isolated from adipose tissue by collagenase digestion solution, and
expanded in vitro. Cells were expanded and treated with DMEM-F12 culture, a
commercial media for chondrogenic differentiation, and eluate from FRP membrane
for three days, and labeled with BrdU for quantitative assessment of cell
proliferation using the High-Content Operetta(r) imaging system. For the
chondrogenic differentiation assay, the SCATh were grown in micromass for 21 days
and stained with toluidine blue and aggrecan for qualitative evaluation by light
microscopy. The statistical analysis was performed using ANOVA and Tukey's test.
Results: There was a greater proliferation of cells treated with the eluate from
FRP membrane compared to the other two treatments, where the ANOVA test showed
significance (p < 0.001). The differentiation into chondrocytes was visualized by
the presence of mucopolysaccharide in the matrix of the cells marked in blue
toluidine and aggrecan. Conclusions: Treatment with eluate from FRP membrane
stimulated cell proliferation and induced differentiation of the stem cells into
chondrocytes, suggesting a potential application of FRP membranes in hyaline
cartilage regeneration therapies.
PMID- 29367907
TI - The effects of ethyl-2-cyanoacrylate and butyl-2-cyanoacrylate in the process of
bone healing in rats. A controlled experimental study.
AB - Objective: Synthetic adhesives are used by various medical specialties,
especially in surgery; however, studies reporting their use in orthopedic
practice are scarce. The aim of this study was to compare the results in using
ethyl-2-cyanoacrylate or butyl-2-cyanoacrylate in the treatment of fractures in
rats. Methods: This was an experimental prospective controlled study in 90 rats,
with humerus, femur, and tibia fractures, treated with ethyl-2-cyanoacrylate (SB
group; n = 45) or butyl-2-cyanoacrylate (HA group; n = 45). Biomechanical and
histomorphometric analyses were performed at three different moments (60, 120,
and 180 days); besides a clinical study performed weekly by measurement of the
animals body mass. Results: No differences were observed regarding body mass (p =
0.07). In both groups, there were no significant differences regarding maximum
load (p = 0.6), yield point strength (p = 0.6), and stiffness coefficient (p =
0.4) of the femurs. The same was observed in tibias for maximum load (p = 0.4),
yield point strength (p = 0.7), and stiffness coefficient (p = 0.6). The humerus
from both groups had similar bone callus area (p = 0.66). In both groups, there
were no statistical differences related to inflammatory cells (p = 0.4),
osteoblasts (p = 0.2), and osteoclasts (p = 0.2). Conclusion: Ethyl-2
cyanoacrylate was more effective than butyl-2-cyanoacrylate in the treatment of
fractures in rats.
PMID- 29367908
TI - Surgical treatment of pectoralis major muscle rupture with adjustable cortical
button.
AB - Objective: To assess the tendon reconstruction technique for total rupture of the
pectoralis major muscle using an adjustable cortical button. Methods: Prospective
study of 27 male patients with a mean age of 29.9 (SD = 5.3 years) and follow-up
of 2.3 years. The procedure consisted of autologous grafts taken from the
semitendinosus and gracilis tendons and an adjustable cortical button. Patients
were evaluated functionally by the Bak criteria. Results: The surgical treatment
of pectoralis major muscle tendon reconstruction was performed in the early
stages (three weeks) in six patients (22.2%) and in 21 patients (77.8%), in the
late stages. Patients operated with the adjustable cortical button technique
obtained 96.3% excellent or good results, with only 3.7% having poor results (Bak
criteria). Of the total, 85.2% were injured while performing bench press
exercises and 14.8%, during the practice of Brazilian jiu-jitsu or wrestling. All
weight-lifting athletes had history of anabolic steroid use. Conclusion: The
early or delayed reconstruction of ruptured pectoralis major muscle tendons with
considerable muscle retraction, using an adjustable cortical button and
autologous knee flexor grafts, showed a high rate of good results.
PMID- 29367909
TI - A combined technique for acromioclavicular reconstruction after acute dislocation
- technical description and functional outcomes.
AB - Objective: This study aims to describe the surgical approach to such injuries and
to present the clinical and functional outcomes obtained in a cohort of patients.
Methods: This is an observational retrospective study that included 153 patients
with acute acromioclavicular joint dislocation, operated between 1999 and 2015.
Clinical evaluation included the following outcomes: Constant functional scale,
development of complications, time to return to previous work/sport activities,
and satisfaction index. The contra-lateral (uninjured) shoulder was used as
control in subjective outcomes. Radiological evaluation was performed in order to
monitor signs of loss of reduction, degenerative joint changes, and
coracoclavicular calcifications. Results: The mean age was 29.20 +/- 9.53 (16
71), with a large male predominance (91.5%). Follow-up lasted 55.41 +/- 24.87 (12
108) months. The mean Constant score attained was 96.45 +/- 4.00 (84-100) on
operated shoulders and 98.28 +/- 1.81 (93-100) on contralateral ones. Almost all
patients (98.69%) were satisfied with the surgical results. Worse outcomes were
observed in acromioclavicular joint dislocations of increasing grade (from type
III to V, but worse for type IV), both concerning the Constant score and return
to work or sport. The overall incidence of complications was considered low, with
the most prevalent being Kirschner wire failure and isolated coracoclavicular
ligament calcifications. Conclusion: The surgical technique described is an
excellent option in the treatment of acute acromioclavicular joint dislocations
of Rockwood grades III to V. This is corroborated by the excellent clinical and
functional outcomes and the low rate of complications.
PMID- 29367910
TI - Bicipital aponeurosis. Anatomical study and clinical implications.
AB - Objective: The aim of this study was to analyze the anatomic variations of the
bicipital aponeurosis (BA) (lacertus fibrosus) and its implications for the
compression of the median nerve, which is positioned medially to the brachial
artery, passing under the bicipital aponeurosis. Methods: Sixty upper limbs of 30
cadavers were dissected, 26 of which were male and four, female; of the total, 15
had been previously preserved in formalin and glycerine and 15 were dissected
fresh in the Laboratory of Anatomy. Results: In 55 limbs, short and long heads of
the biceps muscle contributed to the formation of the BA, and the most
significant contribution was always from the short head. In three limbs, only the
short head contributed to the formation of the BA. In two limbs, the BA was
absent. The length of the bicipital aponeurosis from its origin to its insertion
ranged from 4.5 to 6.2 cm and its width, from 0.5 to 2.6 cm. In 42 limbs, the BA
was thickened; of these, in 27 it was resting directly on the median nerve, and
in 17 a high insertion of the humeral head of the pronator teres muscle was
found, and the muscle was interposed between the BA and the median nerve.
Conclusion: These results suggest that a thickened BA may be a potential factor
for nerve compression, by narrowing the space through which the median nerve
passes.
PMID- 29367911
TI - Treatment of displaced femoral neck fractures in young patients with DHS and its
association to osteonecrosis.
AB - Objective: The purpose of this study is to evaluate the performance of dynamic
hip screw for the treatment of dislocated femoral neck fractures in young
patients, focusing on osteonecrosis. Methods: A series of 53 patients with less
than 55 years of age were retrospectively evaluated. All patients had dislocated
femoral neck fractures (Garden III or IV) and were treated with DHS. Ficat's
staging system was used to evaluate avascular necrosis. Results: There were 38
(71.7%) males and 15 (28.3%) females, with an overall mean age at the onset of
fracture of 41.9 years (+/-12.8). According to Garden's classification, 21
(39.6%) fractures were classified as type III and 32 (60.4%) were considered
totally dislocated, Garden IV. Fracture healing was achieved in 39 patients
(73.6%). Thirteen cases of avascular necrosis were observed (24.6%). Conclusions:
The incidence of avascular necrosis in young patients with a displaced femoral
neck fractures treated with DHS was 24.6%. No statistically significant
association was found between times elapsed to surgery, fracture displacement,
and presence of derotation screw with osteonecrosis. Level of evidence IV.
PMID- 29367912
TI - Mind the gap between the fracture line and the length of the working area: a 2-D
finite element analysis using an extramedullary fixation model.
AB - Objective: To determine the ideal working area for a simple transverse fracture
line treated with a bridge plate. Methods: A 2-D finite element analysis of a
hypothetical femur was performed for the quantitative evaluation of a large
fragment titanium alloy locking plate based on the precept of relative stability
in a case of a simple transverse diaphyseal fracture. Two simulations (one case
of strain and another case of stress distribution) were analyzed in three unique
situations according to the von Mises stress theory. Load distributions were
observed when the bone was subjected to a single vertical load of 1000 N.
Results: The longer the length of the implant flexion, which coincided with the
working area of the plate, the greater the flexion of the implant. The highest
concentrations of stress on the plate occurred in the region around the screws
closest to the bone gap. The closer the screws to the fracture site, the greater
the demands on the plate. Conclusion: When using a large-fragment titanium alloy
locking plate to stabilize a simple transverse fracture based on the precept of
relative stability (bridge plate), there must be considerable distance between
the proximal and distal screws closest to the fracture line. The farther away
this fixation is, the lower the stress on the plate and the greater the
dissipation of force in the form of deflection.
PMID- 29367913
TI - Radiographic evaluation of 19 patients with Paprosky 3A and 3B submitted to
acetabular review with trabecular metal wedge.
AB - Objective: This study is aimed at evaluating the fixation of trabecular metal
wedges in patients who underwent revision of total hip arthroplasty with large
acetabular bone defects. Methods: The radiographs of 19 patients (21 hips), who
underwent revision of total hip arthroplasty using trabecular metal wedges from
September 2010 to December 2014 were evaluated. This study included only cases of
Paprosky 3A and 3B. Preoperative and postoperative images were analyzed. Non
fixation of the implant was defined by the presence of angular variation of the
component higher 10 degrees or displacement greater than 6 mm. Patients with
follow-up times of less than 24 months or who did not attend the last two
appointments were excluded from the study. Results: The mean follow-up time was
39.4 months (25-61). Fixation was achieved in all cases despite its complexity.
There was only one case of dislocation that was treated with open reduction. One
case developed infection, and was surgically approached on two occasions, with
extensive debridement and intravenous antibiotics following protocol, with good
evolution. Conclusion: The implanted trabecular metal wedges showed excellent
results in the short- and medium-term and may represent another option in the
reconstruction of large acetabular defects, sometimes replacing bone
reconstruction that uses bone tissue banks or autologous graft.
PMID- 29367914
TI - Reproducibility of the Lauge-Hansen, Danis-Weber, and AO classifications for
ankle fractures.
AB - Objective: This study evaluated the reproducibility of the three main
classifications of ankle fractures most commonly used in emergency clinical
practice: Lauge-Hansen, Danis-Weber, and AO-OTA. The secondary objective was to
assess whether the level of professional experience influenced the interobserver
agreement for the classification of this pathology. Methods: The study included
83 digitized preoperative radiographic images of ankle fractures, in
anteroposterior and lateral views, of different adults that had occurred between
January and December 2013. For sample calculation, the estimated accuracy was
approximately 15%, with a sampling error of 5% and a sampling power of 80%. The
images were analyzed and classified by six different observers: two foot and
ankle surgeons, two general orthopedic surgeons, and two-second-year residents in
orthopedics and traumatology. The Kappa statistical method of multiple variances
was used to assess the variations. Results: The Danis-Weber classification
indicated that 40% of the agreements among all observers were good or excellent,
whereas only 20% of good and excellent agreements were obtained using the AO and
Lauge Hansen classifications. The Kappa index was 0.49 for the Danis-Weber
classification, 0.32 for Lauge Hansen, and 0.38 for AO. Conclusion: The Hansen
Lauge classification presented the poorest interobserver agreement among the
three systems. The AO classification demonstrated a moderate agreement and the
Danis-Weber classification presented an excellent interobserver agreement index,
regardless of professional experience.
PMID- 29367915
TI - Epidemiology of cauda equina syndrome. What changed until 2015.
AB - Objective: The primary objective of this study was to analyze the characteristics
and outcomes of cases admitted to hospital with cauda equina syndrome (CES) at
the Institute of Orthopedics and Traumatology (IOT) from 2005 to 2015. Secondly,
this article is a continuation of the epidemiological work of the same base
published in 2013, and will be important for other comparative studies to a
greater understanding of the disease and its epidemiology. Methods: This was a
retrospective study of the medical records of admissions due to CES at IOT in the
period 2005-2015 with diagnosis of CES and neuropathic bladder. The following
variables were analyzed: gender, age, etiology of the disease, topographic level
of the injury, time interval between injury and diagnosis, presence of neurogenic
bladder, time interval between diagnosis of the CES and surgery, and reversal of
the deficit or of the neurogenic bladder. Results: Since this is a rare disease,
with a low global incidence, it was not possible, just with the current study to
establish statistically significant correlations between the variables and
outcomes of the disease. However, this study demonstrates the shortcomings of the
Brazilian public health system, both with the initial management of these
patients and the need for urgent surgical treatment. Conclusion: The study shows
that despite well-defined basis for the conduct of CES, a higher number of
sequelae caused by the pathology is observed in Brazil. The delay in diagnosis
and, therefore, for definitive treatment, remains as the major cause for the high
number of sequelae. Level of evidence: 4, case series.
PMID- 29367916
TI - Articular reconstruction of the humeral head with autogenous allograft in the
treatment of the osteonecrosis.
AB - The authors describe a surgical biological reconstruction of the humeral head
with frozen autogenous allograft technique for the treatment of young patients
with focal osteonecrosis of the humeral head. This represents a possible
alternative, maybe even definitive for some patients, when compared to
hemiarthroplasty or total shoulder arthroplasty. The technique consists of the
fixation of a frozen autogenous allograft with previously-molded articular
cartilage from the humeral head, after cleansing the osteonecrotic focus. Five
patients under 50 years of age were treated, with three very satisfactory
results, one patient was lost to follow-up, and one patient had an unsatisfactory
result (converted to hemiarthroplasty). The study describes the technique in
detail and the three cases with a longer follow-up time.
PMID- 29367917
TI - Update on open reduction and internal fixation of unstable pelvic fractures
during pregnancy: case reports.
AB - : This article aims to report four cases of unstable pelvic fractures in pregnant
women treated by open reduction and internal fixation. Cases report: The study
included four cases of pregnant women with unstable pelvic fractures; their
outcomes were analyzed and discussed. Data were obtained from two University
Hospitals. The mean age of women was 23 years; most (3/4) were primiparous, with
a mean pregnancy age of 23 weeks. Two women had Malgaigne-type fractures and the
other two had symphyseal disjunction associated with acetabular fractures. All
fractures were treated surgically. One foetus was dead on admission to hospital.
The other three developed well, along with their mothers. Good evolution was only
possible with careful pre-, peri-, and postoperative care for the mother, as well
as foetal assessment by a multidisciplinary team. In complex cases such as those
presented in the present study, pre-, peri-, and postoperative care are
mandatory, as well as the presence of a multidisciplinary team. The mother's life
always takes priority in acute clinical pictures, as it offers the best chance of
survival to both mother and child.
PMID- 29367918
TI - Femoral pseudoaneurysm as a complication of slipped capital femoral epiphysis
treatment.
AB - Slipped capital femoral epiphysis is a very frequently seen condition in
orthopedics centers worldwide. Even in successfully treated cases, complications
related either with the pathology per se or with the chosen synthesis method are
not rare. This report presents a case of bilateral slipped capital femoral
epiphysis treated with pinning, in which one of the limbs developed a very rare
condition: the formation of a femoral pseudoaneurysm that ruptured.
PMID- 29367921
TI - Revalidation of Synonymy between Nesotriatoma flavida and N. bruneri (Hemiptera,
Reduviidae, Triatominae).
AB - Background: We analyzed the external morphology and the external male genitalia
of specimens of Nesotriatoma flavida of a laboratory colony founded with
specimens from Guanahacabiles in Pinar del Rio Province in the west of Cuba in
1980. This species was at first identified as different from N. bruneri and then
later considered synonymous. Methods: We proposed to revise the morphological
characters with which these species were considered as different and then later
synonymous, such as the fossula spongiosa or spongy grooves, length of the first
antenna segment, relationship length of eye to interocular distance, the form of
the phallosome, phallosome support, and the endosome processes. Results: The
results of the analyses of these characters in the specimens of our colony, and
of the corresponding works where the separation and synonymy of these species has
been proposed, allow us to sustain the revalidation of the synonymy between N.
flavida and N. bruneri. Conclusion: Based on the body external morphology and the
male external genitalia of N. flavida it is concluded that N. flavida and N.
bruneri are synonymous species.
PMID- 29367920
TI - The pronounced high expression of discoidin domain receptor 2 in human
interstitial lung diseases.
AB - The most typical structural feature of human interstitial lung diseases (ILDs) is
the accumulation of vast amounts of collagens within the lung interstitium. The
membrane receptors that are responsible for recognising collagens and then
transducing signals into the cells include four members of the integrin family
(alpha1beta1, alpha2beta1, alpha10beta1 and alpha11beta1) and two members of the
discoidin domain receptor family (DDR1 and DDR2). However, it remains unknown
whether these six collagen receptors similarly contribute to the pathogenesis of
fibrotic lung diseases. Quantitative real-time PCR (qPCR) was utilised to assess
the mRNA expression of the genes studied. Immunoblot experiments were performed
to analyse the protein abundance and kinase activity of the gene products. The
tissue location was determined by immunohistochemical staining. qPCR data showed
that DDR2 mRNA displays the most dramatic difference between idiopathic pulmonary
fibrosis (IPF) patients and healthy groups. The outstanding increases in DDR2
proteins were also observed in some other types of ILD besides IPF. DDR2
expressing cells in ILD tissue sections were found to exhibit spindle or
fibroblastic shapes. Our investigation suggests that DDR2 might represent a major
cell surface protein that mediates collagen-induced cellular effects in human ILD
and, hence, is suitable for their diagnosis and therapy.
PMID- 29367922
TI - Baseline Susceptibility of Filarial Vector Culex quinquefasciatus (Diptera:
Culicidae) to Five Insecticides with Different Modes of Action in Southeast of
Iran.
AB - Background: Culex quinquefasciatus (Diptera: Culicidae) is an important vector
for many human diseases. The aim of this study was to evaluate the susceptibility
level of larval and adult stages of Cu. quinquefasciatus to different groups of
WHO recommended insecticides for vector control. Methods: Larval stages of the
Culex mosquitoes were collected from their natural habitats in Rafsanjan County
at Kerman Province, southeast of Iran in 2016. Insecticide susceptibility status
of adult female Cx. quinquefasciatus against DDT (4%), deltamethrin (0.05%),
malathion 5%, and bendiocarb (0.1%) were determined using WHO standard
insecticide susceptibility test. Additional test was carried out to determine the
susceptibility status of larvae of Cx. quinquefasciatus to temephos. Bioassay
data were analyzed by Probit program. Results: Cx. quinquefasciatus adults showed
resistance to all four groups of the tested insecticides according to the WHO
criteria for resistance evaluation. The lethal concentrations for 50% mortality
(LC50) and 90% mortality (LC90) of temephos against Cx. quinquefasciatus larvae
were 0.18mg/l and 0.78mg/l, respectively. This finding also confirms resistance
to temephos based on the WHO recommended instructions for resistance evaluation.
Conclusion: Resistance to all groups of the tested insecticides should be
considered for future vector control investigations in the study area.
PMID- 29367923
TI - Brucellosis in Patients with Crimean-Congo Hemorrhagic Fever.
AB - Background: Crimean-Congo hemorrhagic fever (CCHF) is a fatal zoonotic viral
disease caused by infection with a tick-borne virus of the genus Nairovirus. In
this study, we investigated the incidence of brucellosis in patients diagnosed
with CCHF. Methods: Overall, 169 patients hospitalized with an initial diagnosis
of CCHF were included in 2011 in Tokat/Turkey. Immunoglobulin M (IgM) antibodies
and/or PCR results were used in the laboratory diagnosis of CCHF, while plate and
standard tube agglutination (STA) tests were used to diagnose brucellosis.
Results: Overall, 120 patients (79%) with positive PCR tests were diagnosed with
CCHF. Five (4.16%) were also diagnosed with brucellosis based on the positive
plate and STA test results. Four patients (2.36%) had negative CCHF PCR and
positive STA test results. Conclusion: Brucellosis and CCHF can mimic each other
and that all patients with CCHF or brucellosis should be screened for both
conditions.
PMID- 29367924
TI - Evaluation of Deltamethrin in Combination of Piperonyl Butoxide (PBO) against
Pyrethroid Resistant, Malaria Vector, Anopheles stephensi in IRS Implementation:
an Experimental Semi-Filed Trial in Iran.
AB - Background: The aim of this study was to evaluate different concentrations of
deltamethrin combined with formulated piperonyl butoxide (PBO) synergist on
various surfaces against the wild strain of Anopheles stephensi, the main malaria
vector in Southern Iran under semi-field condition. Methods: Four concentrations
of deltamethrin WG 25% (Tagros) and PBO 800EC-UV (Endura) were prepared and
sprayed on the pre-designed surfaces in accordance with WHO alliance line of the
IRS Micronair(r). The WHO's recommended bioassay kit and method was used during
this study. Results: Comparing the mortality rate of mosquitoes, the results
showed a significant difference between months after treatment of IRS (Indoor
Residual Spraying) (P< 0.05) but didn't show any significant differences between
days during the first and second months (P> 0.05).Statistical test revealed a
significance difference between mortality rate of mosquitoes in exposing to
concentrations of 1 and 4 (P< 0.05) which demonstrated effect of synergizing PBO
on mortality rate. Conclusion: This research as the first semi-field trial on
deltamethrin added to different concentrations of formulated PBO for IRS,
indicates that deltamethrin+10X PBO is more effective than other concentrations.
Therefore, using synergists can be suggested as a new tool for prevention of
pyrethriod resistance, although more studies are recommended.
PMID- 29367919
TI - Recent Developments and Applications of the MMPBSA Method.
AB - The Molecular Mechanics Poisson-Boltzmann Surface Area (MMPBSA) approach has been
widely applied as an efficient and reliable free energy simulation method to
model molecular recognition, such as for protein-ligand binding interactions. In
this review, we focus on recent developments and applications of the MMPBSA
method. The methodology review covers solvation terms, the entropy term,
extensions to membrane proteins and high-speed screening, and new automation
toolkits. Recent applications in various important biomedical and chemical fields
are also reviewed. We conclude with a few future directions aimed at making
MMPBSA a more robust and efficient method.
PMID- 29367925
TI - Situational Analysis of Visceral Leishmaniasis in the Most Important Endemic Area
of the Disease in Iran.
AB - Background: Visceral leishmaniasis is one of the most important vector borne
diseases in the world, transmitted by sand flies. Despite efforts to prevent the
spread of the disease, cases continue worldwide. In Iran, the disease usually
occurs in children under 10 years. In the absence of timely diagnosis and
treatment, the mortality rate is 95-100%. The main objective of this study was to
determine the spatial and temporal distribution of visceral leishmaniasis as well
as its correlation with climatic factors for determining high-risk areas in an
endemic focus in northwestern Iran. Methods: In this cross-sectional study, data
on VL cases were collected from local health centers in Ardabil Province, Iran
during 2001-2015 to establish a geodatabase using ArcGIS10.3. Data analysis was
conducted using SPSS23 and ArcMap Spatial Analyst. MaxEnt model was used to
determine ecologically suitable nichesfor the disease. Results: Two hotspots were
found in Meshkinshahr and Germi counties with 59% and 23% of total cases,
respectively. There was an increase in the incidence rate of VL in Ardabil County
from 2.9 in 2009 to 9.2/100,000 population in 2015. There was no spatial
autocorrelation between county and total number of cases (P> 0.05). Higher NDVI,
lower altitude and southern aspects had positive effects on the presence
probability of VL. Conclusion: The number of cases of this disease have been
rising since 2013 and doubled in 2015. According to the derived distribution
maps, the disease is spreading to new locations such as Ardabil and Namin
counties.
PMID- 29367926
TI - The Effects of Isolated Fractions of Mesobuthus eupeus Scorpion Venom on Humoral
Immune Response.
AB - Background: Many elements such as immunosuppressive, chemotactic and anti
inflammatory peptide that could effect on human and animals physiologic system
were determined in venom. This study evaluated the use of Mesobuthus eupeus
scorpion venom fractions as an immunomodulator. Methods: The venom fractions
collected from Khuzestan Province in South West of Iran were purified by ion
exchange chromatography. Elution of the bounded elements was done by using a
linear gradient of sodium chloride (0.1, 0.25, 0.5, 0.75, 1, 1.25, 1.5 and 2
molar). The fractions were analyzed by Bradford spectrophotometric and SDS-PAGE
method. After treatments of chicken with venom fractions and sheep red blood cell
(SRBC), direct haemagglutination test in microtiter plate was used for the
determination of the chicken SRBC antibody titer. Results: The fraction released
by NaCl 1.25M had the highest protein concentration. The highest and lowest
antibody titer was determined at the fifth (NaCl 0.75 molar) and seventh fraction
(NaCl 1.25 molar), respectively. Conclusion: Different protein profile of
isolated fractions, were associated with various effect on immune response. Both
enhancing and suppressing of the chicken humoral immune response to SRBC were
observed after M. eupeus faction's venom treatment. It is due to biological
functions of venom components. Purification of these elements would provide the
new agents for immune responses manipulation.
PMID- 29367927
TI - Rearing and Biology of Phlebotomus sergenti, the Main Vector of Anthroponotic
Cutaneous Leishmaniasis in Iran.
AB - Background: Establishment of sand flies laboratory colonies is essential to
understand various biological aspects of Phlebotominae sand flies. The aims of
the current study were to establish the colony of Phlebotomus sergenti Parrot
(1917), the main vector of anthroponotic cutaneous leishmaniasis in old world,
and to study biological parameters of this species. Methods: The sand flies were
reared at 26-28 degrees C temperature, 14:10 (light: dark) photoperiod and 70
80% relative humidity. Larval diet was a composted mixture of rabbit faces and
rabbit pellets which is prepared through a special process. First to fifth
generations of P. sergenti were used to define biological parameters. Results:
Results showed that, P. sergenti blood feeding percentage were 42% on chicken,
21% on BALB/c and 37% on golden hamster. Average time of blood digestion, egg
incubation, 1st instar larva, pupa and adult emerging was recorded at 3.4, 8.7,
15, 33.3 and 41.2 days after blood feeding, respectively. Mean number of laid
eggs was 55.1 and retained eggs were 35 per a female. Fecundity and production
rate were 61.6%, and 42.2% respectively. Average longevity recorded at 15.2 days
for females and 14.8 days for males. Conclusion: Colony of P. sergenti has been
established for the first time in Iran. Average interval time from egg to adult
of this species was 32.5 days. Chicken and golden hamster were recommended as a
blood source for colony initiation and routine blood feeding, respectively.
PMID- 29367928
TI - Dynamics of Transgenic Enterobacter cloacae Expressing Green Fluorescent Protein
Defensin (GFP-D) in Anopheles stephensi Under Laboratory Condition.
AB - Background: Enterobacter cloacae bacterium is a known symbiont of the most
Anopheles gut microflora and nominated as a good candidate for paratransgenic
control of malaria. However, the population dynamics of this bacterium within An.
stephensi and its introduction methods to the mosquitoes have not yet been
explored. Methods: Enterobacter cloacae subsp. dissolvens expressing green
fluorescent protein and defensin (GFP-D) was used to study transstadial
transmission and the course of time, larval habitat, sugar, and blood meal on
dynamics of the bacterium in the mosquito life stages in the laboratory
condition. The bacterial quantities were measured by plating samples and counting
GFP expressing colonies on the Tet-BHI agar medium. Results: The E. cloacae
population remained stable in sugar bait at least for eleven days whereas it was
lowered in the insectary larval habitat where the bacteria inadequately recycled.
The bacterium was weakly transmitted transstadially from larval to adult stage.
The bacterial populations increased smoothly and then dramatically in the guts of
An. stephensi following sugar and blood meal respectively followed by a gradual
reduction over the time. Conclusion: Enterobacter cloacae was highly stable in
sugar bait and increased tremendously in the gut of female adult An. stephensi
within 24h post blood meal. Sugar bait stations can be used for introduction of
the transgenic bacteria in a paratransgenic approach. It is recommended to
evaluate the attraction of sugar bait in combination with attractive kairomones
as well as its stability and survival rate in the semi-field or field conditions.
PMID- 29367930
TI - Curbing Malaria: A New Hope through Clustered Regularly Interspaced Short
Palindromic Repeats (CRISPR) Technology.
PMID- 29367929
TI - Case Report of Human Urinary Myiasis Caused by Clogmia albipunctata (Diptera:
Psychodidae) with Morphological Description of Larva and Pupa.
AB - Background: Urinary myiasis is a form of myiasis caused mainly by larvae of
Fannia scalaris, Musca, Sarcophaga, Lucilia, Wohlfahrtia, Calliphora, and rarely
by Eristalis and Clogmia albipunctata. Methods: This report presents a case of
female patient complaining of dysuria and frequency of micturition associated
with intermittent passage of small, motile, dark-colored worm-like organisms in
urine. She was a married housewife aged 24 years old referred from the Tropical
Outpatient Clinic of Beni-Suef University Hospital, Egypt. The patient was
subjected to a full questionnaire sheet and investigations such as CBC, stool and
urine analysis and urinary ultrasonography. Collected larvae and pupae from urine
samples were examined macroscopically and microscopically. Results: The examined
larvae and pupae belonged to C. albipunctata. Ivermectin was prescribed to the
patient with complaint withdrawal and complete disappearance of the larvae from
urine. Conclusion: This study reports the first case of urinary myiasis caused by
C. albipunctata in Beni-Suef Governorate, the second in Egypt and third case
worldwide. The study throws some light on the medical importance and management
of urinary myiasis.
PMID- 29367931
TI - Oral Doxycycline Reduces the Total Number of Intraocular Bevacizumab Injections
Needed to Control Neovascular Age-related Macular Degeneration.
AB - Tetracyclines, especially doxycycline, play a role in the regulation of
inflammation, immunomodulation, cell proliferation, and angiogenesis. Treatment
of corneal angiogenesis or choroidal neovascularization with tetracyclines has
been shown to be effective in animal models. The aim of this study was to
evaluate the efficacy of oral doxycycline in reducing the total number of
intraocular injections needed for controlling neovascular age-related macular
degeneration in human patients. In this interventional case series, 28 random
consecutive patients with neovascular age-related macular degeneration from
Farabi Eye Hospital, Tehran, Iran were treated for 4 months with 200 mg
doxycycline once a day after the first intravitreal bevacizumab injection in
addition to standard therapy in agreement with as-needed regimen. After 12 months
of follow-up, total number of injections, foveal thickness and visual acuity were
compared to those at baseline and of similar studies. Similar to standard
treatment, co-treatment with doxycycline was able to control active disease
(intraretinal or subretinal fluid or leakage, new-onset of macular hemorrhage,
and reduction of visual acuity more than 5 letters based on Early Treatment
Diabetic Retinopathy Study [ETDRS] charts) yet with fewer injections (for current
study and standard treatment, respectively 3.14 vs. 5.92, P < 0.001).
Furthermore, while better control of the foveal thickness was achieved (P <
0.001), vision improvement was similar to that achieved with standard therapy (P
> 0.05). If confirmed in larger studies, the findings of this interventional case
series could provide a strategy to control neovascular age-related macular
degeneration with fewer intraocular bevacizumab injections by co-administering a
well-known oral agent-doxycycline.
PMID- 29367933
TI - Topical Timolol Inhibits Corneal Neovascularization in Rabbits.
AB - Timolol is a non-selective beta-adrenergic antagonist that is similar to
propranolol. The mechanism through which these drugs act on the regression of
neovascularization is largely unknown. However, it is thought that the drugs may
act through vascular endothelial growth factor signaling, vasoconstriction, and
vascular endothelial cell apoptosis. The aim of this study was to determine the
effect of timolol on corneal neovascularization in rabbits. Neovascularization
was induced in the eyes of 20 rabbits. Next, the rabbits were divided into two
groups: the timolol (experimental) group received eye drops containing timolol
0.5% twice per day; and the saline (control) group received saline drops twice
per day for two weeks. After 7 days, the mean area of corneal neovascularization
(presented as a percentage relative to baseline) was significantly lower in the
timolol group than in the saline group (4.63 +/- 4.61% versus 58.39 +/- 6.31%, P
< 0.001). After 2 weeks, the mean area of corneal neovascularization was 0.85 +/-
1.33% in the timolol group and 1.73 +/- 2.06% in the saline group (P = 0.315).
After the first week of treatment, timolol significantly reduced the area of
neovascularization compared to control. Timolol may increase the rate of recovery
from corneal neovascularization.
PMID- 29367932
TI - The Role of Mitochondrial DNA (mtDNA) in the Development of Diabetic Retinopathy
(DR): A Systematic Review.
AB - Diabetic Retinopathy (DR) is the most prevalent health problem, which is
influenced by environmental and genetic factors with an increasing prevalence.
The current systematic review is focused on mtDNA modification, including
polymorphism and mutation/deletion, with a direct effect on DR.This systematic
search was initially done through PubMed, Cochrane, EMBASE, SCOPUS, and Web of
Science without a restriction on the years of publication. The terms searched
included ''mtDNA'', ''mitochondrial DNA'', ''diabetes'', ''diabetic'',
''retina'', and ''diabetic retinopathy''. Animal, cohort, cross-sectional, and in
vitro studies, as well as case series, case reports, review articles, and Letters
to Editor were excluded from this research.From 1528 resulting searched articles,
only 12papers were finally chosen as the case-control studies considering mtDNA
gene and DR. Actually, of these 12 articles, 8 studies were concerned with mtDNA
polymorphisms (UCP1, UCP2, ROMO-1, and Mn-SOD) and 4 articles were related to
mtDNA mutation (A3243G mutation in tRNALeu(UUR) gene and mtDNA deletion
(DeltamtDNA 4977)).Some conflicting results were found between the selected
genetic modifications of mtDNA, such as Mn-SOD, UCP1, DeltamtDNA 4977, tRNALeu
(UUR), and ROMO-1.Finally, A3243G mutation in the tRNALeu (UUR) gene and rs660339
and V16A polymorphisms of UCP2 and Mn-SOD genes were respectively considered as
the most important factors in the pathogenesis of DR.
PMID- 29367934
TI - A Promising Modified Procedure for Upper Eyelid Retraction-Associated Graves'
Ophthalmopathy: Transconjunctival Lateral Levator Aponeurectomy.
AB - Upper eyelid retraction is a characteristic feature of thyroid eye disease,
including Graves' orbitopathy. In this study, a new surgical technique for
correction of lid retraction secondary to Graves' orbitopathy is described.
Sixteen eyelids of patients older than 18 years old underwent surgical correction
for moderate to severe lid retraction secondary to Graves' orbitopathy. In this
procedure, levator aponeurectomy was performed via a transconjunctival approach.
Upper marginal reflex distance (MRD1) was measured before the surgery and at 1
week, 3 months, and 6 months after the surgery. MRD1 was reduced significantly
from preoperatively (mean: 7.84 mm) to 1 week after the surgery (mean: 3.59 mm)
(P < 0.001). Three and six months after surgery, mean MRD1 was 5.09 mm and 5.10
mm, respectively, showing that lid retraction was improved significantly (P <
0.001). Lateral levator aponeurectomy via the transconjunctival approach is a
simple, scar-less, quick procedure that has optimal stable outcome.
PMID- 29367935
TI - A Contralateral Eye Study Comparing Corneal Biomechanics in Subjects with
Bilateral Keratoconus with Unilateral Vogt's Striae.
AB - The aim of this study was to analyze and compare corneal biomechanics in patients
with bilateral keratoconus (KCN) with unilateral Vogt's striae. In this
prospective contralateral study, visual acuity, refraction, and corneal
biomechanical parameters were evaluated in patients with bilateral KCN with
unilateral Vogt's striae using the Ocular Response Analyzer (ORA) (Reichert Inc.,
Buffalo, NY) and Corvis ST (Oculus Optikgerate GmbH, Wetzlar, Germany). All
patients underwent a comprehensive ophthalmic examination, including uncorrected
distance visual acuity (UDVA), corrected distance visual acuity (CDVA),
refraction (calculated by vectorial analysis), slit-lamp biomicroscopy, and
Scheimpflug-based tomography. The patients enrolled in this study had a reliable
diagnosis of bilateral clinical KCN with unilateral Vogt's striae based on slit
lamp signs as well as corneal topographic/tomographic maps. Fifty patients aged
18 to 40 years were included in this study. There was a significant difference in
all clinical (distance visual acuity and refraction) and corneal biomechanical
parameters between KCN eyes with and without unilateral Vogt's striae (all P <
0.05). However, there were no significant differences in peak distance (P =
0.291), corneal compensated intraocular pressure (IOPCC) (P = 0.08), and J45 (P =
0.131) between the two groups. Most corneal biomechanical parameters, except for
peak distance, IOPCC, and J45, showed a significant difference between KCN eyes
with and without unilateral Vogt's striae. Vogt's striae may cause corneal
biomechanical deterioration. This information could be used in clinical practice.
PMID- 29367936
TI - Correlation between Macular Thickness and Visual Field in Early Open Angle
Glaucoma: A Cross-Sectional Study.
AB - The aim of this study was to correlate macular thickness and visual field
parameters in early glaucoma. A total of 104 eyes affected with early glaucoma
were examined in a cross-sectional, prospective study. Visual field testing using
both standard automated perimetry (SAP) and shortwave automated perimetry (SWAP)
was performed. Global visual field parameters, including mean deviation (MD) and
pattern standard deviation (PSD), were recorded and correlated with spectral
domain optical coherence tomography (SD-OCT)-measured macular thickness and
asymmetry. Average macular thickness correlated significantly with all measures
of visual field including MD-SWAP (r = 0.42), MD-SAP (r = 0.41), PSD-SWAP (r =
0.23), and PSD-SAP (r = -0.21), with P-values <0.001 for all correlations. The
mean MD scores (using both SWAP and SAP) were significantly higher in the eyes
with thin than in those with intermediate average macular thickness. Intraeye
(superior macula thickness - inferior macula thickness) asymmetries correlated
significantly with both PSD-SWAP (r = 0.63, P < 0.001) and PSD-SAP (r = 0.26, P =
0.01) scores. This study revealed a significant correlation between macular
thickness and visual field parameters in early glaucoma. The results of this
study should make macular thickness measurements even more meaningful to glaucoma
specialists.
PMID- 29367937
TI - Bioinformatic Analysis of Coronary Disease Associated SNPs and Genes to Identify
Proteins Potentially Involved in the Pathogenesis of Atherosclerosis.
AB - Factors that contribute to the onset of atherosclerosis may be elucidated by
bioinformatic techniques applied to multiple sources of genomic and proteomic
data. The results of genome wide association studies, such as the
CardioGramPlusC4D study, expression data, such as that available from expression
quantitative trait loci (eQTL) databases, along with protein interaction and
pathway data available in Ingenuity Pathway Analysis (IPA), constitute a
substantial set of data amenable to bioinformatics analysis. This study used
bioinformatic analyses of recent genome wide association data to identify a seed
set of genes likely associated with atherosclerosis. The set was expanded to
include protein interaction candidates to create a network of proteins possibly
influencing the onset and progression of atherosclerosis. Local average
connectivity (LAC), eigenvector centrality, and betweenness metrics were
calculated for the interaction network to identify top gene and protein
candidates for a better understanding of the atherosclerotic disease process. The
top ranking genes included some known to be involved with cardiovascular disease
(APOA1, APOA5, APOB, APOC1, APOC2, APOE, CDKN1A, CXCL12, SCARB1, SMARCA4 and
TERT), and others that are less obvious and require further investigation (TP53,
MYC, PPARG, YWHAQ, RB1, AR, ESR1, EGFR, UBC and YWHAZ). Collectively these data
help define a more focused set of genes that likely play a pivotal role in the
pathogenesis of atherosclerosis and are therefore natural targets for novel
therapeutic interventions.
PMID- 29367938
TI - Collagenous Gastritis and Helicobacter pylori Infection: A Mere Coincidence?
AB - A 21-year-old woman presented to our clinic after 7 years of abdominal pain,
diarrhea, and iron-deficiency anemia. Initial upper endoscopy revealed severe
inflammation and nodularity of the gastric body and active Helicobacter pylori
infection. After eradication therapy, esophagogastroduodenoscopy showed gastric
atrophy with nodularity resolution. Histopathology revealed scattered plasma
cells, eosinophils, and collagen deposition suggestive of collagenous gastritis.
H. pylori can induce proinflammatory cytokines, resulting in fibroblast
upregulation. Collagenous gastritis may be caused by an inflammatory response
associated with type I, II, and III collagen. Although further research is
warranted, we hypothesize that chronic inflammation from H. pylori may lead to
collagenous gastritis.
PMID- 29367939
TI - Late Presentation for Care Among Patients With Chronic Hepatitis C: Prevalence
and Risk Factors.
AB - Patients with chronic hepatitis C may have advanced fibrosis at first evaluation.
Using the European Association for the Study of the Liver (EASL) definition
(FibroScan(r) >9.5 kPa) for "late presenter for care" (LP), we found that 32%
(169 of 527) of patients were LP. Being a LP was associated with increasing age
and a history of alcohol overuse.
PMID- 29367940
TI - Ex vivo Trophoblast-specific Genetic Manipulation Using Lentiviral Delivery.
AB - In this protocol report, we describe a lentiviral gene delivery technique for
genetic modification of the rat trophoblast cell lineage. Lentiviral packaged
gene constructs can be efficiently and specifically delivered to the trophoblast
cell lineage of the blastocyst. The consequences of 'gain-of-function' and 'loss
of-function' blastocyst manipulations can be evaluated with in vitro outgrowth
assays or following transfer to pseudopregnant rats.
PMID- 29367941
TI - Using xCELLigence RTCA Instrument to Measure Cell Adhesion.
AB - Cell adhesion to neighbouring cells and to the underlying extracellular matrix
(ECM) is a fundamental requirement for the existence of multicellular organisms.
As such, the formation, stability and dissociation of cell adhesions are subject
to tight control in space and time and perturbations within the sophisticated
adhesion machinery are associated with a variety of human pathologies. Here, we
outline a simple protocol to monitor alterations in cell adhesion to the ECM, for
example, following genetic manipulations or overexpression of a protein of
interest or in response to drug treatment, using the xCELLigence real-time cell
analysis (RTCA) system.
PMID- 29367942
TI - [Depression Among Caregivers of HIV - Positive Injection Drug Users in Hanoi,
2016].
AB - Most of people who inject drugs in Vietnam live in their family homes and these
living have formed the burden on caring. This study aimed at exploring the
characteristic of depression of caregivers of HIV - positive injection drug users
and relative factors. Cross - sectional assessment data were gathered from 138
caregivers recruited from Hanoi city. About 20% of the study sample had a
depressed condition from mild to extremely severe. Caregiver burden and family
function were significantly associated with depression of caregivers. This study
addressed the needs of intervention to improve family function and to decrease
caregiver burden aimed at raising mental health of caregivers of HIV - positive
injection drug users.
PMID- 29367943
TI - Using Cystine Knot Proteins as a Novel Approach to Retarget Oncolytic Measles
Virus.
AB - Modified measles virus (MV) has effective oncolytic activity preclinically and is
currently being investigated in clinical trials for various types of cancer. We
investigated the use of cystine knot proteins (CKPs) to direct MV activity. CKPs
are short polypeptides that bind their targets with high affinity. We used a CKP
that binds alphavbeta3, alphavbeta5, and alpha5beta1 integrins with single-digit
nanomolar affinity to retarget MV to the integrins (MV-CKPint). MV-CKPint
infected, replicated in, and killed human glioblastoma, medulloblastoma, diffuse
intrinsic pontine glioma (DIPG), and melanoma cancer cells in vitro, all of which
express the target integrins. MV-CKPint activity was competitively blocked by
echistatin, an integrin binding peptide. When the CKP was cleaved from the viral
H protein at an included protease site, virus activity was abrogated. When
delivered intravenously (i.v.), the retargeted virus reached a subcutaneous
glioblastoma tumor bed and produced cytopathic effects similar to that shown by
intratumoral injection of the virus. Because these target integrins are
overexpressed by tumor vascular endothelium, MV-CKPint may allow for effective
therapy with i.v. injection. These results indicate for the first time that CKPs
can be used to retarget MV for a receptor of choice. In addition, MV-CKPint
provides proof of principle for the use of a CKP of interest to retarget any
enveloped virus for both oncolytic and gene therapy purposes.
PMID- 29367944
TI - Development of a Safe and Effective Vaccinia Virus Oncolytic Vector WR-Delta4
with a Set of Gene Deletions on Several Viral Pathways.
AB - Despite the effectiveness of classic treatments and available diagnostic tools,
cancer continues to be a leading world health problem, with devastating cancer
related death rates. Advances in oncolytic virotherapy have shown promise as
potentially effective treatment options in the fight against cancer. The
poxviruses have many features that make them an attractive platform for the
development of oncolytic vectors, with some candidates currently in clinical
trials. Here, we report the design and generation of a new oncolytic vector based
on the vaccinia virus Western Reserve (WR) strain. We show that the WR-Delta4
virus, with the combined deletion of four specific viral genes that act on
metabolic, proliferation, and signaling pathways (A48R, B18R, C11R, and J2R), has
effective anti-tumor capabilities in vivo. In WR-Delta4-infected mice, we
observed strong viral attenuation, reduced virus dissemination, and efficient
tumor cell growth control in the B16F10 syngeneic melanoma model, with enhanced
neutrophil migration and activation of tumor antigen-specific immune responses.
This approach provides an alternative strategy toward ongoing efforts to develop
an optimal oncolytic poxvirus vector.
PMID- 29367945
TI - CD19 CAR T Cells Expressing IL-12 Eradicate Lymphoma in Fully Lymphoreplete Mice
through Induction of Host Immunity.
AB - Chimeric antigen receptor (CAR) T cell therapy represents a significant
advancement in cancer therapy. Larger studies have shown ~90% complete remission
rates against chemoresistant and/or refractory CD19+ leukemia or lymphoma.
Effective CAR T cell therapy is highly dependent on lymphodepleting
preconditioning, which is achieved through chemotherapy or radiotherapy that
carries with it significant toxicities. These can exclude patients of low
performance status. In order to overcome the need for preconditioning, we
constructed fully mouse first and second generation anti-murine CD19 CARs with or
without interleukin-12 (IL-12) secretion. To test these CARs, we established a
mouse model to reflect the human situation without preconditioning. Murine second
generation CAR T cells expressing IL-12 were capable of eradicating established B
cell lymphoma with a long-term survival rate of ~25%. We believe this to be the
first study in a truly lymphoreplete model. We provide evidence that IL-12
expressing CAR T cells not only directly kill target CD19+ cells, but also
recruit host immune cells to an anti-cancer immune response. This finding is
critical because lymphodepletion regimens required for the success of current CAR
T cell technology eliminate host immune cells whose anti-cancer activity could
otherwise be harnessed by strategies such as IL-12-secreting CAR T cells.
PMID- 29367946
TI - Erratum: Variable frequency of LRRK2 variants in the Latin American research
consortium on the genetics of Parkinson's disease (LARGE-PD), a case of ancestry.
AB - [This corrects the article DOI: 10.1038/s41531-017-0020-6.].
PMID- 29367947
TI - The influence of gravity and light on locomotion and orientation of Heterocypris
incongruens and Notodromas monacha (Crustacea, Ostracoda).
AB - For future manned long-d uration space missions, the supply of essentials, such
as food, water, and oxygen with the least possible material resupply from Earth
is vital. This need could be satisfied utilizing aquatic bioregenerative life
support systems (BLSS), as they facilitate recycling and autochthonous
production. However, few organisms can cope with the instable environmental
conditions and organic pollution potentially prevailing in such BLSS. Ostracoda,
however, occur in eu- and even hypertrophic waters, tolerate organic and chemical
waste, varying temperatures, salinity, and pH ranges. Thus, according to their
natural role, they can link oxygen liberating, autotrophic algae, and higher
trophic levels (e.g., fish) probably also in such harsh BLSS. Yet, little is
known about how microgravity (ug) affects Ostracoda. In this regard, we
investigated locomotion and orientation, as they are involved in locating mating
partners and suitable microhabitats, foraging, and escaping predators. Our study
shows that Ostracoda exhibit altered activity patterns and locomotion behavior
(looping) in ug. The alterations are differentially marked between the studied
species (i.e., 2% looping in Notodromas monacha, ~50% in Heterocypris
incongruens) and also the thresholds of gravity perception are distinct, although
the reasons for these differences remain speculative. Furthermore, neither
species acclimates to ug nor orientates by light in ug. However, Ostracoda are
still promising candidates for BLSS due to the low looping rate of N. monacha and
our findings that the so far analyzed vital functions and life-history parameters
of H. incongruens remained similar as under normal gravity conditions despite of
its high looping rate.
PMID- 29367948
TI - Viruslike Particles Encapsidating Respiratory Syncytial Virus M and M2 Proteins
Induce Robust T Cell Responses.
AB - Subunit vaccines provide a safe, focused alternative to conventional vaccines.
However, these vaccines often require significant adjuvants and are particularly
hard to target toward cytotoxic T lymphocyte (CTL) immunity. Viruslike particles
(VLPs) provide biomaterial scaffolds with pathogen-like polyvalent structures
making them useful platforms for biomimetic antigen delivery to the immune
system. Encapsidation of antigens within VLPs has been shown to enhance antigen
availability for CD8 T cell responses. Here, we examine the potential to generate
complex responses to multiple subunit antigens localized within the same VLP
particle. Two proteins of respiratory syncytial virus (RSV) with well
characterized CD8 T cell responses, the matrix (M) and matrix 2 (M2) proteins,
were successfully coencapsidated within the P22 VLP. Upon intranasal
administration in mice, the particles stimulated CD8 T cell memory responses
against both antigens. In addition, vaccination elicited tissue-resident T cell
populations. Upon subsequent RSV challenge, P22-M/M2-treated mice displayed
significantly reduced lung viral titers. This demonstrates the utility of the P22
VLP in directing immune responses to multiple encapsidated viral antigens,
demonstrating the potential of this technology to facilitate immunity to multiple
targets simultaneously.
PMID- 29367950
TI - KRAS Cold Turkey: Using microRNAs to target KRAS-addicted cancer.
AB - Human cancers are driven by genetic mutations which cause aberrant activation of
pro-growth pathways. Although cancers are uniquely dependent on the pro-growth
signaling from oncogenic pathways, efforts to directly target these have been
largely unsuccessful. One of the most common and drug resistant oncogenic drivers
in colon cancer is the GTPase KRAS. It has been shown that colon cancers with
KRAS driver mutations are also 'addicted' to proteins outside of the KRAS pathway
due to aberrant re-wiring of cell signaling. A number of genes with a synthetic
lethal relationship to mutant KRAS have been previously identified by RNAi
screens. MicroRNAs (miRNAs) are important post-transcriptional regulators of gene
expression, and their expression is frequently dysregulated in cancers. Recently,
we have used an innovative functional miRNA screening approach to identify miRNAs
that inhibit the survival of KRAS-mutant cells but not KRAS-wild-type cells. MiR
126 was one of the miRNAs that displayed this selective effect. We found that miR
126 induced synthetic lethality in KRAS-Mutant cells via the down-regulation of
the polo-like kinase signaling network and a number of genes specifically
necessary for the growth of KRAS-Mutant tumors. This study offers a new way
forward for exploiting the regulatory power of miRNAs to specifically target
aberrant cell signaling in cancer.
PMID- 29367949
TI - Microscale Biomaterials with Bioinspired Complexity of Early Embryo Development
and in the Ovary for Tissue Engineering and Regenerative Medicine.
AB - Tissue engineering and regenerative medicine (TERM) are attracting more and more
attention for treating various diseases in modern medicine. Various biomaterials
including hydrogels and scaffolds have been developed to prepare cells
(particularly stem cells) and tissues under 3D conditions for TERM applications.
Although these biomaterials are usually homogeneous in early studies, effort has
been made recently to generate biomaterials with the spatiotemporal complexities
present in the native milieu of the specific cells and tissues under
investigation. In this communication, the microfluidic and coaxial electrospray
approaches that we used for generating microscale biomaterials with the spatial
complexity of both pre-hatching embryos and ovary in the female reproductive
system were introduced. This is followed by an overview of our recent work on
applying the resultant bioinspired biomaterials for cultivation of normal and
cancer stem cells, regeneration of cardiac tissue, and culture of ovarian
follicles. The cardiac regeneration studies show the importance of using
different biomaterials to engineer stem cells at different stages (i.e., in vitro
culture versus in vivo implantation) for tissue regeneration. All the studies
demonstrate the merit of accounting for bioinspired complexities in engineering
cells and tissues for TERM applications.
PMID- 29367951
TI - Non-enhanced CT Maximum Intensity Projections for the Detection of Large Vessel
Occlusions.
AB - Background: Identification of large vessel occlusions (LVO) is important with
recent guidelines supporting endovascular therapy in selected acute ischemic
stroke patients. Many stroke centers perform CT angiography (CTA) in patients
with suspected LVO, however this requires additional time and contrast
administration. Non-enhanced CT maximum intensity projection (NECT-MIPs) may
offer a rapid alternative to CTA. Methods: We retrospectively reviewed acute
stroke patients with LVO in the UCSD Stroke Registry, presenting between 6/2014
7/2016. NECT-MIPs were evaluated for presence of LVO. Gold standard comparison
was to CTA. Results were stratified by level of training (Faculty, Fellow and
Acute Care Practitioners [ACPs]). Inter-rater agreement was assessed using
Fleiss' Kappa Coefficient. Results: We reviewed 24 patients using NECT-MIPs for
the detection of LVO. Faculty had a sensitivity and specificity of 95% & 92% for
ICA/M1, 42% & 100% for M2, and 67% & 96% for basilar occlusions. Fellows and ACPs
had a sensitivity and specificity of 61% & 94% for ICA/M1, 19% & 83% for M2, and
75% & 95% for basilar occlusions. Inter-rater agreement among Faculty readers was
k=0.75 for ICA/M1, k=0.79 for M2 and k=0.14 for basilar occlusions. Among Fellows
and ACPs, k=0.57 for ICA/M1, k=0.40 for M2, and k=0.27 for basilar occlusions.
Conclusions: NECT-MIPs have high sensitivity and specificity for the detection of
LVO when compared to CTA. Inter-rater agreement is fair and higher amongst more
experienced reviewers. These results suggest that NECT-MIPs may be helpful to
streamline the identification of LVO and reduce door to needle and door to
intervention times.
PMID- 29367952
TI - Machine learning of neural representations of suicide and emotion concepts
identifies suicidal youth.
AB - The clinical assessment of suicidal risk would be significantly complemented by a
biologically-based measure that assesses alterations in the neural
representations of concepts related to death and life in people who engage in
suicidal ideation. This study used machine-learning algorithms (Gaussian Naive
Bayes) to identify such individuals (17 suicidal ideators vs 17 controls) with
high (91%) accuracy, based on their altered fMRI neural signatures of death and
life-related concepts. The most discriminating concepts were death, cruelty,
trouble, carefree, good, and praise. A similar classification accurately (94%)
discriminated 9 suicidal ideators who had made a suicide attempt from 8 who had
not. Moreover, a major facet of the concept alterations was the evoked emotion,
whose neural signature served as an alternative basis for accurate (85%) group
classification. The study establishes a biological, neurocognitive basis for
altered concept representations in participants with suicidal ideation, which
enables highly accurate group membership classification.
PMID- 29367953
TI - Pulsed Cavitational Ultrasound Softening: a new non-invasive therapeutic approach
of calcified bioprosthetic valve stenosis.
AB - Background: The majority of prosthetic heart valves currently implanted are
tissue valves that can be expected to calcify with time and eventually fail.
Surgical or percutaneous redux valve replacement is associated with higher rate
of complications. We propose a novel non-invasive therapeutic approach based on
the use of pulsed cavitational ultrasound (PCU) to improve the valvular function
of degenerative calcified bioprosthesis. Objectives: Our study aims to
demonstrate in vitro and in vivo on an ovine model that PCU can significantly
improve the bioprosthesis opening by softening remotely the calcified stiff
cusps. Methods: All the experiments were performed on calcified bioprosthetic
valves explanted from human patients. PCU was performed in vitro on calcified
bioprosthesis mounted on a hydraulic bench with pulsatile flow (n=8) and in vivo
on an ovine model with implanted calcified bioprosthesis (n=7). We used 3D
echocardiography, pressure and flow sensors, quantitative stiffness evaluation
using shear wave elastography, micro-CT imaging and histology to evaluate in
vitro and in vivo the effect of PCU. Results: The transvalvular gradient was
found to decrease by a mean of 50% after PCU in both in vitro (from 21.1+/-3.9 to
9.6+/-1.7 mmHg, p<0.001) and in vivo setup (from 16.2+/-3.2 to 8.2+/-1.3 mmHg,
p<0.001), with a decrease of valve stiffness (in vitro: from 105.8+/-9 to 46.6+/
4 kPa, p<0.001; in vivo: from 82.6+/-10 to 41.7+/-7 kPa, p<0.001) and an increase
of valve area (from 1.10+/-0.1 to 1.58+/-0.1 cm2, p<0.001). Histology and micro
CT imaging showed modifications of calcification structure without loss of
calcification volume or alteration of the leaflet superficial structures.
Conclusions: We have demonstrated in vitro and in vivo that PCU can decrease a
calcified bioprosthesis stenosis by softening the leaflets remotely. This new non
invasive approach has the potential to improve the outcome of patients with
severe bioprosthesis stenosis.
PMID- 29367954
TI - POLR2C Mutations Are Associated With Primary Ovarian Insufficiency in Women.
AB - Context: Primary ovarian insufficiency (POI) results from a premature loss of
oocytes, causing infertility and early menopause. The etiology of POI remains
unknown in a majority of cases. Objective: To identify candidate genes in
families affected by POI. Design: This was a family-based genetic study. Setting:
The study was performed at two academic institutions. Patients and Other
Participants: A family with four generations of women affected by POI (n = 5).
Four of these women, three with an associated autoimmune diagnosis, were studied.
The controls (n = 387) were recruited for health in old age. Intervention: Whole
genome sequencing was performed. Main Outcome Measure: Candidate genes were
identified by comparing gene mutations in three family members and 387 control
subjects analyzed simultaneously using the pedigree Variant Annotation, Analysis
and Search Tool. Data were also compared with that in publicly available
databases. Results: We identified a heterozygous nonsense mutation in a subunit
of RNA polymerase II (POLR2C) that synthesizes messenger RNA. A rare sequence
variant in POLR2C was also identified in one of 96 women with sporadic POI.
POLR2C expression was decreased in the proband compared with women with POI from
another cause. Knockdown in an embryonic carcinoma cell line resulted in
decreased protein production and impaired cell proliferation. Conclusions: These
data support a role for RNA polymerase II mutations as candidates in the etiology
of POI. The current data also support results from genome-wide association
studies that hypothesize a role for RNA polymerase II subunits in age at
menopause in the population.
PMID- 29367955
TI - Pharmacological Inhibition of Brain Fatty Acid Binding Protein Reduces Ethanol
Consumption in Mice.
AB - The endocannabinoid (eCB) system is involved in a wide range of behavioral
disorders including alcoholism. Inhibition of fatty acid amide hydrolase (FAAH),
the principal enzyme that degrades the eCB anandamide (AEA), which enhances AEA
levels in the brain, significantly increases ethanol consumption and preference.
In the present study, we examined whether pharmacological inhibition of fatty
acid binding proteins (FABPs) 5 and 7, which blocks the transport of AEA to FAAH,
and increase AEA levels in vivo also alters ethanol consumption and preference.
Using a limited access two-bottle choice paradigm, we evaluated ethanol
consumption in both male and female C57Bl/6 mice. Results showed a significant
decrease in ethanol consumption in both males and females treated with SBFI26, an
inhibitor of FABPs. Specifically, male and female mice treated with SBFI26
consumed 24% and 42% less compared to mice receiving no injections, respectively.
Subsequently, corticosterone was examined to evaluate the effects FABP5/7
inhibition upon the stress response. We observed a significant elevation in
corticosterone levels following restraint stress in SBFI26 treated females, with
a weak effect seen in males as compared to vehicle. Based on our results,
targeting of FABPs appears to play an important role in ethanol consumption that
is differentially regulated in males and females, which is mediated by the stress
response.
PMID- 29367956
TI - Frequency of employer changes and their financial return: gender differences
amongst German university graduates.
AB - Gender differences in the frequency of employer changes and their financial
return were examined in a sample of Bavarian university graduates. The search and
matching theories were used to develop hypotheses which were then tested against
each other. The results show that in the first few years after graduation women
change employer more frequently than men. In large part this can be explained by
gender differences in labor market structures, in particular the fact that a
woman's first job is less likely to be in a large company, in an executive
position or on a permanent contract and women tend to be less satisfied with
their first job. After controlling for variance in these factors the coefficient
changes sign, indicating that under similar circumstances men change employer
more often. Furthermore, both men and women benefit financially from changing
employer. The absolute return is higher for men, but as men tend to have a higher
starting salary there is no gender difference in the relative return and hence no
effect on the gender gap. The results are also discussed in the light of the
specifics of the structure of the German labor market.
PMID- 29367957
TI - Patient-Centeredness: A Best Practice for HBCU Health Professional Education
Programs.
AB - This study examined the influence of physicians' and nurses' patient-centeredness
on the satisfaction of African American female Medicaid patients. A multigroup
structural equation modeling design was used to test the hypothesized model and
its stability across national random test (Nt=98) and cross-validation (Ncv=296)
samples. The model fit well. Physician and nurse patient-centeredness
significantly and consistently influenced patient satisfaction, explaining 73% of
its variance. One standardized deviation increase in physician patient
centeredness increased patient satisfaction, likelihood to recommend, and ratings
of care by .698, .665, and .644 deviations. The corresponding effects for nursing
were .643, .613, and .594. These effects were consistent across national random
samples. The study offers an evidenced-based model that sheds light on provider
patient-centered-ness' influence on African American female Medicaid patient
satisfaction.
PMID- 29367958
TI - Classification of MRI under the Presence of Disease Heterogeneity using Multi
Task Learning: Application to Bipolar Disorder.
AB - Heterogeneity in psychiatric and neurological disorders has undermined our
ability to understand the pathophysiology underlying their clinical
manifestations. In an effort to better distinguish clinical subtypes, many
disorders, such as Bipolar Disorder, have been further sub-categorized into
subgroups, albeit with criteria that are not very clear, reproducible and
objective. Imaging, along with pattern analysis and classification methods,
offers promise for developing objective and quantitative ways for disease subtype
categorization. Herein, we develop such a method using learning multiple tasks,
assuming that each task corresponds to a disease subtype but that subtypes share
some common imaging characteristics, along with having distinct features. In
particular, we extend the original SVM method by incorporating the sparsity and
the group sparsity techniques to allow simultaneous joint learning for all
diagnostic tasks. Experiments on Multi-Task Bipolar Disorder classification
demonstrate the advantages of our proposed methods compared to other state-of-art
pattern analysis approaches.
PMID- 29367959
TI - Contactless, probeless and non-titrimetric determination of acid-base reactions
using broadband acoustic resonance dissolution spectroscopy (BARDS).
AB - pH determination is a routine measurement in scientific laboratories worldwide.
Most major advances in pH measurement were made in the 19th and early 20th
century. pH measurements are critical for the determination of acid base
reactions. This study demonstrates how an acid-base reaction can be monitored
without the use of a pH probe, indicator and titres of reagent. The
stoichiometric reaction between carbonate and HCl acid yields specific quantities
of CO2, which causes reproducible changes to the compressibility of the solvent.
This in turn slows down the speed of sound in solution which is induced by a
magnetic follower gently tapping the inner wall of the vessel. As a consequence
the frequencies of the acoustic resonances in the vessel are reduced. This
approach is called Broadband Acoustic Resonance Dissolution Spectroscopy (BARDS)
which harnesses this phenomenon for many applications. The acid-carbonate
experiments have also been validated using H2SO4 acid and using both potassium
and sodium counterions for the carbonate. This method can be used to interrogate
strong acid-base reactions in a rapid and non-invasive manner using carbonate as
the base. The data demonstrate the first example of a reactant also acting as an
indicator. The applicability of the method to weak acids has yet to be
determined. A novel conclusion from the study is that a person with a well
trained ear is capable of determining the concentration and pH of a strong acid
just by listening. This brings pH measurement into the realm of human perception.
PMID- 29367960
TI - Photochemical transformation of lipoic acid-based ligands: probing the effects of
solvent, ligand structure, oxygen and pH.
AB - We have combined optical absorption with the Ellman's test to identify the
parameters that affect the transformation of the 5-membered dithiolanes to thiols
in lipoic acid (LA) and its derivatives during UV-irradiation. We found that the
nature and polarity of the solvent, the structure of the ligands, acidity of the
medium and oxygen can drastically affect the amount of photogenerated thiols.
These findings are highly relevant to the understanding of the photochemical
transformation of this biologically relevant compound, and would benefit the
increasing use of LA-based ligands for the surface functionalization of various
nanomaterials.
PMID- 29367961
TI - Layered tin monoselenide as advanced photothermal conversion materials for
efficient solar energy-driven water evaporation.
AB - Solar energy-driven water evaporation lays a solid foundation for important
photothermal applications such as sterilization, seawater desalination, and
electricity generation. Due to the strong light-matter coupling, broad absorption
wavelength range, and prominent quantum confinement effect, layered tin
monoselenide (SnSe) holds a great potential to effectively harness solar
irradiation and convert it to heat energy. In this study, SnSe is successfully
deposited on a centimeter-scale nickel foam using a facile one-step pulsed-laser
deposition approach. Importantly, the maximum evaporation rate of SnSe-coated
nickel foam (SnSe@NF) reaches 0.85 kg m-2 h-1, which is even 21% larger than that
obtained with the commercial super blue coating (0.7 kg m-2 h-1) under the same
condition. A systematic analysis reveals that its good photothermal conversion
capability is attributed to the synergetic effect of multi-scattering-induced
light trapping and the optimal trade-off between light absorption and phonon
emission. Finally, the SnSe@NF device is further used for seawater evaporation,
demonstrating a comparable evaporation rate (0.8 kg m-2 h-1) to that of fresh
water and good stability over many cycles of usage. In summary, the current
contribution depicts a facile one-step scenario for the economical and efficient
solar-enabled SnSe@NF evaporation devices. More importantly, an in-depth analysis
of the photothermal conversion mechanism underneath the layered materials depicts
a fundamental paradigm for the design and application of photothermal devices
based on them in the future.
PMID- 29367963
TI - Regioselective direct arylation of indoles on the benzenoid moiety.
AB - Recent advances in transition metal-catalyzed selective C-H functionalization of
indoles have garnered tremendous attention. Great efforts have been devoted to C2
and C3 arylation because of the inherent reactivity of the pyrrole ring. Until
recently, elegant methods have been developed to enable selective direct
arylation on the benzenoid moiety at C4, C5, C6, and C7. This review highlights
the contributions made in benzenoid direct arylation of indoles and presents
their potential in organic synthesis.
PMID- 29367962
TI - Ribosomal incorporation of backbone modified amino acids via an editing-deficient
aminoacyl-tRNA synthetase.
AB - The ability to incorporate non-canonical amino acids (ncAA) using translation
offers researchers the ability to extend the functionality of proteins and
peptides for many applications including synthetic biology, biophysical and
structural studies, and discovery of novel ligands. Here we describe the high
promiscuity of an editing-deficient valine-tRNA synthetase (ValRS T222P). Using
this enzyme, we demonstrate ribosomal translation of 11 ncAAs including those
with novel side chains, alpha,alpha-disubstitutions, and cyclic beta-amino acids.
PMID- 29367964
TI - A copper-catalyzed reaction of 3-diazoindolin-2-imines with 2
(phenylamino)ethanols: convenient access to spiro[indoline-3,2'-oxazolidin]-2
imines.
AB - 3-Diazoindolin-2-imines reacted with chiral 2-(phenylamino)ethanols under copper
catalysis to furnish chiral spiro[indoline-3,2'-oxazolidin]-2-imines in good
yields with excellent diastereoselectivity. The reaction undergoes a copper
catalyzed cascade process involving the formation of copper carbene, C-N
coupling, and C-O coupling.
PMID- 29367965
TI - Prediction of topological property in TlPBr2 monolayer with appreciable Rashba
effect.
AB - A quantum spin Hall (QSH) insulator with high stability, large bulk band gap and
tunable topological properties is crucial for both fundamental research and
practical application due to the presence of dissipationless edge conducting
channels. Recently, chemical functionalization has been proposed as an effective
route to realize the QSH effect. Based on first-principles calculations, we
predict that a two-dimensional TlP monolayer would convert into a topological
insulator with the effect of bromination, accompanied by a large bulk band gap of
76.5 meV, which meets the requirement for room-temperature application. The
topological nature is verified by the calculation of Z2 topological invariant and
helical edge states. Meanwhile, an appreciable Rashba spin splitting of 77.2 meV
can be observed. The bulk band gap can be effectively tuned with external strain
and electric field, while the Rashba spin splitting shows a parabolic variation
trend under an external electric field. We find that the topological property is
available for the TlP film when the coverage rate is more than 0.75. BN and SiC
are demonstrated as promising substrates to support the topological nature of
TlPBr2 film. Our findings suggest that a TlPBr2 monolayer is an appropriate
candidate for hosting the nontrivial topological state and controllable Rashba
spin splitting, and shows great potential applications in spintronics.
PMID- 29367966
TI - Electrocatalytic CO2 reduction by a cobalt bis(pyridylmonoimine) complex: effect
of acid concentration on catalyst activity and stability.
AB - A Co complex with a redox-active bis(pyridylmonoimine) ligand has been prepared
and shows catalytic activity for electrochemical CO2 reduction in acetonitrile.
Addition of a proton source such as water or trifluoroethanol dramatically
improves the activity and stability of the molecular catalyst. The Co complex
reduces CO2 to CO selectively at -1.95 V vs. Fc+/0 in the presence of high
concentrations of water. The activity of the Co complex for CO2 reduction
compares favorably to other molecular Co-based catalysts in acetonitrile
solutions.
PMID- 29367967
TI - PBTTT-C16 sol-gel transition by hierarchical colloidal bridging.
AB - A versatile conjugated polymer, poly(2,5-bis(3-hexadecyllthiophen-2-yl)thieno[3,2
b]thiophene) (pBTTT-C16, with Mw = 61 309 g mol-1), in a relatively good solvent
(chlorobenzene, CB) medium is shown to produce gels through hierarchical
colloidal bridging. Multiscale static/dynamic light and X-ray scattering analysis
schemes along with complementary microscopy imaging techniques clearly reveal
that upon cooling from the solution state at 80 degrees C to various gelation
temperatures (5, 10, and 15 degrees C), rod-like colloidal pBTTT-C16 aggregates
morph into spherical ones, triggering hierarchical colloid formation and bridging
that eventually turn the solution into a gel after about one-day aging. A certain
fraction of primal packing units-spherical gelators (~1 nm in mean radius)
constitute the spherical building particles (~10 nm) noted above, which in turn
constitute loose-packing aggregate clusters (~300 nm) in the sol state. As
gelation proceeds, the aggregate cluster interiors tighten substantially, and
micrometer-sized clusters (~3 MUm) formed by them begin to take shape and further
interconnect to form the gel network (mean porosity size ~240 nm and spatial
inhomogeneity length ~20 MUm). Rheological measurements and kinetic analysis
reveal that the gelation temperature can also have a notable impact on gel
microstructure, gelation rate, and mechanical strength, resulting in, for
instance, a prominently nonergodic and porous structure for the soft gel
incubated at a higher temperature T = 15 degrees C. The ac conductivity exhibits
a notable upturn near pBTTT-C16/CB gelation, well above those achieved by the
counterpart pBTTT-C14 solutions, which, in interesting contrast, cannot be
brought to the gel phase under similar experimental conditions.
PMID- 29367968
TI - Efficient and selective hydrogenation of C-O bonds with a simple sodium formate
catalyzed by nickel.
AB - A Ni-catalyzed hydrogenation of C-O compounds with sodium formate is developed.
Various esters, i.e. aryl, alkenyl, benzyl pivalates, and even the aryl ethers,
were efficiently reduced with a loading of nickel catalysts down to 0.5 mol%.
Reactive functional groups such as C-C double bonds, carbonyl, CN, MeS and
halogen groups are tolerable. This reaction can be used for the modification of
complex molecules and carried out at a large scale.
PMID- 29367974
TI - Natural Product Reports 35 years on.
PMID- 29367975
TI - Se@SiO2-FA-CuS nanocomposites for targeted delivery of DOX and nano selenium in
synergistic combination of chemo-photothermal therapy.
AB - In this study, a versatile tumor-targeted and multi-stimuli-responsive drug
delivery vehicle (Se particle@porous silica-folic acid-copper sulfide/doxorubicin
(Se@SiO2-FA-CuS/DOX)) was fabricated for combined photothermal therapy with
chemotherapy in cancer treatment. Due to excellent targeting ability, the Se@SiO2
FA-CuS/DOX nanocomposites actively accumulated in tumor tissues and thus provided
photothermal therapy under NIR irradiation and chemotherapy through the release
of DOX and Se. Owing to the synergistic effect of chemotherapy (Se and DOX) and
photothermal therapy, the Se@SiO2-FA-CuS/DOX nanocomposites could efficiently
inhibit cancer cells both in vitro and in vivo and even completely eliminate
tumors. Moreover, as the toxicity of DOX could be reduced by Se, the treatment
using Se@SiO2-FA-CuS/DOX nanocomposites exhibited no appreciable adverse
reactions. Thus, the Se@SiO2-FA-CuS/DOX nanocomposites have great potential as a
multifunctional nanoplatform in future clinical applications.
PMID- 29367976
TI - Hierarchically structured multi-shell nanotube arrays by self-assembly for
efficient water oxidation.
AB - Photosynthesis in plants occurs at structures which form by self-assembly under
ambient conditions, while catalysts used for artificial photosynthesis normally
need special conditions like high pressure or temperature. Herein, a facile and
cost effective way for the synthesis of a highly complex and efficient oxygen
evolution reaction (OER) catalyst, formed solely by self-assembly in solution, is
presented. Without the need for any instrumentation except for a glass beaker,
highly active nickel-iron-copper multi-shell nanotube arrays are produced by
immersion of a copper plate in three different solutions. Cu(OH)2 nanowires are
first self-grown on a copper substrate in a basic solution and subsequently
converted to novel iron-copper hydroxide nanotubes by immersion in an Fe3+
solution by a sacrificial template-accelerated hydrolysis mechanism. Finally, an
additional layer of nickel nanosheets is added by treating in a nickel chemical
bath. The resulting electrode shows a current density as high as 100 mA cm-2 at
an overpotential of 320 mV with a Tafel slope of 32 mV dec-1, while also
exhibiting long time stability. The use of inexpensive first-row transition
metals, simple preparation methods with no energy consumption, the unique
hierarchical structure of the nanosheet covered nanotubes, and the high catalytic
performance are remarkable, and this study may therefore lead to more convenient
and competitive routes for water splitting.
PMID- 29367977
TI - Modular tripodal receptors for the hydrosulfide (HS-) anion.
AB - Hydrogen sulfide (H2S) is an endogenously-produced gasotransmitter and is
predominantly speciated as HS- at physiological pH. Despite this importance,
reversible binding of HS- to synthetic receptors remains rare and confined to
highly-engineered receptor systems. Here we demonstrate the generality of
reversible HS- binding in a family of tren-based receptors.
PMID- 29367978
TI - In situ synchrotron XRD analysis of the kinetics of spodumene phase transitions.
AB - The phase transition by thermal activation of natural alpha-spodumene was
followed by in situ synchrotron XRD in the temperature range 896 to 940 degrees
C. We observed both beta- and gamma-spodumene as primary products in
approximately equal proportions. The rate of the alpha-spodumene inversion is
first order and highly sensitive to temperature (apparent activation energy ~800
kJ mol-1). The gamma-spodumene product is itself metastable, forming beta
spodumene, with the total product mass fraction ratio fgamma/fbeta decreasing as
the conversion of alpha-spodumene continues. We found the relationship between
the product yields and the degree of conversion of alpha-spodumene to be the same
at all temperatures in the range studied. A model incorporating first order
kinetics of the alpha- and gamma-phase inversions with invariant rate constant
ratio describes the results accurately. Theoretical phonon analysis of the three
phases indicates that the gamma phase contains crystallographic instabilities,
whilst the alpha and beta phases do not.
PMID- 29367979
TI - CoC2O4.2H2O derived Co3O4 nanorods array: a high-efficiency 1D electrocatalyst
for alkaline oxygen evolution reaction.
AB - Energy conversion and storage systems such as water splitting metal-air batteries
require high-performance and durable oxygen evolution reaction (OER) catalysts.
Herein, we report the in situ development of self-standing Co3O4 nanorods array
on Co foil (Co3O4 NA/CF) as a 1D OER catalyst electrode. Such Co3O4 NA/CF only
needs overpotential of 308 mV to drive a geometrical catalytic current density of
15 mA cm-2 in 1.0 M KOH with good long-term electrochemical durability. In
addition, this catalyst achieves a high turnover frequency of 0.646 mol O2 s-1 at
overpotential of 410 mV.
PMID- 29367980
TI - Proton distribution in Sc-doped BaZrO3: a solid state NMR and first principle
calculations analysis.
AB - Perovskite-based material Sc-doped BaZrO3 is a promising protonic conductor but
with substantially lower conductivities than its Y-doped counterpart. 1H solid
state NMR spectroscopy in combination with DFT modelling was used to analyze the
protonic distribution in BaZr1-xScxO3-x/2-y(OH)2y and its effect on charge
carrier mobility. 1H single pulse and 1H-45Sc TRAPDOR MAS NMR experiments
highlighted the mobile character of the proton charge carriers at room
temperature, giving rise to a single broad resonance, protons hopping between
multiple sites on the NMR timescale. At low temperatures, the protonic motion was
successfully slowed down allowing direct observation of the various proton
environments present in the structure. For x <= 0.15, DFT modelling suggested a
tendency for strong dopant-proton association leading to Sc-OH-Zr environments
with 1H NMR shifts of 4.8 ppm. The Zr-OH-Zr environment, H-bonded to a Sc-O-Zr,
lies 32 kJ mol-1 higher in energy than the Sc-OH-Zr environment, suggesting that
the Sc-OH-Zr environment is trapped. However, even at these low concentrations,
Sc-Sc clustering could not be ruled out as additional proton environments with
stronger 1H-45Sc dipolar couplings were observed (at 4.2 and 2.8 ppm). For x =
0.25, DFT modelling on the dry material predicted that Sc-?-Sc environments were
extremely stable, again highlighting the likelihood of dopant clustering. A large
number of possible configurations exists in the hydrated material, giving rise to
a large distribution in 1H chemical shifts and multiple conduction pathways. The
1H shift was found to be strongly related to the length of the O-H bond and, in
turn, to the hydrogen bonding and OOH distances. The breadth of the NMR signal
observed at low temperature for x = 0.30 indicated a large range of different OH
environments, those with lower shifts being generally closer to more than one Sc
dopant. Lower DFT energy structures were generally associated with weaker H
bonding environments. Both the calculations and the DFT modelling indicated that
the protons tend to strongly bond to the Sc clusters, which, in conjunction with
the higher energies of configurations containing Zr-OH-Zr groups, could help
explain the lower conductivities recorded for the Sc-substituted BaZrO3 in
comparison to its yttrium counterpart.
PMID- 29367981
TI - Catastrophic depolymerization of microtubules driven by subunit shape change.
AB - Microtubules exhibit a dynamic instability between growth and catastrophic
depolymerization. GTP-tubulin (alphabeta-dimer bound to GTP) self-assembles, but
dephosphorylation of GTP- to GDP-tubulin within the tubule results in
destabilization. While the mechanical basis for destabilization is not fully
understood, one hypothesis is that dephosphorylation causes tubulin to change
shape, frustrating bonds and generating stress. To test this idea, we perform
molecular dynamics simulations of microtubules built from coarse-grained models
of tubulin, incorporating a small compression of alpha-subunits associated with
dephosphorylation in experiments. We find that this shape change induces
depolymerization of otherwise stable systems via unpeeling "ram's horns"
characteristic of microtubules. Depolymerization can be averted by caps with
uncompressed alpha-subunits, i.e., GTP-rich end regions. Thus, the shape change
is sufficient to yield microtubule behavior.
PMID- 29367983
TI - Water-soluble transition metal complexes of ruthenium(ii), osmium(ii),
rhodium(iii) and iridium(iii) with chelating N-heterocyclic carbene ligands in
hydrogenation and transfer hydrogenation catalysis.
AB - The synthesis of novel Ru(ii), Os(ii), Rh(iii) and Ir(iii) mono-N-heterocyclic
carbene (NHC) complexes with a pyridine substituent is reported. The reaction of
the imidazolium salts bearing N-alkyl and sulfonated N-alkyl substituents with
Ag2O leads to the formation of the corresponding Ag(i) complexes. The metal
complexes are available in good yields via transmetallation reactions from the
corresponding silver complexes and [ArMCl2]2, where Ar = p-cymene or Cp* and M =
Ru, Os, Rh or Ir. While N-alkyl substituted NHC complexes are almost insoluble in
water (1.55 mg ml-1), sulfonated N-alkyl substituted NHC complexes display good
solubility in water (up to 400 mg mL-1). All complexes were examined as catalysts
in the transfer hydrogenation of acetophenone, which is quantitatively and highly
selective reduced to 1-phenylethanol and 1-cyclohexylethanol. Additionally, the
water-soluble complexes were examined in the complete hydrogenation of
acetophenone with hydrogen in an autoclave, showing high conversions compared to
literature-known systems.
PMID- 29367982
TI - Association of fatty acids and lipids metabolism in placenta with early
spontaneous pregnancy loss in Chinese women.
AB - The aim of the present study was to evaluate the association of fatty acids and
lipids metabolism in placenta with early spontaneous pregnancy loss (ESPL) in
Chinese women. Seventy women with ESPL and 29 healthy pregnant women who asked
for legal induced abortion were included in the case and control groups,
respectively. The gestational age of the subject foetuses in both the case and
control groups ranged from 4 to 10 weeks. The total fatty acids composition in
the decidual and villous tissues was detected by gas-liquid chromatography using
a standard method. Metabonomics analysis of the decidual and villous tissues was
conducted by ultra-performance liquid chromatography quadrupole time of flight
mass spectrometry (UPLC-QTOFMS). The total C18:3n-3 in the decidual and villous
tissues, total n-3 polyunsaturated fatty acid (n-3 PUFA) in the decidual tissue,
and total C18:2n-6 in the villous tissue were all significantly lower in the case
group than in the control group. The ratio of C20:4n-6/C20:5n-3 in villous tissue
was significantly higher, but prostaglandin I2 as well as hydroxyeicosapentaenoic
acid, leukotriene B5 and thromboxane B3 in the villous tissue were significantly
lower in the case group than in the control group. In addition, the low content
of lysophosphatide in the decidual and villous tissues and the low content of
diacylglycerol in the villous tissue were also associated with the occurance of
ESPL. In conclusion, the lack of essential fatty acids, high ratio of C20:4n
6/C20:5n-3, abnormal eicosanoids metabolism and low content of lysophosphatide
and diacylglycerol in the placenta were all potential risk factors for ESPL in
Chinese.
PMID- 29367984
TI - Contiguous multiple pi-coordination of pi-conjugated polyenes: bonding nature and
charge delocalization behaviour of polyene-(palladium chain) sandwich clusters.
AB - The contiguous multiple pi-coordination (CMpiC) bonds are formed at the molecular
interface between the extended pi-conjugated sp2-carbon framework and metal-metal
moieties. However, the static properties including the bonding nature of the
CMpiC bonds have not been well-understood. Here we report the charge
delocalization behavior and bonding nature of the polyene-(palladium chain)
sandwich clusters. We observed the chain-length dependent 13C NMR chemical shifts
of the terminal phenyl groups in [Pdm{Ph(CH[double bond, length as m
dash]CH)m+1Ph}2][B(ArF)4]2 (m = 3, 4, 5, 6), showing the efficient delocalization
of positive charge over the polyene ligands. Furthermore, the detailed molecular
orbital analysis of several model clusters provides insights into the bonding
nature of the MU-eta2:(eta2:)neta2- and MU-eta3:(eta2:)neta3-poylene palladium
chain sandwich clusters, where the former type is attributed to the corner
sharing Pd array and the latter to the edge-sharing/corner-sharing hybrid Pd
array. The analogy of the MU-eta3:(eta2:)neta3-type with the carbonyl-bridge
coordination is discussed. The Pd string in each mode of sandwich chain clusters
has a weak M-M bonding interaction.
PMID- 29367985
TI - Molecular electrostatic potential on the proton-donating atom as a theoretical
descriptor of excited state acidity.
AB - Organic photoacids with enhanced acidities in the excited states have received
much attention both experimentally and theoretically because of their
applications in nanotechnology and chemistry. In this study, we investigate the
excited-state acidities of 14 hydroxyl-substituted aromatic photoacids, with a
focus on using theoretical molecular electrostatic potential (MEP) as an
effective descriptor for photoacidity. For these model photoacids, we applied
time-dependent density functional theory (TDDFT) at the omegaB97X-D/6-31G(d)
level to calculate the molecular electrostatic potentials of S1 excited states
and show that the molecular electrostatic potential on the proton-donating atom
exhibits a linear relationship with the observed excited-state logarithmic acid
dissociation constant (pKa*). As a result, the molecular electrostatic potential
on the proton-donating atom can be used to estimate the pKa* values based on
simple TDDFT calculations for a broad range of hydroxyl-substituted aromatic
compounds. Furthermore, we explore the molecular electrostatic potential as a
quantum descriptor for the photoacidities of cationic photoacids, and show a
universal behavior of the pKa*-MEP dependence. We also investigate the solvent
effects on the photoacidity using TDDFT calculations with implicit solvent
models. Finally, we discuss the physical insights implicated by the molecular
electrostatic potential as a successful measure for photoacidity on the mechanism
of proton transfer in the molecular excited states. This pKa* descriptor provides
an effective means to quantify the tendency of excited-state proton transfer with
a relatively small computational cost, which is expected to be useful in the
design of functional photoacids.
PMID- 29367986
TI - Ubiquitous deprotonation of terephthalic acid in the self-assembled phases on
Cu(100).
AB - We performed an exhaustive study of terephthalic acid (TPA) self-assembly on a
Cu(100) surface, where first-layer molecules display two sequential phase
transitions in the 200-400 K temperature range, corresponding to different stages
of molecular deprotonation. We followed the chemical and structural changes by
means of high-resolution X-ray photoelectron spectroscopy (XPS) and variable
temperature scanning tunneling microscopy (STM), which were interpreted on the
basis of density functional theory (DFT) calculations and photoemission
simulations. In order to reveal the spectroscopic contributions of the molecules
in different states of deprotonation, we modified the substrate reactivity by
deposition of a small amount of Sn, which hampers the deprotonation reaction. We
found that the characteristic molecular ribbons of the TPA/Cu(100) alpha-phase at
a low temperature contain a significant fraction of partially deprotonated
molecules, in contrast to the expectation of a fully protonated phase, where the
self-assembly was claimed to be simply driven by the intermolecular double
hydrogen bonds [OHO]. On the basis of our simulations, we propose a model where
the carboxylate groups of the partially deprotonated molecules form single
hydrogen bonds with the carboxylic groups of the fully protonated molecules.
Using real time XPS, we also monitored the kinetics of the deprotonation
reaction. We show that the network of mixed single and double hydrogen bonds
inhibits further deprotonation up to ~270 K, whereas the isolated molecules
display a much lower deprotonation barrier.
PMID- 29367987
TI - Mechanistic insights into the tropo-inversion of the biphenyl moiety in chiral
bis-amido phosphites and in their palladium(ii) complexes.
AB - Chiral bis-amido phosphites L1 and L2 containing a diaminobiphenyl unit and a
chiral alkoxy group derived from either (-)-menthol or 3-acetoxy deoxycholic
methyl ester have been synthesised. Both L1 and L2 react with PdCl2(NCPh)2
affording di- or mononuclear derivatives with formula trans-[Pd(MU-Cl)Cl(L)]2
(1a, L = L1; 1b, L = L2) or trans-PdCl2(L)2 (2a, L = L1; 2b, L = L2) depending on
the Pd : L molar ratio. The crystal structure of (M,P)-1a confirms the trans
arrangement of the ligand L1 and shows an unusual puckering of the Pd2(MU-Cl)2
core (theta 46 degrees ). Both the ligands L1 and L2 and their complexes (1 and
2) are fluxional in solution as a consequence of the tropo-inversion of the
diaminobiphenyl unit. For L1, L2, 1a and 2a a combined study including variable
temperature 31P{1H} NMR spectroscopy and line shape analysis, Eyring plots and
DFT calculations have shed light on the mechanism of the tropo-inversion.
PMID- 29367988
TI - Designed transition metal catalysts for intracellular organic synthesis.
AB - The development of synthetic, metal-based catalysts to perform intracellular
bioorthogonal reactions represents a relatively new and important area of
research that combines transition metal catalysis and chemical biology. The
ability to perform reactions in cellulo, especially those transformations without
a natural counterpart, offers a versatile tool for medicinal chemists and
chemical biologists. With proper modification of the metal catalysts, it is even
possible to direct a reaction to certain intracellular sites. This review
highlights advances in this new area, from early work on intracellular functional
group conversions to recent advances in intracellular synthesis of drugs,
including cytotoxic agents. Both the fundamental and applied aspects of this
approach to intracellular synthesis are reviewed.
PMID- 29367989
TI - Synthesis and application of a water-soluble phosphorescent iridium complex as
turn-on sensing material for human serum albumin.
AB - A novel water-soluble cyclometallated iridium complex [Ir(pq-COOH)2FDS]- (pq-COOH
= 2-phenylquinoline-4-carboxylic acid, FDS = 3-(2-pyridyl)-5,6-bis(4-sulfophenyl)
1,2,4-triazine dianions) (abbreviated as Ir) was synthesized and its
phosphorescent property was comprehensively studied. It was found that the
complex exhibited strong phosphorescence, which peaked at 634 nm in neutral
conditions (maximized at pH 8.0). Its phosphorescence decreased with an increase
in acidity of the aqueous solution. At pH 2.0, the quenched phosphorescence could
be resumed upon the addition of human serum albumin (HSA) because of the
hydrophobic and electrostatic interactions between HSA and Ir. Based on this
phenomenon, a "turn on" type phosphorescence probe was developed for the
detection of HSA. Under optimal conditions, a wide calibration range of 1-280 nM
was obtained with a limit of detection of 0.8 nM for HSA. The phosphorescence
probe was successfully used for the determination of HSA in blood serum and urine
samples.
PMID- 29367990
TI - Facile, non-destructive characterization of 2d photonic crystals using UV-vis
spectroscopy.
AB - We present a simple and non-destructive method for characterizing and quantifying
the quality of two-dimensional (2D) close-packed arrays of submicron dielectric
spheres. Utilizing radiative losses of photonic modes created by the 2D crystals
into dielectric substrates we are able to monitor the quality of the particle
monolayer during assembly and the size evolution of the individual particles
during dry etching. Using an advanced interfacial assembly technique we prepare
particle monolayers on glass and characterize the spectral behaviour of the
radiative loss regarding different lattice constants, dielectric substrates and
layer qualities. The effect of diameter reduction during dry etching is analysed
and a simple model is proposed, which enables non-destructive, on spot
characterization of the particle layer with sub-20 nm resolution using UV-vis
spectroscopy.
PMID- 29367991
TI - Pressure-actuated monolithic acrylic microfluidic valves and pumps.
AB - In this article, we describe a microfluidic device with embedded valves and pumps
made exclusively of layers of acrylic glass. Flat acrylic sheets are carved out
with a micromilling machine and bonded together by solvent bonding. The working
principle of the valves is based on a thin flexible membrane (~100 MUm) machined
on one acrylic sheet and actuated with pneumatic pressure. A completely closed
valve resists a pressure difference of ~17 kPa (~2.5 psi), and when open, it can
sustain flow rates of up to 100 MUL s-1. Pumping is achieved by combining two
valves and a pumping chamber in series, which is also based on the bending of a
thin acrylic membrane. The maximum flow rate obtained with this pumping mechanism
is 20 MUL min-1. Acrylic is a popular rigid thermoplastic because it is
inexpensive, making it ideal for mass production of disposable devices, and also
because it has demonstrated compatibility with different biochemical assays. The
physical and optical properties it shares with other thermoplastics could lead to
this material being implemented for similar valves and pumps. As a proof-of
concept of our technology, we implemented a controlled cell-staining assay in two
parallel incubation chambers integrating four valves and one pump into one
device. Our monolithic acrylic valves can enable the mass production of
disposable microfluidic devices that require fluid control with pressure-actuated
valves and aid in the automation of biochemical assays.
PMID- 29367992
TI - Predicted detonation properties at the Chapman-Jouguet state for proposed
energetic materials (MTO and MTO3N) from combined ReaxFF and quantum mechanics
reactive dynamics.
AB - The development of new energetic materials (EMs) with improved detonation
performance but low sensitivity and environmental impact is of considerable
importance for applications in civilian and military fields. Often new designs
are difficult to synthesize so predictions of performance in advance is most
valuable. Examples include MTO (2,4,6-triamino-1,3,5-triazine-1,3,5-trioxide) and
MTO3N (2,4,6-trinitro-1,3,5-triazine-1,3,5-trioxide) suggested by Klapotke as
candidate EMs but not yet successfully synthesized. We propose and apply to these
materials a new approach, RxMD(cQM), in which ReaxFF Reactive Molecular Dynamics
(RxMD) is first used to predict the reaction products and thermochemical
properties at the Chapman Jouguet (CJ) state for which the system is fully
reacted and at chemical equilibrium. Quantum mechanics dynamics (QMD) is then
applied to refine the pressure of the ReaxFF predicted CJ state to predict a more
accurate final CJ point, leading to a very practical calculation that includes
accurate long range vdW interactions needed for accurate pressure. For MTO, this
RxMD(cQM) method predicts a detonation pressure of PCJ = 40.5 GPa and a
detonation velocity of DCJ = 8.8 km s-1, while for MTO3N it predicts PCJ = 39.9
GPa and DCJ = 8.4 km s-1, making them comparable to HMX (PCJ = 39.5 GPa, DCJ =
9.1 km s-1) and worth synthesizing. This first-principles-based RxMD(cQM)
methodology provides an excellent compromise between computational cost and
accuracy including the formation of clusters that burn too slowly, providing a
practical mean of assessing detonation performances for novel candidate EMs. This
RxMD(cQM) method that links first principles atomistic molecular dynamics
simulations with macroscopic properties to promote in silico design of new EMs
should also be of general applicability to materials synthesis and processing.
PMID- 29367993
TI - The structures, water stabilities and photoluminescence properties of two types
of iodocuprate(i)-based hybrids.
AB - Although great progress has been made in hybrid iodocuprates(i) as lighting
phosphors, the effects of aromatic and aliphatic structure directing agents
(SDAs) on their water stability, structure and photoluminescence (PL) properties
are still not clear. Herein, aromatic N-heterocyclic 1,2-di(4-pyridyl)ethylene
(dpe), aliphatic N-heterocyclic 1,8-diazabicyclo[5.4.0]undec-7-ene (dbu) and N
aminoethylpiperazine (app) were selected to be SDAs to construct two types of
hybrid iodocuprates(i) via a facile in situ approach. Aromatic dpe-derived
cations are successfully directed to form (Me2dpe)(CuI3) (1), (Me2dpe)n(Cu4I6)n
(2), (Et2dpe)2(Cu6I10) (3), and (H2dpe)n(Cu2I4)n (4). Three of them contain
unprecedented inorganic iodocuprate clusters or chains. The aliphatic N
heterocyclic dbu- and app-derivative cations are responsible for the formation of
(Hdbu)n(Cu2I3)n (5) and (H3app)2(Cu2I6).2I.2H2O (6), which contain a (Cu2I3)-
chain and a (Cu2I6)4- binuclear cluster, respectively. For the first time, the
influence mechanisms of the water stabilities of iodocuprate-based PL materials
were disclosed, by analyzing the possible interactions between SDAs and water
molecules. 1-2 are PL silent due to their "self-quenching effect". 3, 4 and5
exhibit bright red, orange and yellow solid-state PL emissions at room
temperature respectively, originating from the charge transfer between inorganic
iodocuprate species and organic N-heterocycles. The co-template approach leads to
multiple charge transfers in 6, which features a tunable PL behavior from bluish
green to white by varying the excitation light, and has a quantum yield up to 43%
(the highest value among hybrid iodocuprates containing (Cu2I6)4- clusters). The
comparative study not only helps us to rationally synthesize iodocuprate-based PL
materials with enhanced performance, but also provides a new method to obtain
wavelength-dependent PL materials.
PMID- 29367994
TI - Rapid resolution of carbohydrate isomers via multi-site derivatization ion
mobility-mass spectrometry.
AB - Identifying small sugar isomers can be challenging by ion mobility-mass
spectrometry (IM-MS) alone due to their small collision cross section
differences. Herein, we report IM-MS results for multi-site, covalent
carbohydrate derivatization with 3-carboxy-5-nitrophenylboronic acid (3C5NBA).
Following reaction in aqueous solutions at room temperature, 3C5NBA reacts with
each mono- or disaccharide molecule to yield products that each have a
distinguishable mobility signature. The reaction was rapid and resulted in the
detection of products within 5 min after 3C5NBA was mixed with the analyte. Eight
disaccharides that varied in linkage, composition, and configuration (alpha or
beta) as well as four monosaccharides, d-glucose, d-galactose, d-mannose and d
fructose, were included in this study. The derivatives' drift times showed
significant shifts, with up to 3-fold gains in resolution when compared to
previous literature reports. Moreover, the specific MS/MS fragmentation
information gathered from these sugar derivatives provided further validation of
the isomers' structures.
PMID- 29367995
TI - Synthesis of branched and linear 1,4-linked galactan oligosaccharides.
AB - We report the synthesis of linear and branched (1->4)-d-galactans. Four
tetrasaccharides and one pentasaccharide were accessed by adopting a procedure of
regioselective ring opening of a 4,6-O-naphthylidene protecting group followed by
glycosylation using phenyl thioglycoside donors. The binding of the linear
pentasaccharide with galectin-3 is also investigated by the determination of a co
crystal structure. The binding of the (1->4)-linked galactan to Gal-3 highlights
the oligosaccharides of pectic galactan, which is abundant in the human diet, as
putative Gal-3 ligands.
PMID- 29367996
TI - Catalytic effect of a single water molecule on the OH + CH2NH reaction.
AB - In recent work, there has been considerable speculation about the atmospheric
reaction of methylenimine (CH2NH), because this compound is highly reactive,
soluble in water, and sticky, thus posing severe experimental challenges. In this
work, we have revisited the kinetics of the OH + CH2NH reaction assisted by a
single water molecule. The potential energy surfaces (PESs) for the water
assisted OH + CH2NH reaction were calculated using the CCSD(T)//BH&HLYP/aug-cc
pVTZ levels of theory. The rate coefficients for the bimolecular reaction
pathways CH2NHH2O + OH and CH2NH + H2OHO were computed using canonical
variational transition state theory (CVT) with small curvature tunneling
correction. The reaction without water has four elementary reaction pathways,
depending on how the hydroxyl radical approaches CH2NH. In all cases, the
reaction begins with the formation of a single pre-reactive complex before
producing abstraction and addition products. When water is added, the products of
the reaction do not change, and the reaction becomes quite complex, yielding four
different pre-reactive complexes and eight reaction pathways. The calculated rate
coefficient for the OH + CH2NH (water-free) reaction at 300 K is 1.7 * 10-11 cm3
molecule-1 s-1 and for OH + CH2NH (water-assisted), it is 5.1 * 10-14 cm3
molecule-1 s-1. This result is similar to the isoelectronic analogous reaction OH
+ CH2O (water-assisted). In general, the effective rate coefficients of the water
assisted reaction are 2~3 orders of magnitude smaller than water-free. Our
results show that the water-assisted OH + CH2NH reaction cannot accelerate the
reaction because the dominated water-assisted process depends parametrically on
water concentration. As a result, the overall reaction rate coefficients are
smaller.
PMID- 29367997
TI - New rare earth hafnium oxynitride perovskites with photocatalytic activity in
water oxidation and reduction.
AB - RHfO2N perovskites with R = La, Nd and Sm show a GdFeO3-type structure and are
semiconductors with band gaps of 3.35, 3.40 and 2.85 eV and relative dielectric
constants of 30, 16 and 28 respectively. These compounds have adequate reduction
and oxidation potentials to conduct the overall water splitting reaction, and the
analogous perovskite LaZrO2N with a band gap of 2.8 eV shows photocatalytic
activity under visible light irradiation for O2 evolution.
PMID- 29367998
TI - Identification of a rhodium(iii) complex as a Wee1 inhibitor against TP53-mutated
triple-negative breast cancer cells.
AB - The rhodium(iii) complex 1 was identified as a potent Wee1 inhibitor in vitro and
in cellulo. It decreased Wee1 activity and unscheduled mitotic entry, and induced
cell damage and death in TP53-mutated triple-negative breast cancer cells. 1
represents a promising scaffold for further development of more potent metal
based Wee1 antagonists.
PMID- 29367999
TI - Nonaqueous synthesis of metal cyanamide semiconductor nanocrystals for
photocatalytic water oxidation.
AB - Herein, we report nonaqueous synthesis of metal cyanamide semiconductor
nanocrystals, including Ag2NCN nanorods (NRs), ZnNCN NRs and PbNCN nanoparticles.
The as-prepared Ag2NCN NRs with a band gap of 2.35 eV are applied as
photocatalysts for water oxidation. Their oxygen evolution rate (280.7 MUmol h-1
g-1) is much higher than that of Ag2NCN microcrystals (24.0 MUmol h-1 g-1).
PMID- 29368000
TI - A negative feedback loop based on proton-driven in situ formation of plasmonic
molybdenum oxide nanosheets.
AB - We report a negative feedback loop based on proton-driven in situ formation of
plasmonic MoO3-x nanosheets. When the concentration of Cu2+ is below a certain
level, the reaction of Cu2+ and glutathione (GSH) produces Cu+ and few protons.
However, when the concentration of Cu2+ is above a certain threshold, the
reaction of GSH and Cu2+ will generate enough protons in the system to initiate
the redox reaction of GSH and MoO3 nanosheets, which generates plasmonic MoO3-x
nanosheets. This process in turn inhibits the reaction of GSH and Cu2+, which
sequesters the excess Cu2+ from the system. The formation of MoO3-x nanosheets
lowers the concentration of protons in solution and turns off the production of
MoO3-x nanosheets. More importantly, the threshold concentration of Cu2+ can be
easily regulated by changing the concentration of MoO3 nanosheets.
PMID- 29368003
TI - The Role of Angiotensin II/AT1 Receptor Signaling in Regulating Retinal
Microglial Activation.
AB - Purpose: This study explored whether the proangiogenic factor Angiotensin II
(AngII) had a direct effect on the activation state of microglia via the
Angiotensin type 1 receptor (AT1-R). Methods: Microglial dynamic activity was
investigated in live retinal flatmounts from adult Cx3Cr1+/GFP mice under
control, AngII (5 MUM) or AngII (5 MUM) + candesartan (0.227 MUM) conditions. The
effects of intravitreal administration of AngII (10 mM) were also investigated at
24 hours, with retinae processed for immunocytochemistry, flow cytometry, or
inflammatory quantitative PCR arrays. Results: We found FACS isolated retinal
microglia expressed AT1-R. In retinal flatmounts, microglia showed characteristic
movement of processes under control conditions. Perfusion of AngII induced an
immediate change in process length (-42%, P < 0.05) and activation state of
microglia that was ameliorated by AT1-R blockade, suggesting a direct effect of
AngII on microglia via the AT1-R. Intravitreal injection of AngII induced
microglial activation after 24 hours, which was characterized by increased soma
size (23%, P < 0.001) and decreased process length (20%, P < 0.05). Further
analysis indicated a significant decrease in the number of microglial contacts
with retinal neurons (saline 15.6 +/- 2.31 versus AngII 7.8 +/- 1.06, P < 0.05).
Retinal cytokine and chemokine expression was modulated, indicative of an
inflammatory retinal phenotype. Conclusions: We show that retinal microglia
express AT1-R and their activation state is significantly altered by the
angiogenic factor, AngII. Specifically, AngII may directly activate AT1-Rs on
microglia and contribute to retinal inflammation. This may have implications for
diseases like diabetic retinopathy where increases in AngII and inflammation have
been shown to play an important role.
PMID- 29368004
TI - Balanced Eyes See Stereopsis More Quickly, but Not More Finely.
AB - Purpose: To quantify ocular sensory dominance and investigate its relationship to
stereopsis. Method: A total of 69 subjects participated in the study. Ocular
dominance was measured by a continuous flashing technique, with the tested eye
viewing a Gabor patch increasing in contrast, and the fellow eye viewing a
Mondrian noise decreasing in contrast. In each trial, the log ratio of Mondrian
to Gabor's contrasts was recorded as a subject first detected the Gabor. We
collected 50 trials for each eye and an interocular difference was analyzed with
a rank-sum test. The z-value was used as the ocular dominance index (ODI) to
quantify the degree of ocular dominance. A subject with ODI >= 2 was categorized
as having a clear ocular dominance, and a subject with ODI < 2 was considered as
having balanced eyes (unclear dominance). The stereoacuity was measured with
random dot patterns with durations varying from 50 to 1000 ms. The best
achievable stereoacuity (Dmin) and the integration time needed to acquire that
(Tmin) were calculated. Results: A total of 30 subjects had balanced eyes and 39
had clear ocular dominance. Tmin was significantly longer in subjects with clear
ocular dominance than in subjects with balanced eyes (180.18 vs. 121.17 ms, P <
0.01). Tmin was positively correlated with ODI (P < 0.01). However, Dmin in
subjects with clear dominance was not different from that in subjects with
balanced eyes (40.60 vs. 35.73 arcsec, P = 0.18). Conclusions: Ocular dominance
is not associated with how fine the stereoacuity is, but rather how quickly the
best stereoacuity is acquired.
PMID- 29368001
TI - Pharmacokinetics, Tissue Localization, Toxicity, and Treatment Efficacy in the
First Small Animal (Rabbit) Model of Intra-Arterial Chemotherapy for
Retinoblastoma.
AB - Purpose: Current intra-arterial chemotherapy (IAC) drug regimens for
retinoblastoma have ocular and vascular toxicities. No small-animal model of IAC
exists to test drug efficacy and toxicity in vivo for IAC drug discovery. The
purpose of this study was to develop a small-animal model of IAC and to analyze
the ocular tissue penetration, distribution, pharmacokinetics, and treatment
efficacy. Methods: Following selective ophthalmic artery (OA) catheterization,
melphalan (0.4 to 1.2 mg/kg) was injected. For pharmacokinetic studies, rabbits
were euthanized at 0.5, 1, 2, 4, or 6 hours following intra-OA infusion. Drug
levels were determined in vitreous, retina, and blood by liquid chromatography
tandem mass spectrometry. To assess toxicity, angiograms, photography,
fluorescein angiography, and histopathology were performed. For in situ tissue
drug distribution, matrix-assisted laser desorption/ionization imaging mass
spectrometry (MALDI-IMS) was performed. The tumor model was created by combined
subretinal/intravitreal injection of human WERI-Rb1 retinoblastoma cells; the
tumor was treated in vivo with intra-arterial melphalan or saline; and induction
of tumor death was measured by cleaved caspase-3 activity. Results: OA was
selectively catheterized for 79 of 79 (100%) eyes in 47 of 47 (100%) rabbits, and
melphalan was delivered successfully in 31 of 31 (100%) eyes, without evidence of
vascular occlusion or retinal damage. For treated eyes, maximum concentration
(Cmax) in the retina was 4.95 MUM and area under the curve (AUC0->infinity) was
5.26 MUM.h. Treated eye vitreous Cmax was 2.24 MUM and AUC0->infinity was 4.19
MUM.h. Vitreous Cmax for the treated eye was >100-fold higher than for the
untreated eye (P = 0.01), and AUC0->infinity was ~50-fold higher (P = 0.01).
Histology-directed MALDI-IMS revealed highest drug localization within the
retina. Peripheral blood Cmax was 1.04 MUM and AUC0->infinity was 2.07 MUM.h.
Combined subretinal/intravitreal injection of human retinoblastoma cells led to
intra-retinal tumors and subretinal/vitreous seeds, which could be effectively
killed in vivo with intra-arterial melphalan. Conclusions: This first small
animal model of IAC has excellent vitreous and retinal tissue drug penetration,
achieving levels sufficient to kill human retinoblastoma cells, facilitating
future IAC drug discovery.
PMID- 29368002
TI - In Vivo Elasticity Mapping of Posterior Ocular Layers Using Acoustic Radiation
Force Optical Coherence Elastography.
AB - Purpose: We used acoustic radiation force optical coherence elastography (ARF
OCE) to map out the elasticity of retinal layers in healthy and diseased in vivo
rabbit models for the first time. Methods: A healthy rabbit eye was proptosed and
imaged using ARF-OCE, by measuring the tissue deformation after an acoustic force
is applied. A diseased retinal inflammation model was used to observe the
contrast before and after disease formation. Retinal histologic analysis was
performed to identify layers of the retina corresponding with the optical images.
Results: The general trend of the retinal layer elasticity is increasing
stiffness from the ganglion side to the photoreceptor side, with the stiffest
layer being the sclera. In a healthy rabbit model, the mechanical properties
varied from 3 to 16 kPa for the five layers that were identified via optical
imaging and histology (3.09 +/- 0.46, 3.82 +/- 0.88, 4.53 +/- 0.74, 6.59 +/-
2.27, 16.11 +/- 5.13 kPa). In the diseased model, we have induced optical damage
in a live rabbit and observed a change in the stiffness trend in its retina.
Conclusions: High sensitivity elasticity maps can be obtained using the ARF-OCE
system to differentiate different retinal layers. Subtle changes in the
mechanical properties during the onset of diseases, such as retinal degeneration,
can be measured and aid in early clinical diagnosis. This study validates our
imaging system for the characterization of retinal elasticity for the detection
of retinal diseases in vivo.
PMID- 29368005
TI - The Role of ERK1/2 Activation in Sarpogrelate-Mediated Neuroprotection.
AB - Purpose: To characterize the mediators of 5-HT2A serotonin receptor-driven
retinal neuroprotection. Methods: Albino mice were treated intraperitoneally with
saline or sarpogrelate, a 5-HT2A antagonist, immediately before light exposure
(LE). Following LE, retinas were harvested for a high-throughput phosphorylation
microarray to quantify activated phosphorylated proteins in G protein-coupled
receptor (GPCR) signaling. To confirm microarray results and define temporal
changes, Western blots of select GPCR signaling proteins were performed. Since
both methodologies implicated MAPK/ERK activation, the functional significance of
sarpogrelate-mediated ERK1/2 activation was examined by inhibition of ERK1/2
phosphorylation via pretreatment with the MEK inhibitor (MEKi) PD0325901. The
degree of neuroprotection was evaluated with spectral-domain optical coherence
tomography (SD-OCT) and electroretinography (ERG). To determine the effects of
sarpogrelate on gene expression, a qPCR array measuring the expression of 84
genes involved in oxidative stress and cell death was performed 48 hours post LE.
Results: Sarpogrelate led to an activation of the MAPK/ERK pathway. Temporal
analysis further demonstrated a transient activation of ERK1/2, starting with an
early inhibition 20 minutes into LE, a maximum activation at 3 hours post LE, and
a return to baseline at 7 hours post LE. Inhibition of ERK1/2 with MEKi
pretreatment led to attenuation of sarpogrelate-mediated neuroprotection. LE
caused significant changes in the expression of genes involved in iron
metabolism, oxidative stress, and apoptosis. These changes were prevented by
sarpogrelate treatment. Conclusions: Sarpogrelate-mediated retinal protection
involves a transient activation of the MAPK/ERK pathway, although this pathway
alone does not account for the full effect of neuroprotection.
PMID- 29368007
TI - Novel Animal Model of Crumbs-Dependent Progressive Retinal Degeneration That
Targets Specific Cone Subtypes.
AB - Purpose: Human Crb1 is implicated in some forms of retinal degeneration,
suggesting a role in photoreceptor maintenance. Multiple Crumbs (Crb) polarity
genes are expressed in vertebrate retina, although their functional roles are not
well understood. To gain further insight into Crb and photoreceptor maintenance,
we compared retinal cell densities between wild-type and Tg(RH2-2:Crb2b-sfEX/RH2
2:GFP)pt108b transgenic zebrafish, in which the extracellular domain of Crb2b
short form (Crb2b-sfEX) is expressed in the retina as a secreted protein, which
disrupts the planar organization of RGB cones (red, green, and blue) by
interfering with Crb2a/2b-based cone-cone adhesion. Methods: We used standard
morphometric techniques to assess age-related changes in retinal cell densities
in adult zebrafish (3 to 27 months old), and to assess effects of the Crb2b-sfEX
transgene on retinal structure and photoreceptor densities. Linear cell densities
were measured in all retinal layers in radial sections with JB4-Feulgen
histology. Planar (surface) densities of cones were determined in retinal flat
mounts. Cell counts from wild-type and pt108b transgenic fish were compared with
both a "photoreceptor maintenance index" and statistical analysis of cell counts.
Results: Age-related changes in retinal cell linear densities and cone
photoreceptor planar densities in wild-type adult zebrafish provided a baseline
for analysis. Expression of Crb2b-sfEX caused progressive and selective
degeneration of RGB cones, but had no effect on ultraviolet-sensitive (UV) cones,
and increased numbers of rod photoreceptors. Conclusions: These differential
responses of RGB cones, UV cones, and rods to sustained exposure to Crb2b-sfEX
suggest that Crb-based photoreceptor maintenance mechanisms are highly selective.
PMID- 29368009
TI - Percutaneous nephrolithotomy versus retrograde intrarenal surgery for pediatric
patients with upper urinary stones: a systematic review and meta-analysis.
AB - The objective of this study is to compare the efficacy and safety of the
percutaneous nephrolithotomy (PCNL) and retrograde intrarenal surgery (RIRS) in
surgical treatment of pediatric patients with upper urinary stones based on the
published literature. A comprehensive literature search of Pubmed, Embase,
Cochrane Library and CNKI was conducted to identify studies comparing outcomes of
PCNL and RIRS for treating pediatric patients with upper urinary stones before
May 2017. A total of 11 studies, including one randomized controlled trial, four
retrospective case-control studies and six case series studies, containing 822
children with upper urinary stones were included in this systematic review. Five
of 11 including studies, containing 474 children with upper urinary stones were
included in a meta-analysis. Significantly shorter hospital stay and fluoroscopy
time were needed by RIRS than PCNL (WMD: 1.44 days, 95% CI 0.85, 2.04; p <
0.00001) and (WMD: 72.72 s, 95% CI 52.13, 93.31; p < 0.00001). The overall
complications were higher for PCNL compared with RIRS (OR 1.70, 95% CI 1.02,
2.84; p = 0.04). However, the minor (Clavien I or II) and major (Clavien III-V)
complications rates in the PCNL group were higher compared with RIRS group but
the differences were not statistically significant, respectively (p > 0.05). RIRS
also benefits from significantly less need for blood transfusion (OR 9.09, 95% CI
1.66, 49.78; p = 0.01). No significant differences were found in initial, final
stone-free rate, and operative times (p > 0.05). Our analysis suggested that RIRS
turns out to be a safe and feasible procedure alternative to PCNL for children
with upper urinary stones in selected cases. Because of the inherent limitations
of the included studies, further large sample, prospective, multi-centric and
randomized control trials should be undertaken to confirm our findings.
PMID- 29368006
TI - The Adenosine Receptor Antagonist, 7-Methylxanthine, Alters Emmetropizing
Responses in Infant Macaques.
AB - Purpose: Previous studies suggest that the adenosine receptor antagonist, 7
methylxanthine (7-MX), retards myopia progression. Our aim was to determine
whether 7-MX alters the compensating refractive changes produced by defocus in
rhesus monkeys. Methods: Starting at age 3 weeks, monkeys were reared with -3
diopter (D; n = 10; 7-MX -3D/pl) or +3D (n = 6; 7-MX +3D/pl) spectacles over
their treated eyes and zero-powered lenses over their fellow eyes. In addition,
they were given 100 mg/kg of 7-MX orally twice daily throughout the lens-rearing
period (age 147 +/- 4 days). Comparison data were obtained from lens-reared
controls (-3D/pl, n = 17; +3D/pl, n = 9) and normal monkeys (n = 37) maintained
on a standard diet. Refractive status, corneal power, and axial dimensions were
assessed biweekly. Results: The -3D/pl and +3D/pl lens-reared controls developed
compensating myopic (-2.10 +/- 1.07 D) and hyperopic anisometropias (+1.86 +/-
0.54 D), respectively. While the 7-MX +3D/pl monkeys developed hyperopic
anisometropias (+1.79 +/- 1.11 D) that were similar to those observed in +3D/pl
controls, the 7-MX -3D/pl animals did not consistently exhibit compensating
myopia in their treated eyes and were on average isometropic (+0.35 +/- 1.96 D).
The median refractive errors for both eyes of the 7-MX -3D/pl (+5.47 D and +4.38
D) and 7-MX +3D/pl (+5.28 and +3.84 D) monkeys were significantly more hyperopic
than that for normal monkeys (+2.47 D). These 7-MX-induced hyperopic ametropias
were associated with shorter vitreous chambers and thicker choroids. Conclusions:
In primates, 7-MX reduced the axial myopia produced by hyperopic defocus,
augmented hyperopic shifts in response to myopic defocus, and induced hyperopia
in control eyes. The results suggest that 7-MX has therapeutic potential in
efforts to slow myopia progression.
PMID- 29368008
TI - [Cancer patients in operative intensive care medicine].
AB - Cancer is one of the leading causes of death worldwide. New targeted and
individualized therapies and drugs provide a survival benefit for an increasing
number of patients, but can also cause severe side effects. An increasing number
of oncology patients are admitted to intensive care units (ICU) because of cancer
related complications or treatment-associated side effects. Postoperative care,
respiratory distress and sepsis are the leading causes for admission. Tumor mass
syndromes and tumor lysis may require urgent treatment. Traditional anticancer
chemotherapy is associated with infections and immunosuppression. Newer agents
are generally well-tolerated and side effects are mild or moderate, but
overwhelming inflammation and autoimmunity can also occur. Cellular treatment,
such as with chimeric antigen receptor modified T-cells, monoclonal and
bispecific antibodies targeting immune effectors and tumor cells are associated
with cytokine release syndrome (CRS) with hypotension, skin reactions and fever.
It is related to excessively high levels of inflammatory cytokines. Immune
checkpoint inhibitors can lead to immune-related adverse events (IRAEs), such as
colitis and endocrine disorders. Noninfectious respiratory complications, such as
pneumonitis can also occur. Recent studies revealed that short-term and medium
term survival of cancer patients is better than previously expected. In this
review article we summarize diagnostic and treatment strategies for common life
threatening complications and emergencies requiring ICU admission. Furthermore,
strategies for rational admission policies are presented.
PMID- 29368010
TI - Importance of whole-body imaging with complete coverage of hands and feet in
alveolar rhabdomyosarcoma staging.
AB - BACKGROUND: Alveolar rhabdomyosarcoma commonly arises in the extremities and is
characterized by aggressive biology and high frequency of metastases. Whole-body
imaging is increasingly employed in pediatric oncology but not recommended as
standard in the staging of soft-tissue sarcomas. OBJECTIVE: After observing
patients with a large symptomatic alveolar rhabdomyosarcoma lesion and a smaller
silent lesion in the more distal part of an extremity we sought to estimate the
frequency of this constellation. MATERIALS AND METHODS: We retrospectively
evaluated the data of prospectively registered paediatric patients (age <21
years) with alveolar rhabdomyosarcoma in the SoTiSaR (Soft Tissue Sarcoma
Registry) of the Cooperative Weichteilsarkom Studiengruppe (CWS) 09/2011-04/2015
with regard to whole-body imaging. RESULTS: Seventy-five patients were eligible.
Images of 57 patients had been submitted for reference consultation, including 80
whole-body examinations in 36 patients. Among them were 5 patients (14%, 95%
confidence interval 3-25%) who had been diagnosed because of a symptomatic lesion
while an additional silent lesion in the distal part of an extremity had remained
unnoticed and had only been detected by later whole-body imaging. It is
noteworthy that in 42 (53%) of all 80 whole-body examinations, the hands and feet
had been only partially covered or completely excluded. CONCLUSION: In alveolar
rhabdomyosarcoma silent lesions can be overlooked when the distal parts of the
limbs are not thoroughly examined and not completely covered by imaging. Missing
them influences treatment decisions and prognosis. Our results should be
considered when evaluating the potential role of whole-body imaging in
rhabdomyosarcoma.
PMID- 29368011
TI - Technical aspects of abdominal ultrasound and color Doppler assessment of bowel
viability in necrotizing enterocolitis.
PMID- 29368012
TI - Correction to: Ionizing radiation from computed tomography versus anesthesia for
magnetic resonance imaging in infants and children: patient safety
considerations.
AB - The published version of this article incorrectly lists Dr. Joseph P. Cravero in
the Department of Radiology at Boston Children's Hospital. Dr. Cravero's correct
affiliation is given below.
PMID- 29368014
TI - ?
PMID- 29368013
TI - [Intensive care medicine and economics].
PMID- 29368015
TI - [Evidence-based psychotherapy of addictive disorders].
AB - BACKGROUND: Addictive disorders form the group of the most common mental
disorders. A wide range of psychotherapeutic treatment interventions exists;
however, the proportion of patients receiving evidence-based interventions or
psychotherapeutic treatment in outpatient care is very low. OBJECTIVE: The aim of
the present review was a systematic reassessment of the empirical evidence for
the efficacy of the different forms of psychotherapeutic treatment,
identification of new effective interventions and derivation of recommendations
for treatment practitioners. MATERIAL AND METHODS: A comprehensive literature
search in a multistage method in the relevant national and international data
banks was conducted. Subsequent analysis of topical guidelines, systematic
reviews and original studies about addictions and therapy was performed. RESULTS:
A total of 3 topical national guidelines, 2 reviews and 16 original studies could
be identified. In particular, cognitive behavioral therapy, behavioral
interventions and motivational interventions could be identified as evidence
based interventions for the treatment of addictive disorders. Hypnotherapy can be
recommended alternatively for patients dependent on tobacco. Also interesting for
practitioners could be new treatment methods, such as neurocognitive training and
mindfulness-based interventions. CONCLUSION: At present, although of high
quality, results from existing studies are sometimes inconsistent or are
numerically insufficient with respect to special treatment options. Future
studies are warranted with respect to different substance use disorders and
further patient groups.
PMID- 29368017
TI - [Increasing lipid exudation and vascular alterations associated with a choroidal
nevus].
PMID- 29368016
TI - Differential proteomic analysis reveals sequential heat stress-responsive
regulatory network in radish (Raphanus sativus L.) taproot.
AB - MAIN CONCLUSION: Differential abundance protein species (DAPS) involved in
reducing damage and enhancing thermotolerance in radish were firstly identified.
Proteomic analysis and omics association analysis revealed a HS-responsive
regulatory network in radish. Heat stress (HS) is a major destructive factor
influencing radish production and supply in summer, for radish is a cool season
vegetable crop being susceptible to high temperature. In this study, the proteome
changes of radish taproots under 40 degrees C treatment at 0 h (Control), 12 h
(Heat12) and 24 h (Heat24) were analyzed using iTRAQ (Isobaric Tag for Relative
and Absolute Quantification) approach. In total, 2258 DAPS representing 1542
differentially accumulated uniprotein species which respond to HS were
identified. A total of 604, 910 and 744 DAPS was detected in comparison of
Control vs. Heat12, Control vs. Heat24, and Heat12 vs. Heat24, respectively. Gene
ontology and pathway analysis showed that annexin, ubiquitin-conjugating enzyme,
ATP synthase, heat shock protein (HSP) and other stress-related proteins were
predominately enriched in signal transduction, stress and defense pathways,
photosynthesis and energy metabolic pathways, working cooperatively to reduce
stress-induced damage in radish. Based on iTRAQ combined with the transcriptomics
analysis, a schematic model of a sequential HS-responsive regulatory network was
proposed. The initial sensing of HS occurred at the plasma membrane, and then key
components of stress signal transduction triggered heat-responsive genes in the
plant protective metabolism to re-establish homeostasis and enhance
thermotolerance. These results provide new insights into characteristics of HS
responsive DAPS and facilitate dissecting the molecular mechanisms underlying
heat tolerance in radish and other root crops.
PMID- 29368018
TI - [Orbital screwdriver injury in a toddler].
AB - Presentation of a patient with an orbital intracranial penetrating screwdriver
injury. The patient had been playing with the screwdriver at the time of the
accident, he fell and the screwdriver penetrated his right orbit. Imaging
revealed the position of the foreign body, which went from the medial orbital
wall, extraconal and medial of the right internal carotid artery, through the
sella turcica to the dorsum sellae. The patient had no intracranial hemorrhage.
There was hardly any bleeding. The surgical removal of the screwdriver was
carried out without complications.
PMID- 29368019
TI - [Unclear uveal tumor with bleeding].
PMID- 29368020
TI - Resonance Raman spectroscopy of Fe-S proteins and their redox properties.
AB - Resonance Raman spectra of Fe-S proteins are sensitive to the cluster type,
structure and symmetry. Furthermore, bands that originate from bridging and
terminal Fe-S vibrations in the 2Fe-2S, 3Fe-4S and 4Fe-4S clusters can be
sensitively distinguished in the spectra, as well as the type of non-cysteinyl
coordinating ligands, if present. For these reasons, resonance Raman spectroscopy
has been playing an exceptionally active role in the studies of Fe-S proteins of
diverse structures and functions. We provide here a concise overview of the
structural information that can be obtained from resonance Raman spectroscopy on
Fe-S clusters, and in parallel, refer to their thermodynamic properties (e.g.,
reduction potential), which together define the physiological roles of Fe-S
proteins. We demonstrate how the knowledge gained over the past several decades
on simple clusters nowadays enables studies of complex structures that include Fe
S clusters coupled to other centers and transient processes that involve cluster
inter-conversion, biogenesis, disassembly and catalysis.
PMID- 29368021
TI - Access to Safe, Timely, and Affordable Surgical Care in Uganda: A Stratified
Randomized Evaluation of Nationwide Public Sector Surgical Capacity and Core
Surgical Indicators.
AB - BACKGROUND: Access to safe surgery is critical to health, welfare, and economic
development. In 2015, the Lancet Commission on Global Surgery recommended that
all countries collect surgical indicators to lend insight into improving surgical
care. No nationwide high-quality data exist for these metrics in Uganda. METHODS:
A standardized quantitative hospital assessment and a semi-structured interview
were administered to key stakeholders at 17 randomly selected public hospitals.
Hospital walk-throughs and retrospective reviews of operative logbooks were
completed. RESULTS: This study captured information for public hospitals serving
64.0% of Uganda's population. On average, <25% of the population had 2 h access
to a surgically capable facility. Hospitals averaged 257 beds/facilities and
there were 0.2 operating rooms per 100,000 people. Annual surgical volume was
144.5 cases per 100,000 people per year. Surgical, anesthetic, and obstetrician
physician workforce density was 0.3 per 100,000 people. Most hospitals reported
having electricity, oxygen, and blood available more than half the time and
running water available at least three quarters of the time. In total, 93.8% of
facilities never had access to a CT scan. Sterile gloves, nasogastric tubes, and
Foley catheters were frequently unavailable. Uniform outcome reporting does not
exist, and the WHO safe surgery checklist is not utilized. CONCLUSION: The
Ugandan public hospital system does not meet LCoGS targets for surgical access,
workforce, or surgical volume. Critical policy and programmatic developments are
essential to build surgical capacity and facilitate provision of safe, timely,
and affordable surgical care. Surgery must become a public health priority in
Uganda and other low resource settings.
PMID- 29368022
TI - Cost-effective downstream processing of recombinantly produced pexiganan peptide
and its antimicrobial activity.
AB - Antimicrobial peptides (AMPs) have significant potential as alternatives to
classical antibiotics. However, AMPs are currently prepared using processes which
are often laborious, expensive and of low-yield, thus hindering their research
and application. Large-scale methods for production of AMPs using a cost
effective approach is urgently required. In this study, we report a scalable,
chromatography-free downstream processing method for producing an antimicrobial
peptide, pexiganan, using recombinant Escherichia coli (E. coli). The four helix
bundle structure of the unique carrier protein DAMP4 was used to facilitate a
simple and cheap purification process based on a selective thermochemical
precipitation. Highly pure fusion protein DAMP4var-pexiganan was obtained at high
yield (around 24 mg per 800 mL cell culture with a final cultivation OD600 ~ 2).
The purification yield of DAMP4var-pexiganan protein is increased twofold with a
72.9% of the protein recovery in this study as compared to the previous
purification processes (Dwyer in Chem Eng Sci 105:12-21, 2014). The antimicrobial
peptide pexiganan was released and activated from the fusion protein by a simple
acid-cleavage. Isoelectric precipitation was then applied to separate the
pexiganan peptide from the DAMP4var protein carrier. The final yield of pure bio
produced pexiganan was 1.6 mg from 800 mL of bacterial cell culture (final
cultivation OD600 ~ 2). The minimum bactericidal concentration (MBC) test
demonstrated that the bio-produced pexiganan has the same antimicrobial activity
as chemically synthesized counterpart. This novel downstream process provides a
new strategy for simple and probable economic production of antimicrobial
peptides.
PMID- 29368024
TI - Metagenomic Analysis of the Jinding Duck Fecal Virome.
AB - Ducks play an important role in transmitting and maintaining mammalian viruses in
nature, and are a reservoir host of many animal viruses. We analyzed the fecal
virome of four strains (A, B, C, and D) of ducks living in isolation by using
metagenomic analysis. The feces of the ducks tested contained 18 animal virus
families. The percentage values of RNA virus reads, compared to the total animal
virus reads in each of the four strains were 96.96% (A), 97.30% (B), 98.01 (C),
and 67.49% (D), and were mainly from Orthomyxoviridae, Mimiviridae, Bunyaviridae,
Picobirnaviridae, and Reoviridae. Meanwhile, the minority of DNA virus reads were
related to Herpesviridae, Adenoviridae, Iridoviridae, and other, low abundance
viral families. The percentage values of Orthomyxoviridae, Mimiviridae,
Bunyaviridae, Picobirnaviridae, and Herpesviridae reads were not significantly
different among strains A, B, and C; however, there were marked differences in
the abundance of these reads in strain D. In summary, this study provides an
unbiased examination of the viral diversity in the feces of four strains of ducks
in specific-pathogen-free periods, and highlights the variation in the percentage
of viral families present. These results can be used as a reference for detecting
duck viral pathogens and predicting zoonotic potential.
PMID- 29368027
TI - [Implementation of interdisciplinary multimodal pain therapy according to OPS 8
918 : Recommendations of the ad hoc commission for interdisciplinary multimodal
pain therapy of the German Pain Association].
AB - With the implementation of the German diagnosis-related groups (DRG)
reimbursement system in hospitals, interdisciplinary multimodal pain therapy was
incorporated into the associated catalogue of procedures (OPS 8-918). Yet, the
presented criteria describing the procedure of interdisciplinary multimodal pain
therapy are neither precise nor unambiguous. This has led to discrepancies in the
interpretation regarding the handling of the procedure-making it difficult for
medical services of health insurance companies to evaluate the accordance between
the delivered therapy and the required criteria. Since the number of pain units
has increased in recent years, the number of examinations by the medical service
of health insurance companies has increased. This article, published by the ad
hoc commission for interdisciplinary multimodal pain therapy of the German Pain
Association, provides specific recommendations for correct implementation of
interdisciplinary multimodal pain therapy in routine care. The aim is to achieve
a maximum level of accordance between health care providers and the requirements
of the medical examiners from health insurance companies. More extensive criteria
regarding interdisciplinary multimodal pain treatment in an in-patient setting,
especially for patients with chronic and complex pain, are obviously needed.
Thus, the authors further discuss specific aspects towards further development of
the OPS-code. However, the application of the OPS-code still leaves room
regarding treatment intensity and process quality. Therefore, the delivery of
pain management in sufficient quantity and quality still remains the
responsibility of each health care provider.
PMID- 29368023
TI - Variability in the prescription of biological drugs in rheumatoid arthritis in
Spain: a multilevel analysis.
AB - To describe variability in the prescription of biologics (B-DMARDs) for patients
with rheumatoid arthritis (RA) in hospitals in Spain, and to explore which
characteristics of the patient, the doctor and the hospital are associated with
this variability. Cross-sectional multicentric study in 46 rheumatology services
of the National Health System. Medical records of 1188 randomly selected patients
were reviewed. The association of each variable with B-DMARD prescription was
analyzed using simple logistic regressions. Multilevel logistic regression models
were created to analyze variability among centers. 36.8% of patients had received
B-DMARD. The proportion of patients being treated with B-DMARDs varied between
3.6 and 71.4% depending on the center. Association of prescription of B-DMARD
with patient age (OR = 0.958, 95% CI = 0.947-0.968, p < 0.001), longer disease
duration (OR = 1.05, 95% CI = 1.032-1.069, p < 0.001), higher CRP levels (OR =
1.022, 95% CI = 1.003-1.042, p = 0.023), and higher number of hospitalizations
(OR = 1.286, 95% CI = 1.145-1.446, p < 0.001) was observed. With regard to the
center characteristics, the existence of telephone consultations (OR = 1.438, 95%
CI = 1.037-1.994, p = 0.03) and the number of beds (OR = 1.045, 95% CI = 1.001
1.091, p = 0.044) were positively associated with prescription of B-DMARDs.
Patient variables explained 34.04% of the variability among centers. By adjusting
for patient and hospital characteristics, it went up to 83.71%. There is
variability in the prescription of B-DMARDs for patients with RA among hospitals
which is associated, to a greater extent, with the center characteristics. B
DMARDs prescription could be partly explained by other factors not covered by the
current study including the provider's attitudes towards biologics and other
hospital characteristics.
PMID- 29368026
TI - Fungal Diversity of Human Gut Microbiota Among Eutrophic, Overweight, and Obese
Individuals Based on Aerobic Culture-Dependent Approach.
AB - Fungi have a complex role in the intestinal tract, influencing health and
disease, with dysbiosis contributing to obesity. Our objectives were to
investigate fungal diversity in human gut microbiota among eutrophic, overweight,
and obese. Epidemiological and nutritional information were collected from adult
individuals, as well as stool samples processed for selective fungi isolation and
identification by matrix-assisted laser desorption ionization time-of-flight mass
spectrometry (yeasts) or microculture (filamentous fungi). Further 18S rDNA
sequencing was performed to confirm identification. The mean count of fungi was
241 CFU/g of feces. Differences in the population level of the filamentous fungi
were observed within eutrophic and obese groups. Overall, 34 genera were
identified. The predominant phylum was Ascomycota with 20 different genera,
followed by Basidiomycota and Zygomycota. As for Ascomycota, the most prevalent
species were Paecilomyces sp., Penicillium sp., Candida sp., Aspergillus sp.,
Fonsecaea sp., and Geotrichum sp. (76.39, 65.28, 59.72, 58.33, 12.50, and 9.72%,
respectively). As for Basidiomycota, Trichosporon sp. and Rhodotorula sp. were
the most prevalent (30.56 and 15.28%, respectively), and for Zygomycota, Rhizopus
sp. and Mucor sp. were the most numerous (15.28 and 9.72%, respectively). As
expected there is a mycobiota shift towards obesity, with slightly higher
diversity associated to eutrophic individuals. This mycobiota shift seems also to
be related to the nutritional behavior of the individuals, as observed that the
macronutrients intake may be positively related to the different fungi
occurrences. Other studies are needed to better understand relationships between
mycobiota and obesity, which could be used in future obesity treatments.
PMID- 29368025
TI - The Changes of Colonic Bacterial Composition and Bacterial Metabolism Induced by
an Early Food Introduction in a Neonatal Porcine Model.
AB - The impact of an early food introduction on the microbiota composition and
microbial metabolism in colon was investigated using a new-born piglet model. At
day 4 after birth, 10 litters of piglets were randomly allocated to a sow-rearing
group (SR group) and a milk-replacer supplementing group (MRS group) (n = 5). A
commercial milk replacer was given to the suckling piglets in the MRS group from
the 4th day to the 28th day. Pyrosequencing of the V3-V4 region of the 16S rRNA
genes showed that the milk replacer supplementation significantly decreased the
relative abundance of Lactobacillus, Clostridium XI, Blautia, Clostridium
sensustricto and Escherichia (p = 0.08) in the colon of the piglets, but
significantly increased the relative abundance of Paraprevotella on the 28th day.
In addition, the abundance of Rumminococcus, Clostridium XlVa, Succiniclasticum,
Clostridium IV tended to increase in the MRS group. The concentrations of
acetate, propionate, butyrate, valerate and branch-chain fatty acids (BCFAs) in
the colonic digesta increased with the milk replacer supplementary in the MRS
group. In addition, the milk replacer supplementary increased the expression
level of Toll-like receptor 4 (TLR4), but decreased the expression level of
interleukin-6 (IL-6) in the colonic mucosa of the piglets. In conclusion, an
early food introduction can influence the gut bacterial composition and
metabolism, and may further affect the intestinal health by modifying the gene
transcription related to the colonic function. These findings may provide some
guidelines for the early nutrition supplementation for infants during the
lactation period.
PMID- 29368029
TI - An investigation into the nutritional status of patients receiving an Enhanced
Recovery After Surgery (ERAS) protocol versus standard care following
Oesophagectomy.
AB - PURPOSE: Enhanced Recovery After Surgery (ERAS) protocols have been effectively
expanded to various surgical specialities including oesophagectomy. Despite
nutrition being a key component, actual nutrition outcomes and specific
guidelines are lacking. This cohort comparison study aims to compare nutritional
status and adherence during implementation of a standardised post-operative
nutritional support protocol, as part of ERAS, compared to those who received
usual care. METHODS: Two groups of patients undergoing resection of oesophageal
cancer were studied. Group 1 (n = 17) underwent oesophagectomy between Oct 2014
and Nov 2016 during implementation of an ERAS protocol. Patients in group 2 (n =
16) underwent oesophagectomy between Jan 2011 and Dec 2012 prior to the
implementation of ERAS. Demographic, nutritional status, dietary intake and
adherence data were collected. Ordinal data was analysed using independent t
tests, and categorical data using chi-square tests. RESULTS: There was no
significant difference in nutrition status, dietary intake or length of stay
following implementation of an ERAS protocol. Malnutrition remained prevalent in
both groups at day 42 post surgery (n = 10, 83% usual care; and n = 9, 60% ERAS).
A significant difference was demonstrated in adherence with earlier initiation of
oral free fluids (p <0.008), transition to soft diet (p <0.004) and continuation
of jejunostomy feeds on discharge (p <0.000) for the ERAS group. CONCLUSION: A
standardised post-operative nutrition protocol, within an ERAS framework, results
in earlier transition to oral intake; however, malnutrition remains prevalent
post surgery. Further large-scale studies are warranted to examine individualised
decision-making regarding nutrition support within an ERAS protocol.
PMID- 29368028
TI - Work Disability Trajectories Among Individuals with a Sick-Leave Spell Due to
Depressive Episode >= 21 Days: A Prospective Cohort Study with 13-Month Follow
Up.
AB - Background Despite the increasing pattern of sick leave associated with
depression in western countries, little is known about future work disability
patterns among such sickness absentees. Aim To identify work disability (sick
leave and disability pension) trajectories after the 21st day of a sick-leave
spell due to depressive episode, and to investigate sociodemographic and
morbidity characteristics of individuals in different trajectory groups. Methods
This is a prospective cohort study using Swedish nationwide register data. We
studied future work disability days (mean net days of sick leave and disability
pension per month) among all individuals with a new sick-leave spell due to
depressive episode (ICD-10 F32) >= 21 days during the first 6 months of 2010 (n =
10,327). Using group-based trajectory modeling, we identified work disability
trajectories for the following 13 months. BIC value, group sizes, and average
group probability were used to determine number of trajectories. Sociodemographic
and morbidity characteristics were compared by chi2 tests. Results We identified
six trajectories of work disability: "decrease to 0 after 4 months" (43% of the
cohort); "decrease to 0 after 9 months" (22%); "constant high" (11%); "decrease,
then high increase" (9%); "slow decrease" (9%); and "decrease, then low increase"
(6%). Those in the groups "constant high" and "decrease then high increase" were
older and had the highest proportion with sick leave the year before. Conclusion
A majority of the cohort (65%) had no work disability by the end of follow up.
Sociodemographic and morbidity characteristics differed between trajectory groups
among people on sick leave due to a depressive episode.
PMID- 29368030
TI - Influence of family on expected benefits of complementary and alternative
medicine (CAM) in cancer patients.
AB - BACKGROUND: Cancer patients often use complementary and alternative medicine
(CAM) based on recommendations from family. However, the relationship between
family endorsement of CAM and the patient's expectation of its benefits has never
been quantified. METHODS: Between 2010 and 2011, we conducted a cross-sectional
survey study among patients with a diagnosis of cancer recruited from thoracic,
breast, and gastrointestinal medical oncology clinics at a single academic cancer
center. We performed multivariate linear regression analyses to evaluate the
relationship between perceived family endorsement of and expected benefits from
CAM, adjusting for covariates. RESULTS: Among the 962 participants, 303 (31.3%)
reported family endorsement of CAM use. Younger patients and those who had
college or higher education were more likely to report family endorsement (both p
< 0.05). Patients with family support had expectation scores that were 15.9
higher than patients without family support (coefficient 15.9, 95% CI 13.5, 18.2,
p < 0.001). Participants with family encouragement of CAM use were also more
likely to expect CAM to cure their cancer (12 vs. 37%) and prolong their life (24
vs. 61%). These relationships remained highly significant after adjusting for
covariates). CONCLUSIONS: Family endorsement of CAM use is strongly associated
with patient expectation of its clinical efficacy, including expectations for
cure and improved survival. These findings underscore the importance of including
family in counseling and education on CAM use in order to achieve realistic
patient expectations, maximize benefits, and avoid potential medical adverse
effects through herb-drug interactions or rejections of conventional care.
PMID- 29368031
TI - Efficacy of Partial- Versus Full-Sling Acellular Dermal Matrix Use in Implant
Based Breast Reconstruction: A Head-to-Head Comparison.
AB - BACKGROUND: Acellular dermal matrix (ADM), popularly used in tissue expander
based breast reconstruction, is applied either as a partial or full sling, but
without any consensus regarding which method of application produces better
outcomes. We aimed to compare the outcomes between two patient groups who
underwent tissue expander-based breast reconstruction using these techniques.
METHODS: A retrospective review was conducted for consecutive patients who
underwent immediate two-stage implant-based breast reconstruction using ADM
between January 2013 and June 2016. They were categorized into two cohorts:
cohort 1 included patients in whom ADM was applied using the partial-sling
technique, insetting it obliquely after releasing the pectoralis major muscle
from its costal origin, and cohort 2 included those who underwent a full-sling
technique, insetting it transversely after releasing the muscle from its costal
and lower sternal origin. Postoperative complications and aesthetic outcomes were
compared between the two groups. RESULTS: We analysed 329 cases (167 in cohort 1
and 162 in cohort 2) with similar baseline characteristics in both cohorts.
Reconstruction failure occurred in 2.4% of overall patients. The rates of each
and overall acute complications did not differ significantly between the cohorts.
Cohort 2 showed significantly lower rates of tissue expander displacement and
malposition following the first-stage operation and rippling following the second
stage operation, differences that retained the influences in multivariate
analyses. Higher aesthetic scores were obtained in cohort 2. CONCLUSION: Using
the full-sling ADM might reduce unintended migration of prostheses and enable
proper tissue expansion, resulting in better overall outcomes without increasing
morbidities. LEVEL OF EVIDENCE III: This journal requires that authors assign a
level of evidence to each article. For a full description of these Evidence-Based
Medicine ratings, please refer to the Table of Contents or the online
Instructions to Authors www.springer.com/00266 .
PMID- 29368032
TI - Enhanced production of anti-PD1 antibody in CHO cells through transient co
transfection with anti-apoptotic genes Bcl-x L and Mcl-1.
AB - Apoptosis has a negative impact on the cell survival state during cell
cultivation. To optimize mammalian cell culture for production of
biopharmaceuticals, one of the important approaches is to extend cell life
through over-expression of anti-apoptotic genes. Here, we reported a cost
effective process to enhance cell survival and production of an antibody through
transient co-transfection with anti-apoptotic genes Bcl-x L or Mcl-1 in Chinese
hamster ovary (CHO) cells with polyethylenimine (PEI). Under the optimal
conditions, it showed reduced levels of apoptosis and improved cell viability
after co-transfected with Bcl-x L or Mcl-1. The overall production yield of the
antibody anti-PD1 increased approximately 82% in CHO cells co-transfected with
Bcl-x L , and 34% in CHO cells co-transfected with Mcl-1. This work provides an
effective way to increase viability of host cells through delaying apoptosis
onset, thus, raise production yield of biopharmaceuticals without the process of
generating stable cell lines and subsequent screening.
PMID- 29368033
TI - Development and performance of npde for the evaluation of time-to-event models.
AB - PURPOSE: Normalised prediction distribution errors (npde) are used to graphically
and statistically evaluate mixed-effect models for continuous responses. In this
study, our aim was to extend npde to time-to-event (TTE) models and evaluate
their performance. METHODS: Let V denote a dataset with censored TTE
observations. The null hypothesis (H0) is that observations in V can be described
by model M. We extended npde to TTE models using imputations to take into account
censoring. We then evaluated their performance in terms of type I error and power
to detect model misspecifications for TTE data by means of a simulation study
with different sample sizes. RESULTS: Type I error was found to be close to the
expected 5% significance level for all sample sizes tested. The npde were able to
detect misspecifications in the baseline hazard as well as in the link between
the longitudinal variable and the survival function. The ability to detect model
misspecifications increased as the difference in the shape of the survival
function became more apparent. As expected, the power also increased as the
sample size increased. Imputing the censored events tended to decrease the
percentage of rejections. CONCLUSIONS: We have shown that npde can be readily
extended to TTE data and that they perform well with an adequate type I error.
PMID- 29368034
TI - Transgenerational Effects of Toxicants: An Extension of the Daphnia 21-day
Chronic Assay?
AB - The assessment of transgenerational effects should be incorporated in standard
chronic toxicity protocols for the sake of a realistic extrapolation of
contaminant effects to the population level. We propose a simple add-on to the
standard 21-day chronic Daphnia magna assay, allowing the assessment of the
reproductive performance of the offspring (F1 generation) born from the first
clutch of the parental (F0) generation. The extended generational assay was
performed simultaneously with the standard reproduction assay. With this design,
we evaluated the lethal, reproductive, and transgenerational effects of four
widespread and extensively used substances: a biocide/anti-fouling (copper
sulphate), an industrial oxidizing agent (potassium dichromate), a pharmaceutical
(paracetamol), and a quaternary ammonium compound (benzalkonium chloride).
Benzalkonium chloride was the most toxic in terms of lethality, whereas
paracetamol, copper sulphate, and potassium dichromate caused deleterious effects
in the reproductive performance of exposed D. magna. Adverse effects in the
fitness of the daughter (F1) generation were observed in the case of maternal
exposure to paracetamol and copper sulphate, although they were not very
pronounced. These findings highlight the usefulness of our approach and reinforce
the view-shared by other authors-of the need for a generalised formal assessment
of the transgenerational effects of pollutants.
PMID- 29368035
TI - Alteration of putaminal fractional anisotropy in Parkinson's disease: a
longitudinal diffusion kurtosis imaging study.
AB - PURPOSE: In Parkinson's disease (PD), pathological microstructural changes occur
that may be detected using diffusion magnetic resonance imaging (dMRI). However,
there are few longitudinal studies that explore the effect of disease progression
on diffusion indices. METHODS: We prospectively included 76 patients with PD and
38 healthy controls (HC), all of whom underwent diffusion kurtosis imaging (DKI)
as part of the prospective Swedish BioFINDER study at baseline and 2 years later.
Annualized rates of change in DKI parameters, including fractional anisotropy
(FA), mean diffusivity (MD), and mean kurtosis (MK), were estimated in the gray
matter (GM) by placing regions of interest (ROIs) in the basal ganglia and the
thalamus, and in the white matter (WM) by tract-based spatial statistics (TBSS)
analysis. RESULTS: When adjusting for potential confounding factors (age, gender,
baseline-follow-up interval, and software upgrade of MRI scanner), only a
decrease in FA in the putamen of PD patients (beta = - 0.248, P < .01) over 2
years was significantly different from the changes observed in HC over the same
time period. This 2-year decrease in FA in the putamen in PD correlated with
higher L-dopa equivalent dose at baseline (Spearman's rho = .399, P < .0001).
CONCLUSION: The study indicates that in PD microstructural changes in the putamen
occur selectively over a 2-year period and can be detected with DKI.
PMID- 29368036
TI - Transatrial repair of post-infarction ventricular septal defect.
AB - A 72-year-old woman presented with a post-infarction ventricular septal defect,
presumably within 10 days after the onset of acute myocardial infarction. An
emergency surgery was performed because of hemodynamic instability. Using the
sandwich patch technique, we approached the posteriorly oriented defect through a
right atriotomy and detached tricuspid valve. By avoiding either left or right
ventriculotomy, additional damage to the already infarcted ventricle and risk of
bleeding were avoided. The patient showed an uneventful postoperative recovery,
with no residual shunt detected. A transatrial approach combined with a sandwich
patch technique is a good alternative in cases where the pathological anatomy is
suitable.
PMID- 29368037
TI - Mycoplasma hominis impacts gene expression in Trichomonas vaginalis.
AB - In Europe, up to 90% of isolated Trichomonas vaginalis strains are naturally
infected with Mycoplasma hominis, a facultative pathogen of the human genital
tract. The consequences of this endosymbiosis are not yet well understood. The
aim of the current study was to evaluate the impact of natural and artificial
infections with M. hominis on the RNA expression levels of metronidazole
susceptibility-associated genes of T. vaginalis. Three T. vaginalis strains
(TVSS10-, TVSS25-, G3) without M. hominis, as well as the same strains naturally
(TVSS10+, TVSS25+) and artificially (G3-MhSS25, TVSS25-MhSS25) infected with M.
hominis, were investigated for their expression profiles of three genes
associated with metronidazole resistance (ferredoxin, flavin reductase 1 and
pyruvate:ferredoxin oxidoreductase). The minimal inhibitory concentrations (MICs)
of metronidazole were evaluated for all combinations and the respective M.
hominis-free T. vaginalis strains were used as controls. The sole presence of M.
hominis led to a down-regulation of metronidazole susceptibility-associated genes
in all T. vaginalis strains tested. Interestingly, the effect was more prominent
in the artificial symbioses. Moreover, a twofold enhancement of metronidazole
tolerability was observed in three infected T. vaginalis strains, compared to the
respective strains without M. hominis. In conclusion, M. hominis had an impact on
gene expression in all T. vaginalis strains and on metronidazole MIC in all but
one strain tested.
PMID- 29368038
TI - Green drugs in the fight against Anisakis simplex-larvicidal activity and
acetylcholinesterase inhibition of Origanum compactum essential oil.
AB - Anisakiasis is a fish-borne parasitic disease caused by the consumption of raw or
undercooked fish, as well as cephalopods, contaminated by third instar larvae
(L3) of species belonging to the genus Anisakis (Anisakidae). Origanum compactum
is a small herbaceous aromatic plant endemic to Spain and Morocco. In Morocco,
the plant is used under infusion to treat heart diseases and intestinal pains or
as preservative for foodstuffs. This is the first time that the O. compactum
essential oil is tested against the parasitic nematode Anisakis simplex. The
phytochemical analysis by GC-MS revealed carvacrol (50.3%) and thymol (14.8%) as
the major oil constituents. The essential oil and its major constituents
carvacrol and thymol were tested against A. simplex L3 larvae isolated from blue
whiting fish (Micromesistius poutassou). A. simplex mortality (%) after 24 and 48
h of treatment at 1 MUl/ml was 100%, with a low LD50 compared with other
essential oils and extracts, and the penetration in the agar assay was also
reduced, if compared with control wells. The oil, as well as its major
constituents, demonstrated a dose-dependent larvicidal activity. Inhibition of
the enzyme acetylcholinesterase through a colorimetric assay in 96-well plates
was used to elucidate the pharmacological mechanism as this enzyme plays a key
role in nematodes neuromuscular function. Interestingly, O. compactum essential
oil, carvacrol and thymol inhibited the enzyme, confirming that this could be one
of the mechanisms involved in the anthelmintic activity. To the best of our
knowledge, this is the first time that O. compactum essential oil is reported as
a larvicidal agent against A. simplex L3 larvae.
PMID- 29368039
TI - Assessment of Subtle Changes in Diabetes-Associated Arteriosclerosis using
Photoplethysmographic Pulse Wave from Index Finger.
AB - Distortions in macro- and microcirculation are principal contributors to diabetes
associated complications. This study aimed at investigating the validity of
applying non-invasive photoplethysmographic (PPG) waveform parameters in
detecting diabetes-induced subtitle changes in arterial stiffness. Between July
2009 and October 2010, totally 94 middle-aged and elderly subjects were recruited
including 48 without diabetes (Group 1) and 46 with the disease (Group 2).
Demographic (i.e., age, gender), anthropometric (body-mass index), biochemical
(i.e., glycated hemoglobin concentration), and hemodynamic (i.e., systolic blood
pressure, heart rate) parameters were obtained. Crest time (CT) and crest time
ratio (CTR) computed from PPG signals acquired from left index finger were
compared with left index finger pulse wave velocity (PWVfinger) obtained from six
channel ECG-PWV system to investigate the differences between the two groups and
the associations of these indices with the parameters of testing subjects.
Significant difference was only noted in CTR between the two groups (P < 0.005).
Despite correlation of both CT and CTR with age, only CTR demonstrated
significant associations with hemodynamic parameters. CTR could differentiate
diabetic patients from healthy individuals despite absence of difference in
arterial stiffness assessed by conventional PWV, highlighting its superior
sensitivity to subtle changes in diabetes-associated arteriosclerosis.
PMID- 29368040
TI - Bilateral lateral rectus recession versus unilateral recession resection for
basic intermittent exotropia: a meta-analysis.
AB - OBJECTIVE: To compare the outcomes between bilateral lateral rectus recession
(BLR) and unilateral recession resection (R&R) procedures in the treatment of
basic intermittent exotropia. METHODS: Databases from Medline, Embase, Web of
Science and the Cochrane Register of Controlled Trials were searched prior to
June 2, 2017. From these searches, three eligible randomized studies and three
retrospective cohort trials, which compared conventional BLR versus R&R procedure
were identified. Differences observed between these two interventions (BLR versus
R&R) were expressed as odds ratio (OR) and 95% confidence intervals (CI). The
data on rates of success, recurrence, and overcorrection were pooled and analyzed
using a random-effects model. RESULTS: Our findings, as generated from the pooled
estimates, suggested that success rates for the R&R procedure were significantly
greater than that of BLR (OR, 0.50; 95% CI, 0.31-0.79; P = 0.003) and patients
subjected to the BLR procedure were more likely to be recurrent (OR, 2.44; 95%
CI, 1.17-5.10; P = 0.02). No statistically significant differences in the
combined results for overcorrection rates were present between the BLR and R&R
procedures (OR, 0.85; 95% CI, 0.32-2.28; P = 0.75). CONCLUSION: The overall
findings from this meta-analysis indicated that the conventional R&R procedure is
associated with higher success rates and lower recurrence rates in patients with
basic intermittent exotropia.
PMID- 29368041
TI - Detailed analysis of retinal morphology in patients with diabetic macular edema
(DME) randomized to ranibizumab or triamcinolone treatment - reply to the letter
to the editor.
PMID- 29368042
TI - Primary Ciliary Dyskinesia Due to Microtubular Defects is Associated with Worse
Lung Clearance Index.
AB - PURPOSE: Primary ciliary dyskinesia (PCD) is characterised by repeated upper and
lower respiratory tract infections, neutrophilic airway inflammation and
obstructive airway disease. Different ultrastructural ciliary defects may affect
lung function decline to different degrees. Lung clearance index (LCI) is a
marker of ventilation inhomogeneity that is raised in some but not all patients
with PCD. We hypothesised that PCD patients with microtubular defects would have
worse (higher) LCI than other PCD patients. METHODS: Spirometry and LCI were
measured in 69 stable patients with PCD. Age at testing, age at diagnosis,
ethnicity, ciliary ultrastructure, genetic screening result and any growth of
Pseudomonas aeruginosa was recorded. RESULTS: Lung clearance index was more
abnormal in PCD patients with microtubular defects (median 10.24) than those with
dynein arm defects (median 8.3, p = 0.004) or normal ultrastructure (median 7.63,
p = 0.0004). Age is correlated with LCI, with older patients having worse LCI
values (p = 0.03, r = 0.3). CONCLUSION: This study shows that cilia microtubular
defects are associated with worse LCI in PCD than dynein arm defects or normal
ultrastructure. The patient's age at testing is also associated with a higher
LCI. Patients at greater risk of obstructive lung disease should be considered
for more aggressive management. Differences between patient groups may
potentially open avenues for novel treatments.
PMID- 29368043
TI - Comparison of Urticaria Activity Score Over 7 Days (UAS7) Values Obtained from
Once-Daily and Twice-Daily Versions: Results from the ASSURE-CSU Study.
AB - BACKGROUND: The Urticaria Activity Score summed over 7 days (UAS7) assesses the
itch severity and hive count in chronic spontaneous urticaria (CSU) using once-
or twice-daily diary-based documentation. OBJECTIVE: The aim of this study was to
evaluate the comparability of twice-daily versus once-daily versions of the UAS
and the resulting UAS7 values. METHODS: Data came from the ASSURE-CSU study. The
twice-daily and once-daily UAS7 was calculated from morning and evening ratings,
as well as from exact 24-h evening ratings of hive count and itch severity,
respectively. Three UAS7 scores were computed: UAS7 twice daily (UAS7TD), UAS7
once daily for maximum itch (UAS7OD1MAX), and UAS7 once daily for average itch
(UAS7OD2AVG). UAS7 values were assigned to five score bands (0, 1-6, 7-15, 16-27,
28-42), reflecting urticaria-free to severe disease activity. The score values
and score band ratios of the UAS7TD and UAS7OD versions were compared and
assessed for correlation by weighted Cohen's kappa statistics. RESULTS: Data from
614 patients were analyzed. All three versions of the UAS7 yielded very similar
results, with a mean (standard deviation) UAS7TD, UAS7OD1MAX, and UAS7OD2AVG of
17.3 (10.49), 17.7 (8.90), and 16.2 (8.68), respectively. Correlation
coefficients between UAS7TD and UAS7OD1MAX, UAS7TD and UAS7OD2AVG, and UAS7OD1MAX
and UAS7OD2AVG were 0.94, 0.95, and 0.99, respectively, showing very high
positive pairwise correlation. The weighted kappa coefficient, kappa (95%
confidence interval) was 0.78 (0.75-0.82) for UAS7TD versus UAS7OD1MAX, and 0.82
(0.78-0.85) for UAS7TD versus UAS7OD2AVG, demonstrating substantial agreement.
CONCLUSIONS: The once- and twice-daily UAS7 scores were highly consistent,
supporting the use of either version when evaluating CSU activity.
PMID- 29368044
TI - Analysis of novel endosome-to-Golgi retrieval genes reveals a role for PLD3 in
regulating endosomal protein sorting and amyloid precursor protein processing.
AB - The processing of amyloid precursor protein (APP) to the neurotoxic pro
aggregatory Abeta peptide is controlled by the mechanisms that govern the
trafficking and localisation of APP. We hypothesised that genes involved in
endosomal protein sorting could play an important role in regulating APP
processing and, therefore, analysed ~ 40 novel endosome-to-Golgi retrieval genes
previously identified in a genome-wide siRNA screen. We report that phospholipase
D3 (PLD3), a type II membrane protein, functions in endosomal protein sorting and
plays an important role in regulating APP processing. PLD3 co-localises with APP
in endosomes and loss of PLD3 function results in reduced endosomal tubules,
impaired trafficking of several membrane proteins and reduced association of
sortilin-like 1 with APP.
PMID- 29368045
TI - Long-term survivorship of stemless anatomical shoulder replacement.
AB - PURPOSE: Like in many other joints, current shoulder replacement designs aim at
bone preservation. According to the literature available, stemless total shoulder
arthroplasty (TSA) compares favourably with stemmed designs in terms of function
and survivorship of the implant. However, long-term results of stemless shoulder
arthroplasty are still missing. Therefore, the aim of the present study was to
evaluate long-term results of stemless anatomical TSA. METHODS: Between 2006 and
2009, 51 shoulders in 46 patients were resurfaced using the Biomet Total
Evolutive Shoulder System (TESS). Thirty-one shoulders in 26 patients who were
aged 66.7 +/- 10.0 (range 34-82) years were available for review at a mean follow
up of 94.7 +/- 11.3 (76-124) months. RESULTS: The implant survival rate was 93.5%
at eight years. The overall revision rate of the TESS implant was 9.7%.
Radiolucent lines were found on the glenoid side of the TESS arthroplasty in
90.9% of the cases. All stemless humeral corolla implants showed solid fixation
at follow-up. Clinical scores significantly improved at long-term follow-up (VAS
from 8.1 +/- 0.9 to 1.0 +/- 1.2, p < 0.001; Quick-DASH from 67.9 +/- 13.5 to 18.7
+/- 16.5, p < 0.001 and Constant score from 14.7 +/- 6.1 to 68.8 +/- 13.2, p <
0.001). CONCLUSIONS: Stemless TSA has stood the test of time at eight years in
terms of clinical scores, radiographic loosening, complication rates and implant
survivorship.
PMID- 29368046
TI - Current evidence does not support systematic antibiotherapy prior to joint
arthroplasty in patients with asymptomatic bacteriuria-a meta analysis.
AB - BACKGROUND: Many orthopaedic surgeons worry about asymptomatic bacteriuria (ASB)
as a possible risk factor for prosthetic joint infection (PJI). However,
available evidence establishing a direct link between ASB and PJI is limited.
This meta-analysis aimed to investigate whether ASB is a factor for PJI and
whether pre-operative antibiotic treatment shows benefit. METHOD: We
systematically searched major databases such as PubMed, Web of Science, the
Cochrane Library and EMBASE for studies. Risk ratio (RR) was calculated for
included studies that reported raw counts with 95% confidence interval (CI).
RESULTS: Five studies involved 3588 joint arthroplasties and 441 cases of ASB
(overall incidence 12.3%). Compared with the control group, PJI was more common
in both patients in the ASB group (RR = 2.87; 95% CI, 1.65-5.00). But in all five
studies, the micro-organisms isolated from PJI and urine cultures were not the
same. Three of the five studies reported that the antibiotic treated the ASB
prior to joint arthroplasty and compared the untreated ASB group.There was no
significant difference between groups (RR = 0.89; 95% CI, 0.36-2.20). DISCUSSION:
PJI occurring via the haematogenous route from the genitourinary tract harbouring
bacteria in ASB is impossible. Pre-operative antibiotic treatment has no benefit.
A plausible explanation could be an indicator of frailty and increased
susceptibility to infection. CONCLUSIONS: ASB increased the risk of PJI in the
meta-analysis. However, current evidence does not support systematic
antibiotherapy prior to joint arthroplasty and screening for ASB.
PMID- 29368047
TI - The impact of nTMS mapping on treatment of brain AVMs.
AB - BACKGROUND: The treatment of brain arteriovenous malformations (BAVMs) is still
contrarily discussed. Despite the debatable results of the ARUBA trial, most
BAVMs still require treatment depending on the Spetzler-Martin (SM) grading.
Since size is measurable and venous drainage is visible, the determination of
eloquence is comparably crucial but not fully objective. The present bicentric
cohort study aims to examine the influence of preoperative navigated transcranial
magnetic stimulation (nTMS) motor and language mapping data on decision-making
for or against surgical treatment of BAVMs. METHODS: The influence of data from
nTMS on decision-making for or against treatment of BAVMs was examined by
confirming/falsifying presumed motor or language eloquence. RESULTS: The results
of nTMS mappings changed the SM grading in nine cases. In six cases, the SM
grading changed to a lower grade (= falsified eloquence); in three cases, the SM
grading changed to a higher grade due to nTMS mappings (= unexpected eloquence).
Out of all 34 cases, indication for surgery was supported by nTMS mappings in 15
cases (7 motors, 8 languages). In six cases, the decision against surgery was
made based on nTMS mappings (three motors, three languages). CONCLUSION: In 21 of
34 cases (62%), nTMS was a supportive argument. We could show that nTMS motor and
language data can be used for a more objective decision-making regarding the
treatment of BAVMs and for a more detailed SM grading regarding the rating of
eloquence.
PMID- 29368048
TI - A systematic review of psychological treatments for clinical anxiety during the
perinatal period.
AB - Maternal anxiety is common during the perinatal period, and despite the negative
outcomes of anxiety on the mother and infant, its treatment has received limited
attention. This paper describes the first review of psychological interventions
for clinical anxiety during the perinatal period. A systematic search was carried
out of six electronic databases. Five studies which evaluated psychological
interventions for clinical anxiety in perinatal women were identified. Of the
five studies included, four were open trials and one was a randomised controlled
trial. Three studies evaluated group-based interventions; one study evaluated an
online-delivered intervention; and one study a combined pharmacologic
psychological intervention. All participants demonstrated significant reductions
in anxiety symptom severity from pre- to post-treatment. However, this review was
limited to published literature evaluating treatments for clinical anxiety in
perinatal women, which may have excluded important intervention studies and
prevention programs, and unpublished literature. This review identifies an area
of research that needs urgent attention, as very few studies have evaluated
psychological treatments for perinatal anxiety. The studies included in this
review demonstrate that symptoms of anxiety during the perinatal period appear to
improve during treatment. Future research is needed to establish the efficacy of
perinatal anxiety interventions in randomised controlled trials, whether
reductions persist long term and whether benefits extend to other outcomes for
the mother, infant and family.
PMID- 29368049
TI - [Orthopaedics and the law].
PMID- 29368050
TI - Effects of posaconazole (a strong CYP3A4 inhibitor), two new tablet formulations,
and food on the pharmacokinetics of idasanutlin, an MDM2 antagonist, in patients
with advanced solid tumors.
AB - PURPOSE: Idasanutlin, a selective small-molecule MDM2 antagonist in phase 3
testing for refractory/relapsed AML, is a non-genotoxic oral p53 activator. To
optimize its dosing conditions, a number of clinical pharmacology characteristics
were examined in this multi-center trial in patients with advanced solid tumors.
METHOD: This was an open-label, single-dose, crossover clinical pharmacology
study investigating the effects of strong CYP3A4 inhibition with posaconazole
(Part 1), two new oral formulations (Part 2), as well as high-energy/high-fat and
low-energy/low-fat meals (Part 3) on the relative bioavailability of idasanutlin.
After completing Part 1, 2, or 3, patients could have participated in an optional
treatment with idasanutlin. Clinical endpoints were pharmacokinetics (PK),
pharmacodynamics (PD) of MIC-1 elevation (Part 1 only), and safety/tolerability.
RESULTS: The administration of posaconazole 400 mg BID * 7 days with idasanutlin
800 mg resulted in a slight decrease (7%) in Cmax and a modest increase (31%) in
AUC for idasanutlin, a marked reduction in Cmax (~ 60%) and AUC0 (~ 50%) for M4
metabolite, and a minimal increase (~ 24%) in serum MIC-1 levels. Cmax and AUC
were both 45% higher for the SDP formulation. While the low-fat meal caused a
less than 20% increase in all PK exposure parameters with the 90% CI values just
outside the upper end of the equivalence criteria (80-125%), the high-fat meal
reached bioequivalence with dosing under fasting. CONCLUSION: In patients with
solid tumors, multiple doses of posaconazole, a strong CYP3A4 inhibitor,
minimally affected idasanutlin PK and PD without clinical significance. The SDP
formulation improved rBA/exposures by ~ 50% without major food effect.
PMID- 29368051
TI - Role of immunotherapy in bladder cancer: past, present and future.
AB - As research focus in oncology has recently shifted from oral targeted therapy to
immunomodulation, the era of successful drug development in bladder cancer has
just begun. This has led to unprecedented approval of five immunotherapeutic
agents by regulatory agencies for metastatic bladder cancer within a span of 12
months. With an initial triumph of anti-programmed cell death-1 (anti-PD-1) and
anti-programmed cell death ligand-1 (anti-PDL-1) drugs, ongoing efforts are aimed
at identification and validation of new druggable immune targets to consolidate
the initial gains. In this paper, we review the role of immunotherapy in the
treatment of bladder cancer as well as the various emerging immunotherapeutic
agents and their possible use in bladder cancer.
PMID- 29368053
TI - Cellular complexity in subcortical white matter: a distributed control circuit?
AB - The subcortical white matter (SWM) has been traditionally considered as a site
for passive-neutral-information transfer through cerebral cortex association and
projection fibers. Yet, the presence of subcortical neuronal and glial
"interstitial" cells expressing immunolabelled neurotransmitters/neuromodulators
and synaptic vesicular proteins, and recent immunohistochemical and
electrophysiological observations on the rat visual cortex as well as interactive
regulation of myelinating processes support the possibility that SWM nests
subcortical, regionally variable, distributed neuronal-glial circuits, that could
influence information transfer. Their hypothetical involvement in regulating the
timing and signal transfer probability at the SWM axonal components ought to be
considered and experimentally analysed. Thus, the "interstitial" neuronal cells
associated with local glial cells-traditionally considered to be vestigial and
functionally inert under normal conditions, they may well turn to be critical in
regulating information transfer at the SWM.
PMID- 29368052
TI - Different neural substrates for precision stepping and fast online step
adjustments in youth.
AB - Humans can navigate through challenging environments (e.g., cluttered or uneven
terrains) by modifying their preferred gait pattern (e.g., step length, step
width, or speed). Growing behavioral and neuroimaging evidence suggests that the
ability to modify preferred step patterns requires the recruitment of cognitive
resources. In children, it is argued that prolonged development of complex gait
is related to the ongoing development of involved brain regions, but this has not
been directly investigated yet. Here, we aimed to elucidate the relationship
between structural brain properties and complex gait in youth aged 9-18 years. We
used volumetric analyses of cortical grey matter (GM) and whole-brain voxelwise
statistical analyses of white matter (WM), and utilized a treadmill-based
precision stepping task to investigate complex gait. Moreover, precision stepping
was performed on step targets which were either unperturbed or perturbed (i.e.,
unexpectedly shifting to a new location). Our main findings revealed that larger
unperturbed precision step error was associated with decreased WM microstructural
organization of tracts that are particularly associated with attentional and
visual processing functions. These results strengthen the hypothesis that
precision stepping on unperturbed step targets is driven by cortical processes.
In contrast, no significant correlations were found between perturbed precision
stepping and cortical structures, indicating that other (neural) mechanisms may
be more important for this type of stepping.
PMID- 29368054
TI - New keratinolytic bacteria in valorization of chicken feather waste.
AB - There is an increasing demand for cost-effective and ecologically-friendly
methods for valorization of poultry feather waste, in which keratinolytic
bacteria present a great potential. Feather-degrading bacteria were isolated from
living poultry and a single strain, identified as Kocuria rhizophila p3-3,
exhibited significant keratinolytic properties. The bacterial strain effectively
degraded up to 52% of chicken feathers during 4 days of culture at 25 degrees C.
Zymographic analysis revealed the presence of two dominating proteolytic enzymes
in the culture fluid. Culture conditions were optimized in order to maximize the
liberation of soluble proteins and free amino acids. A two-step procedure was
used, comprising a Plackett-Burman screening design, followed by a Box-Behnken
design. Concentration of feather substrate, MgSO4 and KH2PO4 were the most
influential parameters for the accumulation of soluble proteins in culture K.
rhizophila p3-3, while feathers and MgSO4 also affected the concentration of
amino acids. The resultant raw hydrolysate supernatant, prior to and after
additional treatments, was rich in phenylalanine, histidine, arginine and
aspartic acid. Additionally the hydrolysate exhibited radical-scavenging activity
and ferric reducing power.
PMID- 29368055
TI - Enhancement of docosahexaenoic acid (DHA) production from Schizochytrium sp. S31
using different growth medium conditions.
AB - Schizochytrium species is one of the most studied microalgae for production of
docosahexaenoic acid (DHA) which is an omega-3 fatty acid with positive effects
for human health. However, high cost and low yield in production phase makes
optimization of cultivation process inevitable. We focus on the optimization of
DHA production using Schizochytrium sp. using different media supplements;
glucose, fructose and glycerol as carbon variants, proteose peptone and tryptone
as nitrogen variants. The highest biomass (5.61 g/L) and total fatty acid yield
(1.74 g/L) were obtained in proteose peptone medium which was used as the
alternative nitrogen source instead of yeast extract. The highest DHA yield (0.40
g/L) was achieved with glycerol as the carbon source although it had the second
lowest biomass production after ethanol containing medium. Ethanol, as an
alternative carbon source and a precursor for acetyl-CoA, increased DHA
percentage in total lipid content from 29.94 to 40.04% but decreasing the biomass
drastically. Considering different carbon and nitrogen sources during cultivation
of Schizochytrium sp. will improve DHA production. Combination of proteose
peptone and glycerol as nitrogen and carbon sources, respectively, and addition
of ethanol with a proper timing will be useful to have higher DHA yield.
PMID- 29368056
TI - External confirmation and exploration of the Kigali modification for diagnosing
moderate or severe ARDS.
PMID- 29368057
TI - Understanding thrombotic microangiopathies in children.
PMID- 29368058
TI - Ecological and biogeographical drivers of freshwater green algae biodiversity:
from local communities to large-scale species pools of desmids.
AB - Dispersal limitation, niche-based processes as well as historical legacies shape
microbial biodiversity, but their respective influences remain unknown for many
groups of microbes. We analysed metacommunity structure and functional trait
variation in 148 communities of desmids, freshwater green algae, distributed
throughout Europe. We delineated biogeographic modules for both taxa and sites
using bipartite network analysis given that the taxa of a module co-occurred more
often than expected by chance in sites of the same module. The network analysis
distinguished two main acidic and neutral habitats, reflecting environmental
filtering, and within each habitat separated species pools with distinct
geographic locations, representing a plausible influence of historical
biogeography. The geographic differentiation was consistent with a hypothesis of
glacial refugia on Atlantic coast. Distance decay in community composition in
addition to environmental influence further suggested a role of dispersal
limitation. Next, we quantified the variation in cell volume and surface-to
volume of taxa within and among communities, to examine morphological and
physiological adaptations of desmids in varying environments. Communities from
continental climate contained larger desmids. Conversely, we found a functional
convergence of smaller, fast-growing, desmids in oceanic regions. Overall, our
findings suggest that niche-based processes, dispersal limitation, and historical
legacy together drive the distribution and structure of desmid communities.
Combining trait- and network-based analyses can resolve long-lasting questions in
microbial ecology and biogeography, and could be successfully used in macrobial
ecology too.
PMID- 29368059
TI - Lessons Learned in Clinical Research Recruitment of Immigrants and Minority Group
Members with First-Episode Psychosis.
AB - Recruitment of immigrants and racial and ethnic minorities with first-episode
psychosis (FEP) for research studies presents numerous challenges. We describe
methods used to recruit 43 U.S. Latinos with FEP and their family caregivers (n =
41) participating in a study to reduce duration of untreated psychosis. A key
challenge was that patients were not continuing treatment at an outpatient
clinic, as initially expected. To facilitate identification of patients prior to
outpatient care, we collaborated with clinic and hospital administrators. Many
patients and families were grappling with the aftermath of a hospitalization or
adjusting to a diagnosis of a serious mental illness. A considerable amount of
time was devoted to addressing participants' concerns and when possible,
facilitating needed services. Our experience underscores the importance of
establishing long-term relationships through multiple contacts with patients,
families, and stakeholders to address recruitment barriers among underserved
groups with FEP.
PMID- 29368060
TI - Does the Brain's Reward Response Occur Even Without Actual Reward? A Response to
Fielding et al. (2017).
AB - A recent paper by Fielding et al. (J Gamb Stud, 2017) argued that the brain's
reward response could occur without the presentation of actual reward. We suggest
that since (a) the event-related potentials reported in this paper are atypical
of the previous literature, and, (b) a simpler account of the data in terms of
sensitivity to outcome frequency cannot be ruled out, the extent to which the
brain's reward response can occur without the presentation of actual reward
should remain an open question.
PMID- 29368061
TI - Comparative Test Evaluation: Methods and Challenges.
AB - The present paper has three objectives. First, methods for comparing alternative
tests for the purpose of replacement of one test with a second presumably
superior test are described. Second, problems in the interpretation of the
relevance of different diagnostic thresholds (thresholds of positivity) that
define who is and who is not a disordered gambler are examined and a potential
solution offered in the form of a common quantitative measure of the risk of
being a disordered gambler. Third, alternative methodologies are described as
potential solutions to the lack of a gold or reference standard in the evaluation
of new tests.
PMID- 29368063
TI - Genetic diversity of Orf virus isolated from sheep in Uruguay.
AB - Orf virus (ORFV) is the etiological agent of contagious ecthyma, a disease widely
spread in the world that occasionally causes zoonotic infections. This work is
the first molecular characterization of ORFV in Uruguay, where we analyzed twenty
one sheep samples, eighteen of which were recovered from thirteen ORFV outbreaks
that occurred during 2004 to 2011 as well as three strains from a national
vaccine. Phylogenetic analysis and the derived amino acid sequences from the B2L
gene suggest that the Uruguayan virus do not form a unique cluster, with most of
them displaying similarities with worldwide ORFV isolates as well as our vaccine
strains.
PMID- 29368062
TI - A comprehensive evaluation of clinicopathologic characteristics, molecular
features and prognosis in lung adenocarcinoma with solid component.
AB - PURPOSE: We have reported that solid predominant lung adenocarcinoma according to
the IASLC/ATS/ERS classification was associated with poor prognosis. However, the
correlation of solid component with clinicopathological, molecular features, and
prognosis in all lung adenocarcinoma patients remains unexplored. METHODS:
Surgically resected lung adenocarcinomas were divided into three groups, solid
predominant (solid component accounting for at least 50%), solid minor (solid
component accounting for 5-45%) and solid negative. Patients' clinicopathological
characteristics, disease free survival (DFS), overall survival (OS) and molecular
alterations, including EGFR, KRAS, FGFR, etc., were analyzed. RESULTS: Of 1098
lung adenocarcinomas, 198 were solid predominant, 132 were solid minor, and 768
were solid negative. Solid positive patients, including solid predominant and
solid minor, had significantly worse DFS (p < 0.0001) and OS (p < 0.0001)
compared with solid negative group, so were solid minor patients (both p <
0.0001). Cox multivariate analysis revealed that solid positive pattern was an
independent predictor for DFS [hazard ratio (HR) 1.495, 95% confidence interval
(CI) 1.004-2.233; p = 0.034] and OS [HR 1.561, 95% CI 1.03-2.342; p = 0.016]. The
frequency of FGFR fusions was significantly higher in solid positive lung
adenocarcinomas than in solid negative group (1.5 vs. 0.3%, p = 0.048). The
response rate to EGFR-tyrosine kinase inhibitors (TKIs) was 66.7% in EGFR-mutated
solid positive patients after recurrence. CONCLUSIONS: This study represents the
first comprehensive clinical investigation of solid component in lung
adenocarcinomas, identifying solid positive pattern as an independent poor
prognostic indicator in lung adenocarcinoma.
PMID- 29368064
TI - Molecular characterization of a novel rhabdovirus infecting blackcurrant
identified by high-throughput sequencing.
AB - A large contig with sequence similarities to several nucleorhabdoviruses was
identified by high-throughput sequencing analysis from a black currant (Ribes
nigrum L.) cultivar. The complete genome sequence of this new nucleorhabdovirus
is 14,432 nucleotides long. Its genomic organization is very similar to those of
unsegmented plant rhabdoviruses, containing six open reading frames in the order
3'-N-P-P3-M-G-L-5. The virus, which is provisionally named "black currant
associated rhabdovirus", is 41-52% identical in its genome nucleotide sequence to
other nucleorhabdoviruses and may represent a new species in the genus
Nucleorhabdovirus.
PMID- 29368066
TI - Outpatient balneological treatment of osteoarthritis in older persons : A
retrospective study.
AB - OBJECTIVE: To evaluate the effectiveness and safety of outpatient balneological
treatment consisting of hydrotherapy and peloid therapy in elderly patients with
osteoarthritis. METHODS: In this retrospective observational study all patients
aged >=65 years with any type of osteoarthritis who received balneological
treatment (hydrotherapy and peloid therapy) at our clinic between 2007 and 2016
were included. Hydrotherapy was applied as head-out immersion in a tap water pool
at 36-38 degrees C for 20 min and then peloid therapy was applied as a local
peloid pack on the painful region or joint at 42-43 degrees C for 20 min, on
each weekday for 2 weeks. Patients were evaluated before and after the treatment
and outcome measurements were pain, patient's global assessment, physician's
global assessment, Western Ontario and McMaster Universities index, Lequesne hip
index, Waddell index, neck pain and disability scale, and health assessment
questionnaire. RESULTS: In total, 235 osteoarthritis patients comprising 61
generalized, 91 knee, 6 hip; 32 lumbar, 12 cervical, 1 foot and 32 hand
osteoarthritis, were included in the analysis. We found significant improvements
in pain and function scores in generalized, knee, lumbar, cervical and hand
osteoarthritis. Patient's global assessment was also improved in all subtypes
except hip (p = 1.000) and hand (p = 0.132) osteoarthritis subtypes. The majority
of patients (59.1%) fulfilled the outcome measures in Rheumatology-Osteoarthritis
Research Society International (OMERACT-OARSI) responder criteria. The treatment
demonstrated a good safety profile. CONCLUSION: Study results provide initial
evidence for the potential beneficial effects and safety of outpatient
balneological treatment (hydrotherapy and peloid therapy) in the management of
older patients with osteoarthritis. Future randomized controlled studies are
needed to confirm these results.
PMID- 29368065
TI - Virological and pathological characterization of an avian H1N1 influenza A virus.
AB - Gene segments from avian H1N1 influenza A viruses have reassorted with other
influenza viruses to generate pandemic strains over the past century.
Nevertheless, little effort has been invested in understanding the
characteristics of avian H1N1 influenza viruses. Here, we present the genome
sequence and a molecular and virological characterization of an avian influenza A
virus, A/wild bird/Korea/SK14/2014 (A/SK14, H1N1), isolated from migratory birds
in South Korea during the winter season of 2014-2015. Full-genome sequencing and
phylogenetic analysis revealed that the virus belongs to the Eurasian avian
lineage. Although it retained avian-receptor binding preference, A/SK14 virus
also exhibited detectable human-like receptor binding and was able to replicate
in differentiated primary normal human bronchial epithelial cells. In animal
models, A/SK14 virus was moderately pathogenic in mice, and virus was detected in
nasal washes from inoculated guinea pigs, but not in direct-contact guinea pigs.
Although A/SK14 showed moderate pathogenicity and no evidence of transmission in
a mammalian model, our results suggest that the dual receptor specificity of
A/SK14-like virus might allow for a more rapid adaptation to mammals, emphasizing
the importance of further continuous surveillance and risk-assessment activities.
PMID- 29368068
TI - Theoretical insight into reaction mechanisms of 2,4-dinitroanisole with hydroxyl
radicals for advanced oxidation processes.
AB - The detailed degradation mechanism of an insensitive explosive, 2,4
dinitroanisole (DNAN), in advanced oxidation processes (AOPs) was investigated
computationally at the M06-2X/6-311 + G(d,p)/SMD level of theory. Results
obtained show that the addition-elimination reaction is the dominant mechanism.
The phenol products formed can continue to be oxidized to benzoquinone radicals
that are often detected by experiments and may be the initial reactants of ring
opening reactions. The H-abstraction reaction is an unavoidable competing
mechanism; the intermediate generated can also undergo the process of addition
elimination reaction. The nitro departure reaction involves not only hydroxyl
radical (*OH), but also other active substances (such as *H). More importantly,
we found that AOP technology can easily degrade DNAN, similar to TNT and DNT.
Thus, this method is worth trying in experiments. The conclusions of this work
provide theoretical support for such experimental research. Graphical abstract
Possible pathways of degradation by *OH radicals in advanced oxidation processes
(AOPs) of the typical insensitive explosive 2,4-dinitroanisole (DNAN) were
investigated by density functional theory (DFT) methods. Based on the Gibbs free
energy barriers and intermediates, the dominant reaction mechanism was
determined. The conclusions will be helpful in utilizing AOP technology to remove
DNAN pollution.
PMID- 29368067
TI - Cilostazol-Loaded Poly(epsilon-Caprolactone) Electrospun Drug Delivery System for
Cardiovascular Applications.
AB - PURPOSE: The study discusses the value of electrospun cilostazol-loaded (CIL)
polymer structures for potential vascular implant applications. METHODS:
Biodegradable polycaprolactone (PCL) fibers were produced by electrospinning on a
rotating drum collector. Three different concentrations of CIL: 6.25%, 12.50% and
18.75% based on the amount of polymer, were incorporated into the fibers. The
fibers were characterized by their size, shape and orientation. Materials
characterization was carried out by Fourier Transformed Infrared spectroscopy
(FTIR), Raman spectroscopy, differential scanning calorimetry (DSC) and X-ray
diffraction (XRD). In vitro drug release study was conducted using flow-through
cell apparatus (USP 4). RESULTS: Three-dimensional structures characterized by
fibers diameter ranging from 0.81 to 2.48 MUm were in the range required for
cardiovascular application. DSC and XRD confirmed the presence of CIL in the
electrospun fibers. FTIR and Raman spectra confirmed CIL polymorphic form.
Elastic modulus values for PCL and the CIL-loaded PCL fibers were in the range
from 0.6 to 1.1 GPa. The in vitro release studies were conducted and revealed
drug dissolution in combination with diffusion and polymer relaxation as
mechanisms for CIL release from the polymer matrix. CONCLUSIONS: The release
profile of CIL and nanomechanical properties of all formulations of PCL fibers
demonstrate that the cilostazol loaded PCL fibers are an efficient delivery
system for vascular implant application.
PMID- 29368069
TI - Effect of low-level laser therapy on the healing process of donor site in
patients with grade 3 burn ulcer after skin graft surgery (a randomized clinical
trial).
AB - Skin graft is a standard therapeutic technique in patients with deep ulcers, but
managing donor site after grafting is very important. Although several modern
dressings are available to enhance the comfort of donor site, using techniques
that accelerate wound healing may enhance patient satisfaction. Low-level laser
therapy (LLLT) has been used in several medical fields, including healing of
diabetic, surgical, and pressure ulcers, but there is not any report of using
this method for healing of donor site in burn patients. The protocols and
informed consent were reviewed according to Medical Ethics Board of Shahid
Beheshti University of Medical Sciences (IR.SBMU.REC.1394.363) and Iranian
Registry of Clinical Trials (IRCT2016020226069N2). Eighteen donor sites in 11
patients with grade 3 burn ulcer were selected. Donor areas were divided into 2
parts, for laser irradiation and control randomly. Laser area was irradiated by a
red, 655-nm laser light, 150 mW, 2 J/cm2, on days 0 (immediately after surgery),
3, 5, and 7. Dressing and other therapeutic care for both sites were the same.
The patients and the person who analyzed the results were blinded. The size of
donor site reduced in both groups during the 7-day study period (P < 0.01) and
this reduction was significantly greater in the laser group (P = 0.01). In the
present study, for the first time, we evaluate the effects of LLLT on the healing
process of donor site in burn patients. The results showed that local irradiation
of red laser accelerates wound healing process significantly.
PMID- 29368071
TI - Correction to: Effect of 1064-nm Q-switched Nd:YAG laser on invasiveness and
innate immune response in keratinocytes infected with Candida albicans.
AB - In the published online version of the article, the authors' given and family
names were incorrectly captured. The corrected names are shown in the author
group section above.
PMID- 29368070
TI - Adaptation and micro-structure of Co-Cr alloy maxillary complete denture base
plates fabricated by selective laser melting technique.
AB - The purpose of the study was to evaluate the adaptation and micro-structure of Co
Cr alloy maxillary complete denture base plates fabricated by the selective laser
melting (SLM) technique. Twenty pairs of edentulous casts were randomly and
evenly divided into two groups, and manufacturing of the Co-Cr alloy maxillary
complete denture base was conducted either by the SLM technique or by the
conventional method. The base-cast sets were transversally sectioned into three
sections at the distal canines, mesial of the first molars and the posterior
palatal zone. The gap between the metal base and cast was measured in these three
sections with a stereoscopic microscope, and the data were analysed using t
tests. A total of five specimens of 5 mm diameter were fabricated with the Co-Cr
alloy by SLM and the traditional casting technology. A scanning electron
microscope (SEM) was used to evaluate the differences in microstructure between
these specimens. There was no statistical difference between the three sections
in all four groups (P > 0.05). At the region of the canines, the clearance value
for the SLM Co-Cr alloy group was larger than that of the conventional method
group (P < 0.05). At the mesial of the first molar region and the posterior
palatal zone, there was no statistical difference between the gaps observed in
the two groups (P > 0.05). The SLM Co-Cr alloy has a denser microstructure
behaviour and less casting defect than the cast Co-Cr alloy. The SLM technique
showed initial feasibility for the manufacture of dental bases of complete
dentures, but large sample studies are needed to prove its reliability in
clinical applications. The mechanical properties and microstructure of the
denture frameworks prepared by selective laser melting indicate that these
dentures are appropriate for clinical use.
PMID- 29368072
TI - Culture-based study on the development of antibiotic resistance in a biological
wastewater system treating stepwise increasing doses of streptomycin.
AB - The effects of streptomycin (STM) on the development of antibiotic resistance in
an aerobic-biofilm reactor was explored by stepwise increases in STM doses (0-50
mg L-1), over a period of 618 days. Totally 191 bacterial isolates affiliated
with 90 different species were harvested from the reactor exposed to six STM
exposures. Gammaproteobacteria (20-31.8%), Bacilli (20-35.7%), Betaproteobacteria
(4.5-21%) and Actinobacteria (0-18.2%) were dominant, and their diversity was not
affected over the whole period. Thirteen dominant isolates from each STM
exposures (78 isolates) were applied to determine their resistance prevalence
against eight classes of antibiotics. Increased STM resistance (53.8-69.2%) and
multi-drug resistance (MDR) (46.2-61.5%) were observed in the STM exposures (0.1
50 mg L-1), compared to exposure without STM (15.3 and 0%, respectively). Based
on their variable minimum inhibitory concentration results, 40 differentiated
isolates from various STM exposures were selected to check the prevalence of nine
aminoglycoside resistance genes (aac(3)-II, aacA4, aadA, aadB, aadE, aphA1,
aphA2, strA and strB) and two class I integron genes (3'-CS and IntI). STM
resistance genes (aadA, strA and strB), a non-STM resistance gene (aacA4) and
integron genes (3'-CS and Int1) were distributed widely in all STM exposures,
compared to the exposure without STM. This new culture-based stepwise increasing
antibiotic approach reveals that biological systems treating wastewater with
lower STM dose (0.1 mg L-1) could lead to notably increased levels of STM
resistance, MDR, and resistant gene determinants, which were sustainable even
under higher STM doses (> 25 mg L-1).
PMID- 29368073
TI - "Aspirations of people who come from state education are different": how language
reflects social exclusion in medical education.
AB - Despite repeated calls for change, the problem of widening access (WA) to
medicine persists globally. One factor which may be operating to maintain social
exclusion is the language used in representing WA applicants and students by the
gatekeepers and representatives of medical schools, Admissions Deans. We
therefore examined the institutional discourse of UK Medical Admissions Deans in
order to determine how values regarding WA are communicated and presented in this
context. We conducted a linguistic analysis of qualitative interviews with
Admissions Deans and/or Staff from 24 of 32 UK medical schools. Corpus
Linguistics data analysis determined broad patterns of frequency and word lists.
This informed a critical discourse analysis of the data using an "othering" lens
to explore and understand the judgements made of WA students by Admissions Deans,
and the practices to which these judgments give rise. Representations of WA
students highlighted existing divides and preconceptions in relation to WA
programmes and students. Through using discourse that can be considered othering
and divisive, issues of social divide and lack of integration in medicine were
highlighted. Language served to reinforce pre-existing stereotypes and a
significant 'us' and 'them' rhetoric exists in medical education. Even with
drivers to achieve diversity and equality in medical education, existing social
structures and preconceptions still influence the representations of applicants
and students from outside the 'traditional' medical education model in the UK.
Acknowledging this is a crucial step for medical schools wishing to address
barriers to the perceived challenges to diversity.
PMID- 29368075
TI - A legacy of words.
PMID- 29368074
TI - Association between duration of intravenous antibiotic administration and early
life microbiota development in late-preterm infants.
AB - Antibiotic treatment is common practice in the neonatal ward for the prevention
and treatment of sepsis, which is one of the leading causes of mortality and
morbidity in preterm infants. Although the effect of antibiotic treatment on
microbiota development is well recognised, little attention has been paid to
treatment duration. We studied the effect of short and long intravenous
antibiotic administration on intestinal microbiota development in preterm
infants. Faecal samples from 15 preterm infants (35 +/- 1 weeks gestation and
2871 +/- 260 g birth weight) exposed to no, short (<= 3 days) or long (>= 5 days)
treatment with amoxicillin/ceftazidime were collected during the first six
postnatal weeks. Microbiota composition was determined through 16S rRNA gene
sequencing and by quantitative polymerase chain reaction (qPCR). Short and long
antibiotic treat ment significantly lowered the abundance of Bifidobacterium
right after treatment (p = 0.027) till postnatal week three (p = 0.028). Long
treatment caused Bifidobacterium abundance to remain decreased till postnatal
week six (p = 0.009). Antibiotic treatment was effective against members of the
Enterobacteriaceae family, but allowed Enterococcus to thrive and remain dominant
for up to two weeks after antibiotic treatment discontinuation. Community
richness and diversity were not affected by antibiotic treatment, but were
positively associated with postnatal age (p < 0.023) and with abundance of
Bifidobacterium (p = 0.003). Intravenous antibiotic administration during the
first postnatal week greatly affects the infant's gastrointestinal microbiota.
However, quick antibiotic treatment cessation allows for its recovery.
Disturbances in microbiota development caused by short and, more extensively, by
long antibiotic treatment could affect healthy development of the infant via
interference with maturation of the immune system and gastrointestinal tract.
PMID- 29368076
TI - The socioeconomic impact of a pediatric ostomy in Uganda: a pilot study.
AB - INTRODUCTION: Multiple pediatric surgical conditions require ostomies in low
middle-income countries. Delayed presentations increase the numbers of ostomies.
Patients may live with an ostomy for a prolonged time due to the high backlog of
cases with insufficient surgical capacity. In caring for these patients in
Uganda, we frequently witnessed substantial socioeconomic impact of their
surgical conditions. METHODS: The operative log at the only pediatric surgery
referral center in Uganda was reviewed to assess the numbers of children
receiving ostomies over a 3-year period. Charts for patients with anorectal
malformations (ARM) and Hirschsprung's disease (HD) were reviewed to assess
delays in accessing care. Focus group discussions (FGD) were held with family
members of children with ostomies based on themes from discussions with the
surgical and nursing teams. A pilot survey was developed based on these themes
and administered to a sample of patients in the outpatient clinic. RESULTS:
During the period of January 2012-December 2014, there was one specialty
certified pediatric surgeon in the country. There were 493 ostomies placed for
ARM (n = 234), HD (N = 114), gangrenous ileocolic intussusception (n = 95) and
typhoid-induced intestinal perforation (n = 50). Primary themes covered in the
FGD were: stoma care, impact on caregiver income, community integration of the
child, impact on family unit, and resources to assist families. Many patients
with HD and ARM did not present for colostomy until after 1 year of life. None
had access to formal ostomy bags. 15 caregivers completed the survey. 13 (86%)
were mothers and 2 (13%) were fathers. Almost half of the caregivers (n = 7, 47%)
stated that their spouse had left the family. 14 (93%) caregivers had to leave
jobs to care for the stoma. 14 respondents (93%) reported that receiving advice
from other caregivers was beneficial. CONCLUSION: The burden of pediatric
surgical disease in sub-Saharan Africa is substantial with significant
disparities compared to high-income countries. Significant socioeconomic
complexity surrounds these conditions. While some solutions are being
implemented, we are seeking resources to implement others. This data will inform
the design of a more expansive survey of this patient population to better
measure the socioeconomic impact of pediatric ostomies and guide more
comprehensive advocacy and program development.
PMID- 29368077
TI - Retrospective analysis to determine outcomes of patients with bilateral Wilms
tumor undergoing nephron sparing surgery: 15-year tertiary single-institution
experience.
AB - PURPOSE: To describe our clinical experience with nephron sparing surgery (NSS)
for bilateral Wilms tumor and evaluate the outcomes of patients treated at one of
the largest pediatric medical centers in China. METHODS: Medical records of
children with bilateral Wilms tumor undergoing NSS in the Children's Hospital of
Chongqing Medical University during a 15-year period were retrospectively
analyzed. Data collected were composed of age at surgery, tumor response, tumor
rupture during resection, final pathologic margins, use of radiation therapy,
pathology reports, renal function, and patient survival. RESULTS: A total of 18
eligible patients (10 males, 8 females) with bilateral Wilms tumor at a mean age
of 2.28 +/- 1.12 years were identified. The administration of preoperative
chemotherapy did not result in universally successful outcomes. All children
underwent successfully unilateral or bilateral NSS, of which one had positive
pathologic margins and five received radiation therapy postoperatively. The rates
of tumor rupture and positive lymph nodes involvement were 11.1 and 19.4%,
respectively. The pathological study showed favorable histology and unfavorable
histology in 32 and 4 kidneys, respectively. The 4-year event-free survival and
overall survival rates were 68.18 and 85.56%. In univariable analysis, tumor
histology (p = 0.0028) and disease stage (p = 0.0303) appeared significantly
associated with overall survival. After a median follow-up period of 41.5 months
(range 10-89), three of the surviving patients were diagnosed with hypertension
and one had renal insufficiency. CONCLUSIONS: Our experience suggests that NSS
has become a feasible and effective option with good oncologic outcomes. Further
research, ideally in a multicenter randomized manner, is warranted to better
assess the role of NSS in this challenging clinical scenario.
PMID- 29368078
TI - Kasai procedure improves nutritional status and decreases transplantation
associated complications.
AB - BACKGROUND: The aim of this study was to retrospectively investigate whether
Kasai Procedure (KP) improves nutritional status and whether KP decreases liver
transplantation (LT)-associated complications in children with biliary atresia
(BA). METHODS: From March 1st 2014 to February 28th 2015, 103 patients underwent
LT and the other 17 without prior KP died during the waiting period. In 103
patients undergoing LT, 58 patients received KP previously (Group A), and 45 only
underwent primary LT (Group B). The nutritional status, liver function and LT
related short-term complications were analyzed. RESULTS: Compared to Group B,
patients in Group A had significantly increased time interval when undergoing LT
(p < 0.05). Persistently, the bodyweight, albumin and hemoglobin in Group A were
significantly increased compared to Group B (all, p < 0.0001). The bilirubin,
ALT/AST and PELD score in Group A were all decreased compared to Group B (p <
0.05) prior to LT. The incidences of post-LT severe infection and hypoalbuminemia
in Group A were significantly lower than those of Group B (p < 0.05).
CONCLUSIONS: KP improves the nutritional status, preserves liver function for
patients who finally undergo LT, and decreases the incidence post-LT severe
infection and hypoalbuminemia. Mental health can be improved in parents whose
children underwent previous KP.
PMID- 29368079
TI - Modeling the Effect of Prey Refuge on a Ratio-Dependent Predator-Prey System with
the Allee Effect.
AB - The extinction of species is a major threat to the biodiversity. The species
exhibiting a strong Allee effect are vulnerable to extinction due to predation.
The refuge used by species having a strong Allee effect may affect their
predation and hence extinction risk. A mathematical study of such behavioral
phenomenon may aid in management of many endangered species. However, a little
attention has been paid in this direction. In this paper, we have studied the
impact of a constant prey refuge on the dynamics of a ratio-dependent predator
prey system with strong Allee effect in prey growth. The stability analysis of
the model has been carried out, and a comprehensive bifurcation analysis is
presented. It is found that if prey refuge is less than the Allee threshold, the
incorporation of prey refuge increases the threshold values of the predation rate
and conversion efficiency at which unconditional extinction occurs. Moreover, if
the prey refuge is greater than the Allee threshold, situation of unconditional
extinction may not occur. It is found that at a critical value of prey refuge,
which is greater than the Allee threshold but less than the carrying capacity of
prey population, system undergoes cusp bifurcation and the rich spectrum of
dynamics exhibited by the system disappears if the prey refuge is increased
further.
PMID- 29368080
TI - The Ebbinghaus illusion with small inducers appears larger on the right side.
AB - The effects of left and right alignment on the Ebbinghaus illusion were
investigated in three experiments. In Experiment 1, the Ebbinghaus illusion was
presented on the left or right side, and the points of subjective equality (PSE)
were measured. Only the central disk of the figure with small inducers was
perceived larger when it was positioned on the right side rather than on the
left. In Experiments 2 and 3, left, right, and central placement were used to
determine if the results of Experiment 1 were caused by a decrease of the
illusion on the left side or an increase of the illusion on the right side. There
was no difference in the illusion effect between the left and the center;
however, the illusion effect increased when the figure was presented on the right
side. These results suggest that a hemispheric asymmetry for global and local
spatial attention influences the laterality of the Ebbinghaus illusion.
PMID- 29368081
TI - [Influence of centralization on the outcome of esophageal perforation].
PMID- 29368082
TI - Chondroid nodule in the female peritoneum arises from normal tissue and not from
teratoma or conception product.
AB - The pathogenesis of benign-looking cartilaginous tissue within the peritoneum is
unknown. Chondroid metaplasia of subcoelomic mesenchyme has been suggested, as
has been the case for other gynecological diseases such as endometriosis,
peritoneal leiomyomatosis, or gliomatosis peritonei, but has never been proven.
Chondroid nodules in the peritoneum may represent either teratomatous tissue,
fetal rests from a conception product, or metaplasia of pluripotent mesenchymal
cells. Herein, the unique genetic characteristics of ovarian teratomas
(homozygous at many polymorphic microsatellite loci) versus normal tissues
(heterozygous at the same loci) were used to investigate the origin of chondroid
nodules in the peritoneum. DNA samples extracted from paraffin-embedded normal
peritoneal tissue and chondroid peritoneal nodules from two patients were
studied. In both cases, chondroid and normal tissue showed heterozygosity at each
informative microsatellite locus on different chromosomes, with a profile similar
to the mother. These results indicate that peritoneal chondroid nodules arise
within the peritoneum, presumably from pluripotent mesodermal stem cells, and are
not related to teratomatous proliferation, or previous pregnancy. This finding
shows once again the plasticity and metaplastic potential of stem cells within
the peritoneal cavity.
PMID- 29368084
TI - Radiological classification of retroperitoneal hematoma resulting from lumbar
vertebral fracture.
AB - PURPOSE: Lumbar vertebral fracture (LVF) infrequently produces massive
retroperitoneal hematoma (RPH). This study aimed to systematically review the
clinical and radiographic characteristics of RPH resulting from LVF. METHODS: For
193 consecutive patients having LVF who underwent computed tomography (CT),
demographic data, physiological conditions, and outcomes were reviewed from their
medical records. Presence or absence of RPH, other bone fractures, or
organ/vessel injury was evaluated in their CT images, and LVF or RPH, if present,
was classified according to either the Orthopaedic Trauma Association
classification or the concept of interfascial planes. RESULTS: RPH resulting only
or dominantly from LVF was found in 66 (34.2%) patients, whereas among the
others, 64 (33.2%) had no RPH, 38 (19.7%) had RPH from other injuries, and 25
(13.0%) had RPH partly attributable to LVF. The 66 RPHs resulting only or
dominantly from LVF were radiologically classified into mild subtype of minor
median (n = 35), moderate subtype of lateral (n = 11), and severe subtypes of
central pushing-up (n = 13) and combined (n = 7). Of the 20 patients with severe
subtypes, 18 (90.0%) were in hemorrhagic shock on admission, and 6 (30.0%) were
clinically diagnosed as dying due to uncontrollable RPH resulting from vertebral
body fractures despite no anticoagulant medication. CONCLUSIONS: LVF can directly
produce massive RPH leading to hemorrhagic death. A major survey of such
pathology should be conducted to establish appropriate diagnosis and treatment.
PMID- 29368083
TI - Reduced immunohistochemical PTEN staining is associated with higher progression
rate and recurrence episodes in non-invasive low-grade papillary urothelial
carcinoma of the bladder.
AB - Non-invasive low-grade papillary urothelial carcinoma (NILGPUC) of the bladder is
regarded as a relatively indolent disease. However, its propensity for frequent
recurrences constitutes a major clinical problem. Additionally, there is a
progression risk of 10-15% to either a higher grade and/or a higher stage disease
in these tumors. The molecular factors that will predict recurrence and
progression in low-grade pTa bladder carcinoma have not yet been elucidated.
Herein, we investigated the association of phosphatase and tensin homolog deleted
on chromosome 10 (PTEN) alterations with recurrence and progression in NILGPUC
using immunohistochemistry. Eighty-one cases of bladder cancer initially
diagnosed as NILGPUC in a single institution with follow-up were encountered
after searching medical records. Tissue microarrays (TMA) that contained both
tumor and non-neoplastic mucosa from each case were constructed using paraffin
blocks of transurethral resections. Sections from TMA blocks were stained
immunohistochemically for PTEN protein and were evaluable in 76 cases. Any
absence of staining was recorded and correlated with clinical findings. Ten
patients (13.2%) showed progression and 41 (53.9%) showed recurrence. Reduced
PTEN expression was observed in 29 cases (38.1%). Cases with reduced PTEN had
higher progression rate compared to cases with intact PTEN (p = 0.026). Tumor
relapse was more frequent in cases with reduced PTEN (65.5 vs 46.8%), but this
difference was not statistically significant (p = 0.112). On the other hand,
decreased PTEN expression was associated with higher number of recurrence
episodes (p = 0.002). PTEN seems to have a link with the disease course in
NILGPUC of the bladder.
PMID- 29368085
TI - Selective nonoperative management of liver gunshot injuries.
AB - PURPOSE: Nonoperative management (NOM) of gunshot liver injuries (GLI) is
infrequently practiced. The aim of this study was to assess the safety of
selective NOM of GLI. METHODS: A prospective, protocol-driven study, which
included patients with GLI admitted to a level 1 trauma center, was conducted
over a 52-month period. Stable patients without peritonism or sustained
hypotension with right-sided thoracoabdominal (RTA) and right upper quadrant
(RUQ), penetrating wounds with or without localized RUQ tenderness, underwent
contrasted abdominal CT scan to determine the trajectory and organ injury.
Patients with established liver and/or kidney injuries, without the evidence of
hollow viscus injury, were observed with serial clinical examinations. Outcome
parameters included the need for delayed laparotomy, complications, the length of
hospital stay and survival. RESULTS: During the study period, 54 (28.3%) patients
of a cohort of 191 patients with GLI were selected for NOM of hemodynamic
stability, the absence of peritonism and CT imaging. The average Revised Trauma
Score (RTS) and Injury Severity Score (ISS) were 7.841 and 25 (range 4-50),
respectively. 21 (39%) patients had simple (Grades I and II) and 33 (61%)
patients sustained complex (Grades III to V) liver injuries. Accompanying
injuries included 12 (22.2%) kidney, 43 (79.6%) diaphragm, 20 (37.0%) pulmonary
contusion, 38 (70.4%) hemothoraces, and 24 (44.4%) rib fractures. Three patients
required delayed laparotomy resulting in an overall success of NOM of 94.4%.
Complications included: liver abscess (1), biliary fistula (5), intrahepatic A-V
fistula (1) and hospital-acquired pneumonia (3). The overall median hospital stay
was 6 (IQR 4-11) days, with no deaths. CONCLUSION: The NOM of carefully selected
patients with GLI is safe and associated with minimal morbidity.
PMID- 29368086
TI - Correction to: Radiopharmaceutical tracers for cardiac imaging.
AB - Regrettably the original version of the above article contained errors in the
three chemical structures presented in the 'Atherosclerosis imaging' section of
Table 5, namely: 99mTc annexin V, 68Ga DOTATATE, and 64Cu DOTATATE; the chemical
structures have been corrected in Table presented here. In addition, the
radiopharmaceutical for isotope 67Ga has been corrected to 67Ga citrate, and many
of the radiopharmaceuticals presented at the end of the table have been
corrected.
PMID- 29368087
TI - Author's response to Letter-to-the-editor regarding "Are the Epworth Sleepiness
Scale and Stop-Bang Model effective at predicting the severity of obstructive
Sleep Apnoea (OSA); in particular OSA requiring treatment?"
PMID- 29368088
TI - Reply to the letter concerning "Revision adenoidectomy in children: a population
based cohort study in Taiwan".
PMID- 29368092
TI - Unscripted Responsible Research and Innovation: Adaptive space creation by an
emerging RRI practice concerning juvenile justice interventions.
AB - Emerging RRI practices have goals with respect to learning, governance and
achieving RRI outcomes (action). However, few practices actually achieve the
action phase as actors lack room to manoeuvre, and lack guidance on how to move
forward because of the inherent unscriptedness of the emerging RRI practice. In
this explorative research an emerging RRI practice is studied to identify factors
and barriers to the creation of adaptive space, in which actors can be responsive
to the other and adapt, and a narrative can be created in the act of doing. This
paper describes how formal and informal ways of organizing emerging RRI practices
contribute to adaptive space, and how the metaphorical heuristic of
improvisational theatre provides clear action principles to actors involved in
emerging RRI practices in action. The RRI practice studied here lies in the
domain of juvenile justice, where barriers that restrict room to manoeuvre are
abundant. Five factors - 'informality over formality', 'shared action space', 'be
flexible', 'keep the action moving' and 'put the relationship central' - were
identified to facilitate reflexivity and adaptation in this space.
PMID- 29368091
TI - Population genetic diversity in zebrafish lines.
AB - Toxicological and pharmacological researchers have seized upon the many benefits
of zebrafish, including the short generation time, well-characterized
development, and early maturation as clear embryos. A major difference from many
model organisms is that standard husbandry practices in zebrafish are designed to
maintain population diversity. While this diversity is attractive for
translational applications in human and ecological health, it raises critical
questions on how interindividual genetic variation might contribute to chemical
exposure or disease susceptibility differences. Findings from pooled samples of
zebrafish support this supposition of diversity yet cannot directly measure
allele frequencies for reference versus alternate alleles. Using the Tanguay lab
Tropical 5D zebrafish line (T5D), we performed whole genome sequencing on a large
group (n = 276) of individual zebrafish embryos. Paired-end reads were collected
on an Illumina 3000HT, then aligned to the most recent zebrafish reference genome
(GRCz10). These data were used to compare observed population genetic variation
across species (humans, mice, zebrafish), then across lines within zebrafish. We
found more single nucleotide polymorphisms (SNPs) in T5D than have been reported
in SNP databases for any of the WIK, TU, TL, or AB lines. We theorize that some
subset of the novel SNPs may be shared with other zebrafish lines but have not
been identified in other studies due to the limitations of capturing population
diversity in pooled sequencing strategies. We establish T5D as a model that is
representative of diversity levels within laboratory zebrafish lines and
demonstrate that experimental design and analysis can exert major effects when
characterizing genetic diversity in heterogeneous populations.
PMID- 29368093
TI - Parkinson's Disease, the Dopaminergic Neuron and Gammahydroxybutyrate.
AB - The high energy demands of the substantia nigra pars compacta dopaminergic
(DASNc) neurons render these neurons vulnerable to degeneration. These energy
demands are a function of their long and extensively arborized axons and very
large number of transmitter release sites, and are further augmented by their
natural pacemaking activity. Pacemaking is driven by the rhythmic entry of Ca2+
into the cell and, while the entry of Ca2+ into the neuron stimulates energy
(ATP) production, the extrusion of Ca2+ conversely saps the energy that is
generated. DASNc neurons are said to be operating at a delicate equilibrium where
any further stress or environmental demand may lead to their decompensation and
degeneration. In experimental models of Parkinson's disease, reducing the energy
requirements of these neurons by trimming the size of the neuronal arbor or by
impeding the entry of Ca2+ into the cell has been shown to be protective.
Increasing the energy supply to these neurons with D-beta-hydroxybutyrate has
also been shown to be protective. The use of gammahydroxybutyrate holds great
promise as a neuroprotective in Parkinson's disease because it can act as an
energy source for the cell while simultaneously arresting its pacemaking activity
and the entry of Ca2+ into the cell. Short clinical trials of
gammahydroxybutyrate in Parkinson's disease have already demonstrated its
immediate capacity to significantly reduce daytime fatigue and sleepiness and to
improve sleep at night.
PMID- 29368094
TI - Correction to: Tryptophan depletion under conditions that imitate insulin
resistance enhances fatty acid oxidation and induces endothelial dysfunction
through reactive oxygen species-dependent and independent pathways.
AB - In the original publication of the article, last author's name was misspelt. The
correct name is given here.
PMID- 29368095
TI - Falcarindiol inhibits LPS-induced inflammation via attenuating MAPK and JAK-STAT
signaling pathways in murine macrophage RAW 264.7 cells.
AB - Falcarindiol (FAD) is a natural polyacetylene compound found rich in many plants
of the Umbelliferae family. Previously, we isolated FAD from the rhizome of
Cnidium officinale Makino, which belongs to the Umbelliferae family and found it
to have a significant inhibitory effect on lipopolysaccharide (LPS)-induced
production of nitric oxide, a pro-inflammatory molecule in murine macrophage RAW
264.7 cells. In this study, we investigated its effect on the expression of other
major pro-inflammatory molecules as well as the mechanism underlying these
effects. Pre-treatment of RAW 264.7 cells with FAD suppressed LPS-stimulated mRNA
expression of inducible nitric oxide synthase (iNOS), tumor necrosis factor alpha
(TNFalpha), interleukin-6 (IL-6), and interleukin-1 beta (IL-1beta) and thereby
reduced the respective protein levels. Mechanistic studies demonstrated that FAD
attenuated the LPS-induced activation of JNK, ERK, STAT1, and STAT3 signaling
molecules. Moreover, we found that FAD did not influence LPS-induced activation
of p38 and NFkappaB signaling pathways. Collectively, this study provides
evidence that FAD inhibits the production of major pro-inflammatory molecules in
LPS-challenged murine macrophages via suppression of JNK, ERK, and STAT signaling
pathways.
PMID- 29368097
TI - Sudden development of adult-onset type II citrullinemia after total gastrectomy:
a case report.
AB - BACKGROUND: Adult-onset type II citurullinemia is an autosomal recessive disorder
characterized by recurrent encephalopathy with hyperammonemia resulting from high
plasma citrulline and ammonium levels. This report describes a rare case of adult
onset type II citurullinemia that occurred in a patient who only had the
heterozygote mutation, and had never presented with any symptoms before surgery.
CASE PRESENTATION: A 56-year-old man underwent a total gastrectomy for stomach
cancer. On postoperative Day 13, he suddenly developed presyncope, and blood
tests showed hyperammonemia and high levels of serum citrulline. He was diagnosed
with hepatic encephalopathy. DNA analysis revealed a heterozygote mutation in
Solute Carrier Family 25. Although the patient received a conservative treatment,
episodes of loss of consciousness and abnormality of behavior repeatedly
occurred. CONCLUSION: Abdominal surgery involving the reconstruction of digestive
tract alters the mechanisms of absorption and/or metabolism such that the
symptoms of adult-onset type II citurullinemia may arise. Liver transplantation
should be performed if all conservative treatments are unsuccessful.
PMID- 29368098
TI - Submandibular lymphadenopathy in a child post-renal transplant-"What lies
beneath?!": Questions.
PMID- 29368096
TI - Decitabine augments cytotoxicity of cisplatin and doxorubicin to bladder cancer
cells by activating hippo pathway through RASSF1A.
AB - Genetic abnormalities and epigenetic alterations both play vital role in
initiation as well as progression of cancer. Whereas genetic mutations cannot be
reversed, epigenetic alterations such as DNA methylation can be reversed by the
application of DNA methyltransferase inhibitor decitabine. Epigenetic silencing
of RASSF1A and involvement of hippo pathway both have been shown to involve in
chemo-resistance. Purpose of this study was to observe the effect of combination
treatment of decitabine with cisplatin or doxorubicin on bladder cancer cells
involving hippo pathway through RASSF1A. Bladder cancer cells (HT1376 & T24) were
treated with decitabine and its effect on RASSF1A expression, hippo pathway
molecules (MST & YAP), and its downstream targets (CTGF, CYR61 & CTGF) was
observed. Effect of decitabine pretreatment on sensitivity of bladder cancer
cells towards chemotherapeutic drugs was also studied. Decitabine treatment leads
to restoration of RASSF1A, activation of hippo pathway followed by decreased
expression of its oncogenic downstream targets (CTGF & CYR61). Further
pretreatment of decitabine enhanced cytotoxicity of cisplatin and doxorubicin to
bladder cancer cells.
PMID- 29368099
TI - Submandibular lymphadenopathy in a child post-renal transplant-"What lies
beneath?!": Answers.
PMID- 29368100
TI - Erratum to: Fungal and plant gene expression in arbuscular mycorrhizal symbiosis.
PMID- 29368101
TI - Erratum to: Mycorrhiza for science and society-5th International Conference on
Mycorrhiza (ICOM5).
PMID- 29368103
TI - Culturally-Relevant Online Education Improves Health Workers' Capacity and Intent
to Address Cancer.
AB - To address a desire for timely, medically-accurate cancer education in rural
Alaska, ten culturally-relevant online learning modules were developed,
implemented, and evaluated with, and for, Alaska's Community Health
Aides/Practitioners (CHA/Ps). The project was guided by the framework of
Community-Based Participatory Action Research, honored Indigenous Ways of
Knowing, and was informed by Empowerment Theory. Each learner was invited to
complete an end-of-module evaluation survey. The survey asked about changes in
intent to share cancer information with patients as a result of the module. In 1
year, August 1, 2016-July 31, 2017, 459 surveys were completed by 79 CHA/Ps.
CHA/Ps reported that, because of the modules, they felt more knowledgeable about
cancer, and more comfortable, confident, and prepared to talk about cancer with
their patients, families, and communities. All learners shared that because of
the modules, they intended to talk with their patients more often about cancer
screenings, tobacco cessation, physical activity, or nutrition. These findings
suggest that the application of this collaboratively developed, culturally
relevant, health promotion intervention has supported increased CHA/P capacity
and intent to interact with patients about cancer. In the words of a learner:
"Doing all these courses makes me a ton times more comfortable in talking about
cancer with anyone. I didn't know too much about it at first but now I know a
whole lot. Thank you".
PMID- 29368102
TI - Human Papilloma Virus Vaccination Among Adolescents in a Community Clinic Before
and After Intervention.
AB - Human Papilloma Virus (HPV) is the most common sexually transmitted disease with
over 14 million infections in 2008. Certain HPV types have been identified in up
to 70% of cases of cervical and anal cancers. Despite being safe and effective,
HPV vaccination rates remain low. Vaccination and demographic data was collected
pre-and post-intervention. Among 13 thru 17-year-old cohort females were
significantly more likely to be fully vaccinated. Assessment also found that
patients insured by Medicaid were significantly more likely to be fully
vaccinated than patients insured privately. Post-intervention vaccination rate is
similar to baseline rates. There was non-significant improvement in HPV
vaccination coverage after intervention. Male and privately insured patients of
Creighton's Pediatric Clinic have lower HPV vaccination coverage than their
counterparts. More direct efforts are needed in vaccination process and policy in
the clinic to improve immunization against HPV among children and adolescents.
PMID- 29368104
TI - Discrimination and Health Among Taxi Drivers in New York and Toronto.
AB - Immigrant taxi drivers in metropolitan cities are exposed to experiences of
discrimination and occupation-based health risks. Given the structural
differences in health care systems in the United States and Canada, we
investigated the differences in reports of discrimination, health conditions and
concern about health conditions between taxi drivers in New York City and
Toronto, Ontario. Participants were recruited for a taxi driver Needs Assessment
Survey as part of a Taxi Network needs assessment project using a street side
convenience sampling technique in New York City and Toronto. The matched sample
contained 33 drivers from Toronto and 33 drivers from NYC. All Toronto drivers in
our sample reported having health insurance while over a quarter of NYC drivers
did not have health insurance. Toronto drivers reported greater everyday and
workplace discrimination. Drivers in both cities experienced higher rates than
average, and reported concern about, major health conditions. We also found
preliminary evidence suggesting a relationship between experiencing
discrimination and reporting chronic pain. Our findings suggest the need for
future research to more closely examine the associations between discrimination
and health among the taxi driver population.
PMID- 29368105
TI - Rapid Transition of Facial Features from Early to Mid - Adolescence in Autosomal
Dominant Hyper IgE Syndrome with a STAT3 Variation.
PMID- 29368106
TI - Field Testing of IAP2015 Charts.
AB - OBJECTIVES: To field test the IAP2015 (Indian Academy of Pediatrics) charts in
different socioeconomic classes; to compare standard deviation scores (Z-scores)
of children's height, weight and body mass index (BMI) for age as computed based
on IAP2007 or WHO charts against the IAP2015 references. METHODS: A cross
sectional observational study was conducted in the district of Pune on apparently
healthy 5-18 y old children from urban affluent, urban underprivileged and rural
areas. Anthropometric measurements were performed and parameters were converted
into Z-scores. RESULTS: Urban affluent children were tallest and heaviest whereas
urban underprivileged children were shortest. Z-score comparison showed that all
children were shortest on WHO references and taller as per IAP2007 references.
BMI Z-score was higher on IAP2007 charts and lower on WHO references. Highest
number of children were within the reference range on IAP2015 charts among urban
affluent. More children were classified stunted on WHO references and least on
IAP2007 references. WHO references overestimated wasting and as per IAP2007
charts, less number were wasted. Less number of children were classified as being
obese/overweight by WHO and IAP2007 references. CONCLUSIONS: IAP2015 charts are
better representative of healthy children of current generation and may be used
to prevent inappropriate classification in present scenario.
PMID- 29368107
TI - Predictors of Severity in Pediatric Scrub Typhus.
AB - OBJECTIVE: To identify the factors that can predict the severity of scrub typhus
in children. METHODS: This prospective study was conducted at a tertiary care
teaching hospital between November 2014 to October 2016. All children <12y of age
admitted with fever for more than 7d and positive IgM ELISA for scrub typhus were
included in the study. These children were followed during the hospital course
and their laboratory results, response to treatment and complications were
documented. Cases of 'severe scrub typhus' were identified based on criteria from
published adult and pediatric studies. RESULTS: Out of 930 children with fever
for more than 7d, IgM ELISA for scrub typhus was positive in 230 children. Ninety
one (40.6%) cases fulfilled the criteria of 'severe scrub typhus' in children.
Out of 45 factors, 27 potential factors were studied. The multivariate analysis
identified 5 factors, breathlessness (OR: 6.85, 95%CI: 2.69 to 9.87), altered
sensorium (OR: 11.48, 95% CI 3.43 to 10.19), leucocytosis (OR: 3.38, 95% CI 1.12
to 10.16), hypoalbuminemia (OR: 10.78, 95% CI 2.66 to 48.76), and hyponatremia
(OR: 10.08, 95% CI 2.11 to 23.42) to be significantly associated with the 'severe
scrub typhus' cases. CONCLUSIONS: Breathlessness, altered sensorium,
leucocytosis, hypoalbuminemia, and hyponatremia predict severity in childhood
scrub typhus. Presence of these factors should alert the treating physician
regarding the need for intensive monitoring, treatment or referral.
PMID- 29368108
TI - Intracranial Hematopoiesis in Beta Thalassemia: A Case Series.
AB - Extramedullary hematopoiesis (EMH) is a normal response to failure of
hematopoiesis at its normal site i.e., bone marrow. It is a manifestation of many
congenital hemolytic anemias and marrow failure secondary to myelodysplastic
syndromes. Usually, extramedullary myeloid proliferation occurs in liver, spleen
and lymph nodes. However, there are many unusual sites where EMH can occur. The
authors report two cases of intracranial extramedullary hematopoiesis in beta
thalassemia. In one of these patients, epidural soft tissue was detected along
frontal and parietal convexities causing compression of brain parenchyma leading
to raised intracranial tension and sagging of brain stem, corpus callosum and
herniation of cerebellar tonsils. The other case had a similar but unilateral
epidural soft tissue. Expansion of diploic spaces of skull was seen in both these
cases. As myeloid proliferation is slow, it presents with subtle symptoms of
headache and gradually progressive lower limb weakness. A high index of clinical
suspicion coupled with imaging findings is the only way to confirm the diagnosis.
PMID- 29368109
TI - 'Quality of Life' of Parents of Children Suffering from Pediatric Malignancies in
a Low Income Setting.
AB - OBJECTIVES: To evaluate the impact of pediatric malignancies on quality of life
(QOL) and psychological status of parents and to correlate it with well-matched
controls and socioeconomic status. METHODS: A prospective comparative cross
sectional study was conducted. Seventy parents of children diagnosed with
pediatric malignancies within the last three months were enrolled in the study
group (SG) and 50 matched parents of healthy children as the control group (CG).
Assessment was done by WHOQOL-BREF questionnaire, Depression Anxiety Stress Score
(DASS) scale and Kuppuswamy scale. Data analysis was done by using Statistical
Package for social sciences (SPSS) version 20.0. p value <0.05 considered as
significant. RESULTS: Mean score of QOL for SG in physical health domain (D1),
psychological health (D2), social relationships (D3) and environment health (D4)
was 48.64, 43.07, 47.36, and 40.58 respectively whereas that of CG was 79.38,
76.32, 80.58 and 72.86 respectively and the difference was statistically
significant (p value <0.001). The environmental domain (D4) had the lowest mean
score amongst all domains in the SG. QOL was maximally affected by the parameter
sleep, depression, personal relationship and lack of information in the
respective domains. Mean depression, anxiety and stress score of SG was 23.43,
20.33, 23.56 respectively whereas that of the CG was 7.1, 8.06 and 8.54
respectively and this was statistically significant (p value <0.001). The QOL of
SG in D1 for the lower socioeconomic class was 48.86 and for the upper class was
63 and this difference was statistically significant (p value <0.015). Similarly
in D2 and D4 the QOL scores went higher with the socioeconomic class and this was
statistically significant (p value < 0.007 and p value <0.030 respectively).
CONCLUSIONS: SG had poorer QOL and were significantly more depressed, anxious and
stressed. It is concluded that effective interventions are needed to aid these
families to improve outcomes by delivering the benefit of vastly improved
therapeutic strategies in this field.
PMID- 29368110
TI - Effect of Folic Acid Supplementation on Seizure Control in Epileptic Children
Receiving Long Term Antiepileptic Therapy.
AB - OBJECTIVE: To evaluate the effect of folic acid supplementation on seizure
control in folate deficient children receiving long term antiepileptic therapy.
METHODS: In a prospective interventional study, 140 children between age group 6
mo to 180 mo fulfilling the inclusion criteria were enrolled in study group, from
October 2015 through November 2016. On the basis of serum folate, study group was
divided into two subgroups by non randomization: Group A (<10 ng/ml) given folic
acid supplementation for 3 mo and Group B (>10 ng/ml) was not supplemented.
Response to folic acid supplementation in group A was compared with group B in
terms of change in blood folate levels, frequency and duration of seizures after
three months. RESULTS: Mean age of study group was 73.58 +/- 46.89 mo (72.14%
boys and 27.85% girls). 67.85% children were in group A and 32.14% in group B. On
3 mo follow up, children supplemented with folic acid (Group A) had significant
fall in mean seizure frequency while in non-supplemented children (Group B), no
significant change was seen (p value <0.05). Similar reduction in duration of
seizure episode was seen in group A as compared to group B. Serum and RBC folate
levels improved from baseline in group A, while in group B there was significant
fall in folate levels. CONCLUSIONS: Folate deficiency is common in epileptic
children on long term antiepileptic drugs (AEDs), contributes to poor seizure
control and should be considered in the etiologic differentials of drug resistant
epilepsy. Folate supplementation improves seizure control in these children.
PMID- 29368111
TI - Effects of Taurine Supplementation on Growth in Low Birth Weight Infants: A
Systematic Review and Meta-Analysis.
AB - OBJECTIVE: To summarize the available randomized controlled trials (RCTs) to
evaluate the effect of taurine supplementation on growth in low birth weight
infants (LBW). METHODS: PubMed, EmBase, and Cochrane Library electronic databases
were searched for published articles through March 2017. Analysis was done to
examine the effect of taurine supplementation on growth, and sensitivity analysis
was performed by removing each individual study from meta-analysis. RESULTS:
Results of 9 trials totaling 216 LBW infants in the present meta-analysis were
collected and analyzed. The conclusion of included studies demonstrated that
taurine supplementation significantly reduced length gain (WMD:-0.18; P < 0.001),
plasma glycine (WMD:-106.71; P = 0.033), alanine (WMD:-229.30; P = 0.002),
leucine (WMD:-64.76; P < 0.001), tyrosine (WMD:-118.11; P < 0.001), histidine
(WMD:-52.16; P < 0.001), proline (WMD: -84.29; P = 0.033), and asparagine
glutamine (WMD:-356.30; P < 0.001). However, taurine supplementation was
associated with higher levels of acidic sterols (WMD:0.61; P = 0.024), total
fatty acids (WMD:7.94; P = 0.050), total saturated fatty acids (WMD:9.70; P <
0.001), and unsaturated fatty acids (WMD:6.63; P < 0.001). Finally, taurine
supplementation had little or no significant effect on weight gain, head
circumference gain, plasma taurine, threonine, serine, citrulline, valine,
methionine, isoleucine, phenylalanine, ornithine, lysine, arginine, glutamate,
hydroxyproline, aspartate, dietary cholesterol, endogenous neutral sterols,
cholesterol synthesis, and medium-chain triglycerides. CONCLUSIONS: The findings
suggest that although there are several significant differences in plasma
indeces, no significant effect on growth in LBW infants was observed with taurine
supplementation.
PMID- 29368112
TI - Evolving Treatment Paradigms in Non-clear Cell Kidney Cancer.
AB - OPINION STATEMENT: With no therapy specifically approved for non-clear cell
cancers of the kidney, this disease remains an orphan site. Clear cell renal
cancers (ccRCC) have seen a flurry of activity with multiple agents gaining Food
and Drug Administration (FDA) approval in recent years. Simultaneously, non-clear
cell RCC (ncRCC) have also seen a fair share of activity and exploration of new
agents in development but no specific FDA approvals. Non-clear cell RCC is a
mixed bag of multiple types of tumors originating in the kidney with distinct
clinical molecular and genetic characteristics that vary significantly from clear
cell carcinoma of the kidney. Conventionally, non-clear cell RCC have been
treated with the same therapies as clear cell RCC. The clinical trials are
typically conducted in ccRCC and the FDA approval covers non-clear cell cancer as
well. Few randomized clinical trials have been conducted specifically for ncRCC.
With the advent of molecular and tumor genomic testing, leading to discovery of
targets and associated therapies for ncRCC, a specific review of the state of
management of this disease is timely and clinically relevant.
PMID- 29368113
TI - 3D Printed "Starmix" Drug Loaded Dosage Forms for Paediatric Applications.
AB - PURPOSE: Three- dimensional (3D) printing has received significant attention as a
manufacturing process for pharmaceutical dosage forms. In this study, we used
Fusion Deposition Modelling (FDM) in order to print "candy - like" formulations
by imitating Starmix(r) sweets to prepare paediatric medicines with enhanced
palatability. METHODS: Hot melt extrusion processing (HME) was coupled with FDM
to prepare extruded filaments of indomethacin (IND), hypromellose acetate
succinate (HPMCAS) and polyethylene glycol (PEG) formulations and subsequently
feed them in the 3D printer. The shapes of the Starmix(r) objects were printed in
the form of a heart, ring, bottle, ring, bear and lion. Differential scanning
calorimetry (DSC), X-ray powder diffraction (XRPD), Fourier Transform Infra-red
Spectroscopy (FT-IR) and confocal Raman analysis were used to assess the drug -
excipient interactions and the content uniformity. RESULTS: Physicochemical
analysis showed the presence of molecularly dispersed IND in the printed tablets.
In vivo taste masking evaluation demonstrated excellent masking of the drug
bitterness. The printed forms were evaluated for drug dissolution and showed
immediate IND release independently of the printed shape, within 60 min.
CONCLUSIONS: 3D printing was used successfully to process drug loaded filaments
for the development of paediatric printed tablets in the form of Starmix(r)
designs.
PMID- 29368114
TI - Correction to: Synthetic Biology and the Translational Imperative.
AB - The author group of above-mentioned review paper was incorrectly published in the
online article.
PMID- 29368115
TI - Efficacy of low glycemic index treatment in epileptic patients: a systematic
review.
AB - Low glycemic index treatment (LGIT) is one of the new kinds of ketogenic diet
(KD), designed to simplify the implementation of the KD. To date, several studies
have attempted to determine the efficacy of LGIT in patients with epilepsy;
however, their results are debatable. This study aims to retrieve all the LGIT
studies to shed light on LGIT efficacy in epileptic patients. Electronic
literature databases including MEDLINE/PubMed, Web of Science, Scopus, EMBASE
were searched in July 2017. Interventional or observational studies exploring the
efficacy of LGIT were included in the study. The National Heart, Lung, and Blood
Institute quality assessment tool was used for the quality assessment of included
studies. Two hundred and eighteen records were retrieved through a literature
search. Following the screening process, eight studies were included. According
to the ratings of the quality assessment tool, four studies were classified as
good and four were categorized as fair. The result of the current study reveals
that LGIT has a beneficial effect in patients with intractable epilepsy. However,
more high-quality studies are required to determine the efficacy of LGIT in
patients with intractable epilepsy.
PMID- 29368116
TI - Reliability and validity of telephonic Barthel Index: an experience from multi
centric randomized control study.
AB - Telephonic Barthel Index (BI) assessment is less time-consuming and more feasible
than a face-to-face interview. The aim of this study was to test the validity as
well as reliability of the BI administered by telephone in comparison with face
to-face assessment in a multi-centric study. The study was conducted during the
course of a randomized controlled trial in which 120 patients with subacute
strokes from five teaching hospitals from different parts of India were
recruited. Central telephonic follow-up and face-to-face assessment of BI and
modified Rankin Scale (mRS) at 3 and 6 months were done by trained and certified
blinded researchers. Kappa or weighted kappa (wK) was estimated. Sensitivity and
specificity at various cutoff levels of telephonic BI were calculated. Concurrent
validity of the telephonic BI was assessed by correlating it with the mRS and
National Institutes of Health Stroke Scales (NIHSS) at 3 and 6 months. We
observed high sensitivity and specificity at various cutoff levels of BI.
Moderate to substantial agreement was observed between the two methods at 6
months wK 0.72 (95% CI 0.70-0.77). Item-wise and center-wise kappa also reflected
substantial agreement. The study shows that telephonic assessment of activities
of daily living with the BI in moderate to severely disabled stroke patients is
valid and reliable compared to face-to-face assessment. Our study shows that
telephonic assessment requires smaller sample size compared to face-to-face
assessment of BI.
PMID- 29368117
TI - Beyond V40.31: Narrative Phenomenology of Wandering in Autism and Dementia.
AB - Research on autism spectrum disorder (ASD) and on Alzheimer's Disease (AD) and
other types of dementia describes a behaviour called 'wandering', a term that
denotes movement through space lacking intention or exact destination, as when a
person is disoriented or not self-aware. In the U.S., 'wandering' in both ASD and
AD has been examined mostly from a management and prevention perspective. It
prioritizes safety while primarily overlooking personal experiences of those who
'wander' and their families, thus limiting the range of potentially effective
strategies to address this issue. Communicative challenges faced by many people
diagnosed with ASD and AD further obscure the experiential, existential aspects
of 'wandering'. This article reflects an increasing concern of social science
scholars interested in whether and how the conceptual and practical strategies to
address 'wandering' are informed by the situated experiences of people with
cognitive and developmental disabilities and their families. We examine
'wandering' at the intersections of personal experience, family life, clinical
practice, public health policy, and legislation, as a conceptually rich site
where notions of personhood, subjectivity, intentionality, and quality of life
powerfully and consequentially converge to impact the lives of many people with
ASD and AD, and their families. We draw upon critical autism studies describing
how attributions of personhood, subjectivity, intentionality, rational agency,
and moral autonomy of people with ASD have been contingent upon the norms and
conventions governing movement of the human body through space (Hilton, Afr Am
Rev 50(2):221-235, 2017). When this movement is deemed aberrant, the person may
be construed as irrational, a danger to self because of a lack of self-awareness,
and a danger to others because of a lack of empathy. These attributions put the
person at risk of being excluded from the considerations and, more importantly,
the obligations of the 'moral community' to ensure that he or she has a 'good
human life' (Barnbaum, The Ethics of Autism: Among Them but not of Them. Indiana
University Press, Bloomington, 2008; Silvers and Francis, Metaphilosophy
40(3/4):475-498, 2009). Using ethnographic, narrative phenomenological
(Mattingly, The Paradox of Hope: Journeys through a Clinical Borderland.
Berkeley: University of California Press, 2010), and medical humanities (Charon,
JAMA 286:1897-1902, 2001; Narrative Medicine: Honoring the Stories of Illness.
New York: Oxford University Press, 2006) approaches, we examine multiple
perspectives on 'wandering' in ASD and AD across narrative discourse genres,
institutional contexts, and media of representation. We argue for an extension of
the prevention and management view to focus not only on safety but also on what
phenomenologist Merleau-Ponty (1962) called "having a world" (p. 146). The
analysis is intended to inform clinical practice, policy and public health
efforts to enhance understanding of first and second person perspectives on
'wandering' in order to improve the participation and quality of life of people
with ASD and AD who 'wander', and their families.
PMID- 29368119
TI - [German congress for healthcare research : Solutions and innovations for German
health policy in the new legislative period].
AB - The German congress for healthcare research reached a new historic record of 859
participants from healthcare research, practice, and policy who visited the
congress from 4-6 October 2017 to discuss developments, results, and innovations
in healthcare research.The German healthcare system will face key challenges over
the coming years. As the German population is getting older and disease incidence
will become more complex, the number of healthcare professionals will
simultaneously decrease.The experts provided solutions and innovations in 322
lectures and in 239 poster presentations.Hot topics included innovative and cross
sectoral healthcare concepts, patient safety, new forms of division of labor,
telemedicine, eHealth as well as projects of the innovation fund and the Federal
Ministry for Education and Research.Healthcare experts state that healthcare
research is a key partner of patients, health policy, and self-government. The
experts declare that future healthcare should be regional, cross-sectoral, and
should include all healthcare professions. They formulate several demands on
health policy for the new legislative period.
PMID- 29368118
TI - A tribute to Ulrich Heber (1930-2016) for his contribution to photosynthesis
research: understanding the interplay between photosynthetic primary reactions,
metabolism and the environment.
AB - The dynamic and efficient coordination of primary photosynthetic reactions with
leaf energization and metabolism under a wide range of environmental conditions
is a fundamental property of plants involving processes at all functional levels.
The present historical perspective covers 60 years of research aiming to
understand the underlying mechanisms, linking major breakthroughs to current
progress. It centers on the contributions of Ulrich Heber who had pioneered novel
concepts, fundamental methods, and mechanistic understanding of photosynthesis.
An important first step was the development of non-aqueous preparation of
chloroplasts allowing the investigation of chloroplast metabolites ex vivo
(meaning that the obtained results reflect the in vivo situation). Later on,
intact chloroplasts, retaining their functional envelope membranes, were isolated
in aqueous media to investigate compartmentation and exchange of metabolites
between chloroplasts and external medium. These studies elucidated metabolic
interaction between chloroplasts and cytoplasm during photosynthesis. Experiments
with isolated intact chloroplasts clarified that oxygenation of ribulose-1.5
bisphosphate generates glycolate in photorespiration. The development of non
invasive optical methods enabled researchers identifying mechanisms that balance
electron flow in the photosynthetic electron transport system avoiding its over
reduction. Recording chlorophyll a (Chl a) fluorescence allowed one to monitor,
among other parameters, thermal energy dissipation by means of 'nonphotochemical
quenching' of the excited state of Chl a. Furthermore, studies both in vivo and
in vitro led to basic understanding of the biochemical mechanisms of freezing
damage and frost tolerance of plant leaves, to SO2 tolerance of tree leaves and
dehydrating lichens and mosses.
PMID- 29368120
TI - [Requirements for CE-marking of apps and wearables].
AB - Depending on the intended use, apps and wearables can be medical devices. In such
cases, the manufacturer has to provide evidence that the requirements stated in
directive 93/42/EWG are fulfilled. Depending on the classification of the medical
device, several so-called conformity assessment procedures are possible. Once the
conformity assessment procedure has been finished successfully, the manufacturer
attaches the CE-marking to the product. This assures that all requirements of the
directive have been fulfilled and the manufacturer is therefore authorized to put
the product onto the market in all member states of the European union. In this
article, the possible and practical conformity assessment procedures for apps and
wearables are described and their implementation is outlined.For medical devices
with sufficiently high-risk classification, the manufacturer has to involve a
Notified Body. For the conformity assessment procedure according to annex II, the
manufacturer implements a full quality management system and compiles technical
documentation. These are supervised and evaluated by Notified Body audits.
Especially for startups, it is important for the development of apps and
wearables to implement a quality management system early and to fulfill the
regulatory requirements, for example, related to the software life-cycle model.
This also includes considering accompanying processes during development like
risk management, usability engineering, and clinical evaluation.Additionally, it
should be pointed out, that according to the new medical device regulation almost
all apps will fall at least into class IIa. Thus, the involvement of a Notified
Body in the related conformity assessment procedures would be required. Apps that
have already been put onto the market as class I devices, and are now upgraded to
a higher class, need the approval of a notified body starting from 26 May 2020.
PMID- 29368121
TI - [Assessing the benefits of digital health solutions in the societal reimbursement
context].
AB - For a number of reasons, achieving reimbursability for digital health products
has so far proven difficult. Demonstrating the benefits of the technology is the
main hurdle in this context. The generally accepted evaluation processes,
especially parallel group comparisons in randomized controlled trials (RCTs) for
(clinical) benefit assessment, are primarily intended to deal with questions of
(added) medical benefit. In contrast to drugs or classical medical devices, users
of digital health solutions often profit from gaining autonomy, increased
awareness and mindfulness, better transparency in the provision of care, and
improved comfort, although there are also digital solutions with an
interventional character targeting clinical outcomes (e. g. for indications such
as anorexia, depression). Commonly accepted methods for evaluating (clinical)
benefits primarily rely on medical outcomes, such as morbidity and mortality, but
do not adequately consider additional benefits unique to digital health. The
challenge is therefore to develop evaluation designs that respect the
particularities of digital health without reducing the validity of the
evaluations (especially with respect to safety). There is an increasing need for
concepts that include both continuous feedback loops for adapting and improving
an application while at the same time generate sufficient evidence for complex
benefit assessments. This approach may help improve risk benefit ratio
assessments of digital health when it comes to implementing digital innovations
in healthcare.
PMID- 29368122
TI - Native rotational knee kinematics are lost in bicruciate-retaining total knee
arthroplasty when the tibial component is replaced.
AB - PURPOSE: To compare the kinematics between native knees and knees that have
undergone bicruciate-retaining (BCR) total knee arthroplasty (TKA) with cruciate
retaining (CR) TKA converted from BCR TKA in the same whole-body cadaveric
specimen using a navigation system and, if differences exist, to investigate the
point at which normal kinematics are lost during the procedure. METHODS: The
rotational kinematics throughout passive flexion of the native knee and of knees
after meniscectomy, femoral replacement, BCR TKA, or CR TKA were assessed in nine
fresh frozen cadavers using an image-free navigation system. RESULTS: The
rotational kinematic pattern of a knee after BCR TKA was different from that of a
native knee, especially in the early flexion phase, and was similar to that after
CR TKA. Screw-home movement was not observed after BCR TKA, but still occurred
after meniscectomy or femoral replacement with intact cruciate ligaments and an
intact tibial articular surface. CONCLUSION: The rotational kinematics of the
native knee are not always preserved after BCR TKA. Native rotational kinematics
are preserved after meniscectomy and femoral replacement, but are lost after
tibial replacement in BCR TKA. Surgeons should pay close attention to maintain
the anteroposterior stabilizing function of the ACL in BCR TKA, rather than to
restore the native rotational kinematics.
PMID- 29368123
TI - Videodefecography is still superior to magnetic resonance defecography in the
study of obstructed defecation syndrome.
PMID- 29368125
TI - Overview of Current and Future First-Line Systemic Therapy for Metastatic Clear
Cell Renal Cell Carcinoma.
AB - OPINION STATEMENT: Treatment of metastatic clear cell renal cancer (mccRCC) has
seen substantial progress over the last 20 years, with many regulatory approvals
since 2006 culminating in a substantial increase to overall survival (OS). Six
therapies are currently available for first-line use, with additional treatments
currently being tested in this setting, some of which are expected to be approved
soon based on new data from the CABOSUN and CheckMate-214 trials. Based on the
available evidence, we strongly believe that vascular endothelial growth factor
tyrosine kinase inhibitor (VEGF-TKI) therapy over mechanistic target or rapamycin
(mTOR; formerly known as mammalian target of rapamycin) inhibitor therapy is the
most effective first-line option regardless of risk category assignment. High
dose interleukin-2 (HDIL-2) therapy remains a reasonable treatment option in
patients with Eastern Cooperative Oncology Group (ECOG) performance status 0-1
and have minimal comorbid conditions. In the near future, these agents are likely
to be surpassed by cabozantinib and by combination immune checkpoint inhibitor
therapy with nivolumab and ipilimumab. Independent review has recently confirmed
superiority of first-line cabozantinib over sunitinib in a phase 2 trial of 157
patients with intermediate or poor risk mccRCC (progression-free survival [PFS]
8.6 vs 5.3 months, hazard ratio [HR] 0.48, p = 0.0008). In a separate study of
1096 patients treated with either upfront sunitinib or the combination of
nivolumab and ipilimumab, those with intermediate and poor risk had significant
improvement in both PFS (11.6 vs 8.4 months, HR 0.82, p = 0.0331) and OS (not
reached vs 26 months, p < 0.0001). Responses were greater in patients with
positive programmed death receptor ligand-1 (PD-L1) tumor staining, and pending
regulatory approval may become standard of care in untreated patients with
intermediate to poor risk disease with positive PD-L1 status. This likely
represents the beginning of additional novel immunotherapy combinations for the
first-line treatment of mccRCC.
PMID- 29368124
TI - Origins of Portal Hypertension in Nonalcoholic Fatty Liver Disease.
AB - Nonalcoholic fatty liver disease (NAFLD) advanced to cirrhosis is often
complicated by clinically significant portal hypertension, which is primarily
caused by increased intrahepatic vascular resistance. Liver fibrosis has been
identified as a critical determinant of this process. However, there is evidence
that portal venous pressure may begin to rise in the earliest stages of NAFLD
when fibrosis is far less advanced or absent. The biological and clinical
significance of these early changes in sinusoidal homeostasis remains unclear.
Experimental and human observations indicate that sinusoidal space restriction
due to hepatocellular lipid accumulation and ballooning may impair sinusoidal
flow and generate shear stress, increasingly disrupting sinusoidal
microcirculation. Sinusoidal endothelial cells, hepatic stellate cells, and
Kupffer cells are key partners of hepatocytes affected by NAFLD in promoting
endothelial dysfunction through enhanced contractility, capillarization, adhesion
and entrapment of blood cells, extracellular matrix deposition, and
neovascularization. These biomechanical and rheological changes are aggravated by
a dysfunctional gut-liver axis and splanchnic vasoregulation, culminating in
fibrosis and clinically significant portal hypertension. We may speculate that
increased portal venous pressure is an essential element of the pathogenesis
across the entire spectrum of NAFLD. Improved methods of noninvasive portal
venous pressure monitoring will hopefully give new insights into the pathobiology
of NAFLD and help efforts to identify patients at increased risk for adverse
outcomes. In addition, novel drug candidates targeting reversible components of
aberrant sinusoidal circulation may prevent progression in NAFLD.
PMID- 29368127
TI - Efficacy and Safety of Tiotropium in Children and Adolescents.
AB - Asthma is one of the most common chronic diseases in children, with a high
proportion of patients demonstrating poor control despite the availability of
disease management guidelines. Global Initiative for Asthma guidelines include
tiotropium as an add-on therapy option at Steps 4 and 5 in patients aged >= 12
years with a history of exacerbations, and tiotropium delivered via the
Respimat(r) Soft MistTM Inhaler has recently been approved for use as once-daily
maintenance therapy for children with asthma over the age of 6 years in the USA.
A large clinical trial program has been conducted in children, adolescents, and
adults across the spectrum of asthma severity. Findings from these clinical
studies and pooled analyses in children and adolescents with symptomatic moderate
or severe asthma have demonstrated that tiotropium Respimat(r) as add-on to
inhaled corticosteroids, with or without other maintenance therapies, is a well
tolerated and efficacious bronchodilator, showing improved lung function and
trends towards improved asthma control, mirroring findings in adult studies. This
review discusses the evidence to date for tiotropium Respimat(r) for the
management of asthma in adolescents and children with symptomatic moderate and
severe asthma, and considers the challenges of asthma management in these
patients. Factors affecting this population group, such as poor adherence,
underreporting of symptoms, and social and psychological issues, are highlighted,
along with the need for active review and management of treatment to help achieve
optimal control.
PMID- 29368128
TI - Cadmium Profiles in Dental Calculus: a Cross-Sectional Population-Based Study in
Hunan Province of China.
AB - We aimed to investigate whether the cadmium concentrations differ in human dental
calculus obtained from the residents with no smoking living in the contaminated
area and those with no smoking living in noncontaminated area. In total, there
were 260 samples of dental calculus from the adults (n = 50) with no smoking
living in contaminated area, the adults (n = 60) with no smoking living in
mountainous area, and the adults (n = 150) with no smoking living in low altitude
area in Hunan province of China. All samples were analyzed by inductively coupled
plasma mass spectrometry (ICP-MS) for cadmium levels. The cadmium levels in
dental calculus were significantly higher in the adults with no smoking living in
contaminated area than those living in mountainous area and in low altitude area
(p < 0.01). The cadmium levels in dental calculus were also higher in the adults
with no smoking living in low altitude area than those living in mountainous
region (p < 0.01). The results suggested that measuring cadmium levels in dental
calculus may be a useful noninvasive method for analysis of environmental
exposure to cadmium in the human oral cavity. The low altitude region may have an
area contaminated with cadmium in Hunan province of China.
PMID- 29368126
TI - Pharmacotherapy for Refractory and Super-Refractory Status Epilepticus in Adults.
AB - Patients with prolonged seizures that do not respond to intravenous
benzodiazepines and a second-line anticonvulsant suffer from refractory status
epilepticus and those with seizures that do not respond to continuous intravenous
anesthetic anticonvulsants suffer from super-refractory status epilepticus. Both
conditions are associated with significant morbidity and mortality. A strict
pharmacological treatment regimen is urgently required, but the level of evidence
for the available drugs is very low. Refractory complex focal status epilepticus
generally does not require anesthetics, but all intravenous non-anesthetizing
anticonvulsants may be used. Most descriptive data are available for
levetiracetam, phenytoin and valproate. Refractory generalized convulsive status
epilepticus is a life-threatening emergency, and long-term clinical consequences
are eminent. Administration of intravenous anesthetics is mandatory, and drugs
acting at the inhibitory gamma-aminobutyric acid (GABA)A receptor such as
midazolam, propofol and thiopental/pentobarbital are recommended without
preference for one of those. One in five patients with anesthetic treatment does
not respond and has super-refractory status epilepticus. With sustained seizure
activity, excitatory N-methyl-d-aspartate (NMDA) receptors are increasingly
expressed post-synaptically. Ketamine is an antagonist at this receptor and may
prove efficient in some patients at later stages. Neurosteroids such as
allopregnanolone increase sensitivity at GABAA receptors; a Phase 1/2 trial
demonstrated safety and tolerability, but randomized controlled data failed to
demonstrate efficacy. Adjunct ketogenic diet may contribute to termination of
difficult-to-treat status epilepticus. Randomized controlled trials are needed to
increase evidence for treatment of refractory and super-refractory status
epilepticus, but there are multiple obstacles for realization. Hitherto,
prospective multicenter registries for pharmacological treatment may help to
improve our knowledge.
PMID- 29368129
TI - Two new gonad-infecting species of Philometra Costa, 1845 (Nematoda:
Philometridae) from Trachinus spp. (Osteichthyes: Trachinidae) in the Gulf of
Hammamet, Tunisia.
AB - Based on light and scanning electron microscopical studies, two new gonad
infecting species of Philometra Costa, 1845, P. draco n. sp. and P. radiata n.
sp. (Nematoda: Philometridae), are described from the marine perciform fishes
Trachinus draco (Linnaeus) and T. radiatus (Linnaeus) (both Trachinidae),
respectively, in the Gulf of Hammamet, off the northeastern coast of Tunisia.
Philometra draco n. sp. and P. radiata n. sp. can be separated from other gonad
infecting species of this genus by the structures associated to the gubernaculum
(e.g. dorsal protuberance, smooth field separating the dorsolateral longitudinal
parts), as well as by the length of the body, spicules and gubernaculum.
Philometra radiata n. sp. can be distinguished from P. draco n. sp. in having the
dorsal side of the gubernaculum distal end provided with a median longitudinal
smooth field demarcated by two dorsolateral lamellate parts. These two new
species are the first philometrid species described from fishes of the family
Trachinidae.
PMID- 29368130
TI - Complete conversion of all typical glycosylated protopanaxatriol ginsenosides to
aglycon protopanaxatriol by combined bacterial beta-glycosidases.
AB - Aglycon protopanaxatriol (APPT) has valuable pharmacological effects such as anti
inflammatory and anti-stress activities. However, the complete conversion of all
typical glycosylated protopanaxatriol ginsenosides to APPT has not been achieved
to date. beta-Glycosidase from the hyperthermophilic bacterium Dictyoglomus
turgidum (DT-bgl) hydrolyzes the glucose residues at C-6 and the inner glucose at
C-20 in protopanaxatriol (PPT), but not the outer rhamnose residues at C-6. In
contrast, beta-glycosidase from the hyperthermophilic bacterium Pyrococcus
furiosus (PF-bgl) hydrolyzes the outer rhamnose residue at C-6 but not the inner
glucose residues at C-6 and C-20 in PPT. Thus, the combined use of DT-bgl and PF
bgl resulted in the complete the conversion of all typical glycosylated PPT
ginsenosides, including R1, R2, Re, Rg1, Rg2, Rh1, Rf, F1, F3, and F5, to APPT.
DT-bgl combined with PF-bgl completely hydrolyzed 1.0 mg ml-1 R1 and 1.0 mg ml-1
total PPT-type ginsenosides in Panax notoginseng root extract to 0.5 and 0.63 mg
ml-1 APPT for 4 and 3 h, with molar conversions of 100% and productivities of 125
and 210 mg l-1 h-1, respectively. To the best of our knowledge, this is the first
report of the complete conversion of all typical glycosylated PPT ginsenosides to
APPT and the highest productivity of APPT obtained from ginseng extract achieved
to date.
PMID- 29368131
TI - Public Awareness and Attitude Towards Depression: A Community Based Study Among
an Adult Population in Ile-Ife South-Western Nigeria.
AB - Depression has become a global health priority due to its associated burden.
However, there is dearth of information regarding the public awareness and
attitude towards depression in Nigeria. This study aimed to assess the level of
public awareness and attitude towards depression in a semi-urban Nigerian
community. A cross-sectional study conducted among 240 respondents with mean age
of 34.7 years (+/- 1.2 years). A questionnaire assessed socio-demographic
characteristics, level of awareness and attitude of people towards depression.
While most respondents (72.5%) had heard about depression, it was less recognized
as a major mental health problem. Also, most respondents (58.6%) had negative
attitudes toward depression. There is low level of awareness of depression as a
major health problem among community dwellers in South-western Nigeria and
negative attitudes towards the illness also appear prevalent. Therefore,
awareness should be improved through public health enlightenment programmes.
PMID- 29368132
TI - Acceptability of Mental Health Services for Anxiety and Depression in an Arab
Sample.
AB - The aim of this paper was to examine the acceptability and use of mental health
services in an Arab sample. An Internet survey was made available to Arab people
worldwide and enquired about the acceptability of traditional face-to-face and
internet-delivered mental health services. Five hundred and three participants
were recruited via media and Facebook promotions. Of those surveyed, 36%
(183/503), 46% (233/503), and 73% (365/503) reported that they would be willing
to consult a mental health professional, take prescription medication and try an
internet-delivered psychological treatment, respectively. Moderate to high
acceptability rates for mental health services were found in this sample of Arab
people. High acceptability of internet-delivered treatments among the current
Arab sample, provides the opportunity for directing resources to the development
of internet-delivered interventions to help reduce the stigma and burden of
mental disease in the Arab world.
PMID- 29368133
TI - "I Believe I Know Better Even than the Psychiatrists What Caused It": Exploring
the Development of Causal Beliefs in People Experiencing Psychosis.
AB - This study aimed to describe the causal beliefs of individuals experiencing
psychosis, specifically exploring how they are developed and maintained.
Individuals with experience of psychosis were recruited from mental health
services for in-depth interviews. A thematic analysis was used to analyse
transcripts and key themes were identified. Fifteen interviews were conducted.
Individuals were engaged in the process of exploring explanations for their
experiences and reported sophisticated models of causation. Participants
described a change in their beliefs, with the cause of their experiences not
immediately clear. Individuals generated their models via external (family,
professionals) and internal (evaluative, positive affect) processes and reported
differing levels of conviction in relation to their beliefs. Clinicians should
take the opportunity to explore the causal beliefs of their service-users, as
they are able to provide intelligent and thoughtful explanatory models. In
particular, clinicians should be aware of the emotional impact of different
aetiological models and their personal role in the development of a client's
beliefs.
PMID- 29368134
TI - Iron Oxide Nanoparticles Induces Cell Cycle-Dependent Neuronal Apoptosis in Mice.
AB - Iron oxide (Fe2O3) nanoparticles (NPs) with its unique magnetic and paramagnetic
properties are popular in biomedical applications. Some of their neurotoxic
mechanisms due to repeated administration are proven. However, we speculate that
the neuronal damage might be due to apoptosis resulting from unusual cell cycle
entry. Moreover, iron accumulation has been shown to be closely associated with
most of the neurodegenerative disorders. Thus, in the current study, mice were
orally (po) treated with the Fe2O3-NPs to investigate cell cycle-associated
events/components and occurrence of apoptosis. A subsequent increase in oxidant
levels was observed with the iron accumulation due to Fe2O3-NPs exposure. The
accumulated beta-amyloid and reduced level of cdk5 seem to aid in the cell cycle
entry and forcing progression towards apoptosis. Expression of Cyclin D1 and pRb
(Ser 795) indicate the cell cycle re-entry of neurons. Overexpression of RNA Pol
II and PARP cleavage suggests DNA damage due to Fe2O3-NPs exposure. Further,
hyperphosphorylation of p38 (Thr 180/Tyr 182) confirms the activation of DNA
damage-dependent checkpoint. Expression patterns of pro- and anti-apoptotic
markers, TUNEL and TEM indicate the occurrences of apoptosis.
PMID- 29368136
TI - Evaluating the accuracy of microRNA27b and microRNA137 as biomarkers of activity
and potential malignant transformation in oral lichen planus patients.
AB - Oral lichen planus (OLP) is a chronic inflammatory mucocutaneous disease with a
potential malignant transformation, characterized by cytotoxic T cells against
basal epithelial cells. MicroRNAs (MiRNAs) are short non-coding RNA that plays
critical role in gene expression at post-transcriptional levels. Much evidence
showed that miRNAs play an important role in regulating immune response and
cancer development. The purpose of the present study was to compare the
expression of miRNA 27b and miRNA 137 in tissues and saliva between OLP patients
and controls by using RT-qPCR and to evaluate their use as biomarkers of disease
activity and potential malignant transformation. Our results showed down
expression of miRNA 27b and miRNA 137 in tissue and saliva of OLP patients
compared to controls; among OLP subgroups, erosive-type miRNA 137 revealed the
lowest level in tissue and saliva. In conclusion, alteration of miRNA 27b and
miRNA 137 gene expression signify their use as biomarkers for diseases activity
and tendency of malignant transformation, and down expression of miRNA 137
especially in erosive-type favors the use of saliva sample as a noninvasive
method for monitoring a potential malignant transformation of OLP.
PMID- 29368135
TI - Phenotyping acute and chronic atopic dermatitis-like lesions in Stat6VT mice
identifies a role for IL-33 in disease pathogenesis.
AB - The Stat6VT mouse model of atopic dermatitis (AD) is induced by T-cell-specific
expression of a constitutively active form of the protein signal transducer and
activator of transcription 6 (STAT6). Although AD-like lesions are known to
develop in Stat6VT mice, this study was designed to determine if these mice
develop acute and chronic phases of disease similar to humans. To address this,
AD-like lesions from Stat6VT mice were harvested at two different timepoints
relative to their onset. Lesions harvested within 1 week after development were
defined as acute lesions, and those present for 1 month or more were defined as
chronic lesions. Acute and chronic AD-like lesions from Stat6VT mice exhibited
histologic findings and cytokine expression patterns similar to acute and chronic
AD lesions in humans. Further analysis revealed increased levels of interleukin
(IL)-33 transcripts in AD-like lesions compared to Stat6VT nonlesional and wild
type skin controls. Immunofluorescence also revealed increased numbers of IL-33+
keratinocytes in Stat6VT lesional skin and localized IL-33+ keratinocytes to a
keratin 5+ subset. Furthermore, AD-like disease was more severe in IL-33
deficient Stat6VT mice compared to IL-33-sufficient Stat6VT mice. These studies
suggest that Stat6VT mice can serve as a model of acute and chronic AD and that
IL-33 may attenuate inflammation in this system.
PMID- 29368137
TI - The 6MWT as a prognostic tool in pulmonary arterial hypertension: results from
the COMPERA registry.
AB - BACKGROUND: In patients with pulmonary arterial hypertension, the 6-Minute Walk
Test (6MWT) is recommended for risk stratification and follow-up by all
guidelines. However, the prognostic value of the 6MWT has been discussed
controversially. We sought to compare and validate all published 6MWT cut-off
points. METHODS: From the Comparative, Prospective Registry of Newly Initiated
Therapies for Pulmonary Hypertension (COMPERA)-registry we identified 2391
patients with pulmonary arterial hypertension who had at least one documented
6MWT measurement. A Medline search identified a total of 21 different threshold
values for either single-point or change of 6MWT. All values were tested
individually for prognostication of 1-year, 2-year and 3-year all-cause
mortality. RESULTS: The highest positive likelihood ratio was a cut-off value <
165 ms, whereas the best negative likelihood ratio was found to be a threshold of
440 ms. Furthermore, improvement in 6MWT had considerably less predictive value
on mortality and survival than deterioration. Moreover, absolute single-point
values outperformed change values for both improvement and worsening. CONCLUSION:
Our data confirmed the prognostic relevance of the 6MWT and support the cut-off
values stated in most recent guidelines. Furthermore, these results explain why
changes in 6MWT did not correlate consistently with prognosis in previous
studies.
PMID- 29368139
TI - Hypertensive disorders during pregnancy and 3 years after delivery in women with
gestational hyperglycemia.
AB - AIMS: Women with gestational hyperglycemia commonly experience hypertensive
disorders during pregnancy. More information is needed about how hypertension
develops in these patients over time. We investigated the prevalence of
hypertension during and 3 years after pregnancy in Caucasian women with
gestational hyperglycemia. We also investigated metabolic syndrome presence,
glucose tolerance status, insulin sensitivity and insulin secretion levels in the
follow-up period. METHODS: In a prospective longitudinal study with a 3-year
follow-up, we assessed hypertension status and clinical-related characteristics
of 103 consecutive women with gestational hyperglycemia sub-grouped according to
their hypertensive status during and after pregnancy. RESULTS: Overall, 29
(28.1%) women had hypertension during pregnancy (24 gestational hypertension; 4
chronic hypertension; 1 preeclampsia). At follow-up 16 (15.5%) women were
diagnosed as having hypertension (11 with hypertension in pregnancy; 5 with a
normotensive pregnancy). Women with hypertension after pregnancy had higher BMI,
metabolic syndrome rate and worse insulin resistance indexes than normotensive
women. Weight increase at follow-up (OR 1.17, 95% CI 1.00-1.35) and hypertension
in pregnancy (OR 6.72, 95% CI 1.17-38.64) were associated with hypertension after
pregnancy. CONCLUSIONS: Women with gestational hyperglycemia should undergo
regular monitoring during and after pregnancy to detect metabolic and clinical
impairments and to prevent cardiovascular harm.
PMID- 29368138
TI - Sagittal balance and idiopathic scoliosis: does final sagittal alignment
influence outcomes, degeneration rate or failure rate?
AB - INTRODUCTION: In the last decade, spine surgeons have been impacted by the
"sagittal plane analysis revolution". Significant correlations have been found in
adult spinal deformity (ASD) between sagittal lumbo-pelvic parameters and
functional outcomes, but most of them do not apply in adolescent idiopathic
scoliosis (AIS). Meanwhile, instrumentation and reduction strategies have
considerably evolved. This paper aims to describe the preoperative sagittal
alignment in AIS, and to report literature evidence regarding the influence of
postoperative sagittal balance on complication rates, low back pain incidence and
disc degeneration. METHODS: A bibliographic search in Medline and Google database
from 1984 to May 2017 was performed. The keywords included 'adolescent idiopathic
scoliosis', 'adult scoliosis', 'sagittal alignment', 'proximal junctional
kyphosis', 'distal junctional kyphosis', 'outcomes', 'low back pain' and
'complication', used individually or in combination. RESULTS: Algorithms of
sagittal balance analysis and treatment decision have been reported in ASD, but
the clinical situation is very different in children. Sagittal alignment greatly
varies in AIS among the various Lenke types. Most patients are clinically
balanced before surgery, but the spinal harmony is altered, with overgrowth of
the anterior column and global sagittal flattening (undersestimated in 2D). The
exact role of pelvic incidence and whether or not patients also use pelvic
compensation to maintain balance still require further clarification. The
incidence of radiological junctional failures remains highly variable, depending
on definitions, cohort size and follow-up. Preoperative hyperkyphosis seems to be
a consistent and relevant risk factor. Current literature does not support the
recent trend to save motion segments (selective fusion), and no significant
association was found between the distal level of fusion and the incidence of low
back pain. Postoperative sagittal alignment seems to be more important than LIV
selection to avoid disc degeneration at mid-term follow-up. CONCLUSION: It is
clear now that sagittal alignment plays a major role in clinical outcomes and
should not be neglected in AIS. Seven key guidelines that should be considered
for each patient before surgery are reported (Table 2). Personalized planning
using 3D technology is gaining popularity and might help in the future reducing
complications.
PMID- 29368140
TI - Efficacy of a single session protocol for endodontic treatment in primary teeth:
in vivo study.
AB - AIMS: To determine the efficacy of a single session protocol (SSP) in the
reduction of septic content of primary teeth root canals and identify the
persistence of bacterial species associated with unsuccessful treatment. METHODS:
Primary teeth root canals (16) with pulp necrosis and peri-radicular lesions were
treated. Samples were collected at baseline (T1), and after chemo-mechanical
preparation, before filling (T2). Identification of the microorganisms was
determined using checkerboard DNA-DNA hybridisation. STATISTICAL ANALYSIS:
Wilcoxon test was applied for comparison of mean number of species, proportion
and mean count of each species between the evaluation times. RESULTS: Significant
reductions were found in the mean number of bacteria species between T1 and T2 (p
< 0.05), but not for the reduction in proportion (p > 0.05). There was a
reduction (6.0-4.6) of the mean number of species associated with failure,
without statistical significance. CONCLUSION: The SSP was capable of
significantly reducing the septic content, even though, many of the bacteria
associated with failure persisted at the time of root canal filling.
PMID- 29368141
TI - The Effect of Bilingualism and Trilingualism on Metacognitive Processing:
Detrimental or Beneficial?
AB - Research in multilingualism has shown that bilinguals have enhanced executive
function (e.g., Donnelly et al. in Proceedings of the 37th annual conference of
the cognitive science society 2015; Green in Bilingualism Lang Cognit 1(02):67
81, 1998. https://doi.org/10.1017/S1366728998000133 ); however, this with many
other areas in multilingualism have been questioned like their non-verbal
reasoning or their metacognitive ability. This study attempts to explore learning
more than one languages in the field of metacognitive abilities. Three groups of
monolinguals, bilinguals, and trilinguals were explored to examine the effect of
language learning on enhancing or weakening accuracy and response time in
metacognitive processing. Conducting dot discrimination task, we found that
multilingualism might have some advantages in this field. As cognition and
metacognitive abilities demonstrated no positive correlation in this study, we
might conclude that executive functioning can not bring about higher order
functioning for the individuals. Nevertheless, monolinguals did the task in the
least period of time with the least accuracy. Trilinguals, on the contrary, could
respond more accurately with an average speed. Accuracy, difficulty, and response
time choice in metacognitive processing were discussed for each group. It is
concluded that multilingualism might have different effects on higher-order
decision making abilities.
PMID- 29368142
TI - Family Planning and the Scope of the "Reproductive Psychiatry" Curriculum.
PMID- 29368143
TI - Expression of three reporter genes in four cell lines developed from Papilio
demoleus Linnaeus (Lepidoptera: Papilionidae).
AB - This paper used recombinant baculoviruses that carried three reporter genes,
green fluorescent protein (GFP), beta-galactosidase, and secreted alkaline
phosphatase (SEAP), to infect four new cell lines from Papilio demoleus Linnaeus
larvae (named RIRI-PaDe-1, RIRI-PaDe-2, RIRI-PaDe-3, and RIRI-PaDe-4). The
expression levels of the three recombinant proteins were detected at 24, 48, 72,
96, 120, and 144 h after infection and compared with Sf9 and High Five cells to
evaluate the characteristics of these four cell lines as host cells. The
inoculation densities of the tested cell lines were 2 * 104 cells/well (96-well
plate) and 1 * 105 cells/well (24-well plate), and adding a volume of virus stock
resulted in an MOI of 5.0. The results showed that the four cell lines could be
infected by recombinant baculovirus and that cell lysis occurred 96 h after
infection. In the four tested cell lines, only a small number of RIRI-PaDe-1 and
RIRI-PaDe-3 cells expressed recombinant GFP and showed green fluorescence. The
expression was much lower than that of Sf9 and High Five. Comparing the
intracellular and extracellular activity of beta-galactosidase indicated that the
P. demoleus cell system was more suitable for the expression of secreted
proteins, and its extracellular beta-galactosidase level was close to that of
Sf9, but the expression level of SEAP was far lower than those of Sf9 and High
Five.
PMID- 29368144
TI - Predictive and prognostic clinical and pathological factors of nivolumab efficacy
in non-small-cell lung cancer patients.
AB - BACKGROUND: Immunotherapy increases overall response rate (ORR) and overall
survival (OS) in patients with non-small-cell lung cancer (NSCLC). Prognostic and
predictive factors are a high need. PATIENTS AND METHODS: Retrospective review of
NSCLC patients treated with nivolumab was performed. Analyzed variables included
age, sex, stage, performance status (PS), location of metastases, presence of
tumour-related symptoms and comorbidities, number of metastasis locations,
previous chemotherapy, anti-angiogenic and radiotherapy treatments, and
analytical data from the standard blood count and biochemistry. RESULTS: A total
of 175 patients were included. Median age was 61.5 years, 73.1% were men, 77.7%
were ECOG-PS 0-1, and 86.7% were included with stage IV disease. Histology was
non-squamous in 77.1%. Sixty-five received nivolumab in second line (37.1%).
Thirty-eight patients had brain metastasis (22%), and 39 (22.3%) liver metastasis
and 126 (72%) had more than one metastatic location. The ORR was 15.7% with
median Progression free survival (PFS) 2.8 months and median OS 5.81 months.
Stage III vs IV and time since the beginning of the previous line of treatment >=
6 vs < 6 months were associated with better response. PS 2, time since the
previous line of treatment < 6 vs >= 6 months, and more than one metastatic
location were independently associated with shorter OS in multivariable analysis
(7.8 vs 2.7 months, 11.2 vs 4.6 months, and 9.4 vs 5.1 month). Finally, time
since the previous treatment < 6 vs >= 6 months and more than one metastatic
location were independently associated with shorter PFS in multivariable analysis
(4.3 vs 2.3 months and 4.7 vs 2.3 months). CONCLUSION: Poor PS, short period of
time since the previous treatment, and more than one metastatic location were
associated with poorer prognostic.
PMID- 29368145
TI - Combination of Oxaliplatin and Vit.E-TPGS in Lipid Nanosystem for Enhanced
Therapeutic Efficacy in Colon Cancers.
AB - PURPOSE: The main aim of present study was to prepare the oxaliplatin (OXL)
loaded D-alpha-Tocopherol polyethylene glycol 1000 succinate (TPGS)-based lipid
nanoparticles to enhance the anticancer effect in colon cancer cells. METHODS:
The nanoparticles were nanosized and spherical shaped and exhibited controlled
release kinetics. Flow cytometer and confocal laser scanning microscopy (CLSM)
showed a remarkable uptake of nanoparticles in cancer cells in a time-dependent
manner. RESULTS: The presence of TPGS remarkably increased the anticancer effect
of OXL in HT-29 colon cancer cells. The IC50 value of free OXL was 4.25 MUg/ml
whereas IC50 value of OXL-loaded TPGS-based lipid nanoparticles (OXL/TLNP) was
1.12 MUg/ml. The 3-fold lower IC50 value of OXL/TLNP indicates the superior
anticancer effect of nanoparticle-based OXL. Consistently, OXL/TLNP induced a
remarkable apoptosis of cancer cells. Approximately, ~52% of cells were in early
apoptosis phase and ~13% of cells were in late apoptosis phase indicating the
potent anticancer effect of the formulations. The findings from this study
provide novel insights into the use of TPGS and lipid nanoparticle together for
the better antitumor effect in colon cancers. Future studies will involve the
detailed in vitro and in vivo studies on clinically relevant animals.
PMID- 29368146
TI - Irritant-induced asthma to hypochlorite in mice due to impairment of the airway
barrier.
AB - : Inhalation of commonly present irritants, such as chlorine and chlorine
derivatives, can cause adverse respiratory effects, including irritant-induced
asthma (IIA). We hypothesize that due to airway barrier impairment, exposure to
hypochlorite (ClO-) can result in airway hypersensitivity. C57Bl/6 mice received
an intra-peritoneal (i.p.) injection of the airway damaging agent naphthalene
(NA, 200 mg/kg body weight) or vehicle (mineral oil, MO). In vivo micro-computed
tomography (CT) images of the lungs were acquired before and at regular time
points after the i.p. TREATMENT: After a recovery period of 14 days an intranasal
(i.n.) challenge with 0.003% active chlorine (in ClO-) or vehicle (distilled
water, H2O) was given, followed by assessment of the breathing frequency. One day
later, pulmonary function, along with pulmonary inflammation was determined. Lung
permeability was assessed by means of total broncho-alveolar lavage (BAL) protein
content and plasma surfactant protein (SP)-D levels. In vivo micro-CT imaging
revealed enlargement of the lungs and airways early after NA treatment, with a
return to normal at day 14. When challenged i.n. with ClO-, NA-pretreated mice
immediately responded with a sensory irritant response. Twenty-four hours later,
NA/ClO- mice showed airway hyperreactivity (AHR), accompanied by a neutrophilic
and eosinophilic inflammation. NA administration followed by ClO- induced airway
barrier impairment, as shown by increased BAL protein and plasma SP-D
concentrations; histology revealed epithelial denudation. These data prove that
NA-induced lung impairment renders the lungs of mice more sensitive to an airway
challenge with ClO-, confirming the hypothesis that incomplete barrier repair,
followed by irritant exposure results in airway hypersensitivity.
PMID- 29368147
TI - Cytochrome b 5 impacts on cytochrome P450-mediated metabolism of benzo[a]pyrene
and its DNA adduct formation: studies in hepatic cytochrome b 5 /P450 reductase
null (HBRN) mice.
AB - Benzo[a]pyrene (BaP) is an environmental pollutant that, based on evidence
largely from in vitro studies, exerts its genotoxic effects after metabolic
activation by cytochrome P450s. In the present study, Hepatic Reductase Null
(HRN) and Hepatic Cytochrome b 5 /P450 Reductase Null (HBRN) mice have been used
to study the role of P450s in the metabolic activation of BaP in vivo. In HRN
mice, cytochrome P450 oxidoreductase (POR), the electron donor to P450, is
deleted specifically in hepatocytes. In HBRN mice the microsomal haemoprotein
cytochrome b 5 , which can also act as an electron donor from cytochrome b 5
reductase to P450s, is also deleted in the liver. Wild-type (WT), HRN and HBRN
mice were treated by i.p. injection with 125 mg/kg body weight BaP for 24 h.
Hepatic microsomal fractions were isolated from BaP-treated and untreated mice.
In vitro incubations carried out with BaP-pretreated microsomal fractions, BaP
and DNA resulted in significantly higher BaP-DNA adduct formation with WT
microsomal fractions compared to those from HRN or HBRN mice. Adduct formation
(i.e. 10-(deoxyguanosin-N2-yl)-7,8,9-trihydroxy-7,8,9,10-tetrahydro-BaP [dG-N2
BPDE]) correlated with observed CYP1A activity and metabolite formation (i.e. BaP
7,8-dihydrodiol) when NADPH or NADH was used as enzymatic cofactors. BaP-DNA
adduct levels (i.e. dG-N2-BPDE) in vivo were significantly higher (~ sevenfold)
in liver of HRN mice than WT mice while no significant difference in adduct
formation was observed in liver between HBRN and WT mice. Our results demonstrate
that POR and cytochrome b 5 both modulate P450-mediated activation of BaP in
vitro. However, hepatic P450 enzymes in vivo appear to be more important for BaP
detoxification than its activation.
PMID- 29368148
TI - The determination of zearalenone and its major metabolites in endometrial cancer
tissues.
AB - Endometrial cancer is one of the most commonly diagnosed cancers in women. The
search for factors that contribute to the development of cancer cells in
reproductive organs should involve the detection of xenoestrogens, in particular
zearalenone (ZEA) and its metabolites. Xenoestrogens are endocrine disruptors-ZEA
and its metabolites are structurally similar to estrogens (macrocyclic lactone
ring) and show high affinity for estrogen receptors. This study proposes a new
method for the preparation of samples of human tissues with endometrial cancer by
the use of the QuEChERS technique. Analytical parameters such as centrifugation
temperature, extraction solvent, and adsorbents were modified to obtain
satisfactory recovery for ZEA (R = 82.6%, RSD = 2.9%) and one of its metabolites,
alpha-zearalenol (R = 50.1%, RSD = 3.2%). High-performance liquid chromatography
(HPLC) with fluorescence detection and tandem mass spectrometry (LC-QTOF-MS) were
used for the identification and quantitative determination of the analyzed
compounds. The developed procedure was applied for analyses of human tissues with
endometrial cancer. The presence of alpha-zearalenol was detected in 47 out of
the 61 examined tissue samples. Graphical Abstract Methodology for isolation and
identification of zearalenone and its major metabolites.
PMID- 29368149
TI - Gold nanoparticle-based colorimetric sensing of dipicolinic acid from complex
samples.
AB - Dipicolinic acid (DPA) can cause neurotoxicity and is abundant in bacterial
spores. Although analytical methods have been reported for DPA detection with
high sensitivity, their selectivity toward DPA is declined greatly in the
presence of phosphates in the samples. In this study, we developed an approach
for DPA detection that is not affected by the presence of phosphates. A
colorimetric method based on the use of gold nanoparticles (AuNP) complexed with
Ca2+ as sensing agents was explored for DPA detection. Calcium ions and
glutathione-capped gold nanoparticles (AuNPs@GSH) can easily form complexes (Ca2+
AuNP@GSH) through GSH-Ca2+ chelation, leading to the aggregation of AuNPs@GSH.
The aggregation resulting from the complexes of AuNPs@GSH and Ca2+ can be
reversed with the addition of DPA owing to the high formation constant (log Kf =
4.4) between DPA and Ca2+. Furthermore, the color of AuNPs@GSH changes from red
to purple when complexed with Ca2+, returning to red upon addition of DPA. The
limit of detection of this sensing method toward DPA was estimated to be as low
as ~ 2 MUM. The feasibility of using the sensing method for quantitative
detection of DPA in soil and Bacillus cereus spore samples was also demonstrated.
Graphical abstract A AuNP-based colorimetric sensing method against dipicolinic
acid is developed.
PMID- 29368150
TI - Application of solvent floatation to separation and determination of triazine
herbicides in honey by high-performance liquid chromatography.
AB - Based on the foaming property of the honey, a rapid, simple, and effective method
solvent floatation (SF) was developed and firstly applied to the extraction and
separation of triazine herbicides in honey. The analytes were determined by high
performance liquid chromatography. Some parameters affecting the extraction
efficiencies, such as the type and volume of extraction solvent, type of salt,
amount of (NH4)2SO4, pH value of sample solution, gas flow rate, and floatation
time, were investigated and optimized. The limits of detection for analytes are
in the range of 0.16-0.56 MUg kg-1. The recoveries and relative standard
deviations for determining triazines in five real honey samples are in the range
of 78.2-112.9 and 0.2-9.2%, respectively.
PMID- 29368152
TI - A Predictive Model for Axillary Node Pathologic Complete Response after
Neoadjuvant Chemotherapy for Breast Cancer.
AB - BACKGROUND: Recent trials have suggested the feasibility of performing a sentinel
lymph node biopsy (SNB) following neoadjuvant chemotherapy (NAC). The selection
of suitable patients for this approach remains controversial. We developed a
predictive model to identify patients most likely to benefit from SNB following
NAC. METHODS: The National Cancer Data Base was used to identify patients with
clinically node positive (cN+) breast cancer undergoing NAC followed by breast
surgery and axillary lymph node dissection (ALND). Patients were randomly
assigned to a 70% testing or 30% validation cohort for model development. A
predictive model was built based on significant factors associated with
pathologic nodal response (pN0) and breast response. RESULTS: Using the testing
cohort (n = 13,396), multivariate regression was used to identify predictors of
pN0 based on preoperative factors. Younger age, hormone receptor (HR)
negative/Her2-negative, HR-positive/Her2-positive, HR-negative/Her2-positive,
high-grade, ductal histology, cN1 versus cN2, and extent of breast response were
all significant independent predictors of pN0 on adjusted analysis. The odds
ratios translated into a 10-point scale correlating to a stepwise increase in pN0
response. The area under the curve for the ROC curves for the testing and
validation cohorts was 0.781 and 0.788, respectively (p < 0.01). CONCLUSIONS: Our
model incorporates known preoperative factors to predict the likelihood of pN0
response in patients with cN+ disease who undergo NAC. For patients with high
scores, SNB should be considered over ALND, because these patients have a greater
likelihood of having negative nodes at final pathology.
PMID- 29368151
TI - The impact of pre and perinatal lifestyle factors on child long term health and
social outcomes: a systematic review.
AB - To understand the full extent of the impact of a trial, it is important to
consider the long-term consequences of outcomes beyond the trial follow-up
period, especially for early year's interventions. A systematic review of the
literature associated with the long-term consequences of four key outcomes from
the Building Blocks trial, specifically, low birth weight, smoking during
pregnancy, interval to subsequent pregnancy and A&E attendance or inpatient
admission was conducted. These factors were guided by the funders, the Department
of Health, as being of particular interest in the UK context. Relevant studies
were identified from a number of sources including large databases, reference
checking and citation searching. The search yielded 3665 papers, 43 of which were
considered appropriate for inclusion. Of these, 29 were relating to smoking
during pregnancy, 13 to low birth weight, 0 to A&E attendances during early
childhood and 1 to short (< 2 years) interval to subsequent pregnancy. Consistent
associations were found between maternal smoking during pregnancy and the effects
this has on children's health, educational attainment and likelihood of engaging
in problem behaviour and criminal activity in later life. Low birth weight was
also found to impact on children's long-term health and cognitive development.
Subsequent pregnancies within two years of the previous birth were linked with
increased likelihood of pre-term birth and neonatal death. Only minimal evidence
was identified regarding the consequences of a short interval to second pregnancy
and of child A&E and outpatient attendances. Given that these outcomes have been
identified by the UK Department of Health as of particular interest for UK
benefit, investment of research in these areas is recommended to establish a
clearer picture of both short and long-term consequences.
PMID- 29368153
TI - Predictors for Use of Sentinel Node Biopsy and the Association with Improved
Survival in Melanoma Patients Who Have Nodal Staging.
AB - BACKGROUND: It is unknown how many patients with localized melanoma undergo
sentinel lymph node biopsy (SLNB) or if there is a therapeutic effect from
performing nodal staging. We evaluated predictors for SLNB use and assessed if
there was an association with improved survival in melanoma patients who had
SLNB. METHODS: The Surveillance, Epidemiology, and End Results database was
queried for clinically node-negative melanoma cases >= 0.75 mm in thickness
treated from 2010 to 2012. Clinicopathologic factors were correlated with SLNB
use, overall survival (OS), and melanoma-specific survival (MSS). RESULTS:
Overall, 13,703 cases were included. SLNB was performed in 1479 of 3439 thin
cases (43.0%), 5810 of 8522 intermediate-thickness cases (68.2%), and 916 of 1742
thick cases (52.6%). On multivariable analysis, age >= 70 years, thickness < 1 or
> 4 mm, head/neck or trunk tumor location, being unmarried, African American
race, and residing in a county with a lower level of education were significantly
associated with a lower likelihood of performing SLNB (p < 0.05). Patients with
intermediate-thickness or thick melanoma who had a SLNB had significantly
improved OS and MSS compared with patients who did not have a SLNB (p < 0.05). On
multivariable analysis, SLNB use significantly predicted for improved OS and MSS
(p < 0.01). CONCLUSIONS: Only 68.2% of intermediate-thickness and 52.6% of thick
melanomas are treated with SLNB. Age, thickness, tumor location, race, marital
status, and socioeconomic factors appear to influence the performance of SLNB.
This data becomes more relevant with the finding that SLNB use is potentially
associated with improved survival.
PMID- 29368154
TI - Author Correction: Characterization of Truncated dsz Operon Responsible for
Dibenzothiophene Biodesulfurization in Rhodococcus sp. FUM94.
AB - The original version of this article unfortunately contained a mistake in the
caption of Figs. 5 and 6.
PMID- 29368155
TI - NK/T Cell Lymphoma: Updates in Therapy.
AB - PURPOSE OF REVIEW: Extranodal NK/T cell lymphoma (ENKL), nasal type, is a highly
aggressive lymphoma which used to show a poor clinical outcome. Expression of P
glycoprotein on lymphoma cells of ENKL is a major reason for the refractoriness
to conventional chemotherapy containing anthracycline. However, recent innovative
approaches have improved the outcome and prognosis of ENKL. The purpose of this
review is to summarize the proceedings of treatment. RECENT FINDINGS: Concurrent
chemoradiotherapy containing platinum and several drugs including L-asparaginase,
methotrexate, and alkylators shows excellent outcomes for the limited-stage ENKL.
SMILE (steroid, methotrexate, ifosfamide, L-asparaginase, and etoposide) or other
L-asparaginase-containing therapy is promising for advanced-stage ENKL, followed
by either autologous or allogeneic hematopoietic stem cell transplantation. Anti
PD-1 or other immunological checkpoint inhibitors are recently reported to be
effective for relapsed/refractory ENKL thought to be due to EBV-driven
upregulation of PD-L1 expression. The prognosis of ENKL is therefore improving by
the introduction of these strategies. The 5-year overall survival (OS) rate of
limited stage was 63.2% [95% confidence interval (CI), 55.3 to 70.0%] before
2010, but was 79.4% (95% CI, 66.9 to 87.6%) in 2010 or after. However, there
still exists a room for improvement, particularly for advanced-stage patients.
The 2-year OS of advanced ENKL was 30.3% (95% CI, 19.5 to 41.7%) before 2010, but
was 40.5% (95% CI, 24.8 to 55.8%) in 2010 or after. Optimal treatment scheme
should further be explored.
PMID- 29368156
TI - HbA1c, diabetes and cognitive decline: the English Longitudinal Study of Ageing.
AB - AIMS/HYPOTHESIS: The aim of the study was to evaluate longitudinal associations
between HbA1c levels, diabetes status and subsequent cognitive decline over a 10
year follow-up period. METHODS: Data from wave 2 (2004-2005) to wave 7 (2014
2015) of the English Longitudinal Study of Ageing (ELSA) were analysed. Cognitive
function was assessed at baseline (wave 2) and reassessed every 2 years at waves
3-7. Linear mixed models were used to evaluate longitudinal associations.
RESULTS: The study comprised 5189 participants (55.1% women, mean age 65.6 +/-
9.4 years) with baseline HbA1c levels ranging from 15.9 to 126.3 mmol/mol (3.6
13.7%). The mean follow-up duration was 8.1 +/- 2.8 years and the mean number of
cognitive assessments was 4.9 +/- 1.5. A 1 mmol/mol increment in HbA1c was
significantly associated with an increased rate of decline in global cognitive z
scores (-0.0009 SD/year, 95% CI -0.0014, -0.0003), memory z scores (-0.0005
SD/year, 95% CI -0.0009, -0.0001) and executive function z scores (-0.0008
SD/year, 95% CI -0.0013, -0.0004) after adjustment for baseline age, sex, total
cholesterol, HDL-cholesterol, triacylglycerol, high-sensitivity C-reactive
protein, BMI, education, marital status, depressive symptoms, current smoking,
alcohol consumption, hypertension, CHD, stroke, chronic lung disease and cancer.
Compared with participants with normoglycaemia, the multivariable-adjusted rate
of global cognitive decline associated with prediabetes and diabetes was
increased by -0.012 SD/year (95% CI -0.022, -0.002) and -0.031 SD/year (95% CI
0.046, -0.015), respectively (p for trend <0.001). Similarly, memory, executive
function and orientation z scores showed an increased rate of cognitive decline
with diabetes. CONCLUSIONS/INTERPRETATION: Significant longitudinal associations
between HbA1c levels, diabetes status and long-term cognitive decline were
observed in this study. Future studies are required to determine the effects of
maintaining optimal glucose control on the rate of cognitive decline in people
with diabetes.
PMID- 29368157
TI - Fluorescence Correlation Spectroscopy with Photobleaching Correction in Slowly
Diffusing Systems.
AB - Fluorescence correlation spectroscopy (FCS) is a powerful tool to quantitatively
study the diffusion of fluorescently labeled molecules. It allows in principle
important questions of macromolecular transport and supramolecular aggregation in
living cells to be addressed. However, the crowded environment inside the cells
slows diffusion and limits the reservoir of labeled molecules, causing artifacts
that arise especially from photobleaching and limit the utility of FCS in these
applications. We present a method to compute the time correlation function from
weighted photon arrival times, which compensates computationally during the data
analysis for the effect of photobleaching. We demonstrate the performance of this
method using numerical simulations and experimental data from model solutions.
Using this technique, we obtain correlation functions in which the effect of
photobleaching has been removed and in turn recover quantitatively accurate mean
square displacements of the fluorophores, especially when deviations from an
ideal Gaussian excitation volume are accounted for by using a reference
calibration correlation function. This allows quantitative FCS studies of
transport processes in challenging environments with substantial photobleaching
like in living cells in the future.
PMID- 29368158
TI - Fluorescent Carbon Dots as Cost-Effective and Facile Probes for Caffeic Acid
Sensing via a Fluorescence Quenching Process.
AB - Caffeic acid (CA), a familiar color stabilizing reagent, has aroused general
concern due to its uncontrolled addition, and thus the detection of CA is
increasingly important. In our report, the bright carbon dots (CDs) were prepared
via hydrothermal treatment with urea and citric acid act as raw material and
their characteristics were discussed through X-ray diffraction (XRD),
transmission electron microscopy (TEM) and so on. Impressively, the strong
emission of the as-prepared CDs (Quantum Yield: 24.3%) decreased sharply upon a
full reaction with the added CA. Hence, we first present an improved strategy for
determining CA based upon the quenching of the strong emission of CDs. In this
strategy, 0.79-100.0 umol L- 1 caffeic acid could be simply detected, and a
detection limit of 0.24 umol L- 1 was allowed. Additionally, CA in red wine
samples can be successfully detected by this method and the exploration of the
quenching mechanism of the CA-CDs system was done.
PMID- 29368159
TI - [Distal radius fractures : Update on imaging].
AB - Distal radius fractures are associated with high individual and socioeconomic
relevance. Optimized radiography and computed tomography (CT) imaging are
essential for individualized therapy planning. Plain CT imaging with 3D
reconstruction and digital removal of the carpus and ulna is helpful for choosing
the surgical approach in the presence of intra-articular radius fractures. If the
fracture line leads towards the scapholunate compartment, ligamentous injury
requiring treatment can be detected or ruled out before surgery with the help of
direct CT arthrography (CTA). Acquisition of imaging data and morphometrical
analysis must be standardized and reproducible. In the diagnostics of distal
radius fractures it is necessary for radiologists and surgeons to use a unified
fracture classification.
PMID- 29368160
TI - Prognostic roles of neutrophil to lymphocyte ratio and platelet to lymphocyte
ratio in ovarian cancer: a meta-analysis of retrospective studies.
AB - PURPOSE: The systemic inflammatory response markers have been reported to be
associated with the prognosis of various cancers. We conducted this meta-analysis
of retrospective studies to evaluate and identify the prognostic impact of
neutrophil to lymphocyte ratio (NLR) and platelet to lymphocyte ratio (PLR) on
ovarian cancer. METHODS: PubMed, EMBASE, and China National Knowledge
Infrastructure databases were included to search for eligible studies. The
following terms were used: "neutrophil to lymphocyte ratio", "NLR", "platelet to
lymphocyte ratio", "PLR", "ovarian cancer", "ovary cancer", "ovarian carcinoma",
"ovary carcinoma", "ovarian neoplasm", "ovary neoplasm", "ovarian tumor", and
"ovary tumor". The random-effects model was chosen to estimate the pooled HR with
95% CI. Heterogeneity between studies was assessed by Higgins I2 value. The
stability and heterogeneity of studies were analyzed by sensitivity analysis.
Publication bias was examined by Egger's test and Begg's test with the funnel
plots. RESULTS: 13 studies consisting of 3467 patients were considered for meta
analysis. We found that the high NLR had a poor prognostic impact on OS and PFS
in ovarian cancer, with a pooled HR 1.70, 95% CI 1.35-2.15 and HR 1.77, 95% CI
1.48-2.12, respectively. Similarly, the results showed the high PLR adversely
affected OS and PFS in ovarian cancer, with a pooled HR 2.05, 95% CI 1.70-2.48
and HR 1.85, 95% CI 1.53-2.25, respectively. CONCLUSION: In conclusion, we found
that both NLR and PLR had an unfavorable impact on PFS and OS of patients with
ovarian cancer. Our meta-analysis supported that NLR/PLR could be effective
prognostic predictors of ovarian cancer.
PMID- 29368161
TI - Prediction of spontaneous ureteral stone passage: Automated 3D-measurements
perform equal to radiologists, and linear measurements equal to volumetric.
AB - OBJECTIVES: To compare the ability of different size estimates to predict
spontaneous passage of ureteral stones using a 3D-segmentation and to investigate
the impact of manual measurement variability on the prediction of stone passage.
METHODS: We retrospectively included 391 consecutive patients with ureteral
stones on non-contrast-enhanced CT (NECT). Three-dimensional segmentation size
estimates were compared to the mean of three radiologists' measurements. Receiver
operating characteristic (ROC) analysis was performed for the prediction of
spontaneous passage for each estimate. The difference in predicted passage
probability between the manual estimates in upper and lower stones was compared.
RESULTS: The area under the ROC curve (AUC) for the measurements ranged from 0.88
to 0.90. Between the automated 3D algorithm and the manual measurements the 95%
limits of agreement were 0.2 +/- 1.4 mm for the width. The manual bone window
measurements resulted in a > 20 percentage point (ppt) difference between the
readers in the predicted passage probability in 44% of the upper and 6% of the
lower ureteral stones. CONCLUSIONS: All automated 3D algorithm size estimates
independently predicted the spontaneous stone passage with similar high accuracy
as the mean of three readers' manual linear measurements. Manual size estimation
of upper stones showed large inter-reader variations for spontaneous passage
prediction. KEY POINTS: * An automated 3D technique predicts spontaneous stone
passage with high accuracy. * Linear, areal and volumetric measurements performed
similarly in predicting stone passage. * Reader variability has a large impact on
the predicted prognosis for stone passage.
PMID- 29368162
TI - Hepatic neuroendocrine tumour: Apparent diffusion coefficient as a potential
marker of prognosis associated with tumour grade and overall survival.
AB - OBJECTIVES: To evaluate the correlation between grade of hepatic neuroendocrine
tumours (NETs) according to the 2010 World Health Organization (WHO)
classification and the apparent diffusion coefficient (ADC) and to assess whether
ADC value can predict overall survival (OS) after diagnosis of hepatic NETs.
METHODS: The study included 63 patients who underwent magnetic resonance (MR)
imaging with diffusion-weighted images for the evaluation of hepatic NETs. The
correlation between qualitative and quantitative MR imaging findings, including
ADC values, and WHO classifications was assessed. The association between ADC
value and OS was analyzed. RESULTS: The ADC values and WHO classification of
hepatic NETs were moderately negatively correlated in a statistically significant
manner (rho = -0.57, p < 0.001). The OS rates were significantly different
according to the ADC value (low ADC vs. high ADC, p = 0.006) as well as WHO
classifications (G1+ G2 vs. G3, p = 0.038). However, multivariate analysis
revealed that the only independent predictor for OS was a low ADC value (hazard
ratio: 3.37, p = 0.010). CONCLUSION: There was a significant correlation between
the ADC value of hepatic NETs and the WHO tumour grade. Additionally, the ADC
value of a hepatic NET might be more accurate than the current WHO tumour grade
for predicting OS. KEY POINTS: * ADC values of hepatic NET and WHO tumour grade
were negatively correlated. * Lower ADC values of hepatic NET were significantly
correlated with worse OS. * ADC value might be more accurate than WHO grade for
predicting OS.
PMID- 29368163
TI - Assessment of image quality in abdominal CT: potential dose reduction with model
based iterative reconstruction.
AB - PURPOSE: To estimate potential dose reduction in abdominal CT by visually
comparing images reconstructed with filtered back projection (FBP) and strengths
of 3 and 5 of a specific MBIR. MATERIAL AND METHODS: A dual-source scanner was
used to obtain three data sets each for 50 recruited patients with 30, 70 and
100% tube loads (mean CTDIvol 1.9, 3.4 and 6.2 mGy). Six image criteria were
assessed independently by five radiologists. Potential dose reduction was
estimated with Visual Grading Regression (VGR). RESULTS: Comparing 30 and 70%
tube load, improved image quality was observed as a significant strong effect of
log tube load and reconstruction method with potential dose reduction relative to
FBP of 22-47% for MBIR strength 3 (p < 0.001). For MBIR strength 5 no dose
reduction was possible for image criteria 1 (liver parenchyma), but dose
reduction between 34 and 74% was achieved for other criteria. Interobserver
reliability showed agreement of 71-76% (kappaw 0.201-0.286) and intra-observer
reliability of 82-96% (kappaw 0.525-0.783). CONCLUSION: MBIR showed improved
image quality compared to FBP with positive correlation between MBIR strength and
increasing potential dose reduction for all but one image criterion. KEY POINTS:
* MBIR's main advantage is its de-noising properties, which facilitates dose
reduction. * MBIR allows for potential dose reduction in relation to FBP. *
Visual Grading Regression (VGR) produces direct numerical estimates of potential
dose reduction. * MBIR strengths 3 and 5 dose reductions were 22-34 and 34-74%. *
MBIR strength 5 demonstrates inferior performance for liver parenchyma.
PMID- 29368164
TI - Does a combined CT and MRI protocol enhance the diagnostic efficacy of LI-RADS in
the categorization of hepatic observations? A prospective comparative study.
AB - OBJECTIVE: To compare diagnostic performance and agreement between CT, MRI and
combined CT/MRI in reference to LI-RADS classification system to categorize
hepatic observations detected in hepatic patients during screening ultrasound.
METHODS: 240 patients with 296 liver observations detected during ultrasound
surveillance underwent hepatic CT and MRI examinations, histopathology, and
clinical and radiological follow-up. Using LI-RADS v2014, six radiologists
evaluated the observations independently and assigned a LI-RADS category to each
observation using CT, MRI and combined CT/MRI. RESULTS: Combined CT and MRI in LI
RADS yielded better accuracy (91.29 %), sensitivity (90.71 %) and specificity
(92.31 %) for hepatocellular carcinoma (HCC) diagnosis than using MRI or CT
alone; accuracy, sensitivity and specificity decreased to 85.37 %, 86.34 %, and
83.65 %, respectively, for MRI and 67.6 %, 54.10 % and 91.35 %, respectively, for
CT. The intraclass agreement of the LI-RADS scores between CT, MRI and combined
CT/MRI was excellent (kappa=0.9624 (95 % CI: 0.9318-0.9806)). CONCLUSION: CT and
MRI are complementary to each other. Combined CT/MRI enabled a more precise
determination of LI-RADS category of hepatic observations; however, due to the
expense and minor increase in accuracy, the combined methodology should only be
utilized in cases of suspected HCC. KEY POINTS: * Hepatic observation may be
categorized differently depending on the imaging modality used. * We compared LI
RADS categorization between CT, MRI and combined CT/MRI. * MRI produces higher
accuracy and sensitivity, while CT produces higher specificity. * Combining CT
and MRI improves LIRADS categorization reports. * Considering additional cost,
combined methodology could be restricted to challenging cases.
PMID- 29368165
TI - Antibiotic resistance in E. coli isolates from patients with urinary tract
infections presenting to the emergency department.
AB - PURPOSE: Escherichia coli urine isolates from patients presenting to the
emergency department at a German tertiary care hospital were retrospectively
analyzed from January 2015-March 2017 to determine antibiotic resistance patterns
and patient risk factors for resistance. METHODS: Uncomplicated urinary tract
infection (UTI) was defined as UTI in the otherwise healthy patient without
relevant co-morbidities and complications. Patients were assumed to have UTI if
diagnosis was made by the attending physician with conclusive dipstick results.
For subgroup analysis, only patients with symptoms suggestive for UTI documented
in their records were included. RESULTS: 228 patients with a UTI diagnosed by the
attending physician with E. coli isolated in urine culture were included. 154/228
patients had documented symptomatic UTI, 57/154 had uncomplicated infection,
76/154 patients had cystitis, and 124/154 were female. Resistance rates of
uncomplicated UTI in symptomatic patients were: ciprofloxacin 10.5%,
cotrimoxazole 15.8%, amoxicillin/clavulanic acid 5.3%, nitrofurantoin 0% (CLSI
MICs). Previous hospitalization in the last 3 months (including patients living
in a long-term care facility) was significantly correlated with resistance to
ciprofloxacin, cotrimoxazole and amoxicillin/clav. Previous hospitalization was a
strong predictor of resistance to ciprofloxacin and cotrimoxazole in multivariate
analysis also. Other risk factors correlated with resistance were hematological
malignancy (for cotrimoxazole) and renal transplantation (for ciprofloxacin).
CONCLUSIONS: Cotrimoxazole is still an alternative for treating uncomplicated
cystitis. Previous hospitalization in the last 3 months was a strong predictor of
resistance to cotrimoxazole and ciprofloxacin. Other risk factors which might
help guide empirical therapy are hematological malignancy and renal
transplantation.
PMID- 29368167
TI - Slow viscoelastic response of resilin.
AB - The high importance of resilin in invertebrate biomechanics is widely known. It
is generally assumed to be an almost perfect elastomer in different tissues.
Whereas mechanical properties of resilin were previously determined mainly in
tension, here we aimed at studying its mechanical properties in compression.
Microindentation of resilin from the wing hinge of Locusta migratoria revealed
the clear viscoelastic response of resilin: about a quarter of the mechanical
response was assigned to a viscous component in our experiments. Mechanical
properties were characterized using a generalized Maxwell model with two
characteristic time constants, poroelasticity theory, and alternatively using a
1D model with just one characteristic time constant. Slow viscous responses with
1.7 and 16 s characteristic times were observed during indentation. These results
demonstrate that the locust flight system is adapted to both fast and slow
mechanical processes. The fast highly elastic process is related to the flight
function and the slow viscoelastic process may be related to the wing folding.
PMID- 29368166
TI - Long-term physical activity leads to a significant increase in serum sRAGE
levels: a sign of decreased AGE-mediated inflammation due to physical activity?
AB - There is growing evidence that low levels of the circulating soluble receptor of
advanced glycation end products (sRAGE) are a valuable predictor of
cardiovascular disease (CVD). The aim of this prospective study was to
investigate the influence of long-term physical activity on serum sRAGE levels.
109 subjects were recruited, and 98 completed the study. Participants were asked
to perform exercise within the calculated training pulse for 8 months. The
performance gain was measured/quantified by bicycle stress tests at the beginning
and end of the observation period. sRAGE was measured at baseline and after 2/6/8
months by ELISA. Backwards, multiple linear regression analysis was performed to
investigate the association of co-variables age, sex, BMI, and performance at
baseline, HbA1c, and lipoprotein a with baseline sRAGE levels. We identified BMI
and lipoprotein a as significant predictors for baseline sRAGE levels. Compared
to subjects with a performance gain <= 4.9% subjects with a gain > 5% showed a
significant increase in sRAGE levels up to 22%. sRAGE serum levels correlate
negatively with lipoprotein a levels and BMI and long-term physical activity
leads to a significant increase in serum sRAGE levels (9-22%), whereby the sRAGE
increase is most pronounced in subjects with initially low-performance levels,
suggesting that in particular, these subject profit the most from increased
physical activity. The sport-mediated increase of sRAGE might be a sign of
decreased AGE-mediated inflammation and highlight the protective effect of sports
on CVD and other disease which are at least partly mediated by an increased
inflammation status.Clinical trials registration NCT02097199.
PMID- 29368170
TI - The Ethics of Discharging Asylum Seekers to Harm: A Case From Australia.
AB - In February 2016 a twelve-month-old asylum seeker, who came to be know as Baby
Asha, was transferred from Nauru and hospitalized in Brisbane. This case came to
public attention after Doctors refused to discharge Asha as she would have been
returned to detention on Nauru. What in other circumstances would have been
considered routine clinical care, quickly turned into an act of civil
disobedience. This paper will discuss the ethical aspects of this case, along
with its implications for clinicians and the broader healthcare community.
PMID- 29368168
TI - Biosynthetic and antimicrobial potential of actinobacteria isolated from bulrush
rhizospheres habitat in Zhalong Wetland, China.
AB - The wetland ecosystem is known to possess unique vegetation and serves multiple
functions within the environment. In this study, bacterial bioprospecting of
bulrush rhizospheres in the Zhalong Wetland, China, was performed using
comprehensive methods, including strain isolation and phylogenetic analysis, PCR
detection of biosynthetic gene clusters, assessment of antimicrobial activity,
metabolite profiling and genome analysis. A total of 27 actinobacterial strains
were isolated, and their biosynthetic gene clusters (NRPS, PKS-I and PKS-II) were
investigated; all of the tested strains had at least one of the three
aforementioned biosynthetic gene clusters. Furthermore, fermentation broth
extracts produced by these strains showed antimicrobial activities against
certain pathogens, and ten of the extracts exhibited broad-spectrum antimicrobial
activity. Liquid chromatography-mass spectrometry (LC-MS) analysis indicated
chemical diversity of secondary metabolites from these extracts. Among these
strains, ZLSD-24 generated the largest amounts and types of secondary
metabolites. Subsequent genome analysis showed that 41 secondary metabolite
biosynthetic gene clusters were present in the strain ZLSD-24, which was in
accordance with the LC-MS data. Taken together, the results of this study reveal
that bulrush rhizosphere habitat in the Zhalong wetland is a promising source of
novel natural products.
PMID- 29368171
TI - Truth Disclosure Practices of Physicians in Jordan.
AB - Disclosure of health information is a sensitive matter, particularly in the
context of serious illness. In conservative societies-those which predominate in
the developing world-direct truth disclosure undoubtedly presents an ethical
conundrum to the modern physician. The aim of this study is to explore the truth
disclosure practices of physicians in Jordan, a developing country. In this
descriptive, cross-sectional study, 240 physicians were initially selected by
stratified random sampling. The sample was drawn from four major hospitals in
Amman, Jordan. A closed-ended questionnaire was distributed and completed by self
report. A total of 164 physicians completed the questionnaire. Thirty-seven
physicians (23 per cent) usually withheld the diagnosis of "serious illness" from
patients, while 127 physicians (77 per cent) usually divulged the information
directly. Among the latter, 108 physicians (86 per cent) made exceptions to their
disclosure policy. Specialists were more likely to withhold health information (p
= 0.04998). Non-disclosure was primarily motivated by request from the patient's
family (seventy-one participants, 54 per cent). In twenty cases (15 per cent),
non-disclosure was undertaken independently. In conclusion, most respondents opt
to disclose the truth; however, the vast majority of these respondents make
exceptions. Instances of non-disclosure are primarily motivated by sociocultural
constructs.
PMID- 29368172
TI - Engendering Harm: A Critique of Sex Selection For "Family Balancing".
AB - The most benign rationale for sex selection is deemed to be "family balancing."
On this view, provided the sex distribution of an existing offspring group is
"unbalanced," one may legitimately use reproductive technologies to select the
sex of the next child. I present four novel concerns with granting "family
balancing" as a justification for sex selection: (a) families or family subsets
should not be subject to medicalization; (b) sex selection for "family balancing"
entrenches heteronormativity, inflicting harm in at least three specific ways;
(c) the logic of affirmative action is appropriated; (d) the moral mandate of
reproductive autonomy is misused. I conclude that the harms caused by family
balancing are sufficiently substantive to override any claim arising from a
supposed right to sex selection as an instantiation of procreative autonomy.
PMID- 29368173
TI - Changing risk of spring frost damage in grapevines due to climate change? A case
study in the Swiss Rhone Valley.
AB - Late spring frost is a severe risk during early plant development. It may cause
important economic damage to grapevine production. In a warming climate, late
frost risk either could decline due to the reduction in frost days and an
advancement of the last day of frost or increase due to a more pronounced shift
forward of the start of the active growing period of the plants. These
possibilities were analyzed in a case study for two locations in the lower Swiss
Rhone Valley (Sion, Aigle) where viticulture is an important part of agriculture.
Twelve phenology models were calibrated for the developmental stage BBCH09 (bud
burst) using measured or reconstructed temperature data for two vineyards in
Changins (1958 to 2012) and Leytron (1977 to 2014) together with observed
phenological data. The day of year (DOY) for BBCH09 was then modelled for the
years 1951 to 2050 using the best performing phenology model in combination with
ten downscaled and bias-corrected climate scenarios. A 100-day period starting
with BBCH09 was defined, during which daily mean and minimum temperatures were
used to calculate three frost risk indices in each year. These indices were
compared between the periods 1961-1990 (reference) and 2021-2050 (climate change
scenario). Based on the average of the ensemble of climate model chains, BBCH09
advanced by 9 (range 7-11) (Aigle) and 7 (range 5-8) (Sion) days between the two
time periods, similar to the shift in the last day of frost. The separate results
of the different model chains suggest that, in the near future, late spring frost
risk may increase or decrease, depending on location and climate change
projections. While for the reference, the risk is larger at the warmer site
(Sion) compared to that at the cooler site (Aigle), for the period 2021-2050,
small shifts in both phenology and occurrence of frost (i.e., days with daily
minimum temperature below 0 degrees C) lead to a small decrease in frost risk at
the warmer but an increase at the cooler site. However, considerable
uncertainties remain that are mostly related to climate model chains.
Consequently, shifts in frost risk remain uncertain for the time period
considered and the two study locations.
PMID- 29368174
TI - Impact of Drug-Polymer Miscibility on Enthalpy Relaxation of Irbesartan Amorphous
Solid Dispersions.
AB - PURPOSE: Drug-polymer miscibility has been proposed to play a critical role in
physical stability of amorphous solid dispersions (ASDs). The purpose of the
current work was to investigate the role of drug-polymer miscibility on molecular
mobility, measured as enthalpy relaxation (ER) of amorphous irbesartan (IBS) in
ASDs. METHODS: Two polymers, i.e. polyvinylpyrrolidone K30 (PVP K30) and
hydroxypropyl methylcellulose acetate succinate (HPMCAS), were used to generate
ASDs with 10% w/w of the polymer. Drug-polymer miscibility was determined using
melting point depression (MPD) method. Molecular mobility was assessed from ER
studies at a common degree of undercooling (DOU) (Tg - 13.0 degrees C +/- 0.5
degrees C). RESULTS: IBS exhibited higher miscibility in PVP K30 as compared to
HPMCAS at temperature > 140 degrees C. However, extrapolation of miscibility data
to storage temperature (62 degrees C) using Flory-Huggins (F-H) theory revealed a
reversal of the trend. Miscibility of IBS was found to be higher in HPMCAS (2.6%)
than PVP K30 (1.3%) at 62 degrees C. Stretched relaxation time (taubeta) of
17.4365 h and 7.0886 h was obtained for IBS-HPMCAS and IBS-PVP K30 ASDs,
respectively. CONCLUSION: Miscibility of drug-polymer at storage temperature
explained the behavior of the molecular mobility, while miscibility near the
melting point provided a reverse trend. Results suggest that drug-polymer
miscibility determined at temperatures higher than the storage temperature should
be viewed cautiously.
PMID- 29368175
TI - Differential Proteomics for Distinguishing Ischemic Stroke from Controls: a Pilot
Study of the SpecTRA Project.
AB - A diagnostic blood test for stroke is desirable but will likely require multiple
proteins rather than a single "troponin." Validating large protein panels
requires large patient numbers. Mass spectrometry (MS) is a cost-effective tool
for this task. We compared differences in the abundance of 147 protein markers to
distinguish 20 acute cerebrovascular syndrome (ACVS) patients who presented to
the Emergency Department of one urban hospital within < 24 h from onset) and from
20 control patients who were enrolled via an outpatient neurology clinic. We
targeted proteins from the stroke literature plus cardiovascular markers
previously studied in our lab. One hundred forty-one proteins were quantified
using MS, 8 were quantified using antibody protein enrichment with MS, and 32
were measured using ELISA, with some proteins measured by multiple techniques.
Thirty proteins (4 by ELISA and 26 by the MS techniques) were differentially
abundant between mimic and stroke after adjusting for age in robust regression
analyses (FDR < 0.20). A logistic regression model using the first two principal
components of the proteins significantly improved discrimination between strokes
and controls compared to a model based on age alone (p < 0.001, cross-validated
AUC 0.93 vs. 0.78). Significant proteins included markers of inflammation (47%),
coagulation (40%), atrial fibrillation (7%), neurovascular unit injury (3%), and
other (3%). These results suggest the potential value of plasma proteins as
biomarkers for ACVS diagnosis and the role of plasma-based MS in this area.
PMID- 29368176
TI - Biomechanical performance of the Actifit(r) scaffold is significantly improved by
selection of irrigation fluid.
AB - PURPOSE: Clinical reports on meniscal scaffolds seem promising, albeit relatively
paucity exists regarding their biomechanical behavior. The aim of the study is to
delineate the impact of differing suture materials and the type as well as the
temperature of the irrigation fluid on the pull-out strength of a polyurethane
meniscal scaffold (Actifit(r)). MATERIALS AND METHODS: 128 specimens were
utilized with horizontal sutures and uniaxial load-to-failure testing was
performed. We compared two different suture materials-polydioxanone (PDS) and non
absorbable, braided polyester sutures (NABP)-as well as two common irrigation
fluids-lactated Ringer's and electrolyte-free, hypotonic Mannitol-Sorbitol. All
specimens were further evaluated according to two different temperatures [room
temperature (20 degrees C) and near-core body temperature (37 degrees C)].
RESULTS: Mean load-to-failure was 53.3 +/- 6.5 N. There was no significant
difference between the NABP and the PDS group. Ringer group showed a
significantly higher load-to-failure compared to Purisole (P = .0002). This was
equivalent for both PDS (P = .0008) and NABP sutures (P = .0008). Significantly
higher failure loads could be established for the 37 degrees group (P = .041);
yet, this difference was neither confirmed for the PDS or in in the NABP
subgroup. Only the subgroup using Purisole at 37 degrees showed significantly
higher failure loads compared to 20 degrees (P = .017). CONCLUSIONS: This study
underlines the potential to improve pull-out strength during implantation of an
Actifit(r) scaffold by alteration of the type of irrigation fluid. Lactated
Ringer solution provided the highest construct stability in regard to load-to
failure testing and should be considered whenever implantation of a polyurethane
meniscal scaffold is conducted.
PMID- 29368177
TI - Tram system related cycling injuries.
AB - INTRODUCTION: Understanding of tram-system related cycling injuries (TSRCI) is
poor. The aim of this study was to report the spectrum of injuries, demographics
and social deprivation status of patients. Secondary aims included assessment of
accident circumstances, effects of TSRCI on patients' confidence cycling,
together with time off work and cycling. METHODS: A retrospective review of
patients presenting to emergency services across all hospitals in Edinburgh and
West Lothian with tram related injuries between May 2009 and April 2016 was
undertaken. Medical records and imagining were analysed and patients were
contacted by telephone. RESULTS: 191 cyclists (119 males, 72 females) were
identified. 63 patients sustained one or more fractures or dislocations. Upper
limb fractures/dislocations occurred in 55, lower limb fractures in 8 and facial
fractures in 2. Most patients demonstrated low levels of socioeconomic
deprivation. In 142 cases, the wheel was caught in tram-tracks, while in 32 it
slid on tracks. The latter occurred more commonly in wet conditions (p = 0.028).
151 patients answered detailed questionnaires. Ninety-eight were commuting. 112
patients intended to cross tramlines and 65 accidents occurred at a junction.
Eighty patients reported traffic pressures contributed to their accident. 120
stated that their confidence was affected and 24 did not resume cycling. Female
gender (p < 0.001) and presence of a fracture/dislocation (p = 0.012) were
independent predictors of negative effects on confidence. Patients sustaining a
fracture/dislocation spent more time off work (median 5 days vs 1, p < 0.001) and
cycling (median 57 days vs 21, p < 0.001). CONCLUSIONS: TSRCI occur predominantly
in young to middle-aged adults with low levels of socioeconomic deprivation, most
commonly when bicycle wheels get caught in tram-tracks. They result in various
injuries, frequently affecting the upper limb. Traffic pressures are commonly
implicated. Most patients report negative effects on confidence and a sizeable
minority do not resume cycling. TSRCI can result in significant loss of working
and cycling days.
PMID- 29368178
TI - Evaluation of accuracy of virtual surgical planning for patient-specific pre
contoured plate in acetabular fracture fixation.
AB - BACKGROUND: Acetabular fractures are amongst the most challenging fractures to
treat because of complex anatomy. Open reduction and internal fixation remains
the standard treatment for displaced acetabular fractures to achieve anatomical
reduction as in any other intra-articular fracture. Patient-specific pre
contoured reconstruction plate template made by a pre-operative virtual surgical
planning can be useful to respect patient's morphology, reduce surgical
invasiveness and simplify the surgical procedure. Proper evaluation and surgical
planning is necessary to achieve these goals. The goal of this study was to
evaluate the outcomes of using virtual surgical planning and virtually pre
contoured plate template in comparison with the conventional method of intra
operative contouring of reconstruction plate for acetabular fracture fixation.
METHODS: Twenty-five patients were categorized into group A and B by computerized
randomization. In group A (12 patients), CT-based virtual surgical planning was
done using Mimics and 3-Matic software to form virtually pre-contoured plates,
which were 3D printed to act as templates over which 3.5 mm reconstruction plates
were manually contoured pre-operatively and used for fixation. In group B (13
patient), conventional method of intra-operative contouring to adapt the plate to
the fracture region was followed. Blood loss, surgical time, reduction on X-rays
and post-operative computed tomography were compared between two groups. RESULTS:
Duration of surgery and total blood loss were found to be less while reduction
was found to satisfactory/anatomical in higher percentage of Group A than Group B
patients. CONCLUSIONS: Virtual surgical planning, patient-specific virtually pre
contoured plate template and 3D printing technology improve the outcomes of
acetabular fracture surgery by reducing duration and invasiveness of surgery and
improving the quality of reduction. However, studies with larger sample size are
required to further validate it.
PMID- 29368179
TI - Recent Approaches to Improve Medication Adherence in Patients with Coronary Heart
Disease: Progress Towards a Learning Healthcare System.
AB - PURPOSE OF REVIEW: Non-adherence to medications for the secondary prevention of
myocardial infarction (MI) is a major contributor to morbidity and mortality in
these patients. This review describes recent advances in promoting adherence to
therapies for coronary artery disease (CAD). RECENT FINDINGS: Two large
randomized controlled trials to "incentivize" adherence were somewhat
disappointing; neither financial incentives nor "peer pressure" successfully
increased rates of adherence in the post-MI population. Patient education and
provider engagement appear to be critical aspects of improving adherence to CAD
therapies, where the provider is a physician, pharmacist, or nurse and follow-up
is performed in person or by telephone. Fixed-dose combinations of CAD
medications, formulated as a so-called "polypill," have shown some early efficacy
in increasing adherence. Technological advances that automate monitoring and/or
encouragement of adherence are promising but seem universally dependent on
patient engagement. For example, medication reminders via text message perform
better if patients are required to respond. Multifaceted interventions, in which
these and other interventions are combined together, appear to be most effective.
There are several available types of proven interventions through which
providers, and the health system at large, can advance patient adherence to CAD
therapies. No single intervention to promote adherence will be successful in all
patients. Further study of multifaceted interventions and the interactions
between different interventions will be important to advancing the field. The
goal is a learning healthcare system in which a network of interventions responds
and adapts to patients' needs over time.
PMID- 29368180
TI - [Complications after intramedullary stabilization of clavicle fractures].
AB - BACKGROUND: Operative treatment of clavicle fractures by intramedullary nailing
with titanium elastic nailing (TEN) has been established as an alternative to
plate osteosynthesis for many years. The main complication after TEN
osteosynthesis is nail migration. The goal of this study was evaluation of
predictors for medial nail migration and comparison with plate osteosynthesis.
MATERIAL AND METHODS: A retrospective analysis of electronic patient charts,
surgical protocols and radiographs of all operatively treated clavicle shaft
fractures between 2010-2014 (n = 141) was performed. When evaluating the patient
charts and the surgical protocols special attention was paid to the fracture
type, the duration of the operation, the need for an open reduction and the onset
of complications as well as the duration until implant removal. Radiographs were
analyzed concerning the implant location and an implant migration. RESULTS:
Surgery time (39 vs. 83 min) as well as the time to implant removal (226 vs. 495
days) were significantly reduced (p = 0.00), while complication (39% vs. 21.4%)
as well as reoperation rates (15% vs. 7.1%; p = 0.033) were increased in TEN
compared to plate osteosynthesis. The main complication was medial nail
migration. The following predictors regarding medial migration could be
identified: open or closed reduction (p = 0.021), multifragmentary fractures (p =
0.049), oblique fractures (p = 0.08) and TEN thickness (33% at 2 mm, 0% at 3 mm).
DISCUSSION: Advantages of TEN are a shorter surgery time as well as a shorter
duration until implant removal. The TEN osteosynthesis led to a significantly
increased complication rate, with nail migration representing the major reason.
When predictors for medial TEN migration are considered, type B and C fractures
can also be sufficiently treated by a large diameter TEN.
PMID- 29368181
TI - Drinking Strategies: Planned Drinking Versus Drinking to Thirst.
AB - In humans, thirst tends to be alleviated before complete rehydration is achieved.
When sweating rates are high and ad libitum fluid consumption is not sufficient
to replace sweat losses, a cumulative loss in body water results. Body mass
losses of 2% or greater take time to accumulate. Dehydration of >= 2% body mass
is associated with impaired thermoregulatory function, elevated cardiovascular
strain and, in many conditions (e.g., warmer, longer, more intense), impaired
aerobic exercise performance. Circumstances where planned drinking is optimal
include longer duration activities of > 90 min, particularly in the heat; higher
intensity exercise with high sweat rates; exercise where performance is a
concern; and when carbohydrate intake of 1 g/min is desired. Individuals with
high sweat rates and/or those concerned with exercise performance should
determine sweat rates under conditions (exercise intensity, pace) and
environments similar to that anticipated when competing and tailor drinking to
prevent body mass losses > 2%. Circumstances where drinking to thirst may be
sufficient include short duration exercise of < 1 h to 90 min; exercise in
cooler conditions; and lower-intensity exercise. It is recommended to never drink
so much that weight is gained.
PMID- 29368182
TI - Administration of Caffeine in Alternate Forms.
AB - There has been recent interest in the ergogenic effects of caffeine delivered in
low doses (~ 200 mg or ~ 3 mg/kg body mass) and administered in forms other than
capsules, coffee and sports drinks, including chewing gum, bars, gels, mouth
rinses, energy drinks and aerosols. Caffeinated chewing gum is absorbed quicker
through the buccal mucosa compared with capsule delivery and absorption in the
gut, although total caffeine absorption over time is not different. Rapid
absorption may be important in many sporting situations. Caffeinated chewing gum
improved endurance cycling performance, and there is limited evidence that
repeated sprint cycling and power production may also be improved. Mouth rinsing
with caffeine may stimulate nerves with direct links to the brain, in addition to
caffeine absorption in the mouth. However, caffeine mouth rinsing has not been
shown to have significant effects on cognitive performance. Delivering caffeine
with mouth rinsing improved short-duration, high-intensity, repeated sprinting in
normal and depleted glycogen states, while the majority of the literature
indicates no ergogenic effect on aerobic exercise performance, and resistance
exercise has not been adequately studied. Studies with caffeinated energy drinks
have generally not examined the individual effects of caffeine on performance,
making conclusions about this form of caffeine delivery impossible. Caffeinated
aerosol mouth and nasal sprays may stimulate nerves with direct brain connections
and enter the blood via mucosal and pulmonary absorption, although little support
exists for caffeine delivered in this manner. Overall, more research is needed
examining alternate forms of caffeine delivery including direct measures of brain
activation and entry of caffeine into the blood, as well as more studies
examining trained athletes and female subjects.
PMID- 29368183
TI - Vitamin D and the Athlete: Current Perspectives and New Challenges.
AB - The last decade has seen a dramatic increase in general interest in and research
into vitamin D, with many athletes now taking vitamin D supplements as part of
their everyday dietary regimen. The most recognized role of vitamin D is its
regulation of calcium homeostasis; there is a strong relationship between vitamin
D and bone health in non-athletic individuals. In contrast, data have
consistently failed to demonstrate any relationship between serum 25[OH]D and
bone health, which may in part be due to the osteogenic stimulus of exercise.
Vitamin D may interact with extra-skeletal tissues such as muscle and the immune
system to modulate recovery from damaging exercise and infection risk. Given that
many athletes now engage in supplementation, often consuming extreme doses of
vitamin D, it is important to assess whether excessive vitamin D can be
detrimental to health. It has been argued that toxic effects only occur when
serum 25[OH]D concentrations are greater than 180 nmol.l-1, but data from our
laboratory have suggested high-dose supplementation could be problematic.
Finally, there is a paradoxical relationship between serum 25[OH]D concentration,
ethnicity, and markers of bone health: Black athletes often present with low
serum 25[OH]D without physiological consequences. One explanation for this could
be genetic differences in vitamin D binding protein due to ethnicity, resulting
in greater concentrations of bioavailable (or free) vitamin D in some ethnic
groups. In the absence of any pathology, screening may be unnecessary and could
result in incorrect supplementation. Data must now be re-examined, taking into
consideration bioavailable or "free" vitamin D in ethnically diverse groups to
enable new thresholds and target concentrations to be established; perhaps, for
now, it is time to "set vitamin D free".
PMID- 29368184
TI - Does Cold Water or Ice Slurry Ingestion During Exercise Elicit a Net Body Cooling
Effect in the Heat?
AB - Cold water or ice slurry ingestion during exercise seems to be an effective and
practical means to improve endurance exercise performance in the heat. However,
transient reductions in sweating appear to decrease the potential for evaporative
heat loss from the skin by a magnitude that at least negates the additional
internal heat loss as a cold ingested fluid warms up to equilibrate with body
temperature; thus explaining equivalent core temperatures during exercise at a
fixed heat production irrespective of the ingested fluid temperature. Internal
heat transfer with cold fluid/ice is always 100% efficient; therefore, when a
decrement occurs in the efficiency that sweat evaporates from the skin surface
(i.e. sweating efficiency), a net cooling effect should begin to develop. Using
established relationships between activity, climate and sweating efficiency, the
boundary conditions beyond which cold ingested fluids are beneficial in terms of
increasing net heat loss can be calculated. These conditions are warmer and more
humid for cycling relative to running by virtue of the greater skin surface
airflow, which promotes evaporation, for a given metabolic heat production and
thus sweat rate. Within these boundary conditions, athletes should ingest fluids
at the temperature they find most palatable, which likely varies from athlete to
athlete, and therefore best maintain hydration status. The cooling benefits of
cold fluid/ice ingestion during exercise are likely disproportionately greater
for athletes with physiological disruptions to sweating, such as those with a
spinal cord injury or burn injuries, as their capacity for skin surface
evaporative heat loss is much lower; however, more research examining these
groups is needed.
PMID- 29368185
TI - Assessing the Role of Muscle Protein Breakdown in Response to Nutrition and
Exercise in Humans.
AB - Muscle protein breakdown (MPB) is an important metabolic component of muscle
remodeling, adaptation to training, and increasing muscle mass. Degradation of
muscle proteins occurs via the integration of three main systems-autophagy and
the calpain and ubiquitin-proteasome systems. These systems do not operate
independently, and the regulation is complex. Complete degradation of a protein
requires some combination of the systems. Determination of MPB in humans is
technically challenging, leading to a relative dearth of information. Available
information on the dynamic response of MPB primarily comes from stable isotopic
methods with expression and activity measures providing complementary
information. It seems clear that resistance exercise increases MPB, but not as
much as the increase in muscle protein synthesis. Both hyperaminoacidemia and
hyperinsulinemia inhibit the post-exercise response of MPB. Available data do not
allow a comprehensive examination of the mechanisms behind these responses.
Practical nutrition recommendations for interventions to suppress MPB following
exercise are often made. However, it is likely that some degree of increased MPB
following exercise is an important component for optimal remodeling. At this
time, it is not possible to determine the impact of nutrition on any individual
muscle protein. Thus, until we can develop and employ better methods to elucidate
the role of MPB following exercise and the response to nutrition, recommendations
to optimize post exercise nutrition should focus on the response of muscle
protein synthesis. The aim of this review is to provide a comprehensive
examination of the state of knowledge, including methodological considerations,
of the response of MPB to exercise and nutrition in humans.
PMID- 29368187
TI - Lack of pharmacokinetic interaction between fluvastatin and green tea in healthy
volunteers.
AB - PURPOSE: The objective of this study is to assess the effects of green tea and
its major catechin component, (-)-epigallocatechin gallate (EGCG), on CYP2C9
mediated substrate metabolism in vitro, and the pharmacokinetics of fluvastatin
in healthy volunteers. METHODS: The metabolism of diclofenac and fluvastatin in
human recombinant CYP2C9 was investigated in the presence of EGCG. In a
randomized three-phase crossover study, 11 healthy volunteers ingested a single
20-mg dose of fluvastatin with green tea extract (GTE), containing 150 mg of
EGCG, along with water (300 mL), brewed green tea (300 mL), or water (300 mL)
after overnight fasting. Plasma concentrations of fluvastatin and EGCG were
measured by ultra-performance liquid chromatography with fluorescence detection
and a single mass spectrometer. RESULTS: EGCG inhibited diclofenac 4'
hydroxylation and fluvastatin degradation with IC50 of 2.23 and 48.04 MUM,
respectively. Brewed green tea used in the clinical study also dose-dependently
inhibited the metabolism of diclofenac and fluvastatin in vitro. However, no
significant effects of GTE and brewed green tea were observed in plasma
concentrations of fluvastatin. The geometric mean ratios with 90% CI for area
under the plasma concentration-time curve (AUC0-infinity) of fluvastatin were
0.993 (0.963-1.024, vs. brewed green tea) and 0.977 (0.935-1.020, vs. GTE).
CONCLUSIONS: Although in vitro studies indicated that EGCG and brewed green tea
produce significant inhibitory effects on CYP2C9 activity, the concomitant
administration of green tea and fluvastatin in healthy volunteers did not
influence the pharmacokinetics of fluvastatin.
PMID- 29368186
TI - Protection Before Impact: the Potential Neuroprotective Role of Nutritional
Supplementation in Sports-Related Head Trauma.
AB - Even in the presence of underreporting, sports-related concussions/mild traumatic
brain injuries (mTBI) are on the rise. In the absence of proper diagnosis, an
athlete may return to play prior to full recovery, increasing the risk of second
impact syndrome or protracted symptoms. Recent evidence has demonstrated that sub
concussive impacts, those sustained routinely in practice and competition, result
in a quantifiable pathophysiological response and the accumulation of both
concussive and sub-concussive impacts sustained over a lifetime of sports
participation may lead to long-term neurological impairments and an increased
risk of developing neurodegenerative diseases. The pathophysiological,
neurometabolic, and neurochemical cascade that initiates subsequent to the injury
is complex and involves multiple mechanisms. While pharmaceutical treatments may
target one mechanism, specific nutrients and nutraceuticals have been discovered
to impact several pathways, presenting a broader approach. Several studies have
demonstrated the neuroprotective effect of nutritional supplementation in the
treatment of mTBI. However, given that many concussions go unreported and sub
concussive impacts result in a pathophysiological response that, too, may
contribute to long-term brain health, protection prior to impact is warranted.
This review discusses the current literature regarding the role of nutritional
supplements that, when provided before mTBI and traumatic brain injury, may
provide neurological protection.
PMID- 29368188
TI - The nocebo effect challenges the non-medical infliximab switch in practice.
AB - BACKGROUND: In clinical practice, non-medical switching of biological medication
may provoke nocebo effects due to unexplained deterioration of therapeutic
benefits. Indication extrapolation, idiosyncratic reactions, and
interchangeability remain challenged in clinical practice after biosimilar
approval by the European Medicines Agency. The principle of "first do no harm"
may be challenged in a patient when switching from originator to biosimilar
biological. AIM: To describe the 1-year results of a pragmatic study on
infliximab biosimilar implementation in immune-mediated inflammatory disease
patients on the basis of shared decision-making under effectiveness and safety
monitoring. METHODS: Inflammatory bowel disease and rheumatology patients on
infliximab originator were converted to infliximab biosimilar after providing
informed consent. Nocebo response patients were monitored after switch back to
originator. Linear mixed models were used to analyze continuous endpoints on
effectiveness and laboratory outcomes to determine significance (P <= 0.05) of
change over time after switching. RESULTS: After inviting 146 patients, a group
of 125 patients enrolled in the project over time, respectively, 73 Crohn's
disease, 28 ulcerative colitis, nine rheumatoid arthritis, ten psoriatic
arthritis, and five ankylosing spondylitis patients. No statistically significant
changes in effectiveness and safety were observed in any of the indications after
a median of 4 infusions in 9 months of study. An overall nocebo response of 12.8%
was found among the patients during a minimal observation period of 6 months
after the transition to biosimilar infliximab. The overall nocebo response rate
did not differ between the studied indications. CONCLUSIONS: In inflammatory
bowel disease and rheumatological patients, similar effectiveness and safety were
demonstrated on the transition into infliximab biosimilar. In our series, patient
empowerment and registration of treatment outcomes delineated biosimilar
transition, an approach that hypothetically could reduce nocebo response rates
which are relevant to account for regarding biosimilar implementation.
PMID- 29368189
TI - Multi-center prospective survey of inflammatory bowel diseases in Kerala: More
than 2000 cases.
AB - BACKGROUND: Inflammatory bowel disease (IBD) is considered uncommon in Asia. The
aim of this study was to document the demographic characteristics and clinical
aspects of ulcerative colitis (UC) and Crohn's disease (CD) in Kerala, India.
METHODS: A survey of IBD in Kerala was performed. All gastroenterologists in the
region were invited. From May 2013 to October 2015, data were collected in a
standardized pro-forma. RESULTS: Forty-seven doctors in 34 centers contributed
data. A total of 2142 patients were analyzed. This is the largest state-wide
survey of IBD in India. Ulcerative colitis was diagnosed in 1112 (38 new),
Crohn's disease in 980 (53 new), and 50 were unclassified (5 new). The district
wise distribution of IBD cases correlated with the District-wise Gross State
Domestic Product (r = 0.69, p < 0.01). Three percent was below the age of 18.
Patients with UC had more diarrhea (73% vs. 51%), bleeding PR (79% vs. 34%), and
intermittent flares (35% vs. 13%) (all p < 0.01). Patients with CD had more
abdominal pain (62% vs. 46%), weight loss (53% vs. 40%), fever (28% vs. 18%), and
history of antituberculosis treatment (21% vs. 5%) (all p < 0.01). Compared to
adults, children (below 18 years) were more likely to have extensive UC (58% vs.
34%, p < 0.01) and unclassified IBD (15% vs. 2%, p < 0.01). CONCLUSION:
Inflammatory bowel disease is common in Kerala, India. The disease
characteristics of patients with IBD are almost similar to those from other parts
of the country. Both UC and CD were seen in equal proportion in Kerala.
PMID- 29368190
TI - Use of self-expanding metal stents for difficult variceal bleed.
AB - BACKGROUND/AIM: Patients with variceal band ligation (VBL)-induced ulcer bleeding
and those with persisting bleeding in spite of VBL carry a high mortality.
Balloon tamponade and transjugular intrahepatic portosystemic shunt have
limitations in terms of complications, cost, and availability. The aim was to
evaluate the efficacy of Sx-Ella Danis stent in persistent or complicated
variceal bleeding. METHODS: Twelve patients with either persistent variceal
bleeding or VBL-induced ulcer bleeding were treated with the placement of Sx-Ella
Danis stents. The patients were followed up for mortality, complications, and
efficacy to control bleeding. RESULTS: Stents were inserted successfully in all
12 patients (with immediate hemostasis). There was an immediate cessation of
bleeding in all 12 patients with no stent-related complication. Five out of 12
patients died during 30 days post-procedure due to worsening encephalopathy or
sepsis. Enteral feeding could be started in all 12 patients 6 h after stent
placement. One patient had bleeding 10 days after stent removal and was re
stented but expired 7 days later. Stents were removed in eight patients at a mean
duration of 17.5 days. (range 7 to 30 days). CONCLUSIONS: Sx-Ella Danis stent is
a useful modality to control persistent variceal bleeding as well VBL-related
ulcer bleeding.
PMID- 29368191
TI - Exploring current status of Helicobacter pylori infection in different age groups
of patients with dyspepsia.
AB - Recent data from Asian countries including India has shown a significant decline
in the frequency of peptic ulcer disease (PUD) compared to the past. H. pylori is
considered the most important risk factor for PUD, and we aimed to explore the
current frequency of H. pylori infection in different age groups of patients with
dyspepsia. Patients >15 years of age with dyspeptic symptoms were prospectively
recruited in this study from 2010 to 2014 after obtaining informed consent.
Patients were divided into three age groups: 15-30 years, 31-50 years, and >50
years, and the minimum sample size required in the three groups with a power of
90% was 259, 256, and 188, respectively. All patients underwent upper
gastrointestinal endoscopy; rapid urease test was done on gastric mucosal biopsy
to detect H. pylori. The clinical, demographic features and socioeconomic status
were recorded. The institute review board approved the study. We included 1000
patients with dyspepsia during the study period. Their mean age was 40.0+13.3
years, and 69.3% were males. Infection with H. pylori was detected in 419 (41.9%)
patients. Among men, H. pylori was present in 45.7% while the frequency of
infection in women was lower at 33.2% (p < 0.001). In the 15-30 years age group
(n = 303), the frequency of infection was 42.6% while it was 48.3% in the 31-50
years group (n = 350) and 34.9% in the above 50 years group (n = 347). Male sex
was a significant risk factor for H. pylori infection (p < 0.001). H. pylori
infection, an important risk factor for PUD, was detected in less than half of
the dyspeptic patients in the current study.
PMID- 29368192
TI - Cirrhotic cardiomyopathy is less prevalent in patients with Budd-Chiari syndrome
than cirrhosis of liver.
AB - BACKGROUND AND AIM: Cirrhotic cardiomyopathy (CCM) is associated with high
mortality after transjugular intrahepatic portosystemic shunt (TIPS) and liver
transplantation in patients with cirrhosis. There is no data about the prevalence
or impact of CCM in Budd-Chiari syndrome (BCS). We assessed the prevalence of CCM
in patients with BCS and its impact on outcome after radiological intervention.
METHODS: Thirty-three consecutive patients with BCS (15 men) and 33 controls with
hepatitis B-related cirrhosis (18 men, matched for Child-Pugh score) were
evaluated with baseline electrocardiography (ECG), echocardiography (ECHO) and
dobutamine stress ECHO, and ECG (DSE). The two groups were compared for
prevalence of CCM. Patients with BCS with and without CCM were assessed for
development of heart failure, duration of intensive care unit (ICU) stay, and in
hospital mortality immediately after radiological intervention. RESULTS: Fewer
patients with BCS had CCM (7/21 vs. 21/33; p = 0.001, OR-0.16, CI [0.05-0.5]),
diastolic dysfunction (DD) (0/33 vs. 6/33; p = 0.01, OR-0.06, CI [0.00-1.1]), and
prolonged QTc interval (5/33 vs.17/33; p = 0.001, OR-0.16, CI [0.05-0.5]) despite
correction for age. Patients with BCS had lower end-systolic and end-diastolic
volumes of left and right ventricles. None of the 19 patients (five with CCM)
with BCS undergoing radiological intervention (12 TIPS, 4 inferior vena cava, and
3 hepatic vein stenting) developed heart failure or had prolonged ICU stay. There
was no in-hospital mortality. CONCLUSION: Patients with BCS have lower frequency
of CCM as compared to patients with cirrhosis. CCM may not adversely affect
outcomes after radiological interventions.
PMID- 29368193
TI - Periprocedural Anticoagulation Management for Atrial Fibrillation Ablation:
Current Knowledge and Future Directions.
AB - Catheter ablation (CA) for atrial fibrillation (AF) is an established first-line
approach to the management of drug-refractory AF. Although, advancements in
procedural techniques and technology have improved the efficacy and safety of CA,
thromboembolism (TE) remains one of the most feared periprocedural complications.
Minimizing the risk of TE during and after CA requires a multifaceted approach,
in which periprocedural anticoagulation plays a central role. The goal of
anticoagulation before, during, and after CA is to minimize TE risk without
excessively increasing the risk of adverse bleeding. Generally, there are two
broad approaches to periprocedural anticoagulation management, "interrupted" or
"uninterrupted." Interrupted refers to those patients in whom their oral
anticoagulant is stopped before the CA, with or without "bridging" with another
anticoagulant, while uninterrupted refers to continuation of oral anticoagulation
throughout the periprocedural period. The strongest evidence supports an
uninterrupted oral anticoagulation strategy with warfarin, which is currently the
standard of care. The introduction of the novel anticoagulants has added some
complexity to the decision making. Current data generally supports that these are
safe to use and are not associated with any additional procedural risk or adverse
events (thromboembolism or bleeding) compared to warfarin. At present, based upon
current evidence from randomized trials, dabigatran and rivaroxaban are
reasonable alternatives to warfarin for an uninterrupted approach, while further
data is needed (and trials are ongoing) for apixaban and edoxaban. In this
article, we discuss the different approaches to the management of periprocedural
anticoagulation and the data supporting their use.
PMID- 29368194
TI - The impact of parity on life course blood pressure trajectories: the HUNT study
in Norway.
AB - The drop in blood pressure during pregnancy may persist postpartum, but the
impact of pregnancy on blood pressure across the life course is not known. In
this study we examined blood pressure trajectories for women in the years
preceding and following pregnancy and compared life course trajectories of blood
pressure for parous and nulliparous women. We linked information on all women who
participated in the population-based, longitudinal HUNT Study, Norway with
pregnancy information from the Medical Birth Registry of Norway. A total of
23,438 women were included with up to 3 blood pressure measurements per woman.
Blood pressure trajectories were compared using a mixed effects linear spline
model. Before first pregnancy, women who later gave birth had similar mean blood
pressure to women who never gave birth. Women who delivered experienced a drop
after their first birth of - 3.32 mmHg (95% CI, - 3.93, - 2.71) and - 1.98 mmHg
(95% CI, - 2.43, - 1.53) in systolic and diastolic blood pressure, respectively.
Subsequent pregnancies were associated with smaller reductions. These pregnancy
related reductions in blood pressure led to persistent differences in mean blood
pressure, and at age 50, parous women still had lower systolic (- 1.93 mmHg; 95%
CI, - 3.33, - 0.53) and diastolic (- 1.36 mmHg; 95% CI, - 2.26, - 0.46) blood
pressure compared to nulliparous women. The findings suggest that the first
pregnancy and, to a lesser extent, successive pregnancies are associated with
lasting and clinically relevant reductions in systolic and diastolic blood
pressure.
PMID- 29368195
TI - Advanced treatment of petrochemical wastewater by combined ozonation and
biological aerated filter.
AB - The secondary effluent from a petrochemical wastewater treatment plant was
treated by biological aerated filter (BAF) before and after ozonation, namely
BAF1 and BAF2, respectively. The results showed that BAF2 fed with the ozonized
secondary effluent exhibited a high efficiency in degrading organic pollutants.
The removal efficiency of COD and NH4-N was 6.0 +/- 3.2 and 48.2~18.6% for BAF1
and 12.5 +/- 5.8 and 62.1~40.9% for BAF2, respectively, during the whole
operation. The integration system of ozonation and BAF could tolerate a higher
organic loading rate. When HRT decreased from 4 to 1 h, COD removal efficiency
decreased from 12 to 4% for the BAF1 system, but it kept almost unchanged at high
levels of 27-32% for the ozonation-BAF2 system, with around 20% removal by
ozonation. The biomass in BAF2 exhibited a higher activity of protease, DHA, and
SOUR than that in BAF1. The organic pollutants in influent and effluent of BAF
were mainly ester compounds, which were difficult to biodegrade by BAF. The
predominant genera in BAF1 were Gemmatimonadaceae uncultured, Thauera, and
Thiobacillus, while the dominant genera in BAF2 were Nitrospira,
Gemmatimonadaceae uncultured, and Flexibacter, respectively. Overall, BAF2
performed better than BAF1 in organic pollutant removal and microbial activity.
The ozonation process was vital for BAF to treat petrochemical secondary
effluent.
PMID- 29368196
TI - An assessment of emergy, energy, and cost-benefits of grain production over 6
years following a biochar amendment in a rice paddy from China.
AB - Biochar soil amendment had been increasingly advocated for improving crop
productivity and reducing carbon footprint in agriculture worldwide. However, the
long-term benefits of biochar application with farming systems had not been
thoroughly understood. This study quantified and assessed emergy, energy, and
economic benefits of rice and wheat production throughout 6 rotation years
following a single biochar amendment in a rice paddy from Southeastern China.
Using the data from farm inventory, the quantified emergy indices included grain
outputs, unit emergy value, and relative percentage of free renewable resources,
environmental loading ratio, emergy yield ratio, and emergy sustainability index
(ESI). The results indicated contrasting differences in these emergy values
between biochar-amended and unamended production systems over the 6 years. The
overall emergy efficiency of rice and wheat productions in biochar-amended system
were higher by 11-28 and 15-47%, respectively, than that of unamended one of
which the production being highly resource intensive. Moreover, ESI on average
was 0.46 for rice and 0.63 for wheat in amended system, compared to 0.35 for rice
and 0.39 for wheat in unamended one. Furthermore, over the 6 years following a
single application, the ESI values showed considerable variation in the unamended
system but consistently increasing in the amended system. Again, the biochar
amended system exerted significantly higher energy and economic return than the
unamended one. Nonetheless, there was a tradeoff between rice and wheat in grain
yield and net economic gain. Overall, biochar amendment could be a viable measure
to improve the resilience of grain production while to reduce resource intensity
and environment impacts in paddy soil from China.
PMID- 29368197
TI - Plasmas ozone inactivation of Legionella in deionized water and wastewater.
AB - The results show that ozone concentration determination using ultraviolet
spectrophotometry (UV-2450) at 258 nm is easier than using indigo method at 600
nm. A strong linear relationship was found between purge time and O3
concentration in deionized water. Ozone concentration can be predicted in
deionized water. A higher O3 flow rate or lower temperature led to a higher O3
concentration. Ozone concentration was stable in 60 min, so that ozone self
decomposition could be ignored at ozone concentrations below 0.4 mg L-1. A higher
temperature led to a higher inactivation efficiency and rate, and that a lower
temperature led to a lower ozone decay rate and inactivation efficiency even if
ozone solubility increased when temperature decreased. The fastest inactivation
rate occurred before c0t = 165 MUg L-1 s, but the inactivation rate decreased
after c0t = 165 MUg L-1 s with tail phenomena. The tail phenomena were clearly
observed and may be caused by oxidization of lipopolysaccharides (LPS), cell
membrane, etc. The activation energy Ea = 55,404 +/- 0.3 J mol-1 were obtained
for Legionella inactivation with ozone in deionized water. Ozone maximum decay
rate was positively proportional to COD concentration. COD impacted on ozone
concentration seriously. Higher COD concentration resulted in higher ozone decay
rate. COD could result in ozone concentration decrement rapidly to a steady value
in 5 s. Higher initial ozone concentration resulted in higher germ inactivation
rate. Higher initial COD concentration resulted in lower Legionella inactivation
efficiency. COD was easier to react with ozone than Legionella. The relationship
among the initial COD concentrations COD0, the initial O3 concentration c0, and
the O3 contact time t necessary for a 99.999% reduction of Legionella in
wastewater can be expressed in some equations. O3 disinfection time t necessary
for a 99.999% reduction of Legionella can be predicted by Eqs. (10) and (11).
Graphical abstract ?.
PMID- 29368198
TI - Trace metal occurrence in Mediterranean seaweeds.
AB - Seaweeds have been used as animal feed since a long time and are consumed as food
in several cultures. In fact, macroalgae are a source of protein, fiber,
polyunsaturated fat, and minerals. The concentration of trace elements was
determined in dominant macroalga species from three sites of the northwestern
Mediterranean Sea. A high interspecies variability was observed, with higher
metal levels in brown and green than those in red seaweeds. The maximum values
set by European regulations for arsenic, mercury, and cadmium in food and feed
were never exceeded, but a few samples were very close to limits set for mercury.
Conversely, the maximum limit for lead in feed was exceeded in all species from
one of the considered sites. Analogously, lead in seaweeds could constitute a
potential risk for human health, due to the exceeding of the maximum value set
for food supplements.
PMID- 29368199
TI - Studies on biogas-fuelled compression ignition engine under dual fuel mode.
AB - Experimental investigation has been carried out to utilize biogas as an
alternative source of energy in compression ignition (CI) engine under dual fuel
operational mode. Biogas was inducted into the inlet manifold at different flow
rates along with fresh air through inlet manifold and diesel was injected as a
pilot fuel to initiate combustion under dual fuel mode. The engine performance
and emission characteristics of dual fuel operational mode were analyzed at
different biogas flow rates and compared with baseline conventional diesel fuel.
Based upon the improved performance and lower emission characteristics under the
dual fuel operation, the optimum flow rate of biogas was observed to be 2.2 kg/h.
The lower brake thermal efficiency (BTE) and higher brake-specific energy
consumption (BSEC) were noticed with biogas-diesel fuel under dual fuel mode when
compared with neat diesel operation. Test results showed reduced NO x emissions
and smoke opacity level in the exhaust tailpipe emissions. However, higher
hydrocarbon (HC) and carbon monoxide (CO) emissions were noticed under dual fuel
mode at entire engine loads when compared with baseline fossil petro-diesel.
Hence, the use of low-cost gaseous fuel such as biogas would be an economically
viable proposition to address the current and future problems of energy scarcity
and associated environmental concerns.
PMID- 29368200
TI - Use of statistical analysis to validate ecogenotoxicology findings arising from
various comet assay components.
AB - Cirrhinus mrigala, Labeo rohita, and Catla catla are economically important fish
for human consumption in Pakistan, but industrial and sewage pollution has
drastically reduced their population in the River Chenab. Statistics are an
important tool to analyze and interpret comet assay results. The specific aims of
the study were to determine the DNA damage in Cirrhinus mrigala, Labeo rohita,
and Catla catla due to chemical pollution and to assess the validity of
statistical analyses to determine the viability of the comet assay for a possible
use with these freshwater fish species as a good indicator of pollution load and
habitat degradation. Comet assay results indicated a significant (P < 0.05)
degree of DNA fragmentation in Cirrhinus mrigala followed by Labeo rohita and
Catla catla in respect to comet head diameter, comet tail length, and % DNA
damage. Regression analysis and correlation matrices conducted among the
parameters of the comet assay affirmed the precision and the legitimacy of the
results. The present study, therefore, strongly recommends that genotoxicological
studies conduct appropriate analysis of the various components of comet assays to
offer better interpretation of the assay data.
PMID- 29368201
TI - Fraction distribution and leaching behavior of heavy metals in dredged sediment
disposal sites around Meiliang Bay, Lake Taihu (China).
AB - The objectives of this study were to characterize the heavy metal contamination
status of surface soils sampled at two dredged sediment land disposal sites (the
Baimao and Kongwan landfills) in Meiliang Bay, Lake Taihu, China, as well as to
investigate the effect on their leaching behaviors due to acid rain. Among all
the metals, only the nickel content in the Baimao landfill was higher than the
Chinese reference value, and all metal contents were below the limit values for
agricultural soils. The fraction distribution of metals by sequential extraction
was similar at both sites. Cadmium had a relatively high proportion of
exchangeable-carbonate fractions, while the other metals were mainly classified
as residue fractions. The metals released from soils by simulated rainwater
comprised less than 1% of the total soil metal content, and the effect of acid
rain on heavy metal release from dredged sediments in land disposal sites was
found to be negligible at both sites. All results indicate no environmental
concern for the land disposal of dredged sediments and support the feasibility of
beneficially reusing dredged sediment soils as a supplement to agricultural
soils.
PMID- 29368202
TI - Differential distribution of metals in tree tissues growing on reclaimed coal
mine overburden dumps, Jharia coal field (India).
AB - Opencast bituminous coal mining invariably generates huge amount of metal
polluted waste rocks (stored as overburden (OB) dumps) and reclaimed by planting
fast growing hardy tree species which accumulate metals in their tissues. In the
present study, reclaimed OB dumps located in Jharia coal field (Jharkhand, India)
were selected to assess the accumulation of selected metals (Pb, Zn, Mn, Cu and
Co) in tissues (leaf, stem bark, stem wood, root bark and root wood) of two
commonly planted tree species (Acacia auriculiformis A.Cunn. ex Benth. and Melia
azedarach L.). In reclaimed mine soil (RMS), the concentrations of pseudo-total
and available metals (DTPA-extractable) were found 182-498 and 196-1877% higher,
respectively, than control soil (CS). The positive Spearman's correlation
coefficients between pseudo-total concentration of Pb and Cu (r = 0.717; p <
0.05), Pb and Co (r = 0.650; p < 0.05), Zn and Mn (0.359), Cu and Co (r = 0.896;
p < 0.01) suggested similar sources for Pb-Cu-Co and Mn-Zn. Among the five tree
tissues considered, Pb selectively accumulated in root bark, stem bark and
leaves; Zn and Mn in leaves; and Cu in root wood and stem wood. These results
suggested metal accumulation to be "tissue-specific". The biological indices
(BCF, TFleaf, TFstem bark and TFstem wood) indicated variation in metal uptake
potential of different tree tissues. The study indicated that A. auriculiformis
could be employed for Mn phytoextraction (BCF, TFleaf, TFstem bark and TFstem
wood > 1). The applicability of both the trees in Cu phytostabilization (BCF > 1;
TFleaf, TFstem bark and TFstem wood < 1) was suggested. The study enhanced
knowledge about the selection of tree species for the phytoremediation of coal
mine OB dumps and specific tree tissues for monitoring metal pollution.
PMID- 29368203
TI - Enhanced removal performance of Cr(VI) by the core-shell zeolites/layered double
hydroxides (LDHs) synthesized from different metal compounds in constructed rapid
infiltration systems.
AB - Nine kinds of LDHs were synthesized by the co-precipitation method under alkaline
conditions with different combinations of trivalent metal compounds (FeCl3,
AlCl3, CoCl3) and divalent metal compounds (CaCl2, MgCl2, ZnCl2), which were then
coated in situ on the surface of zeolites to synthesize core-shell zeolites/LDHs
composites. The zeolites before and after modification were characterized by SEM
and X-ray fluorescence spectrometry. Using the different core-shell zeolites/LDHs
and original zeolite substrates, the constructed rapid infiltration systems
(CRIS) simulated test columns were set to treat the municipal sewage containing
hexavalent chromium, Cr(VI). Isothermal adsorption tests were subsequently
performed. The average removal efficiencies of the small-sized zeolites were much
higher than those of the large-sized zeolites. For the small-sized zeolites, the
Cr(VI) removal performances of the Mg-LDHs- and Al-LDHs-modified zeolite
substrates were efficiently enhanced in particular, which could reach over 90%.
And the removal rate of core-shell zeolites/ZnAl-LDHs reached 94.5%. Meanwhile,
the maximum adsorption capacity of ZnAl-LDHs-modified zeolites could reach 51.0
mg/kg, indicating that the adsorption properties could be enhanced by ZnAl-LDHs
coating. During the purification experiments, most of the LDHs-modified zeolites
maintained their predominant chemical adsorption ability for the removal of
Cr(VI). Therefore, the small-sized core-shell zeolites/ZnAl-LDHs composites could
be used as potential substrates for the efficient removal of Cr(VI) in CRIS.
PMID- 29368204
TI - A synergetic biomineralization strategy for immobilizing strontium during
calcification of the coccolithophore Emiliania huxleyi.
AB - The coccolithophore species Emiliania huxleyi has one of the most global
distributions in the modern oceans. They are characteristically covered with
calcite scales called coccoliths. In this study, stable strontium immobilization
during the calcification process was investigated to indirectly assess a proposed
bioremediation approach for removing Sr2+ contamination from marine environments.
Results indicate that E. huxleyi has high Sr2+ tolerance and removal efficiency
in response to Sr2+ stress ranging from 5.6 to 105.6 ppm. Sr2+ immobilization
during E. huxleyi calcification indicates a concentration-dependent synergistic
mechanism. At lower concentrations of Sr2+ (25.6 ppm), Sr2+ is incorporated into
coccoliths through competitive supply between Sr2+ and Ca2+. In addition, calcite
productivity decreases with increased Sr2+ removal efficiency due to
crystallographic transformation of coccoliths from hydrated calcite into
aragonite at 55.6 ppm Sr2+. Further formation of strontianite at 105.6 ppm Sr2+
is due to precipitation of Sr2+ on the edge of the rims and radial arrays of the
coccoliths. Our study implies that coccolithophores are capable of significant
removal of Sr2+ from the marine environment.
PMID- 29368206
TI - Erratum to: Differential expression of two class III chitinases in two types of
roots of Quercus robur during pre-mycorrhizal interactions with Piloderma
croceum.
PMID- 29368205
TI - Antipsychotic drugs for patients with schizophrenia and predominant or prominent
negative symptoms: a systematic review and meta-analysis.
AB - BACKGROUND: Negative symptoms are the core of schizophrenia, but whether
antipsychotics are efficacious for their treatment is unclear. Moreover, there is
debate whether patients in relevant trials should have predominant negative
symptoms or whether prominent negative symptoms are also acceptable. METHODS: We
systematically reviewed randomised, blinded antipsychotic drug trials in patients
with schizophrenia and either predominant or prominent negative symptoms (last
search Dec 12, 2017). Separate pairwise meta-analyses were conducted in these two
populations. The primary outcome was negative symptoms. Depressive, symptoms,
positive symptoms, and extrapyramidal side-effects were analysed as causes of
secondary negative symptoms. FINDINGS: We included 21 randomized-controlled
trials with 3451 participants which revealed the following significant
differences in the primary outcome: in patients with predominant negative
symptoms amisulpride was superior to placebo (N = 4; n = 590, SMD 0.47, CI 0.23,
0.71), olanzapine was superior to haloperidol in a small trial (n = 35) and
cariprazine outperformed risperidone (N = 1, n = 456, SMD - 0.29, CI - 0.48, -
0.11). In patients with prominent negative symptoms, olanzapine and quetiapine
were superior to risperidone in single trials. Overall, studies in prominent
negative symptoms were potentially more confounded by improvements of secondary
negative symptoms. INTERPRETATION: Amisulpride is the only antipsychotic that
outperformed placebo in the treatment of predominant negative symptoms, but there
was a parallel reduction of depression. Cariprazine was better than risperidone
in a large trial that was well-controlled for secondary negative symptoms, but
the trial was sponsored by its manufacturer. Future trials should apply
scientifically developed definitions such as the deficit syndrome and the
persistent negative symptoms concept.
PMID- 29368207
TI - Cognitive and Interpersonal Vulnerabilities to Adolescent Depression:
Classification of Risk Profiles for a Personalized Prevention Approach.
AB - Despite interest in psychosocial vulnerabilities to depression, little is known
about reliable and valid individualized risk profiles that can be used to match
individuals to evidence-based interventions for depression. This study
investigated well-established cognitive and interpersonal vulnerabilities to
depression among youth to discern an evidence-based risk classification approach
which is being used in a personalized depression prevention randomized clinical
trial. Data were drawn from a general community sample of adolescents (N = 467;
ages 10-16, mean 13.14, SD = 1.62; 57% females) who were followed prospectively
for 3 years. Youth completed measures of cognitive (negative cognitive style,
dysfunctional attitudes, rumination) and interpersonal (support and conflict with
peers and parents, excessive reassurance seeking, social competence, co
rumination) risks to depression, and then were followed longitudinally for onset
of depression. Principal axis factor analyses showed that three latent factors-
cognitive vulnerability, interpersonal support, and interpersonal conflict-
optimally represented the structure of these risk factors. Clinically practical
and meaningful cutoffs, based on tertile cut-off scores on cognitive and
interpersonal risk measures, were used to categorize youth into relatively
balanced high and low cognitive and interpersonal risk groups. These risk
classification groups exhibited validity (AUC > 0.70) by predicting prospective
onsets of depressive episodes at 18-months follow-ups. These findings demonstrate
a reliable and valid approach to synthesize psychosocial vulnerabilities to
depression, specifically cognitive and interpersonal risks. Results are discussed
in terms of using these risk classifications profiles to test personalized
prevention of depression during adolescence.
PMID- 29368208
TI - Comparison of brain activity between motor imagery and mental rotation of the
hand tasks: a functional magnetic resonance imaging study.
AB - Motor imagery (MI) has been considered effective in learning and practicing
movements in many fields. However, when evaluating the effectiveness of this
technique, the examiner has no way of assessing the participant's motor imagery
process. As an alternative, we have been exploring a mental body-part rotation
task, in which the examiner can estimate the participant's motivation and ability
to sustain attention through the scored results. In this study, we aimed to
investigate the possible application of a mental rotation (MRot) task and used
fMRI to compare the brain activity during the MRot task with that during an MI
task in healthy volunteers. Increased blood oxygenation level-dependent signals
were observed bilaterally in the premotor areas and supplementary motor area
during performance of both MI and MRot tasks. Our findings suggest that MRot
could be an alternative to MI.
PMID- 29368209
TI - Breast cancer risk associated with genes encoding DNA repair MRN complex: a study
from Punjab, Pakistan.
AB - BACKGROUND: Variants of DNA repair genes are extensively reported to cause
genetic instability and increase the risk of breast cancer. In combination with
NBS1, MRE11 and RAD50 constitute an MRN (MRE11-RAD50-NBS1) complex that repairs
DNA damage. However, certain genetic alterations in MRE11 and RAD50 produce
abnormal protein that affects the repairing process and may result in malignancy.
We aimed to investigate the association of MRE11 and RAD50 polymorphisms with
breast risk in the female population of Punjab, Pakistan. METHODS: We collected
blood samples of 100 breast cancer patients and 100 tumor-free females selected
as controls. Extracted DNA was genotyped by tetra ARMS-PCR followed by gel
electrophoresis. Results were analyzed by SPSS and SNPstats to analyze the
association of different clinical factors and SNPs (single nucleotide
polymorphisms) with the risk of breast cancer. RESULTS: We found that the
increased risk of breast cancer is associated with MRE11 variant rs684507 (odds
ratio-OR 3.71, 95% confidence interval-CI 1.68-8.18, p value < 0.0001), whereas,
RAD50 variant rs28903089 appeared to have protective effect (OR 0.55, CI 0.29
1.02, p value = 0.003). Additionally, clinical factors such as positive family
history, life style, and marital status also play significant roles in breast
cancer development. CONCLUSION: In the present study, strong risk of breast
cancer was associated with MRE11 gene. However, RAD50 showed protective effect.
Additionally, clinical factors are also pivotal in risk assessment. We anticipate
that targeting specific genetic variations confined to ethnic groups would be
more effective in future therapeutic approaches for prevention and treatment of
breast cancer.
PMID- 29368210
TI - Foot posture in female patients 5 years after breast-conserving surgery: a case
control study.
AB - PURPOSE: Along with the improvement in the outcomes of breast cancer treatment
being observed in the recent years, long-term studies to assess distant adverse
effects of the treatment have become increasingly important. The objective of
this study was to assess the foot posture in patients subjected to breast
conserving therapy. The assessment was made 5 years after the surgical procedure.
METHODS: 116 female patients (mean age of 58.75 years) were qualified into a case
control study. Foot posture on the operated breast side (F1) as well as on the
contralateral side (F2) was evaluated using a computer-based foot analysis tool
as an extension of projection moire-based podoscopic examination. Comparisons
were made for the following parameters: limb load, L-foot length, W-foot width,
L/W-Wejsflog index, ALPHA-hallux valgus angle, BETA-little toe varus angle, GAMMA
heel angle, KY-Sztriter-Godunov index, CL-Clarke's angle, HW-heel width. RESULTS:
Five years after BCT, patients placed higher load on the foot on the side of the
healthy breast (p = 0.0011). No statistically significant differences were
observed between F1 and F2 with respect to other foot posture parameters (p >
0.05). No statistically significant differences were observed in foot posture
parameters in patients having undergone BCT + ALND (axillary lymph node
dissection) procedure as compared to patients subjected to BCT + SLNB (sentinel
lymph node biopsy) procedure (p > 0.05). CONCLUSIONS: No changes in foot posture
were observed in patients 5 years after the BCT procedure. The type of the
surgical procedure related to the lymph nodes within the axillary fossa has no
effect on changes in foot posture.
PMID- 29368211
TI - Validation of a Model Predicting Anti-infective Lung Penetration in the
Epithelial Lining Fluid of Humans.
PMID- 29368213
TI - Molecular anatomy and functions of the choroidal blood-cerebrospinal fluid
barrier in health and disease.
AB - The barrier between the blood and the ventricular cerebrospinal fluid (CSF) is
located at the choroid plexuses. At the interface between two circulating fluids,
these richly vascularized veil-like structures display a peculiar morphology
explained by their developmental origin, and fulfill several functions essential
for CNS homeostasis. They form a neuroprotective barrier preventing the
accumulation of noxious compounds into the CSF and brain, and secrete CSF, which
participates in the maintenance of a stable CNS internal environment. The CSF
circulation plays an important role in volume transmission within the developing
and adult brain, and CSF compartments are key to the immune surveillance of the
CNS. In these contexts, the choroid plexuses are an important source of
biologically active molecules involved in brain development, stem cell
proliferation and differentiation, and brain repair. By sensing both
physiological changes in brain homeostasis and peripheral or central insults such
as inflammation, they also act as sentinels for the CNS. Finally, their role in
the control of immune cell traffic between the blood and the CSF confers on the
choroid plexuses a function in neuroimmune regulation and implicates them in
neuroinflammation. The choroid plexuses, therefore, deserve more attention while
investigating the pathophysiology of CNS diseases and related comorbidities.
PMID- 29368212
TI - The DNA methylome of DDR genes and benefit from RT or TMZ in IDH mutant low-grade
glioma treated in EORTC 22033.
AB - The optimal treatment for patients with low-grade glioma (LGG) WHO grade II
remains controversial. Overall survival ranges from 2 to over 15 years depending
on molecular and clinical factors. Hence, risk-adjusted treatments are required
for optimizing outcome and quality of life. We aim at identifying mechanisms and
associated molecular markers predictive for benefit from radiotherapy (RT) or
temozolomide (TMZ) in LGG patients treated in the randomized phase III trial
EORTC 22033. As candidate biomarkers for these genotoxic treatments, we
considered the DNA methylome of 410 DNA damage response (DDR) genes. We first
identified 62 functionally relevant CpG sites located in the promoters of 24 DDR
genes, using the LGG data from The Cancer Genome Atlas. Then we tested their
association with outcome [progression-free survival (PFS)] depending on treatment
in 120 LGG patients of EORTC 22033, whose tumors were mutant for isocitrate
dehydrogenase 1 or 2 (IDHmt), the molecular hallmark of LGG. The results
suggested that seven CpGs of four DDR genes may be predictive for longer PFS in
one of the treatment arms that comprised MGMT, MLH3, RAD21, and SMC4. Most
interestingly, the two CpGs identified for MGMT are the same, previously selected
for the MGMT-STP27 score that is used to determine the methylation status of the
MGMT gene. This score was higher in the LGG with 1p/19q codeletion, in this and
other independent LGG datasets. It was predictive for PFS in the TMZ, but not in
the RT arm of EORTC 22033. The results support the hypothesis that a high score
predicts benefit from TMZ treatment for patients with IDHmt LGG, regardless of
the 1p/19q status. This MGMT methylation score may identify patients who benefit
from first-line treatment with TMZ, to defer RT for long-term preservation of
cognitive function and quality of life.
PMID- 29368214
TI - The meninges as barriers and facilitators for the movement of fluid, cells and
pathogens related to the rodent and human CNS.
AB - Meninges that surround the CNS consist of an outer fibrous sheet of dura mater
(pachymeninx) that is also the inner periosteum of the skull. Underlying the dura
are the arachnoid and pia mater (leptomeninges) that form the boundaries of the
subarachnoid space. In this review we (1) examine the development of
leptomeninges and their role as barriers and facilitators in the foetal CNS.
There are two separate CSF systems during early foetal life, inner CSF in the
ventricles and outer CSF in the subarachnoid space. As the foramina of Magendi
and Luschka develop, one continuous CSF system evolves. Due to the lack of
arachnoid granulations during foetal life, it is most likely that CSF is
eliminated by lymphatic drainage pathways passing through the cribriform plate
and nasal submucosa. (2) We then review the fine structure of the adult human and
rodent leptomeninges to establish their roles as barriers and facilitators for
the movement of fluid, cells and pathogens. Leptomeningeal cells line CSF spaces,
including arachnoid granulations and lymphatic drainage pathways, and separate
elements of extracellular matrix from the CSF. The leptomeningeal lining
facilitates the traffic of inflammatory cells within CSF but also allows
attachment of bacteria such as Neisseria meningitidis and of tumour cells as CSF
metastases. Single layers of leptomeningeal cells extend into the brain closely
associated with the walls of arteries so that there are no perivascular spaces
around arteries in the cerebral cortex. Perivascular spaces surrounding arteries
in the white matter and basal ganglia relate to their two encompassing layers of
leptomeninges. (3) Finally we examine the roles of ligands expressed by
leptomeningeal cells for the attachment of inflammatory cells, bacteria and
tumour cells as understanding these roles may aid the design of therapeutic
strategies to manage developmental, autoimmune, infectious and neoplastic
diseases relating to the CSF, the leptomeninges and the associated CNS.
PMID- 29368215
TI - Current state and perspectives in hydrogen production by Escherichia coli: roles
of hydrogenases in glucose or glycerol metabolism.
AB - Escherichia coli has been a robust host strain for much biological research, in
particular, research in metabolic engineering, protein engineering, and
heterologous gene expression. In this mini review, to understand bacterial
hydrogen production by E. coli, the effect of glucose and glycerol metabolism on
hydrogen production is compared, and the current approaches to enhance hydrogen
production from glycerol as a substrate are reviewed. In addition, the argument
from past to present on the functions of E. coli hydrogenases, hydrogenase 1,
hydrogenase 2, hydrogenase 3, and hydrogenase 4 is summarized. Furthermore, based
on the literature that the E. coli formate-hydrogen lyase is essential for
bacterial hydrogen production via recombinant hydrogenases, research achievements
from the past regarding heterologous production of hydrogenase are rethought.
PMID- 29368216
TI - The role of soil bacterial community during winter fallow period in the incidence
of tobacco bacterial wilt disease.
AB - Bacterial wilt, caused by Ralstonia solanacearum, occurs occasionally during
tobacco planting and potentially brings huge economic losses in affected areas.
Soil microbes in different management stages play important roles in influencing
bacterial wilt incidence. Studies have focused on the impacts of species
diversity and composition during cropping periods on disease morbidity; however,
the effects of the soil bacterial biomass, species diversity, species succession,
and population interactions on morbidity remain unclear during non-cropping
periods. In this study, we explored the soil bacterial communities in the non
cropping winter fallow (WF) and cropping late growing (LG) periods under
consecutive monoculture systems using 16S ribosomal RNA gene sequencing and qPCR
and further analyzed their effects on tobacco bacterial wilt incidence. We found
that the bacterial communities in the WF period were significantly different from
those in the LG period based on detrended correspondence analysis and
dissimilarity tests. Crop morbidity was significantly related to bacterial
community structure and to the presence of some genera during WF and LG periods.
These genera, such as Arthrobacter, Pseudomonas, Acidobacteria GP6, and
Pasteuria, may be potential biological control agents for bacterial wilt. Further
analysis indicated that low soil bacterial diversity during the WF period,
decrease of bacterial interactions from the WF to LG periods, and low soil
biomass during the LG period all have the potential to increase morbidity. In
conclusion, an increase of soil bacterial diversity and control of some bacterial
abundances in the WF period might be an effective approach in controlling
bacterial wilt incidence.
PMID- 29368218
TI - Articles You May Have Missed.
PMID- 29368217
TI - Inducer-independent production of pectinases in Aspergillus niger by
overexpression of the D-galacturonic acid-responsive transcription factor gaaR.
AB - The transcription factor GaaR is needed for the expression of genes required for
pectin degradation and transport and catabolism of the main degradation product,
D-galacturonic acid (GA) in Aspergillus niger. In this study, we used the strong
constitutive gpdA promoter of Aspergillus nidulans to overexpress gaaR in A.
niger. Overexpression of gaaR resulted in an increased transcription of the genes
encoding pectinases, (putative) GA transporters, and catabolic pathway enzymes
even under non-inducing conditions, i.e., in the absence of GA. Exoproteome
analysis of a strain overexpressing gaaR showed that this strain secretes highly
elevated levels of pectinases when grown in fructose. The genes encoding exo
polygalacturonases were found to be subjected to CreA-mediated carbon catabolite
repression, even in the presence of fructose. Deletion of creA in the strain
overexpressing gaaR resulted in a further increase in pectinase production in
fructose. We showed that GaaR localizes mainly in the nucleus regardless of the
presence of an inducer, and that overexpression of gaaR leads to an increased
concentration of GaaR in the nucleus.
PMID- 29368219
TI - Articles You May Have Missed.
PMID- 29368220
TI - Understanding and improving decisions in clinical medicine (IV): prospects and
challenges of nudging in healthcare.
PMID- 29368221
TI - Successful Treatment of a Keratoacanthoma with Electrochemotherapy: A Case
Report.
AB - INTRODUCTION: Few studies have evaluated the efficacy of intralesional bleomycin
injection combined with electroporation for the treatment of cutaneous tumors.
However, the phenomenon that electroporation can enhance the cytotoxicity of
bleomycin in vivo by 300-700 fold has been intensely investigated. CASE
PRESENTATION: Keratoacanthoma in an 86-year-old patient was treated with
intralesional bleomycin combined with electroporation. Treatment consisted of
local application of shorty and intense electric pulses followed by local
injection of bleomycin. Electroporation was always well tolerated by the patient,
with no significant complaints, and the tumor had completely regressed by day 71
of the follow-up. CONCLUSION: The results suggest that intralesional bleomycin
injection combined with electroporation could represent a valid alternative
therapeutic approach for the treatment of keratoacanthomas.
PMID- 29368222
TI - Pressure-driven growth in strongly heterogeneous systems.
AB - The pressure-driven growth model for advance of a foam front through an oil
reservoir during foam improved oil recovery is considered: specifically the limit
of strong heterogeneity in the reservoir permeability is treated, such that
permeability variation with depth more than outweighs the tendency of the net
pressure driving the front to decay with depth. This means that the fastest
moving part of the front is not at the top of the solution domain, but rather
somewhere in the interior. Moreover the location of the foam front on the top
boundary of the system can no longer be specified as a boundary condition, but
instead must be determined as part of the solution of the problem. Numerical
solutions obtained from the pressure-driven growth model under these
circumstances are compared with approximate analytic solutions. An early-time
approximate solution is found to break down remarkably quickly (far more quickly
than breakdown would occur in the analogous homogeneous system). Numerical
solutions agree much better with local quasi-static solutions centred about local
maxima in the front shape, each local maximum corresponding to a depth within the
reservoir at which a high permeability stratum is found. These individual local
solutions meet together at sharp concave corners to cover the entire depth of the
foam front. As time continues to progress however, the system evolves towards a
long-time, global quasi-static solution, corresponding to the fastest moving of
the aforementioned local maxima. Additional key features of the predicted front
shapes are elucidated. The foam front is found to meet the top boundary obliquely
despite an established convention in pressure-driven growth that the front and
top boundary should meet at right angles. In addition, at each sharp concave
corner, discontinuous jumps are predicted in the path length that material points
travel to reach either side of the corner. Moreover the long-time, global quasi
static solution is found to admit smooth concavities, as opposed to the
aforementioned sharp concave corners, which only tend to be prominent earlier on.
PMID- 29368224
TI - A mild case of molybdenum cofactor deficiency defines an alternative route of
MOCS1 protein maturation.
AB - Molybdenum cofactor deficiency is an autosomal recessive inborn error of
metabolism, which results from mutations in genes involved in Moco biosynthesis.
Moco serves as a cofactor of several enzymes, including sulfite oxidase. MoCD is
clinically characterized by intractable seizures and severe, rapidly progressing
neurodegeneration leading to death in early childhood in the majority of known
cases. Here we report a patient with an unusual late disease onset and mild
phenotype, characterized by a lack of seizures, normal early development, a
decline triggered by febrile illness and a subsequent dystonic movement disorder.
Genetic analysis revealed a homozygous c.1338delG MOCS1 mutation causing a
frameshift (p.S442fs) with a premature termination of the MOCS1AB translation
product at position 477 lacking the entire MOCS1B domain. Surprisingly, urine
analysis detected trace amounts (1% of control) of the Moco degradation product
urothione, suggesting a residual Moco synthesis in the patient, which was
consistent with the mild clinical presentation. Therefore, we performed
bioinformatic analysis of the patient's mutated MOCS1 transcript and found a
potential Kozak-sequence downstream of the mutation site providing the
possibility of an independent expression of a MOCS1B protein. Following the
expression of the patient's MOCS1 cDNA in HEK293 cells we detected two proteins:
a truncated MOCS1AB protein and a 22.4 kDa protein representing MOCS1B.
Functional studies of both proteins confirmed activity of MOCS1B, but not of the
truncated MOCS1AB. This finding demonstrates an unusual mechanism of translation
re-initiation in the MOCS1 transcript, which results in trace amounts of
functional MOCS1B protein being sufficient to partially protect the patient from
the most severe symptoms of MoCD.
PMID- 29368225
TI - Sympathetic mechanisms in an animal model of vasovagal syncope.
AB - PURPOSE: Individuals predisposed to vasovagal syncope may have different
autonomic nervous system control mechanisms from those without predisposition to
vasovagal events. To test this hypothesis, we investigated different sympathetic
responses in a canine model of vasovagal syncope. METHODS: Left thoracotomy was
performed on 20 mongrel dogs. The heart was exposed and a bolus of veratridine
(15 MUg/kg), a neurotoxin which prevents the inactivation of sodium ion channels,
was injected into the left atrium to induce a Bezold-Jarisch reflex-mediated
vasovagal event, characterized by bradycardia, decreased inotropism, and
hypotension. Electrocardiogram and blood pressure were continuously monitored.
Neural activity was recorded from the left stellate ganglion. Plasma
norepinephrine and acetylcholine levels were measured 30 s before and 30 s after
veratridine injection. RESULTS: Veratridine resulted in rapid decreases in heart
rate and blood pressure in all dogs, accompanied by increases in both
norepinephrine and acetylcholine. Two types of neural activity (high-amplitude
spike discharge activity and low-amplitude burst discharge activity) were
recorded from the left stellate ganglion. Veratridine induced high-frequency
spike discharge activity in some dogs (Group A), whereas spike discharge activity
was scarce and relatively unresponsive to veratridine in the remaining dogs
(Group B). Dogs in Group A had higher plasma norepinephrine levels (111.63 +/-
15.1 vs. 48.11 +/- 33.81 ng/l, p = 0.002) and less intense drops in heart rate (-
37 +/- 24 vs. - 84 +/- 28 bpm, p = 0.001) and blood pressure (systolic blood
pressure, - 18 +/- 15 vs. - 37 +/- 13 mmHg, p = 0.009; diastolic blood pressure,
26 +/- 13 vs. - 45 +/- 13 mmHg, p = 0.005) compared to dogs in Group B.
Similarly, heart rate post-veratridine was higher (102 +/- 23 vs. 69 +/- 22 bpm,
p = 0.004), the veratridine-induced longest RR interval was shorter (0.7 [0.5
0.8] vs. 1.2 [1.1-3.5] s, p < 0.001) and the diastolic and mean arterial
pressures post-veratridine were higher (all p < 0.05) in dogs in Group A compared
to those in Group B. CONCLUSIONS: Distinct sympathetic activation as represented
by left stellate ganglion high-frequency spike discharge activity protected
against bradycardia and hypotension in a canine model of vasovagal syncope. Our
findings may have therapeutic implications.
PMID- 29368226
TI - Lateral medullary infarction with cardiovascular autonomic dysfunction: an
unusual presentation with review of the literature.
AB - PURPOSE: We report an unusual case of lateral medullary infarction presenting
with orthostatic hypotension with pre-syncope without vertigo or Horner's
syndrome. METHODS: Case report with review of the literature. RESULTS: A 67-year
old man presented with pre-syncope and ataxia without vertigo. Initial brain CT
and MRI were normal. Neurological evaluation revealed right-beating nystagmus
with left gaze, vertical binocular diplopia, right upper-extremity dysmetria,
truncal ataxia with right axial lateropulsion, and right-facial and lower
extremity hypoesthesia. Bedside blood pressure measurements disclosed orthostatic
hypotension. He had normal sinus rhythm on telemetry and normal ejection fraction
on echocardiogram. A repeat brain MRI disclosed an acute right dorsolateral
medullary infarct. Autonomic testing showed reduced heart rate variability during
paced deep breathing, attenuated late phase II and phase IV overshoot on Valsalva
maneuver, and a fall of 25 mmHg of blood pressure at the end of a 10-min head-up
tilt with no significant change in heart rate. These results were consistent with
impaired sympathetic and parasympathetic cardiovascular reflexes. He was
discharged to acute rehabilitation a week later with residual right dysmetria and
ataxia. CONCLUSION: Lateral medullary infarctions are usually reported as partial
presentations of classical lateral medullary syndrome with accompanying unusual
symptoms ranging from trigeminal neuralgias to hiccups. Pre-syncope from
orthostatic hypotension is a rare presentation. In the first 3-4 days, absence of
early DWI MRI findings is possible in small, dorsolateral medullary infarcts with
sensory disturbances. Physicians should be aware of this presentation, as early
diagnosis and optimal therapy are associated with good prognosis.
PMID- 29368227
TI - Intertwining personal and reward relevance: evidence from the drift-diffusion
model.
AB - In their seminal paper 'Is our self nothing but reward', Northoff and Hayes (Biol
Psychiatry 69(11):1019-1025, Northoff, Hayes, Biological Psychiatry 69(11):1019
1025, 2011) proposed three models of the relationship between self and reward and
opened a continuing debate about how these different fields can be linked. To
date, none of the proposed models received strong empirical support. The present
study tested common and distinct effects of personal relevance and reward values
by de-componenting different stages of perceptual decision making using a drift
diffusion approach. We employed a recently developed associative matching
paradigm where participants (N = 40) formed mental associations between five
geometric shapes and five labels referring personal relevance in the personal
task, or five shape-label pairings with different reward values in the reward
task and then performed a matching task by indicating whether a displayed shape
label pairing was correct or incorrect. We found that common effects of personal
relevance and monetary reward were manifested in the facilitation of behavioural
performance for high personal relevance and high reward value as socially
important signals. The differential effects between personal and monetary
relevance reflected non-decisional time in a perceptual decision process, and
task-specific prioritization of stimuli. Our findings support the parallel
processing model (Northoff & Hayes, Biol Psychiatry 69(11):1019-1025, Northoff,
Hayes, Biological Psychiatry 69(11):1019-1025, 2011) and suggest that self
specific processing occurs in parallel with high reward processing. Limitations
and further directions are discussed.
PMID- 29368228
TI - Do metacognitive judgments alter memory performance beyond the benefits of
retrieval practice? A comment on and replication attempt of Dougherty, Scheck,
Nelson, and Narens (2005).
AB - A central question in the metacognitive literature concerns whether the act of
making a metacognitive judgment alters one's memory for the information about
which the judgment was made. Dougherty, Scheck, Nelson, and Narens (2005, Memory
& Cognition, 33(6), 1096-1115) attempted to address this question by having
participants make either retrospective confidence judgments (RCJs; i.e.,
evaluations of past retrieval success), judgments of learning (JOLs; i.e.,
predictions of future retrieval success), or no explicit judgments. When
comparing final retrieval accuracy they found that accuracy was greater for items
where participants had made JOLs compared with items that received RCJs or no
judgment, suggesting that simply making a JOL can improve later memory
performance. The present article presents results from four separate replication
attempts that fail to duplicate this finding. Combined results provide compelling
evidence that making a metacognitive judgment, regardless of the type, has no
impact on later memory performance above and beyond retrieval practice.
PMID- 29368229
TI - Viability and biocompatibility of an adhesive system for intrarenal embedding and
endoscopic removal of small residual fragments in minimally-invasive stone
treatment in an in vivo pig model.
AB - PURPOSE: To evaluate the viability and biocompatibility of a novel, patented
bioadhesive system for intrarenal embedding and retrieval of residual fragments
after endoscopic lithotripsy. Complete stone clearance via active removal of
residual fragments (RF) after intracorporeal laser lithotripsy may be time
consuming and fail in many cases. Therefore, the novel adhesive has been
developed and evaluated for the first time in an in vivo pig model in the present
work. METHODS: Four female domestic pigs underwent flexible ureteroscopy (RIRS)
or percutaneous nephrolithotomy (PNL) under general anesthesia (8 kidneys, 4 *
RIRS, 4 * PNL) evaluating the bioadhesive system. INTERVENTIONS: RIRS without
adhesive system (sham procedure, kidney I); 3 * RIRS using the bioadhesive system
(kidneys II-IV); and 4 * PNL using the bioadhesive system (V-VIII). We
endoscopically inserted standardized human stone probes followed by comminution
using Ho:YAG lithotripsy. The bioadhesive (kidney II-VIII) was then applied and
the adhesive-stone fragment complex extracted. After nephrectomy, all kidneys
were evaluated by two independent, blinded pathologists. Endpoints were the
procedure's safety and adhesive system's biocompatibility. RESULTS: We observed
no substantial toxic effects. We were able to embed and remove 80-90% of
fragments. However, because of the pig's hampering pyelocaliceal anatomy, a
quantified, proportional assessment of the embedded fragments was compromised.
CONCLUSIONS: For the first time, we demonstrated the proven feasibility and
safety of this novel bioadhesive system for embedding and endoscopically removing
small RF in conjunction with a lack of organ toxicity in vivo.
PMID- 29368230
TI - Multiparametric ultrasound-targeted biopsy compares favorably to multiparametric
MRI-transrectal ultrasound fusion-targeted biopsy on initial biopsy of men at
risk for prostate cancer.
AB - PURPOSE: The purpose this study is to evaluate the efficacy of multiparametric
ultrasound-targeted biopsies in patients undergoing initial biopsy of the
prostate for the suspicion of prostate cancer. MATERIALS AND METHODS: A total of
167 patients who are biopsy naive underwent multiparametric ultrasound-targeted
biopsy of the prostate. All patients had a transrectal ultrasound which included
gray-scale evaluation and color Doppler evaluation. 12-core biopsies were
performed on all patients, based on sextant anatomy; however, all cores were
directed toward visually abnormal areas of the prostate as identified by
multiparametric ultrasound, when such areas were present. RESULTS: Of 167
patients undergoing biopsy, a total of 111 (66.5%) were positive for cancer. Of
these, 78 (70.3%) had a Gleason grade >= 7 and 33 (29.7%) had a Gleason grade <=
6. Of those undergoing radical prostatectomy 29 of 38 (76.3%) had biopsy Gleason
grade >= 7, while nine of 38 (23.7%) had a Gleason grade <= 6. Only four of 38
(10.5%) patients who had final pathologic staging underwent surgical therapy for
disease of low-malignant potential (Gleason <= 6). CONCLUSION: On initial biopsy
for prostate cancer, multiparametric ultrasound-targeted biopsy compares
favorably to the published performance of multiparametric MRI-TRUS fusion
targeted biopsy in terms of positive biopsy rate and the detection of disease of
low-malignant potential.
PMID- 29368231
TI - Surgical treatment for clinical node-positive bladder cancer patients treated
with radical cystectomy without neoadjuvant chemotherapy.
AB - OBJECTIVE: Growing literature supports good survival expectancies in bladder
cancer (BCa) patients affected by clinical node metastases (cN+) treated with
multimodal therapy. We evaluated the role of adjuvant chemotherapy in cN+BCa
patients treated with radical cystectomy (RC) and pelvic lymph node dissection
(PLND) without neoadjuvant chemotherapy (NAC). METHODS: We evaluated a total of
192 patients with BCa and cN+. All patients were treated with RC and PLND without
NAC between 2001 and 2013. Kaplan-Meier analyses and Cox regression analyses were
used to assess the impact of adjuvant chemotherapy (ACT) on recurrence, cancer
specific mortality (CSM) and overall mortality (OM) after surgery. RESULTS:
Overall, 99 patients (51.6%) were found without node metastases at RC, while 18
(9.4%), 58 (30.2%) and 17 (8.9%) patients were found pN1, pN2 and pN3,
respectively. With a median follow-up of 48 months, in cN+ patients we recorded 5
year recurrence, CSM and OM of 55, 53 and 51%, respectively. Overall, 36 (18.8%)
patients were treated with adjuvant chemotherapy. At univariable analyses, ACT
was associated with improved overall survival [Hazard ratio (HR): 0.42,
confidence interval (CI) 0.20-0.86, p = 0.02) in pN+ subgroup only. These results
were confirmed at multivariable analyses, where ACT was associated with improved
CSS (HR: 0.45, CI 0.21-0.89, p = 0.03) and OS (HR: 0.37, CI 0.17-0.81, p = 0.01).
CONCLUSIONS: We report good survival outcomes in cN+ patients treated with RC.
The use of ACT after surgery increases survival expectancies, especially in those
patients with pathological node disease. Our data need to be further evaluated in
prospective setting.
PMID- 29368232
TI - Comparison between open simple prostatectomy and green laser enucleation of the
prostate for treating large benign prostatic hyperplasia: a single-centre
experience.
AB - PURPOSE: This study sought to compare perioperative outcomes and morbidities for
open simple prostatectomy (OSP) and endoscopic green laser enucleation of the
prostate (GreenLEP). METHODS: In a single department, all consecutive patients
who underwent OSP between January 2005 and December 2010 were retrospectively
reviewed, and all consecutive patients undergoing GreenLEP between July 2013 and
January 2017 were prospectively enrolled. Perioperative data, information
regarding early postoperative complications for up to 6 months and outcomes were
collected and retrospectively compared. RESULTS: Overall, 204 patients were
enrolled in each group. The baseline characteristics of patients in both groups
were comparable. Intraoperative time was significantly longer for the OSP group
than for the GreenLEP group (67 versus 60 min; p < 0.0001). The OSP group had
significantly longer catheterisation (5 versus 2 days; p < 0.0001) and
hospitalisation times (7 versus 2 days; p < 0.0001) than the GreenLEP group. The
overall rate of complications was significantly higher after OSP than after
GreenLEP (37.2 versus 20.6%; p = 0.0003); both Clavien-Dindo grade 3a
complications (8.8 versus 0.98%) and Clavien-Dindo grade 3b complications (2.4
versus 3.4%) were observed. The transfusion rate was higher after OSP than after
GreenLEP (8.3 versus 0.5%; p = 0.0001). The rehospitalisation rate was similar
for both groups (7.8 versus 8.3%; p = 0.99). CONCLUSIONS: The results of this
single-centre cohort study confirm those of similar prior investigations
addressing endoscopic enucleation of the prostate. Compared with OSP, GreenLEP
may have a more desirable perioperative profile with lower morbidity. In
contrast, GreenLEP and OSP were associated with similar 6-month rehospitalisation
rates.
PMID- 29368234
TI - Childhood Caregiving Roles, Perceptions of Benefits, and Future Caregiving
Intentions Among Typically Developing Adult Siblings of Individuals with Autism
Spectrum Disorder.
AB - Typically developing siblings (TDS) of individuals with Autism Spectrum Disorder
(ASD) frequently serve as caregivers during childhood, known as parentification,
and primary caregivers for siblings in adulthood. In order to evaluate mechanisms
linking these roles, we surveyed emerging-adult TDS (N = 108) about childhood
parentification roles caring for parents and siblings, current perceptions of
benefits associated with ASD and with engaging in parentification, and intention
to provide future caregiving. We hypothesized that parent-focused parentification
would negatively impact caregiving intention via perception of decreased benefits
whereas sibling-focused parentification would positively impact intention via
perception of increased benefits. Results indicate that parent-focused
parentification is common and associated with fewer perceived benefits of
caregiving and less intention to provide future caregiving. Prevention
implications are discussed.
PMID- 29368233
TI - Risk Factors for Self-injurious Behavior in an Inpatient Psychiatric Sample of
Children with Autism Spectrum Disorder: A Naturalistic Observation Study.
AB - Limited information about self-injurious behavior (SIB) is known for children and
adolescents with Autism Spectrum Disorder (ASD) who require intensive behavioral
health interventions. We examined risk-factors for SIB in 302 individuals with
ASD (ages 4-20) admitted to six specialized psychiatric inpatient units. Seventy
four percent were reported by a caregiver to display SIB, however, only 25% were
observed to engage in daily SIB during hospitalization. Those exhibiting SIB
across environments had significantly higher ratings on caregiver questionnaires
of SIB severity. Tree-structured classification was used to develop and validate
two predictive models, one indicating which inpatient youth with ASD are likely
to have SIB and a second indicating which individuals with SIB at home are likely
to continue in an inpatient setting.
PMID- 29368235
TI - Dual Effect of Histidine on Polysorbate 20 Stability: Mechanistic Studies.
AB - PURPOSE: L-Histidine (L-His) and polysorbate 20 (PS20) are two excipients
frequently included in parenteral products to stabilize biotherapeutics. The
objective of the current work was to investigate the impact of L-His on PS20
stability in aqueous solutions when subjected to forced oxidation and accelerated
stability testing. METHODS: The stability of PS20 in L-His buffer was compared
with that in acetate buffer. Forced oxidation of PS20 in these two buffer systems
was initiated by a free radical generator, 2,2'-azobis (2-amidinopropane)
hydrochloride (AAPH), while accelerated stability tests were carried out at 40
degrees C. Ultra-performance liquid chromatography mass spectrometry was utilized
to monitor intact PS20 and to analyze degradation products. RESULTS: Our results
demonstrate a dual effect of L-His on PS20 stability. During exposure to AAPH, L
His protects PS20 from oxidation. Stable isotope labeling of L-His with 13C was
employed for mechanistic investigations. The protection of L-His was abrogated
when acetate was added to L-His buffer, implying that the anti-oxidative activity
of L-His may be compromised by specific counter ions. The replacement of L-His by
various His derivatives led to significant changes in the protection of PS20
against AAPH-induced degradation. In contrast to forced degradation, the addition
of L-His promoted oxidative PS20 degradation during accelerated storage at 40
degrees C in solution, generating mainly short chain POE-laurates. CONCLUSION: L
His exhibits a dual effect on the stability profile of PS20, protecting against
AAPH-induced oxidation but promoting oxidative degradation during accelerated
stability testing.
PMID- 29368236
TI - Experimental study of sector and linear array ultrasound accuracy and the
influence of navigated 3D-reconstruction as compared to MRI in a brain tumor
model.
AB - PURPOSE: Currently, intraoperative ultrasound in brain tumor surgery is a rapidly
propagating option in imaging technology. We examined the accuracy and resolution
limits of different ultrasound probes and the influence of 3D-reconstruction in a
phantom and compared these results to MRI in an intraoperative setting (iMRI).
METHODS: An agarose gel phantom with predefined gel targets was examined with
iMRI, a sector (SUS) and a linear (LUS) array probe with two-dimensional images.
Additionally, 3D-reconstructed sweeps in perpendicular directions were made of
every target with both probes, resulting in 392 measurements. Statistical
calculations were performed, and comparative boxplots were generated. RESULTS:
Every measurement of iMRI and LUS was more precise than SUS, while there was no
apparent difference in height of iMRI and 3D-reconstructed LUS. Measurements with
3D-reconstructed LUS were always more accurate than in 2D-LUS, while 3D
reconstruction of SUS showed nearly no differences to 2D-SUS in some
measurements. We found correlations of 3D-reconstructed SUS and LUS length and
width measurements with 2D results in the same image orientation. CONCLUSIONS:
LUS provides an accuracy and resolution comparable to iMRI, while SUS is less
exact than LUS and iMRI. 3D-reconstruction showed the potential to distinctly
improve accuracy and resolution of ultrasound images, although there is a strong
correlation with the sweep direction during data acquisition.
PMID- 29368237
TI - The minimally invasive flexor carpi radialis approach: a new perspective for
distal radius fractures.
AB - The minimally invasive flexor carpi radialis approach can be used for volar
locking plate fixation of distal radius fractures. After 15-mm incision on the
lateral aspect of the FCR tendon and all structures but the radial artery are
reclined ulnarly, a plate is inserted under the pronator quadratus just proximal
to the "watershed line." The distal epiphyseal screws are put in place, and the
proximal part of the plate is exposed by flexion of the wrist to put in place the
proximal screws. No drainage or postoperative immobilization is used. It offers
the advantage of preserving ligamentotaxis which facilitates the reduction, and
the small size of the scar improves the esthetic result of the procedure. It is
indicated for extra-articular fractures of the distal radius. In the case of an
intraarticular fracture, an arthroscopy may be associated. In the case of a
proximal diaphyseal extension of the fracture, a second proximal approach can be
added in order to use a longer plate. Relative contraindications are comminuted
articular fractures in elderly osteoporotic patients. Functional and radiological
results are comparable to those obtained with the extented flexor carpi radialis
approach. A conversion of the procedure for a larger incision is always possible
in the case of a difficult reduction.
PMID- 29368238
TI - Use of modular megaprosthesis in managing chronic end-stage periprosthetic hip
and knee infections: Is there an increase in relapse rate?
AB - BACKGROUND: Bone loss is a common problem in periprosthetic joint infection (PJI)
scenarios. Modular megaprosthesis (MP) could offer a limb salvage solution in
such situations. Concerns about risk of infection relapse, reinfection and
implant longevity exist regarding MP use in cases of chronic PJI, rather than
standard implants. We therefore sought to analyze our results with MP use in
chronic PJI cases. METHODS: We performed a retrospective analysis of 29 MP
patients. Inclusion criteria were the use of this type of modular megaimplant for
reconstruction of segmental bone defects in chronically infected lower-extremity
arthroplasties (hip or knee) and a minimum follow-up of 18 months. We evaluated
the primary outcome of infection control or recurrence. The MPs were classified
into 3 groups, according the bone segment replaced (proximal femur, distal femur
or total femur). We further analyzed complications, pain, patient satisfaction
and functional results. RESULTS: Mean age was 75 years; mean follow-up was 48
months (range 18-82). The most frequently involved pathogens were coagulase
negative staphylococci (62%). Polymicrobial infection was detected in 7 patients.
Twenty-eight patients were managed with a two-stage approach. The infection-free
rate at the end of follow-up was 82.8% (24 of 29 patients). Aside from infection
relapse, the most frequent complication was dislocation. Final-point survival
rate was 91.2% (CI 68.1-97.8). Clinical outcome data and satisfaction results
were acceptable. CONCLUSION: According our data, MP is a useful tool in treating
end-stage PJI cases, achieving acceptable eradication, satisfaction and implant
survivorship rates.
PMID- 29368239
TI - Ethical, Legal and Forensic Issues in Geriatric Psychiatry.
AB - PURPOSE OF THE REVIEW: To evaluate the ethical, legal and forensic issues that is
faced by the older adult population. RECENT FINDINGS: Many older individuals will
face a host of ethical, medical and legal issues associated with their care. Most
prominent among these issues are the maintenance of autonomy while ensuring their
safety and the safety of individuals who care for them. Decisions regarding end
of life including the formulation of advance directives add to the complexity of
care for these older adults. A significant portion of individuals in the criminal
justice system are aging and many of these individuals have psychiatric
disorders. Their care is compromised due to the lack of appropriate services
within criminal justice system for providing care for these individuals.
CONCLUSIONS: Ethical, legal and forensic issues among older are not uncommon and
complicate the care of these vulnerable individuals.
PMID- 29368240
TI - Prevalence of mental diseases in Austria : Systematic review of the published
evidence.
AB - BACKGROUND: Addressing the growing burden of mental diseases is a public health
priority. Nevertheless, many countries lack reliable estimates of the proportion
of the population affected, which are crucial for health and social policy
planning. This study aimed to collect existing evidence on the prevalence of
mental diseases in Austria. METHODS: A systematic review was conducted using
MeSH, EMTREE and free-text terms in seven bibliographic databases. In addition,
the references of included papers and relevant Austria-specific websites were
searched. Articles published after 1996 pertaining to the Austrian adult
population and presenting prevalence data for mental diseases were included in
the analysis. RESULTS: A total of 2612 records were identified in the database
search, 19 of which were included in the analysis, 13 were community-based
studies and 6 examined institutionalized populations. Sample sizes ranged from
200 to 15,474. The evidence was centered around depression (n = 6, 32%), eating
disorders (n = 4, 21%) and alcohol dependence (n = 3, 16%). While most studies (n
= 10, 53%) used questionnaires and scales to identify mental diseases, seven
studies used structured clinical interviews, and two studies examined use of
psychotropic drugs. Due to the diversity of methodologies, no statistical pooling
of prevalence estimates was possible. CONCLUSION: Information on the prevalence
of mental diseases in Austria is limited and comparability between studies is
restricted. A variety of diagnostic instruments, targeted populations and
investigated diseases contribute to discrepancies in the prevalence rates. A
systematic, large-scale study on the prevalence of mental diseases in Austria is
needed for comprehensive and robust epidemiological evidence.
PMID- 29368241
TI - Femoral access site closure without prior femoral angiography : A retrospective
analysis.
AB - AIMS AND BACKGROUND: Although guideline recommendations have shifted towards a
transradial route, femoral puncture is still an established vascular access,
especially for complex coronary interventions. The FemoSealTM vascular closure
device (FVCD) helps to reduce femoral compression time and access site
complications after removal of the catheter sheath. To ensure safe use, an
angiography of the femoral artery prior to FVCD deployment is recommended by the
manufacturer. We postulate that omitting this angiography does not relevantly
increase the risk for vascular complications. METHODS AND RESULTS: In this
retrospective analysis of an all-comers population (n = 1923) including patients
receiving a percutaneous coronary intervention (PCI), we could show that combined
vascular complication rates without femoral angiography were low (primary
endpoint 4.6%) and comparable to a randomized clinical trial that did perform
angiography of the vascular access site in a cohort of patients receiving
diagnostic coronary angiography only. In addition to this analysis, we could
demonstrate that patients with an acute coronary syndrome, receiving
periprocedural anticoagulation or anti-platelet therapy had an increased risk for
the formation of arterial pseudoaneurysms; however, we did not observe any
ischemic vascular event after FVCD deployment. CONCLUSION: Closure of the femoral
access site after coronary angiography using the FVCD can be safely performed
without femoral angiography; however, due to an increased risk for the formation
of pseudoaneurysms we recommend the transradial access in situations with
increased bleeding risk.
PMID- 29368242
TI - Serum proteomic profiling for autism using magnetic bead-assisted matrix-assisted
laser desorption ionization time-of-flight mass spectrometry: a pilot study.
AB - BACKGROUND: The pathogenesis of autism spectrum disorders remains elusive and
currently there are no diagnostic or predictive biomarkers in autism available.
Proteomic profiling has been used in a wide range of neurodevelopmental disorder
studies, which could produce deeper perceptions of the molecular bases behind
certain disease and potentially becomes useful in discovering biomarkers in
autism spectrum disorders. METHODS: Serum samples were collected from autistic
children about 3 years old in age (n = 32) and healthy controls (n = 20) in
similar age and gender. The samples were identified specific proteins that are
differentially expressed by magnetic bead-based pre-fractionation and matrix
assisted laser desorption ionization time-of-flight mass spectrometry (MALDI-ToF
MS). RESULTS: Eight protein peaks were significantly different in autistic
children from the healthy controls (P < 0.0001). The two peaks with the most
significant differences were 6428 and 7758 Da in size. CONCLUSION: According to
differences in serum protein profiles between the autistic children and healthy
controls, this study identified a set of differentially expressed proteins those
are significant for further evaluation and might function as biomarkers in
autism.
PMID- 29368243
TI - Influence of culture media, pH and temperature on growth and bacteriocin
production of bacteriocinogenic lactic acid bacteria.
AB - There has been continued interest in bacteriocins research from an applied
perspective as bacteriocins have potential to be used as natural preservative.
Four bacteriocinogenic lactic acid bacteria (LAB) strains of Lactobacillus
curvatus (Arla-10), Enterococcus faecium (JFR-1), Lactobacillus paracasei subsp.
paracasei (JFR-5) and Streptococcus thermophilus (TSB-8) were previously isolated
and identified in our lab. The objective of this study was to determine the
optimal growth conditions for both LAB growth and bacteriocins production. In
this study, various growth conditions including culture media (MRS and BHI),
initial pH of culture media (4.5, 5.5, 6.2, 7.4 and 8.5), and incubation
temperatures (20, 37 and 44 degrees C) were investigated for LAB growth measured
as optical density (OD), bacteriocin activity determined as arbitrary unit and
viability of LAB expressed as log CFU ml-1. Growth curves of the
bacteriocinogenic LAB were generated using a Bioscreen C. Our results indicated
that Arla-10, JFR-1, and JFR-5 strains grew well on both MRS and BHI media at
growth temperature tested whereas TSB-8 strain, unable to grow at 20 degrees C.
LAB growth was significantly affected by the initial pH of culture media (p <
0.001) and the optimal pH was found ranging from 6.2 to 8.5. Bacteriocin activity
was significantly different in MRS versus BHI (p < 0.001), and the optimal
condition for LAB to produce bacteriocins was determined in MRS broth, pH 6.2 at
37 degrees C. This study provides useful information on potential application of
bacteriocinogenic LAB in food fermentation processes.
PMID- 29368244
TI - Texture analysis as a predictor of radiation-induced xerostomia in head and neck
patients undergoing IMRT.
AB - PURPOSE: Image texture analysis (TA) is a heterogeneity quantifying approach that
cannot be appreciated by the naked eye, and early evidence suggests that TA has
great potential in the field of oncology. The aim of this study is to evaluate
parotid gland texture analysis (TA) combined with formal dosimetry as a factor
for predicting severe late xerostomia in patients undergoing radiation therapy
for head and neck cancers. METHODS: We performed a retrospective analysis of
patients treated at our Radiation Oncology Unit between January 2010 and December
2015, and selected the patients whose normal dose constraints for the parotid
gland (mean dose < 26 Gy for the bilateral gland) could not be satisfied due to
the presence of positive nodes close to the parotid glands. The parotid gland
that showed the higher V30 was contoured on CT simulation and analysed with LifeX
Software(c). TA parameters included features of grey-level co-occurrence matrix
(GLCM), neighbourhood grey-level dependence matrix (NGLDM), grey-level run length
matrix (GLRLM), grey-level zone length matrix (GLZLM), sphericity, and indices
from the grey-level histogram. We performed a univariate and multivariate
analysis between all the texture parameters, the volume of the gland, the normal
dose parameters (V30 and Mean Dose), and the development of severe chronic
xerostomia. RESULTS: Seventy-eight patients were included and 25 (31%) developed
chronic xerostomia. The TA parameters correlated with severe chronic xerostomia
included V30 (OR 5.63), Dmean (OR 5.71), Kurtosis (OR 0.78), GLCM Correlation (OR
1.34), and RLNU (OR 2.12). The multivariate logistic regression showed a
significant correlation between V30 (0.001), GLCM correlation (p: 0.026), RLNU
(p: 0.011), and chronic xerostomia (p < 0.001, R2:0.664). CONCLUSIONS: Xerostomia
represents an important cause of morbidity for head and neck cancer survivors
after radiation therapy, and in certain cases normal dose constraints cannot be
satisfied. Our results seem promising as texture analysis could enhance the
normal dose constraints for the prediction of xerostomia.
PMID- 29368245
TI - Knocking down Cabin1 induces glomerular podocyte injury.
AB - BACKGROUND: Podocyte damage exerts a key role in proteinuria. We have
demonstrated that calcineurin-binding protein 1 (Cabin1) upregulated during
podocyte injury, yet its function in podocyte is still unclear. METHODS: We
established 5/6 nephrectomized rats and angiotensin II (AngII)-injured podocyte,
as well as knocked down Cabin1 with siRNA in cultured podocytes. Rats were killed
at 4 or 8 weeks after 5/6 nephrectomy. The localization of podocyte cytoskeleton
was detected after immunofluorescence staining. Podocyte mitochondrial morphology
was observed under electron microscopy. Podocyte mitochondrial transmembrane
potential (MMP) was measured with MitoCapture kit. Cabin1 and cytochrome c
protein expression were detected by western blot. RESULTS: Massive proteinuria,
as well as obvious segmental glomerular sclerosis, was found in rats at 8 weeks
after nephrectomy, accompanied with the disruption of synaptopodin. Moreover,
mitochondria changed from large and ellipsoid shape to the small, long, and
irregular shape in rats at 4 weeks after operation. At 8 weeks, mitochondria were
swollen and cristae were remarkably dissolved. Compared to sham-operated rats,
Cabin1 protein expression was obviously upregulated in rats at 8 weeks. AngII
induced the decrease in MMP, as well as the overexpression of Cabin1 and
cytochrome c protein in podocytes. Knocking down Cabin1 induced the disruption of
F-actin and overexpression of cytochrome c (1.81 +/- 0.21 in siRNA group vs. 0.86
+/- 0.11 in negative control group). CONCLUSIONS: Knocking down Cabin1 induces
the disruption of cytoskeleton and mitochondrial dysfunction in podocyte. Cabin1
could be a crucial factor in podocyte damage.
PMID- 29368246
TI - Concomitant alteration in number and affinity of P2X and muscarinic receptors are
associated with bladder dysfunction in early stage of diabetic rats.
AB - OBJECTIVES: To investigate time course of bladder dysfunction and concurrent
changes in number and affinity of the muscarinic and P2X receptor in the early
stage of streptozotocin (STZ)-induced diabetic rats. MATERIALS AND METHODS:
Diabetic rats were prepared by the intraperitoneal injection of 50 mg/kg of STZ
to 7-week-old female Wistar rats. We performed recording of 24-h voiding behavior
and cystometry at 1, 4, 8, and 12 weeks after the induction of diabetes. A muscle
strip experiments with electrical field stimulation (EFS), carbachol, and
alpha,beta-methylene adenosine 5'-triphosphate (alpha,beta-MeATP) were also
performed at the same time-points. Additionally, concurrent changes in number and
affinity of bladder muscarinic and P2X receptor were measured by a radioreceptor
assay using [N-methyl-3H] scopolamine methyl chloride ([3H]NMS) and alpha,beta
methylene-ATP (2,8-3H) tetrasodium salt ([3H]alpha,beta-MeATP). RESULTS: In STZ
induced diabetic rats, polydipsic polyuric pollakiuria were noted on recording of
24-h voiding behavior from early stage. Also, the residual urine volume markedly
increased in diabetic rats on cystometry. In the muscle strip experiment, the
detrusor contractions induced by EFS, carbachol, and alpha,beta-MeATP were
enhanced in STZ-induced diabetic rats. Based on the radioreceptor assay, the
maximum number of sites (Bmax) for the specific binding of [3H]NMS and
[3H]alpha,beta-MeATP was concurrently increased in the bladder from diabetic
rats. CONCLUSION: Increased bladder contractility is found in early stage of
diabetic rats. Then, bladder dysfunction is associated with increased number of
muscarinic and P2X receptors in STZ-induced diabetic rats.
PMID- 29368247
TI - Astaxanthin attenuates contrast agent-induced acute kidney injury in vitro and in
vivo via the regulation of SIRT1/FOXO3a expression.
AB - PURPOSE: The study was processed to investigate the effect of astaxanthin (AST;
3,3-dihydroxybeta, beta-carotene-4,4-dione) on the acute kidney injury induced by
iohexol and the relationship with SIRT1/FOXO3a signal pathway. METHODS: Thirty
male Sprague Dawley rats were randomly divided into five groups as follows:
control group (CON; olive oil only), contrast media group, astaxanthin control
group (100 mg/kg), low astaxanthin dose group (LAG, 50 mg/kg) and high
astaxanthin dose group (HAG, 100 mg/kg). As followed, serum creatinine (SCr),
blood urea nitrogen (BUN), the oxidative stress markers and apoptosis-related
proteins were detected. Human proximal tubular epithelial cells (HK-2) were
cultured in DMEM/F12 medium in vitro and then randomly divided into appropriate
experimental groups: normal group (N), dimethyl sulfoxide (DMSO), iohexol group
(I), iohexol + (1.0, 10.0 MUmol/L) astaxanthin group (I + LAST; I + HAST),
iohexol + SIRT1 inhibitors (nicotinamide) group (NA) and iohexol + si-RNA FOXO3a
group (si-RNA FOXO3a); when cultured for 24 h, cell proliferation ability was
tested by cell counting kit (CCK-8), reactive oxygen species (ROS) were detected
by flow cytometry and the expression of SIRT1 and FOXO3a were observed using
western blot. RESULTS: At the end of the experiment, the levels of SCr, BUN and
malondialdehyde (MDA) were all increased in the CM group. The LAG and HAG reduce
superoxide anion (SOD) activity, catalase (CAT) activity, glutathione peroxidase
(GPx) activity and glutathione (GSH) content, as well as SCr and BUN level.
Moreover, apoptosis-associated proteins, caspase 3 p17, bax and bcl-2 were
upregulated. In HK-2 cells, after adding iohexol, proliferation and intracellular
ROS levels were significantly increased. Using astaxanthin in advance after the
intervention, the result is opposite. SIRTl inhibitors NA can reduce the
expression of SIRTl and decrease the expression of FOXO3a protein. Si-RNA FOXO3a
reduces the expression of FOXO3a but had no significant effect on the expression
of SIRT1. CONCLUSIONS: Our study demonstrates that the intervention of
astaxanthin could attenuate the oxidative stress and apoptosis in contrast
induced acute kidney injury (CI-AKI), and the SIRT1/FOXO3a pathway participates
in the contrast-induced apoptosis of HK-2 cells. Finally, astaxanthin reduces CI
AKI by suppression of apoptosis, which may be through inhibition of SIRT1/FOXO3a
pathways.
PMID- 29368249
TI - Synthesis and Characterisation of Photocrosslinked poly(ethylene glycol)
diacrylate Implants for Sustained Ocular Drug Delivery.
AB - PURPOSE: To investigate the sustained ocular delivery of small and large drug
molecules from photocrosslinked poly(ethylene glycol) diacrylate (PEGDA) implants
with varying pore forming agents. METHODS: Triamcinolone acetonide and ovalbumin
loaded photocrosslinked PEGDA implants, with or without pore-forming agents, were
fabricated and characterised for chemical, mechanical, swelling, network
parameters, as well as drug release and biocompatibility. HPLC-based analytical
methods were employed for analysis of two molecules; ELISA was used to
demonstrate bioactivity of ovalbumin. RESULTS: Regardless of PEGDA molecular
weight or pore former composition all implants loaded with triamcinolone
acetonide released significantly faster than those loaded with ovalbumin. Higher
molecular weight PEGDA systems (700 Da) resulted in faster drug release of
triamcinolone acetonide than their 250 Da counterpart. All ovalbumin released
over the 56-day time period was found to be bioactive. Increasing PEGDA molecular
weight resulted in increased system swelling, decreased crosslink density (Ve),
increased polymer-water interaction parameter (chi), increased average molecular
weight between crosslinks (Mc) and increased mesh size (epsilon). SEM studies
showed the porosity of implants increased with increasing PEGDA molecular weight.
Biocompatibility showed both PEGDA molecular weight implants were non-toxic when
exposed to retinal epithelial cells over a 7-day period. CONCLUSION:
Photocrosslinked PEGDA implant based systems are capable of controlled drug
release of both small and large drug molecules through adaptations in the polymer
system network. We are currently continuing evaluation of these systems as
potential sustained drug delivery devices.
PMID- 29368250
TI - Prevention of Invasive Pneumococcal Disease: Problems Emerged After Some Years of
the 13-Valent Pneumococcal Conjugate Vaccine Use.
AB - Starting from 2010, the 13-valent pneumococcal conjugate vaccine (PCV13) was
introduced in several countries. This paper discusses some of the problems
recently emerged after PCV13 use and their clinical impact. The impact of PCV13
has been relevant and has saved millions of children and adults by severe
infectious diseases. However, it seems likely that in the future, effectiveness
of the vaccine might be even higher than that presently evidenced. This is
because long-term administration of PCV13 to the pediatric population can favor a
more extensive reduction of nasopharyngeal colonization with vaccine serotypes of
both vaccinated and unvaccinated subjects and further reduce invasive
pneumococcal disease in all the individuals (herd immunity). While waiting for
new vaccines to be able to overcome the problem of a limited number of
pneumococcal strains included in PCV13, it is recommended to increase
pneumococcal vaccination coverage in the entire pediatric population.
PMID- 29368251
TI - Imaging and anatomical features of ethmomaxillary sinus and its differentiation
from surrounding air cells.
AB - OBJECTIVE: The aim of this study was to investigate the imaging anatomical
characteristics and clinical significance of the ethmomaxillary sinus (EMS).
METHODS: The study included a total of 280 ENT Outpatient Department patients
with nasal symptoms whose paranasal sinus CT scans were analyzed from January
2012 to December 2016. The anatomical imaging characteristics of EMS were
observed. RESULTS: EMS was observed in 23 of 280 patients, with an incidence of
8.2%. Bilateral EMS appearance in 17 cases (73.9%) was significantly higher than
that of unilateral EMS in 6 cases (26.1%) (P < 0.01). EMS occurs when there are
anterior or anterior-inferior cells of the posterior ethmoidal sinus (PEs)
extending toward the maxillary sinus (MS) and entering the MS through the
maxillary hiatus rather than spreading from outside of the MS. EMS is surrounded
by five walls, and the main position of EMS was invariably located in the
posterior-superior corner of the MS and draining to the superior nasal meatus
(SNM). EMS must be differentiated from SNM, sphenoid sinus, and retromaxillary
pneumatization of PEs, because they may appear between the MS and the orbital
floor. CONCLUSION: EMS was not a rare variation that should be careful
identification during endoscopic sinus surgery. Accurately confirming EMS is the
key to completely opening and removing lesions in the MS and EMS.
PMID- 29368252
TI - Bilateral muscular slips between superior and inferior rectus muscles: case
report with discussion on classification of accessory rectus muscles within the
orbit.
AB - Accessory rectus muscles have rarely been reported as muscular 'bands' or 'slips'
originating from the common tendinous ring (annulus of Zinn) and inserting in
atypical location. This group of muscles is innervated by the inferior branch of
the oculomotor nerve, lies on lateral side of the optic nerve and inserts in
rectus muscles. Since there are only few descriptions of such unusual findings in
the medical literature, the anatomical data on accessory rectus muscles is
limited. Furthermore, existing reports vary in terms of studied objects (cadavers
or living subjects), medical history (absence or presence of ocular movement
disorders or eye movement abnormalities) and details of anatomical description.
This report complements earlier publications and provides complete anatomical
description of the accessory rectus muscle observed bilaterally during the
dissection of a 68-year-old male cadaver with no eye movement abnormalities
reported in the medical history. The accessory rectus muscle was divided into two
'slips' or 'heads'-superior and inferior-running in the sagittal plane (laterally
to the optic nerve and the main trunk of the ophthalmic artery) and attached to
the superior and inferior rectus muscles. Noticeable thickening of both superior
and inferior rectus muscles at the insertion point of the accessory muscle heads
was observed only in the sagittal plane. On both sides, the inferior head of the
accessory rectus muscle was innervated by one of sub-branches derived from the
inferior branch of the oculomotor nerve. No sub-branches to the superior head
were macroscopically observed during the dissection. The classification,
embryological background and clinical relevance of this variation have been
discussed.
PMID- 29368253
TI - The interface between child/adolescent and adult mental health services: results
from a European 28-country survey.
AB - Transition-related discontinuity of care is a major socioeconomic and societal
challenge for the EU. The current service configuration, with distinct Child and
Adolescent Mental Health (CAMHS) and Adult Mental Health Services (AMHS), is
considered a weak link where the care pathway needs to be most robust. Our aim
was to delineate transitional policies and care across Europe and to highlight
current gaps in care provision at the service interface. An online mapping survey
was conducted across all 28 European Countries using a bespoke instrument: The
Standardized Assessment Tool for Mental Health Transition (SATMEHT). The survey
was directed at expert(s) in each of the 28 EU countries. The response rate was
100%. Country experts commonly (12/28) reported that between 25 and 49% of CAMHS
service users will need transitioning to AMHS. Estimates of the percentage of
AMHS users aged under 30 years who had has previous contact with CAMHS were most
commonly in the region 20-30% (33% on average).Written policies for managing the
interface were available in only four countries and half (14/28) indicated that
no transition support services were available. This is the first survey of CAMHS
transitional policies and care carried out at a European level. Policymaking on
transitional care clearly needs special attention and further elaboration. The
Milestone Study on transition should provide much needed data on transition
processes and outcomes that could form the basis for improving policy and
practice in transitional care.
PMID- 29368254
TI - When addiction symptoms and life problems diverge: a latent class analysis of
problematic gaming in a representative multinational sample of European
adolescents.
AB - The proposed diagnosis of Internet gaming disorder (IGD) in DSM-5 has been
criticized for "borrowing" criteria related to substance addiction, as this might
result in misclassifying highly involved gamers as having a disorder. In this
paper, we took a person-centered statistical approach to group adolescent gamers
by levels of addiction-related symptoms and gaming-related problems, compared
these groups to traditional scale scores for IGD, and checked how groups were
related to psychosocial well-being using a preregistered analysis plan. We
performed latent class analysis and regression with items from IGD and
psychosocial well-being scales in a representative sample of 7865 adolescent
European gamers. Symptoms and problems matched in only two groups: an IGD class
(2.2%) having a high level of symptoms and problems and a Normative class (63.5%)
having low levels of symptoms and problems. We also identified two classes
comprising 30.9% of our sample that would be misclassified based on their report
of gaming-related problems: an Engaged class (7.3%) that seemed to correspond to
the engaged gamers described in previous literature, and a Concerned class
(23.6%) reporting few symptoms but moderate to high levels of problems. Our
findings suggest that a reformulation of IGD is needed. Treating Engaged gamers
as having IGD when their poor well-being might not be gaming related may delay
appropriate treatment, while Concerned gamers may need help to reduce gaming but
would not be identified as such. Additional work to describe the phenomenology of
these two groups would help refine diagnosis, prevention and treatment for IGD.
PMID- 29368255
TI - Reply to: "Letter to the Editor for the Manuscript the complex interplay of
physical fitness, protein intake and vitamin D supplementation after bariatric
surgery".
PMID- 29368257
TI - Oxygen sensing and stem cell activation in the hypoxic carotid body.
AB - The carotid body (CB) is the major arterial chemoreceptor responsible for the
detection of acute decreases in O2 tension (hypoxia) in arterial blood that
trigger hyperventilation and sympathetic activation. The CB contains O2-sensitive
glomus (chief) cells, which respond to hypoxia with the release of transmitters
to activate sensory nerve fibers impinging upon the brain respiratory and
autonomic centers. During exposure to sustained hypoxia (for weeks or months),
the CB grows several-fold in size, a response associated with acclimatization to
high altitude or to medical conditions presenting hypoxemia. Here, I briefly
present recent advances on the mechanisms underlying glomus cell sensitivity to
hypoxia, in particular the role of mitochondrial complex I in acute oxygen
sensing. I also summarize the properties of adult CB stem cells and of glomus
cell-stem cell synapses, which contribute to CB hypertrophy in chronic hypoxia. A
note on the relationship between hypoxic CB growth and tumorigenesis is included.
Finally, the medical implications of CB pathophysiology are discussed.
PMID- 29368258
TI - Tissue-derived scaffolds and cells for articular cartilage tissue engineering:
characteristics, applications and progress.
AB - There are many factors to consider in the field of tissue engineering. For
articular cartilage repair, this includes seed cells, scaffolds and
chondrotrophic hormones. This review primarily focuses on the seed cells and
scaffolds. Extracellular matrix proteins provide a natural scaffold for cell
attachment, proliferation and differentiation. The structure and composition of
tissue-derived scaffolds and native tissue are almost identical. As such, tissue
derived scaffolds hold great promise for biomedical applications. However,
autologous tissue-derived scaffolds also have many drawbacks for transplantation,
as harvesting autografts is limited to available donor sites and requires
secondary surgery, therefore imparting additional damage to the body. This review
summarizes and analyzes various cell sources and tissue-derived scaffolds applied
in orthopedic tissue engineering.
PMID- 29368256
TI - Immunopathogenesis and immunotherapy of multiple myeloma.
AB - Despite the advent of novel therapies and improvements in survival, multiple
myeloma (MM) remains an incurable disease. Thus, new treatment strategies
including immunotherapies are needed for MM patients with stable disease after
induction chemotherapy as well as for disease control in patients with advanced
disease. However, profound immune dysregulation not only of B cells, but also of
other immune cells such as natural killer cells, T cells, and dendritic cells and
increase in the number of immunosuppressive cells, i.e., regulatory T and B cells
and myeloid-derived suppressor cells, have been demonstrated in advanced MM
patients, which may be involved in disease progression. Because of immune
dysfunction, immunotherapies have not shown clinical efficacy in MM patients. It
is therefore crucial to resolve immunosuppressive mechanisms and improve immune
responses, especially in advanced MM patients. Recently, excellent clinical
efficacy of new immunotherapeutic strategies such as immunomodulatory drug
intensified monoclonal antibody treatment, immune checkpoint inhibitors, and
chimeric antigen receptor T-cell therapy targeting B cell maturation antigen has
been reported in advanced-stage MM patients. Those new treatments or their
combination will improve prognosis and possibly point toward a cure for myeloma.
PMID- 29368259
TI - Computational Fluid Dynamics Modeling of the Burr Orbital Motion in Rotational
Atherectomy with Particle Image Velocimetry Validation.
AB - Rotational atherectomy (RA) uses a high-speed rotating burr introduced via a
catheter through the artery to remove hardened atherosclerotic plaque. Current
clinical RA technique lacks consensus on burr size and rotational speed. The
rotating burr orbits inside the artery due to the fluid force of the blood.
Different from a common RA technique of upsizing burrs for larger luminal gain, a
small burr can orbit to treat a large lumen. A 3D computational fluid dynamics
(CFD) model was developed to simulate the burr motion and study the fluid flow
and force in RA. A particle image velocimetry experiment was conducted to measure
and validate the flow field including the radial and axial velocities and a pair
of counter-rotating vortices near the burr equator in CFD. The hydraulic force on
the burr and the contact force between the burr and the arterial wall were
estimated by CFD. The contact force can be reduced by using smaller burr and
lower rotational speed. Utilizing the small burr orbital motion has the potential
to be an improved RA technique.
PMID- 29368260
TI - Risk management adherence following genetic testing for hereditary cancer
syndromes: a Singaporean experience.
AB - Assessing adherence behavior among mutation carriers to cancer risk management
guidelines is important for both service improvement and cost-effectiveness
analyses, but such real-world data is often lacking. The present study aims to
report adherence rates among mutation carriers in a recently established cancer
genetics program in Singapore. We conducted a medical chart review of mutation
carriers who had attended for genetic counseling and gathered data regarding risk
management behavior, including cancer surveillance and/or risk-reducing surgery,
and cancers subsequently detected. Of the 52 subjects included in the study, the
majority were affected prior to genetic testing (78.8%) and had family history
suggestive of a germline mutation (88.5%). The overall adherence rate was 96.2%,
including 37 (74.0%) fully-adherent and 13 (26.0%) partially-adherent subjects,
with five cancers subsequently detected. Among the 28 BRCA1/2 mutation carriers,
adherence to breast cancer risk management was also high (89.3%), although uptake
of risk-reducing bilateral salpingo-oophorectomy was not as common (60%). Whilst
overall adherence in this cohort was high, BRCA1/2 mutation carriers may require
targeted interventions to improve ovarian cancer risk management uptake.
Additionally, further education among health professionals and the wider
community regarding cancer genetics is needed to ensure the early identification
of mutation carriers.
PMID- 29368261
TI - APC mosaicism in a young woman with desmoid type fibromatosis and familial
adenomatous polyposis.
AB - Familial adenomatous polyposis (FAP) is usually caused by germline mutations in
the adenomatous polyposis coli (APC) gene. The classic form is characterized by
hundreds to thousands of adenomas in the colorectum and early onset colorectal
cancer (CRC) if left untreated. FAP is also associated with multiple extra
colonic manifestations such as gastroduodenal polyps, osteomas, epidermoid cysts,
fibromas and desmoids. Most desmoid tumours in FAP patients occur intra
abdominally. Approximately 15-20% of the APC mutations are de novo mutations.
Somatic mosaicism has been reported in some sporadic cases of polyposis but is
probably an underestimated cause of the disease. This case report presents the
detection of a mosaic APC mutation in a 26-year-old woman who as a child had been
diagnosed with desmoid type fibromatosis. FAP was suggested when she presented
with extensive extra abdominal fibromatosis. Our findings indicate that APC
mutations may be suspected in patients presenting with a desmoid regardless of
its location. If there is clinical evidence that the patient has FAP, adenomas
and colonic mucosa in addition to leukocyte DNA should be included in the
screening, preferably using methods that are more sensitive than Sanger
sequencing.
PMID- 29368262
TI - Progression to polythythemia vera from familial thrombocytosis with germline JAK2
R867Q mutation.
PMID- 29368263
TI - Conformational determinants necessary for secretion of Paecilomyces thermophila
beta-1,4-xylosidase that lacks a signal peptide.
AB - In this study, we investigated the secretion mechanism of the hyper-secretion
signal peptide-lacking beta-xylosidase PtXyl43, a non-classically secreted
protein, from the fungus Paecilomyces thermophila in Escherichia coli BL21(DE3).
PtXyl43 secretion is a two-step process, and the second step is accompanied by
cell periplasmic leakage, indicating that PtXyl43 secretion is the result of semi
specific secretion. Homology modeling of PtXyl43 suggested that PtXyl43 had a
canonical GH43 family beta-xylosidase structure containing five blades. Seventeen
blade deletions or circular mutants were designed to identify the conformational
motif(s) involved in secretion. These mutants were expressed as recombinant,
codon-optimized proteins in E. coli. Notably, only mutants containing blades 2-4
were effectively secreted. Blades 2-4 are necessary for secretion, but it appears
that blade 1 or 5 must be present to maintain the structure of blades 2-4.
Simultaneous deletion of blades 1 and 5 dramatically reduces excretion. The
covalent and sequential linking of blades of 2, 3 and 4 are important for the
excretion of mutants, as separate blades of 2 and 3 or 3 and 4 abolishes
excretion. Fusion with PtXyl43 promotes the excretion of GFP from the periplasm
to the extracellular milieu, which suggested that PtXyl43 had the potential to
carry proteins. This study provides new insights into secretory mechanism of
secretable signal peptide-lacking proteins in E. coli. To our knowledge, this is
the first to definitively identify the conformational determinants for secretion
of a signal peptide-lacking GH43 family beta-xylosidase. This finding also has
application potential for the secretion of recombinant proteins.
PMID- 29368264
TI - Classification of micro-calcification in mammograms using scalable linear Fisher
discriminant analysis.
AB - Breast cancer is one of the major causes of death in women. Computer Aided
Diagnosis (CAD) systems are being developed to assist radiologists in early
diagnosis. Micro-calcifications can be an early symptom of breast cancer. Besides
detection, classification of micro-calcification as benign or malignant is
essential in a complete CAD system. We have developed a novel method for the
classification of benign and malignant micro-calcification using an improved
Fisher Linear Discriminant Analysis (LDA) approach for the linear transformation
of segmented micro-calcification data in combination with a Support Vector
Machine (SVM) variant to classify between the two classes. The results indicate
an average accuracy equal to 96% which is comparable to state-of-the art methods
in the literature. Graphical Abstract Classification of Micro-calcification in
Mammograms using Scalable Linear Fisher Discriminant Analysis.
PMID- 29368265
TI - Caste-fate determination primarily occurs after adult emergence in a primitively
eusocial paper wasp: significance of the photoperiod during the adult stage.
AB - Independent-founding paper wasps constitute a major group of primitively eusocial
insects, and when caste-fate determination occurs in temperate species of these
wasps, particularly regarding whether it occurs before or after emergence,
remains unclear. No critical morphological differences occur between potential
queens of the next generation (often called gynes) and workers in primitively
eusocial insects. The gynes of temperate species are characterized by diapausing,
and the nutrients available during the larval stage have often been believed to
determine caste fate. Short days usually induce diapause in temperate nonsocial
insects, although few investigations of the effects of day length on caste-fate
determination in paper wasps have been conducted. By exposing individuals to
different combinations of short and long days during the immature and adult
stages, we show for the first time that short days during the adult stage (but
not during the immature stage) facilitated caste-fate determination toward gynes
in a paper wasp. Moreover, the decision to diapause partly depended on changes in
the photoperiod during the pupal and adult stages. The size of the adult also
affected caste-fate determination, with diapause more likely to occur in large
adults, but this size effect did not occur when individuals were exposed to many
short days during the pupal stage. In addition, all adults except for a small
proportion of smaller individuals prepared for diapause under short days. These
findings suggest that the photoperiod is a higher priority cue than adult size.
PMID- 29368267
TI - [Prophylactic use of tranexamic acid in noncardiac surgery : Update 2017].
AB - BACKGROUND: Minimising perioperative bleeding is a key goal of "patient blood
management" programs. One component of respective strategies includes preventive
inhibition of fibrinolysis using protease inhibitors, such as tranexamic acid
(TXA). TXA inhibits plasminogen activation and plasmin-induced fibrin
degradation. OBJECTIVES: The present article provides an overview of the existing
literature and TXA applications in the prophylaxis of perioperative bleeding.
METHODS: Literature search in PubMed/MEDLINE (U.S. National Library of
Medicine(r), Bethesda, MD, USA). RESULTS: TXA reduces perioperative blood loss
and transfusion requirements in several randomized controlled trials (RCTs) and
meta-analyses in the field of hip and knee arthroplasty for both intravenous and
topical use. Moreover, evidence favours use of TXA in complex spine surgery and
reconstructive surgery (e. g. craniosynostosis in children). Single RCTs showed
benefits of TXA in abdominal hysterectomy, open prostatectomy, liver surgery and
actively bleeding trauma patients. For prophylaxis of peripartum haemorrhage
(PPH) following vaginal delivery or Caesarean section, TXA cannot be routinely
recommended, although evidence points to benefits in actively bleeding patients.
A recommendation exists for the treatment of (active) PPH. For prophylactic
perioperative administration, different dosage regimens exist for adults. Most
often an initial i. v. bolus of 1 g or 10-15 mg/kg body weight with/without
repetition after 6 h or continuous infusions over 8 h is administered. Increased
rates of thromboembolic events were not noted. CONCLUSION: Protease inhibitors
such as TXA reduce perioperative blood loss and transfusion requirements in
selected surgical fields.
PMID- 29368268
TI - A distributed delay approach for modeling delayed outcomes in pharmacokinetics
and pharmacodynamics studies.
AB - A distributed delay approach was proposed in this paper to model delayed outcomes
in pharmacokinetics and pharmacodynamics studies. This approach was shown to be
general enough to incorporate a wide array of pharmacokinetic and pharmacodynamic
models as special cases including transit compartment models, effect compartment
models, typical absorption models (either zero-order or first-order absorption),
and a number of atypical (or irregular) absorption models (e.g., parallel first
order, mixed first-order and zero-order, inverse Gaussian, and Weibull absorption
models). Real-life examples were given to demonstrate how to implement
distributed delays in Phoenix(r) NLMETM 8.0, and to numerically show the
advantages of the distributed delay approach over the traditional methods.
PMID- 29368269
TI - Out with the new, in with the old: Exogenous orienting to locations with
physically constant stimulation.
AB - Dominant methods of investigating exogenous orienting presume that attention is
captured most effectively at locations containing new events. This is evidenced
by the ubiquitous use of transient stimuli as cues in the literature on exogenous
orienting. In the present study, we showed that attention can be oriented
exogenously toward a location containing a completely unchanging stimulus by
modifying Posner's landmark exogenous spatial-cueing paradigm. Observers searched
a six-element array of placeholder stimuli for an onset target. The target was
preceded by a decrement in luminance to five of the six placeholders, such that
one location remained physically constant. This "nonset" stimulus (so named to
distinguish it from a traditional onsetting transient) acted as an exogenous cue,
eliciting patterns of facilitation and inhibition at the nonset location and
demonstrating that exogenous orienting is not always evident at the location of a
visual transient. This method eliminates the decades-long confounding of
orienting to a location with the processing of new events at that location,
permitting alternative considerations of the nature of attentional selection.
PMID- 29368266
TI - Landscape of emerging and re-emerging infectious diseases in China: impact of
ecology, climate, and behavior.
AB - For the past several decades, the infectious disease profile in China has been
shifting with rapid developments in social and economic aspects, environment,
quality of food, water, housing, and public health infrastructure. Notably, 5
notifiable infectious diseases have been almost eradicated, and the incidence of
18 additional notifiable infectious diseases has been significantly reduced.
Unexpectedly, the incidence of over 10 notifiable infectious diseases, including
HIV, brucellosis, syphilis, and dengue fever, has been increasing. Nevertheless,
frequent infectious disease outbreaks/events have been reported almost every
year, and imported infectious diseases have increased since 2015. New pathogens
and over 100 new genotypes or serotypes of known pathogens have been identified.
Some infectious diseases seem to be exacerbated by various factors, including
rapid urbanization, large numbers of migrant workers, changes in climate,
ecology, and policies, such as returning farmland to forests. This review
summarizes the current experiences and lessons from China in managing emerging
and re-emerging infectious diseases, especially the effects of ecology, climate,
and behavior, which should have merits in helping other countries to control and
prevent infectious diseases.
PMID- 29368270
TI - Vascularization of pancreatic decellularized scaffold with endothelial progenitor
cells.
AB - Vascularization remains a large obstacle for creating a functional pancreas
tissue equivalent for transplantation. In this study, a pre-vascularized
pancreatic decellularized scaffold was prepared through endothelializing with
endothelial progenitor cells (EPCs) in a bioreactor, and the ability to
regenerate new blood vessels was detected in vivo. Initially, pancreases of
Sprague-Dawley (SD) rats were perfused with 1% Triton X-100 and 0.1% ammonium
hydroxide to remove the cellular components while the intact vascular network was
preserved. Then, the decellularized scaffold was reseed with EPCs, which were
primarily characterized by dual staining for dil-labeled acetylated low-density
lipoprotein (Dil-acLDL) and fluorescein isothiocyanate labeled ulex europaeus
agglutinin 1 (FITC-UEA-1), to reconstruct the vascular network. Thus, a scaffold
covered with EPCs in the vessel structure was created. After that, the scaffold
was transplanted into the rat in vivo to observe the anastomosis with the host
vascular network. The results showed that EPCs can be located around the blood
vessel wall, and re-endothelialized scaffold connected with the host through new
blood vessel formation earlier than the control group (p < 0.05). These findings
all indicated that the pancreatic decellularized scaffold endothelialized with
EPCs may be further applied to solve the problem of blood supply and support the
function of insulin-secreting cells after in vivo transplantation.
PMID- 29368271
TI - Association of Low Bone Mineral Density with Anti-Citrullinated Protein Antibody
Positivity and Disease Activity in Established Rheumatoid Arthritis: Findings
from a US Observational Cohort.
AB - INTRODUCTION: To assess the relationship between low bone mineral density (BMD),
anti-cyclic citrullinated peptide-2 (anti-CCP2) antibodies, and disease activity
in patients with established rheumatoid arthritis (RA). METHODS: Patients
enrolled in a single-center, observational cohort registry of patients with RA.
Eligible patients had known BMD, as measured by digital X-ray radiogrammetry (DXR
BMD), and anti-CCP2 antibody measurements at the same time point or within 6
months. Anti-CCP2-immunoglobulin (Ig)G-positive (+) patients (>= 20 U/mL) were
distributed into three equal groups (Gp1-3), representing increasing anti-CCP2
antibody concentrations. Associations between BMD and anti-CCP2 antibody status
and titer were explored in multivariate regression analyses controlling for
covariates (including age, duration of RA, use of steroids, use of osteoporosis
medication). Association between disease activity (DAS28 [CRP] < 2.6) and bone
loss was also explored. RESULTS: A total of 149 patients (all women) were
included (47 anti-CCP2 antibody negative [-], 102 anti-CCP2+ [34?titer group]).
Mean disease duration was greater in the three anti-CCP2+ groups vs. the anti
CCP2- group. DXR-BMD was lower in the anti-CCP2+ vs. the anti-CCP2- groups (Gp1-3
vs. anti-CCP2-: P < 0.0001 for left and right hands). DXR-BMD decreased with
increasing anti-CCP2 titer (P < 0.001 for left and right hands). Patients with
low DXR-BMD were less likely to have a DAS28 (CRP) < 2.6 (P = 0.0181).
CONCLUSION: Among patients with established RA, data suggest that anti-CCP2+
patients, particularly those with high anti-CCP2 antibody titers, have lower hand
BMD, and patients with lower hand BMD are less likely to have low disease
activity. FUNDING: Bristol-Myers Squibb. TRIAL REGISTRATION: Clinicaltrials.gov
identifier, NCT01793103.
PMID- 29368273
TI - Modeling of the contrast-enhanced perfusion test in liver based on the multi
compartment flow in porous media.
AB - The paper deals with modeling the liver perfusion intended to improve
quantitative analysis of the tissue scans provided by the contrast-enhanced
computed tomography (CT). For this purpose, we developed a model of dynamic
transport of the contrast fluid through the hierarchies of the perfusion trees.
Conceptually, computed time-space distributions of the so-called tissue density
can be compared with the measured data obtained from CT; such a modeling feedback
can be used for model parameter identification. The blood flow is characterized
at several scales for which different models are used. Flows in upper hierarchies
represented by larger branching vessels are described using simple 1D models
based on the Bernoulli equation extended by correction terms to respect the local
pressure losses. To describe flows in smaller vessels and in the tissue
parenchyma, we propose a 3D continuum model of porous medium defined in terms of
hierarchically matched compartments characterized by hydraulic permeabilities.
The 1D models corresponding to the portal and hepatic veins are coupled with the
3D model through point sources, or sinks. The contrast fluid saturation is
governed by transport equations adapted for the 1D and 3D flow models. The
complex perfusion model has been implemented using the finite element and finite
volume methods. We report numerical examples computed for anatomically relevant
geometries of the liver organ and of the principal vascular trees. The simulated
tissue density corresponding to the CT examination output reflects a pathology
modeled as a localized permeability deficiency.
PMID- 29368272
TI - Concomitant high expression of ERalpha36, GRP78 and GRP94 is associated with
aggressive papillary thyroid cancer behavior.
AB - PURPOSE: Papillary thyroid cancer (PTC) is more common in women than in men. It
has been suggested that estrogen may be involved in its development, as has
previously been shown for breast, endometrial and ovarian cancer. The purpose of
this study was to assess correlations between the expression of the estrogen
receptor alpha36 (ERalpha36) and the glucose regulated proteins GRP78 and GRP94
(chaperones involved in glycoprotein folding) and various PTC clinicopathological
features, as well as to evaluate the potential usefulness of these three
potential oncogenic proteins in the prediction of aggressive PTC behavior.
METHODS: ERalpha36, GRP78 and GRP94 protein expression in 218 primary PTC tissues
and PTC-derived BCPAP cells was examined using immunohistochemistry, Western
blotting and immunocytochemistry. The proliferative, invasive and migrative
capacities of BCPAP cells in which the respective genes were either exogenously
over-expressed or silenced were assessed using BrdU incorporation and Transwell
assays, respectively. RESULTS: We found that ERalpha36, GRP78 and GRP94 protein
expression was upregulated in the primary PTC tissues tested. We also found that
ERalpha36, GRP78 and GRP94 expression modulation affected the proliferation,
invasion and migration of PTC-derived BCPAP cells. A positive correlation and a
positive feedback loop were noted between ERalpha36, GRP78 and GRP94 protein
expression in the primary PTC tissues and in BCPAP cells, respectively. High
ERalpha36 expression in combination with a high GRP78/ GRP94 expression was found
to have a stronger correlation with extrathyroid extension (ETE), lymph node
metastasis (LNM), distant metastasis (DM) and high TNM stage than high ERalpha36
expression in combination with either high GRP78 or high GRP94 expression (p =
0.028 for ETE, p = 0.002 for DM and p <= 0.001 for LNM and high TNM stage) or
high ERalpha36 expression alone (p < 0.001 for ETE, LNM, DM and high TNM stage).
CONCLUSIONS: From our data we conclude that a concomitant high expression of
ERalpha36, GRP78 and GRP94 is strongly associated with aggressive PTC behavior
and may be used as a predictor for ETE, LNM, DM and high TNM stage.
PMID- 29368274
TI - The association of AKNA gene polymorphisms with knee osteoarthritis suggests the
relevance of this immune response regulator in the disease genetic
susceptibility.
AB - Recent studies have identified AKNA as a potential susceptibility gene for
several inflammatory diseases. Here, we aimed to assess the potential association
of AKNA polymorphisms with knee osteoarthritis (KOA) susceptibility in a Mexican
population, following STREGA recommendations. From a DNA bank of 181 KOA patients
and 140 healthy controls, two AKNA SNPs were genotyped using TaqMan probes. The
association between KOA susceptibility and AKNA polymorphisms genotypes was
evaluated by multivariated logistic regression analysis. Information regarding
patients' inflammatory biomarkers levels was obtained and their association with
AKNA polymorphisms genotypes was assessed by lineal regression. We found a
positive association with the recessive inheritance model of both AKNA
polymorphisms (A/A genotype for both) and KOA susceptibility adjusting by age,
body mass index (BMI), gender and place of birth (OR = 2.48, 95% CI 1.09-5.65 for
rs10817595 polymorphism; and OR = 4.96; 95% CI 2.421-10.2 for rs3748176
polymorphism). Additionally these associations were also seen after stratifying
patients by KOA severity and age. Furthermore the total leukocyte count was
positively associated with rs10817595 AKNA polymorphism (beta = 1.39; 95% CI 0.44
2.34) adjusting by age, BMI, gender, place of birth and disease severity. We
suggest that regulatory and coding polymorphisms of the inflammatory modulator
gene AKNA can influence the development of KOA. Further structural and functional
studies might reveal the role of AKNA in OA and other rheumatic diseases.
PMID- 29368276
TI - Investigating Pregnancy Outcomes After Abnormal Cell-Free DNA Test Results.
AB - Cell-free DNA (cfDNA) testing has increased sensitivity and specificity compared
to other prenatal screening methods, but invasive diagnostic testing (IDT) is
recommended for confirmation. We performed a retrospective chart review of 39
women with abnormal cfDNA results between March 2012 and September 2015 at an
urban academic hospital to evaluate patient choice and pregnancy outcomes. We
analyzed data using descriptive statistics, Fisher's exact tests, and Wilcoxon
rank-sum tests. Median maternal age was 36.0 years [interquartile range (IQR) 31,
39]; 64.1% of women (25/39) were advanced maternal age and 69.2% (27/39) had
abnormal ultrasounds. Median gestational age at time of cfDNA testing was 18 3/7
weeks [IQR 12 2/7, 20 5/7]. cfDNA results included trisomy 21 (89.7%, 35/39),
trisomy 18 (7.7%, 3/39), and both trisomy 21/monosomy X (2.6%, 1/39). Of 39
women, 22 (56.4%) continued and 10 (25.6%) terminated the pregnancy; six (15.4%)
had fetal demises, and one was lost to follow-up. Of women continuing their
pregnancies, 54.6% (12/22) declined further genetic counseling, and 77.3% (17/22)
declined IDT. Only 14 women pursued IDT; not pursuing IDT was associated with
continuing the pregnancy (Fisher's exact test, p = .001). All women terminating
their pregnancy (90.0%, 9/10) pursued IDT or had major anomalies on ultrasound,
suggesting that women considering termination undergo more confirmatory tests or
already have high suspicion for an abnormal pregnancy.
PMID- 29368275
TI - Genetic Counseling in the Era of Genomics: What's all the Fuss about?
AB - As genomic sequencing becomes more widely available in clinical settings for
diagnostic purposes, a number of genetic counseling issues are gaining
precedence. The ability to manage these issues will be paramount as genetic and
non-genetic healthcare professionals navigate the complexities of using genomic
technologies to facilitate diagnosis and inform patient management. Counseling
issues arising when counseling for diagnostic genomic sequencing were identified
by four genetic counselors with 10 years of collective experience providing
genetic counseling in this setting. These issues were discussed and refined at a
meeting of genetic counselors working in clinical genomics settings in Melbourne,
Australia. Emerging counseling issues, or variations of established counseling
issues, were identified from the issues raised. Illustrative cases were selected
where pre- and post-test genetic counseling was provided in clinical settings to
individuals who received singleton or trio WES with targeted analysis. Counseling
issues discussed in this paper include a reappraisal of how genetic counselors
manage hope in the genomic era, informed consent for secondary use of genomic
data, clinical reanalysis of genomic data, unexpected or unsolicited secondary
findings, and trio sequencing. The authors seek to contribute to the evolving
understanding of genetic counseling for diagnostic genomic sequencing through
considering the applicability of existing genetic counseling competencies to
managing emerging counseling issues and discussing genetic counseling practice
implications.
PMID- 29368277
TI - Utilization of the Tablet Application Proband in Pedigree Construction and
Assessment.
AB - Many medical institutions have converted to a digital model for record keeping
due to the Health Information Technology for Economic and Clinical Health Act.
This Act provides incentives to health care systems to accelerate and encourage
the adoption of electronic health record (EHR) systems. The pedigree as a tool in
medicine provides an efficient method to assess and represent an individual's
health and family health risks that may otherwise not be apparent in the medical
record in a clearly identifiable way (Schuette, J. L., & Bennett 2009). Many
clinicians continue to construct pedigrees using pen and paper method despite
findings of improved identification of at risk patients with similar electronic
intake tools (Arar et al. in Personalized Medicine 2011 8:523-32). The goal of
this study was to explore the patient and practitioner experience with electronic
pedigree programs using Proband, an application developed at The Children's
Hospital of Philadelphia for genetic counselors to construct pedigrees during
genetic counseling sessions directly on iPads. The first part of this study
looked at the patient experience and assessed time to take the pedigree and the
impact of using an electronic pedigree tool on the relationship between
participant and genetic counselor. This involved 50 participants and was compared
with the traditional paper method of taking a pedigree. There was no statistical
significance found between the two different mediums in accuracy, speed, and
rapport with provider. The second part of the study assessed the usability of
Proband by ten genetic counselors. Overall, the application received a system
usability score of 90/100 with a majority (7/10) of counselors agreeing that they
would use this application in their clinic. The positive outcome of this study
encourages future work to assess the impact and usability of programs on a larger
scale as they continue to integrate into current electronic health records.
PMID- 29368278
TI - Peptide and Recombinant Allergen Vaccines for Food Allergy.
AB - Food allergy is a significant public health problem, with no suitable treatments
available for patients. Currently, patients are limited to avoidance and the use
of readily available emergency medications. Immunotherapy is an appealing
therapeutic strategy for inducing tolerance. Studies with whole native allergens
have demonstrated the efficacy of immunotherapy for food allergy; however, the
risk of IgE-mediated reactions with such treatment is significant. Advances in
molecular biology techniques, including purification, sequencing, and cloning,
have allowed researchers to identify specific allergen components and T cell
binding epitopes. Support for the use of recombinant and peptide vaccines for
food allergy comes from prior studies involving aeroallergens and hymenoptera
venom. By manipulating allergen structure and IgE binding, allergenicity can be
reduced, thereby reducing systemic reactions, making recombinant and peptide
vaccines a safe and effective form of immunotherapy. Pre-clinical studies using
in vitro and murine models demonstrated a more tolerant state following the use
of these therapies. Studies with human subjects will be necessary to characterize
the effects of recombinant and peptide food allergy vaccines and to demonstrate a
safe treatment option for patients.
PMID- 29368280
TI - In recognition of Ireland's clinical medical researchers: Noel G McElvaney, T
Joseph McKenna, Noel Caplice and Bryan Hennessy.
PMID- 29368279
TI - The clinical utility of pre-operative neutrophil-to-lymphocyte ratio as a
predictor of outcomes in patients undergoing elective laparoscopic
cholecystectomy.
AB - BACKGROUND: In recent years, there has been an increasing trend towards day-case
surgery for patients undergoing laparoscopic cholecystectomy (LC). We
investigated the predictive value that pre-operative neutrophil-to-lymphocyte
ratio (PNLR) had on surgical outcomes. METHODS: A review of all patients who
underwent LC during a 6-year period in a single institution was performed and
PNLR recorded. A PNLR cutoff value of 3 was utilised. We compared operation time,
length of stay (LOS), and conversion to open between those with PNLR less and
greater than 3. RESULTS: A total of 567 patients underwent elective LC. Those
with PNLR>3 had associated prolonged operation time (p < 0.005), prolonged LOS (p
< 0.005), and higher rates of conversion to open surgery (p < 0.005).
CONCLUSIONS: PNLR correlates with outcomes following LC. It is useful in
delineating patients that have higher risk of conversion or prolonged length of
hospital stay and is helpful in assessing suitability of day-case surgery.
PMID- 29368281
TI - Assessment of patient concerns: a review.
AB - BACKGROUND: Patient-centred communication is the mainstay of the medical
consultation. It includes the assessment of patient concerns and is important in
building the doctor-patient therapeutic relationship. Our aim was to perform a
literature review to identify relevance of patient concern assessment, to
identify what concerns are encountered in various clinical settings and to
explore the different methodologies used to obtain them. METHODS: A narrative
review was performed. RESULTS: Addressing patient concerns is associated with
increased patient satisfaction. Unvoiced concerns are associated with unresolved
health issues and poor doctor-patient relationships. Different specialities have
focused on different aspects of concern assessment. Patient concern assessment
studies are mostly qualitative in nature. Not all qualitative methods give
similar results. Interviews are more reliable sources of information than
questionnaires as no one questionnaire captures all patient concerns. The
location where interviews take place is also relevant. CONCLUSIONS: Assessing
patient concerns is associated with positive outcomes. Patient concerns are
handled differently by different clinical specialties. Various methodologies have
been used for concern assessment. Interviews and questionnaires can often yield
different results.
PMID- 29368282
TI - Suboptimal lipid management before and after ischaemic stroke and TIA-the North
Dublin Population Stroke Study.
AB - BACKGROUND: Few population-based studies have assessed lipid adherence to
international guidelines for primary and secondary prevention in stroke/transient
ischaemic attack (TIA) patients. AIMS: This study aims to evaluate adherence to
lipid-lowering therapy (LLT) guidelines amongst patients with ischaemic
stroke/TIA. METHODS: Using hot and cold pursuit methods from multiple
hospital/community sources, all stroke and TIA cases in North Dublin City were
prospectively ascertained over a 1-year period. Adherence to National Cholesterol
Education Programme (NCEP) III guidelines, before and after index ischaemic
stroke/TIA, was assessed. RESULTS: Amongst 616 patients (428 ischaemic stroke,
188 TIA), total cholesterol was measured following the qualifying event in 76.5%
(471/616) and low-density lipoprotein (LDL) in 60.1% (370/616). At initial
stroke/TIA presentation, 54.1% (200/370) met NCEP III LDL goals. Compliance was
associated with prior stroke (odds ratio [OR] 2.19, p = 0.02), diabetes (OR 1.91,
p = 0.04), hypertension (OR 1.57, p = 0.03), atrial fibrillation (OR 1.78, p =
0.01), pre-event LLT (OR 2.85, p < 0.001) and higher individual LDL goal (p =
0.001). At stroke/TIA onset, 32.7% (195/596) was on LLT. Nonetheless, LDL
exceeded individual NCEP goal in 29.2% (56/192); 21.6% (53/245) warranting LLT
was not on treatment prior to stroke/TIA onset. After index stroke/TIA, 75.9%
(422/556) was on LLT; 15.3% (30/196) meeting NCEP III criteria was not prescribed
a statin as recommended. By 2 years, actuarial survival was 72.8% and 11.9%
(59/497) experienced stroke recurrence. No association was observed between
initial post-event target adherence and 2-year outcomes. CONCLUSIONS: In this
population-based study, LLT recommended by international guidelines was under
used, before and after index stroke/TIA. Strategies to improve adherence are
needed.
PMID- 29368283
TI - Cumulative sum analysis of the learning curve for endoscopic resection of
juvenile nasopharyngeal angiofibroma.
AB - BACKGROUND: To determine the learning curve with cumulative sum analysis for
endoscopic resection of juvenile nasopharyngeal angiofibroma (JNA) and
investigate whether the surgeon's expertise is a risk factor for recurrence.
MATERIALS AND METHODS: We reviewed the medical records of patients with JNA who
underwent endoscopic or endoscopic-assisted surgery between 2006 and 2015. We
used cumulative sum (Cusum) analysis to plot the learning curve for operation
time versus chronological sequence, and verified the Cusum curve by risk-adjusted
Cusum (RA-Cusum) analysis. We identified three phases of expertise. The
recurrence rate was analyzed using the Kaplan-Meier method and log-rank tests. A
multivariable Cox regression analysis was performed to identify the independent
risk factors for recurrence. RESULTS: We included 154 JNA patients with a median
age of 16 years. The surgeon overcame the learning curve after case 80 with
increasing surgical efficiency and competence. The learning curve plotted by
Cusum analysis divided the cases into three phases: phase 1, accumulation of
initial experience (cases 1-41); phase 2, further accumulation of experience
(cases 42-117); and phase 3, mastering the procedure (cases 118-154). Pearson's
chi2 tests showed that tumor stage (P = 0.021), blood loss (P = 0.001), operation
time (P < 0.001), and phase (P < 0.001) were associated with recurrence. The log
rank test showed that time to recurrence was significantly shorter in phase 1
than in phases 2 and 3. Blood loss and phase were independently prognostic
factors for time to recurrence, with P values of 0.023 and 0.009, respectively.
The RA-Cusum analysis identified two inflection points of the curve at case 44
and 83, and verified the results of Cusum analysis. CONCLUSION: Surgical
experience and competence with endoscopic resection affect the recurrence rate in
JNA patients. LEVEL OF EVIDENCE: 4.
PMID- 29368284
TI - Endoscopic gastrojejunostomy revision is more effective than medical management
alone to address weight regain after RYGB.
AB - BACKGROUND: Weight regain after bariatric roux-en-y gastric bypass affects up to
30% of individuals. Revisional surgery is risky, and is typically avoided in
favor of dietary and psychological management. Endoscopic gastrojejunostomy
revision is a low-morbidity, outpatient procedure that may be more effective than
medical management alone for regain after gastric bypass. METHODS: Two patient
cohorts were retrospectively assembled. Both groups had gastric bypass, regained
weight, and were cleared for revision by their surgeon, dietician, and
psychologist. The revision group underwent the procedure, and the no-revision
group did not, typically due to insurance issues. Weights from pre-bypass to 2
years post-revision, obesity-related comorbidities, and intraoperative factors
were collected and analyzed. RESULTS: There were 41 patients included in the
revision group and 14 in the no-revision group. Up to the time of revision
procedure, body mass index, and % excess weight loss between groups were similar.
After revision, the groups diverged over a 2-year period, with improvement seen
in the revision group and worsening in the no-revision group. The revision group
showed overall improvement in comorbidities compared to the no-revision group.
Analysis of intraoperative factors suggests that gastric pouch restriction in
addition to stoma diameter reduction may promote weight loss. CONCLUSIONS: In
this retrospective study, endoscopic revision provided significantly greater
weight loss compared to medical management alone. Results show that revision can
help resolve obesity-related comorbidities. Analysis of intraoperative factors
suggests that pouch reduction at time of stoma revision may improve weight loss.
PMID- 29368285
TI - Variation in laparoscopic anti-reflux surgery across England: a 5-year review.
AB - BACKGROUND: Laparoscopic anti-reflux surgery (LARS) remains central to the
management of gastro-oesophageal reflux disease but the scale and variation in
provision in England is unknown. The aims of this study were firstly to examine
the processes and outcomes of anti-reflux surgery in England and compare them to
national guidelines and secondly to explore potential variations in practice
nationally and establish peer benchmarks. METHODS: All adult patients who
underwent LARSin England during the Financial years FY 2011/2012-FY 2016/2017
were identified in the Surgeon's Workload Outcomes and Research Database (SWORD),
which is based on the Hospital Episode Statistics (HES) data warehouse. Outcomes
included activity volume, day-case rate, short-stay rate, 2- and 30-day
readmission rates and 30-day re-operation rates. Funnel plots were used to
identify national variation in practice. RESULTS: In total, 12,086 patients
underwent LARS in England during the study period. The operation rate decreased
slightly over the study period from 5.2 to 4.6 per 100,000 people. Most outcomes
were in line with national guidelines including the conversion rate (0.76%), 30
day re-operation rate (1.43%) and 2- and 30-day readmission rates (1.65 and
8.54%, respectively). The day-case rate was low but increased from 7.4 to 15.1%
during the 5-year period. Significant variation was found, particularly in terms
of hospital volume, and day-case, short-stay and conversion rates. CONCLUSION:
Although overall outcomes are comparable to studies from other countries, there
is significant variation in anti-reflux surgery activity and outcomes in England.
We recommend that units use these data to drive local quality improvement
efforts.
PMID- 29368286
TI - Why laparoscopists may opt for three-dimensional view: a summary of the full HTA
report on 3D versus 2D laparoscopy by S.I.C.E. (Societa Italiana di Chirurgia
Endoscopica e Nuove Tecnologie).
AB - BACKGROUND: Three-dimensional view in laparoscopic general, gynaecologic and
urologic surgery is an efficient, safe and sustainable innovation. The present
paper is an extract taken from a full health technology assessment report on
three-dimensional vision technology compared with standard two-dimensional
laparoscopic systems. METHODS: A health technology assessment approach was
implemented in order to investigate all the economic, social, ethical and
organisational implications related to the adoption of the innovative three
dimensional view. With the support of a multi-disciplinary team, composed of
eight experts working in Italian hospitals and Universities, qualitative and
quantitative data were collected, by means of literature evidence, validated
questionnaire and self-reported interviews, applying a final MCDA quantitative
approach, and considering the dimensions resulting from the EUnetHTA Core Model.
RESULTS: From systematic search of literature, we retrieved the following
studies: 9 on general surgery, 35 on gynaecology and urology, both concerning
clinical setting. Considering simulated setting we included: 8 studies regarding
pitfalls and drawbacks, 44 on teaching, 12 on surgeons' confidence and comfort
and 34 on surgeons' performances. Three-dimensional laparoscopy was shown to have
advantages for both the patients and the surgeons, and is confirmed to be a safe,
efficacious and sustainable vision technology. CONCLUSIONS: The objective of the
present paper, under the patronage of Italian Society of Endoscopic Surgery, was
achieved in that there has now been produced a scientific report, based on a HTA
approach, that may be placed in the hands of surgeons and used to support the
decision-making process of the health providers.
PMID- 29368287
TI - Gestural acquisition in great apes: the Social Negotiation Hypothesis.
AB - Scientific interest in the acquisition of gestural signalling dates back to the
heroic figure of Charles Darwin. More than a hundred years later, we still know
relatively little about the underlying evolutionary and developmental pathways
involved. Here, we shed new light on this topic by providing the first
systematic, quantitative comparison of gestural development in two different
chimpanzee (Pan troglodytes verus and Pan troglodytes schweinfurthii) subspecies
and communities living in their natural environments. We conclude that the three
most predominant perspectives on gestural acquisition-Phylogenetic Ritualization,
Social Transmission via Imitation, and Ontogenetic Ritualization-do not
satisfactorily explain our current findings on gestural interactions in
chimpanzees in the wild. In contrast, we argue that the role of interactional
experience and social exposure on gestural acquisition and communicative
development has been strongly underestimated. We introduce the revised Social
Negotiation Hypothesis and conclude with a brief set of empirical desiderata for
instigating more research into this intriguing research domain.
PMID- 29368288
TI - LY2963016 Insulin Glargine: A Review in Type 1 and 2 Diabetes.
AB - Subcutaneous once-daily LY2963016 insulin glargine (LY insulin glargine)
[Abasaglar(r) (EU); Basaglar(r) (USA)] has been approved in the EU as a
biosimilar to reference insulin glargine (Lantus(r)), and in the USA as a follow
on biologic to reference insulin glargine, for use in patients with type 1 or 2
diabetes. Structural and functional characterization of LY insulin glargine in
preclinical studies showed that it is similar to reference insulin glargine. In
phase I euglycaemic clamp studies, LY insulin glargine demonstrated similar
pharmacodynamic (including duration of action) and pharmacokinetic parameters to
reference insulin glargine. In the phase III ELEMENT trials, LY insulin glargine
and reference insulin glargine were noninferior to each other with respect to
glycaemic control, indicating their equivalent efficacy, when administered with
mealtime insulin in adults with type 1 diabetes or with oral antiglycaemic
medications in adults with type 2 diabetes. LY insulin glargine was generally
well tolerated, with a safety profile (including the risk of hypoglycaemia and
immunogenicity) similar to that of reference insulin glargine and without any
additional safety concerns identified. Basal insulin treatment status at baseline
did not impact the relative efficacy, safety and immunogenicity of LY insulin
glargine versus reference insulin glargine in the ELEMENT 1 and 2 trials. In
conclusion, LY insulin glargine offers an additional basal insulin option for
patients with type 1 or 2 diabetes, potentially at a lower cost.
PMID- 29368289
TI - Liposomal Irinotecan Accumulates in Metastatic Lesions, Crosses the Blood-Tumor
Barrier (BTB), and Prolongs Survival in an Experimental Model of Brain Metastases
of Triple Negative Breast Cancer.
AB - PURPOSE: The blood-tumor barrier (BTB) limits irinotecan distribution in tumors
of the central nervous system. However, given that the BTB has increased passive
permeability we hypothesize that liposomal irinotecan would improve local
exposure of irinotecan and its active metabolite SN-38 in brain metastases
relative to conventional irinotecan due to enhanced-permeation and retention
(EPR) effect. METHODS: Female nude mice were intracardially or intracranially
implanted with human brain seeking breast cancer cells (brain metastases of
breast cancer model). Mice were administered vehicle, non-liposomal irinotecan
(50 mg/kg), liposomal irinotecan (10 mg/kg and 50 mg/kg) intravenously starting
on day 21. Drug accumulation, tumor burden, and survival were evaluated. RESULTS:
Liposomal irinotecan showed prolonged plasma drug exposure with mean residence
time (MRT) of 17.7 +/- 3.8 h for SN-38, whereas MRT was 3.67 +/- 1.2 for non
liposomal irinotecan. Further, liposomal irinotecan accumulated in metastatic
lesions and demonstrated prolonged exposure of SN-38 compared to non-liposomal
irinotecan. Liposomal irinotecan achieved AUC values of 6883 +/- 4149 ng-h/g for
SN-38, whereas non-liposomal irinotecan showed significantly lower AUC values of
982 +/- 256 ng-h/g for SN-38. Median survival for liposomal irinotecan was 50
days, increased from 37 days (p<0.05) for vehicle. CONCLUSIONS: Liposomal
irinotecan accumulates in brain metastases, acts as depot for sustained release
of irinotecan and SN-38, which results in prolonged survival in preclinical model
of breast cancer brain metastasis.
PMID- 29368290
TI - The clinical impairment assessment questionnaire: validation in Italian patients
with eating disorders.
AB - BACKGROUND: The Clinical Impairment Assessment (CIA) is a measure of functional
impairment secondary to eating disorder symptoms. AIM: The aim of this study was
to examine the psychometric proprieties of the Italian-language version of the
CIA. METHODS: The tool was translated into Italian and administered to 259
Italian-speaking in- and outpatients with eating disorders and 102 healthy
controls. The clinical group also completed the Eating Disorder Examination
Questionnaire (EDE-Q) and the Brief Symptom Inventory (BSI). RESULTS:
Confirmatory factor analysis revealed a good fit for the original three-factor
structure. Internal consistency was high for both the global CIA and all subscale
scores, and test-retest reliability was acceptable. The high correlation between
CIA and EDE-Q and BSI confirmed the convergent validity of the instrument. T test
indicated higher raw scores on CIA in patients with eating disorders than healthy
controls, and a cut-off score of 16 on the CIA discriminated between eating
disorder and general psychopathology scores. Finally, global CIA and subscale
scores were significantly higher in patients who reported objective bulimic
episodes, purging behaviours, and excessive exercising than in those who did not;
in underweight than in not-underweight patients, and in inpatients than
outpatients, confirming the good known-groups validity of the tool. CONCLUSIONS:
Overall, the study showed the good psychometric properties of the Italian version
of the CIA, and validated its use in Italian-speaking eating disorder patients.
LEVEL OF EVIDENCE: Level V, Descriptive study.
PMID- 29368292
TI - Living with someone with an eating disorder: factors affecting the caregivers'
burden.
AB - We focused on carers of subjects suffering from eating disorders (ED), and
studied the characteristics that mostly expose them to high levels of stress,
anxiety, depression and expressed emotion, favoring the accommodation of the
family system to the cared person. We administered the accommodation and enabling
scale for eating disorders (AESED) questionnaire, the family questionnaire (FQ)
and the depression, anxiety and stress scale (DASS-21) questionnaire to 97 carers
of 62 ED patients, and investigated the carer's characteristics associated with
the scores in the three questionnaires. A personal history of ED, being the
primary carer, and caring for a person with a diagnosis of anorexia nervosa are
the characteristics that contribute most to aggravate the carers' burden in terms
of stress, anxiety, depression, accommodation and enabling. Our findings may help
doctors to provide effective support to caregivers and eventually improve the
treatment of subjects with ED.
PMID- 29368291
TI - Expected benefits and motivation to weight loss in relation to treatment outcomes
in group-based cognitive-behavior therapy of obesity.
AB - PURPOSE: We aimed to determine cognitive drivers, expected to play a role in
target reach and/or attrition in obesity programs. METHODS: We recorded the
expected benefits of weight loss, weight targets, primary motivation for weight
loss, perceived treatment needs, readiness and self-confidence to be successful
and a battery of psychopathology questionnaires in 793 subjects with obesity (68%
women; mean age 48.7; 46% obesity class III) enrolled into a group-based
cognitive-behavioral treatment program. Their relevance on attrition and
successful weight loss outcome were tested by logistic regression analysis.
RESULTS: The expected benefits of weight loss scored very high in all physical,
psychological and social areas, with differences between genders. Attrition rate
was 24, 41 and 65% at 6-, 12-, and 24-month follow-up. Average weight loss was
5.8 +/- 7.1 kg (- 4.8%) at 6 months, with 17% of cases (32% of continuers)
maintaining weight loss > 10% at 24 months. After adjustment for confounders,
attrition was reduced by concern for present health, motivation/consciousness of
the importance of physical activity and need for support; treatment
discontinuation was favored by concern for body image, by expectations for drug
treatment or bariatric surgery, and by high-challenging weight loss targets. Male
gender, higher BMI and concern for present health predicted weight loss > 10%,
whereas concern for body appearance was associated with lower probability of
attaining the desired weight loss targets. CONCLUSION: A more precise definition
of needs and expectations might help tailor treatment to individual patients, but
attrition rates and target reach remain difficult to predict. LEVEL OF EVIDENCE:
Level V, descriptive studies.
PMID- 29368294
TI - Application of Strict Criteria for Noninvasive Follicular Thyroid Neoplasm with
Papillary-Like Nuclear Features and Encapsulated Follicular Variant Papillary
Thyroid Carcinoma: a Retrospective Study of 50 Tumors Previously Diagnosed as
Follicular Variant PTC.
AB - Noninvasive follicular thyroid neoplasm with papillary-like nuclear features
(NIFTP) was recently proposed as a designation for a subset of follicular variant
papillary thyroid carcinoma (FVPTC). Encapsulated FVPTC has been shown to be a
fairly indolent tumor, and NIFTP are expected to represent the most indolent
subset of these tumors. Many of the exclusion criteria for NIFTP related to
architecture and a lack of psammoma bodies are designed to preclude the inclusion
of more aggressive non-FVPTC tumors in this indolent group and also exclude the
diagnosis of FVPTC. In addition to strict application of histologic features to
ensure that NIFTP represents a subset of encapsulated FVPTC without invasion,
other exclusion criteria including high mitotic activity and necrosis may also
lead to a lack of one-to-one correlation between the diagnosis of NIFTP and
encapsulated FVPTC without invasion. In this series, 50 cases previously
diagnosed as FVPTC over a 2-year period from a large academic center are
retrospectively reviewed for reclassification as NIFTP. Additionally, cases not
meeting criteria for NIFTP are more accurately classified using the most up to
date WHO criteria. Prior BRAF V600E mutation testing was examined for these
tumors when available. Seventeen of 50 (34%) tumors met criteria for
classification as NIFTP and, 17 (34%) were classified as encapsulated FVPTC with
invasion. Strict application of architectural features led to classification of
12 (24%) tumors as non-FVPTC with a variety of more aggressive designations.
Tumors classified as NIFTP and encapsulated FVPTC with invasion lacked lymph node
metastases (0/4; 0/7, respectively) and BRAF mutations (0/12; 0/13,
respectively). In contrast, infiltrative FVPTC, encapsulated PTC with or without
invasion, and conventional PTC showed more aggressive features with lymph node
metastases and BRAF V600E mutations. One case not meeting criteria for NIFTP
maintained the diagnosis of encapsulated FVPTC without invasion but demonstrated
significant mitotic activity (three mitoses/ten HPF) and lacked lymph node
metastases and BRAF V600E mutation. These findings demonstrate the importance of
using strict criteria, especially the lack of true papillary architecture, for
the diagnosis of NIFTP and encapsulated FVPTC to ensure that only truly indolent
tumors will be included in these diagnoses and to allow tumors with potential for
more aggressive behavior to be appropriately treated.
PMID- 29368295
TI - Does Foraging Experience Affect the Responses of the Predator Dicyphus hesperus
Knight to Prey-Induced Volatiles?
AB - The predatory mirid bug Dicyphus hesperus Knight (Hemiptera: Miridae) is a native
North America species with high potential as biological control agent of the
potato psyllid, Bactericera cockerelli Sulcer (Hemiptera: Triozidae), which is a
major solanaceous pest. Olfactory responses of D. hesperus to volatiles from
plants infested with the potato psyllid and the effect of foraging experience on
search efficacy were studied. Using a Y-tube olfactometer, we found that naive
females had no preference in choice tests between either uninfested tomato
plants, or Ephestia kuehniella Zeller (Lepidoptera: Pyralidae) eggs-infested
plants, and clean air. Naive females showed an innate positive response to B.
cockerelli-infested plants, but no preference when given a choice between
uninfested tomato plants and E. kuehniella eggs-infested plants. However, females
with experience of foraging on B. cockerelli-infested plants showed a strong
preference for B. cockerelli-infested plants (78% response) in choice tests with
uninfested tomato plants and E. kuehniella eggs-infested plants. Implications of
learning for augmentative biological control are discussed.
PMID- 29368293
TI - Epidemiology, clinical presentation and diagnosis of non-functioning pituitary
adenomas.
AB - PURPOSE: Non-functioning pituitary adenomas (NFPAs) are benign pituitary
neoplasms that do not cause a hormonal hypersecretory syndrome. An improved
understanding of their epidemiology, clinical presentation and diagnosis is
needed. METHOD: A literature review was performed using Pubmed to identify
research reports and clinical case series on NFPAs. RESULTS: They account for 14
54% of pituitary adenomas and have a prevalence of 7-41.3/100,000 population.
Their standardized incidence rate is 0.65-2.34/100,000 and the peak occurence is
from the fourth to the eighth decade. The clinical spectrum of NFPAs varies from
being completely asymptomatic to causing significant hypothalamic/pituitary
dysfunction and visual field compromise due to their large size. Most patients
present with symptoms of mass effect, such as headaches, visual field defects,
ophthalmoplegias, and hypopituitarism but also hyperprolactinaemia due to
pituitary stalk deviation and less frequently pituitary apoplexy. Non-functioning
pituitary incidentalomas are found on brain imaging performed for an unrelated
reason. Diagnostic approach includes magnetic resonance imaging of the sellar
region, laboratory evaluations, screening for hormone hypersecretion and for
hypopituitarism, and a visual field examination if the lesion abuts the optic
nerves or chiasm. CONCLUSION: This article reviews the epidemiology, clinical
behaviour and diagnostic approach of non-functioning pituitary adenomas.
PMID- 29368296
TI - Programs for Preventing Depression in Adolescence: Who Benefits and Who Does Not?
An Introduction to the Supplemental Issue.
AB - We introduce this supplemental issue of Prevention Science, which brings together
a set of papers from leading investigators who have conducted trials testing
whether intervention programs prevent adolescent depression. Using data from
these trials, these papers explore a series of factors that might account for
variation in intervention benefit, employing several novel methods for assessing
effect heterogeneity. These studies follow two general paradigms: three papers
report findings from single randomized preventive intervention trials, while the
remaining papers develop and apply new methods for combining data from multiple
studies to evaluate effect heterogeneity more broadly. Colleagues from NIMH and
SAMHSA also provide commentaries on these studies. They conclude that synthesis
of findings from multiple trials holds great promise for advancing the field, and
progress will be accelerated if collaborative data sharing becomes the norm
rather than the exception.
PMID- 29368297
TI - Correction to: Knowing Every Child: Validation of the Holistic Student Assessment
(HSA) as a Measure of Social-Emotional Development.
AB - The Holistic Student Report was reported online as open source. It is not. Any
use in part or in whole in any form or version has to be approved in writing.
PMID- 29368298
TI - A web-based multidomain lifestyle intervention with connected devices for older
adults: research protocol of the eMIND pilot randomized controlled trial.
AB - BACKGROUND: Multidomain interventions composed of nutritional counseling,
exercise and cognitive trainings have shown encouraging results as effective
preventive strategies delaying age-related declines. However, these interventions
are time- and resource-consuming. The use of Information and Communication
Technologies (ICT) might facilitate the translation from research into real-world
practice and reach a massive number of people. AIM: This article describes the
protocol of the eMIND study, a randomized controlled trial (RCT) using a web
based multidomain intervention for older adults. METHODS: One hundred and twenty
older adults (>= 65 years), with a spontaneous memory complaint, will be randomly
assigned to a six-month web-based multidomain (nutritional counseling, physical
and cognitive trainings) intervention group with a connected accelerometer
(number of steps, energy expenditure), or to a control group with access to
general information on healthy aging plus the accelerometer, but no access to the
multidomain intervention. The main outcome is the feasibility/acceptability of
the web-based intervention. Secondary clinical outcomes include: cognitive
functions, physical performance, nutritional status and cost-effectiveness.
RESULTS: We expect a high amount of adherers (ie, > 75% compliance to the
protocol) to reflect the feasibility. Acceptability, assessed through interviews,
should allow us to understand motivators and barriers to this ICT intervention.
We also expect to provide data on its effects on various clinical outcomes and
efficiency. CONCLUSION AND DISCUSSION: The eMIND study will provide crucial
information to help developing a future and larger web-based multidomain
lifestyle RCT, which should facilitate the translation of this ICT intervention
from the research world into real-life clinical practice for the healthcare of
older adults.
PMID- 29368299
TI - Autophagic flux is essential for the downregulation of D-dopachrome tautomerase
by atractylenolide I to ameliorate intestinal adenoma formation.
AB - Colorectal cancer is generally believed to progress through an adenoma -
carcinoma sequence. Adenomatous polyposis coli (APC) mutations serve as the
initiating event in adenoma formation. The ApcMin/+ mouse harbors a mutation in
the APC gene, which is similar or identical to the mutation found in individuals
with familial adenomatous polyposis and 70% of all sporadic CRC cases. Autophagy
is a constitutive process required for proper cellular homeostasis. However, its
role in intestinal adenoma formation is still controversial. Atractylenolide I
(AT1) is a sesquiterpenoid that possesses various clinically relevant properties
such as anti-tumor and anti-inflammatory activities. The role of AT1 on adenoma
formation was tested in ApcMin/+ mice and its underlying mechanism in regulating
autophagy was documented. D-dopachrome tautomerase (D-DT) was identified as a
potential target of AT1 by an proteomics-based approach. The effects of p53
modification on autophgic flux was monitored in p53-/- and p53+/+ HCT116 cells.
Small interfering RNA was used to investigate the function of Atg7 and D-DT on
autophagy programme induce by AT1. AT1 effectively reduced the formation of
adenoma and downregulated the tumorigenic proteins in ApcMin/+ mice. Importantly,
AT1 stimulated autophagic flux through downregulating acetylation of p53.
Activation of Sirt1 by AT1 was essential for the deacetylation of p53 and
downregulation of D-DT. The lowered expression of COX-2 and beta-catenin by AT1
were partly recovered by Atg7 knockdown. AT1 activates autophagy machinery to
downregulate D-DT and reduce intestinal adenoma formation. This discovery
provides evidence in vivo and in vitro that inducing autophagy by natural
products maybe a potential therapy to ameliorate colorectal adenoma formation.
PMID- 29368300
TI - Hyperuricosuric calcium urolithiasis.
AB - Hyperuricosuric calcium urolithiasis is a condition of mixed calcium oxalate
stones characterized by hyperuricosuria either in isolation or in conjunction
with other risk factors for calcium oxalate stones such as hypercalciuria,
hyperoxaluria, and hypocitraturia. There are three proposed physicochemical
models of pathogenesis where urate in its crystalline phase via heterogeneous
nucleation, in its colloidal phase via removal of crystallization inhibitors, and
in solution via precipitation crystallization, can all increase propensity to
calcium oxalate precipitation. Regardless of the model, the phenomenologic
observation of urate increasing calcium oxalate precipitation appears solid.
Another supporting factor are retrospective data analysis and prospective trials
showing uric acid lowering reduces stones events in hyperuricosuric calcium stone
formers. Due to the heterogeneity of pathogenesis of calcium oxalate stones in
the unselected stone-formers, association cannot be demonstrated between uric
acid excretion rate and risk of kidney stone the general population. In calcium
oxalate stoners with isolated hyperuricosuria or hyperuricosuria in combination
with other calcium stone risks where treatment of these traditional risks fails
to reduce stone formation, urate acid lowering should be cautiously attempted.
More refinement of pathogenic models and prospective controlled trials in
phenotypically defined subgroups of subjects with calcium oxalate urolithiasis
will be informative.
PMID- 29368301
TI - Comparison of Some Soil Fungi in Bioremediation of Herbicide Acetochlor Under
Agitated Culture Media.
AB - In this study, we aimed to find out the biodegradation efficiency of some soil
fungi types on herbicide acetochlor with acetochlor active material, chemical
oxygen demand (COD), biochemical oxygen demand (BOD5) and total organic carbon
(TOC) parameters. We also try to determine the population dynamics of these fungi
via turbidity. The fungi cultures were isolated from agricultural field in in
Thrace region of Turkey. Each fungi enriched in malt extract broth media and 1 mL
of these enriched media was inoculated into 100 mL of acetochlor solutions that
suggested for using application concantrations in agricultural field for farmers
(11000 mg L-1) for sunflower and corn agriculture. Bioremediation results of
acetochlor have shown different results according to the types of fungi. Our
results indicate that Tolypocladium geodes and Cordyceps cicadae might be used in
acetochlor bioremediation with a significant acetochlor, COD, TOC and BOD5
reduction and can be used for rehabilitation of agricultural fields or receiving
environments for removal the opposite effects of acetochlor or other herbicides.
PMID- 29368302
TI - The Influence of Six Pesticides on Physiological Indices of Pelophylax Ridibundus
(Pallas, 1771).
AB - The objective of the study is to screen for morphological, biochemical and
histological changes induced by six widely used pesticides (Reldan 40EC, Actara
25WG, Tilt 250EC, Champion 50WG, Fusilade Forte, Dual Gold 960EC) in the
amphibian species Pelophylax ridibundus (Pallas, 1771). Highly degenerative
changes were observed in animals cultured at 22-24 degrees C, compared to those
cultured at 4-6 degrees C. The hepatosomatic index increased upon exposure to
almost all of the pesticides, the erythrocyte number decreased upon exposure to
all pesticides except Reldan 40EC, while leucopenia was observed only for Reldan
40EC and Actara 25WG. Hyperglycemia was observed upon administration of
pesticides (except Champion 50WG and Fusilade Forte, for which hypoglycemia is
registered), while a decrease in cholesterol levels was induced by nearly all
pesticides. Triglycerides varied only slightly. The results suggest that chronic
pesticides exposure can lead to alteration of various indices, as well as to
hepatic lesions in amphibians.
PMID- 29368303
TI - Determination of Domoic Acid in Plankton Net Samples from Golden Horn Estuary,
Turkey, Using HPLC with Fluorescence Detection.
AB - This study focused on the fluctuations of domoic acid (DA) levels in plankton net
samples collected from the Golden Horn Estuary (GHE), Turkey, between August 2011
and July 2012. DA concentrations were determined by high-performance liquid
chromatography (HPLC), using a fluorenylmethoxycarbonyl (FMOC) derivatization
technique. Monthly and biweekly data were evaluated with environmental variables,
and their influence on DA production is discussed. DA levels in plankton net
samples varied between 0.36 and 94.34 ug L- 1. DA levels showed remarkable
seasonal variation and they were generally higher in May, 2012, but no DA was
detected between February and April, 2012. DA production was mostly controlled by
temperature, with nitrate and silicate limitations being secondary factors that
influenced DA concentrations.
PMID- 29368304
TI - Levels of PCDD/Fs, PBDEs, and PBDD/Fs in Breast Milk from Southern Taiwan.
AB - This study investigates the congener-specific concentrations of polychlorinated
dibenzo-p-dioxins/furans (PCDD/Fs), polybrominated dibenzo-p-dioxins/furans
(PBDD/Fs), and polybrominated diphenyl ethers (PBDEs) in 25 breast milk samples
from southern Taiwan. Most investigated congeners in Taiwanese breast milk are
detectable except for PBDD/Fs. The geometric means of PCDD/Fs and PBDEs in the
breast milk are 2.44 pg WHO2005-TEQ/g lipid and 2810 pg/g lipid. Several PCDD/F
and PBDE congeners were highly correlated to each other like 1,2,3,7,8-PeCDD and
2,3,4,7,8-PeCDF (r = 0.919, p < 0.001). The longest duration of menstruation
could be predicted by BDE-153 (beta = 0.252) and 1,2,3,4,6,7,8-HpCDF (beta =
0.345) with adjustment of confounders using a multiple stepwise linear regression
model (r = 0.963, p < 0.001).
PMID- 29368305
TI - Theodor Kerckring (1638-1693) and his contributions to describing fetal
development and craniospinal malformations.
PMID- 29368306
TI - Surgical treatment of a type IV cystic sacrococcygeal teratoma with intraspinal
extension utilizing a posterior-anterior-posterior approach: a case report.
AB - Type IV sacrococcygeal teratoma with intraspinal involvement is rare and to our
knowledge has not been reported previously in the literature. The authors present
the case of a 2-month-old infant with a type IV sacrococcygeal teratoma diagnosed
on prenatal ultrasound. Postnatal MRI revealed intraspinal extension through an
enlarged sacral neuroforamina on the right side. On surgical exploration, the
authors discovered a dorsal cystic tumor involving the sacral spine that extended
through an enlarged S4 foramen to a large presacral component. The tumor was
successfully removed to achieve a complete en bloc surgical resection. The
authors review the epidemiology, pathophysiology, and treatment of sacrococcygeal
teratomas with intraspinal extension.
PMID- 29368307
TI - Hashimoto's encephalopathy presenting as pseudobulbar palsy.
AB - INTRODUCTION: Hashimoto's encephalopathy (HE) is an autoimmune condition with
varied neurological and psychiatric features. HE is very unusual as a cause of
pseudobulbar palsy (PSP). CASE PRESENTATION: A 14-year-old male was admitted with
right-sided weakness, dysphagia, speech disorder, and aggressiveness. Brain
magnetic resonance imaging showed increased intensity in bilateral temporal,
insular cortex, amygdala, and parahippocampal area on T2-weighted and fluid
attenuated inversion recovery images. Autoimmune encephalitis was considered as
the patient had subacute onset of psychiatric and motor disturbances with normal
findings for cerebrospinal fluid. N-methyl-D-aspartate receptor, anti-glutamate
type alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid 1 and 2, anti
contactin-associated protein-like 2, anti-gamma-aminobutyric acid receptor, anti
Leucine-rich, and glioma-inactivated 1 antibodies were negative but the anti
thyroperoxidase (antiTPO) level was greater than 998 IU/ML (n:0-9). Steroid
therapy was initiated as pulse therapy and maintained with 2-mg/kg/day dose with
the diagnosis of HE. He was symptom free for 6 months. In the follow-up period,
he had two recurrences which responded to steroid therapy. CONCLUSION: The common
causes of PSP are demyelinating, vascular, and motor neuron diseases and
congenital malformations of the opercular or insular cortex. However, there are
no cases of PSP developing after any autoimmune encephalitis. This case
highlights the importance of early detection of antiTPO antibodies with the
findings of PSP due to autoimmune encephalitis.
PMID- 29368309
TI - Are we treating osteoporotic fractures of the hip adequately? A Middle Eastern
cohort study.
AB - : Fragility hip fractures treated in a center in the Middle East were
retrospectively studied for adequacy of osteoporosis management. Of the 318
patients treated, over 70% did not have a structured investigation and about 30%
did not receive any therapeutic supplements. Our series showed a preventable 8.8%
secondary fracture rate. PURPOSE: To study the adequacy of evaluation and
treatment of osteoporosis after fragility fractures of the hip. The study also
attempts to estimate the prevalence of secondary fractures after the original
injury. METHODS: This is a retrospective evaluation of the electronic database to
search all the admissions for fractures of the hip in patients over 50 years at a
tertiary care Trauma and Orthopaedic center in the Sultanate of Oman. The study
period was defined as October 2010 to December 2015. Their case records, BMD
reports, and laboratory data were analyzed. Pharmacological interventions and the
documented compliance with such therapy were also recorded. RESULTS: Over the
study period, 318 fragility fractures of the hip were treated. Of these, 233
(73.3%) did not receive a DEXA scan and 94% did not have their vitamin D3 (vit D)
tested. About 29.9% percent cases did not receive any nutritional supplement or
therapeutic intervention though diagnosed as fragility fracture. Twenty-eight
patients (8.8%) reported for secondary fractures of the hip. Of these, 86% was
initiated on supplement after their index fracture though 78.6% had not had a BMD
study. CONCLUSIONS: Less than 27% patients receive BMD test following fragility
fracture of the hip and only 6% a vit D3 assay. Secondary fractures of the hip
tend to occur in approximately 9% of the cases in Oman; this seems to occur
equally in patients who have had as well as not had any calcium and vit D
supplements after the index injury.
PMID- 29368310
TI - Trajectories of quality of life following breast cancer diagnosis.
AB - PURPOSE: Although quality of life (QoL) improves over time for most breast cancer
survivors (BCS), BCS may show different patterns of QoL. This study sought to
identify distinct QoL trajectories among BCS and to examine characteristics
associated with trajectory group membership. METHODS: BCS (N = 653) completed
baseline assessments within 8 months of diagnosis. QoL was assessed by the
Functional Assessment of Cancer Therapy-Breast (FACT-B) at baseline and 6, 12,
and 18 months later. Finite mixture modeling was used to determine QoL
trajectories of the trial outcome index (TOI; a composite of physical well-being,
functional well-being, and breast cancer-specific subscales) and emotional and
social/family well-being subscales. Chi-square tests and F tests were used to
examine group differences in demographic, cancer-related, and psychosocial
variables. RESULTS: Unique trajectories were identified for all three subscales.
Within each subscale, the majority of BCS had consistently medium or high QoL.
The TOI analysis revealed only stable or improving groups, but the emotional and
social/family subscales had groups that were stable, improved, or declined.
Across all subscales, women in "consistently high" groups had the most favorable
psychosocial characteristics. For the TOI and emotional subscales, psychosocial
variables also differed significantly between women who started similarly but had
differing trajectories. CONCLUSIONS: The majority of BCS report good QoL as they
transition from treatment to survivorship. However, some women have persistently
low QoL in each domain and some experience declines in emotional and/or
social/family well-being. Psychosocial variables are consistently associated with
improving and/or declining trajectories of physical/functional and emotional well
being.
PMID- 29368312
TI - The Role of Bundle Size for Preventing Surgical Site Infections after Colorectal
Surgery: Is More Better?
PMID- 29368311
TI - Impact of chemotherapy relative dose intensity on cause-specific and overall
survival for stage I-III breast cancer: ER+/PR+, HER2- vs. triple-negative.
AB - PURPOSE: To investigate the impact of chemotherapy relative dose intensity (RDI)
on cause-specific and overall survival for stage I-III breast cancer: estrogen
receptor or progesterone receptor positive, human epidermal-growth factor
receptor negative (ER+/PR+ and HER2-) vs. triple-negative (TNBC) and to identify
the optimal RDI cut-off points in these two patient populations. METHODS: Data
were collected by the Louisiana Tumor Registry for two CDC-funded projects. Women
diagnosed with stage I-III ER+/PR+, HER2- breast cancer, or TNBC in 2011 with
complete information on RDI were included. Five RDI cut-off points (95, 90, 85,
80, and 75%) were evaluated on cause-specific and overall survival, adjusting for
multiple demographic variables, tumor characteristics, comorbidity, use of
granulocyte-growth factor/cytokines, chemotherapy delay, chemotherapy regimens,
and use of hormone therapy. Cox proportional hazards models and Kaplan-Meier
survival curves were estimated and adjusted by stabilized inverse probability
treatment weighting (IPTW) of propensity score. RESULTS: Of 494 ER+/PR+, HER2-
patients and 180 TNBC patients, RDI < 85% accounted for 30.4 and 27.8%,
respectively. Among ER+/PR+, HER2- patients, 85% was the only cut-off point at
which the low RDI was significantly associated with worse overall survival (HR =
1.93; 95% CI 1.09-3.40). Among TNBC patients, 75% was the cut-off point at which
the high RDI was associated with better cause-specific (HR = 2.64; 95% CI 1.09,
6.38) and overall survival (HR = 2.39; 95% CI 1.04-5.51). CONCLUSIONS: Higher RDI
of chemotherapy is associated with better survival for ER+/PR+, HER2- patients
and TNBC patients. To optimize survival benefits, RDI should be maintained >= 85%
in ER+/PR+, HER2- patients, and >= 75% in TNBC patients.
PMID- 29368313
TI - The use of point-of-care ultrasound to diagnose patent foramen ovale in elective
hip and knee arthroplasty patients and its association with postoperative
delirium.
AB - PURPOSE: Hip and knee arthroplasty surgeries are associated with embolism of
materials such as air, cement, and fat. Patent foramen ovale (PFO) is a common
congenital cardiac condition that has been reported to lead to paradoxical
embolism. This observational study aimed to investigate if the presence of a PFO
was associated with an increased risk of postoperative delirium in patients
undergoing primary elective hip or knee arthroplasties. METHOD: This was a
prospective cohort study at a tertiary teaching hospital. We enrolled patients
undergoing primary elective hip or knee arthroplasty who did not have any risk
factors for embolism or delirium. Bedside transthoracic echocardiography (TTE)
with a bubble study was performed on all patients to detect the presence of PFO.
The primary outcome was postoperative delirium as assessed by the standardized
Confusion Assessment Method. Secondary outcomes included the ease of performing a
TTE bubble study in the perioperative setting, the quality of the TTE images,
length of stay, major cardiovascular and neurologic complications, and effects of
anesthetic or analgesic management techniques on delirium. RESULTS: Two hundred
two patients completed the study. The median [interquartile range] duration of
stay was 2 [2-3] days. Only 16 patients (8%) had a positive bubble study.
Postoperative delirium was observed in only one patient. Major adverse events
were not seen. The inter-rater reliability for the TTE image quality scores was
fair (kappa statistic = 0.22). CONCLUSION: Given the very low incidence of PFO
and postoperative delirium in this study, we could not form any conclusions
regarding the impact of a PFO on important outcomes including delirium or other
major adverse events. No recommendation can be made regarding screening for PFO
in patients scheduled for lower extremity arthroplasty surgery. TRIAL
REGISTRATION: ClinicalTrials.gov (NCT02400892). Registered 27 March 2015.
PMID- 29368314
TI - Avoidable tracheal injury using a double-lumen endotracheal tube: a case report.
PMID- 29368315
TI - Intraoperative Valsalva maneuver: a narrative review.
AB - The Valsalva maneuver (VM) involves expiratory effort against a closed mouth
and/or glottis in the sitting or supine position with the increased intraoral and
intrathoracic pressure raised to 40 mmHg for 15-20 sec after which the pressure
is suddenly released and the breathing restored to normal. Complex cardiovascular
and other physiologic changes occur during the VM. The VM has been used for
diagnostic and therapeutic reasons as well as intraoperatively during specific
surgical procedures. Although the VM is usually safe, rare complications have
been reported. This review examines the published literature surrounding the VM
and explores the physiologic changes that occur during its performance. Attempts
have been made to understand its intraoperative uses and complications and how
these can be prevented.
PMID- 29368316
TI - Comparison of Sinonasal Complications of Microscopic and Endoscopic Approaches
for Transsphenoidal Hypophyseal Surgery: Prospective Study.
AB - AIM: To compare sinonasal complications after microscopic and endoscopic
approaches for pituitary adenomas. MATERIAL AND METHODS: At our clinic, sinonasal
complications occurred in 31 patients who underwent microscopic transsphenoidal
surgery between 2007 and 2014 and in 32 patients who underwent endoscopic
transsphenoidal surgery between 2014 and 2016. We statistically compared the
complications observed during endoscopic sinonasal examination performed by an
otorhinolaryngologist. RESULTS: Sinonasal pathology occurred in 22 of the 31
patients (70.9%) in the microscopy group (Group 1) and 19 of the 32 (59.3%) in
the endoscopy group (Group 2). Of the 31 patients in Group 1, 13 had nasal septal
perforation, 13 had nasal synechiae, three had anosmia, two had hyposmia and one
had saddle nose deformity. In Group 2, no patient had nasal septal perforation,
whereas eight had nasal synechiae, one had anosmia, 11 had hyposmia, and 4 had
infection. CONCLUSION: There were no statistically significant differences in
sinonasal complications (e.g. synechiae, anosmia, deformity, and sinusitis)
between the two groups. Although the perforation rate (especially for
perforations in the middle portion of the septum) was statistically greater in
Group 1 than in Group 2, the hyposmia rate was statistically greater in Group 2
than in Group 1.
PMID- 29368317
TI - Modified Frailty Index and Body Mass Index as Predictors of Adverse Surgical
Outcomes in Degenerative Spinal Disease.
AB - AIM: To reveal the potential relationships and interactive effects between
frailty and body weight and adverse surgical outcomes for degenerative spinal
disease. MATERIAL AND METHODS: Patients who underwent open surgery for
degenerative spinal disease in our hospital were included. Data on the patients
and disease variables was obtained. The primary independent variables included
body mass index (BMI) and modified Frailty Index (mFI). The primary outcome was
the Clavien-Dindo complications classification. After univariate analyses,
multinomial and ordinal regression analyses were applied with the Clavien-Dindo
complications grade as the dependent variable and the potential risk factors for
complications as independent variables, respectively, to determine the potential
interactive relationship between the two primary risk factors and their impact on
postoperative complications grading. RESULTS: A total of 1970 patients were
included in the study. The results showed that "underweight" could significantly
increase the odds ratios of "prefrail" and "frail" at the same time; however,
"obese" could only increase the odds ratio of "frail". The "underweight",
"obese", "prefrail" and "frail" subgroups could significantly increase the
grading of postoperative complications, respectively. If mFI and BMI were
combined as an interactive variable, the results showed that in the "underweight"
and "normal weight" subgroups, both "prefrail" and "frail" could significantly
increase the grading of postoperative complications; however, in the "overweight"
and "obese" subgroups, only the "overweight/frail", "obese/non-frail" and
"obese/frail" subgroups displayed significantly increased grading of
postoperative complications. CONCLUSION: The present results serve to stratify
susceptible patients with easily identified risk factors preoperatively.
PMID- 29368318
TI - Effects of Resveratrol on Inflammation and Apoptosis After Experimental Spinal
Cord Injury.
AB - AIM: To determine the effects of resveratrol on inflammation and apoptosis after
experimental spinal cord injury (SCI). MATERIAL AND METHODS: Eighteen Sprague
Dawley rats were randomly divided into three groups. All groups underwent
thoracic laminectomy. The first group received no other intervention. The second
and third groups suffered SCI via the aneurysm clip compression method, and
additionally the third group received resveratrol. After euthanizing the rats,
immunohistochemical analysis and biochemical parameters of tumor necrosis factor
alpha (TNF-?) and interleukin (IL)-1? were measured. RESULTS: The resveratrol
group had statistically significant lower levels of TNF-?, IL -1?, and terminal
deoxynucleotidyl transferasemediated dUTP nick-end labeling (TUNEL) positive
cells and higher number of glial and motor neuron cells. CONCLUSION: Resveratrol
proves to have remarkable neuroprotective effects on SCI in an experimental model
in addition to its proven cardioprotective effects.
PMID- 29368319
TI - Is Implant Washing and Wound Irrigation with Rifampicin Effective for Preventing
Surgical Site Infections in Lumbar Instrumentation?
AB - AIM: To determine whether the washing of implants and autogenous bone grafts with
rifampicin, and the irrigation of the surgical field using diluted rifampicin,
have any significant effect on the prevention of spinal implant infections.
MATERIAL AND METHODS: A total of 166 consecutive lumbar stenosis and
spondylolisthesis patients undergoing lumbar instrumentation between 2012 and
2016 were analyzed retrospectively. The patients were divided into two groups.
Group I (n=85) included patients whose implants were washed with rifampicin
immediately before insertion and whose surgical fields were irrigated with
diluted rifampicin immediately after insertion. Group II (n=81) included the
cases without rifampicin application. Both groups were matched for age, sex, body
mass index, and surgical indication. The infection rates of the groups were
compared during the first 2 postoperative years. RESULTS: No significant
difference was found between the infection rate in Group I and Group II. Only 1
case had surgical site infection (SSI) in Group I, a rate of 1.17% (1 of 85
patients), whereas 2 patients had SSI in Group II, a rate of 2.46% (2 of 81
patients). CONCLUSION: Peroperative washing of implants with rifampicin and
irrigation of the surgical field using diluted rifampicin have not been found to
be significantly effective in preventing or reducing spinal implant infections.
However, further studies with larger series need to be carried out to verify
these results.
PMID- 29368320
TI - Expression of Nestin, CD133 and Sox2 in Meningiomas.
AB - AIM: To investigate the expression of cancer stem cell markers in meningiomas.
MATERIAL AND METHODS: CD133, Nestin and Sox2 expression levels in 35 paraffin
embedded meningioma tissue samples were assessed using immunohistochemistry.
RESULTS: In this study, five cases were atypical (WHO Grade II), two were
anaplastic (WHO Grade III), and 28 were benign (WHO Grade I). Among atypical and
anaplastic meningiomas, all were positive for Nestin and CD133, and 4 were
positive for Sox2. Of the 28 benign meningiomas, 23 were positive for Nestin, 11
were positive for CD133, and none were positive for Sox2. In addition, Nestin and
CD133 were expressed at significantly higher levels in the non-benign group than
in the benign group. CONCLUSION: Nestin, CD133 and Sox2 expression levels may be
correlated with the WHO pathological grade. Specifically, more aggressive
meningiomas are characterized by higher positivity rates and higher levels of
Nestin, CD133 and Sox2 expression in positive cells.
PMID- 29368321
TI - Radiation-Induced Meningiomas: A Series of Consecutive Four Patients and Review
of the Literature.
AB - AIM: To assess the clinical outcomes of treatment for radiation-induced
meningiomas. MATERIAL AND METHODS: Medical records of all cranial meningioma
cases which diagnosed or/and underwent surgery in our hospital, during a 7-year
period from 2009 to 2016 were retrospectively reviewed. All radiation-associated
meningiomas were selected as the core sample used for this study. RESULTS: This
series included one female and three male patients with mean age 47.3+/-16.3
years on average. The mean preoperative course was less than three months. The
most common presenting symptom was headache (100%). Three patients had alopecia
and thin scalp skin. The mean age when received radiotherapy was 18.5+/-13.7. The
mean latency period was 19.2+/-7.4 years. The first malignancies were two
desmoplastic medulloblastomas (13-year-old female, 65Gy), (11-year-old male,
54Gy) and one oligodendroglioma-gradeII (39-year-old male, 30Gy). One patient
received radiotherapy for chronic otitis (10-year-old male, Low-dose). The
histopathological examinations were revealed (fibroblastic-gradeI, Ki-67 was 2
3%, 25.5years, cerebellopontine angle), (atypical meningioma-gradeII, ki-67 was
8%, 21years, frontal) and (transitional-gradeI, ki-67 was 3-4%, 11years,
frontal), respectively. Fourth patient had three radiation-induced meningiomas
and 27 radiation-induced cavernomas. This patient had treated using gamma knife.
The mean follow-up period was 34.8+/-39.4 months. One patient had rhinorrhea,
another one was experienced CSF fistula. Both of them were re-operated. The
former was lost after involved with meningitis on postoperative 31st day.
CONCLUSION: Most radiation-induced meningiomas are low-grade, but they have high
trend to recurrence. Close follow-up and yearly MRI would minimize their
morbidity rate. To reduce fatal complications, surgery has to plan with plastic
surgeons.
PMID- 29368322
TI - Variation and Anomalies of the Posterior Cerebral Artery: Review and Pilot Study.
AB - Variations and anomalies of the posterior cerebral artery (PCA) have several
clinical implications, including assisting in understanding the clinical signs of
a stroke. Anomalies have been described previously; however, few reports mention
the origins, absence, duplication and triplication of the cortical branches.
Furthermore, the PCA branching pattern has not been adequately described. The aim
of this study is to describe the anatomy and anomalies of the PCA. Results of a
pilot study were additionally included. The quantity, origin, diameter and length
of the PCA cortical branches were described in this review, as well as the
branching pattern and the anomalies. Accordingly, the pilot study reported on
these aspects. In the pilot study it was evident that the description of the
branching pattern is not the "normal" pattern, since it was only observed in one
case. This pattern was re-evaluated and three groups were described;
monofurcation, bifurcation and trifurcation. Furthermore, one case of a
fenestration was observed. Aneurysms tend to form at branching points, thus
knowledge of the branching pattern can aid in detection of ruptured and un
ruptured aneurysms in this region. This review outlined several gaps in the
literature, and a pilot study was included to fill some of these gaps. Future
research should especially focus on the branching pattern of the PCA. Possible
sex, age and population differences may also exist.
PMID- 29368323
TI - Development of a giant cornu cutaneum in a patient with a coincidental foreknown
intracranial meningioma.
AB - The diagnostic spectrum for scalp lesions is extensive and comprises either
benign or malignant features. Cornu cutaneum (CC) is a well-recognized condition;
however, its origin and natural course are not always obvious. We present the
case of a 78-year-old patient who was diagnosed with intracranial meningioma in
2014 and who subsequently refused treatment. He presented a new scalp lesion,
resembling a horn, in the vertex region 1.5 years after his last follow-up. The
lesion was excised, and the patient was histopathologically diagnosed as having
CC caused by squamous cell carcinoma. CC can be easily recognized when it
resembles animal horn; however, it can assume different shapes that require a
physician to be vigilant. Moreover, a lesion's benign or malignant nature is not
obvious in all cases. Hard, protruding scalp lesions should be examined for CC,
and a histopathological evaluation should be performed to make a definitive
diagnosis.
PMID- 29368324
TI - How to Reduce Stress on the Pedicle Screws In Thoracic Spine? Importance of Screw
Trajectory: A Finite Element Analysis.
AB - AIM: With wide application of the pedicle screw, clinical concerns have focused
on accurate placement of the screws. Anatomic trajectory (AT) and straightforward
trajectory (ST) are two popular techniques of pedicle screw insertion. Herein, we
investigated the biomechanical comparison of thoracic transpedicular screw
trajectories on the sagittal plane. To the best of our knowledge, this is the
first comparative finite element analysis (FEA) on the different insertion
trajectories of the pedicle screws in the thoracic spine. MATERIAL AND METHODS: A
three-dimensional, nonlinear FEA model of T8 through T9 was used. AT and ST
models of the transpedicular screws were used in the intact FEA model. The von
Mises stress and range of motion (ROM) of the transpedicular screws were
evaluated. RESULTS: The difference in ROM between both techniques was negligible.
In lateral bending and axial rotation, FEA showed decrease in stress by 25% and
8%, respectively, when pedicle screws were placed using AT. CONCLUSION: AT
decreased the von-Mises stress of the pedicle screws, thereby reducing the rates
of screw breakage and fatigue risks. In addition, we believe that AT could
protect against screw loosening because the von-Mises stress of the internal
fixation was scattered.
PMID- 29368325
TI - [Effectiveness of virtual immersion programmes in patients with Parkinson's
disease. A systematic review].
AB - INTRODUCTION: Parkinson disease (PD) is the second most common neurodegenerative
disease. Virtual reality (VR) is being used in rehabilitation of neurological
patients. To analyze the VR systems' therapeutically effectiveness through PD
diagnosed subjects with variables of motor, quality of life and cognition.
PATIENTS AND METHODS: Electronics database were used to look for articles:
Medline, EMBASE, PEDro, CINAHL and Cochrane. The inclusion criteria were:
randomized control trial (RCT) performed in PD with at least one VR variable
included in the therapeutically treatment and diagnosed PD subjects. Four RCT
were chosen showing all good methodology quality. Concordance between evaluators
was moderate-high. VR was the main treatment in all of them. RESULTS: VR was more
effective in balance improvement in PD subjects than conventional physiotherapy
in two RCT. VR was not more effective in balance improvement in PD subjects than
conventional physiotherapy in two RCT. Contradictory evidences where showed
between the effectiveness of the VR programs versus conventional programs in the
effectiveness of balance treatment with PD subjects. Non-motor variables
improvement was not greater in subjects with VR treatments versus the ones with
conventional physiotherapy in the four RCT. CONCLUSIONS: The treatments with VR
cannot be assumed as more effectives than conventional physiotherapy through PD
subjects in motor and psychosocial variables.
PMID- 29368326
TI - [Spanish translation and validation of the neuromuscular module of the Pediatric
Quality of Life Inventory (PedsQL): evaluation of the quality of life perceived
by the parents of 2-4-year-old children with neuromuscular diseases].
AB - INTRODUCTION: Paediatric neuromuscular disorders, which negatively impact on
children's health-related quality of life (HRQoL), are a frequent cause of
parental consultation with a physiotherapist. Parents' stress overload triggers a
poor perception of their children's HRQoL. For this reason, it is essential to
have psychometrically sound instrument to measure parent's perceptions such as
the Pediatric Quality of Life Inventory (PedsQL) Neuromuscular Module. AIM: To
assess the validity and reliability of the Spanish version of PedsQL
Neuromuscular Module for parent perceived quality of life of children aged 2-4
with neuromuscular disorders. SUBJECTS AND METHODS: The cognitive validity of the
Spanish version of PedsQL was carried out with Mapi Research Trust permission.
Subsequently, a test-retest was performed with 42 parent volunteers of children
aged 2-4 with paediatric neuromuscular disorders. This allowed an evaluation of
PedsQL intra-observer concordance and internal consistency. Finally, construct
validity was evaluated through factor analysis. RESULTS: The Cronbach alpha and
all correlation intra-observer coefficients were higher than 0.8, indicating
excellent validity and reliability. The construct validity analysis presented
63.5% variability and such analysis suggested that a seven-dimension construct
might be a better fit than three. CONCLUSIONS: The Spanish version of PedsQL
Neuromuscular Module for parent perceived quality of life of children aged 2-4
presented excellent validity and reliability.
PMID- 29368327
TI - [Neuropsychological alterations in narcolepsy with cataplexy: a review].
AB - AIM: To describe the current state of the art about the main cognitive deficits
that appear in patients affected with narcolepsy with cataplexy (NT1).
DEVELOPMENT: The majority of the studies have found that the most impaired
cognitive functions are attention (especially maintenance of attention or
sustained attention), speed of information processing and executive functions (in
particular, verbal fluency and resistance to the stimuli interference). These
data indicate the difficulty to use the available cognitive resources of the
patient. These alterations are similar to those present in other hypersomnias,
although they might differ in intensity. Moreover, most of the studies emphasize
a high prevalence of different depressive and anxious disorders. It has been
suggested that predisposition to anxiety could be part of the characteristic
phenotype of these patients. Anxiety could act either as a trigger for the
disease or a consequence of the disease. CONCLUSIONS: Cognitive deficits in
patients with NT1 appear in long lasting and/or monotonous tasks and in high
cognitive demanding tasks. The presence of depressive symptomatology, together
with excessive daytime sleepiness in these patients could affect their
performance in neuropsychological test, and it might be related to their
subjective perception of the cognitive deficits.
PMID- 29368328
TI - ['The man with the withered hand': iconography of the saturnine palsy?]
AB - INTRODUCTION: The synoptic gospels tell about a man who cannot extend his hand,
that appeared dried. The description is consistent with radial palsy. Christian
artists depicted this gospel story producing a rich material, unexplored from the
medical point of view. AIM: To analyze the mentioned iconography verifying the
depicted lesions and their possible causes. DEVELOPMENT: Six representations of
the evangelical text pertaining to the period comprehended between 10th and 17th
centuries were selected. Four belong to illuminated gospels, one is a mosaic and
the other one is a fresco. In three of them the figure with the palsy hand
appeared holding the affected member with the opposite hand; another one has a
foot drop. The description of postures that are characteristic of extensor
palsies points out that these artists must have known real patients. Saturnism,
frequent in Europe during the period in which these works were created, was
probably the cause of the paralysis in these cases. Another hypothesis was that
the artists could have suffered themselves saturnism, caused by manipulating
paints with high lead content; this could not be confirmed. CONCLUSIONS: The
iconographies of 'the man with the withered hand' are realistic representations
of motor paralysis consistent with saturnine neuropathy. These works preceded for
a long time the best medical descriptions of such condition.
PMID- 29368329
TI - [Hereditary primary microcephaly type 5. Not everything is Zika virus].
PMID- 29368330
TI - Mortality Risk Along the Frailty Spectrum: Data from the National Health and
Nutrition Examination Survey 1999 to 2004.
AB - OBJECTIVES: To determine the relationship between frailty and overall and
cardiovascular mortality. DESIGN: Longitudinal mortality analysis. SETTING:
National Health and Nutrition Examination Survey (NHANES) 1999-2004.
PARTICIPANTS: Community-dwelling older adults aged 60 and older (N = 4,984; mean
age 71.1 +/- 0.19, 56% female). MEASUREMENTS: We used data from 1999-2004 cross
sectional NHANES and mortality data from the National Death Index, updated
through December 2011. An adapted version of Fried's frailty criteria was used
(low body mass index, slow walking speed, weakness, exhaustion, low physical
activity). Frailty was defined as persons meeting 3 or more criteria, prefrailty
as meeting 1 or 2 criteria, and robust (reference) as not meeting any criteria.
The primary outcome was to evaluate the association between frailty and overall
and cardiovascular mortality. Cox proportional hazard models were used to
evaluate the association between risk of death and frailty category adjusted for
age, sex, race, smoking, education, coronary artery disease, heart failure,
nonskin cancer, diabetes, and arthritis. RESULTS: Half (50.4%) of participants
were classified as robust, 40.3% as prefrail, and 9.2% as frail. Fully adjusted
models demonstrated that prefrail (hazard ratio (HR) = 1.64, 95% confidence
interval (CI) = 1.45-1.85) and frail (HR = 2.79, 95% CI = 2.35-3.30) participants
had a greater risk of death and of cardiovascular death (prefrail: HR = 1.84, 95%
CI = 1.45-2.34; frail: HR = 3.39, 95% CI = 2.45-4.70). CONCLUSION: Frailty and
prefrailty are associated with increased risk of death. Demonstrating the
association between prefrail status and mortality is the first step to
identifying potential targets of intervention in future studies.
PMID- 29368331
TI - Intellectual developmental disorder with cardiac arrhythmia syndrome in a child
with compound heterozygous GNB5 variants.
AB - Identification of a novel compound heterozygous of GNB5 in a patient with
intellectual developmental disorder with cardiac arrhytmia (IDDCA), from non
consaguineous family. Three-dimensional modelling and in silico predictions
suggest that GNB5 variants are causative of the phenotype, extending the number
of IDDCA patients so far identified.
PMID- 29368332
TI - Head and neck cancers associated with exposure to the September 11, 2001 World
Trade Center terrorist attacks.
AB - Exposure at the World Trade Center (WTC) terrorist collapse site on September 11,
2001 has been associated with increased cancer risk, though observational studies
have identified very few cases of head and neck cancer (HNC) in exposed
individuals. Eighty seven patients were identified who presented to our
institution with HNC diagnosed from 2002 to 2017 who reported WTC exposure. The
annual number and proportion of WTC-exposed HNC patients has been steadily
increasing since 2002, with most cancers developing >10 years following the
event. Furthermore, WTC-exposed patients with human papillomavirus (HPV)-positive
OPC experienced significantly inferior outcomes compared with non-WTC exposed
patients with HPV+ OPC (disease free survival 80.1% vs. 65.6% at 4 years, p =
0.04). This single institution study cannot establish evidence of exposure
mediated causation but higher recurrence rates in the WTC-exposed HPV+ OPC
population suggest a treatment refractory tumor biology and possible exposure
synergism with HPV-mediated oncogenesis.
PMID- 29368333
TI - Corrigendum.
PMID- 29368334
TI - Medical Schools in Fragile States: Implications for Delivery of Care.
AB - OBJECTIVE: To report on medical schools in fragile states, countries with severe
development challenges, and the impact on the workforce for health care delivery.
DATA SOURCES: 2007 and 2012 World Bank Harmonized List of Fragile Situations;
1998-2012 WHO Global Health Observatory; 2014 World Directory of Medical Schools.
DATA EXTRACTION: Fragile classification established from 2007 and 2012 World Bank
status. Population, gross national income, health expenditure, and life
expectancy were 2007 figures. Physician density was most recently available from
WHO Global Health Observatory (1998-2012), with number of medical schools from
2014 World Directory of Medical Schools. STUDY DESIGN: Regression analyses
assessed impact of fragile state status in 2012 on the number of medical schools
in 2014. PRINCIPAL FINDINGS: Fragile states were 1.76 (95 percent CI 1.07-2.45)
to 2.37 (95 percent CI 1.44-3.30) times more likely to have fewer than two
medical schools than nonfragile states. CONCLUSIONS: Fragile states lack the
infrastructure to train sufficient numbers of medical professionals to meet their
population health needs.
PMID- 29368335
TI - Morphine and pholcodine-specific IgE have limited utility in the diagnosis of
anaphylaxis to benzylisoquinolines.
AB - BACKGROUND: Investigation of immediate hypersensitivity reactions in the
perioperative setting involves skin testing and measurement of specific IgE
(sIgE) as standard practice. In the case of the neuromuscular blocking agents
(NMBAs), the main allergenic epitopes have been shown to be substituted ammonium
groups. Commercial assays are available for detection of sIgE to these epitopes
using morphine and pholcodine substrates but questions have been raised about the
effectiveness of these assays in the diagnosis of benzylisoquinoline anaphylaxis.
This study was therefore undertaken to assess the effectiveness of these assays
in the diagnosis of hypersensitivity reactions to this group of NMBAs. METHODS:
Analysis was carried out on all available results for patients assessed at the
Royal North Shore Hospital Anaesthetic Allergy Clinic during the period June 2009
to June 2016. Standardised intradermal skin tests were performed with a panel of
NMBAs. Measurement of sIgE to morphine and pholcodine was performed via the
Phadia ImmunoCAP(r) system. RESULTS: For all patients with positive skin test
results to NMBAs which included a benzylisoquinoline NMBA (n = 24), 75% exhibited
negative sIgE to both morphine and pholcodine. Where patients were reactive to
benzylisoquinoline NMBAs alone (n = 12), 100% exhibited negative sIgE results,
indicating 0% sensitivity of the assays relative to skin testing, in this
subgroup. CONCLUSION: Use of sIgE testing to morphine and pholcodine in the
assessment of NMBA immediate hypersensitivity is a valuable tool particularly in
the case of reactions to the aminosteroid NMBAs. However, these assays are
unreliable in detecting sensitisation to benzylisoquinoline NMBAs.
PMID- 29368336
TI - Pulsed-dye laser therapy for carcinoma in situ of the penis.
PMID- 29368337
TI - A profile of Propionibacterium acnes resistance and sensitivity at a tertiary
dermatological centre in Singapore.
PMID- 29368338
TI - Quantitative proteomics reveals that miR-222 inhibits erythroid differentiation
by targeting BLVRA and CRKL.
AB - miR-222 plays an important role in erythroid differentiation, but the potential
targets of miR-222 in the regulation of erythroid differentiation remain to be
determined. The target genes of miR-222 were identified by proteomics combined
with bioinformatics analysis in this study. Thirteen proteins were upregulated,
and 13 were downregulated in K562 cells following transfection with miR-222
inhibitor for 24 and 48 hours. Among these proteins, BLVRA and CRKL were
upregulated after transfection of miR-222 inhibitor in K562 cells and human CD34+
HPCs. Moreover, miR-222 mimics reduced and miR-222 inhibitor enhanced the mRNA
and protein levels of both BLVRA and CRKL. Luciferase assay showed that miR-222
directly targeted 3'-UTR of BLVRA and CRKL. In addition, overexpression of either
BLVRA or CRKL or both increased the erythroid differentiation of K562 cells,
while silencing of either BLVRA or CRKL or both by siRNA significantly attenuated
hemin-induced erythroid differentiation of K562 cells. Our results indicated that
BLVRA and CRKL are targets of miR-222.
PMID- 29368340
TI - Serum irisin concentrations in lean adolescents with polycystic ovary syndrome.
AB - OBJECTIVE: To explore differences in irisin concentrations between lean
adolescents with PCOS and age- and body mass index (BMI)-matched controls and
examine the associations of irisin with core features of the syndrome. DESIGN:
Cross-sectional study. PATIENTS: Lean females with PCOS, aged 13-21 years.
MEASUREMENTS: Physical, hormonal and sonographic assessment. Irisin
concentrations were measured with ELISA. RESULTS: Participants included in total
39 sedentary females (mean +/- SD; age 17.3 +/- 2.1 years, BMI 20.7 +/- 1.3 Kg/m2
), 23 adolescents with PCOS and 16 controls. Adolescents with PCOS compared to
controls had significantly elevated concentrations of fasting serum irisin (mean
+/- SD; PCOS, 1.7 +/- 1.0 MUg/mL vs controls, 1.0 +/- 0.4 MUg/mL; P = .007),
luteinizing hormone (LH), oestradiol, testosterone, Delta4-androstenedione, 17
hydroxyprogesterone, glucose, as well as free androgen index, Ferriman-Gallwey
score and mean ovarian volume (MOV). For the total sample, circulating irisin was
positively correlated with MOV (r = .332, P = .041), glucose (r = .428, P =
.007), insulin (rs = .369, P = .021) and HOMA-IR (rs = .422, P = .007) and
negatively correlated with QUICKI (r = -.329, P = .041). Follicle-stimulating
hormone (B = 0.295, Beta = .342, P = .042) and MOV (B = 0.182, Beta = 0.821, P =
.001) were positive predictors, and LH (B = -0.108, Beta = -0.523, P = .010) and
testosterone (B = -0.431, Beta = -0.457, P = .032) were negative predictors of
irisin concentrations, whereas irisin positively predicted fasting glucose (B =
0.262, Beta = 0.428, P = .007). In the PCOS group, irisin concentrations were
positively correlated with HOMA-IR (rs = .416, P = .048) but negatively
correlated with LH (rs = -.499, P = .015), testosterone (r = -.585, P = .003),
free androgen index (r = -.426, P = .048) and Ferriman-Gallwey score (r = -.533,
P = .015). CONCLUSIONS: Irisin was associated with the adolescents' metabolic and
reproductive characteristics and the hyperandrogenic phenotype of the syndrome.
Much research is needed to ascertain mechanisms of elevated serum irisin in
adolescent PCOS.
PMID- 29368339
TI - Anatomical localization and clinical impact of sentinel lymph nodes based on
patterns of pelvic lymphatic drainage in clinically localized prostate cancer.
AB - BACKGROUND: Although sentinel lymph node in prostate has been generating renewed
interest, its significance remains controversial due to inadequate evidence.
METHODS: We reviewed a prospective cohort of 50 consecutive patients with
intermediate- to high-risk localized prostate cancer who had undergone
laparoscopic radical prostatectomy. Sentinel lymph node biopsy by fluorescence
detection using intraoperative imaging with indocyanine green and backup extended
pelvic lymph node dissection were conducted prior to prostatectomy.
Intraoperative and pathological findings were elaborated and compared for
confirmation. RESULTS: Sentinel lymph nodes were successfully identified in 47
patients (94%). A median of four sentinel lymph nodes was detected per patient.
Lymph node metastasis was confirmed in six patients (12%), all of whom had
positive sentinel lymph nodes. Three typical pathways of lymphatic drainage
related to sentinel lymph nodes from the prostate were recognized. Ninety-one
percent of the positive sentinel lymph nodes (10/11) were located at two
predominant sites along these characteristic lymphatic pathways. One site was the
junctional nodes, located at the junction between internal and external iliac
vessels. The other was the distal internal iliac nodes, located along the
inferior vesical artery. CONCLUSIONS: Over 90% of positive sentinel lymph nodes
were identified at two predominant sites. Priority should be given to the removal
of these sentinel lymph nodes, which are located closer to the prostate, in
pelvic lymph node dissection. Particular attention should be paid to identifying
these nodes to reduce the possibility of overlooking lymph node metastasis.
PMID- 29368341
TI - Intraductal/ductal histology and lymphovascular invasion are associated with
germline DNA-repair gene mutations in prostate cancer.
AB - BACKGROUND: Germline mutations in genes mediating DNA repair are common in men
with recurrent and advanced prostate cancer, and their presence may alter
prognosis and management. We aimed to define pathological and clinical
characteristics associated with germline DNA-repair gene mutations, to facilitate
selection of patients for germline testing. METHODS: We retrospectively evaluated
150 unselected patients with recurrent or metastatic prostate cancer who were
offered germline genetic testing by a single oncologist using a clinical-grade
assay (Color Genomics). This platform utilizes next-generation sequencing from
saliva to interrogate 30 cancer-susceptibility genes. Presence or absence of a
deleterious germline mutation was correlated with histological and clinical
characteristics, and with family history of cancer. All patients with DNA
sequence alterations (pathogenic or variants) were offered genetic counseling.
RESULTS: Between July 2016 and July 2017, 150 consecutive patients underwent
germline testing; pathogenic mutations were identified in 21 men (14%). Among
those with germline mutations, 9 (43%) were in BRCA2, 3 (14%) were in ATM, 3
(14%) were in CHEK2, and 2 (9%) were in BRCA1. While there were no associations
between germline mutations and age, tumor stage, Gleason sum or family history;
mutation-positive patients had lower median PSA levels at diagnosis (5.5 vs 8.6
ng/mL, P = 0.01) and unique pathologic features. Namely, men with germline
mutations were more likely to harbor intraductal/ductal histology (48% vs 12%, P
< 0.01) and lymphovascular invasion (52% vs 14%, P < 0.01). Finally, 44% of
patients with a positive germline test would not have been offered genetic
screening according to current National Comprehensive Cancer Network (NCCN)
guidelines. CONCLUSIONS: Presence of intraductal/ductal histology and
lymphovascular invasion appear to be associated with pathogenic germline DNA
repair gene mutations in men with prostate cancer, and identification of these
features may help to select patients for germline testing. NCCN guidelines may be
inadequate in predicting which prostate cancer patients should undergo genetic
screening.
PMID- 29368342
TI - Long-term effect of medical treatment of diarrhoea in 377 patients with SeHCAT
scan diagnosed bile acid malabsorption from 2003 to 2016; a retrospective study.
AB - BACKGROUND: Excessive amounts of bile acids entering the colon due to bile acid
malabsorption cause chronic bile acid diarrhoea. Diagnosis is possible by
measuring the retention fraction of orally ingested 75 Selenium homotaurocholic
acid (SeHCAT). The knowledge of long-term effects of medical treatment is sparse.
AIM: To describe diarrhoea, adherence to treatment, treatment effects and quality
of life in a large, well-defined cohort of patients with bile acid diarrhoea.
METHODS: A retrospective survey was performed among 594 patients with bile acid
malabsorption verified by SeHCAT scans at our unit between 2003 and 2016.
Questionnaires about medical history, diarrhoea, use of medication, and quality
of life scores were mailed to all patients. RESULTS: Among 594 patients 377 (69%)
responded. Among respondents, 121 (32%) had bile acid diarrhoea due to ileal
disease or resection (type 1), 198 (52%) idiopathic bile acid diarrhoea (type 2)
and 58 (16%) bile acid diarrhoea due to other non-ileal disease, mainly
cholecystectomy (type 3). At follow-up, half of the patients, 184 (50%), reported
improvement of diarrhoea. However, 273 patients (74%) still reported diarrhoea
and 234 (62%) regularly used anti-diarrhoeal medication. In spite of treatment,
235 (64%) considered reduced quality of life by diarrhoea and 184 (50%) reported
that diarrhoea was unaltered or worse than before established diagnosis.
CONCLUSION: Many patients with bile acid diarrhoea continue to have bothersome
diarrhoea in spite of correct diagnosis and treatment.
PMID- 29368343
TI - Ecological characterization of a tick community across a landscape gradient
exhibiting differential anthropogenic disturbance in the Atlantic Forest
ecoregion in Argentina.
AB - An ecological analysis of a tick (Ixodida: Ixodidae) community across a landscape
gradient presenting differential anthropogenic disturbance in the Atlantic Forest
ecoregion in Argentina was performed. Ticks were collected from vegetation and
hosts between September 2014 and August 2016. A total of 12 697 free-living ticks
and 3347 specimens from hosts were collected, including 317 ticks infesting
humans. The values obtained show considerable species diversity in the forest
environment accompanied by low equitability. The similarity index derived from a
comparison of forest and agricultural environments was higher than that
calculated by comparing forest and urban environments. The data suggest that
although a cycle of one generation per year is apparent in some species, more
than one cohort may co-exist within the populations of some of these species.
Well-marked patterns of the seasonal distribution of free-living tick species
emerged in environments with no anthropic modification. The results indicate that
forest environments are more suitable habitats than agricultural and urban
environments for many species of native tick, but are unsuitable for exotic
species that have successfully established in environments that have been
modified by man.
PMID- 29368344
TI - Association of toothbrushing and proximal cleaning with periodontal health among
Korean adults: Results from Korea National Health and Nutrition Examination
Survey in year 2010 and 2012.
AB - AIM: Toothbrushing (TB), dental flossing (DF) and inter-dental brushing (IDB) are
regarded as fundamental self-care methods for periodontal health. Few evidences
on its effectiveness on periodontal health are available. Hence, this study aimed
to evaluate the association of TB, DF, IDB and interaction effect with
periodontal health. MATERIALS AND METHODS: The nationally representative 4,766
Korean adults aged 19 years and older were cross-sectionally surveyed in 2010 and
2012. Periodontal health was defined as Community Periodontal Index 1-2 for
gingivitis and 3-4 for periodontitis. The information about variables was from
interview and blood analyses. Multivariable logistic regression analyses and the
interaction effect between TB and proximal cleaning (PC: DF and/or IDB) were
applied. RESULTS: Toothbrushing thrice or more per day and DF were associated
with a lower prevalence of periodontitis by both 44%, while the preventive
fraction of DF on gingivitis was 30%. The preventive fraction of interaction
effects between TB thrice or more and PC were 78% for periodontitis and 68% for
gingivitis among 40-59 year age group. CONCLUSIONS: Toothbrushing and PC are
independently associated with periodontal health. Hence, periodontists should
recommend TB thrice or more per day and PC such as DF and IDB to promote
periodontal health.
PMID- 29368345
TI - Soil temperature effects on the structure and diversity of plant and invertebrate
communities in a natural warming experiment.
AB - Global warming is predicted to significantly alter species physiology, biotic
interactions and thus ecosystem functioning, as a consequence of coexisting
species exhibiting a wide range of thermal sensitivities. There is, however, a
dearth of research examining warming impacts on natural communities. Here, we
used a natural warming experiment in Iceland to investigate the changes in above
ground terrestrial plant and invertebrate communities along a soil temperature
gradient (10 degrees C-30 degrees C). The alpha-diversity of plants and
invertebrates decreased with increasing soil temperature, driven by decreasing
plant species richness and increasing dominance of certain invertebrate species
in warmer habitats. There was also greater species turnover in both plant and
invertebrate communities with increasing pairwise temperature difference between
sites. There was no effect of temperature on percentage cover of vegetation at
the community level, driven by contrasting effects at the population level. There
was a reduction in the mean body mass and an increase in the total abundance of
the invertebrate community, resulting in no overall change in community biomass.
There were contrasting effects of temperature on the population abundance of
various invertebrate species, which could be explained by differential thermal
tolerances and metabolic requirements, or may have been mediated by changes in
plant community composition. Our study provides an important baseline from which
the effect of changing environmental conditions on terrestrial communities can be
tracked. It also contributes to our understanding of why community-level studies
of warming impacts are imperative if we are to disentangle the contrasting
thermal responses of individual populations.
PMID- 29368346
TI - Early risk pathways to physical versus relational peer aggression: The interplay
of externalizing behavior and corporal punishment varies by child sex.
AB - Children who aggress against their peers may use physical or relational forms,
yet little research has looked at early childhood risk factors and
characteristics that uniquely predict high levels of relational versus physical
aggression in preadolescence. Accordingly, the main aim of our study was to link
early corporal punishment and externalizing behavior to children's physical and
relational peer aggression during preadolescence and to examine how these
pathways differed by sex. Participants were 193, 3-year-old boys (39%) and girls
who were reassessed following the transition to kindergarten (5.5 years) and
preadolescence (10.5 years). A series of autoregressive, cross-lagged path
analyses were conducted to examine the relationships between child externalizing
problems and corporal punishment at ages 3 and 5.5 years, and their association
with physical and relational aggression at age 10.5. Multiple group analysis was
used to determine whether pathways differed by sex. Three developmental pathways
were identified: (i) direct associations between stable childhood externalizing
problems and later physical aggression; (ii) a direct pathway from early corporal
punishment to preadolescent relational and physical peer aggression; and (iii) an
indirect pathway from early corporal punishment to later physical aggression via
continuing externalizing problems in middle childhood. Child sex moderated the
nature of these pathways, as well as the direction of association between risk
and outcome variables. These data advance our understanding of the etiology of
distinct forms of peer aggression and highlight the potential for more
efficacious prevention and intervention efforts in the early childhood years.
PMID- 29368347
TI - RNA sequencing reveals distinct gene expression patterns during the development
of parasitic larval stages of the salmon louse (Lepeophtheirus salmonis).
AB - The salmon louse (Lepeophtheirus salmonis), an ectoparasitic copepod on
salmonids, has become a major threat for the aquaculture industry. In search for
new drugs and vaccines, transcriptome analysis is increasingly used to find
differently regulated genes and pathways in response to treatment. However, the
underlying gene expression changes going along with developmental processes could
confound such analyses. The life cycle of L. salmonis consists of eight stages
divided by moults. The developmental rate of salmon lice on the host is not
uniform. Individual- and sex-related differences are found leading to individuals
of unlike developmental status at same sampling time point after infection. In
this study, we analyse L. salmonis from a time series by RNA sequencing applying
a method of separating individuals of different instar age independent of
sampling time point. Lice of four stages divided into up to four age groups
within the stage were analysed in triplicate (total of 66 samples). Gene
expression analysis shows that the method for sorting individuals was successful.
Many genes show cyclic expression patterns over the moulting cycles. Overall gene
expression differs more between lice of different age within the same stage than
between lice of different stage but same instar age.
PMID- 29368349
TI - Wrinkle and roughness measurement by the Antera 3D and its application for
evaluation of cosmetic products.
AB - BACKGROUND: Skin topographic measurements are of paramount importance in the
field of dermo-cosmetic evaluation. The aim of this study was to investigate how
the Antera 3D, a multi-purpose handheld camera, correlates with other topographic
techniques and changes in skin topography following the use of a cosmetic
product. METHODS: Skin topographic measurements were collected on 26 female
volunteers aged 45-70 years with the Antera 3D, the DermaTOP and image analysis
on parallel-polarized pictures. Different filters for analysis from the Antera 3D
were investigated for repeatability, correlations with other imaging techniques
and ability to detect improvements of skin topography following application of a
serum. RESULTS: Most of Antera 3D parameters were found to be strongly correlated
with the DermaTOP parameters. No association was found between the Antera 3D
parameters and measurements on parallel-polarized photographs. The measurements
repeatability was comparable among the different filters for analysis, with the
exception of wrinkle max depth and roughness Rt. Following a single application
of a tightening serum, both Antera 3D wrinkles and texture parameters were able
to record significant improvements, with the best improvements observed with the
large filter. CONCLUSION: The Antera 3D demonstrated its relevance for cosmetic
product evaluation. We also provide recommendations for the analysis based on our
findings.
PMID- 29368348
TI - A calcium optimum for cytotoxic T lymphocyte and natural killer cell
cytotoxicity.
AB - KEY POINTS: Cytotoxic T lymphocytes (CTLs) and natural killer (NK) cells are
required to eliminate cancer cells. We analysed the Ca2+ dependence of CTL and NK
cell cytotoxicity and found that in particular CTLs have a very low optimum of
[Ca2+ ]i (between 122 and 334 nm) and [Ca2+ ]o (between 23 and 625 MUm) for
efficient cancer cell elimination, well below blood plasma Ca2+ levels. As
predicted from these results, partial down-regulation of the Ca2+ channel Orai1
in CTLs paradoxically increases perforin-dependent cancer cell killing. Lytic
granule release at the immune synapse between CTLs and cancer cells has a Ca2+
optimum compatible with this low Ca2+ optimum for efficient cancer cell killing,
whereas the Ca2+ optimum for CTL migration is slightly higher and proliferation
increases monotonously with increasing [Ca2+ ]o . We propose that a partial
inhibition of Ca2+ signals by specific Orai1 blockers at submaximal
concentrations could contribute to tumour elimination. ABSTRACT: Cytotoxic T
lymphocytes (CTLs) and natural killer (NK) cells are required to protect the
human body against cancer. Ca2+ is a key metabolic factor for lymphocyte function
and cancer homeostasis. We analysed the Ca2+ dependence of CTL and NK cell
cytotoxicity against cancer cells and found that CTLs have a bell-shaped Ca2+
dependence with an optimum for cancer cell elimination at rather low [Ca2+ ]o (23
625 MUm) and [Ca2+ ]i (122-334 nm). This finding predicts that a partial
inhibition of Orai1 should increase (rather than decrease) cytotoxicity of CTLs
at [Ca2+ ]o higher than 625 MUm. We tested this hypothesis in CTLs and indeed
found that partial down-regulation of Orai1 by siRNA increases the efficiency of
cancer cell killing. We found two mechanisms that may account for the Ca2+
optimum of cancer cell killing: (1) migration velocity and persistence have a
moderate optimum between 500 and 1000 MUm [Ca2+ ]o in CTLs, and (2) lytic granule
release at the immune synapse between CTLs and cancer cells is increased at 146
MUm compared to 3 or 800 MUm, compatible with the Ca2+ optimum for cancer cell
killing. It has been demonstrated in many cancer cell types that Orai1-dependent
Ca2+ signals enhance proliferation. We propose that a decrease of [Ca2+ ]o or
partial inhibition of Orai1 activity by selective blockers in the tumour
microenvironment could efficiently reduce cancer growth by simultaneously
increasing CTL and NK cell cytotoxicity and decreasing cancer cell proliferation.
PMID- 29368351
TI - Visualization of viscoelastic behavior in skin equivalent using optical coherence
tomography-based straingraphy.
AB - BACKGROUND/PURPOSE: The relationships between the skin components and these
mechanical roles are still unclear. To clarify these relationships, we
investigated spatial mapping of the mechanical behavior of cultured skin
equivalents (SEs) using optical coherence tomography (OCT)-based straingraphy.
METHODS: We built a strain relaxation test system combined with OCT and developed
an algorithm that could visualize a time-dependent strain distribution, named
dynamic-optical coherence straingraphy (D-OCSA). Using this system, we analyzed
how the spatial mechanical changes in the SEs depended on the culture duration.
For quantitative analysis of viscoelastic behavior, we defined a relaxation
attenuation coefficient of strain rate, which indicates the ratio of viscosity
and elasticity in the Klevin-Voight model. RESULTS: By culturing for 4 days in
comparison to culturing for 1 day, the strain relaxation attenuation coefficient
of the whole skin, especially at the region of the dermal-epidermal junction
(DEJ), significantly increased in the negative direction. In tissue slices taken
for microscopy, several cracks were observed in the SEs cultured for 4 days.
CONCLUSION: This study is the first to provide quantified evidence that the DEJ
is a dynamically specialized region. An OCT-based straingraphy system (D-OCSA)
would be beneficial for evaluating the quality of SEs, as well as functional
analysis of their mechanics.
PMID- 29368350
TI - Genotoxicity of fine and coarse fraction ambient particulate matter in
immortalised normal (TT1) and cancer-derived (A549) alveolar epithelial cells.
AB - Human exposure to airborne particulate matter (PM) is associated with adverse
cardiopulmonary health effects, including lung cancer. Ambient PM represents a
heterogeneous mixture of chemical classes including transition metals, polycyclic
aromatic hydrocarbons (PAHs) and their derivatives such as nitro-PAHs, many of
which are classified as putative carcinogens. As the primary site of human
exposure to PM is the lungs, we investigated the response of two alveolar
epithelial cell lines, the tumour-derived A549 and newly described TT1 cells, to
fine and coarse PM collected from background and roadside locations. We show that
coarse PM elicits a genotoxic response in the TT1 cells, with the strongest
signal associated with the background sample. This response could be
recapitulated using the organic extract derived from this sample. No responses
were observed in PM-challenged A549 cells. Fine PM failed to elicit a genotoxic
response in either cell line despite the higher PAH concentrations within this
fraction. Consistent with the lack of a simplistic association between PM PAH
content and the observed genotoxic response, TT1 cells treated with
benzo[a]pyrene (BaP) demonstrated no increase in the selected markers. In
contrast, a pattern of response was observed in TT1 cells challenged with 3
nitrobenzanthrone (3-NBA) similar to that with coarse PM. Together, these data
illustrated the suitability of the TT1 cell line for assessing PM-induced
genotoxicity and challenge the contention that fine roadside PM poses the higher
cancer risk. Furthermore, the response to 3-NBA and not BaP suggests a major
contribution of nitro-PAHs to the overall toxicity of PM. Environ. Mol. Mutagen.
59:290-301, 2018. (c) 2018 The Authors Environmental and Molecular Mutagenesis
published by Wiley Periodicals, Inc. on behalf of Environmental Mutagen Society.
PMID- 29368352
TI - Robotic-assisted deep inferior epigastric artery perforator flap abdominal
harvest for breast reconstruction: A case report.
AB - The deep inferior epigastric perforator (DIEP) flap is a mainstay of autologous
breast reconstruction. The da Vinci robot has recently been adapted for an
increasing number of reconstructive surgeries. The literature has yet to describe
its use for the intra-abdominal harvest of the deep inferior epigastric vessels
(DIEV) during DIEP flap breast reconstruction. We show the use of the da Vinci
robotic surgical system for the intra-abdominal dissection of DIEV during delayed
breast reconstruction with a DIEP flap in a 51-year-old female who had undergone
a right modified radical mastectomy. After dissecting the flap from the anterior
abdominal wall leaving only the targeted perforating vessels intact, a 1.5 cm
fascial incision was made adjacent to the perforator and the vessels were
dissected to below the level of the fascia. The intra-abdominal robotic-assisted
dissection of the DIEV up to the perforator was then completed. The DIEV were
divided at their origin using the robot and the flap removed from the abdomen for
subsequent reconstruction. This technique enabled improved precision of flap
harvest while also decreasing the donor-site morbidity by minimizing the incision
length of the anterior rectus sheath. The patient had an uneventful postoperative
course and, at 9-month follow-up, exhibited no evidence of flap or donor-site
complications, specifically hernia or bulge. This novel approach for the harvest
of a DIEP flap introduces an alternative technique to the conventional DIEP flap
procedure in the appropriate patient population. Risks inherent to this technique
as well as additional costs must be considered.
PMID- 29368353
TI - Effect of membrane exposure on guided bone regeneration: A systematic review and
meta-analysis.
AB - AIMS: This review aimed at investigating the effect of membrane exposure on
guided bone regeneration (GBR) outcomes at peri-implant sites and edentulous
ridges. MATERIAL AND METHODS: Electronic and manual literature searches were
conducted by two independent reviewers using four databases, including MEDLINE,
EMBASE, Web of Science, and Cochrane Central Register of Controlled Trials, for
articles up to February 2017. Articles were included if they were human clinical
trials or case series reporting outcomes of GBR procedures with and without
membrane exposure. A random-effects meta-analysis was conducted, and the weighted
mean difference (WMD) between the two groups and 95% confidence interval (CI)
were reported. RESULTS: Overall, eight articles were included in the quantitative
analysis. The WMD of the horizontal bone gain at edentulous ridges was -76.24%
(95% CI = -137.52% to -14.97%, p = .01) between sites with membrane exposure and
without exposure. In addition, the WMD of the dehiscence reduction at peri
implant sites was -27.27% (95% CI of -45.87% to -8.68%, p = .004). Both analyses
showed significantly favorable outcomes at the sites without membrane exposure.
CONCLUSION: Based on the findings of this study, membrane exposure after GBR
procedures has a significant detrimental influence on the outcome of bone
augmentation. For the edentulous ridges, the sites without membrane exposure
achieved 74% more horizontal bone gain than the sites with exposure. For peri
implant dehiscence defects, the sites without membrane exposure had 27% more
defect reduction than the sites with exposure.
PMID- 29368354
TI - The evaluation of public health nursing students' skill achievement levels by
educational improvement.
AB - OBJECTIVE: This study aimed to evaluate the educational improvement outcomes
after introducing undergraduate public health nursing electives from the
perspective of students, teachers, and public health nurses. DESIGN AND SAMPLE:
Post-test design with control group was used. We surveyed three groups of
participants on the relevance of achieved skill items. These groups were as
follows: fourth-year students, teaching staff from seven universities, and public
health nurses from special districts of Tokyo who had pioneered the introduction
of public health nursing electives. MEASURES: The three participant groups were
surveyed in 2013 and 2014 to compare the students' levels of achievement in the
98 skill items presented by the Japanese Ministry of Health, Labour, and Welfare.
Practical training introduced educational reform in 2014. Anonymous self
administrated questionnaires were used. RESULTS: The mean achievement rates of
the 98 items in 2013 and 2014 were 67.9% and 72.6%, respectively, for students;
40.9% and 59.7% for teachers; and 44.4% and 59.4% for PHNs. A significant
difference was observed between ratios of 2013 and 2014 in all three groups.
CONCLUSIONS: The results demonstrated that the introduction of educational reform
increased the achievement rates of students through the electives.
PMID- 29368355
TI - Artificial intelligence in diabetes care.
PMID- 29368356
TI - Superior anticancer activity is demonstrated by total extract of Curcuma longa L.
as opposed to individual curcuminoids separated by centrifugal partition
chromatography.
AB - Three curcuminoids: bisdemethoxycurcumin, demethoxycurcumin, and curcumin from
turmeric were successfully separated by a high capacity solvent system composed
of heptane: chloroform: methanol: water mixture (5: 6: 3: 2 v/v/v/v) tailored for
centrifugal partition chromatographs at K-values of 0.504, 1.057, 1.644,
respectively. These three ferulic acid derivatives obtained at a purity rate
exceeding 95% were analysed by an HPLC-MS spectrometer. Turmeric extract
inhibited the proliferation/viability of A549 human lung cancer, HT29 colon
cancer, and T98G glioblastoma cell lines in (3-[4,5-dimethylthiazol-2-yl]-2,5
diphenyltetrazolium bromide) tetrazolium reduction assay (MTT). Single
curcuminoids significantly decreased the viability/proliferation of lung cancer
cells in a dose-dependent manner. However, total extract displayed the superior
anticancer activity in the investigated cell lines. Crude extract in combination
with cisplatin augmented the decrease in the viability of cancer cells compared
with single compound treatment in A549 lung cancer cells. Total extract of
Curcuma longa could be regarded as being more effective against lung cancer cells
in vitro than its separated compounds.
PMID- 29368357
TI - Puerarin inhibits TRPM3/miR-204 to promote MC3T3-E1 cells proliferation,
differentiation and mineralization.
AB - Puerarin is an isoflavonoid phytoestrogen extracted from the root of Radix
Pueraria, has attracted increasing attention because of its beneficial effects on
anti-osteoporosis, but the molecular mechanisms underlying its actions on
osteoblasts are not fully understood. The current study aimed to investigate the
effect of puerarin on MC3T3-E1 osteoblastic cells proliferation, differentiation
and mineralization, in vitro and its underlying mechanisms. The results indicated
that puerarin significantly promoted the osteoblasts proliferation, enhanced
alkaline phosphatase activity and increased the formation of mineralized nodules.
Following treatment with puerarin, the expression levels of transient receptor
potential Melastatin 3 (TRPM3) and microRNA-204 (miR-204) were decreased, whereas
that of Runt-related transcription Factor 2 (Runx2) increased. TRPM3-small
interfering RNA and 2-aminoethoxydiphenyl borate (2-APB, inhibitor of TRPM3)
promoted the expression of Runx2 and thus improved the development of
osteoblasts, but pregnenolone sulfate, which is the agonist of TRPM3, inhibited
the effects. In addition, puerarin induced the changes of intracellular Ca2+
concentration ([Ca2+ ]i ) and extracellular Ca2+ concentration ([Ca2+ ]0 )
through TRPM3 might be involved in the biological process of MC3T3-E1 cells.
These results suggested that puerarin may promote MC3T3-E1 cell proliferation,
differentiation and mineralization, which may be related to the downregulation of
TRPM3/miR-204 and following regulating [Ca2+ ]i and [Ca2+ ]0 , and activation of
Runx2.
PMID- 29368358
TI - Birth order and paediatric allergic disease: A nationwide longitudinal survey.
AB - BACKGROUND: Environmental factors seem to be related to the incidence of allergic
disease. Children with a later birth order are often exposed to environments,
where pathogens and endotoxins can be found, and thus have a higher risk of
developing infectious diseases. Therefore, birth order is regarded as an
indicator that reflects post-natal environment. However, longitudinal studies are
limited on this subject. This study sought to elucidate the relationships between
birth order and allergic disease. METHODS: From a nationwide longitudinal study
that followed children born in 2001 (n = 47 015), we selected doctors' visits for
3 types of allergic disease-bronchial asthma, food allergy and atopic dermatitis
from infancy to 12 years of age and conducted binomial log-linear regression
analysis to evaluate the associations between birth order and these diseases. We
adjusted for the child and parental factors and estimated risk ratio (RR) and 95%
confidence interval (CI) for each outcome. RESULTS: The associations between
birth order and bronchial asthma were diverse; later birth order increased the
risk in early childhood, but decreased the risks during school age. For example,
the adjusted RR comparing third-born or higher and first-born children was 1.19
(95% CI, 1.05-1.35) between 30 and 42 months of age, but was 0.76 (95% CI, 0.65
0.89) between 10 and 11 years. Later birth order was generally protective for
food allergy but increased the risk of atopic dermatitis. CONCLUSION: The
influence of birth order depended on the type of allergic disease and the
childhood period. Childhood is unique in terms of physical and immunological
development, and the immune response to the post-natal environment in childhood
appears to be heterogeneous.
PMID- 29368359
TI - Norwegian standard for the safe practice of anaesthesia.
PMID- 29368360
TI - International medical graduates and unfilled positions in abdominal transplant
surgery fellowships in the United States.
PMID- 29368361
TI - Impact of cold ischemia time on the outcomes of kidneys with Kidney Donor Profile
Index >=85%: mate kidney analysis - a retrospective study.
AB - The new kidney allocation system recommends local and regional sharing of
deceased donor kidneys (DDK) with 86-100% Kidney Donor Profile Index (KDPI) to
minimize discard. Regional sharing can increase cold ischemia time (CIT) which
may negatively impact transplant outcomes. Using a same donor mate kidney model,
we aimed to define a CIT that should be targeted to optimize outcomes. Using
Organ Procurement and Transplant Network/United Network for Organ Sharing
database, we identified recipients of DDK from 2000 to 2013 with >=85% KDPI. From
this cohort, three groups of mate kidney recipients were identified based on CIT:
group 1 (>=24 vs. >=12 to <24 h), group 2 (>=24 vs. <12 h), and group 3 (>=12 to
<24 vs. <12 h). Adjusted delayed graft function (DGF), and graft and patient
survivals were compared for mate kidneys. DGF risk was significantly lower for
patients with CIT <12 vs. >=24 h in group 2 (adjusted OR: 0.25, 95% CI: 0.12
0.57, P < 0.001) while trending lower for CIT >=12 to <24 vs. >=24 h in group 1
(adjusted OR: 0.78, 95% CI: 0.59-1.03, P = 0.08) and CIT <12 vs. >=12 to <24 h in
group 3 (adjusted OR: 0.74, 95% CI: 0.55-1.0, P = 0.05). Adjusted graft and
patient survivals were similar between mate kidneys in all groups. Minimizing CIT
improves outcomes with regional sharing of marginal kidneys.
PMID- 29368362
TI - Non-alcoholic fatty liver disease: an emerging predictor of stroke risk, severity
and outcome.
PMID- 29368364
TI - Seed-dispersal interactions in fragmented landscapes - a metanetwork approach.
AB - Mutualistic interactions repeatedly preserved across fragmented landscapes can
scale-up to form a spatial metanetwork describing the distribution of
interactions across patches. We explored the structure of a bird seed-dispersal
(BSD) metanetwork in 16 Neotropical forest fragments to test whether a distinct
subset of BSD-interactions may mediate landscape functional connectivity. The
metanetwork is interaction-rich, modular and poorly connected, showing high beta
diversity and turnover of species and interactions. Interactions involving large
sized species were lost in fragments < 10 000 ha, indicating a strong filtering
by habitat fragmentation on the functional diversity of BSD-interactions.
Persistent interactions were performed by small-seeded, fast growing plant
species and by generalist, small-bodied bird species able to cross the fragmented
landscape. This reduced subset of interactions forms the metanetwork components
persisting to defaunation and fragmentation, and may generate long-term deficits
of carbon storage while delaying forest regeneration at the landscape level.
PMID- 29368363
TI - Cost-effectiveness of possible future smoking cessation strategies in Hungary:
results from the EQUIPTMOD.
AB - AIMS: To evaluate potential health and economic returns from implementing smoking
cessation interventions in Hungary. METHODS: The EQUIPTMOD, a Markov-based
economic model, was used to assess the cost-effectiveness of three implementation
scenarios: (a) introducing a social marketing campaign; (b) doubling the reach of
existing group-based behavioural support therapies and proactive telephone
support; and (c) a combination of the two scenarios. All three scenarios were
compared with current practice. The scenarios were chosen as feasible options
available for Hungary based on the outcome of interviews with local stakeholders.
Life-time costs and quality-adjusted life years (QALYs) were calculated from a
health-care perspective. The analyses used various return on investment (ROI)
estimates, including incremental cost-effectiveness ratios (ICERs), to compare
the scenarios. Probabilistic sensitivity analyses assessed the extent to which
the estimated mean ICERs were sensitive to the model input values. RESULTS:
Introducing a social marketing campaign resulted in an increase of 0.3014
additional quitters per 1 000 smokers, translating to health-care cost-savings of
?0.6495 per smoker compared with current practice. When the value of QALY gains
was considered, cost-savings increased to ?14.1598 per smoker. Doubling the reach
of existing group-based behavioural support therapies and proactive telephone
support resulted in health-care savings of ?0.2539 per smoker (?3.9620 with the
value of QALY gains), compared with current practice. The respective figures for
the combined scenario were ?0.8960 and ?18.0062. Results were sensitive to model
input values. CONCLUSIONS: According to the EQUIPTMOD modelling tool, it would be
cost-effective for the Hungarian authorities introduce a social marketing
campaign and double the reach of existing group-based behavioural support
therapies and proactive telephone support. Such policies would more than pay for
themselves in the long term.
PMID- 29368365
TI - Phlorotannin supplement decreases wake after sleep onset in adults with self
reported sleep disturbance: A randomized, controlled, double-blind clinical and
polysomnographic study.
AB - Our previous study demonstrated that phlorotannin supplement had a sleep
promoting effect in rodents. In the present study, we investigated whether the
phlorotannin supplement could improve sleep in subjects with self-reported sleep
disturbances. In a randomized, double-blind, placebo-controlled trial, 24
subjects consumed either a placebo or phlorotannin supplement (500 mg/day) for 1
week, 30-60 min prior to bedtime. Sleep parameters were assessed at baseline and
at 1 week with sleep questionnaires and polysomnography. At the end of the
treatment period, the complete sets of sleep parameters from 20 subjects.
Phlorotannin resulted in a significant increase in "Sleep duration" scores
compared to the placebo (p = .044), although there were no significant
differences on the total PSQI scores. Polysomnography revealed that wakefulness
after sleep onset was significantly lower in the phlorotannin group compared to
the placebo group (phlorotannin vs. placebo, -25.5 +/- 30.5 vs. -1.7 +/- 14.9; p
= .045) as well as total wake time (phlorotannin vs. placebo, -0.9 +/- 3.0 vs.
6.1 +/- 6.8; p = .048). Additionally, the respiratory disturbance index during
supine rapid eye movement sleep was significantly lower in the phlorotannin group
(p = .035). There were no serious adverse effects in either group. Our data
suggest that the phlorotannin supplement improved sleep maintenance (WHO ICTRP:
KCT0001892).
PMID- 29368366
TI - In ineffective esophageal motility, failed swallows are more functionally
relevant than weak swallows.
AB - BACKGROUND: Esophageal pressure topography (EPT) diagnosis of ineffective
esophageal motility (IEM) can be non-specific with unclear clinical significance.
AIMS: To determine whether peristaltic vigor or lower esophageal sphincter (LES)
integrity is associated with poor clearance and acid reflux in IEM. METHODS:
Bolus clearance on high-resolution impedance manometry (HRIM) and available
reflux studies in patients with IEM were retrospectively reviewed. Bolus
clearance was assessed using both line tracing and colored contour methods on
HRIM. EPT parameters, bolus clearance, and acid reflux variables were explored.
KEY RESULTS: Eighty-eight patients with IEM were included. Bolus clearance
occurred in 71% of all swallows, and 55.7% of patients had complete bolus transit
(CBT, bolus clearance in >=80% of swallows). Bolus clearance was impaired in
swallows with distal contractile integral (DCI) <100 mmHg*cm*s compared to DCI
100-450 (0.43 vs 0.79, P < .0001). A cutoff at DCI 100 mmHg*cm*s was associated
with clearance with an accuracy of 76% compared to 49% at DCI 450 (P = .0001 for
both). A median DCI <100 was associated with a higher Eckardt score (9 vs 3, P =
.03), and on reflux testing available in 47 patients, with abnormal acid exposure
time (P = .002). Peristaltic reserve (PR) defined as (DCI of multiple rapid
swallow/median DCI of wet swallows), integrated relaxation pressure, and resting
lower esophageal sphincter pressure were not associated with clearance or acid
exposure. CONCLUSIONS & INFERENCES: Failed peristalsis, as defined by DCI <100
mmHg*cm*s, is associated with impaired bolus clearance and more severe dysphagia
in IEM, and likely abnormal acid exposure.
PMID- 29368367
TI - The effects of dietary calcium iodate on productive performance, egg quality and
iodine accumulation in eggs of laying hens.
AB - The aim of this study was to examine the effects of various levels of
supplemental calcium iodate (CI) on productive performance, egg quality, blood
indices and iodine (I) accumulation in the eggs in commercial laying hens. A
total of 240 White Leghorn layers (Hy-line W36) were divided through a completely
randomized design into six treatments with five replicates and eight hens per
each at 32 weeks of age. This experiment lasted for 12 weeks. Concentrations of I
in the mash diets were 0.74, 3.13, 5.57, 8.11, 10.65 and 12.94 mg I/kg of feed in
treatments 1-6 respectively. The added doses of CI were included 0.0 (control),
2.5, 5.0, 7.5, 10.0 and 12.5 mg/kg of diet for treatments 1-6 respectively. There
were no significant differences in productive performance among the treatments.
The highest eggshell strength was observed in group fed diet containing 3.13 mg
I/kg (p = .014). The highest percentage of calcium and lowest percentage of
phosphorus in eggshell were observed in group fed diet containing 12.94 mg I/kg
(p = .0001). Feeding hens with diet containing 12.94 mg I/kg increased serum
triiodothyronine-to-thyroxine ratio (p = .0001). Serum alanine aminotransferase
activity in hens fed diet containing 12.94 mg I/kg was significantly more than
control (p = .041). Blood Serum triglycerides in hens fed diet containing 8.11 mg
I/kg were significantly higher than control (p = .0001). Edible fraction of the
eggs of birds fed diet containing 12.94 mg I/kg was enriched by I almost 3 times
more than those fed diet containing 0.74 mg I/kg. The results suggested that egg
production, egg mass, feed intake and feed conversion ratio were not
significantly affected by dietary I levels. Iodine accumulation in the eggs were
increased by increasing dietary I levels and the level of 10 mg/kg CI could
supply I enrichment of the eggs.
PMID- 29368368
TI - FOXK2 suppresses the malignant phenotype and induces apoptosis through inhibition
of EGFR in clear-cell renal cell carcinoma.
AB - Forkhead box K2 (FOXK2) belongs to the forkhead box transcription factor family.
Recent studies have revealed that FOXK2 plays essential roles in cancer cell
proliferation and survival. However, the biological function of FOXK2 in renal
cell carcinoma remains unexplored. In our study, we demonstrated that FOXK2 mRNA
and protein levels were decreased in clear-cell renal cell carcinoma (ccRCC)
tissues compared to those in corresponding non-tumor renal tissues, and decreased
FOXK2 levels were associated with poor prognosis in ccRCC patients after
nephrectomy. FOXK2 suppressed proliferation, migration and invasion capabilities
of ccRCC cells and induced cellular apoptosis in vitro. Moreover, we found that
FOXK2 overexpression inhibited xenograft tumor growth and promoted apoptosis in
vivo. Genome-wide transcriptome profiling using FOXK2 overexpressed 769-P cells
revealed that the epidermal growth factor receptor (EGFR) was a potential
downstream gene of FOXK2. Overexpression of EGFR is able to rescue the inhibited
proliferation capacity and the enhanced apoptosis capacity due to the
overexpression of FOXK2 in 769-P cells. Collectively, our results indicate that
FOXK2 inhibits the malignant phenotype of ccRCC and acts as a tumor suppressor
possibly through the inhibition of EGFR.
PMID- 29368369
TI - Recent developments and controversies in transcatheter aortic valve implantation.
AB - Interventional cardiology has been revolutionised by transcatheter aortic valve
implantation (TAVI), which has become established as the benchmark treatment for
severe aortic stenosis in patients at high risk for surgical aortic valve
replacement (AVR). Increased procedural familiarity and progression in device
technology has enabled improvements to be made in complication rates, which have
led to a commensurate expansion in the use of TAVI; it is now a viable
alternative to AVR in patients at intermediate surgical risk, and has been used
in cohorts such as those with bicuspid aortic valves or pure, severe aortic
regurgitation. Given the rapid expansion in the use of TAVI, including cohorts of
younger patients with fewer co-morbidities, attention must be paid to further
reducing remaining complications, such as cardiac tamponade or stroke. To this
end, novel techniques and devices have been devised and trialled, with varying
levels of success. Furthermore, significant work has gone into refining the
technique with exploration of alternative imaging modalities, as well as
alternative access routes to provide greater options for patients with
challenging vascular anatomy. Whilst significant progress has been made with
TAVI, areas of uncertainty remain such as the management of concomitant coronary
artery disease and the optimum post-procedure antiplatelet regimen. As such,
research in this field continues apace, and is likely to continue as use of TAVI
becomes more widespread. This review provides a summary of the existing evidence,
as well as an overview of recent developments and contentious issues in the field
of TAVI.
PMID- 29368370
TI - Epoxides as Alkylating Reagents for the Catellani Reaction.
AB - We report a cooperative catalytic system comprising a PdII complex, XPhos, and
the potassium salt of 5-norbornene-2-carboxylic acid that enables the use of
epoxides as alkylating reagents in the Catellani reaction, thereby expanding the
existing paradigm of this powerful transformation. The potassium salt of
inexpensive 5-norbornene-2-carboxylic acid acts as both mediator and base in the
process. This mild, chemoselective, scalable, and atom-economical protocol is
compatible with a wide variety of readily available functionalized aryl iodides
and epoxides, as well as terminating olefins. The resulting products undergo
facile oxa-Michael addition to furnish ubiquitous isochroman scaffolds.
PMID- 29368371
TI - The association of nursing care perception with coping skills and posttraumatic
growth in mental disorders.
AB - : WHAT IS KNOWN ON THE SUBJECT?: Although it is well known that coping with
mental disorder is a traumatic experience, studies of the relationships between
posttraumatic growth and the variables that can affect it are rarely found in the
literature. The studies that do exist usually focus on posttraumatic growth after
posttraumatic stress disorder has developed as a result of traumatic life events
such as earthquakes, wars, accidents or physical disease. In the literature,
there exist few studies of patients diagnosed with psychosis. WHAT DOES THIS
PAPER ADD TO EXISTING KNOWLEDGE?: This study shows that posttraumatic growth can
be seen in patients after the diagnosis of mental disorders. Patients who use
effective coping methods show more posttraumatic growth. In addition, patients
who are satisfied with the nursing care received in the clinic show more
posttraumatic growth. This indicates that care delivered by psychiatric nurses
contributes to the posttraumatic growth of the patients. WHAT ARE THE
IMPLICATIONS FOR PRACTICE?: Psychiatric nurses who recognize that suffering a
mental disorder is a traumatic experience and that patients can grow from this
experience can contribute to the posttraumatic growth of those in their care.
When they see signs of posttraumatic growth, nurses can teach patients how to
cope with that stress effectively and how to share their feelings, thoughts and
experiences related to the situation. In addition, psychosocial interventions
such as trauma-focused cognitive behavioural therapy and eye movement
desensitization can be used to aid posttraumatic growth. ABSTRACT: Introduction
Although it is recognized that suffering a mental illness is a traumatic
experience, the fact that this can lead to posttraumatic growth and the variables
that may affect the situation are rarely discussed in the literature. Aim This
study was conducted to determine the effects of coping styles and nursing care
perceptions on posttraumatic growth in mental disorders. Method The descriptive
study was conducted at a psychiatric clinic with a personal information form and
three-scale test. Results In the study, completed with 122 psychiatric
inpatients, it was found that patients were using effective methods to cope with
stress, were satisfied with nursing care and had high posttraumatic growth.
Moreover, it was found that effective coping styles and satisfaction with nursing
care positively affected the posttraumatic growth level of patients. Discussion
The results support the proposition that using effective coping styles and
seeking professional support after traumatic life events influence posttraumatic
growth levels. Implications for practice Psychiatric nurses should be aware of
the fact that developing a mental disorder is a traumatic life event after which
posttraumatic growth can occur. They should encourage posttraumatic growth by
teaching effective coping methods, providing psychiatric care and using
psychosocial interventions such as trauma-focused cognitive behavioural therapy
and eye movement desensitization.
PMID- 29368372
TI - Age-related changes in fiber tracts in healthy adult brains: A generalized q
sampling and connectometry study.
AB - BACKGROUND: Generalized q-sampling (GQI) and connectometry analysis provide new
indices, i.e., quantitative anisotropy (QA) and spin distribution function (SDF)
in comparison with diffusion tensor imaging (DTI). They may provide more age
related changes in white matter (WM) in aging. PURPOSE: To investigate the
feasibility of using GQI and connectometry analysis to determine WM properties
changes in aging. STUDY TYPE: Cross-cohort research. POPULATION: Fifty normal
adults (27 females) aged 21-71 years. FIELD STRENGTH/SEQUENCE: T1 -weighted
images (T1 WI) and high angular resolution diffusion imaging (HARDI) images were
acquired at 1.5T. ASSESSMENT: HARDI data were analyzed using DTI and GQI to
obtain fractional anisotropy (FA), QA, fiber numbers, and fiber lengths for tract
analysis and using q-space diffeomorphic reconstruction (QSDR) for the
connectometry analysis. We compared differences of DTI, GQI, and connectometry
analysis to reflect WM changes in aging. STATISTICAL TESTS: Associations between
FA, QA, and fiber numbers and lengths and age were analyzed using Pearson's
correlation coefficients. The connectometry analysis was conducted using a
multiple linear regression analysis, including age and gender as factors.
Uncorrected P-value/false discovery rate (FDR) (corrected for multiple
comparisons) < 0.05 was considered statistically significant. RESULTS: More
regional changes were detected in FA related to age than changes in QA (17 > 6
regions, P < 0.05). Fewer regional changes in fiber numbers and more changes of
fiber lengths were observed for DTI than for GQI (5 < 8/10 > 7 regions, P <
0.05). However, DTI and GQI analyses revealed consistent results in some regions,
including the genu of the corpus callosum (GCC), body of the corpus callosum
(BCC), fornix (Fx), and anterior coronal radiation (ACR) (P < 0.05). The
connectometry analysis showed more tract changes associated with age at an FDR of
0.05, which partially overlapped with the FA and QA. DATA CONCLUSION: GQI and
connectometry provide more information about age-related tracts and complement
the DTI findings. LEVEL OF EVIDENCE: 2 Technical Efficacy: Stage 2 J. MAGN.
RESON. IMAGING 2018;48:369-381.
PMID- 29368374
TI - The promise of patient-reported outcomes: one step closer to routine care.
PMID- 29368373
TI - Purple corn-associated rhizobacteria with potential for plant growth promotion.
AB - AIMS: Purple corn (Zea mays var. purple amylaceum) is a native variety of the
Peruvian Andes, cultivated at 3000 m since the pre-Inca times without N
fertilization. We aimed to isolate and identify native plant growth-promoting
rhizobacteria (PGPR) for future microbial-based inoculants. METHODS AND RESULTS:
Eighteen strains were isolated from the rhizosphere of purple corn plants grown
without N fertilization in Ayacucho (Peru). The 16S rRNA gene clustered the 18
strains into nine groups that contained species of Bacillus, Stenotrophomonas,
Achromobacter, Paenibacillus, Pseudomonas and Lysinibacillus. A representative
strain from each group was selected and assayed for N2 fixation, phosphate
solubilization, indole acetic and siderophore production, 1-aminocyclopropane-1
carboxylic acid deaminase activity and biocontrol abilities. Inoculation of
purple corn plants with single and combined strains selected after a principal
component analysis caused significant increases in root and shoot dry weight,
total C and N contents of the plants. CONCLUSIONS: PGPRs can support growth and
crop production of purple corn in the Peruvian Andes and constitute the base for
microbial-based inoculants. SIGNIFICANCE AND IMPACT OF THE STUDY: This study
enlarges our knowledge on plant-microbial interactions in high altitude mountains
and provides new applications for PGPR inoculation in purple amylaceum corn,
which is part of the staple diet for the native Quechua communities.
PMID- 29368375
TI - Fracture resistance of prepared premolars restored with bonded new lab composite
and all-ceramic inlay/onlay restorations: Laboratory study.
AB - OBJECTIVES: To assess the influence of new light curing lab composite, lithium
disilicate glass-ceramic and yttrium-stabilized zirconia-based ceramic on the
fracture resistance of maxillary premolars with class II inlay and onlay
preparations. METHODS: Seventy sound maxillary premolars were divided randomly
into seven main groups. The first group was left intact (control group). The
remaining six groups were prepared with inlay and onlay cavities and restored
with lab composite (SR Nexco), lithium-disilicate glass-ceramic (IPS e.max Press)
and yttrium-stabilized zirconia-based ceramic (ICE Zirkon). The restorations were
cemented with luting resin composite (Variolink N). All specimens were
thermocycled 5000 cycles between 5 degrees C +/- 2 degrees C and 55 degrees C +/-
2 degrees C and were then cyclic loaded for 500 000 cycles. The specimens were
subjected to a compressive load in a universal testing machine using a metal
sphere until fracture occurred. The results were analyzed by 2-way ANOVA and
Tukey HSD post hoc tests. The level of significance was set at P < .05. RESULTS:
There were no statistically significant differences among the means of control
group and the groups restored with zirconia ceramic inlays and onlays (P > .05).
However, statistically significant differences were found among the means of
control group and the groups restored with lab composite inlays, lab composite
onlays, pressable glass ceramic inlays and pressable glass ceramic onlays (P <
.05). CONCLUSIONS: The fracture resistance of prepared teeth for inlay and onlay
restorations is inferior to the intact teeth when lab composite is used.
Conversely, when a ceramic material being used, the prepared teeth for inlay and
onlay restorations showed a comparable strength to the intact teeth especially
zirconia ceramic. CLINICAL SIGNIFICANCE: Premolar teeth restored with zirconia
ceramic inlays and onlays exhibited fracture resistance comparable to intact
teeth.
PMID- 29368376
TI - Using cadaveric simulation to introduce the concept and skills required to start
performing transanal total mesorectal excision.
AB - AIM: The aim was to document the outcomes of surgeons attending a cadaveric
simulation course designed to provide an introduction to transanal total
mesorectal excision (TaTME). METHOD: This was a prospective observational study
documenting the outcomes from classroom and wet lab activities. Follow-up
questionnaires were used to monitor clinical activity after the course. RESULTS:
Outcomes of 65 delegates from 12 different countries attending seven cadaveric
simulation courses are described. Median time to insert and close the rectal
purse-string was 15 min (range 7-50 min) and median time to complete the
transanal mesorectal dissection was 105 min (range 60-260 min). Objective
assessment of specimen quality showed that 42% of specimens were complete, 47%
nearly complete and 11% were incomplete. Failure of the intraluminal rectal purse
string was the most common difficulty encountered. Within 6 months of attending
the course, nearly half (26/55; 47%) of the surgeons who responded had performed
between 1 and 13 TaTMEs. Only 8/26 (31%) of the surgeons had arranged mentoring
for their first case. CONCLUSION: This training model provides high levels of
trainee satisfaction and the knowledge and technical skills to enable them to
start performing TaTME. There is still work to do to provide adequate supervision
and mentorship for surgeons early on their learning curve that is essential for
the safe introduction of this new technique.
PMID- 29368377
TI - Omalizumab updosing allows disease activity control in patients with refractory
chronic spontaneous urticaria.
PMID- 29368379
TI - Pancytopenia and megaloblastic erythropoiesis reveal a novel GIF mutation.
PMID- 29368378
TI - Placental basement membrane proteins are required for effective cytotrophoblast
invasion in a three-dimensional bioprinted placenta model.
AB - Fetal cytotrophoblast invasion of maternal decidual vasculature is necessary to
normal pregnancy. In preeclampsia, there is shallow invasion and abnormal
remodeling of the uterine vasculature that lead to significant maternal and
perinatal morbidity and mortality. The placental basement membrane (BM) proteins
(e.g., laminin and collagen) has been implicated in the development of placenta
while the level of laminin is significantly lower in preeclampsia. However, there
are very limited studies, if any, on the effect of extracellular matrix (ECM)
microenvironment on the invasion of cytotrophoblast. In this study, we
hypothesized that placental BM proteins are required for effective
cytotrophoblast invasion. Using proteomics, we found that more than 80% of ECM
proteins in placental basal plate (pECM) were BM proteins. In addition to
upregulating expressions of MMP2 (1.5-fold) and MMP9 (6.3-fold), pECM
significantly increased the motility rates of cytotrophoblasts by 13-fold (from
5.60 +/- 0.95 to 75.5 +/- 21.8 um/day) to achieve an effective invasion rate that
was comparable to in vivo results. Treatments with PI3K inhibitors completely
removed the pECM-enhanced invasive phenotypes and genotypes of cytotrophoblasts,
suggesting its dominant role in cytotrophoblast-ECM interactions. Our results
described, for the first time, the substantial effects of the ECM
microenvironment on regulating cytotrophoblast invasion, an area that is less
investigated but appear to be critical in the pathogenesis of preeclampsia.
Moreover, the approach presented in this work that fabricates organ models with
organ-specific ECM can be an attractive option to screen and develop novel
therapeutics and biomarkers not only in preeclampsia but also other diseases such
as cancer metastasis. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A:
106A: 1476-1487, 2018.
PMID- 29368381
TI - Development and internal validation of the Simplified Mortality Score for the
Intensive Care Unit (SMS-ICU).
PMID- 29368380
TI - Risk of rhabdomyolysis from 5-alpha reductase inhibitors.
AB - PURPOSE: A recent regulatory warning and case reports have described the
development of muscle complications with the use of 5-alpha reductase inhibitors
(5ARIs). We wished to determine if there was a link between rhabdomyolysis and
5ARI usage. METHODS: We used a matched cohort design and linked administrative
data from the province of Ontario, Canada, to investigate the risk of
rhabdomyolysis in men using either finasteride or dutasteride. A total of 99
covariates were measured. We identified 93 197 men >=66 years of age who
initiated a new prescription for a 5ARI, and they were matched using a propensity
score to an equal number of men not prescribed a 5ARI. RESULTS: New initiation of
5ARIs was not associated with a significantly increased risk of rhabdomyolysis
(hazard ratio [HR] 1.21, 95% confidence interval [CI], 1.00-1.48, P = .06). When
we examined the risk of rhabdomyolysis in the year prior to the initiation of a
5ARI, we found that men who would go on to use a 5ARI in the future had an
elevated risk of rhabdomyolysis even prior to starting the medication (HR 1.31,
95% CI, 1.05-1.64, P = .01). Our secondary outcome of myositis and myopathy was
significantly higher among 5ARI users (HR 1.63, 95% CI, 1.48-1.80, P < .01), and
this risk was not present prior to 5ARI usage. CONCLUSION: 5-alpha reductase
inhibitors do not appear to be associated with the development of rhabdomyolysis;
however, they may be associated with an increased risk of myopathy and myositis.
PMID- 29368382
TI - Translucency and masking properties of two ceramic materials for heat-press
technology.
AB - OBJECTIVES: To assess the translucency of two pressable ceramics and to analyze
their masking property when placed on different tooth-shaded backgrounds.
MATERIALS AND METHODS: Thirty discs (1-mm thickness) were fabricated using two
pressable ceramics (shade/translucency): 1M1T/HT, 1M2T/HT, 2M2T (VITA PM9), and
A1LT/HT, B1LT/HT, A2LT (e.max Press). Color measurements of discs were performed
with a dental spectrophotometer on tooth-colored backgrounds (A1/A2/A3/A3.5/A4),
and black and white backings. The masking property was calculated as the color
difference (CIEDE2000) between parameters of discs on control (A1, A2) and test
backgrounds (A3, A3.5, A4). One-way ANOVA was used for assessing differences in
translucency parameter (TP) between ceramics. Two-way ANOVA was used for
detecting differences among groups when measured over tooth-shaded backgrounds
(alpha = 0.05, Bonferroni correction). RESULTS: TP ranged between 14.96 (B1LT)
and 25.18 (1M1HT). A significant difference in TP was found between tested
ceramics (F = 949.949, P < .001). However, TP did not vary significantly between
1M1HT and 1M2HT (P > .05), 1M1T, A1HT and B1HT (P > .05), 1M2T, 2M2T, and A2HT (P
> .05). A significant interaction effect of underlying background on color of
ceramic discs was found (F = 107.994, P < .001). CONCLUSION: VITA PM9
demonstrated higher translucency than e.max Press ceramics. Except A1LT, all
ceramic materials evaluated showed poor masking properties on A4 background.
CLINICAL SIGNIFICANCE: Highly translucent ceramics should be wisely used for
restoring the appearance of dental structures since background color has a large
effect upon these materials. The more recently introduced pressable ceramics
showed high levels of translucency.
PMID- 29368383
TI - Clinical and cytogenomic findings in OAV spectrum.
AB - The oculoauriculovertebral spectrum (OAVS) is characterized by anomalies
involving the development of the first and second pharyngeal arches during the
embryonic period. The phenotype is highly heterogeneous, involving ears, eyes,
face, neck, and other systems and organs. There is no agreement in the literature
for the minimum phenotypic inclusion criteria, but the primary phenotype involves
hemifacial microsomia with facial asymmetry and microtia. Most cases are sporadic
and the etiology of this syndrome is not well known. Environmental factors,
family cases that demonstrate Mendelian inheritance, such as preauricular
appendages, microtia, mandibular hypoplasia, and facial asymmetry; chromosomal
abnormalities and some candidate genes suggest a multifactorial inheritance
model. We evaluated clinical, cytogenomic and molecularly 72 patients with OAVS,
and compared our findings with patients from the literature. We found 15 CNVs
(copy number variations) considered pathogenic or possibly pathogenic in 13 out
of 72 patients. Our results did not indicated a single candidate genomic region,
but recurrent chromosomal imbalances were observed in chromosome 4 and 22, in
regions containing genes relevant to the OAVS phenotype or related to known OMIM
diseases suggesting different pathogenic mechanisms involved in this genetically
and phenotypic heterogeneous spectrum.
PMID- 29368384
TI - Relationship between meaningful work and job performance in nurses.
AB - AIMS: The present study was designed to determine the relationship between
meaningful work and job performance, and the impact of meaningful work on nursing
care quality. BACKGROUND: Meaningful work has been suggested as a significant
factor affecting job performance, but the relationship has never been studied in
nurses in China. DESIGN: A descriptive correlational study was designed to assess
the level of meaningful work, tasks, and contextual performance as well as their
relationships. METHODS: We used a stratified random-sampling approach to enrol
nurses from hospitals. Multivariate regression analysis was applied to determine
the relationship between meaningful work and their demographic data. RESULTS:
There were significant, positive relationships between meaningful work and task
performance and contextual performance. Education level, work unit, and
employment type influenced meaningful work. The work motivation score of the
nurses was lower than that of the other 2 dimensions, and a negative work
motivation score negatively influenced job performance. CONCLUSION: Improving
meaningful work and providing more support and assistance could improve nurse
performance, thereby improving the quality of nursing care.
PMID- 29368385
TI - Erectile dysfunction in cirrhosis is impacted by liver dysfunction, portal
hypertension, diabetes and arterial hypertension.
AB - BACKGROUND: Although several risk factors for erectile dysfunction may be present
in patients with cirrhosis, data on the actual prevalence and cause of erectile
dysfunction is limited. The International Index of Erectile Function-5 (IIEF-5)
is a well-validated survey to determine the presence and severity of erectile
dysfunction in men. We assessed (i) the prevalence and severity of erectile
dysfunction, and (ii) risk factors for erectile dysfunction in patients with
cirrhosis. METHODS: In this prospective study, erectile dysfunction was defined
as: absent (>21 IIEF-5-points), mild (12-21) and severe (5-11). Patients with
overt hepatic encephalopathy, active alcohol abuse, extrahepatic malignancy,
previous urologic surgery, previous liver transplantation and severe cardiac
conditions were excluded. RESULTS: Among n = 151 screened patients, n = 41 met
exclusion criteria and n = 30 were sexually inactive. Thus, a final number of n =
80 male patients with cirrhosis were included. Patient characteristics: age: 53
+/- 9 years; model for end-stage liver disease score (MELD): 12.7 +/- 3.9; Child
Pugh score (CPS) A: 30 (37.5%), B: 35 (43.8%), C: 15 (18.7%); alcohol: 38
(47.5%), viral: 25 (31.3%), alcohol/viral: 7 (8.8%) and others: 10 (12.5%). The
presence of erectile dysfunction was found in 51 (63.8%) patients with 44 (55%)
and 7 (8.8%) suffering from mild-to-moderate and moderate-to-severe erectile
dysfunction. Mean MELD and hepatic venous pressure gradient (HVPG) were
significantly higher in patients with erectile dysfunction (P = .021; P = .028).
Child-Pugh score C, MELD, creatinine, age, arterial hypertension, diabetes, low
libido, low testosterone and high HVPG were associated with the presence of
erectile dysfunction. Interestingly, beta-blocker therapy was not associated with
an increased risk. In multivariate models, arterial hypertension (OR: 6.36 [1.16
34.85]; P = .033), diabetes (OR: 7.40 [1.31-41.75]; P = .023), MELD (OR: 1.19
[1.03-1.36]; P = .015) and increasing HVPG (n = 48; OR: 1.11 [1.002-1.23]; P =
.045) were independent risk factors for the presence of erectile dysfunction.
CONCLUSION: About two-thirds of male patients with cirrhosis show erectile
dysfunction. Severity of liver dysfunction, portal hypertension, arterial
hypertension and diabetes were identified as risk factors for erectile
dysfunction.
PMID- 29368386
TI - Extreme environments and the origins of biodiversity: Adaptation and speciation
in sulphide spring fishes.
AB - Organisms adapted to physiochemical stressors provide ideal systems to study
evolutionary mechanisms that drive adaptation and speciation. This review study
focuses on livebearing fishes of the Poecilia mexicana species complex
(Poeciliidae), members of which have repeatedly colonized hydrogen sulphide (H2
S)-rich springs. H2 S is a potent respiratory toxicant that creates extreme
environmental conditions in aquatic ecosystems. There is also a rich history of
research on H2 S in toxicology and biomedicine, which has facilitated the
generation of a priori hypotheses about the proximate mechanisms of adaptation.
Testing these hypotheses through the application of high-throughput genomic and
transcriptomic analyses has led to the identification of the physiological
underpinnings mediating adaptation to H2 S-rich environments. In addition,
systematic natural history studies have provided a nuanced understanding of how
the presence of a physiochemical stressor interacts with other sources of
selection to drive evolutionary change in a variety of organismal traits,
including physiology, morphology, behaviour and life history. Adaptation to
extreme environments in P. mexicana also coincides with ecological speciation,
and evolutionarily independent lineages span almost the full range of the
speciation continuum from panmixia to complete reproductive isolation. Multiple
mechanisms of reproductive isolation are involved in reducing gene flow between
adjacent populations that are adapted to contrasting environmental conditions.
Comparative studies among evolutionarily independent lineages within the P.
mexicana species complex and, more recently, other members of the family
Poeciliidae that have colonized H2 S-rich environments will provide insights into
the factors facilitating or impeding convergent evolution, providing tangible
links between micro-evolutionary processes and macro-evolutionary patterns.
PMID- 29368387
TI - The impact of acquired thrombocytopenia on long-term outcomes of patients
undergoing elective percutaneous coronary intervention: An analysis of 8,271
consecutive patients.
AB - BACKGROUND: Acquired thrombocytopenia is associated with an increased risk of
adverse events of patients with acute coronary syndrome. However, data on its
long-term prognostic significance are limited. The aim of our study was to
investigate the association between acquired thrombocytopenia and long-term
clinical outcomes. METHODS: We examined 8,271 consecutive patients who underwent
elective percutaneous coronary intervention (PCI) at Fuwai Hospital from January
2013 to December 2013. Acquired thrombocytopenia was defined as a platelet count
of <150 * 109 /L after PCI with a normal baseline value. The primary outcomes
were death and major adverse cardiovascular events (MACEs) during a 30-month
follow-up. Logistic regression was performed to identify independent predictors
of acquired thrombocytopenia post-PCI. RESULTS: At the 30-month follow-up,
acquired thrombocytopenia developed in 654 (7.91%) patients (634 [7.67%] patients
had mild thrombocytopenia, 20 [0.24%] patients had moderate or severe
thrombocytopenia). Patients who developed thrombocytopenia had a higher 30-month
rate of all-cause death (2.3% vs. 1%, P = 0.0086) and cardiogenic death (1.2% vs.
0.5%, P = 0.0261) than patients who did not develop thrombocytopenia. Moderate or
severe thrombocytopenia was associated with a 13-fold increased risk for
cardiogenic death (adjusted HR: 13.578; 95% CI: 1.812-101.75) and 11-fold
increased risk for myocardial infarction (adjusted HR: 11.309; 95% CI: 2.749
46.53) compared with patients without thrombocytopenia. Baseline creatinine
clearance (odds ratio [OR]: 0.993; 95% CI: 0.989-0.996), baseline platelet count
(OR: 0.924; 95% CI: 0.918-0.93) and diabetes mellitus history (OR: 1.283, 95% CI:
1.056-1.558) independently predicted acquired thrombocytopenia. CONCLUSIONS:
Acquired thrombocytopenia was independently associated with long-term adverse
outcomes. Predictors of thrombocytopenia were baseline creatinine clearance,
baseline platelet count, and diabetes mellitus history.
PMID- 29368388
TI - The world-wide incidence of Kaposi's sarcoma in the HIV/AIDS era.
AB - OBJECTIVES: Kaposi's sarcoma (KS) is a multicentric angioproliferative cancer of
endothelial origin typically occurring in the context of immunosuppression or
immunodeficiency. Consequently, KS is one of the most common cancers in HIV
infected individuals and frequently occurs among transplant recipients.
Nevertheless, its incidence in different populations is not well understood.
METHODS: We searched online databases for publications on KS incidence. A random
effect meta-analysis was performed to combine the KS incidences and incidence
rate ratios (IRRs) for associated risk factors. RESULTS: Seventy-six eligible
studies representing 71 time periods were included. For HIV-infected people, the
overall KS incidence was 481.54 per 100 000 person-years with a 95% confidential
interval (CI) of 342.36-677.32 per 100 000 person-years. HIV-infected men who
have sex with men (MSM) had the highest incidence of KS (1397.11 per 100 000
person-years; 95% CI 870.55-2242.18 per 100 000 person-years). The incidence of
KS was significantly lower in female than in male individuals (IRR 3.09; 95% CI
1.70-5.62). People receiving highly active antiretroviral therapy (HAART) had a
lower incidence compared with people who had never received HAART (IRR 6.57; 95%
CI 1.91-24.69). The incidence of KS was 68.59 (95% CI 31.39-149.86) per 100 000
person-years in transplant recipients, 52.94 (95% CI 39.90-70.20) per 100 000
person-years in children with HIV infection, and 1.53 (95% CI 0.33-7.08) per 100
000 person-years in the general population. CONCLUSIONS: Globally, a relatively
high incidence of KS was found among HIV-seropositive people and, in particular,
in HIV-infected MSM. The introduction of HAART has largely prevented the
development of KS, but it has not entirely removed the challenge of KS. In
Africa, in particular, KS imposes a very heavy disease burden, which can mainly
be attributed to the high prevalence of KS-associated herpesvirus and poor access
to HAART.
PMID- 29368390
TI - Dermoscopic features of pilar leiomyomas.
PMID- 29368389
TI - Volumetric modulated arc therapy for total body irradiation: A feasibility study
using Pinnacle3 treatment planning system and Elekta AgilityTM linac.
AB - A study was undertaken to explore the use of volumetric modulated arc therapy
(VMAT) for total body irradiation (TBI). Five patient plans were created in
Pinnacle3 using nine 6 MV photon dynamic arcs. A dose of 12 Gy in six fractions
was prescribed. The planning target volume (PTV) was split into four subsections
for the head, chest, abdomen, and pelvis. The head and chest beams were optimized
together, followed by the abdomen and pelvis beams. The last stage of the
planning process involved turning all beams on and performing a final
optimization to achieve a clinically acceptable plan. Beam isocenters were
shifted by 3 or 5 mm in the left-right, anterior-posterior, and superior-inferior
directions to simulate the effect of setup errors on the dose distribution.
Treatment plan verification consisted of ArcCheck measurements compared to
calculated doses using a global 3%/3 mm gamma analysis. All five patient plans
achieved the planning aim of delivering 12 Gy to at least 90% of the target. The
mean dose in the PTV was 12.7 Gy. Mean lung dose was restricted to 8 Gy, and a
dose reduction of up to 40% for organs such as the liver and kidneys proved
feasible. The VMAT technique was found to be sensitive to patient setup errors
particularly in the superior-inferior direction. The dose predicted by the
planning system agreed with measured doses and had an average pass rate of 99.2%
for all arcs. VMAT was found to be a viable treatment technique for total body
irradiation.
PMID- 29368392
TI - Response to phenotypic hetergeneity of POMT2 variants.
PMID- 29368393
TI - Resistance exercise and episodic memory function: a systematic review.
AB - OBJECTIVE: Emerging research demonstrates that aerobic-based physical activity is
favourably associated with episodic memory function. Despite resistance exercises
being associated with a multitude of health outcomes, independent of aerobic
physical activity, less research has examined the effects of resistance exercise
on episodic memory function. The purpose of this study was to conduct a
systematic review to examine the extent to which resistance exercise may be
associated with episodic memory function. METHODS: Computerized searches were
performed in PubMed, PsychInfo and Sports Discuss. Studies were included if they
employed an experimental, cross-sectional or prospective study design, and
included acute or chronic resistance exercise as the independent variable, with
episodic memory function as the outcome variable. RESULTS: Eight experimental
(one acute and seven chronic training studies) studies met the study inclusionary
criteria. Seven of these studies were published in the last 5 years, highlighting
the infancy of this line of research. Only three of the eight evaluated studies
provided evidence of a positive effect of resistance exercise on memory function;
within each of these three studies, there was also some indication of null (or
unfavourable) effects. CONCLUSION: The findings of this systematic review do not
provide compelling evidence for a beneficial effect of acute or chronic
resistance exercise on episodic memory function. Additional research in this
under-investigated field is warranted.
PMID- 29368391
TI - Motivation to reduce alcohol consumption and subsequent attempts at reduction and
changes in consumption in increasing and higher-risk drinkers in England: a
prospective population survey.
AB - AIMS: To assess how far motivation to reduce alcohol consumption in increasing
and higher-risk drinkers in England predicts self-reported attempts to reduce
alcohol consumption and changes in alcohol intake during the following 6 months.
METHODS: This study used self-reported data from 2928 higher-risk drinkers in the
Alcohol Toolkit Study (ATS): a series of monthly cross-sectional household
surveys of adults aged 16+ years of age in England. Alcohol consumption was
measured in an initial survey and in a 6-month telephone follow-up interview
using the Alcohol Use Disorders Identification Test (AUDIT)-C questionnaire.
Motivation was measured in the initial survey using the Motivation to Reduce
Alcohol Consumption (MRAC) scale. Attempts to reduce alcohol consumption during
the past 6 months were recorded at follow-up. Data were analysed using repeated
measures difference-in-differences and logistic regression models. RESULTS:
Participants with higher initial motivation to reduce alcohol consumption were
more likely to report that they had made an attempt to reduce consumption at
follow-up [adjusted odds ratio (ORadj ) = 2.39, 95% confidence interval (CI) =
1.75-3.29]. There was an overall reduction in alcohol consumption between initial
survey and follow-up (ORadj = 0.72, 95% CI = 0.65-0.79), but there was
insufficient evidence of an additional effect of motivation to reduce consumption
on subsequent changes in alcohol consumption, with the difference-in-differences
effect instead suggesting an average increase (ORadj = 1.37, 95% CI = 1.00
1.88). CONCLUSIONS: Increasing and higher-risk drinkers in England who report
greater motivation to reduce their consumption are more likely to report making
an attempt to reduce during the next 6 months, but this may not be associated
with a reduction in alcohol consumption.
PMID- 29368394
TI - Complex coronary bifurcation treatment by a novel stenting technique: Bench test,
fluid dynamic study and clinical outcomes.
AB - OBJECTIVES: We assess the mid-term outcomes of ultrathin biodegradable polymer
double stenting using a very minimal crushing (Nano-Crush) technique in large
complex coronary bifurcation. BACKGROUND: Complex bifurcations have been
suggested to be better approached by a planned double stent technique. METHODS:
Two hundred and five consecutive patients (107 males and 98 females) referred for
large complex coronary bifurcation percutaneous coronary interventions were
enrolled. The technique was also evaluated by both a bench test with a silicon
tubes phantom resembling a coronary bifurcation and a computed fluid dynamic
(CFD) analysis. RESULTS: Left main bifurcation accounted for 40.9% of cases (84
patients). Mean angles between main branch (MB) and side branch (SB) were 63.6 +/
21.3 degrees . SB intravascular ultrasound-calculated MSA was 5.6 +/- 1.5 mm2 .
Clinical follow-up was available for 100% of patients and at a mean follow-up of
16.2 +/- 6.7 months 8 deaths, all due to cardiovascular reason, (3.9%, 4 patients
for stroke, two for heart failure, one after surgical aortic valve substitution,
and one after acute massive pulmonary embolism) and no presumptive stent
thrombosis or target vessel induced ischemia were observed. Angiographic follow
up was available in 108 patients (52.7%) and showed a very low significant
restenosis (5 patients, 4.6%). Bench study and CFD evaluation suggested a
complete coverage of the SB ostium with a very high strut-free area at the SB.
CONCLUSIONS: The revascularization of complex large coronary bifurcation disease
using the Nano-crush technique appeared promising thanks to the favorable fluid
dynamic profile, complete coverage of the SD ostium, and very small metal amount
at the carina.
PMID- 29368395
TI - The role of aggression-related early maladaptive schemas and schema modes in
aggression in a prisoner sample.
AB - Contemporary social-cognitive aggression theory and extant empirical research
highlights the relationship between certain Early Maladaptive Schemas (EMSs) and
aggression in offenders. To date, the related construct of schema modes, which
presents a comprehensive and integrated schema unit, has received scant empirical
attention. Furthermore, EMSs and schema modes have yet to be examined
concurrently with respect to aggressive behavior. This study examined
associations between EMSs, schema modes, and aggression in an offender sample.
Two hundred and eight adult male prisoners completed self-report psychological
tests measuring their histories of aggression, EMSs, and schema modes. Regression
analyses revealed that EMSs were significantly associated with aggression but did
not account for a unique portion of variance once the effects of schema modes
were taken into account. Three schema modes, Enraged Child, Impulsive Child, and
Bully and Attack, significantly predicted aggression. These findings support the
proposition that schema modes characterized by escalating states of anger, rage,
and impulsivity characterize aggressive offenders. In this regard, we call
attention to the need to include schema modes in contemporary social-cognitive
aggression theories, and suggest that systematic assessment and treatment of
schema modes has the potential to enhance outcomes with violent offenders.
PMID- 29368396
TI - Elytra Absorb Ultraviolet Radiation but Transmit Infrared Radiation in
Neotropical Canthon Species (Coleoptera, Scarabaeinae).
AB - Strategies to deal with global radiation may be related to important aspects of
species biology and ecology by reflecting, transmitting or absorbing the
radiation of varying wavelengths differently. The elytra capacity to manage
infrared, visible and ultraviolet radiations (from 185 to 1400 nm) was assessed
with a spectrophotometric analysis in five Canthon species of dung beetles; we
calculated the reflectance, transmittance and absorbance capacity of the elytra
of these species. These species have different ecologies: two species
preferentially inhabit forest areas (Canthon angularis and Canthon lividus
lividus), two species preferentially inhabit open areas (Canthon chalybaeus and
Canthon tetraodon) including agricultural crops, and one species does not present
a clear habitat preference and can be found in both habitats (Canthon
quinquemaculatus). All the species show a similar pattern in which the light from
shorter wavelengths and higher frequencies is almost entirely absorbed by the
elytra, while radiation from longer wavelengths and lower frequencies can mostly
pass through the elytra. However, C. quinquemaculatus seems to have significantly
higher rates of reflectance and transmittance in the visible- and near-infrared
spectrum. This different pattern found in C. quinquemaculatus may be associated
with its capacity to establish populations both in agricultural and forest areas.
PMID- 29368398
TI - 'Get an early check - Chrysanthemum tea': An outcome evaluation of a multimedia
campaign promoting HIV testing among men who have sex with men in Hong Kong.
AB - OBJECTIVES: 'Get an early check - chrysanthemum tea' was a multimedia campaign
promoting HIV testing targeting Chinese-speaking men who have sex with men (MSM)
in Hong Kong, China. It ran from October to December 2015. This study was carried
out to investigate the level of campaign exposure among Chinese-speaking MSM in
Hong Kong and the association between uptake of HIV testing in the last 6 months
and campaign exposure. METHODS: A cross-sectional survey was conducted 6 months
after the campaign was launched. Participants were Hong Kong Chinese-speaking men
aged >=18 years who had had anal or oral sex with at least one man in their
lifetime. A total of 153 eligible participants completed the anonymous self
administered questionnaire. RESULTS: Among the participants, 45.8% had been
exposed to the campaign and 43.1% had taken up HIV testing in the last 6 months.
In multivariate logistic regression analysis, exposure to the campaign
[multivariate odds ratio (ORm) 2.55; 95% confidence interval (CI) 1.25, 5.19] and
having had anal intercourse with a nonregular sex partner (ORm 2.36; 95% CI 1.05,
5.31) in the last 6 months were significantly associated with uptake of HIV
testing in the last 6 months. CONCLUSIONS: The campaign had relatively good reach
in the target population and may have been useful to encourage them to take up
HIV testing. Future campaigns promoting HIV testing among MSM in Hong Kong are
still needed. Such programmes should consider making use of viral videos, having
a longer project duration and developing culturally sensitive materials for non
Chinese-speaking MSM.
PMID- 29368397
TI - l-arginine ingestion inhibits eccentric contraction-induced proteolysis and force
deficit via S-nitrosylation of calpain.
AB - It has been shown that calpains are involved in the proteolysis of muscle
proteins that occurs with eccentric contraction (ECC) and that exogenously
applied nitric oxide decreases the calpain-mediated proteolysis. The aim of this
study was to examine the effects of ingestion of l-arginine (ARG), a nitric oxide
precursor, on ECC-related calpain activation. In the first and second
experiments, male Wistar rats were given ARG in water for 7 days starting from 3
days before the ECC protocol (average ingestion, ~600 mg kg-body wt-1 day-1 ).
Tibialis anterior muscles underwent 200 repeated ECCs and, subsequently, were
excised 3 days later. Whole muscle analyses (the first experiment) revealed that
ARG attenuated ECC-induced force deficit and autolysis of calpain-1, and
increased the amounts of S-nitrosylated calpain-1. Regarding ryanodine receptor
(RyR) and dihydropyridine receptor (DHPR), ECC-induced proteolysis was completely
inhibited by ARG, whereas the inhibition was partial for junctophilin-1 (JP1).
Skinned fiber analyses (the second experiment) showed that ARG also inhibited ECC
elicited reductions in the ratio of depolarization-induced to maximum Ca2+
activated force. In the third experiment, homogenates of rested muscles were
treated with S-nitrosylating agent, S-nitrosoglutathione (GSNO), and/or high Ca2+
concentration ([Ca2+ ]). Treatment with high [Ca2+ ] and without GSNO produced
proteolysis of RyR, DHPR, and JP1. On the other hand, treatment with high [Ca2+ ]
and GSNO caused complete inhibition of RyR and DHPR proteolysis and partial
inhibition of JP1 proteolysis. These results indicate that ARG ingestion can
attenuate ECC-induced proteolysis of Ca2+ regulatory proteins and force deficit
by decreasing calpain activation via S-nitrosylation.
PMID- 29368399
TI - Do interindividual differences in cardiac output during submaximal exercise
explain differences in exercising muscle oxygenation and ratings of perceived
exertion?
AB - Considerable interindividual differences in the Q-VO2 relationship during
exercise have been documented but implications for submaximal exercise tolerance
have not been considered. We tested the hypothesis that these interindividual
differences were associated with differences in exercising muscle deoxygenation
and ratings of perceived exertion (RPE) across a range of submaximal exercise
intensities. A total of 31 (21 +/- 3 years) healthy recreationally active males
performed an incremental exercise test to exhaustion 24 h following a resting
muscle biopsy. Cardiac output (Q L/min; inert gas rebreathe), oxygen uptake (VO2
L/min; breath-by-breath pulmonary gas exchange), quadriceps saturation (near
infrared spectroscopy) and exercise tolerance (6-20; Borg Scale RPE) were
measured. The Q-VO2 relationship from 40 to 160 W was used to partition
individuals post hoc into higher (n = 10; 6.3 +/- 0.4) versus lower (n = 10; 3.7
+/- 0.4, P < 0.001) responders. The Q-VO2 difference between responder types was
not explained by arterial oxygen content differences (P = 0.5) or peripheral
skeletal muscle characteristics (P from 0.1 to 0.8) but was strongly associated
with stroke volume (P < 0.05). Despite considerable Q-VO2 difference between
groups, no difference in quadriceps deoxygenation was observed during exercise
(all P > 0.4). Lower cardiac responders had greater leg (P = 0.027) and whole
body (P = 0.03) RPE only at 185 W, but this represented a higher %peak VO2 in
lower cardiac responders (87 +/- 15% vs. 66 +/- 12%, P = 0.005). Substantially
lower Q-VO2 in the lower responder group did not result in altered RPE or
exercising muscle deoxygenation. This suggests substantial recruitment of blood
flow redistribution in the lower responder group as part of protecting matching
of exercising muscle oxygen delivery to demand.
PMID- 29368400
TI - Cerebrospinal fluid HIV RNA in persons living with HIV.
AB - OBJECTIVES: Despite adequate suppression of plasma HIV RNA, viral escape in
cerebrospinal fluid (CSF) is widely reported. Rates of CSF HIV RNA escape vary in
the literature. In persons living with HIV (PLWH) undergoing lumbar puncture
examination for clinical reasons, we assessed rates of CSF HIV RNA escape.
METHODS: Persons living with HIV attending a designated HIV neurology service
undergoing CSF assessment for clinical reasons between January 2015 and April
2017 were included in the study. CSF HIV RNA escape was defined as HIV RNA >= 0.5
log10 HIV-1 RNA copies/mL higher than plasma HIV RNA or detectable CSF HIV RNA
when plasma HIV RNA was < 20 copies/mL. Clinical factors associated with CSF HIV
RNA were assessed using logistic regression modelling. RESULTS: Of 38
individuals, 35 were receiving antiretroviral therapy, 30 were male and their
mean age was 51 years. Clinical reasons for CSF assessment included investigation
for cognitive decline (n = 25), early syphilis (n = 4) and other central nervous
system (CNS) conditions (n = 9). HIV RNA was detectable in plasma and CSF in
seven and six individuals, respectively, with two individuals (5.3%) meeting the
definition of CSF escape. Detectable CSF HIV RNA was associated with a detectable
plasma HIV RNA (P < 0.001) and a history of known antiretroviral drug resistance
mutations (P = 0.021). CONCLUSIONS: The prevalence of CSF viral escape in PLWH
undergoing lumbar puncture examination for clinical reasons is lower than
previously reported.
PMID- 29368401
TI - Patient perspectives on de-simplifying their single-tablet co-formulated
antiretroviral therapy for societal cost savings.
AB - OBJECTIVES: The incremental costs of expanding antiretroviral (ARV) drug
treatment to all HIV-infected patients are substantial, so cost-saving
initiatives are important. Our objectives were to determine the acceptability and
financial impact of de-simplifying (i.e. switching) more expensive single-tablet
formulations (STFs) to less expensive generic-based multi-tablet components. We
determined physician and patient perceptions and acceptance of STF de
simplification within the context of a publicly funded ARV budget. METHODS:
Programme costs were calculated for patients on ARVs followed at the Southern
Alberta Clinic, Canada during 2016 (Cdn$). We focused on patients receiving
Triumeq(r) and determined the savings if patients de-simplified to eligible
generic co-formulations. We surveyed all prescribing physicians and a convenience
sample of patients taking Triumeq(r) to see if, for budgetary purposes, they felt
that de-simplification would be acceptable. RESULTS: Of 1780 patients receiving
ARVs, 62% (n = 1038) were on STF; 58% (n = 607) of patients on STF were on
Triumeq(r). The total annual cost of ARVs was $26 222 760. The cost for
Triumeq(r) was $8 292 600. If every patient on Triumeq(r) switched to generic
abacavir/lamivudine and Tivicay(r) (dolutegravir), total costs would decrease by
$4 325 040. All physicians (n = 13) felt that de-simplifying could be safely
achieved. Forty-eight per cent of 221 patients surveyed were agreeable to de
simplifying for altruistic reasons, 27% said no, and 25% said maybe. CONCLUSIONS:
De-simplifying Triumeq(r) generates large cost savings. Additional savings could
be achieved by de-simplifying other STFs. Both physicians and patients agreed
that selective de-simplification was acceptable; however, it may not be
acceptable to every patient. Monitoring the medical and cost impacts of de
simplification strategies seems warranted.
PMID- 29368402
TI - Comprehensive PBPK Model of Rifampicin for Quantitative Prediction of Complex
Drug-Drug Interactions: CYP3A/2C9 Induction and OATP Inhibition Effects.
AB - This study aimed to construct a physiologically based pharmacokinetic (PBPK)
model of rifampicin that can accurately and quantitatively predict complex drug
drug interactions (DDIs) involving its saturable hepatic uptake and auto
induction. Using in silico and in vitro parameters, and reported clinical
pharmacokinetic data, rifampicin PBPK model was built and relevant parameters for
saturable hepatic uptake and UDP-glucuronosyltransferase (UGT) auto-induction
were optimized by fitting. The parameters for cytochrome P450 (CYP) 3A and CYP2C9
induction by rifampicin were similarly optimized using clinical DDI data with
midazolam and tolbutamide as probe substrates, respectively. For validation, our
current PBPK model was applied to simulate complex DDIs with glibenclamide (a
substrate of CYP3A/2C9 and hepatic organic anion transporting polypeptides
(OATPs)). Simulated results were in quite good accordance with the observed data.
Altogether, our constructed PBPK model of rifampicin demonstrates the robustness
and utility in quantitatively predicting CYP3A/2C9 induction-mediated and/or OATP
inhibition-mediated DDIs with victim drugs.
PMID- 29368403
TI - Cortical contributions to anticipatory postural adjustments in the trunk.
AB - KEY POINTS: Increases in activity of trunk muscles that occur prior to, or
concurrent with, a voluntary limb movement are termed anticipatory postural
adjustments (APAs). APAs are important for maintaining postural stability in
response to perturbations but the neural mechanisms underlying APAs remain
unclear. Our results showed that corticospinal excitability of erector spinae
(ES) muscle increased at 40 ms prior to rapid shoulder flexion, with a reduction
in intracortical inhibition and no change in spinal excitability. Changes in
corticospinal excitability were observed in ES, with similar excitability
profiles between standing and lying positions, but were not observed in rectus
abdominis. We suggest that the neural control of postural adjustments involves
changes at a cortical level, which in part are due to reduced inhibition.
ABSTRACT: Voluntary limb movements are associated with increases in trunk muscle
activity, some of which occur within a time window considered too fast to be
induced by sensory feedback; these increases are termed anticipatory postural
adjustments (APAs). Although it is known that the function of APAs is to maintain
postural stability in response to perturbations, excitability of the
corticospinal projections to the trunk muscles during the APAs remains unclear.
Thirty-four healthy subjects performed rapid shoulder flexion in response to a
visual cue in standing and lying positions. Transcranial magnetic stimulation
(TMS) was delivered over the trunk motor cortex to examine motor evoked
potentials (MEPs) in erector spinae (ES) and in rectus abdominis (RA) muscles at
several time points prior to the rise in electromyographic activity (EMG) of
anterior deltoid (AD) muscle. TMS was also used to assess short-interval
intracortical inhibition (SICI) and cervicomedullary MEPs (CMEPs) in ES in the
standing position. MEPs in ES were larger at time points closer to the rise in AD
EMG in both standing and lying positions, whereas MEPs in RA did not differ over
the time course examined. Notably, SICI was reduced at time points closer to the
rise in AD EMG, with no change in CMEPs. Our results demonstrate that increasing
excitability of corticospinal projections to the trunk muscles prior to a
voluntary limb movement is likely to be cortical in origin and is muscle
specific.
PMID- 29368404
TI - Effects of a Peganum harmala (Zygophyllaceae) preparation for root canal
disinfection.
AB - The aim of this study was to determine the antimicrobial capacity, minimum
inhibitory concentration (MIC), and cytotoxic effects of a Peganum harmala seed
extract in comparison to 5.25% sodium hypochlorite (NaOCl). The oral pathogen
Enterococcus faecalis was used to evaluate the antimicrobial capacity, and the
MIC values were determined through serial dilution. Inhibition zones were
measured in millimeter, and the data were analyzed statistically by analysis of
variance and the Tukey HSD test. For cytotoxicity testing, P. harmala seed
extract and 5.25% NaOCl solution were incubated with L929 fibroblast cells. After
1, 24, and 72 hr of incubation, cells were stained and the optical density
determined with an enzyme-linked immunosorbent assay (ELISA) reader. Data were
analyzed with Chi-Square statistical test. The significance level was set at p <
.05. There was no significant difference between the antimicrobial capacity of
5.25% NaOCl and the P. harmala extract (p > .05; MIC 4 MUg/ml). The Microculture
Tetrazolium (MTT) assay test showed that the cytotoxic effects of the P. harmala
extract were significantly lower than 5.25% NaOCl (p < .05). The results show
that 5.25% NaOCl and P. harmala seed extract have similar antimicrobial activity
against Enterococcus faecalis; but P. harmala, which shows reduced cytotoxicity,
should be considered for further investigation as a safe, phytotherapeutic,
intracanal irrigant.
PMID- 29368405
TI - Effects of seed mixture sowing with transgenic Bt rice and its parental line on
the population dynamics of target stemborers and leafrollers, and non-target
planthoppers.
AB - The widespread planting of insect-resistant crops has caused a dramatic shift in
agricultural landscapes, thus raising concerns about the potential impacts on
both target and non-target pests. In this study, we examined the potential
effects of intra-specific seed mixture sowing with transgenic Bt rice (Bt) and
its parental non-transgenic line (Nt) (100% Bt rice [Bt100 ], 5% Nt+95% Bt [Nt05
Bt95 ], 10% Nt+90% Bt [Nt10 Bt90 ], 20% Nt+80% Bt [Nt20 Bt80 ], 40% Nt+60% Bt
[Nt40 Bt60 ] and 100% Nt rice [Nt100 ]) on target and non-target pests in a 2
year field trial in southern China. The occurrence of target pests, Sesamia
inferens, Chilo suppressalis and Cnaphalocrocis medinalis, decreased with the
increased ratio of Bt rice, and the mixture ratios with more than 90% Bt rice
(Bt100 and Nt05 Bt95 ) significantly increased the pest suppression efficiency,
with the lowest occurrences of non-target planthoppers, Nilaparvata lugens and
Sogatella furcifera in Nt100 and Nt05 Bt95 . Furthermore, there were no
significant differences in 1000-grain dry weight and grain dry weight per 100
plants between Bt100 and Nt05 Bt95 . Seed mixture sowing of Bt rice with <=10%
(especially 5%) of its parent line was sufficient to overcome potential
compliance issues that exist with the use of block or structured refuge to
provide most effective control of both target and non-target pests without
compromising the grain yield. It is also expected that the strategy of seed
mixture sowing with transgenic Bt rice and the non-transgenic parental line would
provide rice yield stability while decreasing the insecticide use frequency in
rice production.
PMID- 29368406
TI - Cultivation of seaweed Gracilaria lemaneiformis enhanced biodiversity in a
eukaryotic plankton community as revealed via metagenomic analyses.
AB - Plankton diversity reflects the quality and health of waters and should be
monitored as a critical feature of marine ecosystems. This study applied a pair
of 28S rRNA gene-specific primers and pyrosequencing to assess the effects of
large-scale cultivation of the seaweed Gracilaria lemaneiformis on the
biodiversity of eukaryotic plankton community in the coastal water of Guangdong,
China. With 1 million sequences (2,221 operational taxonomic units [OTUs])
obtained from 51 samples, we found that the biodiversity of eukaryotic plankton
community was significantly higher in the seaweed cultivation area than that in
the nearby control area as reflected in OTU richness, evenness (Shannon-Wiener
index) and dominance (Simpson index) for total plankton community and its four
subcategories when Gracilaria biomass reached the maximum, while no such a
significant difference was observed before seaweed inoculation. Our laboratory
experiment using an artificial phytoplankton community of nine species observed
the same effects of Gracilaria exposure. Principal component analysis and
principal coordinates analysis showed the plankton community structure in
cultivation area markedly differed from the control area when Gracilaria biomass
reached its maximum. Redundancy analysis showed that G. lemaneiformis was the
critical factor in controlling the dynamics of eukaryotic plankton communities in
the studied coastal ecosystem. Our results explicitly demonstrated G.
lemaneiformis cultivation could enhance biodiversity of plankton community via
allelopathy, which prevents one or several plankton species from blooming and
consequently maintains a relatively higher biodiversity. Our study provided
further support for using large-scale G. lemaneiformis cultivation as an
effective approach for improving costal ecosystem health.
PMID- 29368407
TI - The new therapeutic herbal drug HM0601 and its bioactive compound rutin exert
potent antiproliferative activities in mast cells.
AB - HM0601 consists of Allium hookeri and Lycium chinense fruit and contains a lot of
rutin. Here, we ascertained whether HM0601 and its major compound rutin reduce
proliferation of human mast cell line, HMC-1, under thymic stromal lymphopoietin
(TSLP) stimulation. Therapeutic rutin or HM0601 treatment considerably reduced
proliferation of mast cells without exposing activated HMC-1 cells to any
cytotoxicity. Reduced levels of mouse double minute 2 and phosphorylated signal
transducers and activators of transcription 6 were accompanied by treatment with
rutin or HM0601. In TSLP-stimulated cells, rutin or HM0601 treatment
significantly impaired levels of interleukin (IL)-13 and Bcl2 expression.
Notably, rutin or HM0601 treatment returned Bax and phosphorylated p53 protein
levels and caspase-3 activities impaired by TSLP. In addition, levels of
inflammatory cytokine were considerably reduced by treatment with rutin or HM0601
on TSLP-stimulated cells. In conclusion, these results indicate that HM0601 can
be used as a new therapeutic herbal drug for prevention and therapeutic
intervention of allergic inflammatory diseases.
PMID- 29368408
TI - Cytotoxicity of Tanshinone IIA combined with Taxol on drug-resist breast cancer
cells MCF-7 through inhibition of Tau.
AB - Drug resistance represents a major obstacle to improving the overall response and
survival of cancer patients. Taxol is one of the most commonly used chemotherapy
agents in breast cancer. As with many cancer therapeutic agents, resistance
remains a significant problem when using Taxol to treat malignancies. In this
study, estrogen receptor positive breast cancer cells MCF-7 were induced Taxol
resistance. And Tanshinone IIA combined with Taxol was chosen to treat it. The
drugs combination showed additive effect in most drug concentrations. Drug
resistance cancer cells showed a higher microtubule associated protein (Tau)
expression, which was considered as one of the reasons for Taxol resistance.
Tanshinone IIA inhibited the expression of Tau in MCF-7 cells and resulted in
higher sensibility of Taxol. Moreover, Tanshinone IIA also showed cytotoxicity to
MCF-7, which might be related to its estrogenicity effect. In conclusion, the
combination of Tanshinone IIA and Taxol showed higher cytotoxicity to Taxol
resistant MCF-7 cells, which might be related to the inhibition of Tau.
PMID- 29368409
TI - The effect of Banisteriopsis caapi (B. caapi) on the motor deficits in the MPTP
treated common marmoset model of Parkinson's disease.
AB - Banisteriopsis caapi (B. caapi) contains harmine, harmaline, and
tetrahydroharmine, has monoamine oxidase inhibitory activity, and has reported
antiparkinsonian activity in humans when imbibed as a tea; however, its effects
are poorly documented. For this reason, motor function was assessed in 1-methyl-4
phenyl-1,2,3,6-tetrahydropyridine-treated common marmosets following
administration of B. caapi extract (28.4-113.6 mg/kg; po), harmine (0.1 and 0.3
mg/kg; sc), and selegiline (10 mg/kg; sc), alone or with a submaximal dose of L
3,4-dihydroxyphenylalanine (L-DOPA; 4-7 mg/kg). L-DOPA reversed motor disability,
increased locomotor activity, and induced moderate dyskinesia. B. caapi did not
increase locomotor activity or induce dyskinesia but at 56.8 and 113.6 mg/kg
improved motor disability. The L-DOPA response was unaltered by co-administration
of B. caapi. Harmine (0.1 and 0.3 mg/kg) produced a mild improvement in motor
disability without affecting locomotor activity or dyskinesia but had no effect
on the L-DOPA-induced antiparkinsonian response. Selegiline (10 mg/kg) alone
improved motor function to the same extent as L-DOPA, but with only mild
dyskinesia, and did not alter the response to L-DOPA, although dyskinesia was
reduced. The findings suggest that B. caapi alone has a mild antiparkinsonian
effect but does not enhance the L-DOPA response or reduce dyskinesia.
PMID- 29368410
TI - Recognition of clinical characteristics for population-based surveillance of
fetal alcohol syndrome.
AB - BACKGROUND: The diagnosis of fetal alcohol syndrome (FAS) rests on identification
of characteristic facial, growth, and central nervous system (CNS) features.
Public health surveillance of FAS depends on documentation of these
characteristics. We evaluated if reporting of FAS characteristics is associated
with the type of provider examining the child. METHODS: We analyzed cases aged 7
9 years from the Fetal Alcohol Syndrome Surveillance Network II (FASSNetII). We
included cases whose surveillance records included the type of provider
(qualifying provider: developmental pediatrician, geneticist, neonatologist;
other physician; or other provider) who evaluated the child as well as the FAS
diagnostic characteristics (facial dysmorphology, CNS impairment, and/or growth
deficiency) reported by the provider. RESULTS: A total of 345 cases were eligible
for this analysis. Of these, 188 (54.5%) had adequate information on type of
provider. Qualifying physicians averaged more than six reported FAS
characteristics while other providers averaged less than five. Qualifying
physicians reported on facial characteristics and developmental delay more
frequently than other providers. Also, qualifying physicians reported on all
three domains of characteristics (facial, CNS, and growth) in 97% of cases while
others reported all three characteristics on two thirds of cases. CONCLUSIONS:
Documentation in medical records during clinical evaluations for FAS is lower
than optimal for cross-provider communication and surveillance purposes. Lack of
documentation limits the quality and quantity of information in records that
serve as a major source of data for public health surveillance systems.
PMID- 29368411
TI - Dual regulation of decorin by androgen and Hedgehog signaling during prostate
morphogenesis.
AB - BACKGROUND: Prostate ductal branching morphogenesis involves a complex
spatiotemporal regulation of cellular proliferation and remodeling of the
extracellular matrix (ECM) around the developing ducts. Decorin (Dcn) is a small
leucine-rich proteoglycan known to sequester several growth factors and to act as
a tumor suppressor in prostate cancer. RESULTS: Dcn expression in the developing
prostate paralleled branching morphogenesis and was dynamically regulated by
androgen and Hedgehog (Hh) signaling. DCN colocalized with collagen in the
periductal stroma and acellular interstitium. Exogenous DCN decreased epithelial
proliferation in ex vivo organ cultures of developing prostate, whereas genetic
ablation of Dcn resulted in increased epithelial proliferation in the developing
prostate. CONCLUSIONS: Dcn expression and localization in the developing prostate
is consistent with a primary role in organizing collagen around the developing
ducts. Regulation of Dcn expression appears to be complex, involving both
androgen and Hh signaling. The growth inhibitory effect of Dcn suggests a unique
linkage between a structural proteoglycan and epithelial growth regulation. This
may serve to coordinate two elements of the morphogenetic process: ductal growth
and organization of the collagen matrix around the nascent duct. Developmental
Dynamics 247:679-685, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29368412
TI - Tuning the Surface Composition of Ni/meso-CeO2 with Iridium as an Efficient
Catalyst for Hydrogen Generation from Hydrous Hydrazine.
AB - Selective decomposition of hydrous hydrazine (N2 H4 ?H2 O) over metal catalysts
provides a promising means for onboard or portable hydrogen source applications.
Studies on N2 H4 ?H2 O decomposition catalysts mainly focus on the effects of
bulk composition and structure on their performances, instead of the surface
composition-dependent properties. Herein, the synthesis of an Ir-modified Ni/meso
CeO2 catalyst is reported by using a combination of colloidal solution combustion
synthesis and galvanic replacement methods. A combination of structural
characterization, control experiments, and DFT calculations reveals that the Ni
Ir alloy resulting from calcination treatment exerts a profound effect on the
catalytic properties. The resulting Ni@Ni-Ir/meso-CeO2 catalyst shows excellent
catalytic performance towards hydrogen generation from N2 H4 ?H2 O, which
compares favorably with the Ni-Ir bimetallic catalysts reported to date.
PMID- 29368413
TI - Real-life adaptations in walking patterns in patients with established peripheral
arterial disease assessed using a global positioning system in the community: a
cohort study.
AB - OBJECTIVE: Lower extremity peripheral arterial disease (PAD) is a chronic
condition most commonly presenting with intermittent claudication (IC).
Intermittent claudication limits walking ability and may negatively affect health
related quality of life. Treadmill assessment of maximal walking distance (MWD)
is the gold standard to assess PAD symptom severity. Despite being a well
established and reproducible tool, it may be inappropriate (due to frailty or
fear) for some patients and only describes maximal abilities for a single walk
test. Global positioning systems (GPS) have been proposed as reliable and
reproducible tool to measure total, mean and maximal walking distances in
patients with PAD, in the community setting. Using GPS, our study attempted to
explore what happens to the walking ability of patients with IC following no
intervention under 'real-life' conditions. DESIGN AND METHODS: Using the
GlobalSat DG100 GPS, forty-three patients (69 +/- 9 years; nine female; no
invasive interventions or rehabilitation) undertook two 60-min walking
assessments, 6 months apart. Assessments took place in community spaces that had
even terrain, no tall trees or buildings and were free from motorized vehicles.
Global positioning systems-measured maximum walking distance was the main study
outcome measure. RESULTS: Over the 6-month period, patients demonstrated
significantly shorter GPS-measured, mean (552 m versus 334 m; P = 0.02) and
maximum (714 m versus 545 m; P = 0.04) walking distances, stopping also more
frequently (nine versus five times; P = 0.03). CONCLUSIONS: Given the reported
symptom progression, we advocate early intervention (e.g. exercise interventions)
combined with frequent patient monitoring in attempts to maintain or improve
walking ability.
PMID- 29368414
TI - Stromal tiny black dots, like "sugar-coated", of von Kossa stain is a diagnostic
clue to hyalinizing trabecular tumor of the thyroid gland.
AB - Hyalinizing trabecular tumor (HTT) is a rare low-grade tumor, and a prominent
feature is the basement membranous stroma. We assume that such characteristic
stromal findings of HTT are related to calcium deposition, and examined HTT
samples by von Kossa special staining. There has been no report describing von
Kossa special staining for such stroma. We collected 12 cases of HTT and 30 cases
of papillary thyroid carcinoma (PTC) that had matched age, gender, tumor size,
and surgical procedure characteristics as a control group. We compared the
staining pattern and degree of von Kossa positivity between HTT and PTC, and a
grading system of von Kossa stain was adopted to highlight differences between
them. On von Kossa staining, all HTT revealed many tiny black dots around vessels
in the hyalinized stroma, like "sugar-coated", and a high degree of calcium
deposition in most cases, whereas PTC showed sparse stromal calcification in some
cases. The degree of von Kossa staining was significantly different between the
two groups. This is the first report describing abundant tiny black dots, like a
"sugar-coated" appearance, of von Kossa stain in HTT. Here, we propose this
finding can be a useful diagnostic clue to HTT.
PMID- 29368415
TI - Sleep-disordered breathing in hypertensive disorders of pregnancy: a BMI-matched
study.
AB - Sleep-disordered breathing is more common in hypertensive disorders during
pregnancy; however, most studies have not adequately accounted for the potential
confounding impact of obesity. This study evaluated the frequency of sleep
disordered breathing in women with gestational hypertension and pre-eclampsia
compared with body mass index- and gestation-matched normotensive pregnant women.
Women diagnosed with gestational hypertension or pre-eclampsia underwent
polysomnography shortly after diagnosis. Normotensive controls body mass index
matched within +/-4 kg m-2 underwent polysomnography within +/-4 weeks of
gestational age of their matched case. The mean body mass index and gestational
age at polysomnography were successfully matched for 40 women with gestational
hypertension/pre-eclampsia and 40 controls. The frequency of sleep-disordered
breathing in the cases was 52.5% compared with 37.5% in the control group (P =
0.18), and the respiratory disturbance index overall did not differ (P = 0.20).
However, more severe sleep-disordered breathing was more than twice as common in
women with gestational hypertension or pre-eclampsia (35% versus 15%, P = 0.039).
While more than half of women with a hypertensive disorder of pregnancy meet the
clinical criteria for sleep-disordered breathing, it is also very common in
normotensive women of similar body mass index. This underscores the importance of
adjusting for obesity when exploring the relationship between sleep-disordered
breathing and hypertension in pregnancy. More severe degrees of sleep-disordered
breathing are significantly associated with gestational hypertension and pre
eclampsia, and sleep-disordered breathing may plausibly play a role in the
pathophysiology of pregnancy hypertension in these women. This suggests that more
severe sleep-disordered breathing is a potential therapeutic target for reducing
the prevalence or severity of hypertensive disorders in pregnancy.
PMID- 29368416
TI - Presymptomatic screening for autoimmune beta-cell disorder: Baby steps toward
prevention?
PMID- 29368417
TI - Continuing education: online monitoring of haemodialysis dose.
AB - BACKGROUND: Kt/Vurea reflects the efficacy of haemodialysis scaled to patient
size (urea distribution volume). The guidelines recommend monthly Kt/V
measurements based on blood samples. Modern haemodialysis machines are equipped
with accessories monitoring the dose online at every session without extra costs,
blood samples and computers. OBJECTIVE: To describe the principles, devices,
benefits and shortcomings of online monitoring of haemodialysis dose. DESIGN: A
critical literature overview and discussion. RESULTS: UV absorbance methods
measure Kt/V, ionic dialysance Kt (product of clearance and treatment time;
cleared volume without scaling). Both are easy and useful methods, but comparison
is difficult due to problems in scaling of the dialysis dose to the patient's
size. CONCLUSIONS: The best dose estimation method is the one which predicts the
quality of life and survival most accurately. There is some evidence on the
predictive value of ionic dialysance Kt, but more documentation is required on
the UV method. Online monitoring is a useful tool in everyday quality assurance,
but blood samples are still required for more accurate kinetic modelling.
LEARNING OUTCOMES: After reading this article the reader should be able to:
Understand the elements of the Kt/V equation for dialysis dose. Compare and
contrast different methods of measurement of dialysis dose. Reflect on the
importance of adequate dialysis dose for patient survival and life quality.
PMID- 29368418
TI - Ileocolonic neuroendocrine tumours identified in the English bowel cancer
screening programme.
AB - AIM: Ileocolonic neuroendocrine tumours (NETs) are diagnosed as part of bowel
cancer screening programmes (BCSPs). The aim of this study was to identify and
characterize NETs diagnosed within the English BCSP, a double-screen programme
that uses guaic faecal occult blood test (gFOBT) screening and colonoscopy, by
interrogating the national colorectal screening database and validating the
findings with individual BCSP centres. METHOD: The Exeter database was
interrogated by running queries to identify participants with coded NETs (from
the start of the programme in July 2006 - 1 December 2014). A written proforma
was sent to the responsible BCSP clinician for validation and characterization.
RESULTS: During this period, 13 061 716 participants were adequately screened
using gFOBTs, and 259 765 participants had definitively abnormal results. There
were 146 unique participants with NET-related codes from 216 707 BCSP
colonoscopies. The diagnosis rates per 100 000 colonoscopies were 29 rectal, 18
colonic and 11 ileal NETs. The majority of rectal NETs had Grade 1 (80%) and
Stage T1 (85.1%) disease. Over half of ileal NETs (53.6%) in this study had
invasive disease, with 85.2% having nodal and 36.1% having metastastatic disease.
CONCLUSION: The current study highlights the rate of colorectal NETs diagnosed in
the English BCSP. These data highlight a higher-than-anticipated incidence, and
the potential additional benefit of BCSPs in identifying occult NETs.
PMID- 29368419
TI - Utility of single versus multiple breath washout in adult asthma.
AB - Nitrogen multiple breath washout (N2 MBW) is a sensitive method to identify
peripheral airway involvement in asthma, but is a time-consuming test. The N2
vital capacity single breath (VC SBW) test offers greater time efficiency, but
concordance with N2 MBW is poorly understood. The prevalence of peripheral airway
abnormality was determined by N2 MBW and N2 SBW tests in 194 asthmatic subjects
aged 18-1 years. N2 MBW data were related to findings in 400 healthy controls,
aged 17-71 years, while N2 SBW data were compared to findings in 224 healthy
controls, aged 15-65 years, to derive equipment-specific reference values.
Amongst asthmatic subjects, relationships between N2 SBW and N2 MBW outcomes were
studied. N2 SBW relationship with clinical history, spirometry, blood eosinophils
and fraction exhaled nitric oxide (FENO) data was also explored. The prevalence
of peripheral airway involvement (i.e. abnormal ventilation distribution)
determined by N2 SBW-derived phase III slope (N2 SIII ) was 24.7%, compared to
44% determined by N2 MBW-derived lung clearance index (LCI) (P<0.001). Predictors
of abnormal N2 SIII were older age, smoking history and lower FEV1. N2 SBW offers
lower sensitivity than N2 MBW to detect small airway dysfunction in adult asthma,
but may be a marker of more severe disease.
PMID- 29368420
TI - Minimizing dose variation from the interplay effect in stereotactic radiation
therapy using volumetric modulated arc therapy for lung cancer.
AB - It is important to improve the magnitude of dose variation that is caused by the
interplay effect. The aim of this study was to investigate the impact of the
number of breaths (NBs) to the dose variation for VMAT-SBRT to lung cancer. Data
on respiratory motion and multileaf collimator (MLC) sequence were collected from
the cases of 30 patients who underwent radiotherapy with VMAT-SBRT for lung
cancer. The NBs in the total irradiation time with VMAT and the maximum
craniocaudal amplitude of the target were calculated. The MLC sequence complexity
was evaluated using the modulation complexity score for VMAT (MCSv). Static and
dynamic measurements were performed using a cylindrical respiratory motion
phantom and a micro ionization chamber. The 1 standard deviation which were
obtained from 10 dynamic measurements for each patient were defined as dose
variation caused by the interplay effect. The dose distributions were also
verified with radiochromic film to detect undesired hot and cold dose spot. Dose
measurements were also performed with different NBs in the same plan for 16
patients in 30 patients. The correlations between dose variations and parameters
assessed for each treatment plan including NBs, MCSv, the MCSv/amplitude quotient
(TMMCSv), and the MCSv/amplitude quotient * NBs product (IVS) were evaluated.
Dose variation was decreased with increasing NBs, and NBs of >40 times maintained
the dose variation within 3% in 15 cases. The correlation between dose variation
and IVS which were considered NBs was shown stronger (R2 = 0.43, P < 0.05) than
TMMCSv (R2 = 0.32, P < 0.05). The NBs is an important factor to reduce the dose
variation. The patient who breathes >40 times during irradiation of two partial
arcs VMAT (i.e., NBs = 16 breaths per minute) may be suitable for VMAT-SBRT for
lung cancer.
PMID- 29368421
TI - Incapacity to control emotion in major depression may arise from disrupted white
matter integrity and OFC-amygdala inhibition.
AB - BACKGROUND: Disturbances in emotion regulation are the hallmarks of major
depressive disorder (MDD). The incapacity to control negative emotion in patients
has been associated with abnormal hyperactivation of the limbic system and
hypoactivation of the frontal cortex. The amygdala and orbital frontal cortex
(OFC) are two critical regions of the emotion regulation neural systems. METHODS:
This study investigated the anatomical basis of abnormal emotion regulation by
tracking the fiber tracts connecting the amygdala and OFC. In addition, using
dynamic casual modeling on resting-state fMRI data of 20 MDD patients and
equivalent controls, we investigated the exact neural mechanism through which
abnormal communications between these two nodes were mediated in MDD. KEY
RESULTS: The results revealed disrupted white matter integrity of fiber tracts in
MDD, suggesting that functional abnormalities were accompanied by underlying
anatomical basis. We also detected a failure of inhibition of the OFC on the
activity of the amygdala in MDD, suggesting dysconnectivity was mediated through
"top-down" influences from the frontal cortex to the amygdala. Following 8 weeks
of antidepressant treatment, the patients showed significant clinical improvement
and normalization of the abnormal OFC-amygdala structural and effective
connectivity in the left hemisphere. CONCLUSIONS & INFERENCES: Our findings
suggest that pathways connecting these two nodes may be core targets of the
antidepressant treatment. In particular, it raised the intriguing question: Does
the reversal of structural markers of connectivity reflect a response to
antidepressant medication or activity-dependent myelination following a
therapeutic restoration of effective connectivity?
PMID- 29368423
TI - Editorial.
PMID- 29368425
TI - Genetic assessment wait time indicators in the High Risk Ontario Breast Screening
Program.
AB - BACKGROUND: The Ontario Breast Screening Program (OBSP) expanded in July 2011 to
screen high-risk women aged 30-69 with annual MRI and mammography. This study
evaluated wait time (WT) indicators along the genetic assessment (GA) pathway for
women referred to the High Risk OBSP. METHODS: Information was collected for
27,170 women referred to the High Risk OBSP from July 2011 to June 2015 and
followed for GA until June 2016. Median duration (days), interquartile range
(IQR) were measured for each WT indicator by program year, age, prior breast
cancer, and risk criteria. RESULTS: Among 24,811 women who completed GA, 16,367
(66.0%) had genetic counseling only, 8,444 (34.0%) had counseling and testing and
8,027 (32.4%) met the high risk criteria. Median WT from physician visit to first
screen was longer for women having genetic counseling only compared to those
having counseling and testing (244 vs. 197 days). Women having counseling only
also experienced the longest WT from physician visit to genetic counseling (88
days; IQR = 10-174), which increased by year from 71 to 100 days (p < .0001).
Among women having counseling and testing, WT from physician visit to counseling
was shortest for mutation carriers (39 days; IQR = 4-100). Median WT from testing
to laboratory report issue was 41 days (IQR = 22-70) and 17 days to disclosure of
test results (IQR = 7-33). Both WTs decreased with year and were shorter for
mutation carriers (33 days, IQR = 19-58; 15 days, IQR = 7-28, respectively).
CONCLUSIONS: After implementation of the High Risk OBSP, women received timely
genetic counseling, in particular those having counseling and testing. Effective
triage models for physicians could reduce WT to GA after physician referral.
PMID- 29368426
TI - Serum Endocan Levels are Associated With Paraoxonase 1 Concentration in Patients
With Chronic Kidney Disease.
AB - Endocan is a soluble proteoglycan released by the vascular endothelium. The
increase of its serum levels is associated with inflammation, endothelial
dysfunction and cardiovascular events in patients with chronic kidney disease
(CKD). We studied the association of serum endocan with the lipid profile of 105
CKD patients with dyslipidemia, divided in two groups, non-dialyzed (CKD, N = 57)
and hemodialysis (HD, N = 48) in comparison with 30 normal controls (NC). We also
analyzed endocan in relation with the concentration of two serum HDL-linked
members of the paraoxonase (PON) family, PON1 and PON3, which have been
previously found to have antiatherogenic properties. The results showed that
endocan levels were significantly higher in HD patients than in CKD patients (P <
0.001) and NC (P < 0.001). PON1 was significantly decreased only in HD patients
compared to NC (P < 0.001), whereas PON3 was significantly increased in both
patient groups (P < 0.001). Endocan levels were significantly and positively
correlated with total cholesterol and LDL-C in CKD and additionally were
negatively correlated with HDL-C in HD group. PON1 levels were significantly
correlated with endocan in both groups, while no correlation was observed for
PON3 in either group. Multiple regression analysis between endocan and the above
lipid parameters in the total of patients revealed that endocan was independently
associated only with PON1 (beta = -0.513, P = 0.002). It is concluded that the
increase of serum endocan levels in patients with CKD may be associated with the
decrease of PON1 concentration, irrespective of lipid alterations produced by
atherosclerosis development.
PMID- 29368422
TI - Clinical and Vitamin Response to a Short-Term Multi-Micronutrient Intervention in
Brazilian Children and Teens: From Population Data to Interindividual Responses.
AB - SCOPE: Micronutrients are in small amounts in foods, act in concert, and require
variable amounts of time to see changes in health and risk for disease. These
first principles are incorporated into an intervention study designed to develop
new experimental strategies for setting target recommendations for food
bioactives for populations and individuals. METHODS AND RESULTS: A 6-week
multivitamin/mineral intervention is conducted in 9-13 year olds. Participants
(136) are (i) their own control (n-of-1); (ii) monitored for compliance; (iii)
measured for 36 circulating vitamin forms, 30 clinical, anthropometric, and food
intake parameters at baseline, post intervention, and following a 6-week washout;
and (iv) had their ancestry accounted for as modifier of vitamin baseline or
response. The same intervention is repeated the following year (135
participants). Most vitamins respond positively and many clinical parameters
change in directions consistent with improved metabolic health to the
intervention. Baseline levels of any metabolite predict its own response to the
intervention. Elastic net penalized regression models are identified, and
significantly predict response to intervention on the basis of multiple
vitamin/clinical baseline measures. CONCLUSIONS: The study design, computational
methods, and results are a step toward developing recommendations for optimizing
vitamin levels and health parameters for individuals.
PMID- 29368427
TI - Physical activity unveils the relationship between mitochondrial energetics,
muscle quality, and physical function in older adults.
AB - BACKGROUND: The concept of mitochondrial dysfunction in ageing muscle is highly
controversial. In addition, emerging evidence suggests that reduced muscle
oxidative capacity and efficiency underlie the aetiology of mobility loss in
older adults. Here, we hypothesized that studying well-phenotyped older cohorts
across a wide range of physical activity would unveil a range of mitochondrial
function in skeletal muscle and in turn allow us to more clearly examine the
impact of age per se on mitochondrial energetics. This also enabled us to more
clearly define the relationships between mitochondrial energetics and muscle
lipid content with clinically relevant assessments of muscle and physical
function. METHODS: Thirty-nine volunteers were recruited to the following study
groups: young active (YA, n = 2 women/8 men, age = 31.2 +/- 5.4 years), older
active (OA, n = 2 women/8 men, age = 67.5 +/- 2.7 years), and older sedentary
(OS, n = 8 women/11 men, age = 70.7 +/- 4.7 years). Participants completed a
graded exercise test to determine fitness (VO2 peak), a submaximal exercise test
to determine exercise efficiency, and daily physical activity was recorded using
a tri-axial armband accelerometer. Mitochondrial energetics were determined by
(i) 31 P magnetic resonance spectroscopy and (ii) respirometry of fibre bundles
from vastus lateralis biopsies. Quadriceps function was assessed by isokinetic
dynamometry and physical function by the short physical performance battery and
stair climb test. RESULTS: Daily physical activity energy expenditure was
significantly lower in OS, compared with YA and OA groups. Despite fitness being
higher in YA compared with OA and OS, mitochondrial respiration, maximum
mitochondrial capacity, Maximal ATP production/Oxygen consumption (P/O) ratio,
and exercise efficiency were similar in YA and OA groups and were significantly
lower in OS. P/O ratio was correlated with exercise efficiency. Time to complete
the stair climb and repeated chair stand tests were significantly greater for OS.
Interestingly, maximum mitochondrial capacity was related to muscle contractile
performance and physical function. CONCLUSIONS: Older adults who maintain a high
amount of physical activity have better mitochondrial capacity, similar to highly
active younger adults, and this is related to their better muscle quality,
exercise efficiency, and physical performance. This suggests that mitochondria
could be an important therapeutic target for sedentary ageing associated
conditions including sarcopenia, dynapenia, and loss of physical function.
PMID- 29368428
TI - An analysis of effects of heterozygosity in dairy cattle for bovine tuberculosis
resistance.
AB - Genetic selection of cattle more resistant to bovine tuberculosis (bTB) may offer
a complementary control strategy. Hypothesising underlying non-additive genetic
variation, we present an approach using genome-wide high density markers to
identify genomic loci with dominance effects on bTB resistance and to test
previously published regions with heterozygote advantage in bTB. Our data
comprised 1151 Holstein-Friesian cows from Northern Ireland, confirmed bTB cases
and controls, genotyped with the 700K Illumina BeadChip. Genome-wide markers were
tested for associations between heterozygosity and bTB status using marker-based
relationships. Results were tested for robustness against genetic structure, and
the genotypic frequencies of a significant locus were tested for departures from
Hardy-Weinberg equilibrium. Genomic regions identified in our study and in
previous publications were tested for dominance effects. Genotypic effects were
estimated through ASReml mixed models. A SNP (rs43032684) on chromosome 6 was
significant at the chromosome-wide level, explaining 1.7% of the phenotypic
variance. In the controls, there were fewer heterozygotes for rs43032684 (P <
0.01) with the genotypic values suggesting that heterozygosity confers a
heterozygote disadvantage. The region surrounding rs43032684 had a significant
dominance effect (P < 0.01). SNP rs43032684 resides within a pseudogene with a
parental gene involved in macrophage response to infection and within a copy
number-variation region previously associated with nematode resistance. No
dominance effect was found for the region on chromosome 11, as indicated by a
previous candidate region bTB study. These findings require further validation
with large-scale data.
PMID- 29368429
TI - Accuracy of standardized 12-core template biopsies versus non-standardized
biopsies for detection of Epstein Grade 5 prostate cancer regarding the histology
of the prostatectomy specimen.
AB - OBJECTIVE: To evaluate the effectiveness of EAU Guideline compliant transrectal
ultrasound-guided 12-core prostate biopsies for detection of highly aggressive
Epstein Grade 5 (Gleason Score 9-10) prostate cancer. METHODS: Two hundred ninety
nine patients, treated by radical prostatectomy for prostate cancer, have been
prospectively recorded in a database and were evaluated for this study. Pre
operatively, all patients received transrectal ultrasound-guided biopsies
according to inhomogeneous templates chosen by the referring urologist. We
evaluated the outcomes according to a stratified group-analysis: Group 1 received
less than 12 biopsies, Group 2 received more than 12 biopsies, and Group 3
received exactly 12 biopsies, according to the EAU Guidelines template. After
surgical removal of the prostate, 12 EAU Guideline-templated biopsies were
performed in all prostatectomy specimens, directly after the surgery. Pre
operative and post-operative Epstein Grade 5 biopsy detection rates were
thereafter correlated with these prostatectomy specimens. RESULTS: In
prostatectomy specimens, the histology of 12 patients (4.0%) were Epstein Grade
1, 31 patients (10.5%) were Epstein Grade 2, 190 patients (63.5%) were Epstein
Grade 3, 27 patients (9%) were Epstein Grade 4, and 39 patients (13%) were
Epstein Grade 5. The detection rate of Epstein Grade 5 compared to the radical
prostatectomy specimen was: Group 1: 23.0% pre-operatively and 61.5% post
operatively, Group 2: 33.3% pre-operatively and 58.3% post-operatively; and Group
3: 57.1% pre-operatively and 64.2% post-operatively. CONCLUSION: Detection rates
of highly aggressive Epstein Grade 5 prostate cancer vary considerably according
to the biopsy technique. EAU Guideline compliant 12-core template biopsies
increase the detection rates of Epstein Grade 5 prostate cancer.
PMID- 29368430
TI - Fetal heart size measurements as new predictors of homozygous alpha-thalassemia-1
in mid-pregnancy.
AB - OBJECTIVE: To evaluate the efficacy of using fetal heart size measurements
derived from axial echocardiography to predict homozygous alpha-thalassemia-1.
DESIGN: Prospective diagnostic study. SETTING: The carrier rate of alpha
thalassemia-1 (-/alphaalpha) in China's Guangxi Zhuang Autonomous Region is
approximately 15%. If both parents are carriers, the risk of homozygous alpha
thalassemia-1 in one pregnancy is 25%. PATIENTS: Singleton mid-pregnancies at
risk of homozygous alpha-thalassemia-1 were enrolled. OUTCOME MEASURES: Fetal
heart measurements, including heart diameter (HD), heart length (HL), heart
circumference (HC), and heart area (HA), were measured. The z-scores for these
heart parameters were then calculated separately based on previously constructed
z-score models. Finally, the accuracy of these predictive variables was analyzed
and compared to that achieved by cardiothoracic ratio (CTR) using a receiver
operating characteristic (ROC) curves analysis. RESULTS: A total of 214 singleton
pregnancies were recruited. The discriminatory power of HA and HD z-scores was
better (z-test P< .01) while that of HC and HL z-scores was comparable to (z-test
P>.05) that of CTR. HD combined with HA z-scores had the highest sensitivity
(100%), and the specificity of HD and/or HA z-scores was 100%. CONCLUSION: Fetal
heart size measurements are novel, effective and noninvasive predictors of
homozygosity for alpha-thalassemia-1 in mid-pregnancy. The discriminatory power
of HD and HA z-scores was better than while that of HC and HL z-scores was
comparable to that of CTR. Further investigation is needed to understand the
effectiveness of these predictors.
PMID- 29368433
TI - Non-invasive assessment of cellulitis from snapshot hyperspectral imaging - A
primary study.
PMID- 29368431
TI - Experience with genomic sequencing in pediatric patients with congenital cardiac
defects in a large community hospital.
AB - BACKGROUND: Congenital cardiac defects, whether isolated or as part of a larger
syndrome, are the most common type of human birth defect occurring on average in
about 1% of live births depending on the malformation. As there is an expanding
understanding of the underlying molecular mechanisms by which a cardiac defect
may occur, there is a need to assess the current rates of diagnosis of cardiac
defects by molecular sequencing in a clinical setting. METHODS AND RESULTS: In
this report, we evaluated 34 neonatal and pediatric patients born with a cardiac
defect and their parents using exomized preexisting whole genome sequencing (WGS)
data to model clinically available exon-based tests. Overall, we identified
candidate variants in previously reported cardiac-related genes in 35% (12/34) of
the probands. These include clearly pathogenic variants in two of 34 patients
(6%) and variants of uncertain significance in relevant genes in 10 patients
(26%), of these latter 10, 2 segregated with clinically apparent findings in the
family trios. CONCLUSIONS: These findings suggest that with current knowledge of
the proteins underlying CHD, genomic sequencing can identify the underlying
genetic etiology in certain patients; however, this technology currently does not
have a high enough yield to be of routine clinical use in the screening of
pediatric congenital cardiac defects.
PMID- 29368432
TI - Study of platelet-rich fibrin combined with rat periodontal ligament stem cells
in periodontal tissue regeneration.
AB - The objective of this study was to investigate the advantages and feasibility of
periodontal tissue regeneration using platelet-rich fibrin (PRF) combined with
rat periodontal ligament stem cells (PDLSCs) for the first time. We first
determined the effect of PRF on rat PDLSCs in vitro. We next conducted an in vivo
study, in which a tissue engineering technique was performed to repair
periodontal defects in five groups: a blank group, collagen group (implanted
collagen membrane), collagen + cells group (implanted collagen membrane and rat
PDLSCs), PRF group (implanted PRF membrane) and PRF + cells group (implanted PRF
membrane and rat PDLSCs). PRF greatly enhanced cell proliferation, mRNA and
protein expression levels of bone sialoprotein (BSP), osteocalcin (OC), and runt
related transcription factor 2 (RUNX2) and activity of alkaline phosphatase (ALP)
in vitro. Transplantation of PRF combined with rat PDLSCs resulted in higher
expression of osteopontin (Opn), collagen I (COL1A) and RUNX2 at both 12 and 24
days after surgery. Micro-computed tomography and histological analysis showed
substantially more new bone formation in the PRF + cells group at 24 days after
surgery. Based on these results, we discuss the role of PRF in the proliferation
and differentiation of rat PDLSCs and suggest that PRF combined with rat PDLSCs
provides a valuable tool for periodontal tissue engineering.
PMID- 29368434
TI - The Virtual Anemia Trial: An Assessment of Model-Based In Silico Clinical Trials
of Anemia Treatment Algorithms in Patients With Hemodialysis.
AB - In silico approaches have been proposed as a novel strategy to increase the
repertoire of clinical trial designs. Realistic simulations of clinical trials
can provide valuable information regarding safety and limitations of treatment
protocols and have been shown to assist in the cost-effective planning of
clinical studies. In this report, we present a blueprint for the stepwise
integration of internal, external, and ecological validity considerations in
virtual clinical trials (VCTs). We exemplify this approach in the context of a
model-based in silico clinical trial aimed at anemia treatment in patients
undergoing hemodialysis (HD). Hemoglobin levels and subsequent anemia treatment
were simulated on a per patient level over the course of a year and compared to
real-life clinical data of 79,426 patients undergoing HD. The novel strategies
presented here, aimed to improve external and ecological validity of a VCT,
significantly increased the predictive power of the discussed in silico trial.
PMID- 29368435
TI - p62 as a therapeutic target for inhibition of autophagy in prostate cancer.
AB - BACKGROUND: To test the hypothesis that p62 is an optimal target for autophagy
inhibition and Verteporfin, a clinically available drug approved by FDA to treat
macular degeneration that inhibits autophagy by targeting p62 protein, can be
developed clinically to improve therapy for advanced prostate cancer. METHODS:
Forced expression of p62 in PC-3 cells and normal prostate epithelial cells, RWPE
1 and PZ-HPV7, were carried out by transfection of these cells with pcDNA3.1/p62
or p62 shRNA plasmid. Autophagosomes and autophagic flux were measured by
transfection of tandem fluorescence protein mCherry-GFP-LC3 construct. Apoptosis
was measured by Annexin V/PI staining. Tumorigenesis was measured by a xenograft
tumor growth model. RESULTS: Verteporfin inhibited cell growth and colony
formation in PC-3 cells. Verteporfin generated crosslinked p62 oligomers,
resulting in inhibition of autophagy and constitutive activation of Nrf2 as well
as its target genes, Bcl-2 and TNF-alpha. In normal prostate epithelial cells,
forced expression of p62 caused constitutive Nrf2 activation, development of
apoptosis resistance, and Verteporfin treatment exhibited inhibitory effects.
Verteporfin treatment also inhibited starvation-induced autophagic flux of these
cells. Verteporfin inhibited tumorigenesis of both normal prostate epithelial
cells with p62 expression and prostate cancer cells and decreased p62,
constitutive Nrf2, and Bcl-xL in xenograft tumor tissues, indicating that p62 can
be developed as a drug target against prostate cancer. CONCLUSIONS: p62 has a
high potential to be developed as a therapeutic target. Verteporfin represents a
prototypical agent with therapeutic potential against prostate cancer through
inhibition of autophagy by a novel mechanism of p62 inhibition.
PMID- 29368436
TI - Do women with complex alcohol and other drug use histories want women-only
residential treatment?
AB - BACKGROUND: Women-only addiction services tend to be provided on a poorly
evidenced assumption that women want single-sex treatment. We draw upon women's
expectations and experiences of women-only residential rehabilitation to
stimulate debate on this issue. METHODS: Semi-structured interviews were
undertaken with 19 women aged 25-44 years [currently in treatment (n = 9),
successfully completed treatment (n = 5), left treatment prematurely (n = 5)].
All had histories of physical or sexual abuse, and relapses linked to
relationships with men. Interviews were audio-recorded, transcribed verbatim,
coded and analysed inductively following Iterative Categorization. FINDINGS:
Women reported routinely that they had been concerned, anxious or scared about
entering women-only treatment. They attributed these feelings to previous poor
relationships with women, being more accustomed to male company and negative
experiences of other women-only residential settings. Few women said that they
had wanted women-only treatment, although many became more positive after
entering the women-only service. Once in treatment, women often explained that
they felt safe, supported, relaxed, understood and able to open up and develop
relationships with other female residents. However, they also described tensions,
conflicts, mistrust and social distancing that undermined their treatment
experiences. CONCLUSIONS: Women who have complex histories of alcohol and other
drug use do not necessarily want or perceive benefit in women-only residential
treatment.
PMID- 29368437
TI - A Reconfigurable DNA Accordion Rack.
AB - DNA nanostructure-based mechanical systems that control the distance between
elements of interest have demonstrated great potential for various applications,
including nanoplasmonic systems, molecular reactors, and other nanotechnology
platforms. However, previously reported systems could not collectively manipulate
a 2D or 3D nanoscale network of elements to various forms in multiple stages. A
reconfigurable DNA accordion rack structure is introduced that is a DNA beam
lattice that changes its conformation with a small amount of short-length DNA
locks as the controlling input. The lattice shape of the 2D DNA accordion rack
and the diameter and the height of the 3D DNA nanotubular structure made of the
DNA accordion rack could be controlled. Furthermore, by sequentially repeating
the detachment and the attachment of the different DNA locks using strand
displacement, the shape reconfiguration was repeatedly carried out.
PMID- 29368438
TI - Xom, a ventralizing factor, regulates beta-catenin levels and cell fate.
PMID- 29368439
TI - In vivo skin reactions from pulsed-type, bipolar, alternating current
radiofrequency treatment using invasive noninsulated electrodes.
AB - BACKGROUND: Bipolar, alternating current radiofrequency (RF) conduction using
invasive noninsulated electrodes consecutively generates independent tissue
coagulation around each electrode and then, the converged coagulation columns.
METHODS: Two pulsed-type RF models at the on-time pulse width/pulse pack of 30
and 40 milliseconds were designed to amplify the early stage of RF-induced tissue
reaction using hairless mouse skin in vivo. Then, structural and ultrastructural
changes were evaluated in hairless mouse skin samples at baseline and immediately
1 day, 3 days, 7 days, and 14 days after treatment. RESULTS: Immediately after
pulsed-RF treatment, a few chrysanthemum-like zones of electrothermal coagulation
and hypereosinophilic collagen fibers were found in the dermis and dermo
subcutaneous fat junction. Histochemical staining for periodic acid-Schiff and
immunohistochemical staining for type IV collagen revealed marked thickening of
basement membranes. Transmission electron microscopy demonstrated that pulsed-RF
treatment resulted in higher electron-dense and remarkably thicker lamina densa,
as well as increases in anchoring fibrils, compared with untreated control
specimens. Furthermore, CD31-positive blood vessels were smaller in size with a
slit-like luminal appearance, without excessive damage to endothelial cells.
CONCLUSION: Our data indicated that pulse-type, bipolar RF energy induces
structural and ultrastructural changes in basement membranes and vascular
components in hairless mouse skin.
PMID- 29368441
TI - Advancing understanding and prediction in multiple stressor research through a
mechanistic basis for null models.
AB - Global environmental change is driven by multiple anthropogenic stressors.
Conservation and restoration require understanding the individual and joint
action of these stressors to evaluate and prioritize management measures. To
date, most studies on multiple stressor effects have sought to identify potential
stressor interactions, defined as deviations from null models, and related meta
analyses have focused on quantifying the relative proportion of stressor
interactions across studies. These studies have provided valuable insights about
the complexity of multiple stressor effects, but remain largely devoid of a
theoretical framework for null model selection and prediction of effects. We
suggest that multiple stressor research would benefit by (1) integrating and
developing additional null models and (2) selecting null models based on their
mechanistic assumptions of the stressor mode of action and organism sensitivities
as well as stressor-effect relationships for individuals and populations. We
present a range of null models and outline their underlying assumptions and
application in multiple stressor research. Moving beyond mere description
requires multiple stressor research to shift its focus from identifying
statistically significant interactions to the use and development of mechanistic
(null) models. Justified selection of the appropriate null model is a first step
to achieve this.
PMID- 29368442
TI - Improved insulin sensitivity and secretion in prediabetic patients with adrenal
insufficiency on dual-release hydrocortisone treatment: a 36-month retrospective
analysis.
AB - OBJECTIVE: Dual-release hydrocortisone (DR-HC) provides physiological cortisol
exposure, leading to an improvement of anthropometric and metabolic parameters.
The aim of the study was to evaluate the effects of DR-HC on insulin secretion
and sensitivity and cardiometabolic risk, indirectly expressed by the visceral
adiposity index (VAI). DESIGN AND PATIENTS: Retrospective analysis of 49
patients, 13 with primary and 36 with secondary adrenal insufficiency (AI),
respectively, on conventional glucocorticoid treatment at baseline and switched
to DR-HC for 36 months. Overall, 24 patients had AI-pre-diabetes (impaired
fasting glucose, impaired glucose tolerance and the combination), and 25 had AI
normal glucose tolerance (NGT). MEASUREMENTS: Clinical and metabolic parameters,
including VAI, insulin secretion and sensitivity indexes (fasting insulinaemia,
AUC2 h insulinaemia , oral disposition index [Dio] and ISI-Matsuda), were
evaluated. RESULTS: In patients with AI-NGT and AI-prediabetes, a significant
decrease in BMI (P = .017 and P < .001), waist circumference (P = .008 and P <
.001), HbA1c (P = .034 and P = .001) and a significant increase in HDL-C (P =
.036 and P = .043) was, respectively, observed. In addition, in prediabetic
patients, only we found a significant decrease in insulinaemia (P = .014), AUC2 h
insulinaemia (P = .038) and VAI (P = .001), in concomitance with a significant
increase in DIo (P = .041) and ISI-Matsuda (P = .038). CONCLUSIONS: Long-term DR
HC therapy is associated with an improvement in insulin secretion and sensitivity
in patients with prediabetes. However, all patients appear to benefit from the
treatment in terms of improvement of metabolic and anthropometric parameters.
Larger studies are required to confirm our preliminary data.
PMID- 29368440
TI - Sexualized drug use ('chemsex') and high-risk sexual behaviours in HIV-positive
men who have sex with men.
AB - OBJECTIVES: The incidence of sexually transmitted infections (STIs) and HIV
infection remains high in gay, bisexual, and other men who have sex with men
(MSM) in the UK, and sexualized drug use ("chemsex") and injecting drug use
("slamsex") may play a part in this. We aimed to characterize HIV-positive MSM
engaging in chemsex/slamsex and to assess the associations with self-reported STI
diagnoses and sexual behaviours. METHODS: Data from a 2014 survey of people
attending HIV clinics in England and Wales were linked to clinical data from
national HIV surveillance records and weighted to be nationally representative.
Multivariable logistic regression assessed the associations of chemsex and
slamsex with self-reported unprotected anal intercourse (UAI), serodiscordant UAI
(sdUAI) (i.e. UAI with an HIV-negative or unknown HIV status partner), sdUAI with
a detectable viral load (>50 HIV-1 RNA copies/mL), hepatitis C, and bacterial
STIs. RESULTS: In the previous year, 29.5% of 392 sexually active participants
engaged in chemsex, and 10.1% in slamsex. Chemsex was significantly associated
with increased odds of UAI [adjusted odds ratio (AOR) 5.73; P < 0.001], sdUAI
(AOR 2.34; P < 0.05), sdUAI with a detectable viral load (AOR 3.86; P < 0.01),
hepatitis C (AOR 6.58; P < 0.01), and bacterial STI diagnosis (AOR 2.65; P <
0.01). Slamsex was associated with increased odds of UAI (AOR 6.11; P < 0.05),
hepatitis C (AOR 9.39; P < 0.001), and bacterial STI diagnosis (AOR 6.11; P <
0.001). CONCLUSIONS: Three in ten sexually active HIV-positive MSM engaged in
chemsex in the past year, which was positively associated with self-reported
depression/anxiety, smoking, nonsexual drug use, risky sexual behaviours, STIs,
and hepatitis C. Chemsex may therefore play a role in the ongoing HIV and STI
epidemics in the UK.
PMID- 29368443
TI - Barbigerone reverses multidrug resistance in breast MCF-7/ADR cells.
AB - Development of agents to overcome multidrug resistance (MDR) is one of the
important strategies in cancer chemotherapy, and P-glycoprotein (P-gp) correlates
with the degree of resistance. As a naturally occurring isoflavone, whether
barbigerone (BA) could reverse MDR, is unknown. In this paper, we evaluated
effects of BA on reversing P-gp mediated MDR of adriamycin (ADR)-resistant human
breast carcinoma (MCF-7/ADR) cells. BA (0.5 MUM) treatment showed strong potency
to increase ADR cytotoxicity toward MCF-7/ADR cells. It was also demonstrated
that BA time- and dose-dependently increased accumulations of ADR and reduced the
efflux in MCF-7/ADR cells, pretreatment of these cells with BA might relocalized
ADR to the nuclei. Furthermore, the results also revealed that BA did not affect
P-gp, but alter P-gp ATPase activity. Intravenous administration of BA
significantly increased anticancer efficacy of ADR to MCF-7/ADR xenograft model
in nude mice. These results revealed that BA might reverse P-gp mediated MDR
through inhibition of ATPase activity, which indicated a novel use of BA as a
potent candidate for cancer chemotherapy.
PMID- 29368444
TI - Fabrication of an ionic-liquid-based polymer monolithic column and its
application in the fractionation of proteins from complex biosamples.
AB - An ionic-liquid-based polymer monolithic column was synthesized by free radical
polymerization within the confines of a stainless-steel column (50 mm * 4.6 mm
id). In the processes, ionic liquid and stearyl methacrylate were used as dual
monomers, ethylene glycol dimethacrylate as the cross-linking agent, and
polyethylene glycol 200 and isopropanol as co-porogens. Effects of the
prepolymerization solution components on the properties of the resulting
monoliths were studied in detail. Scanning electron microscopy, nitrogen
adsorption-desorption measurements, and mercury intrusion porosimetry were used
to investigate the morphology and pore size distribution of the prepared
monoliths, which showed that the homemade ionic-liquid-based monolith column
possessed a relatively uniform macropore structure with a total macropore
specific surface area of 44.72 m2 /g. Compared to a non-ionic-liquid-based
monolith prepared under the same conditions, the ionic-liquid-based monolith
exhibited excellent selectivity and high performance for separating proteins from
complex biosamples, such as egg white, snailase, bovine serum albumin digest
solution, human plasma, etc., indicating promising applications in the
fractionation and analysis of proteins from the complex biosamples in proteomics
research.
PMID- 29368446
TI - Optical coherence tomography image processing for in vivo 3-dimensional
visualization of basal cell carcinoma.
PMID- 29368445
TI - Lactobacillus reuteri strains protect epithelial barrier integrity of IPEC-J2
monolayers from the detrimental effect of enterotoxigenic Escherichia coli.
AB - Lactobacillus reuteri is an inhabitant of the gastrointestinal (GI) tract of
mammals and birds and several strains of this species are known to be effective
probiotics. The mechanisms by which L. reuteri confers its health-promoting
effects are far from being fully understood, but protection of the mucosal
barrier is thought to be important. Leaky gut is a state of abnormal intestinal
permeability with implications for the pathophysiology of various
gastrointestinal disorders. Enterotoxigenic Escherichia coli (ETEC) can invade
the intestinal mucosa and induce changes in barrier function by producing
enterotoxin or by direct invasion of the intestinal epithelium. Our hypothesis
was that L. reuteri can protect the mucosal barrier, and the goal of the study
was to challenge this hypothesis by monitoring the protective effect of L.
reuteri strains on epithelial dysfunction caused by ETEC. Using an infection
model based on the porcine intestinal cell line IPEC-J2, it was demonstrated that
pretreatment of the cells with human-derived L. reuteri strains (ATCC PTA 6475,
DSM 17938 and 1563F) and a rat strain (R2LC) reduced the detrimental effect of
ETEC in a dose-dependent manner, as monitored by permeability of FITC-dextran and
transepithelial electrical resistance (TEER). Moreover, the results revealed that
ETEC upregulated proinflammatory cytokines IL-6 and TNFalpha and decreased
expression of the shorter isoform of ZO-1 (187 kDa) and E-cadherin. In contrast,
pretreatment with L. reuteri DSM 17938 and 1563F downregulated expression of IL-6
and TNFalpha, and led to an increase in production of the longer isoform of ZO-1
(195 kDa) and maintained E-cadherin expression. Interestingly, expression of ZO-1
(187 kDa) was preserved only when the infected cells were pretreated with strain
1563F. These findings demonstrate that L. reuteri strains exert a protective
effect against ETEC-induced mucosal integrity disruption.
PMID- 29368447
TI - Supramolecular Modulation of Structural Polymorphism in Pathogenic alpha
Synuclein Fibrils Using Copper(II) Coordination.
AB - Structural variation of alpha-synuclein (alphaSyn) fibrils has been linked to the
diverse etiologies of synucleinopathies. However, little is known about what
specific mechanism provides alphaSyn fibrils with pathologic features. Herein, we
demonstrate Cu(II)-based supramolecular approach for unraveling the formation
process of pathogenic alphaSyn fibrils and its application in a neurotoxic
mechanism study. The conformation of alphaSyn monomer was strained by
macrochelation with Cu(II), thereby disrupting the fibril elongation while
promoting its nucleation. This non-canonical process formed shortened, beta-sheet
enriched alphaSyn fibrils (<0.2 MUm) that were rapidly transmitted and
accumulated to neuronal cells, causing neuronal cell death, in sharp contrast to
typical alphaSyn fibrils (ca. 1 MUm). Our approach provided the supramolecular
basis for the formation of pathogenic fibrils through physiological factors, such
as brain Cu(II).
PMID- 29368448
TI - Low carbohydrate diets may increase risk of neural tube defects.
AB - BACKGROUND: Folic acid fortification significantly reduced the prevalence of
neural tube defects (NTDs) in the United States. The popularity of "low carb"
diets raises concern that women who intentionally avoid carbohydrates, thereby
consuming fewer fortified foods, may not have adequate dietary intake of folic
acid. METHODS: To assess the association between carbohydrate intake and NTDs, we
analyzed data from the National Birth Defects Prevention Study from 1,740 mothers
of infants, stillbirths, and terminations with anencephaly or spina bifida
(cases), and 9,545 mothers of live born infants without a birth defect (controls)
conceived between 1998 and 2011. Carbohydrate and folic acid intake before
conception were estimated from food frequency questionnaire responses. Restricted
carbohydrate intake was defined as <=5th percentile among controls. Odds ratios
were estimated with logistic regression and adjusted for maternal race/ethnicity,
education, alcohol use, folic acid supplement use, study center, and caloric
intake. RESULTS: Mean dietary intake of folic acid among women with restricted
carbohydrate intake was less than half that of other women (p < .01), and women
with restricted carbohydrate intake were slightly more likely to have an infant
with an NTD (AOR = 1.30, 95% CI: 1.02, 1.67). CONCLUSIONS: This is the first
study to examine the association between carbohydrate intake and NTDs among
pregnancies conceived postfortification. We found that women with restricted
carbohydrate intake were 30% more likely to have an infant with anencephaly or
spina bifida. However, more research is needed to understand the pathways by
which restricted carbohydrate intake might increase the risk of NTDs.
PMID- 29368449
TI - Geographical and experimental contexts modulate the effect of warming on top-down
control: a meta-analysis.
AB - Ecologists have extensively investigated the effect of warming on consumer
resource interactions, with experiments revealing that warming can strengthen,
weaken or have no net effect on top-down control of resources. These experiments
have inspired a body of theoretical work to explain the variation in the effect
of warming on top-down control. However, there has been no quantitative attempt
to reconcile theory with outcomes from empirical studies. To address the gap
between theory and experiment, we performed a meta-analysis to examine the
combined effect of experimental warming and top-down control on resource biomass
and determined potential sources of variation across experiments. We show that
differences in experimental outcomes are related to systematic variation in the
geographical distribution of studies. Specifically, warming strengthened top-down
control when experiments were conducted in colder regions, but had the opposite
effect in warmer regions. Furthermore, we found that differences in the
thermoregulation strategy of the consumer and openness of experimental arenas to
dispersal can contribute to some deviation from the overall geographical pattern.
These results reconcile empirical findings and support the expectation of
geographical variation in the response of consumer-resource interactions to
warming.
PMID- 29368452
TI - Aetiology of hepatocellular carcinoma in South America.
PMID- 29368450
TI - Frequency-dependent airway hyperresponsiveness in a mouse model of emphysema and
allergic inflammation.
AB - Asthma and chronic obstructive pulmonary disease (COPD), chronic airway
inflammatory diseases characterized by airflow limitation, have different
etiologies and pathophysiologies. Asthma-COPD Overlap (ACO) has recently been
used for patients with mixed asthma and COPD. The pathophysiological mechanisms
of ACO have not been clearly understood due to the lack of an appropriate murine
model. To investigate its pathophysiology, we examined a murine model by allergen
challenge in surfactant protein-D (SP-D)-deficient mice that spontaneously
developed pulmonary emphysema. SP-D-deficient mice were sensitized and challenged
by ovalbumin (OVA). Lungs and bronchoalveolar lavage fluid (BALF) were collected
for analysis, and static lung compliance and airway hyperresponsiveness (AHR)
were measured 48 h after the last OVA challenge. In SP-D-deficient, naive, or OVA
challenged mice, the mean linear intercept and static lung compliance were
increased compared with wild-type (WT) mice. There was no significant difference
in goblet cell hyperplasia and the gene expression of Mucin 5AC (MUC5AC) between
SP-D-deficient and WT OVA-challenged mice. In SP-D-deficient OVA-challenged mice,
airway hyperresponsiveness was significantly enhanced despite the lower
eosinophil count and the concentration of interleukin (IL)-5 and IL-13 in BALF
compared with WT OVA-challenged mice at 120 ventilations per minute. When mice
were ventilated at a lower ventilation frequency of 100 ventilations per minute,
elevated airway hyperresponsiveness in SP-D-deficient OVA-challenged mice was
diminished. This model of emphysematous change with allergic airway inflammation
raises the possibility that frequency-dependent airway hyperresponsiveness may be
involved in the pathophysiology of ACO.
PMID- 29368451
TI - Regulation of skeletal myotube formation and alignment by nanotopographically
controlled cell-secreted extracellular matrix.
AB - Skeletal muscle has a well-organized tissue structure comprised of aligned
myofibers and an encasing extracellular matrix (ECM) sheath or lamina, within
which reside satellite cells. We hypothesize that the organization of skeletal
muscle tissues in culture can affect both the structure of the deposited ECM and
the differentiation potential of developing myotubes. Furthermore, we posit that
cellular and ECM cues can be a strong determinant of myoblast fusion and
morphology in 3D tissue culture environments. To test these, we utilized a
thermoresponsive nanofabricated substratum to engineer anisotropic sheets of
myoblasts which could then be transferred and stacked into multilayered tissues.
Within such engineered tissues, we found that myoblasts rapidly sense topography
and deposit structurally organized ECM proteins. Furthermore, the initial tissue
structure was found to exert significant control over myoblast fusion and
eventual myotube organization. These results highlight the importance of ECM
structure on myoblast fusion and organization, and provide insights into
substrate-mediated control of myotube formation in the development of novel, more
effective, engineered skeletal muscle tissues. (c) 2018 Wiley Periodicals, Inc. J
Biomed Mater Res Part A: 106A: 1543-1551, 2018.
PMID- 29368453
TI - The role of HLA-G in parasitic diseases.
AB - Little attention has been devoted to the role of HLA-G gene and molecule on
parasitic disorders, and the available studies have focused on malaria, African
and American trypanosomiasis, leishmaniosis, toxoplasmosis and echinococcosis.
After reporting a brief description regarding the role of the cells of innate and
adaptive immune system against parasites, we reviewed the major features of the
HLA-G gene and molecule and the role of HLA-G on the major cells of immune
system. Increased levels of soluble HLA-G (sHLA-G) have been observed in patients
presenting toxoplasmosis and in the active phase of echinococcosis. In addition,
increased sHLA-G has also been associated with increased susceptibility to
malaria and increased susceptibility to develop human African trypanosomiasis
(HAT). In contrast, decreased membrane-bound HLA-G has been reported in placenta
of patients infected with Plasmodium falciparum and in heart and colon of
patients presenting Chagas disease. The 3' untranslated region of the HLA-G gene
has been the main focus of studies on malaria, HAT and Chagas disease, exhibiting
distinct patterns of associations. Considering that HLA-G is an immune checkpoint
molecule, inhibiting the activity of several cells of the immune system, the
excessive neoexpression and the increased sHLA-G levels together with the
decreased constitutive tissue expression of membrane-bound HLA-G may be
detrimental to the host infected with parasite agents.
PMID- 29368454
TI - Motion-Induced Changes in Emission as an Effective Strategy for the Ratiometric
Probing of Human Serum Albumin and Trypsin in Biological Fluids.
AB - Herein, we report the formation of a highly luminescent, pH-sensitive,
thermoreversible nanoaggregate in pure aqueous medium through the self
agglomeration of carbazole-based amphiphiles. The self-assembly process
restricted the intramolecular motion of the molecules and induced a change in its
emission signal from blue to cyan, owing to an aggregation-induced emission (AIE)
effect. A similar type of ratiometric response was also observed in the presence
of human serum albumin (HSA). However, in this case, the molecular motion of the
flexible fluorescent probe was restricted by its embedded microenvironment, owing
to a motion-induced change in emission (MICE) effect, not by aggregation.
Moreover, the probe showed quite high selectivity for HSA over other serum
albumin proteins. Our carbazole-based fluorescent probes are a unique example of
the ratiometric sensing of HSA through the sole involvement of reversible
noncovalent interactions. Considering the important of HSA in clinical diagnosis,
a wide range of biological fluids, such as human urine, saliva, and plasma, were
screened to analyze their HSA content. In addition, this system was also employed
for the detection of trypsin at subnanomolar concentrations through the digestion
of HSA.
PMID- 29368455
TI - Effect of preoperative immunonutrition on postoperative short-term outcomes of
patients with head and neck squamous cell carcinoma.
AB - BACKGROUND: Patients with head and neck squamous cell carcinoma (HNSCC) often
acquire an impaired nutritional status resulting in compromised outcomes.
Perioperative immunonutrition may have a positive effect on outcomes after
elective surgery. METHODS: Short-term outcomes before and after implementation of
preoperative immunonutrition were retrospectively assessed. Regression models
adjusted for outcome predictors were used to compare the length of stay (LOS) in
the hospital, local infections, and general complications. RESULTS: Four hundred
eleven patients were included (control group = 209 and the intervention group =
202). With immunonutrition, hospital LOS was significantly lower (median 6 vs 8
days; adjusted mean difference of -5.65 days; P < .001) and local infections were
significantly reduced (7.4% vs 15.3%; adjusted odds ratio [OR] 0.30; P = .006).
Subgroup analysis showed more pronounced effects in patients with previous
radiotherapy and extensive surgery. CONCLUSION: Patients receiving preoperative
immunonutrition had a shorter hospital LOS and a lower rate for wound infections
and local complications. These effects remained robust after a multivariate
adjustment.
PMID- 29368456
TI - Rates of sustained virological response 12 weeks after the scheduled end of
direct-acting antiviral (DAA)-based hepatitis C virus (HCV) therapy from the
National German HCV registry: does HIV coinfection impair the response to DAA
combination therapy?
AB - OBJECTIVES: The European Association for the Study of the Liver (EASL) treatment
recommendations for hepatitis C no longer discriminate between HIV/hepatitis C
virus (HCV)-coinfected and HCV-monoinfected patients. However, recent data from
Spain are questioning these recommendations on the basis of the findings of
higher relapse rates and lower cure rates in HIV/HCV-infected subjects. The aim
of our study was to compare HCV cure rates in monoinfected and coinfected
patients from Germany. METHODS: Data acquired from the Deutsches Hepatitis C
Registry were analysed. A total of 5657 HCV-monoinfected subjects and 488 HIV/HCV
coinfected patients were included in the study. Rates of sustained virological
response 12 weeks after the scheduled end of therapy (SVR12) were collected in
both subgroups and in cirrhotic and noncirrhotic patients. RESULTS: HIV/HCV
coinfected patients were more frequently male (84.6% vs. 56.4%, respectively; P <
0.001) and younger than HCV-monoinfected subjects (46.5 +/- 9 vs. 53.8 +/- 12.5
years, respectively; P < 0.001). The CD4 blood cell count was > 350 cells/MUL in
63.1% of HIV-positive subjects and 88.7% were on antiretroviral therapy. SVR12
rates were 90.3% (5111 of 5657) in our HCV-monoinfected cohort and 91.2% (445 of
488) in our coinfected patients. Liver cirrhosis was confirmed in 1667 of 5657
(29.5%) monoinfected patients and 84 of 488 (17.2%; P < 0.001) coinfected
patients. SVR12 rates did not differ between HCV-monoinfected and HIV/HCV
coinfected patients with liver cirrhosis (87.8% vs. 89.3%, respectively; P =
0.864). A treatment duration of 8 weeks did not reduce the percentage of patients
with SVR12 in either subgroup (93.7% in both groups). CONCLUSIONS: We found high
SVR12 rates in monoinfected as well as coinfected individuals. No differences
were detected between the two subgroups regardless of whether there was
accompanying liver cirrhosis or a shortened treatment duration.
PMID- 29368457
TI - Performance comparison of the MOXY and PortaMon near-infrared spectroscopy muscle
oximeters at rest and during exercise.
AB - The purpose of the study was to compare muscle oxygenation as measured by two
portable, wireless near-infrared spectroscopy (NIRS) devices under resting and
dynamic conditions. A recently developed low-cost NIRS device (MOXY) was compared
against an established PortaMon system that makes use of the spatially resolved
spectroscopy algorithm. The influence of increasing external pressure on tissue
oxygen saturation index (TSI) indicated that both devices are stable between 2
and 20 mmHg. However, above this pressure, MOXY reports declining TSI values.
Analysis of adipose tissue thickness (ATT) and TSI shows a significant, nonlinear
difference between devices at rest. The devices report similar TSI (%) values at
a low ATT (<7 mm) (PortaMon minus MOXY difference is +1.1+/-2.8%) with the major
subsequent change between the devices occurring between 7 and 10 mm; at ATT
values >10 mm the difference remains constant (-14.7+/-2.8%). The most likely
explanation for this difference is the small source-detector separation (2.5 cm)
in the MOXY resulting in lower tissue penetration into muscle in subjects with
higher ATT. Interday test-retest reliability of resting TSI was evaluated on five
separate occasions, with the PortaMon reporting a lower coefficient of variation
(1.8% to 2.5% versus 5.7% to 6.2%). In studies on male subjects with low ATT,
decreases in the TSI were strongly correlated during isometric exercise, arterial
occlusion, and incremental arm crank exercise. However, the MOXY reports a
greater dynamic range, particularly during ischemia induced by isometric
contraction or occlusion (Delta74.3% versus Delta43.7%; hyperemia MAX-occlusion
MIN). This study shows that in this subject group both MOXY and PortaMon produce
physiologically credible TSI measures during rest and exercise. However, the
absolute values obtained during exercise are generally not comparable between
devices unless corrected by physiological calibration following an arterial
occlusion.
PMID- 29368458
TI - Learnable despeckling framework for optical coherence tomography images.
AB - Optical coherence tomography (OCT) is a prevalent, interferometric, high
resolution imaging method with broad biomedical applications. Nonetheless, OCT
images suffer from an artifact called speckle, which degrades the image quality.
Digital filters offer an opportunity for image improvement in clinical OCT
devices, where hardware modification to enhance images is expensive. To reduce
speckle, a wide variety of digital filters have been proposed; selecting the most
appropriate filter for an OCT image/image set is a challenging decision,
especially in dermatology applications of OCT where a different variety of
tissues are imaged. To tackle this challenge, we propose an expandable learnable
despeckling framework, we call LDF. LDF decides which speckle reduction algorithm
is most effective on a given image by learning a figure of merit (FOM) as a
single quantitative image assessment measure. LDF is learnable, which means when
implemented on an OCT machine, each given image/image set is retrained and its
performance is improved. Also, LDF is expandable, meaning that any despeckling
algorithm can easily be added to it. The architecture of LDF includes two main
parts: (i) an autoencoder neural network and (ii) filter classifier. The
autoencoder learns the FOM based on several quality assessment measures obtained
from the OCT image including signal-to-noise ratio, contrast-to-noise ratio,
equivalent number of looks, edge preservation index, and mean structural
similarity index. Subsequently, the filter classifier identifies the most
efficient filter from the following categories: (a) sliding window filters
including median, mean, and symmetric nearest neighborhood, (b) adaptive
statistical-based filters including Wiener, homomorphic Lee, and Kuwahara, and
(c) edge preserved patch or pixel correlation-based filters including nonlocal
mean, total variation, and block matching three-dimensional filtering.
PMID- 29368459
TI - The Hermphrodite of Charing Cross.
PMID- 29368460
TI - Galvanic Humans.
PMID- 29368461
TI - The Subject as Instrument: Galvanic Experiments, Organic Apparatus and Problems
of Calibration.
PMID- 29368462
TI - Shocking Subjects: Human Experiments and the Material Culture of Medical
Electricity in Eighteenth-Century England.
PMID- 29368463
TI - Pneumatic Chemistry, Self-Experimentation and the Burden of Revolution.
PMID- 29368464
TI - Food Fights: Human Experiments in Late Nineteenth-Century Nutrition Physiology.
PMID- 29368465
TI - Experimenting with Radium Therapy: In the Laboratory & the Clinic.
PMID- 29368466
TI - Anthropometry, Race, and Eugenic Research: "Measurements of Growing Negro
Children" at the Tuskegee Institute, 1932-1944.
PMID- 29368467
TI - Nazi Human Experiments: The Victims' Perspective and the Post-Second World War
Discourse.
PMID- 29368468
TI - A Eugenics Experiment: Sterilization, Hyperactivity and Degeneration.
PMID- 29368469
TI - [Genomic architecture of human chromosomal diseases].
AB - The genomic architecture predisposed to the emergence of DNA copy number
variation causing a new class of human chromosomal diseases-reciprocal
microdeletion and microduplication syndromes- is reviewed in the paper. The
molecular mechanisms of such chromosomal abnormalities are described. The
problems of the interpretation of their clinical significance and genotype
phenotype correlations are discussed. The classification of phenotypes due to
reciprocal chromosomal microdeletions and microduplications is shown. Published
by 2015, reciprocal mutations associated with inherited and congenital human
pathology and involving 58 chromosomal regions are summarized.
PMID- 29368470
TI - [The role of ATP-dependent chromatin remodeling complexes in regulation of
genetic processes].
AB - Compaction of the genomic DNA into the chromatin structure reduces the
accessibility of DNAbinding protein sites and complicates the realization of
replication and transcription. In the cell, the negative effects of DNA
condensation into chromatin are overcome by recruiting the complexes that change
the chromatin structure and are involved in the regulation of transcription and
replication. The chromatin remodeling process includes the alteration of
nucleosome position and chromatin density and changes in the histone composition
of the nucleosomes. ATP-dependent chromatin remodeling is performed by enzymes
chromatin remodeling complexes. The united activity of these enzymes forms the
dynamic properties of chromatin during different nuclear processes such as
transcription, replication, DNA repair, homological recombination, and chromatin
assembly. In this review, we summarize the currently available data on the
structure of chromatin remodeling complexes of different families, the pathways
of their recruitment to certain chromatin sites, and their functional activity.
PMID- 29368471
TI - The scope, purpose, and reasoning behind Senate Bill 602.
PMID- 29368472
TI - The State Innovation Model (SIM) Plan: A Practical Guide for Practitioners.
PMID- 29368473
TI - Legal Aspects of Neonatal Abstinence Syndrome.
PMID- 29368474
TI - Building Resilient Communities: Preparedness and Response for Health Care and
Public Health Professionals.
PMID- 29368475
TI - Nonopiate Methods for Treatment of Opiate Dependence.
PMID- 29368476
TI - [Inverted meiosis and its place in the evolution of sexual reproduction
pathways].
AB - Inverted meiosis is observed in plants (Cyperaceae and Juncaceae) and insects
(Coccoidea, Aphididae) with holocentric chromosomes, the centromeres of which
occupy from 70 to 90% of the metaphase chromosome length. In the first meiotic
division (meiosis I), chiasmata are formed and rodlike bivalents orient
equationally, and in anaphase I, sister chromatids segregate to the poles; the
diploid chromosome number is maintained. Non-sister chromatids of homologous
chromosomes remain in contact during interkinesis and prophase II and segregate
in anaphase II, forming haploid chromosome sets. The segregation of sister
chromatids in meiosis I was demonstrated by example of three plant species that
were heterozygous for chromosomal rearrangements. In these species, sister
chromatids, marked with rearrangement, segregated in anaphase I. Using
fluorescent antibodies, it was demonstrated that meiotic recombination enzymes
Spo11 and Rad5l, typical of canonical meiosis, functioned at the meiotic prophase
I of pollen mother cells of Luzula elegance and Rhynchospora pubera. Moreover,
antibodies to synaptonemal complexes proteins ASY1 and ZYP1 were visualized as
filamentous structures, pointing to probable formation of synaptonemal complexes.
In L. elegance, chiasmata are formed by means of chromatin threads containing
satellite DNA. According to the hypothesis of the author of this review,
equational division of sister chromatids at meiosis I in the organisms with
inverted meiosis can be explained by the absence of specific meiotic proteins
(shugoshins). These proteins are able to protect cohesins of holocentric
centromeres from hydrolysis by separases at meiosis I, as occurs in the organisms
with monocentric chromosomes and canonical meiosis. The basic type of inverted
meiosis was described in Coccoidea and Aphididae males. In their females, the
variants of parthenogenesis were also observed. Until now, the methods of
molecular cytogenetics were not applied for the analysis of inverted meiosis in
Coccoidea and Aphididae. Evolutionary, inverted meiosis is thought to have
appeared secondarily as an adaptation of the molecular mechanisms of canonical
meiosis to chromosome holocentrism.
PMID- 29368477
TI - Endobronchial Hamartoma Causing Postobstructive Pneumonia.
AB - The current case highlights an uncommon cause of recurrent pneumonia. A 76 year
old female presented to her primary care physician multiple times with recurrent
left lower lobe pneumonia. After multiple chest radiographs continued to show no
improvement despite antibiotics, a CT of the chest was performed and showed an
intraluminal lesion in the left lower lobe bronchus. An endoscopic biopsy was
performed and showed the lesionto be a hamartoma. The patient subsequently
underwent resection of the lesion and her symptoms resolved. This case
illustrates the need for further diagnostic testing when recurrent pneumonia
presents with the same lobar distribution.
PMID- 29368478
TI - Persistent Distress after Water Contamination.
AB - Background: A chemical spill contaminated the public water supply of Charleston,
West Virginia in January 2014 for at least a week. Psychological distress is
common after disasters. Methods: We surveyed the exposed population to assess
psychological distress during and three months after the incident. We inquired
about stressors that might predict distress, adequacy of communication from
public officials, and use of the water supply and perceptions of its safety three
months after the incident. Results: Twenty six percent of interviewees had
persistent symptoms of distress. Female sex, negative household experiences
during the episode (especially having someone sick), and poor perception of
communication increased odds of persistent distress. Households of respondents
without persistent distress were significantly more likely to report drinking tap
water (RR=1.95) than those with persistent distress. Conclusions: Distress in
Charleston area residents persisted and may have resulted in continuing mistrust
of the water supply.
PMID- 29368479
TI - [Genotoxic effects of pesticide fipronil in somatic and generative cells of
mice].
AB - The pronounced genotoxic effect of fipronil in all used doses (4.75, 9.50, 19.00,
and 31.70 mg/kg) at a single exposure in the liver, lungs and spleen was
ascertained by the Comet assay. Organ specificity of genotoxic effects of the
pesticide was revealed. The liver was the most sensitive to fipronil. Fipronil at
a dose of 9.50 mg/kg in a single and repeated exposure (within 10 days) induced
aberrations in mouse bone marrow cells with the frequency exceeding the
spontaneous mutation rate (p < 0.01 and p < 0.001, respectively). Fipronil also
showed genotoxic activity in the germ cells of the experimental animals, causing
abnormalities of the structure of synaptonemal complexes in the spermatocytes.
PMID- 29368480
TI - Hip Pain in the Pre-Arthritic Patient: A Guide for the Primary Care Physician.
AB - Adolescents and young adults (less than 30 years of age) with pre-arthritic hip
pain constitute a diagnostic dilemma for the primary care physician. The most
common underlying diagnoses range from benign muscle strains/joint sprains to
stress reactions, insufficiency fractures, and tears involving the articular
cartilage/labrum in the setting of femoroacetabular impingement, a hip shape
abnormality that is present in up to 90% of this age group. Undetected or left
untreated these seemingly innocuous disorders can result in significant loss of
function and, in some cases, irreversible joint damage. Despite sharing common
predisposing factors, many of the above diagnoses can be identified with a
focused history and physical examination. Conservative management may be safely
initiated without advanced imaging, reserving orthopaedic consultation for
refractorycases or more serious diagnoses. The presented focused hip clinical
examination has 98% sensitivity in localizing intra-articular hip pathologyand
will be helpful to direct appropriate referrals. This article will serve as a
guide for primary care physicians undertaking the difficult task of evaluating
and treating a young patient with hip pain.
PMID- 29368481
TI - [Determination of the composition and the allelic state of disease and pest
resistance genes in potato parental lines using DNA markers].
AB - The allelic dosage of disease and pest resistance genes was determined in 11
prospective potato varieties and hybrids by means of detecting the corresponding
PCR DNA markers in their progeny from crosses with specially selected testers. It
was revealed that most (65%) resistance genes in the analyzed parental lines were
present as a single dominant allele (simplex). Nevertheless, we were able to find
some multiplex lines valuable for breeding. The Yanka variety and the clone 52-03
16 had one triplex and one duplex of resistance genes, the Lilea and Charaunik
varieties and the clone 106-04-17 had two resistance genes in duplex, and the
Uladar and Falvarak varieties and the clone 45-04-24 were duplex for some single
genes. The highest number of multiplex lines was detected for the genes Ry sto ,
H1, and Sen1. Only simplex genotypes were revealed for the Gro-1-4 and PLRV1
genes.
PMID- 29368482
TI - [Species divergence in Iris series Lacteae (Iridaceae) in Russia and adjacent
countries based on chloroplast DNA sequence data].
AB - In Russia, the species of the genus Iris L., series Lacteae Doronkin, the
taxonomic structure of which still remains controversial, are found in the south
of Siberia and the Russian Far East, as well as in other regions of Asia.
Sequence analysis of three chloroplast DNA regions (rps4, trnL-trnF, and trnS
trnG) shows that, in Russia and adjacent countries, there are two genetically and
geographically isolated Lacteae species. I. oxypetala Bunge grows in the south of
the Russian Far East, and I. lactea Pall. grows in Siberia, Mongolia, and
Kazakhstan. Genetic differentiation between the populations of I. lactea is
extremely low and statistically insignificant (the fixation index PhiST = 0.057,
P > 0.05), pointing to the unity of the gene pool and the absence of other
Lacteae species in this area.
PMID- 29368483
TI - Using Capsule Endoscopy at an Academic Teaching Hospital in West Virginia: A
Descriptive Analysis of our 7 year Experience and Determination of Diagnostic
Yield for Obscure Gastrointestinal Bleeding.
AB - Background: The aim of our study was to describe, analyze, and evaluate results
of wireless capsule endoscopy (CE) as an imaging modality for various
indications. Methods: We conducted a retrospective chart review study of all CE
studies performed between January 1, 2007 and June 30, 2014 at Marshall
University. The study included 272 patients between the ages of 21-85 years old.
Results: The most common finding in our study was a normal study (57.7%) followed
by small bowel erosions (14.3%),neoplasms (11.4%), Arteriovenous malformations
(10.7%), inflammatory bowel disease (2.6%), and nonspecific findings (3.3%).
Discussion: 90/209 patients who had indications for IDA, melena, or heme-positive
stools demonstrated positive findings by CE; our diagnostic yield for obscure GI
bleeding was therefore 43.1%.
PMID- 29368484
TI - [Microsatellite markers polymorphism in the breeding nutria (Myocastor coypus)
population in Poland].
AB - The aim of the research was to establish a microsatellite panel to determine the
genetic diversity within the breeding nutria population in Poland. In the study,
92 animals representing six color forms were used. Ten fluorescently labeled
microsatellite markers were investigated by multicolored capillary
electrophoresis. All the microsatellites were polymorphic. The average
heterozygosity observed among the population was 41%. The mean number of alleles
per locus was 9.2. The average heterozygosity observed in the whole population
was lower than expected. This implies that the nutria population deviates from
the Hardy-Weinberg equilibrium. Low M values (from 0.078 to 0.545) of the Garza
Williamson index reveal a reduction of genetic variation in the investigated
population and suggest that the breeding nutria population is remnant.
PMID- 29368485
TI - Subclavian Vein Central Line Catheter Mistakenly Placed in the Aorta: A Case
Report and How to Avoid Complications.
AB - An 85-year-old female with multiple medical problems presented with a sigmoid
volvulus. While in the ICU, the patient required a central line because of poor
peripheral access. The central line was mistakenly placed in the aorta while
attempting to use the subclavian approach. In this manuscript we discussed ways
of reducing complications and successfully placing subclavian vein catheters.
PMID- 29368486
TI - [The haplomatch program for comparing Y-chromosome STR-haplotypes and its
application to the analysis of the origin of Don Cossacks].
AB - STR haplotypes of the Y chromosome are widely used as effective genetic markers
in studies of human populations and in forensic DNA analysis. The task often
arises to compare the spectrum of haplotypes in individuals or entire
populations. Performing this task manually is too laborious and thus unrealistic.
We propose an algorithm for counting similarity between STR haplotypes. This
algorithm is suitable for massive analyses of samples. It is implemented in the
computer program Haplomatch, which makes it possible to find haplotypes that
differ from the target haplotype by 0, 1, 2, 3, or more mutational steps. The
program may operate in two modes: comparison of individuals and comparison of
populations. Flexibility of the program (the possibility of using any external
database), its usability (MS Excel spreadsheets are used), and the capability of
being applied to other chromosomes and other species could make this software a
new useful tool in population genetics and forensic and genealogical studies. The
Haplomatch software is freely available on our website www.genofond.ru. The
program is applied to studying the gene pool of Cossacks. Experimental analysis
of Y-chromosomal diversity in a representative set (N = 131) of Upper Don
Cossacks is performed. Analysis of the STR haplotypes detects genetic proximity
of Cossacks to East Slavic populations (in particular, to Southern and Central
Russians, as well as to Ukrainians), which confirms the hypothesis of the origin
of the Cossacks mainly due to immigration from Russia and Ukraine. Also, a small
genetic influence of Turkicspeaking Nogais is found, probably caused by their
occurrence in the Don Voisko as part of the Tatar layer. No similarities between
haplotype spectra of Cossacks and Caucasus populations are found. This case study
demonstrates the effectiveness of the Haplomatch software in analyzing large sets
of STR haplotypes.
PMID- 29368487
TI - [Analysis of the ITS1/ITS2 nuclear spacers and the secondary structure of 5.8S
rRNA gene in endemic species Bellevalia sarmatica (Pall. ex Georgi) Woronow and
related species of the subfamily scilloideae].
AB - Sequence variability of the ITS spacers and 5.8S rRNA gene was examined in 11
accessions of the subfamily Scilloideae, including seven accessions of rare and
endangered species Bellevalia sarmatica from Volgograd region. The intraspecific
polymorphism level of the examined ITS1-5.8S-ITS2 sequence of B. sarmatica
accessions constituted 1.3%. The phylogenetic position of B. sarmatica within the
genus Bellevalia was determined. It was demonstrated that B. sarmatica belonged
to the section Nutantes, and the most closely related species were B. webbiana
and B. dubia. Nucleotide substitutions in the 5.8S rRNA gene sequence of the
analyzed Scilloideae accessions were identified and studied. The predicted
secondary structure of 5.8S rRNA gene was constructed. It was demonstrated that
in the examined accessions, mutations in the 5.8S rRNA gene were mainly localized
in the third hairpin region and had no effect on the secondary structure of the
5.8S rRNA molecule.
PMID- 29368488
TI - [Identification and polymorphism of pectinase genes PGU in the Saccharomyces
bayanus complex].
AB - Pectinase (endo-polygalacturonase) is the key enzyme splitting plant pectin. The
corresponding single gene PGU1 is documented for the yeast S. cerevisiae. On the
basis of phylogenetic analysis of the PGU nucleotide sequence available in the
GenBank, a family of divergent PGU genes is found in the species complex S.
bayanus: S. bayanus var. uvarum, S. eubayanus, and hybrid taxon S. pastorianus.
The PGU genes have different chromosome localization.
PMID- 29368491
TI - [Gene therapy of arthritis].
AB - Gene therapy can offer a new approach to arthritis treatment which acts at an
inflammation site. Numerous studies show high efficacy of gene therapy in
different models of arthritis in humans. Even a single injection of a recombinant
vector results in a stable prolonged expression of a therapeutic gene and a
longterm therapeutic effect. In contrast to biologic therapy involving numerous
systemic injections of recombinant anti-inflammatory proteins, gene therapy does
not produce systemic side effects. Vectors based on retroviruses, adenoviruses,
adeno-associated viruses, and recombinant plasmids could provide delivery of
target genes. Of significant importance is the development of noninvasive methods
of gene therapy: intranasal and peroral. The current state of research in
arthritis gene therapy is discussed in this review.
PMID- 29368490
TI - Catechol-oxide-methyltransferase (COMT rs4680:G>A) gene polymorphism does not
affect analgesics' demand after elective hip replaceme.
AB - Pain in patients with hip osteoarthritis appears long before surgery, and
requires effective management as it affects patient comfort and daily activities.
Therefore, the search for factors influencing response rate to analgesics is
mandatory. In recent years, increasing attention has been paid to genetic factors
underlying pain threshold and treatment efficacy. Polymorphic gene of catechol
oxide-methyltransferase (COMT) is a candidate gene associated with pain pathology
and treatment response. The aim of the study was to evaluate association between
the COMT rs4680:G>A polymorphism and demand for analgesics in patients subjected
to elective hip replacement. The study included 196 patients after hip
replacement surgery. Opioid demand was recorded and analgesic efficacy was scored
using a four-level verbal pain intensity scale. COMT rs4680:G>A polymorphism was
analysed by PCR-RFLP method. The studied COMT genotypes did not influence opioid
administration in the studied patients from the day of surgery till day 6
afterwards. The distribution of the COMT rs4680:G>A in the studied subjects was
as follows: GA-52.04%, AA-23.98% and GG-23.98%. It can be concluded that the COMT
rs4680:G>A polymorphism is not associated with opioid demand in patients after
elective hip replacement.
PMID- 29368489
TI - Tobacco-use Cessation Counseling Service Usage.
AB - Objectives: Tobacco-use is common among elderly lung cancer patients and
continued tobacco-use can impact prognosis. This study evaluates patterns of
receipt of Tobacco-use Cessation Counseling (TCC) services among these patients.
Methods: Using West Virginia Cancer Registry-Medicare linked database (2004
2007), we identified elderly patients with lung cancer (n = 922) and categorized
them by receipt of TCC services. Hierarchical generalized logistic model was
constructed and survival outcomes were analyzed by Kaplan-Meier analysis, Log
Rank test, and Cox proportional hazards modeling. Results: Majority of patients
(76.7%) received TCC services. Unadjusted analysis showed favorable survival
outcomes in patients who received TCC services. However, adjusted lung cancer
mortality risk was no different between the groups (HR (95% CI) = 1.78 (0.87
3.64)). Conclusion: This study highlights the critical need to address
disparities in receipt of TCC services among elderly. Although lung cancer
preventive services are covered under the Medicare program, underutilization of
these services is a concern.
PMID- 29368492
TI - [Hybridological analysis of inheritance of mosaic nptII gene expression in
transgenic tobacco plants].
AB - On the basis of the results of hybridological analysis, it was established that
significant differences in the stability of manifestation of the nptII gene
expression are observed between the Nu5 and Nu6 lines obtained from the same
initial Nu21 transformant (in spite of the identical genetic environment).
Relatively stable expression of the marker gene is registered in the Nu5 line;
the frequencies of detection of mosaic descendants are not high. The Nu6 line is
characterized by a high frequency of the appearance of mosaic plants (up to
100%), indicating an increase in the marker gene inactivation in this line. When
combining the nptII gene alleles in the hybrid genome, the allele coming from the
Nu6 line was manifested as semidominant and had a suppressing effect on the
allele coming from the Nu5 line. No transinactivation phenomena at the level of
phenotype were detected during the interaction of the nptII gene alleles from the
Nu5 and Nu6 lines in diheterozygote with the alleles of homologous genes
inactivated at the transcriptional or post-transcriptional levels. During
segregation to F2, separation of the Nu21 line progeny into two independent
groups with preservation of the different character of the marker gene expression
(with a moderate level of appearance of mosaic plants for the Nu5 line and with
high level for the Nu6 line) was again registered. Further studies are directed
to detection of the mechanisms leading to the mosaic type of the studied gene
manifestation in transgenic plants of the Nu5 and Nu6 lines.
PMID- 29368493
TI - [Current state of the genetic polymorphism in spring barley (Hordeum vulgare L.)
from Russia assessed by the alleles of hordein-coding loci].
AB - Starch gel electrophoresis was performed to study the polymorphism of hordeins
encoded by the Hrd A, Hrd B, and Hrd F loci in 211 varieties of spring barley.
For 41 of these varieties, the genetic formulas were established for the first
time. In the two samples of varieties, the comparative analysis of allelic
diversity and allele frequencies of hordein-coding loci was carried out. The
first sample consisted of 101 spring barley varieties approved for the use on the
territory of the Russian Federation in 1999, while the second sample included 160
spring barley varieties that were approved in 2014; 49 of these varieties were
common for both samples. It is demonstrated that the current tendency to
reduction of the proportion of heterogeneous spring barley varieties is mainly
due to the introduction of foreign varieties homogeneous for the hordein-coding
loci. At the same time, there is an increase in polymorphism of hordein-coding
loci in modern spring barley varieties. The number of alleles for the Hrd A locus
increased by five alleles, and for the Hrd B locus, by nine alleles. Along with
the alleles recorded earlier in barley landrace populations and varieties bred in
20th century, three novel alleles of the Hrd A locus and four alleles of the Hrd
B locus were identified. The number of alleles of the Hrd F locus remained
unchanged (four), and the changes in their frequencies were small. At the same
time, the changes in frequency observed for some alleles of the Hrd A and Hrd B
loci were statistically significant. All newly identified alleles of hordein
coding loci were found with low frequencies (from 0.003 to 0.006), so despite the
increased number of alleles, no statistically significant increase in genetic
diversity in terms of MU and PIC indices was observed.
PMID- 29368494
TI - [Spatial and temporal variations of the chromosomal inversion frequencies across
the range of malaria mosquito Anopheles messeae Fall. (Culicidae) during the 40
year monitoring period].
AB - The analysis of personal and published data on the frequency dynamics of
chromosomal inversions within the range of Anopheles messeae obtained during the
period from 1974 through 2014 is presented. The results showed that, in general,
across the species range, during the 40 years of genetic monitoring, there was a
steady (stationary) clinal distribution of inversions in the first decade (1974
1985). Then, over the period of five years (1986-1990), there was a considerable
change in the inversion frequencies in favor of southwestern chromosomal variants
(particularly strongly fixed in the Tomsk population), and from 1992 to the
present time, these changes remained and were relatively stably reproduced in
most parts of the range. It was noted that the jump in warming during the winter
of 1981-1982 led to a correlated jump of the southwestern chromosomes in the
Tomsk population. In connection with the general tendency toward the increase in
average winter temperatures, a sharp decrease in the 2R1 chromosome frequency in
the Siberian region and Syktyvkar in the period from 1992 to the present time was
observed. There is reason to assume that, over the past decade, the northern
boundary of the An. messeae range moved northward to the tundra zone.
PMID- 29368495
TI - Rationally addressing the opiate drug problem.
PMID- 29368496
TI - [Genetic polymorphism, haplotype distribution, and phylogeny of Daphnia
(Cladocera: Anomopoda) species from the water bodies of russia as inferred from
the 16S mtDNA gene sequencing].
AB - The data on the genetic polymorphism of the most widespread Daphnia species
occupying different water bodies of Russia are presented. The phylogenetic
relationships between the examined species were established, and the haplotype
networks were constructed. A fragment of the 16S mitochondrial DNA gene was used
as a genetic marker. The results of molecular phylogenetic analysis generally
coincided with modern concepts in the systematics of the genus Daphnia. The
representatives of the divergent mitochondrial lineages within the D. longispina,
D. pulex, and D. magna complex remain poorly investigated morphologically. For D.
dentifera, a new habitat on the territory of Russia, namely, the water bodies of
the Lake Baikal basin, was identified. A conclusion was made that the 16S mtDNA
gene could be successfully used in phylogeographic analysis of the genus Daphnia.
PMID- 29368497
TI - Choosing Wisely.
PMID- 29368498
TI - [Chromosomal polymorphism in the populations of malaria mosquito Anopheles
messeae (Diptera, Culicidae) in the Volga region].
AB - We studied the species composition and chromosomal variability of malaria
mosquitoes in the Volga Basin (Upper, Middle, and Lower Volga regions). We
investigated larvae karyotypes of sibling species of the Anopheles maculipennis
group. We calculated the frequencies of chromosomal inversions in the local
populations of the dominant species An. messeae. We discovered that karyotypic
structure of An. messeae populations depends on landscape-climatic zones.
Populations of the Upper, Middle and Lower Volga differ in frequency of
chromosome inversions XL, 2R, 3R, and 3L.
PMID- 29368499
TI - [1,2,4]Triazolo[1,5-a]pyridine as Building Blocks for Universal Host Materials
for High-Performance Red, Green, Blue and White Phosphorescent Organic Light
Emitting Devices.
AB - The electron-accepting [1,2,4]triazolo[1,5-a]pyridine (TP) moiety was introduced
to build bipolar host materials for the first time, and two host materials based
on this TP acceptor and carbazole donor, namely, 9,9'-(2-([1,2,4]triazolo[1,5
a]pyridin-2-yl)-1,3-phenylene)bis(9H-carbazole) (o-CzTP) and 9,9'-(5
([1,2,4]triazolo[1,5-a]pyridin-2-yl)-1,3-phenylene)bis(9H-carbazole) (m-CzTP),
were designed and synthesized. These two TP-based host materials possess a high
triplet energy (>2.9 eV) and appropriate highest occupied molecular
orbital/lowest unoccupied molecular orbital levels as well as the bipolar
transporting feature, which permits their applicability as universal host
materials in multicolor phosphorescent organic light-emitting devices (PhOLEDs).
Blue, green, and red PhOLEDs based on o-CzTP and m-CzTP with the same device
configuration all show high efficiencies and low efficiency roll-off. The devices
hosted by o-CzTP exhibit maximum external quantum efficiencies (etaext) of 27.1,
25.0, and 15.8% for blue, green, and red light emitting, respectively, which are
comparable with the best electroluminescene performance reported for FIrpic-based
blue, Ir(ppy)3-based green, and Ir(pq)2(acac)-based red PhOLEDs equipped with a
single-component host. The white PhOLEDs based on the o-CzTP host and three
lumophors containing red, green, and blue emitting layers were fabricated with
the same device structure, which exhibit a maximum current efficiency and etac of
40.4 cd/A and 17.8%, respectively, with the color rendering index value of 75.
PMID- 29368500
TI - Formaldehyde Detoxification Creates a New Wheel for the Folate-Driven One-Carbon
"Bi"-cycle.
PMID- 29368501
TI - Colloidal Quantum Dot Inks for Single-Step-Fabricated Field-Effect Transistors:
The Importance of Postdeposition Ligand Removal.
AB - Colloidal quantum dots are a class of solution-processed semiconductors with good
prospects for photovoltaic and optoelectronic applications. Removal of the
surfactant, so-called ligand exchange, is a crucial step in making the solid
films conductive, but performing it in solid state introduces surface defects and
cracks in the films. Hence, the formation of thick, device-grade films have only
been possible through layer-by-layer processing, limiting the technological
interest for quantum dot solids. Solution-phase ligand exchange before the
deposition allows for the direct deposition of thick, homogeneous films suitable
for device applications. In this work, fabrication of field-effect transistors in
a single step is reported using blade-coating, an upscalable, industrially
relevant technique. Most importantly, a postdeposition washing step results in
device properties comparable to the best layer-by-layer processed devices,
opening the way for large-scale fabrication and further interest from the
research community.
PMID- 29368502
TI - Nondestructive Readout Complementary Resistive Switches Based on Ferroelectric
Tunnel Junctions.
AB - Recently, complementary resistive switches (CRSs) have attracted considerable
attention because of the effective suppression of the sneak leakage that is an
inherent problem of crossbar memory arrays. In this work, we propose a new CRS
device enabling nondestructive readout based on back-to-back in-series
Pt/BaTiO3/Nb:SrTiO3 ferroelectric tunnel junctions (FTJs). The FTJ elements
exhibit not only a nonvolatile resistance switching but also a typical diode-like
transport in the high-resistance state (HRS) because of the ferroelectric
enhancement on the Schottky barrier of the BaTiO3/Nb:SrTiO3 interface. With the
rectifying characteristic, the complementary HRS + LRS (low-resistance state) and
LRS + HRS states can be well-distinguished and nondestructively read out by a
subthreshold voltage. In addition, the sneak current is significantly suppressed
in the Pt/BaTiO3/Nb:SrTiO3 CRS crossbar array, and the maximum scaling size is
increased by about 50 times, in comparison to the array constituted by only the
single-FTJ devices. These results facilitate the design of high-performance
resistive memories based on the crossbar architecture.
PMID- 29368503
TI - Water on Graphene-Coated TiO2: Role of Atomic Vacancies.
AB - Beyond two-dimensional (2D) materials, interfaces between 2D materials and
underlying supports or 2D-coated metal or metal oxide nanoparticles exhibit
excellent properties and promising applications. The hybrid interface between
graphene and anatase TiO2 shows great importance in photocatalytic, catalytic,
and nanomedical applications due to the excellent and complementary properties of
the two materials. Water, as a ubiquitous and essential element in practical
conditions and in the human body, plays a significant role in the applications of
graphene/TiO2 composites for both electronic devices and nanomedicine. Carbon
vacancies, as common defects in chemically prepared graphene, also need to be
considered for the application of graphene-based materials. Therefore, the
behavior of water on top and at the interface of defective graphene on anatase
TiO2 surface was systematically investigated by dispersion-corrected hybrid
density functional calculations. The presence of the substrate only slightly
enhances the on-top adsorption and reduces the on-top dissociation of water on
defective graphene. However, at the interface, dissociated water is largely
preferred compared with undissociated water on bare TiO2 surface, showing a
prominent cover effect. Reduced TiO2 may further induce oxygen diffusion into the
bulk. Our results are helpful to understand how the presence of water in the
surrounding environment affects structural and electronic properties of the
graphene/TiO2 interface and thus its application in photocatalysis, electronic
devices, and nanomedicine.
PMID- 29368504
TI - Single-Crystal BiFeO3 Nanoplates with Robust Antiferromagnetism.
AB - Freestanding and single-crystal BiFeO3 (BFO) nanoplates have been successfully
synthesized by a fluoride ion-assisted hydrothermal method, and the thickness of
the nanoplates can be effectively tailored from 80 to 380 nm by the concentration
of fluoride ions. It is revealed that BFO nanoplates grew via an oriented
attachment of layer by layer, giving rise to the formation of the inner interface
within the nanoplates. In particular, antiferromagnetic (AFM) phase-transition
temperature (Neel temperature, TN) of the BFO nanoplates is significantly
enhanced from typical 370 to ~512 degrees C, whereas the Curie temperature (TC)
of the BFO nanoplates is determined to be ~830 degrees C, in good agreement with
a bulk value. The combination of scanning transmission electron microscopy,
electron energy loss spectroscopy, and the first-principle calculations reveals
that the interfacial tensile strain remarkably improves the stability of AFM
ordering, accounting for the significant enhancement in TN of BFO plates.
Correspondingly, the tensile strain induced the polarization and oxygen
octahedral tilting has been observed near the interface. The findings presented
here suggest that single-crystal BFO nanoplate is an ideal system for exploring
an intrinsic magnetoelectric property, where a tensile strain can be a very
promising approach to tailor AFM ordering and polarization rotation for an
enhanced coupling effect.
PMID- 29368505
TI - CoHPi Nanoflakes for Enhanced Oxygen Evolution Reaction.
AB - Electrochemical splitting of water to produce hydrogen and oxygen is an important
process for many energy storage and conversion devices. Developing efficient,
robust, low-cost, and earth-abundant electrocatalysts for the oxygen evolution
reaction (OER) is therefore of great importance. Herein, we report a novel method
to prepare two-dimensional cobalt hydrogen phosphate (CoHPi) through chemical
conversion of alpha-Co(OH)2 precursor at room temperature. The CoHPi nanoflakes
with the thickness of 3 nm contain HPO42- anions, which have been demonstrated to
serve as a proton acceptor in proton-coupled electron-transfer (PCET) process of
OER. Due to their ultrathin structure and the PCET merit of anions, the CoHPi
nanoflakes show enhanced OER activity as well as excellent stability in prolonged
OER operation. Through further mechanism study, the observed performances can be
ascribed to enriched active sites, surface superhydrophilicity, and rapid
electron/proton and mass transfers.
PMID- 29368506
TI - Panitumumab-Conjugated and Platinum-Cored pH-Sensitive Apoferritin Nanocages for
Colorectal Cancer-Targeted Therapy.
AB - Apoferritin (AF) is a natural nontoxic iron carrier and has a natural hollow
structure that can be used to deliver small molecules. The surface of AF has many
amine functional groups that can be modified to create targeted ligands. We
loaded oxaliplatin onto AF, which was then used as a template to conjugate with
panitumumab via a polyethylene glycol linker. The oxaliplatin-loaded AF
conjugated with panitumumab (AFPO) was designed to specifically target cell lines
expressing epidermal growth factor receptor (EGFR). AFPO efficiently released
oxaliplatin and suppressed tumor cell growth. Furthermore, the novel AFPO
nanocages showed significant inhibition and greater accumulation in tumor models
with high EGFR expression in vivo. Our study revealed that combining panitumumab
and oxaliplatin into one formulation (AFPO nanocage) could be a promising
shortcut in clinical applications.
PMID- 29368507
TI - Solid-State Synapse Based on Magnetoelectrically Coupled Memristor.
AB - Brain-inspired computing architectures attempt to emulate the computations
performed in the neurons and the synapses in the human brain. Memristors with
continuously tunable resistances are ideal building blocks for artificial
synapses. Through investigating the memristor behaviors in a
La0.7Sr0.3MnO3/BaTiO3/La0.7Sr0.3MnO3 multiferroic tunnel junction, it was found
that the ferroelectric domain dynamics characteristics are influenced by the
relative magnetization alignment of the electrodes, and the interfacial spin
polarization is manipulated continuously by ferroelectric domain reversal,
enriching our understanding of the magnetoelectric coupling fundamentally. This
creates a functionality that not only the resistance of the memristor but also
the synaptic plasticity form can be further manipulated, as demonstrated by the
spike-timing-dependent plasticity investigations. Density functional theory
calculations are carried out to describe the obtained magnetoelectric coupling,
which is probably related to the Mn-Ti intermixing at the interfaces. The
multiple and controllable plasticity characteristic in a single artificial
synapse, to resemble the synaptic morphological alteration property in a
biological synapse, will be conducive to the development of artificial
intelligence.
PMID- 29368508
TI - Thermal Stability of Particle-Phase Monoethanolamine Salts.
AB - The use of monoethanolamine (MEA, 2-hydroxyethanamine) for scrubbing of carbon
dioxide from combustion flue gases may become the dominant technology for carbon
capture in the near future. The widespread implementation of this technology will
result in elevated emissions of MEA to the environment that may increase the
loading and modify the properties of atmospheric aerosols. We have utilized
experimental measurements together with aerosol microphysics calculations to
derive thermodynamic properties of several MEA salts, potentially the dominant
forms of MEA in atmospheric particles. The stability of the salts was found to
depend strongly on the chemical nature of the acid counterpart. The saturation
vapor pressures and vaporization enthalpies obtained in this study can be used to
evaluate the role of MEA in the aerosol and haze formation, helping to assess
impacts of the MEA-based carbon capture technology on air quality and climate
change.
PMID- 29368509
TI - Zearalenone Uptake and Biotransformation in Micropropagated Triticum durum Desf.
Plants: A Xenobolomic Approach.
AB - A model was set up to elucidate the uptake, translocation, and metabolic fate of
zearalenone (ZEN) in durum wheat. After treatment with ZEN, roots and shoots were
profiled with LC-HRMS. A comprehensive description of in planta ZEN
biotransformation and a biotechnological evaluation of the model were obtained.
Up to 200 MUg ZEN were removed by each plantlet after 14 days. Most ZEN and its
masked forms were retained in roots, while minimal amounts were detected in
leaves. Sixty-two chromatographic peaks were obtained, resulting in 7 putative
phase I and 18 putative phase II metabolites. ZEN16Glc and ZEN14Glc were most
abundant in roots, sulfo-conjugates and zearalenol derivatives were unable to
gain systemic distribution, while distinct isomers of malonyl conjugates were
found in leaves and roots. This study underlines the potential ZEN occurrence in
plants without an ongoing Fusarium infection. Micropropagation may represent a
tool to investigate the interplay between mycotoxins and wheat.
PMID- 29368510
TI - Lead-Catalyzed Aqueous Benzoylation of Carbohydrates with an Acyl Phosphate
Ester.
AB - Biochemical systems utilize adenylates of amino acids to aminoacylate the 3'
terminal diols of tRNAs. The reactive acyl group of the biological acylation
agent is a subset of the general class of acyl phosphate monoesters. Those
compounds are relatively stable in aqueous solutions, and their alkyl esters are
conveniently prepared. It has previously been shown that biomimetic reactions of
acyl phosphate monoesters with diols and carbohydrates are promoted by lanthanide
salts. However, they also promote hydrolysis of acyl phosphate reagents, and the
overall yields are modest. An assessment of the catalytic potential of
alternative Lewis acids reveals that lead ions may be more effective as catalysts
than lanthanides. Treatment of carbohydrates with benzoyl methyl phosphate (BMP)
and triethylamine in water with added lead nitrate produces monobenzoyl esters in
up to 75% yield. This provides a water-compatible pathway for novel patterns of
benzoylation of polyhydroxylic compounds.
PMID- 29368511
TI - Peptidoglycan Compositional Analysis of Enterococcus faecalis Biofilm by Stable
Isotope Labeling by Amino Acids in a Bacterial Culture.
AB - Peptidoglycan (PG) is a major component of the cell wall in Enterococcus
faecalis. Accurate analysis of PG composition provides crucial insights into the
bacterium's cellular functions and responses to external stimuli, but this
analysis remains challenging because of various chemical modifications to PG
repeat subunits. We characterized changes to the PG composition of E. faecalis
grown as planktonic bacteria and biofilm by developing "stable isotope labeling
by amino acids in bacterial culture" (SILAB), optimized for bacterial cultures
with incomplete amino acid labeling. This comparative analysis by mass
spectrometry was performed by labeling E. faecalis in biofilm with heavy Lys (l
[13C6,2D9,15N2]Lys) and planktonic bacteria with natural abundance l-Lys, then
mixing equal amounts of bacteria from each condition, and performing cell wall
isolation and mutanolysin digestion necessary for liquid chromatography and mass
spectrometry. An analytical method was developed to determine muropeptide
abundances using correction factors to compensate for incomplete heavy Lys
isotopic enrichment (98.33 +/- 0.05%) and incorporation (83.23 +/- 1.16%). Forty
seven pairs of PG fragment ions from isolated cell walls of planktonic and
biofilm samples were selected for SILAB analysis. We found that the PG in biofilm
showed an increased level of PG cross-linking, an increased level of N
deacetylation of GlcNAc, a decreased level of O-acetylation of MurNAc, and an
increased number of stem modifications by d,d- and l,d-carboxypeptidases.
PMID- 29368513
TI - Highly Localized Charge Transfer Excitons in Metal Oxide Semiconductors.
AB - The ability to observe charge localization in photocatalytic materials on the
ultrafast time scale promises to reveal important correlations between excited
state electronic structure and photochemical energy conversion. Of particular
interest is the ability to determine hole localization in the hybridized valence
band of transition metal oxide semiconductors. Using femtosecond extreme
ultraviolet reflection absorption (XUV-RA) spectroscopy we directly observe the
formation of photoexcited electrons and holes in Fe2O3, Co3O4, and NiO occurring
within the 100 fs instrument response. In each material, holes localize to the O
2p valence band states as probed at the O L1-edge, while electrons localize to
metal 3d conduction band states on this same time scale as probed at the metal
M2,3-edge. Chemical shifts at the O L1-edge enable unambiguous comparison of
metal-oxygen (M-O) bond covalency. Pump flux dependent measurements show that the
exciton radius is on the order of a single M-O bond length, revealing a highly
localized nature of exciton in each metal oxide studied.
PMID- 29368512
TI - Facilitated Unbinding via Multivalency-Enabled Ternary Complexes: New Paradigm
for Protein-DNA Interactions.
AB - Dynamic protein-DNA interactions constitute highly robust cellular machineries to
fulfill cellular functions. A vast number of studies have focused on how DNA
binding proteins search for and interact with their target DNA segments and on
what cellular cues can regulate protein binding, for which protein concentration
is a most obvious one. In contrast, how protein unbinding could be regulated by
protein concentration has evaded attention because protein unbinding from DNA is
typically a unimolecular reaction and thus concentration independent. Recent
single-molecule studies from multiple research groups have uncovered that protein
concentration can facilitate the unbinding of DNA-bound proteins, revealing
regulation of protein unbinding as another mechanistic paradigm for gene
regulation. In this Account, we review these recent in vitro and in vivo single
molecule experiments that uncovered the concentration-facilitated protein
unbinding by multiple types of DNA-binding proteins, including sequence
nonspecific DNA-binding proteins (e.g., nucleoid-associated proteins, NAP),
sequence-specific DNA-binding proteins (e.g., metal-responsive transcription
regulators CueR and ZntR), sequence-neutral single-stranded DNA-binding proteins
(e.g., Replication protein A, RPA), and DNA polymerases. For the in vitro
experiments, Marko's group investigated the exchange of GFP-tagged DNA-bound NAPs
with nontagged NAPs in solution of increasing concentration using single-molecule
magnetic-tweezers fluorescence microscopy. The faster fluorescence intensity
decrease with higher nontagged NAP concentrations suggests that DNA-bound NAPs
undergo faster exchange with higher free NAP concentrations. Chen's group used
single-molecule fluorescence resonance energy transfer measurements to study the
unbinding of CueR from its cognate oligomeric DNA. The average microscopic dwell
times of DNA-bound states become shorter with increasing CueR concentrations in
the surroundings, demonstrating that free CueR proteins can facilitate the
unbinding of the incumbent one on DNA through either assisted dissociation or
direct substitution. Greene's group studied the unbinding of RPAs from single
stranded DNA using total internal reflection fluorescence microscopy and DNA
curtain techniques. The fluorescence intensity versus time traces show faster
decay with higher wild-type RPA concentrations, indicating that DNA-bound RPAs
can undergo a concentration-facilitated exchange when encountering excess free
RPA. van Oijen's group investigated the leading/lagging-strand polymerase
exchange events in the bacteriophage T7 and E. coli replication systems using a
combination of single-molecule fluorescence microscopy and DNA-flow-stretching
assay. The processivity was observed to have larger decrease when the
concentration of the Y526F polymerase mutant increases, indicating that the
unbinding of the polymerase is also concentration-dependent. Using stroboscopic
imaging and single-molecule tracking, Chen's group further advanced their study
into living bacterial cells. They found CueR, as well as its homologue ZntR,
shows concentration-enhanced unbinding from its DNA-binding site in vivo.
Mechanistic consensus has emerged from these in vitro and in vivo single-molecule
studies that encompass a range of proteins with distinct biological functions. It
involves multivalent contacts between protein and DNA. The multivalency enables
the formation of ternary complexes as intermediates, which subsequently give rise
to concentration-enhanced protein unbinding. As multivalent contacts are
ubiquitous among DNA-interacting proteins, this multivalency-enabled facilitated
unbinding mechanism thus provides a potentially general mechanistic paradigm in
regulating protein-DNA interactions.
PMID- 29368514
TI - Ratiometric Phosphorescent Probe for Thallium in Serum, Water, and Soil Samples
Based on Long-Lived, Spectrally Resolved, Mn-Doped ZnSe Quantum Dots and Carbon
Dots.
AB - Thallium (Tl) is an extremely toxic heavy metal and exists in very low
concentrations in the environment, but its sensing is largely underexplored as
compared to its neighboring elements in the periodic table (especially mercury
and lead). In this work, we developed a ratiometric phosphorescent nanoprobe for
thallium detection based on Mn-doped ZnSe quantum dots (QDs) and water-soluble
carbon dots (C-dots). Upon excitation with 360 nm, Mn-doped ZnSe QDs and C-dots
can emit long-lived and spectrally resolved phosphorescence at 580 and 440 nm,
respectively. In the presence of thallium, the phosphorescence emission from Mn
doped ZnSe QDs could be selectively quenched, while that from C-dots retained
unchanged. Therefore, a ratiometric phosphorescent probe was thus developed,
which can eliminate the potential influence from both background fluorescence and
other analyte-independent external environment factors. Several other heavy metal
ions caused interferences to thallium detection but could be efficiently masked
with EDTA. The proposed method offered a detection limit of 1 MUg/L, which is
among the most sensitive probes ever reported. Successful application of this
method for thallium detection in biological serum as well as in environmental
water and soil samples was demonstrated.
PMID- 29368515
TI - Single-Crystal Graphene-Directed van der Waals Epitaxial Resistive Switching.
AB - Graphene has been broadcasted as a promising choice of electrode and substrate
for flexible electronics. To be truly useful in this regime, graphene has to
prove its capability in ordering the growth of overlayers at an atomic scale,
commonly known as epitaxy. Meanwhile, graphene as a diffusion barrier against
atoms and ions has been shown in some metal-graphene-dielectric configurations
for integrated circuits. Guided by these two points, this work explores a new
direction of using graphene as a bifunctional material in an electrochemical
metallization memory, where graphene is shown to (i) order the growth of a low
ionicity semiconductor ZnS single-crystalline film and (ii) regulate the ion
migration in the resistive switching device made of Cu/ZnS/graphene/Cu
structures. The ZnS film is confirmed to be van der Waals epitaxially grown on
single-crystal graphene with X-ray structural analysis and Raman spectroscopy.
Charge transport studies with controlled kinetic parameters reveal superior ion
regulating characteristic of graphene in this ZnS-based resistive switching
device. The demonstration of the first graphene-directed epitaxial wide band gap
semiconductor resistive switching suggests a possible and promising route toward
flexible memristors.
PMID- 29368516
TI - A Switchable Helical Capsule for Encapsulation and Release of Potassium Ion.
AB - A type of aromatic helical capsules was synthesized. The crystal structure proved
an inner cavity that could perform switchable encapsulation and release of
potassium ion through protonation/deprotonation-mediated extension and
contraction of molecular motion.
PMID- 29368517
TI - Exploring Microbial Peptidases for Cheese Production: A Viewpoint on the Current
Conjecture.
PMID- 29368518
TI - Activating TiO2 Nanoparticles: Gallium-68 Serves as a High-Yield Photon Emitter
for Cerenkov-Induced Photodynamic Therapy.
AB - The classical photodynamic therapy (PDT) requires external light to activate
photosensitizers for cancer treatment. However, limited tissue penetration of
light has been a long-standing challenge for PDT to cure malignant tumors in deep
tissues. Recently, Cerenkov radiation (CR) emitted by radiotracers such as 18F
fluorodeoxyglucose (18F-FDG) has become an alternative and promising internal
light source. Nevertheless, fluorine-18 (F-18) only releases 1.3 photons per
decay in average; consequently, injection dose of F-18 goes beyond 10-30 times
more than usual to acquire therapeutic efficacy because of its low Cerenkov
productivity. Gallium-68 (Ga-68) is a favorable CR source owing to its ready
availability from generator and 30-time higher Cerenkov productivity. Herein, we
report, for the first time, the use of Ga-68 as a CR source to activate dextran
modified TiO2 nanoparticles (D-TiO2 NPs) for CR-induced PDT. Compared with 18F
FDG, 68Ga-labeled bovine serum albumin (68Ga-BSA) inhibited the growth of 4T1
cells and exhibited significantly stronger DNA damage to tumor cells. In vivo
studies showed that the tumor growth was almost completely inhibited when tumor
bearing mice were treated with a combination of D-TiO2 NPs and 68Ga-BSA. This
study proved that Ga-68 is a more potent radionuclide for PDT than F-18 both in
vitro and in vivo offered a promising strategy of using a diagnostic dose of
radioactivity to achieve depth-independent cancer therapy without using any
external light source.
PMID- 29368519
TI - Metallic Ti3C2Tx MXene Gas Sensors with Ultrahigh Signal-to-Noise Ratio.
AB - Achieving high sensitivity in solid-state gas sensors can allow the precise
detection of chemical agents. In particular, detection of volatile organic
compounds (VOCs) at the parts per billion (ppb) level is critical for the early
diagnosis of diseases. To obtain high sensitivity, two requirements need to be
simultaneously satisfied: (i) low electrical noise and (ii) strong signal, which
existing sensor materials cannot meet. Here, we demonstrate that 2D metal carbide
MXenes, which possess high metallic conductivity for low noise and a fully
functionalized surface for a strong signal, greatly outperform the sensitivity of
conventional semiconductor channel materials. Ti3C2Tx MXene gas sensors exhibited
a very low limit of detection of 50-100 ppb for VOC gases at room temperature.
Also, the extremely low noise led to a signal-to-noise ratio 2 orders of
magnitude higher than that of other 2D materials, surpassing the best sensors
known. Our results provide insight in utilizing highly functionalized metallic
sensing channels for developing highly sensitive sensors.
PMID- 29368520
TI - Sensitive Detection of RNase A Activity and Collaborative Drug Screening Based on
rGO and Fluorescence Probe.
AB - In addition to being an important object in theoretical and experimental studies
in enzymology, RNase A also plays an important role in the development of many
kinds of diseases by regulating various physiological or pathological processes,
including cell growth, proliferation, differentiation, and invasion. Thus, it can
be used as a useful biomarker for disease theranostics. Here, a simple,
sensitive, and low-cost assay for RNase A was constructed by combining a
fluorogenic substrate with reduced graphene oxide (rGO). The method with
detection limit of 0.05 ng/mL was first applied for RNase A targeted drug
screening, and 14 natural compounds were identified as activators of this enzyme.
Then, it was applied to detect the effect of drug treatment and Hepatitis B virus
(HBV) infection on RNase A activity. The results indicated that RNase A level in
tumor cells was upregulated by G-10 and Chikusetsusaponin V in a concentration
dependent manner, while the average level of RNase A in the HBV infection group
was significantly inhibited compared with that in the control group. Furthermore,
the concentration-dependent inhibitory effect of heavy metal ions on RNase A was
observed using the method and the results indicated that Ba2+, Co2+, Pb2+, As3+,
and Cu2+ inhibited RNase A activity with IC50 values of 93.7 MUM (Ba2+), 90.9 MUM
(Co2+), 110.6 MUM (Pb2+), 171.5 MUM (As3+), and 165.1 MUM (Cu2+), respectively.
In summary, considering the benefits of rapidity and high sensitivity, the method
is practicable for RNase A assay in biosamples and natural compounds screening in
vitro and in vivo.
PMID- 29368521
TI - Deciphering Functions of Intracellular Formaldehyde: Linking Cancer and Aldehyde
Metabolism.
PMID- 29368522
TI - Metal-Phosphate Bilayers for Anatase Surface Modification.
AB - Compared to many other metal oxides, anatase TiO2 shows relatively lower
reactivity toward carboxylic acid anchor groups. The latter is crucial for
applications, for example, in dye-sensitized solar cells (DSSCs), where the most
used dyes bind to the metal oxide surface through carboxylic acid terminations.
To improve the surface reactivity, metal-phosphate bilayers of Ni or Co were
synthesized on anatase TiO2 compact oxide and nanotubes. In both cases, time-of
flight secondary ion mass spectrometry (ToF-SIMS) and X-ray photoelectron
spectroscopy (XPS) results showed that the bilayers were successfully formed and
that the phosphate layer works as an intermediate between TiO2 and the other
species. ToF-SIMS depth profiles of modified nanotubes showed that Ni and Co are
present through the whole tube length and reduce in content after heat treatment,
in agreement with XPS results. Phosphate groups, on the other hand, are more
present in the tubes' depth, and their content on the surface is reduced upon
exposure to temperature. The reactivity of the modified surfaces toward
carboxylic acid-terminated molecules, as stearic acid and Ru-based N719 dye, was
evaluated. Contact angle measurements together with dye desorption experiments
demonstrated that the Co-phosphate bilayers heat-treated at 300 degrees C
resulted in the largest enhancement compared to the reference. Bilayer-modified
compact anatase TiO2 and anatase TiO2 nanotubes were utilized as photoanodes in
DSSCs. An increase in efficiency was observed for all modified electrodes with
phosphate-Co treatment, leading to the highest JSC values and an efficiency
improvement of 48%.
PMID- 29368523
TI - [Changes in pathology since the times of Purkinje].
AB - The discipline of pathology was founded in the Purkinje era on the principles of
building scientific knowledge of diseases through co-operation among clinical
specialists. During the 20th century the focus of pathologists work has
progressed from autopsies to biopsy diagnostics. The discipline of
histopathological and cytopathological biopsy took form and continuously
incorporates new methodologies and new standards. Its future course compels us to
reflect on the changing requirements of clinical medicine. Current undergraduate
education programs are directed toward forming a basis of expertise for both
clinicians and non-medical healthcare professionals. At the postgraduate level
and in research we are seeing an ever-closer interdisciplinary link, especially
within the fields of genetics. This approach brings pathological processes to a
new level, but also demands new levels of knowledge. Through comprehensive
diagnostic experience, both prognostic and predictive, a pathologist is an
indispensable member of the diagnostic and therapeutic team.
PMID- 29368524
TI - [The contemporary view of the cardiac conduction system].
AB - Cardiac conduction system was described in its complete form in homeotherm
vertebrates 110 years ago. Despite this fact, many new findings concerning its
specification and development that have an impact on its pacemaking and
conducting function appeared in the past decade. Conduction system disorders are
associated with arrhythmias, and some of which have a developmental origin.
Evolutionary view on this area is particularly useful for better understanding of
the atrioventricular canal remodelling.
PMID- 29368525
TI - [Data analysis: challenges and specifics in neuroscience and psychiatry].
AB - The amount of available data relevant for clinical decision support is rising not
only rapidly but at the same time much faster than our ability to analyze and
interpret them. Thus, the potential of the data to contribute to determining the
diagnosis, therapy and prognosis of an individual patient is not appropriately
exploited. The hopes to obtain benefit from the data for an individual patient
must be accompanied by a reliable and diligent biostatistical analysis which
faces serious challenges not always clear to non-statisticians. The aim of this
paper is to discuss principles of statistical analysis of big data in research
and routine applications in clinical medicine, focusing on particular aspects of
psychiatry. The paper brings arguments in favor of the idea that the
biostatistical analysis of data in a specialty field requires different
approaches and different experience compared to other clinical fields. This is
illustrated by a description of common complications of the analysis of
psychiatric data. Challenges of the analysis of big data in both psychiatric
research and routine practice are explained, which are far from a routine service
activity exploiting standard methods of multivariate statistics and/or machine
learning. Important research questions, which are important in the current
psychiatric research, are presented and discussed from the biostatistical point
of view.
PMID- 29368526
TI - [Secondary symptoms of disability in international studies].
AB - The overview study deals with the secondary conditions in individuals with
disability. In the framework of the overview study 24 researches (1984-2016) were
analyzed. According to the researches, individuals with disabilities are exposed
to several secondary conditions such as obesity, pressure sores, metabolic
imbalance, pain, fatigue, depression and others. Secondary conditions have been
observed mainly in individuals with physical disability. The most frequently used
research approach was a quantitative research strategy based on the form of a
questionnaire. The range of research sample differs among selected studies.
Smallest research sample consisted of 71 respondents, the largest of 3076
respondents. Secondary symptoms of disability may be perceived as less serious
problems, however their presence and cumulation can significantly decrease the
quality of life of people with disabilities.
PMID- 29368527
TI - [New ways towards the improvement of the seniors health literacy].
AB - The elderly population is one of the vulnerable groups due to their low health
literacy. Health literacy represents a way of effective ensuring, comprehension
and utilization of health information to be able to make the best possible
decisions in the care of one's health. The presented systematic overview study
was compiled using the analysis of 38 database publications. The goal was to find
relevant resources concerning the relation between health literacy and compliance
in the treatment of adult and elderly individuals. Another goal was to describe
the influence of tools and the possibility of using e-health to improve the
seniors' health literacy. The source analysis proves that limited health literacy
correlates with the decrease of cognitive functions. Some studies show that
health literacy directly correlates with the level of education and age. The
elderly individuals who show higher health literacy observe their doctors' advice
more frequently and are, moreover, active recipients of health care. One of the
tools of how to improve health literacy is e-health technology using internet,
mobile phones, tablets or other information media.Key words: health literacy,
health care, patient, senior, compliance, e-health.
PMID- 29368528
TI - [Traditional medicine and the present: the therapy of gout].
AB - The authors describe the history of treatment of gout by using colchicine
(colchicum; the active substance of Colchicum autumnale). The references to this
plant and its effects (incl. several recipes for the preparation of remedies) in
the gout treatment date back already from the antiquity, but the indisputable
evidence of its use in the therapy of the disease in question comes only from the
6th century AD, by Alexander of Tralles. At present, colchicine became an
officially preferred drug. Some other examples of medicines of plant origin (e.g.
verbena), which were used already by ancient civilizations and are often chosen
for therapy even today. The potential of medicinal drugs hasnt been completely
exhausted yet.
PMID- 29368529
TI - Value of two-cycle docetaxel, cisplatin, and 5-fluorouracil induction
chemotherapy in hypopharyngeal carcinoma.
AB - Various studies have investigated laryngeal function and survival after induction
chemotherapy in hypopharyngeal carcinoma, but potential factors to help predict
response rates after induction chemotherapy remain unknown. This retro- spective
study evaluated which factors are related to an ineffective response to two-cycle
docetaxel, cisplatin, and 5-fluoro- uracil (TPF) induction chemotherapy in
hypopharyngeal carcinoma to determine potential candidates for this treatment in
clinical practice. From Jan 2005 to Dec 2015, 81 patients diagnosed with
hypopharyngeal squamous cell carcinoma based on a pathological examination were
analyzed. They were administered two-cycle TPF induction chemotherapy, and
magnetic resonance imaging was performed before and after induction chemotherapy.
The mean survival time was 5.7 years (95% confidence interval, 5.1-6.2 years).
The 1, 3, 5 and 6-year survival rates were 98.8%, 80.1%, 64.5%, and 54.2%,
respectively. TPF induction chemotherapy was well tolerated; the main adverse
effects resolved with symptomatic treatment. A response to TPF induction
chemotherapy was associated with lymph node size, tumor grade, invasion region, T
stage, and primary tumor. The following issues were significantly associated with
an increasing non-response rate to two-cycle induction chemotherapy: increasing
lymph node size, moderately differentiated squamous cell carcinoma, invasion of
the esophagus along with the thyroid cartilage, and primary tumor in the piriform
sinus. Lymph nodes of >=2.15 cm, moderately differenti- ated tumor grade, or
thyroid cartilage invasion were the best cutoff values for patients who did not
respond to induction chemotherapy. However, the initial cancer site, cancer
stage, and degree of cancer differentiation were not closely related to the
efficacy of induction chemotherapy.
PMID- 29368530
TI - Physiological, Perceptual, and Affective Responses to Six High-Intensity Interval
Training Protocols.
AB - This study examined the extent to which different high-intensity interval
training (HIIT) and sprint interval training (SIT) protocols could influence
psychophysiological responses in moderately active young men. Fourteen
participants completed, in a randomized order, three cycling protocols (SIT: 4 *
30-second all-out sprints; Tabata: 7 * 20 seconds at 170% ?O2max; and HIIT: 10 *
60 seconds at 90% HRmax) and three running HIIT protocols (4 * 4 minutes at 90%
95% HRmax, 5 * at v?O2max, and 4 * 1,000 meters at a rating of perceived exertion
(RPE) of 8, from the OMNI-Walk/Run scale). Oxygen uptake (?O2), heart rate, and
RPE were recorded during each interval. Affective responses were assessed before
and after each trial. The Tabata protocol elicited the highest ?O2 and RPE
responses, and the least pleasant session-affect among the cycling trials. The
v?O2max elicited the highest ?O2 and RPE responses and the lowest mean session
affect among the running trials. Findings highlight the limited application of
SIT and some HIIT protocols to individuals with low fitness levels.
PMID- 29368531
TI - Exploratory study of the dispensing patterns of vaccines by a sample of community
pharmacies in Southern Africa.
AB - INTRODUCTION: Vaccination is one of the most cost-effective healthcare
interventions. Pharmacies in South Africa provide a vaccination service where
childhood immunizations, some travel vaccines and vaccines for specific
populations are dispensed and administered, but little has been published on
which vaccines are dispensed and at what cost. Areas covered: This retrospective
drug utilization study determined the dispensing patterns of vaccines in
community pharmacies during 2015 with the focus on the types and cost of vaccines
dispensed in ATC group J07. Expert commentary: Of the 140 902 vaccines dispensed
to 79 415 patients, viral vaccines (J07B) accounted for most of the prescriptions
(82.7% of volume; 62.3% of cost), followed by bacterial vaccines (J07A) (17.1% of
volume; 37.5% of cost), and bacterial and viral vaccines combined (0.2% of
volume; 0.3% of cost). There was an increase in the dispensing patterns of viral
vaccines (J07B) in the period just before the winter months. Half of all vaccines
(50.4%) were for the influenza vaccine (J07BB01). This vaccine accounted for only
15.6% of the total cost of vaccines. The most expensive vaccines were
pneumococcal polysaccharide conjugate vaccine (13-valent adsorbed, pre-filled
syringe) (J07AL01), followed by human papillomavirus bivalent vaccine (J07BM02).
PMID- 29368533
TI - Keys to person-centred care to persons living with dementia - Experiences from an
educational program in Sweden.
AB - Growing old entails an increased risk of disabilities and illnesses such as
dementia. The orientation in Sweden on national level is that individuals remain
in their own homes if desired and receive person-centred home care. The aim of
this study was to describe the experience of an educational program and its
influence on daily provision of care to persons with dementia. A life-world
approach was used. Data were collected through group interviews with care
providers in the context of home. The findings are presented in five themes:
Increased knowledge about dementia and treatment, Relationship-building in order
to provide good care, Open and flexible approach conveys calm, Continuity and
flexibility are cornerstones in the care and Perceived improvements. This person
centred educational intervention resulted in a care that was based on each
individual's personality, preferences and priorities in life. Education given
with continuity over time is key to improving provision of care to person with
dementia.
PMID- 29368534
TI - Patient-satisfaction in an outpatient vein surgery office: A comparison of
English- and Spanish-speaking patients.
AB - BACKGROUND: In spite of an increasing Latino population and accompanying rise in
demand for health care, not much is known about patient-satisfaction in Spanish
speaking patients and how to improve patient-satisfaction when using
interpreters. We sought to compare patient-satisfaction with vein surgery office
visits between English-speaking and Spanish-speaking patients. METHODS: Directly
before and after the office visit, 126 patients completed a socio-demographic
survey, a five-point ordinal rating survey of physician and interpreter
satisfaction with the encounter. Measures were satisfaction with the provider's
friendliness, respectfulness, concern, ability to make the patient comfortable,
and time spent for the exam. RESULTS: When the provider was fluent in the
language that the patient spoke, patients ( M = 4.954, SD = 0.21) were
significantly more satisfied with their visit at VVHC than patients who required
an interpreter ( M = 4.762, SD = 0.71), z = 2.230, p = 0.012. Spanish speaking
patients were more likely to be satisfied with the visit when they felt their
interpreter was friendly ( n = 58, p = 0.049). There was no difference found with
regard to the provider being thoughtful and comforting. CONCLUSION: Spanish
speaking patients are less satisfied with the care provided in a vein surgery
office. Patients who communicated through an interpreter were less satisfied with
the patient-provider relationship. In light of the growing diversity of the US
population and the fact that patient-satisfaction is increasingly tied to
reimbursement, additional research might identify potential areas of improvement
for the surgeon, interpreter, and patient perspectives.
PMID- 29368532
TI - Image-enhanced endoscopy is specific for the diagnosis of non-erosive
gastroesophageal reflux disease.
AB - OBJECTIVES: We assessed the performance characteristics of image-enhanced
endoscopy with i-Scan or narrow band imaging (NBI) in patients with non-erosive
gastroesophageal reflux disease (GERD) compared to controls without heartburn.
MATERIAL AND METHODS: Image-enhanced endoscopic findings of vascularity at the
squamocolumnar junction, distal esophageal micro-erosions, and non-round pit
pattern at the squamocolumnar junction were assessed in cases (heartburn not
responsive to PPIs, positive results on validated GERD questionnaire, no
esophageal erosions, esophageal biopsies with histologic evidence of GERD (basal
cell hyperplasia, elongation of papillae and dilation of intercellular spaces all
required)) and in controls (no GERD symptoms or esophageal erosions). RESULTS:
Twenty cases and 60 controls were compared. The pre-defined features were more
common in cases vs. CONTROLS: vascularity RR = 4.9 (95% CI: 2.4-10.0),
specificity = 86.7%; micro-erosions RR = 9.7 (3.6-26.5), specificity = 93.3%; non
round pit pattern RR = 2.4 (1.7-3.3), specificity = 60.0%; combination of
vascularity and micro-erosions RR = 30.0 (4.1-220), specificity = 98.3%. These
differences were consistent with both i-Scan and NBI. CONCLUSIONS: Image-enhanced
endoscopic findings of vascularity and micro-erosions were very specific for non
erosive GERD. Image-enhanced endoscopy may be useful in real-time diagnosis of
non-erosive GERD when patients undergo upper endoscopy for heartburn. The
relative utility of image-enhanced endoscopy vs. pH-impedance monitoring, based
on efficacy, cost and patient acceptance, requires additional study.
PMID- 29368535
TI - Retroperitoneal fibrosis as extramedullary hematopoiesis of a chronic
myelomonocytic leukemia.
PMID- 29368536
TI - Delayed migration of Squid 18 following embolisation of a direct carotico
cavernous fistula.
AB - We report a case of delayed migration of Squid 18 following treatment of a direct
carotico-cavernous fistula.
PMID- 29368537
TI - Superior Efficacy and Improved Renal and Bone Safety After Switching from a
Tenofovir Disoproxil Fumarate- to a Tenofovir Alafenamide-Based Regimen Through
96 Weeks of Treatment.
AB - We previously demonstrated superior efficacy and safety advantages in HIV
infected, virologically suppressed adults switched to a regimen containing
tenofovir alafenamide (TAF) as compared with those remaining on a tenofovir
disoproxil fumarate (TDF) regimen through week 48. We now report long-term data
through week 96. In this randomized, active-controlled, multicenter, open-label,
noninferiority trial (ClinicalTrials.gov No. NCT01815736), we randomized
virologically suppressed (HIV-1 RNA <50 copies/ml) adults (2:1) to receive a once
daily, single-tablet regimen containing elvitegravir (EVG), cobicistat (COBI),
emtricitabine (FTC), and TAF group or to continue one of four TDF-containing
regimens (TDF group) for 96 weeks. We evaluated efficacy (HIV-1 RNA <50 copies/ml
using the FDA snapshot algorithm) and prespecified bone and renal endpoints at
week 96. We randomized and treated 1,436 participants in this study (TAF n = 959,
TDF n = 477). At week 96, TAF was superior to TDF in virologic efficacy, with 93%
on TAF and 89% on TDF having HIV-1 RNA <50 copies/ml (difference 3.7%, 95%
confidence interval: 0.4%-7.0%). Improvements in hip and spine bone mineral
density for those assigned to TAF versus TDF continued through week 96 (p <
.001). Significant improvements in urine protein or albumin to creatinine ratios
were also seen among those in the TAF group versus TDF through week 96 (p <
.001). There were no cases of investigator-reported proximal renal tubulopathy in
the TAF group as compared with one case in the TDF group. Switching to
EVG/COBI/FTC/TAF (E/C/F/TAF) was associated with statistically significant
efficacy and safety advantages over remaining on a standard-of-care TDF-based
regimen.
PMID- 29368538
TI - The bone remodelling cycle.
AB - The bone remodelling cycle replaces old and damaged bone and is a highly
regulated, lifelong process essential for preserving bone integrity and
maintaining mineral homeostasis. During the bone remodelling cycle, osteoclastic
resorption is tightly coupled to osteoblastic bone formation. The remodelling
cycle occurs within the basic multicellular unit and comprises five co-ordinated
steps; activation, resorption, reversal, formation and termination. These steps
occur simultaneously but asynchronously at multiple different locations within
the skeleton. Study of rare human bone disease and animal models have helped to
elucidate the cellular and molecular mechanisms that regulate the bone
remodelling cycle. The key signalling pathways controlling osteoclastic bone
resorption and osteoblastic bone formation are receptor activator of nuclear
factor-kappaB (RANK)/RANK ligand/osteoprotegerin and canonical Wnt signalling.
Cytokines, growth factors and prostaglandins act as paracrine regulators of the
cycle, whereas endocrine regulators include parathyroid hormone, vitamin D,
calcitonin, growth hormone, glucocorticoids, sex hormones, and thyroid hormone.
Disruption of the bone remodelling cycle and any resulting imbalance between bone
resorption and formation leads to metabolic bone disease, most commonly
osteoporosis. The advances in understanding the cellular and molecular mechanisms
underlying bone remodelling have also provided targets for pharmacological
interventions which include antiresorptive and anabolic therapies. This review
will describe the remodelling process and its regulation, discuss osteoporosis
and summarize the commonest pharmacological interventions used in its management.
PMID- 29368539
TI - Safety and efficacy of ADS-5102 (amantadine) extended release capsules to improve
walking in multiple sclerosis: A randomized, placebo-controlled, phase 2 trial.
AB - BACKGROUND: Walking impairment causes disability and reduced quality of life in
patients with multiple sclerosis (MS). OBJECTIVE: Characterize the safety and
efficacy of ADS-5102 (amantadine) extended release capsules, 274 mg administered
once daily at bedtime in patients with MS with walking impairment. METHODS: This
randomized, double-blind, placebo-controlled, 4-week study was conducted at 14
trial sites in the United States. Study objectives included safety and
tolerability of ADS-5102, and efficacy assessments (Timed 25-Foot Walk (T25FW),
Timed Up and Go (TUG), 2-Minute Walk Test, and Multiple Sclerosis Walking Scale
12). Fatigue, depression, and cognition also were assessed. RESULTS: A total of
60 patients were randomized (30 to ADS-5102 and 30 to placebo); 59 of whom were
treated. The most frequent adverse events (AEs) were dry mouth, constipation, and
insomnia. Five ADS-5102 patients and no placebo patients discontinued treatment
due to AEs. One patient in the ADS-5102 group experienced a serious AE-suspected
serotonin syndrome. A 16.6% placebo-adjusted improvement was seen in the T25FW
test ( p < 0.05). A 10% placebo-adjusted improvement in TUG was also observed. No
changes in fatigue, depression, or cognition were observed. CONCLUSION: ADS-5102
was generally well tolerated. These data demonstrate an effect of ADS-5102 on
walking speed. Further studies are warranted to confirm these observations.
PMID- 29368540
TI - High-quality low-dose cardiovascular computed tomography (CCT) in pediatric
patients using a 64-slice scanner.
AB - Background Cardiovascular computed tomography (CCT) technology is rapidly
advancing allowing to perform good quality examinations with a radiation dose as
low as 1.2 mSv. However, latest generation scanners are not available in all
centers. Purpose To estimate radiation dose and image quality in pediatric CCT
using a standard 64-slice scanner. Material and Methods A total of 100 patients
aged 6.9 +/- 5.4 years (mean +/- standard deviation) who underwent a 64-slice CCT
scan using 80, 100, or 120 kVp, were retrospectively evaluated. Radiation
effective dose was calculated on the basis of the dose length product. Two
independent readers assessed the image quality through signal-to-noise ratio
(SNR), contrast-to-noise ratio (CNR), and a qualitative score (3 = very good, 2 =
good, 1 = poor). Non-parametric tests were used. Results Fifty-five exams were
not electrocardiographically (ECG) triggered, 20 had a prospective ECG
triggering, and 25 had retrospective ECG triggering. The median effective dose
was 1.3 mSv (interquartile range [IQR] = 0.8-2.7 mSv). Median SNR was 30.6 (IQR =
23.4-33.6) at 120 kVp, 29.4 (IQR = 23.7-34.8) at 100 kVp, and 24.7 (IQR = 19.4
34.3) at 80 kVp. Median CNR was 21.0 (IQR = 14.8-24.4), 19.1 (IQR = 15.6-23.9),
and 25.3 (IQR = 19.4-33.4), respectively. Image quality was very good, good, and
poor in 56, 39, and 5 patients, respectively. No significant differences were
found among voltage groups for SNR ( P = 0.486), CNR ( P = 0.336), and subjective
image quality ( P = 0.296). The inter-observer reproducibility was almost perfect
(kappa = 0.880). Conclusion High-quality pediatric CCT can be performed using a
64-slice scanner, with a radiation effective dose close to 2 mSv in about 50% of
the cases.
PMID- 29368541
TI - Double hit lymphoma: do we need a 'double hit' of intensive therapy?
PMID- 29368543
TI - Development of novel biofunctionalized chitosan decorated nanocochleates as a
cancer targeted drug delivery platform.
AB - A new family of biofunctionalized chitosan decorated nanocochleates-mediated drug
delivery system was developed that involves uniquely combining nanocochleates
with anticancer drug for controlled drug release, targeted delivery, improved
bioavailability with reduced toxicity. This system was developed by loading of
doxorubicin (DOX) to nanocochleates (DOX-NC) through conversion of negatively
charged dimyristoylphosphatidylcholine (DMPC) phospholipid and cholesterol
bearing vesicles on addition of calcium ions, followed by encapsulation DOX-NC
with folic acid conjugated chitosan (FA-CHI-DOX-NC). The release of DOX indicated
strong pH dependence and implies hydrogen-bonding interaction between
nanocochleates and DOX. Formulated FA-CHI-DOX-NC demonstrated higher in-vitro
anticancer activity in folate overexpressed human breast cancer MCF-7 cells. The
targeting effect for the FA-CHI-DOX-NC was also demonstrated. The concentration
of the drug needed for growth inhibition of 50% of cells in a designed time
period (GI50) was 9.1 ug/ml for DOX while it was decreased by 31.68% for the DOX
NC (6.2 ug/ml). Furthermore, the GI50 value of FA-CHI-DOX-NC was 4.4 ug/ml, i.e.
a 51.64% decrease was observed as compared to DOX solution. Moreover,
bioavailability of DOX from FA-CHI-DOX-NC increased by 4-fold with long
circulation time, slower plasma elimination and no sign of tissue toxicity as
compared to DOX solution. The proposed strategy is advantageous in terms of
targeted drug delivery and has high potential to address the current challenges
in drug delivery. Thus, the prepared new carrier offers a novel formulation that
combines the unique properties of a biodegradable material, chitosan and
nanocochleates for biomedical applications.
PMID- 29368542
TI - miR-448 inhibits the epithelial-mesenchymal transition in breast cancer cells by
directly targeting the E-cadherin repressor ZEB1/2.
AB - Recently, accumulating evidence provides that dysregulation of microRNAs (miRNAs)
is considered to play vital roles in tumor progression. Based on microRNA arrays,
we found that microRNA-448 (miR-448) was significantly downregulated in breast
cancer tissue specimens. In our study, we were in an effort to clarify the
function, the direct target gene, and the molecular mechanisms of miR-448 in
breast cancer. By quantitative RT-PCR, we analyzed the expression of miR-448 in
16 patients with BC. Overexpression of miR-448 was established by transfecting
miR-448-mimics into MDA-MB-231 and MCF-7 cells, methyl thiazolyl- tetrazolium and
colony formation assays were performed to evaluate its effects on cell
proliferation. We also performed cell migration and invasion assays in breast
cells overexpressing miRNA-448. All the results indicated that overexpression of
miR-448 in breast cancer cells markedly suppressed cell proliferation, migration,
and invasion. Through the quantitative RT-PCR and Western Blots, we also
evaluated epithelial-mesenchymal transition. We found that overexpression of miR
448 also downregulated the expression of vimentin, a well-known mesenchymal
marker. Meanwhile, the epithelial marker E-cadherin was unregulated, suggesting
that miR-448 inhibited epithelial-mesenchymal transition . Bioinformatics assay
coupled with Western Blot and luciferase assays revealed that miR-448 directly
binds to the 3'UTR of E-cadherin repressor ZEB1/2, resulting in suppression of
epithelial-mesenchymal transition in breast cancer cells. Impact statement In our
study, we revealed that miR-448 played a vital role in breast cancer development
and we also uncovered the mechanisms of it. Following is the short description of
the main findings: miR-448 is downregulated in BC. miR-448 regulates cell
proliferation, migration, and invasion in BC. miR-448 specifically regulates
ZEB1/2 through binding to the 3'UTR in BC cells. miR-448 inhibits cell
migration, invasion, and EMT by targeting to the 3'UTR of ZEB1/2.
PMID- 29368545
TI - Affective Responses to Acute Bouts of Aerobic Exercise, Mindfulness Meditation,
and Combinations of Exercise and Meditation: A Randomized Controlled
Intervention.
AB - Single bouts of aerobic exercise and meditation have been shown to induce
positive affect. In a novel experimental paradigm, we sought to examine the
effects of an acute bout of aerobic exercise and meditation, as well as exercise
and meditation combined on affect among young adults. Participants ( N = 110,
mean age = 21.4 years) were randomly assigned to walk, meditate, walk then
meditate, meditate then walk, or to sit (inactive control). All walking and
meditation bouts were 10 minutes in duration. Participants' affect was monitored
before and after the intervention using the Exercise Induced Feelings Inventory.
Significant group * time interaction effects were observed for three Exercise
Induced Feelings Inventory subscales, including revitalization ( p < .001),
tranquility ( p = .02), and exhaustion ( p = .03); the group * time interaction
for Exercise Induced Feelings Inventory positive engagement was nonsignificant (
p = .16). A single bout of brisk walking or meditation, as well as a combination
of walking and meditation, may positively influence affect. There is some
evidence to suggest that affective benefits may be greater following meditation
or a combination of meditation and walking, when compared with walking alone.
PMID- 29368544
TI - Feasibility of endoscopic submucosal dissection for cecal lesions.
AB - BACKGROUND: The treatment results of endoscopic submucosal dissection (ESD) for
colorectal lesions have improved markedly, but some lesions remain difficult to
treat. Hence the cecum is considered a technically challenging site for ESD. We
examined the feasibility of ESD for cecal lesions. METHODS: Among a total of 708
colorectal ESD performed in our hospital between March 2006 and December 2016,
549 procedures performed after April 2012 were studied, at a time when the
techniques of ESD had stabilized and the procedure was covered by health
insurance in Japan. Among 549 cases, 61 were cecal lesions and 488 were noncecal
lesions. The treatment outcomes were analyzed. RESULTS: For cecal lesions, the en
bloc resection rate was 95.1%, R0 resection rate was 91.8%, perforation rate was
0%, delayed bleeding rate was 6.6%, median diameter of resected specimen was 32
mm (16-65 mm), median time of the procedure was 44 minutes (8-140 min). The
corresponding results for noncecal lesions were 97.3%, 95.5%, 0.4%, 2.7%, 30 mm
(10-109 mm), and 37 min (7-225 min). No significant differences were observed and
the good treatment results were seen. When the outcomes were analyzed for cecal
sites considered to be particularly challenging; proximity to appendiceal
orifice, the ileocecal valve, and the bottom of cecum, the treatment results were
not inferior to other sites. CONCLUSIONS: ESD is safe and effective even for
cecal lesions considered challenging to treat. ESD is feasible for cecal lesions.
PMID- 29368546
TI - Inaugural Editorial.
PMID- 29368548
TI - Dietary omega-6, but not omega-3, polyunsaturated or saturated fatty acids
increase inflammation in primary lung mesenchymal cells.
AB - Obesity is an important risk factor for developing severe asthma. Dietary fatty
acids, which are increased in sera of obese individuals and after high-fat meals,
activate the innate immune system and induce inflammation. This study
investigated whether dietary fatty acids directly cause inflammation and/or
synergize with obesity-induced cytokines in primary human pulmonary fibroblasts
in vitro. Fibroblasts were challenged with BSA-conjugated fatty acids [omega-6
polyunsaturated fatty acids (PUFAs) and omega-3 PUFAs or saturated fatty acids
(SFAs)], with or without TNF-alpha, and release of the proinflammatory cytokines,
IL-6 and CXCL8, was measured. We found that the omega-6 PUFA arachidonic acid
(AA), but not omega-3 PUFAs or SFAs, upregulates IL-6 and CXCL8 release. Combined
AA and TNF-alpha challenge resulted in substantially greater cytokine release
than either alone, demonstrating synergy. Synergistic upregulation of IL-6, but
not CXCL8, was mainly mediated via cyclooxygenase (COX). Inhibition of p38 MAPK
reduced CXCL8 release, induced by AA and TNF-alpha alone, but not in combination.
Synergistic CXCL8 release, following AA and TNF-alpha challenge, was not
medicated via a single signaling pathway (MEK1, JNK, phosphoinositide 3-kinase,
and NF-kappaB) nor by hyperactivation of NF-kappaB or p38. To investigate if
these findings occur in other airway cells, effects of AA in primary human airway
smooth muscle (ASM) cells and human bronchial epithelial cells were also
investigated. We found proinflammatory effects in ASM cells but not epithelial
cells. This study suggests that diets rich in omega-6 PUFAs might promote airway
inflammation via multiple pathways, including COX-dependent and -independent
pathways, and in an obese person, may lead to more severe airway inflammation.
PMID- 29368547
TI - Blocking CXCL1-dependent neutrophil recruitment prevents immune damage and
reduces pulmonary bacterial infection after inhalation injury.
AB - Smoke inhalation associated with structural fires, wildfires, or explosions leads
to lung injury, for which innovative and clinically relevant animal models are
needed to develop effective therapeutics. We have previously reported that damage
associated molecular patterns (DAMPs) and anti-inflammatory cytokines correlate
with infectious complications in patients diagnosed with inhalational injury. In
this study, we describe a novel and translational murine model of acute
inhalational injury characterized by an accumulation of protein and neutrophils
in the bronchoalveolar space, as well as histological evidence of tissue damage.
Mice were anesthetized, and a cannula was placed in the trachea and exposed to
smoldering plywood smoke three times for 2-min intervals in a smoke chamber. Here
we demonstrate that this model recapitulates clinically relevant phenotypes,
including early release of double-stranded DNA (dsDNA), IL-10, monocyte
chemoattractant protein (MCP)-1, and CXCL1 along with neutrophilia early after
injury, accompanied by subsequent susceptibility to opportunistic infection with
Pseudomonas aeruginosa. Further investigation of the model, and in turn a
reanalysis of patient samples, revealed a late release of the DAMP hyaluronic
acid (HA) from the lung. Using nitric oxide synthase-deficient mice, we found
that Nos2 was required for increases in IL-10, MCP-1, and HA following injury but
not release of dsDNA, CXCL1 expression, early neutrophilia, or susceptibility to
opportunistic infection. Depletion of CXCL1 attenuated early neutrophil
recruitment, leading to decreased histopathology scores and improved bacterial
clearance in this model of smoke inhalation. Together, these data highlight the
potential therapeutic benefit of attenuating neutrophil recruitment in the first
24 h after injury in patients.
PMID- 29368549
TI - Instillation of hyaluronan reverses acid instillation injury to the mammalian
blood gas barrier.
AB - Acid (HCl) aspiration during anesthesia may lead to acute lung injury. There is
no effective therapy. We hypothesized that HCl instilled intratracheally in
C57BL/6 mice results in the formation of low-molecular weight hyaluronan (L-HA),
which activates RhoA and Rho kinase (ROCK), causing airway hyperresponsiveness
(AHR) and increased permeability. Furthermore, instillation of high-molecular
weight hyaluronan (H-HA; Yabro) will reverse lung injury. We instilled HCl in
C57BL/6 wild-type (WT), myeloperoxidase gene-deficient (MPO-/-) mice, and CD44
gene-deficient (CD44-/-) mice. WT mice were also instilled intranasally with H-HA
(Yabro) at 1 and 23 h post-HCl. All measurements were performed at 1, 5, or 24 h
post-HCl. Instillation of HCl in WT but not in CD44-/- resulted in increased
inflammation, AHR, lung injury, and L-HA in the bronchoalveolar lavage fluid
(BALF) 24 h post-HCl; L-HA levels and lung injury were significantly lower in HCl
instilled MPO-/- mice. Isolated perfused lungs of HCl instilled WT but not of
CD44-/- mice had elevated values of the filtration coefficient ( Kf). Addition of
L-HA on the apical surface of human primary bronchial epithelial cell monolayer
decreased barrier resistance ( RT). H-HA significantly mitigated inflammation,
AHR, and pulmonary vascular leakage at 24 h after HCl instillation and mitigated
the increase of Kf and RT, as well as ROCK2 phosphorylation. Increased H- and L
HA levels were found in the BALF of mechanically ventilated patients but not in
healthy volunteers. HCl instillation-induced lung injury is mediated by the L-HA
CD44-RhoA-ROCK2 signaling pathway, and H-HA is a potential novel therapeutic
agent for acid aspiration-induced lung injury.
PMID- 29368551
TI - The development of a 'wet leg' pathway for chronic oedema.
AB - Chronic oedema and leaking lymphorrhoea can be distressing and challenging for
patients to live with. This article introduces the Chronic Oedema 'Wet Leg'
Pathway, which became an output from the Lymphoedema Network Wales 'On the Ground
Education Project' ( Thomas et al, 2017 ). The evidence-based pathway provides
nurses and therapists with clear guidelines to support prompt, efficient and
effective management of all patients with chronic oedema and wet legs. This
article presents the evidence and demonstrates the benefits of timely active
treatment for all patients with leaking lymphorrhoea, which includes decreasing
pain and improving mobility and daily activities. Other benefits include reducing
the risk of cellulitis and the development of chronic wounds. The pathway also
demonstrates opportunities for the NHS by decreasing both the number of community
nursing visits and the number of wound dressings used. By actively treating
symptoms-rather than simply mopping up the leaking lymphorrhoea-this pathway
demonstrates value-based health care by reducing waste, harm and variation within
the NHS.
PMID- 29368550
TI - Aurothioglucose does not improve alveolarization or elicit sustained Nrf2
activation in C57BL/6 models of bronchopulmonary dysplasia.
AB - We previously showed that the thioredoxin reductase-1 (TrxR1) inhibitor
aurothioglucose (ATG) improves alveolarization in hyperoxia-exposed newborn
C3H/HeN mice. Our data supported a mechanism by which the protective effects of
ATG are mediated via sustained nuclear factor E2-related factor 2 (Nrf2)
activation in hyperoxia-exposed C3H/HeN mice 72 h after ATG administration. Given
that inbred mouse strains have differential sensitivity and endogenous Nrf2
activation by hyperoxia, the present studies utilized two C57BL/6 exposure models
to evaluate the effects of ATG on lung development and Nrf2 activation. The first
model (0-14 days) was used in our C3H/HeN studies and the 2nd model (4-14 days)
is well characterized in C57BL/6 mice. ATG significantly inhibited lung TrxR1
activity in both models; however, there was no effect on parameters of
alveolarization in C57BL/6 mice. In sharp contrast to C3H/HeN mice, there was no
effect of ATG on pulmonary NADPH quinone oxidoreductase-1 ( Nqo1) and heme
oxygenase-1 ( Hmox1) at 72 h in either C57BL/6 model. In conclusion, although ATG
inhibited TrxR1 activity in the lungs of newborn C57BL/6 mice, effects on lung
development and sustained Nrf2-dependent pulmonary responses were blunted. These
findings also highlight the importance of strain-dependent hyperoxic sensitivity
in evaluation of potential novel therapies.
PMID- 29368552
TI - Politics and palliative care: Gabon.
AB - Dion Smyth's review of palliative nursing on the internet.
PMID- 29368553
TI - Examining self-care, self-compassion and compassion for others: a cross-sectional
survey of palliative care nurses and doctors.
AB - : This study examined levels of, and relationships between, self-care ability,
self-compassion, and compassion among palliative care nurses and doctors.
METHODS: A total of 369 participants across Australia completed a cross-sectional
survey comprising a demographic questionnaire and outcome measures for each
variable. Descriptive and inferential statistics were analysed, controlling for
potential social-desirability bias. RESULTS: Levels of compassion, self
compassion and self-care ability varied, with some individuals scoring high or
low in each. Self-compassion and self-care ability were positively correlated (r
= .412, p<.001), whereas a negative correlation was observed between compassion
and self-compassion (r = -.122, p<.05). Linear regression further indicated that:
increased compassion was associated with a decrease in self-compassion, and
increased self-care ability was associated with an increase in self-compassion.
CONCLUSION: These results suggest important implications for self-care in the
palliative care workforce. Moreover, this study contributes an empirical basis to
inform future research and education to promote balanced compassion and
compassion literacy in palliative care practice.
PMID- 29368554
TI - Politics and palliative nursing.
PMID- 29368555
TI - Research roundup.
AB - Synopses of a selection of recently published research articles of relevance to
palliative care.
PMID- 29368556
TI - Complex contradictions in conceptualisations of 'dignity' in palliative care.
AB - BACKGROUND: Internationally, increasing attention is being paid to understanding
patient experiences of health care. Within palliative care, the Views of Informal
Carers - Evaluation of Services (VOICES) questionnaire is commonly used for this
purpose. Among its objectives is to ask family members if their relatives were
treated with dignity at the end of life. This is regarded as useful for
understanding the quality of the health care received. AIM: To highlight the
differences between family members' reports of dignity in the care provided to
their relatives at the end of life, as reported in the VOICES questionnaire, and
their narratives about the care their relatives received. METHODS: A total of 21
cognitive interviews were conducted during a New Zealand pilot of the VOICES
questionnaire. RESULTS: Discrepancies between ratings of dignity and the lived
experience of care suggest that lay understandings of dignity may not be
congruent with that of health care providers. CONCLUSIONS: Bereaved family
members' self-reports of dignity in end-of-life care captured using survey
methods alone are inadequate to understand the complex ways in which individuals
conceptualise and experience dignity within a health care context. The authors
advocate consideration of multiple, complementary approaches to gathering
consumer experiences of end-of-life care, as well as research which enables
service users to interrogate what dignity in care means in an end-of-life
context.
PMID- 29368557
TI - Patient perceptions of specialised hospital-based palliative home care: a
qualitative study using a phenomenographical approach.
AB - BACKGROUND: Specialised palliative care is given around the clock to palliative
patients who have severe symptoms or special needs. AIM: The aim of this study
was to describe patients' perceptions of what it is like to be cared for by a
specialised palliative care team within hospital-based palliative home care
(HPHC). METHOD: A qualitative method with semi-structured interviews was used.
Fourteen patients enrolled in HPHC at a unit for specialised palliative care
linked to the hospital in the southeast of Sweden participated. The analysis was
performed with a phenomenographical approach. RESULTS: Four description
categories were identified: 'it is safe to receive care at home,' 'support and
commitment', 'having access to a multiprofessional team', and 'how HPHC changes
everyday life'. To be cared for by HPHC was perceived as safe, and the patients
thought that having access to competent staff who supported them around the clock
had improved their care and daily life. CONCLUSION: The need for specialised
palliative care will likely grow with an ageing population and this form of care
was perceived as functioning well.
PMID- 29368559
TI - BJN Award Runner up 2017: Continence Nurse of the Year.
AB - Continence Promotion Service Team, Liverpool Community Health NHS Trust.
PMID- 29368560
TI - A unique perspective.
PMID- 29368558
TI - Clinical nurses' attitudes towards death and caring for dying patients in China.
AB - OBJECTIVE: To examine Chinese clinical nurses' attitudes towards death and caring
for dying patients, and to examine the relationships between clinical nurses'
attitudes towards death and caring for dying patients. METHODS: A convenience
sample of 770 clinical nurses from 15 hospitals in China. All participants
completed the Chinese version of the Frommelt Attitude Toward Care of the Dying
Scale, Form B (FATCOD-B-C), the Chinese version of the Death Attitude Profile
Revised (DAP-R-C), and a demographic questionnaire. RESULTS: The mean score of
the FATCOD-B-C items was 95.62 (SD = 7.45). The majority of Chinese clinical
nurses were likely to provide care for the dying person's family (mean = 3.77),
but did not have a positive attitude towards communication with the dying
person(mean = 2.62). The majority of Chinese clinical nurses showed low scores on
death avoidance (mean=1.96) and natural acceptance (mean = 1.61), and most of
them viewed death as a passageway to a happy afterlife (mean = 4.33). Attitudes
towards caring for dying patients were significantly negatively correlated with
fear of death (r = -0.120) and positively correlated with approach acceptance (r
= 0.127) and natural acceptance (r = 0.117). Factors that predicted clinical
nurses' attitudes towards the care of dying patients included education level,
fear of death, approach acceptance, religious beliefs, previous education on
death and dying, natural acceptance, professional title, and experience with
death or dying patients, which accounted for 18.7% of the variance. CONCLUSION:
Nurses' personal attitudes towards death were associated with their attitudes
towards the care of dying patients. Training and educational programmes for
clinical nurses should take into consideration nurses' personal attitudes towards
death as well as their cultural backgrounds and religious beliefs.
PMID- 29368561
TI - Source isolation and patient wellbeing in healthcare settings.
AB - Multi-resistant bacteria pose an increasing and significant challenge to public
health. Isolation precautions in patients with multi-drug-resistant bacteria and
other communicable infectious agents can be associated with adverse effects.
Although few would dispute the need for such practices in preventing the spread
of transmissible infections, patients' perspectives of isolation suggest that the
imposed environment creates barriers to their physical, social and emotional
needs. This article reviews the literature to uncover any reliable evidence
supporting the assertion that the experience of isolation in healthcare settings
impacts adversely on patient wellbeing. Database searches identified 25 relevant
papers published between 1990 and 2017. A number of studies claimed to have
uncovered an association between negative patient experiences and isolation.
PMID- 29368562
TI - What's your proof?
PMID- 29368563
TI - Preventing skin damage and incontinence-associated dermatitis in older people.
PMID- 29368564
TI - A call to action to improve the visibility of research by nursing, midwifery and
care staff.
PMID- 29368565
TI - Developing a digital learning version of a mentorship training programme.
AB - This article describes the experience of one university team in developing,
delivering and evaluating an online Nursing and Midwifery Council-approved
mentorship programme for nurses and midwives who support pre-registration
students in practice. Although the authors are confident of the quality of the
educational provision, this article does not discuss this programme as an
exemplar of best practice, but aims to share the learning gained from the
experience of introducing a digital learning version of a mentorship course.
PMID- 29368566
TI - Ward staff perceptions of the role of the advanced nurse practitioner in a
'hospital at day' setting.
AB - AIM: to examine ward staff perceptions on the role of the 'hospital at day'
advanced nurse practitioner (ANP). This term is used locally to refer to a model
first introduced into 'hospital at night' teams, in response to changes in
working patterns of junior doctors, where an advanced nurse practitioner is based
on the ward-the model was subsequently rolled out to daytime teams. METHODS: a
qualitative descriptive phenomenological approach was adopted to carry out this
study with a purposive sample of ten participants. Participants were interviewed
individually using semi-structured interviews to gain insights into their
perceptions on the role of the ANP, following Colaizzi's analytical model of data
analysis. FINDINGS: four major themes were identified. The first overarching
theme was effectiveness of the ANP role. There was evidence that the role was not
only clinically effective in the ward but also in relation to organisational
priorities such as impact on patient flow. Secondly it was acknowledged that
having an ANP based on the ward and as a 'constant' in the ward area, when
medical teams rotate, provides team stability and improves the functioning of the
ward area. Despite the positivity recognised, the third theme identified that
having a ward-based ANP may affect skill acquisition and development and may lead
to the 'de-skilling' of staff. Lastly it was acknowledged that there remains an
overall confusion about the role of the ANP. CONCLUSION: the themes identified
reflect what is already known about the wider perceived role of the ANP.
Moreover, this study adds to the limited literature available specifically on the
benefits of the ANP within 'hospital at day' roles.
PMID- 29368567
TI - Transgender prisoners.
PMID- 29368568
TI - Will the introduction of an 'opt-out' system increase organ donations?
AB - Emeritus Professor Alan Glasper, University of Southampton, discusses the
government initiative to improve the rates of organ donation by members of the
public.
PMID- 29368570
TI - Royal College of Nursing's Standards for Infusion Therapy: an overview.
PMID- 29368569
TI - Managing the costs of clinical negligence within hospital trusts.
AB - John Tingle, Associate Professor (Teaching and Scholarship), Nottingham Trent
University, discusses the House of Commons Committee of Public Accounts Report on
the escalating costs of clinical negligence in hospital trusts.
PMID- 29368571
TI - Communicating effectively with a person living with dementia.
PMID- 29368572
TI - Managing dysfunctional central venous access devices: a practical approach to
urokinase thrombolysis.
AB - Tunnelled central venous access devices (CVADs) are defined as any intravenous
multipurpose catheters placed within the central veins for use in haemodialysis
and administration of blood products or chemotherapy in oncology and
haematological conditions. Frequent complications include thrombosis and catheter
related infection, which may lead to significant adverse patient outcomes. Once
thrombosis is suspected correction should be attempted empirically with
thrombolytic agents. Commonly available thrombolytic agents in the UK include
urokinase (Syner-Kinase) and alteplase (Cathflo). It is well recognised that
urokinase usage differs widely and concerns were raised by clinicians about the
variation of dose regimens nationally. The objective of the CVAD Focus Group was
to address this issue and offer guidance in the management of suspected
thrombosis of CVAD with urokinase using two algorithms for renal and non-renal
dysfunctional CVAD and to audit prospectively the outcomes of intervention.
PMID- 29368574
TI - Making all routes into nursing attractive.
AB - Sam Foster, Chief Nurse, Oxford University Hospitals, discusses recent
developments in technical qualifications and how to encourage more young people
to consider a career in nursing.
PMID- 29368573
TI - The risk of microbial contamination associated with six different needle-free
connectors.
AB - BACKGROUND: needle-free connectors are widely used in clinical practice. The aim
of this study was to identify any differences between microbial ingress into six
different connectors (three neutral-displacement, one negative-displacement and
two anti-reflux connectors). METHODS: each connector underwent a 7-day clinical
simulation involving repeated microbial contamination of the connector's
injection ports with Staphylococcus aureus followed by decontamination and then
saline flushes through each connector. The simulation was designed to be a
surrogate marker for the potential risk of contamination in clinical practice.
RESULTS: increasing numbers of S. aureus were detected in the flushes over the 7
days of sampling despite adherence to a rigorous decontamination programme.
Significant differences in the number of S. aureus recovered from the saline
flush of some types of connectors were also detected. Two different durations (5-
and 15-second) of decontamination of the injection ports with 70% isopropyl
alcohol (IPA) wipes were also investigated. There was no significant difference
between the median number of S. aureus recovered in the saline flushes following
a 5-second (165.5, 95% CI=93-260) or a 15-second decontamination regimen (75, 10
190). CONCLUSIONS: The findings suggest that there may be differences in the risk
of internal microbial contamination with different types of connectors and that
even 15 seconds of decontamination may not fully eradicate microorganisms from
the injection ports of some devices.
PMID- 29368575
TI - From staff nurse to nurse consultant: Spiritual care part 10: Shinto.
AB - John Fowler, Educational Consultant, explores spiritual care for clinically based
nurses, focusing on patients who follow the Shinto religion.
PMID- 29368576
TI - Designing and evaluating vascular access training using educational theory.
AB - Clinical skills learning is commonplace for all health professionals, with many
training programmes incorporating multiple modes of facilitation, aligned to
clinical standards and evidence-based research. There is often variance, however,
in the facilitation of training programmes across healthcare settings and
disciplines, highlighting differing levels of knowledge and clinical competence
and illustrating a need for standardisation of training. Evidence illustrates
many different approaches to learning from the traditional 'see one, do one,
teach one', to academic facilitation by clinical skills tutors, to in-house
expert facilitation. There has been a significant change in the medical education
paradigm towards a more structured work-based competency assessed approach to
learning. This paper explores the theoretical aspects of clinical skills learning
and leadership theory in healthcare practice, placing emphasis on
interprofessional and collaborative working and learning partnerships.
PMID- 29368577
TI - Current thinking on catheter securement and infection prevention.
AB - Janice Gabriel, Clinical Network Manager, NHS England (Wessex), Southampton,
janice.gabriel@nhs.net , explains the importance of catheter securement in
preventing complications of infusion therapy.
PMID- 29368578
TI - Human rights and political crisis in Brazil: Public health impacts and
challenges.
AB - : In 31 August 2016, Brazilian president Dilma Rousseff was impeached and
replaced by her vice president Michel Temer. Herein, we examine how the
conservative agenda of Mr Temer and his supporters is influencing key decisions
in the human rights and public health arena in Brazil. The government's austerity
agenda includes severe cuts in critical areas such as health, education and
science, jeopardising well-known strategies such as the Brazilian Public Health
System (SUS) and nationwide cash transfer program, 'Bolsa Familia' - both
benefited millions and were the largest of their kind in the world. Mr Temer's
decisions show not only severe cuts in critical areas but also a political agenda
that clearly demonstrates a broad shift away from the progressivism and social
agenda presented and supported by its predecessors. Most vulnerable groups such
as the LGBTQ community, women, people who use drugs and disenfranchised
communities have been severely affected. Mr Temer's administration is putting
Brazil far from its once nationwide goal to foster free and universal health care
access and social equity for all its citizens. The near future for Brazil is
unknown, but both national and international communities anticipate severe
problems within the national human rights arena, if nothing changes.
ABBREVIATIONS: CCT: Conditional Cash Transfer; LGBTQ: Lesbian, Gay, Bisexual,
Transgender and Queer (and/or Questioning); SUS: Brazilian Public Health System.
PMID- 29368579
TI - Male circumcision for HIV prevention: Awareness, risk compensation, and risk
perceptions among South African women.
AB - Medical male circumcision (MMC) is a proven method of HIV risk reduction for men
in southern Africa. MMC promotion campaigns and scale-up programmes are widely
implemented throughout the Republic of South Africa. However, the impact of
promoting MMC on women's awareness, beliefs, and behaviours has been
understudied. We conducted a self-administered anonymous survey of 279 women
receiving health services in an impoverished township located in Cape Town, South
Africa. Results showed that two in three women were unaware that male
circumcision partially protects men from contracting HIV. Women who were aware of
MMC for HIV prevention also endorsed beliefs that male circumcision reduces the
need for men to worry about HIV and reduces the need for men to use condoms. Male
circumcision awareness was also related to reduced perceptions of HIV risk among
women. Multivariable models showed that women's MMC awareness, circumcision risk
compensation beliefs, and risk perceptions were associated with decreased condom
use and higher HIV risk index scores defined as number of condomless vaginal
intercourse X number of sex partners. These results suggest a need for MMC
education efforts tailored for women living in communities with high-HIV
prevalence where men are targeted for MMC.
PMID- 29368580
TI - Patient characteristics and the therapist as predictors of depressed patients'
outcome expectation over time: A multilevel analysis.
AB - OBJECTIVE: Although there is an established link between patients' early positive
outcome expectation for and their actual improvement from therapy, there is
little research on patients' change in outcome expectation across therapy and
both patient and therapist correlates of early outcome expectation level and
change. The present study examined: (i) the overall trajectory of change in
patients' outcome expectation through cognitive-behavioral therapy (CBT) for
depression; (ii) patient characteristics as predictors of both initial outcome
expectation and change in outcome expectation; and (iii) between-therapist
effects in outcome expectation change. METHOD: Depressed patients (N = 143)
received a brief course of CBT. Outcome expectation was measured at screening,
pretreatment, session 7, and session 14. RESULTS: Outcome expectation linearly
increased from screening to session 14. When controlling for other patient
characteristics at intake, having previous depressive episodes was negatively
associated with initial outcome expectation and higher well-being was positively
associated with initial outcome expectation. When controlling for early alliance
and early symptom change, outcome expectation change was predicted by previous
depressive episodes. Finally, therapist effects emerged in outcome expectation
over time. CONCLUSIONS: Various depressed patients' characteristics predict
initial outcome expectation level and change, with significant between
therapists' differences related to outcome expectation change. Clinical or
methodological significance of this article: The present study contributed much
needed information on the pattern of change in outcome expectations, as well as
on correlates of both early outcome expectation and outcome expectancy growth
(for which almost no prior data exist). Having this information, clinicians may
respond more appropriately to low or decreasing levels of outcome expectation and
capitalize on its changeability. Moreover, the study revealed a therapist effect
on outcome expectation, which pushes the field to a vital next wave of process
outcome research-i.e., moving beyond total correlations to parsing between
patient and between-therapist variability in clinical constructs and construct
outcome associations.
PMID- 29368581
TI - Purple urine bag syndrome: a truly harmless sign?
AB - Purple urine bag syndrome is an uncommon condition that describes the purple
discolouration of a catheter bag and tubing. It mainly affects patients with
limited mobility who have long-term catheters. Although benign in nature per se,
its presence can alarm both patient and practitioner unfamiliar with the
syndrome. Here, we describe two cases of asymptomatic patients who both presented
to outpatient clinic with the characteristic purple discolouration of their long
term catheters and tubing. The report discusses the pathophysiology of the
syndrome and initial investigation and management. Although the syndrome is
considered benign, it may signify occult urine tract infection. This should not
be ignored even if the patient is asymptomatic, given the likely co-morbidities
of the patients affected. Healthcare professionals - particularly urologists and
geriatricians - need to be aware of this unusual syndrome so as to initiate
appropriate investigation and possible treatment as soon as possible.
PMID- 29368582
TI - Mineralized Biomaterials Mediated Repair of Bone Defects Through Endogenous
Cells.
AB - Synthetic biomaterials that create a dynamic calcium (Ca2+)-, phosphate (PO43-)
ion-, and calcium phosphate (CaP)-rich microenvironment, similar to that found in
native bone tissue, have been shown to promote osteogenic commitment of stem
cells in vitro and in vivo. The intrinsic osteoconductivity and osteoinductivity
of such biomaterials make them promising bone grafts for the treatment of bone
defects. We thus aimed to evaluate the potential of mineralized biomaterials to
induce bone repair of a critical-sized cranial defect in the absence of exogenous
cells and growth factors. Our results demonstrate that the mineralized
biomaterial alone can support complete bone formation within critical-sized bone
defects through recruitment of endogenous cells and neo-bone tissue formation in
mice. The newly formed bone tissue recapitulated many key characteristics of
native bone such as formation of bone minerals reaching similar bone mineral
density, presence of bone-forming osteoblasts and tartrate-resistant acid
phosphatase-expressing osteoclasts, as well as vascular networks. Biomaterials
that recruit endogenous cells and provide a tissue-specific microenvironment to
modulate cellular behavior and support generation of functional tissues are a key
step forward in moving bench-side tissue engineering approaches to the bedside.
Such tissue engineering strategies could eventually pave the path toward readily
available therapies that significantly reduce patient cost of care and improve
overall clinical outcomes.
PMID- 29368583
TI - Determination of quinolone residues in raw cow milk. Application of polar stir
bars and ultra-high performance liquid chromatography-tandem mass spectrometry.
AB - Seventeen quinolone antibiotics were determined in cows' milk. A method of high
sensitivity, selectivity and accuracy was developed. Accuracy (trueness and
precision), linearity, sensitivity, selectivity, decision limit and detection
capability were established following the recommendations of the Commission
Decision 2002/657/EC and the Food and Drug Administration (FDA) guideline. The
use of polar stir-bar sorptive extraction (SBSE) prior to UHPLC-MS/MS analysis is
proposed. The variables that affect SBSE were optimised using multivariate
optimisation strategies. The ionic strength, the extraction time and the sample
volume were studied. pH and stir-bar coating (polydimethylsiloxane, PDMS, and
polyethyleneglycol modified silicone, PEG) were studied. PEG showed the best
extraction yield at pH 6. For validation, a matrix-matched calibration and a
recovery assay were carried out. Limits of quantification from 0.5 MUg kg-1 for
nalidixic acid, flumequine and piromidic acid, to 4.0 MUg kg-1 for sarafloxacin
were calculated. The precision (%, RSD) was lower than 15% for all antibiotics.
Recoveries in fortified samples were between 88 and 114%.
PMID- 29368585
TI - The Mini-International Neuropsychiatric Interview is useful and well accepted as
part of the clinical assessment for depression and anxiety in primary care: a
mixed-methods study.
AB - BACKGROUND: Psychiatric complaints are common among primary care patients, with
depression and anxiety being the most frequent. Diagnosis of anxiety and
depression can be difficult, potentially leading to over- as well as under
diagnosis. The diagnostic process can be facilitated by incorporating structured
interviews as part of the assessment. One such instrument, the Mini-International
Neuropsychiatric Interview (MINI), has been established and accepted in
psychiatric care. The purpose of this study was to explore the experiences and
perceptions of the paper-and-pen version of MINI version 6.0 among patients and
staff in primary care centers in Sweden. METHODS: The MINI was introduced at
three primary care centers and was conducted by either therapists or general
practitioners. Patients presented with symptoms that could suggest depression or
anxiety disorders. The duration of the interview was recorded. The experiences
and perceptions of 125 patients and their interviewers were collected using a
structured questionnaire. Global satisfaction was measured with a visual-analog
scale (0-100). Semi-structured interviews were conducted with 24 patients and
three therapists, and focus groups were held with 17 general practitioners.
Qualitative content analysis was used for the interviews and focus groups. The
findings across the groups were triangulated with results from the
questionnaires. RESULTS: The median global satisfaction with the MINI was 80 for
patients and 86 for interviewers. General practitioners appreciated that the MINI
identified comorbidities, as one-third of the patients had at least two
psychiatric diagnoses. The MINI helped general practitioners attain a more
accurate diagnosis. Patients appreciated that the MINI helped them recognize and
verbalize their problems and did not find it intrusive. Patients and interviewers
had mixed experiences with the yes-no format of the MINI, and the risk of
subjective interpretations was acknowledged. Patients, general practitioners and
therapists stated that the MINI contributed to appropriate treatment. The MINI
assessment lasted 26 min on average (range 12 to 60 min). CONCLUSIONS: The paper
and-pen version of the MINI could be useful in primary care as part of the
clinical assessment of patients with problems suggestive of depression or anxiety
disorders. The MINI was well accepted by patients, general practitioners and
therapists.
PMID- 29368586
TI - Building flexibility and managing complexity in community mental health: lessons
learned in a large urban centre.
AB - BACKGROUND: Across many jurisdictions, adults with complex mental health and
social needs face challenges accessing appropriate supports due to system
fragmentation and strict eligibility criteria of existing services. To support
this underserviced population, Toronto's local health authority launched two
novel community mental health models in 2014, inspired by Flexible Assertive
Community Team principles. This study explores service user and provider
perspectives on the acceptability of these services, and lessons learned during
early implementation. METHODS: We purposively sampled 49 stakeholders (staff,
physicians, service users, health systems stakeholders) and conducted 17 semi
structured qualitative interviews and 5 focus groups between October 23, 2014 and
March 2, 2015, exploring stakeholder perspectives on the newly launched team
based models, as well as activities and strategies employed to support early
implementation. Interviews and focus groups were audio recorded, transcribed
verbatim and analyzed using thematic analysis. RESULTS: Findings revealed wide
ranging endorsement for the two team-based models' success in engaging the target
population of adults with complex service needs. Implementation strengths
included the broad recognition of existing service gaps, the use of
interdisciplinary teams and experienced service providers, broad partnerships and
collaboration among various service sectors, training and team building
activities. Emerging challenges included lack of complementary support services
such as suitable housing, organizational contexts reluctant to embrace change and
risk associated with complexity, as well as limited service provider and
organizational capacity to deliver evidence-based interventions. CONCLUSIONS:
Findings identified implementation drivers at the practitioner, program, and
system levels, specific to the implementation of community mental health
interventions for adults with complex health and social needs. These can inform
future efforts to address the health and support needs of this vulnerable
population.
PMID- 29368587
TI - Widespread signatures of selection for secreted peptidases in a fungal plant
pathogen.
AB - BACKGROUND: Fungal plant pathogens secrete a large arsenal of hydrolytic enzymes
during the course of infection, including peptidases. Secreted peptidases have
been extensively studied for their role as effectors. In this study, we combined
transcriptomics, comparative genomics and evolutionary analyses to investigate
all 39 secreted peptidases in the fungal wheat pathogen Zymoseptoria tritici and
its close relatives Z. pseudotritici and Z. ardabiliae. RESULTS: RNA-seq data
revealed that a majority of the secreted peptidases displayed differential
transcription during the course of Z. tritici infection, indicative of
specialization for different stages in the life cycle. Evolutionary analyses
detected widespread evidence of adaptive evolution acting on at least 28 of the
peptidases. A few peptidases displayed lineage-specific rates of molecular
evolution, suggesting altered selection pressure in Z. tritici following host
specialization on domesticated wheat. The peptidases belonging to MEROPS families
A1 and G1 emerged as a particularly interesting group that may play key roles in
host-pathogen co-evolution, host adaptation and pathogenicity. Sister genes in
the A1 and G1 families showed accelerated substitution rates after gene
duplications. CONCLUSIONS: These results suggest widespread evolution of secreted
peptidases leading to novel gene functions, consistent with predicted models of
"escape from adaptive conflict" and "neo-functionalization". Our analyses
identified candidate genes worthy of functional analyses that may encode effector
functions, for example by suppressing plant defenses during the biotrophic phase
of infection.
PMID- 29368588
TI - Vitamin D in children with growth hormone deficiency due to pituitary stalk
interruption syndrome.
AB - BACKGROUND: Recent studies have shown a relationship between vitamin D status and
growth hormone (GH) and insulin-like growth factor 1 (IGF1). The objective of
this study was to assess vitamin D status in children with GH deficiency due to
pituitary stalk interruption syndrome (PSIS) and to investigate the relationship
between 25-hydroxyvitamin D (25OHD) and 1,25-dihydroxyvitamin D (1,25 (OH) 2D)
serum levels and patient characteristics. METHODS: A retrospective single-center
study of 25OHD and 1,25(OH)2D serum concentrations in 50 children with PSIS at
the initial evaluation before treatment. RESULTS: Mean concentrations of 33.2 +/-
18.0 ng/mL for 25OHD and 74.5 +/- 40.7 ng/L for 1,25(OH)2D were measured.
Additionally, 25OHD concentrations were significantly higher in boys than in
girls (p = 0.04) and lower in the cold season than in the sunny season (p =
0.03). Significant positive correlations were observed between the GH peak and
serum 1,25 (OH) 2D concentrations (Rho = 0.35; p = 0.015) and the
1,25(OH)2D/25OHD ratio (Rho = 0.29; p < 0.05). No correlation was found for other
characteristics, including IGF1. CONCLUSIONS: Vitamin D status in children with
hypothalamic-pituitary deficiency due to PSIS was similar to that reported in
national and European studies in healthy children. The positive significant
correlations between the GH peak and the 1,25 (OH)2D concentration as well as
with the 1,25 (OH)2D/25OHD ratio suggest that even in these patients who had
severely impaired GH secretion and low IGF1 levels, an interplay between the
GH/IGF1 axis and the vitamin D system still exists.
PMID- 29368589
TI - Expanded carrier screening and preimplantation genetic diagnosis in a couple who
delivered a baby affected with congenital factor VII deficiency.
AB - BACKGROUND: Preimplantation genetic diagnosis (PGD) is a powerful tool for
preventing the transmission of Mendelian disorders from generation to generation.
However, PGD only can identify monogenically inherited diseases, but not other
potential monogenic pathologies. We aimed to use PGD to deliver a healthy baby
without congenital FVII deficiency or other common Mendelian diseases in a couple
in which both individuals carried a deleterious mutation in the F7 gene. METHODS:
After both members of the couple were confirmed to be carriers of the F7 gene
mutation by Sanger sequencing, expanded carrier screening (ECS) for 623 recessive
inheritance diseases was performed to detect pathological mutations in other
genes. PGD and preimplantational genetic screening (PGS) were employed to exclude
monogenic disorders and aneuploidy for their embryos. RESULTS: ECS using targeted
capture sequencing technology revealed that the couple carried the heterozygous
disease-causative mutations c.3659C > T (p.Thr1220Ile) and c.3209G > A
(p.Arg1070Gln) in the CFTR gene. After PGD and PGS, one of their embryos that was
free of congenital FVII deficiency, cystic fibrosis (CF) and aneuploidy was
transferred, resulting in the birth of a healthy 3200 g male infant. CONCLUSION:
We successfully implemented PGD for congenital FVII deficiency and PGD after ECS
to exclude CF for the first time to the best of our knowledge. Our work
significantly improved the reproductive outcome for the couple and provides a
clear example of the use of ECS combined with PGD to avoid the delivery of
offspring affected not only by identified monogenically inherited diseases but
also by other potential monogenic pathologies and aneuploidy.
PMID- 29368591
TI - RNA gene profile variation in peripheral blood mononuclear cells from rhesus
macaques immunized with Hib conjugate vaccine, Hib capsular polysaccharide and TT
carrier protein.
AB - BACKGROUND: The Haemophilus influenzae type b (Hib) conjugate vaccine has been
widely used in children to prevent invasive Hib disease because of its strong
immunogenicity and antibody response induction relative to the capsular
polysaccharide (CPS) antigen. The data from vaccine studies suggest that the
conjugate vaccine contains carrier proteins that enhance and/or regulate the
antigen's immunogenicity, but the mechanism of this enhancement remains unclear.
METHODS: To explore the immunological role of the conjugate vaccine, we compared
the immune responses and gene profiles of rhesus macaques after immunization with
CPS, carrier protein tetanus toxoid (TT) or conjugate vaccine. RESULTS: A
distinct immune response was induced by the Hib conjugate vaccine but not by CPS
or carrier protein TT. The genes that were dynamically regulated in conjunction
with the macaque immune responses to the conjugate vaccine were investigated.
CONCLUSIONS: We propose that these genes are involved in the induction of
specific immunity that is characterized by the appearance and maintenance of
antibodies against Hib.
PMID- 29368590
TI - Identification of AUXIN RESPONSE FACTOR gene family from Prunus sibirica and its
expression analysis during mesocarp and kernel development.
AB - BACKGROUND: Auxin response factors (ARFs) in auxin signaling pathway are an
important component that can regulate the transcription of auxin-responsive genes
involved in almost all aspects of plant growth and development. To our knowledge,
the comprehensive and systematic characterization of ARF genes has never been
reported in Prunus sibirica, a novel woody biodiesel feedstock in China. RESULTS:
In this study, we identified 14 PsARF genes with a perfect open reading frame
(ORF) in P. sibirica by using its previous transcriptomic data. Conserved motif
analysis showed that all identified PsARF proteins had typical DNA-binding and
ARF domain, but 5 members (PsARF3, 8 10, 16 and 17) lacked the dimerization
domain. Phylogenetic analysis of the ARF proteins generated from various plant
species indicated that ARFs could be categorized into 4 major groups (Class I,
II, III and IV), in which all identified ARFs from P. sibirica showed a closest
relationship with those from P. mume. Comparison of the expression profiles of 14
PsARF genes in different developmental stages of Siberian apricot mesocarp (SAM)
and kernel (SAK) reflected distinct temporal or spatial expression patterns for
PsARF genes. Additionally, based on the expressed data from fruit and seed
development of multiple plant species, we identified 1514 ARF-correlated genes
using weighted gene co-expression network analysis (WGCNA). And the major portion
of ARF-correlated gene was characterized to be involved in protein, nucleic acid
and carbohydrate metabolic, transport and regulatory processes. CONCLUSIONS: In
summary, we systematically and comprehensively analyzed the structure, expression
pattern and co-expression network of ARF gene family in P. sibirica. All our
findings provide theoretical foundation for the PsARF gene family and will pave
the way for elucidating the precise role of PsARF genes in SAM and SAK
development.
PMID- 29368592
TI - Genome-wide protein phylogenies for four African cichlid species.
AB - BACKGROUND: The thousands of species of closely related cichlid fishes in the
great lakes of East Africa are a powerful model for understanding speciation and
the genetic basis of trait variation. Recently, the genomes of five species of
African cichlids representing five distinct lineages were sequenced and used to
predict protein products at a genome-wide level. Here we characterize the
evolutionary relationship of each cichlid protein to previously sequenced animal
species. RESULTS: We used the Treefam database, a set of preexisting protein
phylogenies built using 109 previously sequenced genomes, to identify Treefam
families for each protein annotated from four cichlid species: Metriaclima zebra,
Astatotilapia burtoni, Pundamilia nyererei and Neolamporologus brichardi. For
each of these Treefam families, we built new protein phylogenies containing each
of the cichlid protein hits. Using these new phylogenies we identified the
evolutionary relationship of each cichlid protein to its nearest human and
zebrafish protein. This data is available either through download or through a
webserver we have implemented. CONCLUSION: These phylogenies will be useful for
any cichlid researchers trying to predict biological and protein function for a
given cichlid gene, understanding the evolutionary history of a given cichlid
gene, identifying recently duplicated cichlid genes, or performing genome-wide
analysis in cichlids that relies on using databases generated from other species.
PMID- 29368593
TI - Better clinical outcome with direct oral anticoagulants in hospitalized heart
failure patients with atrial fibrillation.
AB - BACKGROUND: Atrial fibrillation (AF) is common in patients with heart failure and
is associated with higher mortality. Although previous studies have reported that
direct oral anticoagulants (DOACs) reduce the risk of cardiovascular events in
out-patients with AF, it remains unclear whether DOACs reduce mortality in
hospitalized heart failure (HHF) patients with AF. Therefore, we examined the
impact of DOACs on mortality in this group of patients. METHODS: Consecutive 497
HHF patients with AF were retrospectively registered and divided into three
groups on the basis of the presence of anticoagulant therapy: non-anticoagulant
group (Non, n = 90), Vit K antagonists (VKAs) group (n = 257) and DOACs group (n
= 150). We followed up all the patients for mortality. RESULTS: In the Kaplan
Meier analysis (mean follow-up of 1093 days), all-cause mortality was
significantly lower in the VKAs and DOACs groups than in the Non group (31.1% and
15.3% vs. 43.3%, log-rank P < 0.001). In the multivariable Cox proportional
hazard analysis after adjusting for other potential confounding factors, usage of
DOACs and VKAs were independently associated with lower mortality in HHF patients
AF (DOACs, HR 0.356, P = 0.001; VKAs, HR 0.472, P = 0.002). Furthermore, the
propensity-matched 1:1 cohort was assessed based on the propensity score (DOACs,
n = 114 and VKAs, n = 114). All-cause mortality was significantly lower in the
DOACs group than in the VKAs group in the post-matched cohort (12.3% vs. 35.1%,
log-rank P = 0.038). In the Cox proportional hazard analysis, the use of DOACs
was associated with lower mortality in the post-matched cohort (HR 0.526, P =
0.041). CONCLUSION: Appropriate use of anticoagulants in HHF patients with AF is
important, and DOACs potentially improve all-cause mortality in such patients.
PMID- 29368594
TI - Comparison of a loading dose of dexmedetomidine combined with propofol or
sevoflurane for hemodynamic changes during anesthesia maintenance: a prospective,
randomized, double-blind, controlled clinical trial.
AB - BACKGROUND: There may be great individual variability in the hemodynamic effects
of this dexmedetomidine. For this reason, the dose must be carefully adjusted to
achieve the desired clinical effect. Whether a loading dose of dexmedetomidine
produces hemodynamic side effects during the anesthesia maintenance is unknown.
The aim of this study was to compare the effects of a loading dose of
dexmedetomidine combined with propofol or sevoflurane on hemodynamics during
anesthesia maintenance. METHODS: Eighty-four patients who were scheduled for
general surgery under balanced general anesthesia were randomly allocated into 4
groups (n = 21): the propofol and dexmedetomidine group, the sevoflurane and
dexmedetomidine group, the propofol and normal saline group, or the sevoflurane
and normal saline group. The hemodynamic indexes at the time of just before, 5
min after and the end of study drug infusion (dexmedetomidine or normal saline)
were recorded. The incidence rates of increasing blood pressure at the end of
study drug infusion (greater than 20% compared to baseline or before study drug
infusion) were evaluated. RESULTS: Mean arterial pressure increased significantly
(P < 0.01) only in the propofol and dexmedetomidine group after intravenous
dexmedetomidine compared administration. 80% of cases with propofol and
dexmedetomidine had increased mean arterial blood pressure compared to only 5% of
cases in the sevoflurane and dexmedetomidine group (P < 0.05). Heart rates in the
propofol and dexmedetomidine and the sevoflurane and dexmedetomidine groups
decreased significantly after dexmedetomidine infusion (P < 0.01). CONCLUSIONS:
Intraoperative administration of a loading dose of dexmedetomidine combined with
propofol in anesthesia maintenance proceeded a significant increase in blood
pressure. In contrast, it combines with sevoflurane didn't produce increased
blood pressure. Meanwhile it is not unexpected that dexmedetomidine combined with
propofol or sevofurance decreased heart rate, due to the known side effects of
DEX. Therefore, dexmedetomidine should be used cautiously during the entire
intravenous anesthesia maintenance period, especially during maintenance with
propofol. TRIAL REGISTRATION: Chinese Clinical Trial Registry, ChiCTR-IOR
17010423 , registered on 13 January 2017.
PMID- 29368595
TI - Short-term effects and safety of an acute increase of intraocular pressure after
intravitreal bevacizumab injection on corneal endothelial cells.
AB - BACKGROUND: The purpose of this study is to evaluate short-term effects and
safety of an acute increase of intraocular pressure (IOP) after single-dose
intravitreal bevacizumab injection on corneal endothelial cells and central
corneal thickness. METHODS: Forty-two patients who underwent intravitreal
injection of 2.5 mg/0.1 ml bevacizumab because of central serous
chorioretinopathy or diabetic macular edema were included in this study. The
changes of IOP, corneal endothelial cells, and corneal thickness at baseline, 2
min, 5 min, and 30 min after injection were analyzed prospectively with a
specular microscope. RESULTS: In all patients, the mean IOPs at baseline, 2 min,
5 min, and 30 min after injection were 11.48 +/- 2.22 mmHg, 49.71 +/- 10.73 mmHg,
37.64 +/- 11.68 mmHg, and 14.88 +/- 4.77 mmHg, respectively. These changes were
significant (p < 0.01). In only one eye, IOP did not decrease to <=30 mmHg even
at 30 min after injection. According to changes in IOP with time, the coefficient
of variation of the corneal endothelium significantly increased (p = 0.03), but
cell density, hexagonality of the corneal endothelium, and central corneal
thickness did not change (p = 0.79, 0.21, and 0.08, prospectively). One week
after injection, there was no sign of inflammation or any other complications in
all 42 eyes. CONCLUSIONS: After intravitreal injection, IOP rapidly increases,
then decreases to the normal range in most eyes 30 min after injection and it is
tolerable to corneal endothelium. TRIAL REGISTRATION: Clinical Research
Information Service (CRiS), Republic of Korea, KCT0002645 . Retrospectively
registered 9 January 2018.
PMID- 29368596
TI - Infant formula feeding practices and the role of advice and support: an
exploratory qualitative study.
AB - BACKGROUND: Infant formula feeding practices are an important consideration for
obesity prevention. An infant's diet is influential on their later risk of
developing overweight or obesity, yet very little is known about infant formula
feeding practices. It is plausible that certain modifiable practices may put
children at higher risk of developing overweight or obesity, for example how much
and how often a baby is fed. Understanding how parents use infant formula and
what factors may influence this practice is therefore important. Moreover,
parents who feed their infants formula have identified a lack of support and
access to resources to guide them. Therefore this study aimed to explore parents'
infant formula feeding practices to understand how parents use infant formula and
what factors may influence this practice. METHODS: Using an explorative
qualitative design, data were collected using semi-structured telephone
interviews and analysed using a pragmatic inductive approach to thematic
analysis. RESULTS: A total of 24 mothers from across Australia were interviewed.
Mothers are influenced by a number of factors in relation to their infant formula
feeding practice. These factors include information on the formula tin and
marketing from formula manufacturers, particularly in relation to choosing the
type of formula. Their formula feeding practices are also influenced by their
interpretation of infant cues, and the amount of formula in the bottle. Many
mothers would like more information to aid their practices but barriers exist to
accessing health professional advice and support, so mothers may rely on informal
sources. Some women reported that the social environment surrounding infant
feeding wherein breastfeeding is promoted as the best option leads a feeling of
stigma when formula feeding. CONCLUSIONS: Additional support for parents' feeding
their infants with formula is necessary. Health professionals and policy around
infant formula use should include how formula information may be provided to
parents who use formula in ways that do not undermine breastfeeding promotion.
Further observational research should seek to understand the interaction between
advice, interpretation of cues and the amount formula fed to infants.
PMID- 29368597
TI - Deep learning of mutation-gene-drug relations from the literature.
AB - BACKGROUND: Molecular biomarkers that can predict drug efficacy in cancer
patients are crucial components for the advancement of precision medicine.
However, identifying these molecular biomarkers remains a laborious and
challenging task. Next-generation sequencing of patients and preclinical models
have increasingly led to the identification of novel gene-mutation-drug
relations, and these results have been reported and published in the scientific
literature. RESULTS: Here, we present two new computational methods that utilize
all the PubMed articles as domain specific background knowledge to assist in the
extraction and curation of gene-mutation-drug relations from the literature. The
first method uses the Biomedical Entity Search Tool (BEST) scoring results as
some of the features to train the machine learning classifiers. The second method
uses not only the BEST scoring results, but also word vectors in a deep
convolutional neural network model that are constructed from and trained on
numerous documents such as PubMed abstracts and Google News articles. Using the
features obtained from both the BEST search engine scores and word vectors, we
extract mutation-gene and mutation-drug relations from the literature using
machine learning classifiers such as random forest and deep convolutional neural
networks. Our methods achieved better results compared with the state-of-the-art
methods. We used our proposed features in a simple machine learning model, and
obtained F1-scores of 0.96 and 0.82 for mutation-gene and mutation-drug relation
classification, respectively. We also developed a deep learning classification
model using convolutional neural networks, BEST scores, and the word embeddings
that are pre-trained on PubMed or Google News data. Using deep learning, the
classification accuracy improved, and F1-scores of 0.96 and 0.86 were obtained
for the mutation-gene and mutation-drug relations, respectively. CONCLUSION: We
believe that our computational methods described in this research could be used
as an important tool in identifying molecular biomarkers that predict drug
responses in cancer patients. We also built a database of these mutation-gene
drug relations that were extracted from all the PubMed abstracts. We believe that
our database can prove to be a valuable resource for precision medicine
researchers.
PMID- 29368598
TI - Study protocol: a randomised controlled trial of supervised resistance training
versus aerobic training in Sri Lankan adults with type 2 diabetes mellitus: SL
DART study.
AB - BACKGROUND: The prevalence of type 2 diabetes mellitus (T2DM) and cardiovascular
diseases (CVD) is rising globally. T2DM is particularly problematic in South Asia
with an estimated 10-15% of Sri Lankans diagnosed with the disease. Exercise is
known to improve blood glucose, lipid profiles, blood pressure and adiposity, key
goals in the management of T2DM. However, much of the evidence to date has been
gained from white Caucasians who have a different body composition and disease
profile compared to South Asians. Similarly, the recreational exercise culture is
new to Sri Lankans and the effects of exercise on T2DM has not been studied in
this population. METHODS: The Sri Lanka Diabetes Aerobic and Resistance Training
(SL-DART) Study will be comprised of 2 components. Component 1 is a 12-week
randomized controlled trial (RCT) to compare the effects of a supervised
progressive resistance exercise program (RT) and aerobic exercise program (AT)
with standard treatment/control (CN). Sedentary Sri Lankan adults with T2DM (aged
35-65 years) and with no contraindications to exercise will be randomized into
one of 3 groups (AT, RT, CN). Exercise sessions will be conducted 2 days/week for
3 months. Baseline and post-intervention biochemical (glycemic control, lipid and
liver profiles, inflammatory markers), anthropometric (height, weight, body
circumferences), body composition, physical fitness, food preference (liking and
wanting food) and quality of life parameters will be measured and compared
between groups. Component 2 will be a qualitative study conducted immediately
post-intervention via in-depth interviews to assess the barriers and facilitators
for adherence to each exercise program. DISCUSSION: SL-DART Study represents one
of the first adequately powered methodologically sound RCTs conducted in South
Asia to assess the effects of resistance and aerobic exercise in participants
with T2DM. Triangulation of quantitative and qualitative outcomes will enable the
design of a culturally appropriate therapeutic physical activity intervention for
Sri Lankans with T2DM, and the initiation of a professionally driven and
specialized clinical exercise prescription service. TRIAL REGISTRATION: Sri Lanka
Clinical Trials Registry; SLCTR/2016/017 . Date registered 17.06.2016. Universal
trial number U1111-1181-7561.
PMID- 29368599
TI - Effectiveness of nasal highflow in hypercapnic COPD patients is flow and leakage
dependent.
AB - BACKGROUND: Nasal Highflow (NHF) delivers a humidified and heated airflow via
nasal prongs. Current data provide evidence for efficacy of NHF in patients with
hypoxemic respiratory failure. Preliminary data suggest that NHF may decrease
hypercapnia in hypercapnic respiratory failure. The aim of this study was to
evaluate the mechanism of NHF mediated PCO2 reduction in patients with chronic
obstructive pulmonary disease (COPD). METHODS: In 36 hypercapnic COPD patients
(PCO2 > 45 mmHg), hypercapnia was evaluated by capillary gas sampling 1 h after
NHF breathing under four conditions A to D with different flow rates and
different degrees of leakage (A = 20 L/min, low leakage, two prongs, both inside;
B = 40 L/min, low leakage, two prongs, both inside; C = 40 L/min, high leakage,
two prongs, one outside and open; D = 40 L/min, high leakage, two prongs, one
outside and closed). Under identical conditions, mean airway pressure was
measured in the hypopharynx of 10 COPD patients. RESULTS: Hypercapnia
significantly decreased in all patients. In patients with capillary PCO2 > 55
mmHg (n = 26), PCO2 additionally decreased significantly by increased leakage
and/or flow rate in comparison to lower leakage/ flow rate conditions (A = 94.2
+/- 8.2%; B = 93.5 +/- 4.4%; C = 90.5 +/- 7.2%; D = 86.8 +/- 3.8%). The highest
mean airway pressure was observed in patients breathing under condition B (2.3 +/
1.6 mbar; p < 0.05). CONCLUSIONS: This study demonstrates effective PCO2
reduction with NHF therapy in stable hypercapnic COPD patients. This effect does
not correlate with an increase in mean airway pressure but with increased leakage
and airflow, indicating airway wash out and reduction of functional dead space as
important mechanisms of NHF therapy. These results may be useful when considering
NHF treatment in hypercapnic COPD patients. TRIAL REGISTRATION: Clinical Trials:
NCT02504814; First posted July 22, 2015.
PMID- 29368600
TI - Correction to: electronic cigarette use behaviors and motivations among smokers
and non-smokers.
AB - After publication of the article [1], it has been brought to our attention that
there is a funding acknowledgement missing. The authors would also like to
include "Dr. Michael Joseph Blaha is funded by the American Heart Association
Tobacco Regulatory Center, funding number: 1P50HL120163".
PMID- 29368601
TI - Factors influencing the capacity of women to voice their concerns about maternal
health services in the Muanda and Bolenge Health Zones, Democratic Republic of
the Congo: a multi-method study.
AB - BACKGROUND: This paper aims to identify factors that influence the capacity of
women to voice their concerns regarding maternal health services at the local
level. METHODS: A secondary analysis was conducted of the data from three studies
carried out between 2013 and 2015 in the Democratic Republic of the Congo (DRC)
in the context of a WOTRO initiative to improve maternal health services through
social accountability mechanisms in the DRC. The data processing and analysis
focused on data related to factors that influence the capacity of women to voice
their concerns and on the characteristics of women that influence their ability
to identify, and address specific problems. Data from 21 interviews and 12 focus
group discussions (n = 92) were analysed using an inductive content analysis, and
those from one household survey (n = 517) were summarized. RESULTS: The women
living in the rural setting were mostly farmers/fisher-women (39.7%) or worked at
odd jobs (20.3%). They had not completed secondary school (94.6%). Around one
fifth was younger than 20 years old (21.9%). The majority of women could describe
the health service they received but were not able to describe what they should
receive as care. They had insufficient knowledge of the health services before
their first visit. They were not able to explain the mandate of the health
providers. The information they received concerned the types of healthcare they
could receive but not the real content of those services, nor their rights and
entitlements. They were unaware of their entitlements and rights. They believed
that they were laypersons and therefore unable to judge health providers, but
when provided with some tools such as a checklist, they reported some abusive and
disrespectful treatments. However, community members asserted that the reported
actions were not reprehensible acts but actions to encourage a woman and to make
her understand the risk of delivery. CONCLUSIONS: Factors influencing the
capacity of women to voice their concerns in DRC rural settings are mainly
associated with insufficient knowledge and socio-cultural context. These findings
suggest that initiatives to implement social accountability have to address
community capacity-building, health providers' responsiveness and the socio
cultural norms issues.
PMID- 29368603
TI - Defining the normal appearance of the temporomandibular joints by magnetic
resonance imaging with contrast: a comparative study of children with and without
juvenile idiopathic arthritis.
AB - BACKGROUND: Up to 80% of children with juvenile idiopathic arthritis (JIA)
develop arthritis involving their temporomandibular joint (TMJ). Recent studies
have questioned the sensitivity of an abnormal MRI in the diagnosis of active
arthritis. METHODS: 122 children without arthritis undergoing contrast MRI of the
head were prospectively consented to undergo a simultaneous contrast MRI of their
TMJs. As a comparison point, the initial MRI of the TMJ of 35 newly diagnosed
children with JIA were retrospectively scored. The presence and size of effusion
and contrast enhancement were measured in the left TMJ in all subjects. RESULTS:
62/122 (51%) controls compared to only 10/35 JIA (29%) patients had an effusion
(p = 0.022). Contrast enhancement was present in >=97% of both groups, although
the size of the enhancement was, on average, 0.2 mm larger in controls (1.1 +/-
0.24 vs 0.88 +/- 0.27 mm, p < 0.001). Among JIA patients, the size of the
enhancement correlated inversely with disease duration (r = - 0.475, p = 0.005).
Chronic changes were present in none of the controls versus 2/35 (5.5%) of the
JIA patients (p = 0.049). CONCLUSION: Findings consistent with minimally active
TMJ arthritis appear to be equally likely in children with JIA as compared to non
inflamed controls, while this and other studies confirm that chronic changes are
specific to JIA. Thus, small amounts of effusion or contrast enhancement, in the
absence of chronic changes, should be interpreted with caution.
PMID- 29368602
TI - Long non-coding RNA implicated in the invasion and metastasis of head and neck
cancer: possible function and mechanisms.
AB - Head and neck cancer (HNC) ranks as the 6th most common malignancy across the
world. Metastasis is a hallmark of cancer, primarily contributing to the relapse
and poor prognosis of HNC. Recently, long noncoding RNAs (lncRNAs), previously
considered as non-functional, are increasingly appreciated by scholars to play
crucial roles in mediating HNC metastasis. LncRNAs, which are located in the
nucleus and cytoplasm, mainly exert their function via epigenetic modification,
transcriptional control and translational regulation. As several lncRNAs are
presently demonstrated to participate in HNC metastasis, we make a summary of the
functions and mechanisms regarding these lncRNAs. As shown in the literature,
most lncRNAs appear to promote the metastasis of HNC. Hence, we primarily discuss
the lncRNAs involved in enhancing metastasis. Additionally, more studies are
needed to understand those lncRNAs without clear mechanisms. Furthermore, we
introduced the upstream regulator for the aberrant expression of lncRNAs in HNC.
Finally, we concisely addressed future research prospects of lncRNAs,
particularly the interplay between lncRNAs and tumor immunity as well as lncRNA
targeted therapeutic techniques, and we introduced clustered regularly
interspaced short palindromic repeats (CRISPR)-Display as a possibly
transformative tool to study lncRNAs. Although lncRNA research is still in the
initial stage, it holds great promise to be applied as a prognosticator of HNC
and a therapeutic target to inhibit HNC metastasis, which could significantly
enhance the outcome of HNC patients.
PMID- 29368604
TI - Long-acting bronchodilators improve exercise capacity in COPD patients: a
systematic review and meta-analysis.
AB - Background: We carried out a systematic review and meta-analysis with the aim to
evaluate the efficacy of longactingbronchodilators on exercise capacity in COPD
patients. Methods: The endpoints were the efficacy of long-acting bronchodilators
(altogether, and by single classes)vs. placebo in modifying endurance time (ET),
inspiratory capacity (IC) and dyspnea during exercise, taking into consideration
the outcomes according to different patients' inclusion criteria and exercise
methodology. Results: Twenty-two studies were deemed eligible for analysis.
Weighted mean increase in ET resulted of 67 s(95% CI ranges from 55 to 79). For
isotime IC and dyspnea during exercise, weighted improvements were 195 ml (162
229), and - 0.41 units (- 0.56 to - 0.27), respectively. The increase in trough
IC was 157 ml (138-175). We found a trend in favour of LAMA compared to LABA in
terms of ET. In the 11 studies which reported a value of functional residual
capacity > 120% as inclusion criterion, weighted mean increase in endurance time
was 94 s (65 to 123); however we did not find any significant correlation between
ET and mean trough IC (P: 0.593). The improvement of ET in the 5 studies using
walking as exercise methodology resulted of 58 s (- 4 to 121). Conclusions: Long
acting bronchodilators improve exercise capacity in COPD. The main effect of long
acting bronchodilators seems to be a increase of basal IC rather than a
modification of dynamic hyperinflation during exercise. The efficacy in terms of
endurance time seems higher in studies which enrolled patients with
hyperinflation, with a similar efficacy on walking or cycling.
PMID- 29368605
TI - The influence of prenatal exposure to trans-fatty acids for development of
childhood haematopoietic neoplasms (EnTrance): a natural societal experiment and
a case-control study.
AB - BACKGROUND: Little is known about the causes of childhood cancer, partly as not
many children develop cancer, although childhood cancer is a leading cause of
death by disease in the young. The young age of the children suggests that risk
factors for childhood cancer may be present during pregnancy. Previous studies
have shown that exposure to trans-fat, a type of unsaturated fat common in
industrially produced foods (iTFA), has adverse health effects in adults,
including the risk of developing cancer. Haematopoietic neoplasms are the most
common cancer types among European children under the age of 15 years. This study
will bring new knowledge as to whether trans-fat and other fatty acids may also
increase the risk of developing haematopoietic neoplasms during childhood.
METHODS: We will investigate if the Danish iTFA legislation ban, which radically
reduced the use of iTFA in foodstuffs, influenced the risk of childhood
haematopoietic neoplasms in children born either before or after the change in
legislation, adjusting for relevant secular trends. Further, in a case-control
study, we will examine if levels of fatty acids in dried blood spots from
newborns can predict the risk of developing childhood haematopoietic neoplasms.
Permission from the Danish Data Protection Agency and the Ethical Committee has
been granted. DISCUSSION: The results from this study will provide important
information about fatty acids in the mother's diet as a contributor to
development of haematopoietic neoplasms during childhood, which may result in
relevant preventive action. TRIAL REGISTRATION: Not relevant.
PMID- 29368606
TI - PKN2 in colon cancer cells inhibits M2 phenotype polarization of tumor-associated
macrophages via regulating DUSP6-Erk1/2 pathway.
AB - BACKGROUND: Protein kinase N2 (PKN2) is a PKC-related serine/threonine-protein
kinase. PKN2 is required for tumor cell migration, invasion and apoptosis.
However, the functional role of PKN2 in regulating tumor associated macrophages
(TAMs) polarization in colon cancer has never been reported. METHODS: PKN2
expression in human colon cancer tissues was examined with immunohistochemistry
(IHC). M1/M2 macrophage signatures were evaluated by RT-PCR, IHC and flow
cytometry. The effects of PKN2 on tumor growth and TAM polarization were
investigated both in vitro and in vivo. PKN2 targeted cytokines/pathway were
analyzed by gene expression analysis and further confirmed by PCR, luciferase
assay or western blot. Correlations between PKN2 and transcriptional factors for
IL4 and IL10 were confirmed by ChIP-qPCR. The catalytic activities of PKN2 and
DUSP6 were determined by kinase activity assay. Interactions between PKN2 and
DUSP6 were confirmed by Co-IP. RESULTS: The expression of PKN2 in colon cancer
cells predicted a favorable prognosis and was associated with low M2 macrophage
content in human colon cancer tissues. PKN2 inhibited tumor growth in mice
xenograft model and inhibited M2 phenotype polarization both in vitro and in
vivo. Mechanistically, PKN2 suppresses the expression of IL4 and IL10 from colon
cancer cells by inhibiting Erk1/2 phosphorylation, which is required for
phosphorylation and binding of CREB and Elk-1 to the promoters of IL4 and IL10.
DUSP6, which is phosphorylated and activated through direct association with
PKN2, suppresses Erk1/2 activation. CONCLUSIONS: The expression of PKN2 in colon
cancer cells suppresses tumor associated M2 macrophage polarization and tumor
growth. Targeting PKN2 signaling pathway may provide a potential therapeutic
strategy for colon cancer.
PMID- 29368607
TI - Predictors of abnormal cytology among HPV-infected women in remote territories of
French Guiana.
AB - BACKGROUND: Cervical cancer prevention using cervical cytology is insufficiently
sensitive, a significant proportion of HPV-infected women having normal cytology.
The objective of the present study was to try to identify factors associated with
abnormal cytology in HPV-infected women living in remote areas of French Guiana.
METHODS: A study was conducted in women aged 20-65 years having HPV infections
confirmed by HPV DNA detection using the GREINER-BIO-ONE kit. In addition to HPV
testing, cytology was performed and classified as normal or abnormal. Demographic
and life history variables, and infecting genotypes were compared between the
normal and abnormal cytology groups. RESULTS: None of the demographic and life
history variables were associated with cytology results. HPV genotype 53 was
significantly associated with absence of cytological abnormalities whereas HPV
52, 58, 16 and perhaps 33 and 66 were independently associated with a greater
risk of cytological abnormalities. When grouping HPV genotypes in different
species, only species 9 (HPV 16, 31, 33, 35, 52, 58, 67) was significantly
associated with abnormal cytology AOR = 5.1 (95% CI = 2.3-11.2), P < 0.001.
CONCLUSIONS: It was not possible to predict which HPV-infected women will have
cytological abnormalities or notfrom anamnesis. In this study HPV 53 seemed more
benign than other HPV genotypes. On the contrary, species n degrees 9, containing
5 of the genotypes contained in the nonavalent HPV vaccine, was significantly
associated with more cytological abnormalities. HPV testing and vaccination with
the nonavalent vaccine should be implemented in these remote parts of French
Guiana.
PMID- 29368608
TI - Burden of asthma and COPD overlap (ACO) in Taiwan: a nationwide population-based
study.
AB - BACKGROUND: Patients with symptoms of both asthma and chronic obstructive
pulmonary disease (COPD) may be classified with the term asthma-COPD overlap
(ACO). ACO is of considerable interest as it is currently poorly characterised
and has been associated with worse health outcomes and higher healthcare costs
compared with COPD or asthma alone. Patients with ACO in Asia remain poorly
described, and there is limited information regarding their resource utilisation
compared with patients with asthma or COPD only. This study investigated the
characteristics, disease burden and medical resource utilisation of patients with
ACO in Taiwan. METHODS: This was a retrospective cohort study of patients
identified from National Health Insurance (NHI) claims data in Taiwan in 2009
2011. Patients were classified into incident ACO, COPD or asthma cohorts
according to International Classification of Disease, ninth revision, clinical
modification codes in claims. Eligible patients were >=40 years of age with 12
months' continuous enrolment in the NHI programme pre- and post-index date (date
of the first relevant medical claim). RESULTS: Patients with ACO (N = 22,328) and
COPD (N = 69,648) were older and more likely to be male than those with asthma (N
= 50,293). Patients with ACO had more comorbidities and exacerbations, with
higher medication use: short-acting beta2-agonist prescriptions ranged from 30.4%
of patients (asthma cohort) to 43.6% (ACO cohort), and inhaled
corticosteroid/long-acting beta2-agonist combination prescriptions ranged from
11.1% (COPD cohort) to 35.0% (ACO cohort) in the 12 months following index.
Patients with ACO generally had the highest medication costs of any cohort (long
acting muscarinic antagonist costs ranged from $227/patient [asthma cohort] to
$349/patient [ACO cohort]); they also experienced more respiratory-related
hospital visits than patients with asthma or COPD (mean outpatient/inpatient
visits per patient post-index: 9.1/1.9 [ACO cohort] vs 5.7/1.4 [asthma cohort]
and 6.4/1.7 [COPD cohort]). CONCLUSIONS: Patients with ACO in Taiwan experience a
greater disease burden with greater healthcare resource utilisation, and higher
costs, than patients with asthma or COPD alone.
PMID- 29368609
TI - Combining information from a clinical data warehouse and a pharmaceutical
database to generate a framework to detect comorbidities in electronic health
records.
AB - BACKGROUND: Medical coding is used for a variety of activities, from
observational studies to hospital billing. However, comorbidities tend to be
under-reported by medical coders. The aim of this study was to develop an
algorithm to detect comorbidities in electronic health records (EHR) by using a
clinical data warehouse (CDW) and a knowledge database. METHODS: We enriched the
Theriaque pharmaceutical database with the French national Comorbidities List to
identify drugs associated with at least one major comorbid condition and
diagnoses associated with a drug indication. Then, we compared the drug
indications in the Theriaque database with the ICD-10 billing codes in EHR to
detect potentially missing comorbidities based on drug prescriptions. Finally, we
improved comorbidity detection by matching drug prescriptions and laboratory test
results. We tested the obtained algorithm by using two retrospective datasets
extracted from the Rennes University Hospital (RUH) CDW. The first dataset
included all adult patients hospitalized in the ear, nose, throat (ENT) surgical
ward between October and December 2014 (ENT dataset). The second included all
adult patients hospitalized at RUH between January and February 2015 (general
dataset). We reviewed medical records to find written evidence of the suggested
comorbidities in current or past stays. RESULTS: Among the 22,132 Common Units of
Dispensation (CUD) codes present in the Theriaque database, 19,970 drugs (90.2%)
were associated with one or several ICD-10 diagnoses, based on their indication,
and 11,162 (50.4%) with at least one of the 4878 comorbidities from the
comorbidity list. Among the 122 patients of the ENT dataset, 75.4% had at least
one drug prescription without corresponding ICD-10 code. The comorbidity
diagnoses suggested by the algorithm were confirmed in 44.6% of the cases. Among
the 4312 patients of the general dataset, 68.4% had at least one drug
prescription without corresponding ICD-10 code. The comorbidity diagnoses
suggested by the algorithm were confirmed in 20.3% of reviewed cases.
CONCLUSIONS: This simple algorithm based on combining accessible and immediately
reusable data from knowledge databases, drug prescriptions and laboratory test
results can detect comorbidities.
PMID- 29368610
TI - The REVAMP natural experiment study: the impact of a play-scape installation on
park visitation and park-based physical activity.
AB - BACKGROUND: Designing parks that optimise visitation and support visitors to be
active is important for public health. Yet there is very little evidence about
whether playground refurbishment achieves these objectives. This study examined
the impact of the installation of a play-scape in a large metropolitan park in
Melbourne, Australia. METHODS: Natural experiment study (intervention vs
control). At both parks, park visitation and physical activity were assessed
before (T1, 2013) and after the intervention at 12 (T2, 2014) and 24 months (T3,
2015). At each time point, measures included: observations of park visitors using
the System for Observing Play and Recreation in Communities on four weekdays and
four weekend days, objective monitors to record usage of the walking paths and
the number of cars entering the park; and intercept surveys with adult park
visitors. Cross-sectional surveys were conducted with local residents at T1 and
T3. RESULTS: The observational data showed a 176% increase in park visitor counts
from T1 to T2 (Incidence Rate Ratio (IRR) = 2.76, 95% CI = 1.04-7.33), at the
intervention park relative to the control park. The intervention park had a 119%
increase in counts of visitors observed engaging in MVPA from T1 to T2 (IRR =
2.19, 95% CI = 1.14-4.20), and a 128% increase from T1 to T3 (IRR = 2.28, 95% CI
= 1.19-4.38), relative to the control park. The relative increases in visitation
at the intervention park play-scape compared with the control park playground
were highly statistically significant from both T1 to T2 (IRR = 18.12, 95% CI =
5.51-59.59) and T1 to T3 (IRR = 15.05, 95% CI = 4.61-49.16). Similarly, there was
a significant interaction between time and park with regard to the number of
visitors observed engaging in MVPA in the play-scape/playground areas. The
intercept survey data showed an increased odds of children's regular visitation
to the intervention park at T2 (OR = 2.67, 95% CI = 1.08, 6.64), compared with
T1, relative to the control park. The remaining results from the intercept
survey, objective monitors and resident surveys showed no significant differences
in visitation between the two parks. CONCLUSIONS: These findings confirm that a
well-designed play-scape installation has the potential to increase park
visitation and encourage visitors to be physically active. TRIAL REGISTRATION:
Current controlled trial ISRCTN50745547 .
PMID- 29368611
TI - Correction to: Use of autogenous onlay bone graft for uncontained tibial bone
defects in primary total knee arthroplasty.
AB - After the publication of this article [1] it came to the attention of the authors
that there were 2 errors in the results section: 0.0.856 should be 0.856 and
"this this difference" should have been "this difference".
PMID- 29368612
TI - Enhanced clinical-scale manufacturing of TCR transduced T-cells using closed
culture system modules.
AB - BACKGROUND: Genetic engineering of T-cells to express specific T cell receptors
(TCR) has emerged as a novel strategy to treat various malignancies. More
widespread utilization of these types of therapies has been somewhat constrained
by the lack of closed culture processes capable of expanding sufficient numbers
of T-cells for clinical application. Here, we evaluate a process for robust
clinical grade manufacturing of TCR gene engineered T-cells. METHODS: TCRs that
target human papillomavirus E6 and E7 were independently tested. A 21 day process
was divided into a transduction phase (7 days) and a rapid expansion phase (14
days). This process was evaluated using two healthy donor samples and four
samples obtained from patients with epithelial cancers. RESULTS: The process
resulted in ~ 2000-fold increase in viable nucleated cells and high transduction
efficiencies (64-92%). At the end of culture, functional assays demonstrated that
these cells were potent and specific in their ability to kill tumor cells bearing
target and secrete large quantities of interferon and tumor necrosis factor. Both
phases of culture were contained within closed or semi-closed modules, which
include automated density gradient separation and cell culture bags for the first
phase and closed GREX culture devices and wash/concentrate systems for the second
phase. CONCLUSION: Large-scale manufacturing using modular systems and semi
automated devices resulted in highly functional clinical-grade TCR transduced T
cells. This process is now in use in actively accruing clinical trials and the
NIH Clinical Center and can be utilized at other cell therapy manufacturing sites
that wish to scale-up and optimize their processing using closed systems.
PMID- 29368613
TI - Comparison among perfect-C(r), zero-P(r), and plates with a cage in single-level
cervical degenerative disc disease.
AB - BACKGROUND: We intended to analyze the efficacy of a new integrated cage and
plate device called Perfect-C for anterior cervical discectomy and fusion (ACDF)
to cure single-level cervical degenerative disc disease. METHODS: We enrolled 148
patients who were subjected to single-level ACDF with one of the following three
surgical devices: a Perfect-C implant (41 patients), a Zero-P implant (36
patients), or a titanium plate with a polyetheretherketone (PEEK) cage (71
patients). We conducted a retrospective study to compare the clinical and
radiological results among the three groups. RESULTS: The length of the
operation, intraoperative blood loss, and duration of hospitalization were
significantly lower in the Perfect-C group than in the Zero-P and plate-with-cage
groups (P < 0.05). At the last follow-up visit, heterotopic ossification (HO) was
not observed in any cases (0%) in the Perfect-C and Zero-P groups but was noted
in 21 cases (30%) in the plate-with-cage group. The cephalad and caudal plate-to
disc distance (PDD) and the cephalad and caudal PDD/anterior body height (ABH)
were significantly greater in the Perfect-C and Zero-P groups than in the plate
with-cage group (P < 0.05). Subsidence occurred in five cases (14%) in the
Perfect-C group, in nine cases (25%) in the Zero-P group, and in 15 cases (21%)
in the plate-with-cage group. Fusion occurred in 37 cases (90%) in the Perfect-C
group, in 31 cases (86%) in the Zero-P group, and in 68 cases (95%) in the plate
with-cage group. CONCLUSIONS: The Perfect-C, Zero-P, and plate-with-cage devices
are effective for treating single-level cervical degenerative disc disease.
However, the Perfect-C implant has many advantages over both the Zero-P implant
and conventional plate-cage treatments. The Perfect-C implant was associated with
shorter operation times and hospitalization durations, less blood loss, and lower
subsidence rates compared with the Zero-P implant or the titanium plate with a
PEEK cage.
PMID- 29368614
TI - Molecular imaging of pulmonary diseases.
AB - Imaging holds an important role in the diagnosis of lung diseases. Along with
clinical tests, noninvasive imaging techniques provide complementary and valuable
information that enables a complete differential diagnosis. Various novel
molecular imaging tools are currently under investigation aimed toward achieving
a better understanding of lung disease physiopathology as well as early detection
and accurate diagnosis leading to targeted treatment. Recent research on
molecular imaging methods that may permit differentiation of the cellular and
molecular components of pulmonary disease and monitoring of immune activation are
detailed in this review. The application of molecular imaging to lung disease is
currently in its early stage, especially compared to other organs or tissues, but
future studies will undoubtedly reveal useful pulmonary imaging probes and
imaging modalities.
PMID- 29368615
TI - Glitazones and alpha-glucosidase inhibitors as the second-line oral anti-diabetic
agents added to metformin reduce cardiovascular risk in Type 2 diabetes patients:
a nationwide cohort observational study.
AB - OBJECTIVE: Metformin is the standard first-line drug for patients with Type 2
diabetes (T2DM). However, the optimal second-line oral anti-diabetic agent (ADA)
remains unclear. We investigated the cardiovascular risk of various ADAs used as
add-on medication to metformin in T2DM patients from a nationwide cohort.
METHODS: T2DM patients using different add-on oral ADAs after an initial
metformin therapy of > 90 days were identified from the Taiwan National Health
Insurance Database. Five classes of ADAs, including sulphonylureas (SU),
glinides, thiazolidinediones (TZD), alpha-glucosidase inhibitors (AGI), and
dipeptidyl peptidase-4 inhibitors (DPP-4I) were selected for analysis. The
reference group was the SU added to metformin. Patients were excluded if aged <
20 years, had a history of stroke or acute coronary syndrome (ACS), or were
receiving insulin treatment. The primary outcomes included any major adverse
cardiovascular event (MACE) including ACS, ischemic/hemorrhagic stroke, and
death. A Cox regression model was used to estimate the hazard ratio (HR) for
MACE. RESULTS: A total of 26,742 patients receiving their add-on drug to
metformin of either SU (n = 24,277), glinides (n = 962), TZD (n = 581), AGI (n =
808), or DPP-4I (n = 114) were analyzed. After a mean follow-up duration of 6.6
+/- 3.4 years, a total of 4775 MACEs occurred. Compared with the SU+metformin
group (reference), the TZD+metformin (adjusted HR: 0.66; 95% CI 0.50-0.88, p =
0.004) and AGI+metformin (adjusted HR: 0.74; 95% CI 0.59-0.94, p = 0.01) groups
showed a significantly lower risk of MACE. CONCLUSION: Both TZD and AGI, when
used as an add-on drug to metformin were associated with lower MACE risk when
compared with SU added to metformin in this retrospective cohort study. Trial
registration CE13152B-3. Registered 7 Mar, 2013, retrospectively registered.
PMID- 29368616
TI - Undetected dysglycaemia common in primary care patients treated for hypertension
and/or dyslipidaemia: on the need for a screening strategy in clinical practice.
A report from EUROASPIRE IV a registry from the EuroObservational Research
Programme of the European Society of Cardiology.
AB - BACKGROUND: Dysglycaemia defined as type 2 diabetes (T2DM) and impaired glucose
tolerance (IGT), increases the risk of cardiovascular disease (CVD). The negative
impact is more apparent in the presence of hypertension and/or dyslipidaemia.
Thus, it seems reasonable to screen for dysglycaemia in patients treated for
hypertension and/or dyslipidaemia. A simple screening algorithm would enhance the
adoption of such strategy in clinical practice. OBJECTIVES: To test the
hypotheses (1) that dysglycaemia is common in patients with hypertension and/or
dyslipidaemia and (2) that initial screening with the Finnish Diabetes Risk Score
(FINDRISC) will decrease the need for laboratory based tests. METHODS: 2395
patients (age 18-80 years) without (i) a history of CVD or TDM2, (ii) prescribed
blood pressure and/or lipid lowering drugs answered the FINDRISC questionnaire
and had an oral glucose tolerance test (OGTT) and HbA1c measured. RESULTS:
According to the OGTT 934 (39%) had previously undetected dysglycaemia (T2DM 19%,
IGT 20%). Of patients, who according to FINDRISC had a low, moderate or slightly
elevated risk 20, 34 and 41% and of those in the high and very high-risk category
49 and 71% had IGT or T2DM respectively. The OGTT identified 92% of patients with
T2DM, FPG + HbA1c 90%, FPG 80%, 2hPG 29% and HbA1c 22%. CONCLUSIONS: (1) The
prevalence of dysglycaemia was high in patients treated for hypertension and/or
dyslipidaemia. (2) Due to the high proportion of dysglycaemia in patients with
low to moderate FINDRISC risk scores its initial use did not decrease the need
for subsequent glucose tests. (3) FPG was the best test for detecting T2DM. Its
isolated use is limited by the inability to disclose IGT. A pragmatic strategy,
decreasing the demand for an OGTT, would be to screen all patients with FPG
followed by OGTT in patients with IFG.
PMID- 29368618
TI - Psychotropic drugs in Nepal: perceptions on use and supply chain management.
AB - BACKGROUND: Psychotropic drugs play an important role in the treatment of mental,
neurological and substance use disorders. Despite the advancement of the use of
psycho-pharmaceuticals in the developed countries, the psychotropic drug
production and supply chain management in low- and middle- income countries are
still poorly developed. This study aims to explore the perceptions of
stakeholders involved in all stages of the psychotropic drug supply chain about
the need, quality, availability and effectiveness of psychotropic drugs, as well
as barriers to their supply chain management. The study was conducted among 65
respondents from the Kathmandu, Chitwan and Pyuthan districts, grouped into four
categories: producers, promoters and distributors (N = 22), policy makers and
government actors (N = 8), service providers (N = 21) and service users/family
members (N = 14). RESULTS: The respondents reported that psychotropic drugs,
despite having side effects, are 1) needed, 2) available in major regional
centers and 3) are effective for treating mental health problems. The stigma
associated with mental illness, however, forces patients and family members to
hide their use of psychotropic drugs. The study found that the process of
psychotropic drug supply chain management is similar to other general drugs, with
the exceptions of strict pre-approval process, quantity restriction (for
production and import), and mandatory record keeping. Despite these regulatory
provisions, respondents believed that the misuse of psychotropic drugs is
widespread and companies are providing incentives to prescribers and retailers to
retain their brand in the market. CONCLUSIONS: The production and supply chain
management of psychotropic drugs is influenced by the vested interests of
pharmaceutical companies, prescribers and pharmacists. In the context of the
government of Nepal's policy of integrating mental health into primary health
care and increased consumption of psychotropic drugs in Nepal, there is a need
for massive education and awareness as well as strict monitoring and supervision
to avoid the misuse of psychotropic drugs.
PMID- 29368617
TI - Ubiquitous giants: a plethora of giant viruses found in Brazil and Antarctica.
AB - BACKGROUND: Since the discovery of giant viruses infecting amoebae in 2003, many
dogmas of virology have been revised and the search for these viruses has been
intensified. Over the last few years, several new groups of these viruses have
been discovered in various types of samples and environments.In this work, we
describe the isolation of 68 giant viruses of amoeba obtained from environmental
samples from Brazil and Antarctica. METHODS: Isolated viruses were identified by
hemacolor staining, PCR assays and electron microscopy (scanning and/or
transmission). RESULTS: A total of 64 viruses belonging to the Mimiviridae family
were isolated (26 from lineage A, 13 from lineage B, 2 from lineage C and 23 from
unidentified lineages) from different types of samples, including marine water
from Antarctica, thus being the first mimiviruses isolated in this extreme
environment to date. Furthermore, a marseillevirus was isolated from sewage
samples along with two pandoraviruses and a cedratvirus (the third to be isolated
in the world so far). CONCLUSIONS: Considering the different type of samples, we
found a higher number of viral groups in sewage samples. Our results reinforce
the importance of prospective studies in different environmental samples,
therefore improving our comprehension about the circulation anddiversity of these
viruses in nature.
PMID- 29368619
TI - Geographic patterns of poor HIV/AIDS care continuum in District of Columbia.
AB - BACKGROUND: Concurrent with the UNAIDS 90-90-90 and NHAS plans, the District of
Columbia (DC) launched its 90/90/90/50 plan (Plan) in 2015. The Plan proposes
that by 2020, 90% of all DC residents will know their HIV status; 90% of
residents living with HIV will be in sustained treatment; 90% of those in
treatment will reach "Viral Suppression" and DC will achieve 50% reduction of new
HIV cases. To achieve these goals targeted prevention strategies are imperative
for areas where the relative risk (RR) of not being linked to care (NL), not
retained in any care (NRC) and low viral suppression (NVSP) are highest in the
District. These outcomes are denoted in this study as poor outcomes of HIV care
continuum. This study applies the Bayesian model for RR for area specific random
effects to identify the census tracts with poor HIV care continuum outcomes for
DC. METHODS: This analysis was conducted using cases diagnosed from 2010 to 2015
and reported to the surveillance system from the District of Columbia Department
of Health (DC DOH), HIV/AIDS, Hepatitis, STD and TB Administration. The
jurisdictions of the District of Columbia is divided into 179 census tracts. It
is challenging to plot sparse data in 'small' local administrative areas,
characteristically which may have a single-count datum for each geographic area.
Bayesian methods overcome this problem by assimilating prior information to the
underlying RR, making the predicted RR estimates robust. RESULTS: The RR of NL is
higher in 59 (33%) out of 179 census tracts in DC. The RR of NRC was high in 46
(26%) of the census tracts while 52 census tracts (29%) show a high risk of
having NVSP among its residents. This study also identifies clear correlated
heterogeneity or clustering is evident in the northern tracts of the district.
CONCLUSION: The study finds census tracts with higher RR of poor linkage to care
outcomes in the District. These results will inform the Plan which aims to
increase targeted testing leading to early initiation of antiretroviral therapy.
The uniqueness of this study lies in its translational scope where surveillance
data can be used to inform local public health programs and enhance the quality
of health for the people with HIV.
PMID- 29368620
TI - Molecular profiling of lung cancer specimens and liquid biopsies using MALDI-TOF
mass spectrometry.
AB - BACKGROUND: Identification of predictive molecular alterations in lung
adenocarcinoma is essential for accurate therapeutic decisions. Although several
molecular approaches are available, a number of issues, including tumor
heterogeneity, frequent material scarcity, and the large number of loci to be
investigated, must be taken into account in selecting the most appropriate
technique. MALDI-TOF mass spectrometry (MS), which allows multiplexed genotyping,
has been adopted in routine diagnostics as a sensitive, reliable, fast, and cost
effective method. Our aim was to test the reliability of this approach in
detecting targetable mutations in non-small cell lung cancer (NSCLC). In
addition, we also analyzed low-quality samples, such as cytologic specimens, that
often, are the unique source of starting material in lung cancer cases, to test
the sensitivity of the system. METHODS: We designed a MS-based assay for testing
158 mutations in the EGFR, KRAS, BRAF, ALK, PIK3CA, ERBB2, DDR2, AKT, and MEK1
genes and applied it to 92 NSCLC specimens and 13 liquid biopsies from another
subset of NSCLC patients. We also tested the sensitivity of the method to
distinguish low represented mutations using serial dilutions of mutated DNA.
RESULTS: Our panel is able to detect the most common NSCLC mutations and the
frequency of the mutations observed in our cohort was comparable to literature
data. The assay identifies mutated alleles at frequencies of 2.5-10%. In
addition, we found that the amount of DNA template was irrelevant to efficiently
uncover mutated alleles present at high frequency. However, when using less than
10 ng of DNA, the assay can detect mutations present in at least 10% of the
alleles. Finally, using MS and a commercial kit for RT-PCR we tested liquid
biopsy from 13 patients with identified mutations in cancers and detected the
mutations in 4 (MS) and in 5 samples (RT-PCR). CONCLUSIONS: MS is a powerful
method for the routine predictive tests of lung cancer also using low quality and
scant tissues. Finally, after appropriate validation and improvement, MS could
represent a promising and cost-effective strategy for monitoring the presence and
percentage of the mutations also in non-invasive sampling.
PMID- 29368621
TI - The CSF neurofilament light signature in rapidly progressive neurodegenerative
dementias.
AB - BACKGROUND: Neurofilament light chain protein (NfL) is a surrogate biomarker of
neurodegeneration that has never been systematically tested, either alone or in
combination with other biomarkers, in atypical/rapidly progressive
neurodegenerative dementias (NDs). METHODS: Using validated, commercially
available enzyme-linked immunosorbent assay kits, we measured cerebrospinal fluid
(CSF) NfL, total tau (t-tau), phosphorylated tau, and beta-amyloid 42 in subjects
with a neuropathological or clinical diagnosis of prion disease (n = 141),
Alzheimer's disease (AD) (n = 73), dementia with Lewy bodies (DLB) (n = 35), or
frontotemporal lobar degeneration (FTLD) (n = 44). Several cases with an
atypical/rapidly progressive course were included in each group. We evaluated the
diagnostic accuracy of every CSF biomarker and their combinations by ROC curve
analyses. RESULTS: In each patient group CSF NfL showed higher levels than in
control subjects, reaching the highest values in those with Creutzfeldt-Jakob
disease (CJD). In the latter, NfL showed a divergent, subtype-specific
correlation with t-tau, depending on the degree of subcortical involvement and
disease duration. Most significantly, patients with classic sporadic CJD (sCJD)
MM1 showed a significantly lower concentration of CSF NfL than those with sCJD
MV2, despite the much higher t-tau levels and the more rapid clinical course.
High NfL levels were also detected in most atypical CJD cases, showing a disease
duration longer than 2 years and/or borderline/negative results in other CSF
assays (e.g., 14-3-3, t-tau, and prion real-time quaking-induced conversion).
Rapidly progressive/atypical cases showed higher NfL levels than typical patients
in FTLD, but not in AD or DLB. NfL showed accuracy similar to that of t-tau in
discriminating CJD from other NDs, but it had higher efficacy in differentiating
atypical forms, especially in regard to Alzheimer's disease. CONCLUSIONS: The
present data indicate that CSF NfL and t-tau levels reflect distinct
pathophysiological mechanisms of neurodegeneration and support the clinical use
of NfL as a fast screening biomarker for the differential diagnosis of
atypical/rapidly progressive NDs.
PMID- 29368622
TI - Evaluation of the Preschool Situational Self-Regulation Toolkit (PRSIST) Program
for Supporting children's early self-regulation development: study protocol for a
cluster randomized controlled trial.
AB - BACKGROUND: For children with low self-regulation in the preschool years, the
likelihood of poorer intellectual, health, wealth and anti-social outcomes in
adulthood is overwhelming. Yet this knowledge has not yielded a framework for
understanding self-regulatory change, nor generated particularly successful
methods for enacting this change. Reconciling insights from cross-disciplinary
theory, research and practice, this study seeks to implement a newly developed
program of low-cost and routine practices and activities for supporting early
self-regulatory development within preschool contexts and to evaluate its effect
on children's self-regulation, executive function and school readiness; and
educator perceived knowledge, attitudes and self-efficacy related to self
regulation. METHODS/DESIGN: The Early Start to Self-Regulation study is a cluster
randomized, controlled trial for evaluating benefits of the Preschool Situational
Self-Regulation Toolkit (PRSIST) program, when implemented by early childhood
educators, compared with routine practice. The PRSIST program combines
professional learning, adult practices, child activities and connections to the
home to support children's self-regulation development. Fifty preschool centers
in New South Wales, Australia, will be selected to ensure a range of
characteristics, namely: National Quality Standards (NQS) ratings, geographic
location and socioeconomic status. After collection of baseline child and
educator data, participating centers will then be randomly allocated to one of
two groups, stratified by NQS rating: (1) an intervention group (25 centers) that
will implement the PRSIST program; or (2) a control group (25 centers) that will
continue to engage in practice as usual. Primary outcomes at the child level will
be two measures of self-regulation: Head-Toes-Knees-Shoulders task and the PRSIST
observational assessment. Secondary outcomes at the child level will be adult
reported measures of child self-regulation, executive function and school
readiness. Outcomes at the educator level will involve a survey of their
perceived knowledge, attitudes and self-efficacy for supporting children's self
regulatory development. In all cases, data collectors will be blinded to group
allocation. DISCUSSION: This is the first randomized controlled trial of a new
program to foster early self-regulation, using low-cost practices and activities
that are aligned with early-years contexts, routines and practices. Results will
provide important information about the efficacy of this approach and evaluate
its underlying model of self-regulatory change. TRIAL REGISTRATION: Australian
New Zealand Clinical Trials Registry, ACTRN12617001568303 . Registered on 21
November 2017.
PMID- 29368624
TI - Efficacy of ursolic acid against Echinococcus granulosus in vitro and in a murine
infection model.
AB - BACKGROUND: Cystic echinococcosis is a global public health problem; however, the
drugs (albendazole and mebendazole) currently recommended by WHO for its
treatment, have limited efficacy. Therefore, novel drugs are required to provide
more choices for the treatment of this disease. METHODS: The anthelmintic effects
of ursolic acid (UA) were tested on Echinococcus granulosus protoscoleces,
germinal cells and metacestodes in vitro. The in vivo efficacy of UA was
investigated in mice following secondary infection with E. granulosus.
Furthermore, the corresponding ultrastructural damage induced by UA was evaluated
by electron microscopy. RESULTS: In vitro, 45.95 +/- 5.30% of protoscoleces were
killed by UA at 40 MUg/ml, while the growth of more than 90% of germinal cells
was inhibited by UA at 10 to 40 MUg/ml. The same effect was observed in
metacestodes 7 days after treatment with UA at 10, 20 and 40 MUg/ml, and more
than 50% of metacestodes showed loss of integrity at the end of the experiment.
In vivo, metacestode weight was significantly reduced following oral
administration of UA at 200 and 100 mg/kg (39.5 and 38.3%, respectively).
Additionally, ultrastructural damage, such as alternations in germinal cell
morphology and formation of vacuoles and lipid granules were observed in
parasites treated with UA in vitro, while detachment of the germinal layer from
the laminated layer was also seen in metacestodes in vivo. CONCLUSIONS: UA was
demonstrated to exert parasiticidal activity against E. granulosus in vitro and
in vivo, thus implicating UA as a potential anti-echinococcosis agent.
PMID- 29368623
TI - ISD3: a particokinetic model for predicting the combined effects of particle
sedimentation, diffusion and dissolution on cellular dosimetry for in vitro
systems.
AB - BACKGROUND: The development of particokinetic models describing the delivery of
insoluble or poorly soluble nanoparticles to cells in liquid cell culture systems
has improved the basis for dose-response analysis, hazard ranking from high
throughput systems, and now allows for translation of exposures across in vitro
and in vivo test systems. Complimentary particokinetic models that address
processes controlling delivery of both particles and released ions to cells, and
the influence of particle size changes from dissolution on particle delivery for
cell-culture systems would help advance our understanding of the role of
particles and ion dosimetry on cellular toxicology. We developed ISD3, an
extension of our previously published model for insoluble particles, by deriving
a specific formulation of the Population Balance Equation for soluble particles.
RESULTS: ISD3 describes the time, concentration and particle size dependent
dissolution of particles, their delivery to cells, and the delivery and uptake of
ions to cells in in vitro liquid test systems. We applied the model to calculate
the particle and ion dosimetry of nanosilver and silver ions in vitro after
calibration of two empirical models, one for particle dissolution and one for ion
uptake. Total media ion concentration, particle concentration and total cell
associated silver time-courses were well described by the model, across 2
concentrations of 20 and 110 nm particles. ISD3 was calibrated to dissolution
data for 20 nm particles as a function of serum protein concentration, but
successfully described the media and cell dosimetry time-course for both
particles at all concentrations and time points. We also report the finding that
protein content in media affects the initial rate of dissolution and the
resulting near-steady state ion concentration in solution for the systems we have
studied. CONCLUSIONS: By combining experiments and modeling, we were able to
quantify the influence of proteins on silver particle solubility, determine the
relative amounts of silver ions and particles in exposed cells, and demonstrate
the influence of particle size changes resulting from dissolution on particle
delivery to cells in culture. ISD3 is modular and can be adapted to new
applications by replacing descriptions of dissolution, sedimentation and boundary
conditions with those appropriate for particles other than silver.
PMID- 29368625
TI - Perioperative fluid management in kidney transplantation: a black box.
AB - The incidence of delayed graft function in patients undergoing kidney
transplantation remains significant. Optimal fluid therapy has been shown to
decrease delayed graft function after renal transplantation. Traditionally, the
perioperative volume infusion regimen in this patient population has been guided
by central venous pressure as an estimation of the patient's volume status and
mean arterial pressure, but this is based on sparse evidence from mostly
retrospective observational studies. Excessive volume infusion to the point of no
further fluid responsiveness can damage the endothelial glycocalyx and is no
longer considered to be the best approach. However, achievement of adequate flow
to maintain sufficient tissue perfusion without maximization of cardiac filling
remains a challenge. Novel minimally invasive technologies seem to reliably
assess volume responsiveness, heart function and perfusion adequacy. Prospective
comparative clinical studies are required to better understand the use of dynamic
analyses of flow parameters for adequate fluid management in kidney transplant
recipients. We review perioperative fluid assessment techniques and discuss
conventional and novel monitoring strategies in the kidney transplant recipient.
PMID- 29368626
TI - BRIP1 loss-of-function mutations confer high risk for familial ovarian cancer,
but not familial breast cancer.
AB - BACKGROUND: Germline mutations in the BRIP1 gene have been described as
conferring a moderate risk for ovarian cancer (OC), while the role of BRIP1 in
breast cancer (BC) pathogenesis remains controversial. METHODS: To assess the
role of deleterious BRIP1 germline mutations in BC/OC predisposition, 6341 well
characterized index patients with BC, 706 index patients with OC, and 2189
geographically matched female controls were screened for loss-of-function (LoF)
mutations and potentially damaging missense variants. All index patients met the
inclusion criteria of the German Consortium for Hereditary Breast and Ovarian
Cancer for germline testing and tested negative for pathogenic BRCA1/2 variants.
RESULTS: BRIP1 LoF mutations confer a high OC risk in familial index patients
(odds ratio (OR) = 20.97, 95% confidence interval (CI) = 12.02-36.57, P < 0.0001)
and in the subgroup of index patients with late-onset OC (OR = 29.91, 95% CI =
14.99-59.66, P < 0.0001). No significant association of BRIP1 LoF mutations with
familial BC was observed (OR = 1.81 95% CI = 1.00-3.30, P = 0.0623). In the
subgroup of familial BC index patients without a family history of OC there was
also no apparent association (OR = 1.42, 95% CI = 0.70-2.90, P = 0.3030). In 1027
familial BC index patients with a family history of OC, the BRIP1 mutation
prevalence was significantly higher than that observed in controls (OR = 3.59,
95% CI = 1.43-9.01; P = 0.0168). Based on the negative association between BRIP1
LoF mutations and familial BC in the absence of an OC family history, we conclude
that the elevated mutation prevalence in the latter cohort was driven by the
occurrence of OC in these families. Compared with controls, predicted damaging
rare missense variants were significantly more prevalent in OC (P = 0.0014) but
not in BC (P = 0.0693) patients. CONCLUSIONS: To avoid ambiguous results, studies
aimed at assessing the impact of candidate predisposition gene mutations on BC
risk might differentiate between BC index patients with an OC family history and
those without. In familial cases, we suggest that BRIP1 is a high-risk gene for
late-onset OC but not a BC predisposition gene, though minor effects cannot be
excluded.
PMID- 29368627
TI - Identification of membrane-associated proteins with pathogenic potential
expressed by Corynebacterium pseudotuberculosis grown in animal serum.
AB - OBJECTIVE: Previous works defining antigens that might be used as vaccine targets
against Corynebacterium pseudotuberculosis, which is the causative agent of sheep
and goat caseous lymphadenitis, have focused on secreted proteins produced in a
chemically defined culture media. Considering that such antigens might not
reflect the repertoire of proteins expressed during infection conditions, this
experiment aimed to investigate the membrane-associated proteins with pathogenic
potential expressed by C. pseudotuberculosis grown directly in animal serum.
RESULTS: Its membrane-associated proteins have been extracted using an organic
solvent enrichment methodology, followed by LC-MS/MS and bioinformatics analysis
for protein identification and classification. The results revealed 22 membrane
associated proteins characterized as potentially pathogenic. An interaction
network analysis indicated that the four potentially pathogenic proteins ciuA,
fagA, OppA4 and OppCD were biologically connected within two distinct network
pathways, which were both associated with the ABC Transporters KEGG pathway.
These results suggest that C. pseudotuberculosis pathogenesis might be associated
with the transport and uptake of nutrients; other seven identified potentially
pathogenic membrane proteins also suggest that pathogenesis might involve events
of bacterial resistance and adhesion. The proteins herein reported potentially
reflect part of the protein repertoire expressed during real infection conditions
and might be tested as vaccine antigens.
PMID- 29368628
TI - Extramammary Paget disease of the vulva: immunohistochemical analysis of
neoangiogenesis and epithelial-mesenchymal transition markers expression.
AB - BACKGROUND: Extra-mammary Paget's disease of the vulva (EMPDV) is an infrequent
chronic disease that often recurs. The aim of the study was to assess the
presence of neoangiogenesis and the expression of epithelial-mesenchymal
transition (EMT) markers in EMPDV, and their potential correlation with stromal
invasion. METHODS: All the women consecutively treated for EMPDV at our
Institute, between January 2011 and December 2014, were studied for
neoangiogenesis, analysed by microvessel density (MVD) using antibodies against
CD31 and CD34. Immunohistochemical expression of E- / N-cadherin, beta-catenin
and SLUG was also evaluated. In each slide, three fields with the highest number
of capillaries and small venules were identified at low power. In these three
fields, the highest vessel density (HVD) and the average vessel density (AVD) at
200* and 400* magnification were counted. Immunohistochemical reactions for non
vascular markers were semiquantitatively scored by two pathologists, using a
three-tier scale. RESULTS: Seventeen cases of EMPDV (including 10 cases of
invasive disease) were included. The AVD at 200* and 400* and the HVD at 400*
magnification were significantly associated with invasive EMPDV (p = 0.02, 0.03,
0.03 respectively). No significant correlation between MVD, EMT-markers
expression and risk of recurrence was detected. CONCLUSION: These results
indicate that MVD, as a measure of neoangiogenesis, may be associated with
histological progression of EMPDV. EMT could also be linked to an invasive
potential of EMPDV but larger series are required to confirm this hypothesis.
PMID- 29368630
TI - Applying the ROBINS-I tool to natural experiments: an example from public health.
AB - BACKGROUND: A new tool to assess Risk of Bias In Non-randomised Studies of
Interventions (ROBINS-I) was published in Autumn 2016. ROBINS-I uses the Cochrane
approved risk of bias (RoB) approach and focusses on internal validity. As such,
ROBINS-I represents an important development for those conducting systematic
reviews which include non-randomised studies (NRS), including public health
researchers. We aimed to establish the applicability of ROBINS-I using a group of
NRS which have evaluated non-clinical public health natural experiments. METHODS:
Five researchers, all experienced in critical appraisal of non-randomised
studies, used ROBINS-I to independently assess risk of bias in five studies which
had assessed the health impacts of a domestic energy efficiency intervention.
ROBINS-I assessments for each study were entered into a database and checked for
consensus across the group. Group discussions were used to identify reasons
underpinning lack of consensus for specific questions and bias domains. RESULTS:
ROBINS-I helped to systematically articulate sources of bias in NRS. However, the
lack of consensus in assessments for all seven bias domains raised questions
about ROBINS-I's reliability and applicability for natural experiment studies.
The two RoB domains with least consensus were selection (Domain 2) and
performance (Domain 4). Underlying the lack of consensus were difficulties in
applying an intention to treat or per protocol effect of interest to the studies.
This was linked to difficulties in determining whether the intervention status
was classified retrospectively at follow-up, i.e. post hoc. The overall risk of
bias ranged from moderate to critical; this was most closely linked to the
assessment of confounders. CONCLUSION: The ROBINS-I tool is a conceptually
rigorous tool which focusses on risk of bias due to the counterfactual.
Difficulties in applying ROBINS-I may be due to poor design and reporting of
evaluations of natural experiments. While the quality of reporting may improve in
the future, improved guidance on applying ROBINS-I is needed to enable existing
evidence from natural experiments to be assessed appropriately and consistently.
We hope future refinements to ROBINS-I will address some of the issues raised
here to allow wider use of the tool.
PMID- 29368629
TI - Evidence of porcine epidemic diarrhea virus (PEDV) shedding in semen from
infected specific pathogen-free boars.
AB - In 2013, PED emerged for the first time in the United States (US). The porcine
epidemic diarrhea virus (PEDV) spread quickly throughout North America. Infection
with PEDV causes watery diarrhea and up to 100% mortality in piglets,
particularly for highly pathogenic non-InDel strains circulating in the US. PEDV
is mainly transmitted by the fecal-oral route. Transmission via the venereal
route has been suspected but not previously investigated. The aim of the study
was to determine if PEDV could be detected in semen from infected specific
pathogen-free (SPF) boars inoculated with a PEDV US non-InDel strain suggesting
venereal transmission may occur. Two boars orally inoculated with PEDV showed
clinical signs and virus shedding in feces. Transient presence of the PEDV genome
was detected by RT-qPCR in the seminal (5.06 * 102 to 2.44 * 103 genomic
copies/mL) and sperm-rich fraction of semen (5.64 * 102 to 3.40 * 104 genomic
copies/mL) and a longer duration of viral shedding was observed in the sperm-rich
fraction. The evidence of PEDV shedding in semen raises new questions in term of
disease spread within the pig population with the use of potentially contaminated
semen.
PMID- 29368631
TI - Response to 'Increasing value and reducing waste in data extraction for
systematic reviews: tracking data in data extraction forms'.
AB - ?: This is a response to a Letter. Data abstraction is a time-consuming and error
prone systematic review task. Shokraneh and Adams categorize available techniques
for tracking data during data abstraction into three methods: simple annotation,
descriptive addressing, and Cartesian coordinate system. While we agree with the
categorization of the techniques, we disagree with the authors' statement that
descriptive addressing is a PDF-independent method, i.e., any sort of descriptive
addressing must reference a specific version of PDF file and not just any PDF of
said report. Different versions of PDFs of the same report might place text and
tables on different locations of the same page and/or on different pages.
Consequently, it is our opinion that any kind of source location information
should be accompanied by the source or linked by an intermediary service such as
the Data Abstraction Assistant (DAA).
PMID- 29368632
TI - Serum suPAR and syndecan-4 levels predict severity of community-acquired
pneumonia: a prospective, multi-centre study.
AB - BACKGROUND: Community-acquired pneumonia (CAP) is a major cause of death
worldwide and occurs with variable severity. There are few studies focused on the
expression of soluble urokinase-type plasminogen activator receptor (suPAR) and
syndecan-4 in patients with CAP. METHODS: A prospective, multi-centre study was
conducted between January 2014 and December 2016. A total of 103 patients with
severe CAP (SCAP), 149 patients with non-SCAP, and 30 healthy individuals were
enrolled. Clinical data were recorded for all enrolled patients. Serum suPAR and
syndecan-4 levels were determined by quantitative enzyme-linked immunosorbent
assay. The t test and Mann-Whitney U test were used to compare between two
groups; one-way analysis of variance and the Kruskal-Wallis test were used to
compare multiple groups. Correlations were assessed using Pearson and Spearman
tests. Area under the curve (AUCs), optimal threshold values, sensitivity, and
specificity were calculated. Survival curves were constructed and compared by log
rank test. Regression analyses assessed the effect of multiple variables on 30
day survival. RESULTS: suPAR levels increased in all patients with CAP,
especially in severe cases. Syndecan-4 levels decreased in patients with CAP,
especially in non-survivors. suPAR and syndecan-4 levels were positively and
negatively correlated with severity scores, respectively. suPAR exhibited high
accuracy in predicting SCAP among patients with CAP with an AUC of 0.835 (p <
0.001). In contrast, syndecan-4 exhibited poor diagnostic value for predicting
SCAP (AUC 0.550, p = 0.187). The AUC for predicting mortality in patients with
SCAP was 0.772 and 0.744 for suPAR and syndecan-4, respectively; the respective
prediction threshold values were 10.22 ng/mL and 6.68 ng/mL. Addition of both
suPAR and syndecan-4 to the Pneumonia Severity Index significantly improved their
prognostic accuracy, with an AUC of 0.885. Regression analysis showed that suPAR
>=10.22 ng/mL and syndecan-4 <= 6.68 ng/mL were reliable independent markers for
prediction of 30-day survival. CONCLUSION: suPAR exhibits high accuracy for both
diagnosis and prognosis of SCAP. Syndecan-4 can reliably predict mortality in
patients with SCAP. Addition of both suPAR and syndecan-4 to a clinical scoring
method could improve prognostic accuracy. TRIAL REGISTRATION: ClinicalTrials.gov,
NCT03093220 . Registered on 28 March 2017 (retrospectively registered).
PMID- 29368633
TI - Implementing a larviciding efficacy or effectiveness control intervention against
malaria vectors: key parameters for success.
AB - During the last decade, scale-up of vector control tools such as long-lasting
insecticidal nets (LLINs) and indoor residual spraying (IRS) contributed to the
reduction of malaria morbidity and mortality across the continent. Because these
first line interventions are now affected by many challenges such as insecticide
resistance, change in vector feeding and biting behaviour, outdoor malaria
transmission and adaptation of mosquito to polluted environments, the World
Health Organization recommends the use of integrated control approaches to
improve, control and elimination of malaria. Larviciding is one of these
approaches which, if well implemented, could help control malaria in areas where
this intervention is suitable. Unfortunately, important knowledge gaps remain in
its successful application. The present review summarises key parameters that
should be considered when implementing larviciding efficacy or effectiveness
trials.
PMID- 29368634
TI - Binding and entry of peste des petits ruminants virus into caprine endometrial
epithelial cells profoundly affect early cellular gene expression.
AB - Peste des petits ruminants virus (PPRV), the etiological agent of peste des
petits ruminants (PPR), causes an acute or subacute disease in small ruminants.
Although abortion is observed in an unusually large proportion of pregnant goats
during outbreaks of PPR, the pathogenic mechanism underlying remains unclear.
Here, the gene expression profile of caprine endometrial epithelial cells (EECs)
infected with PPRV Nigeria 75/1 was determined by DNA microarray to investigate
the cellular response immediately after viral entry. The microarray analysis
revealed that a total of 146 genes were significantly dysregulated by PPRV
internalization within 1 h post-infection (hpi). Of these, 85 genes were
upregulated and 61 genes were downregulated. Most of these genes, including
NFKB1A, JUNB, and IL1A, have not previously been reported in association with
PPRV infection in goats. Following viral replication (24 hpi), the expression of
307 genes were significantly upregulated and that of 261 genes were
downregulated. The data for the genes differentially expressed in EECs were
subjected to a time sequence profile analysis, gene network analysis and pathway
analysis. The gene network analysis showed that 13 genes (EIF2AK3, IL10, TLR4,
ZO3, NFKBIB, RAC1, HSP90AA1, SMAD7, ARG2, JUNB, ZFP36, APP, and IL1A) were
located in the core of the network. We clearly demonstrate that PPRV infection
upregulates the expression of nectin-4 after 1 hpi, which peaked at 24 hpi in
EECs. In conclusion, this study demonstrates the early cellular gene expression
in the caprine endometrial epithelial cells after the binding and entry of PPRV.
PMID- 29368635
TI - Cerebral metabolic effects of strict versus conventional glycaemic targets
following severe traumatic brain injury.
AB - BACKGROUND: Optimal glycaemic targets for patients with severe traumatic brain
injury remain unclear. The primary objective of this microdialysis study was to
compare cerebral metabolism with strict versus conventional glycaemic control.
METHODS: We performed a prospective single-centre randomised controlled within
subject crossover study of 20 adult patients admitted to an academic
neurointensive care unit with severe traumatic brain injury. Patients underwent
randomised, consecutive 24-h periods of strict (4-7 mmol/L; 72-126 mg/dl) and
conventional (<10 mmol/L; 180 mg/dl) glycaemic control with microdialysis
measurements performed hourly. The first 12 h of each study period was designated
as a 'washout' period, with the subsequent 12 h being the period of interest.
RESULTS: Cerebral glucose was lower during strict glycaemia than with
conventional control (mean 1.05 [95% CI 0.58-1.51] mmol/L versus 1.28 [0.81-1.74]
mmol/L; P = 0.03), as was lactate (3.07 [2.44-3.70] versus 3.56 [2.81-4.30]; P <
0.001). There were no significant differences in pyruvate or the lactate/pyruvate
ratio between treatment phases. Strict glycaemia increased the frequency of low
cerebral glucose (< 0.8 mmol/L; OR 1.91 [95% CI 1.01-3.65]; P < 0.05); however,
there were no differences in the frequency of critically low glucose (< 0.2
mmol/L) or critically elevated lactate/pyruvate ratio between phases.
CONCLUSIONS: Compared with conventional glycaemic targets, strict blood glucose
control was associated with lower mean levels of cerebral glucose and an
increased frequency of abnormally low glucose levels. These data support
conventional glycaemic targets following traumatic brain injury. TRIAL
REGISTRATION: ISRCTN, ISRCTN19146279 . Retrospectively registered on 2 May 2014.
PMID- 29368636
TI - A multi-center, randomized controlled clinical trial, cost-effectiveness and
qualitative research of electroacupuncture with usual care for patients with non
acute pain after back surgery: study protocol for a randomized controlled trial.
AB - BACKGROUND: Although pain after back surgery is known to be difficult to control,
various treatment options are available to patients and physicians. A protocol
for a confirmatory randomized controlled trial (RCT) on pain and function after
back surgery was designed based on the results of a pilot trial. The aim of this
study is to compare the effectiveness and safety of electroacupuncture (EA) with
usual care (UC) versus UC alone on pain control and functional improvement after
back surgery. METHODS/DESIGN: This study is a multi-center, randomized, assessor
blinded trial with an active control conducted in conjunction with a cost
effectiveness analysis and qualitative research. Participants with non-acute low
back pain with or without leg pain after back surgery who have a Visual Analogue
Scale (VAS) pain intensity score >= 50 mm will be randomly assigned to either the
EA with UC group (n = 54) or the UC group (n = 54). Following randomization,
participants in both groups will receive the same UC treatment twice a week for a
four-week treatment period. Participants assigned to the EA with UC group will
additionally receive EA twice a week for the same four-week period. The primary
outcome measure will be assessed using a VAS pain intensity score for low back
pain. The secondary outcomes will include the Oswestry Disability Index, EuroQol
5-Dimension score, and drug intake. The primary and secondary outcomes will be
measured at one, four, and eight weeks post randomization. DISCUSSION: The
results of this study will provide evidence of the effectiveness and cost
effectiveness of EA in managing postoperative pain following back surgery. In
addition, the qualitative research results will help improve the quality of
integrative medical interventions. TRIAL REGISTRATION: Clinical Research
Information Service (CRIS), Republic of Korea, KCT0001939 . Registered on 8 June
2016.
PMID- 29368637
TI - Global avian influenza outbreaks 2010-2016: a systematic review of their
distribution, avian species and virus subtype.
AB - BACKGROUND: We conducted a systematic review to investigate avian influenza
outbreaks and to explore their distribution, upon avian influenza subtype,
country, avian species and other relating details as no comprehensive
epidemiological analysis of global avian influenza outbreaks from 2010 to 2016
exists. METHODS: Data was collated from four databases (Scopus, Web of Science
Core Correlation, PubMed and SpringerLink electronic journal) and a global
electronic reporting system (ProMED mail), using PRISMA and ORION systematic
approaches. One hundred seventy three avian influenza virus outbreaks were
identified and included in this review, alongside 198 ProMED mail reports.
RESULTS: Our research identified that the majority of the reported outbreaks
occurred in 2016 (22.2%). These outbreaks were located in China (13.6%) and
referred to commercial poultry farms (56.1%). The most common subtype reported in
these outbreaks was H5N1 (38.2%), while almost 82.5% of the subtypes were highly
pathogenic avian influenza viruses. There were differences noticed between ProMED
mail and the scientific literature screened. CONCLUSIONS: Avian influenza virus
has been proved to be able to contaminate all types of avian species, including
commercial poultry farms, wild birds, backyard domestic animals, live poultry,
game birds and mixed poultry. The study focused on wet markets, slaughterhouses,
wild habitats, zoos and natural parks, in both developed and developing
countries. The impact of avian influenza virus seems disproportionate and could
potentially burden the already existing disparities in the public health domain.
Therefore, a collaboration between all the involved health sectors is considered
to be more than necessary.
PMID- 29368638
TI - Biomarkers of immunotherapy in urothelial and renal cell carcinoma: PD-L1, tumor
mutational burden, and beyond.
AB - Immune checkpoint inhibitors targeting the PD-1 pathway have greatly changed
clinical management of metastatic urothelial carcinoma and metastatic renal cell
carcinoma. However, response rates are low, and biomarkers are needed to predict
for treatment response. Immunohistochemical quantification of PD-L1 was developed
as a promising biomarker in early clinical trials, but many shortcomings of the
four different assays (different antibodies, disparate cellular populations, and
different thresholds of positivity) have limited its clinical utility. Further
limitations include the use of archival specimens to measure this dynamic
biomarker. Indeed, until PD-L1 testing is standardized and can consistently
predict treatment outcome, the currently available PD-L1 assays are not
clinically useful in urothelial and renal cell carcinoma. Other more promising
biomarkers include tumor mutational burden, profiles of tumor infiltrating
lymphocytes, molecular subtypes, and PD-L2. Potentially, a composite biomarker
may be best but will need prospective testing to validate such a biomarker.
PMID- 29368640
TI - Co-localization of lymphoid aggregates and lymphatic networks in nose- (NALT) and
lacrimal duct-associated lymphoid tissue (LDALT) of mice.
AB - BACKGROUND: The lymphatic vascular pattern in the head of mice has rarely been
studied, due to problems of sectioning and immunostaining of complex bony
structures. Therefore, the association of head lymphoid tissues with the
lymphatics has remained unknown although the mouse is the most often used species
in immunology. RESULTS: Here, we studied the association of nasal and
nasolacrimal duct lymphatics with lymphoid aggregates in 14-day-old and 2-month
old mice. We performed paraffin sectioning of whole, decalcified heads, and
immunostaining with the lymphatic endothelial cell-specific antibodies Lyve-1 and
Podoplanin. Most parts of the nasal mucous membrane do not contain any
lymphatics. Only the region of the inferior turbinates contains lymphatic
networks, which are connected to those of the palatine. Nose-associated lymphoid
tissue (NALT) is restricted to the basal parts of the nose, which contain
lymphatics. NALT is continued occipitally and can be found at both sides along
the sphenoidal sinus, again in close association with lymphatic networks. Nasal
lymphatics are connected to those of the ocular region via a lymphatic network
along the nasolacrimal duct (NLD). By this means, lacrimal duct-associated
lymphoid tissue (LDALT) has a dense supply with lymphatics. CONCLUSIONS: NALT and
LDALT play a key role in the immune system of the mouse head, where they function
as primary recognition sites for antigens. Using the dense lymphatic networks
along the NLD described in this study, these antigens reach lymphatics near the
palatine and are further drained to lymph nodes of the head and neck region. NALT
and LDALT develop in immediate vicinity of lymphatic vessels. Therefore, we
suggest a causative connection of lymphatic vessels and the development of
lymphoid tissues.
PMID- 29368639
TI - Role of HIV in the desire of procreation and motherhood in women living with HIV
in Spain: a qualitative approach.
AB - BACKGROUND: Improved antiretroviral treatments and decrease in vertical
transmission of HIV have led to a higher number of women living with HIV to
consider childbearing. However, stigma and social rejection result in specific
challenges that HIV positive women with procreation intentions have to face with.
Our objective was to in depth analyse elements shaping their desire for
procreation and specifically investigate the impact of HIV. METHODS: A
qualitative study was conducted through open interviews with 20 women living with
HIV between 18 and 45 years of age, from the Spanish AIDS Research Network Cohort
(CoRIS). Interviews were audio-recorded and transcribed. A content analysis was
performed. RESULTS: HIV diagnosis is a turning point in women's sexual and
emotional life that is experienced traumatically. HIV diagnosis is usually
associated with the fear of an immediate death and the idea of social isolation.
At this moment, women temporarily reject future motherhood or having a sexual
life. HIV status is only disclosed to the closed social circle and partner
support is essential in HIV diagnosis assimilation process. Health professionals
provide information on assisted reproductive technology and on how to minimize
risk of partner HIV transmission. Most of barriers for procreation acknowledged
by women are not related to HIV. However, women fear vertical transmission and
experience other barriers derived from HIV infection. In this context, pregnancy
makes women feel themselves as "normal women" despite HIV. Motherhood is
considered an element of compensation that helps them to cope with HIV diagnosis.
All these elements make health professionals key actors: they provide information
and support after HIV diagnosis. CONCLUSIONS: Barriers and drivers for
procreation are similar among HIV positive women and general population. However,
stigma and discrimination linked with HIV weigh in HIV positive women decision of
motherhood. In this context, it is necessary to provide these women with the
necessary counselling, guidance and resources to take decisions about procreation
properly informed.
PMID- 29368641
TI - Factors associated with the use of dietary supplements and over-the-counter
medications in Japanese elderly patients.
AB - BACKGROUND: The use of dietary supplements and over-the-counter (OTC) drugs is
increasing, and there is adequate concern about potential harmful effects.
However, there are limited reports on the concurrent use of nonprescription
medications with prescription medications in elderly patients. Therefore, this
study was conducted to describe the use of dietary supplements and OTC drugs, and
to identify predictors for their use in elderly patients using medications
prescribed for chronic diseases. METHODS: This was a cross-sectional study that
enrolled 729 patients aged >=65 years with chronic diseases, between January and
March 2016. Data regarding socio-demographic status, medical condition, number of
prescriptions, use of nonprescription medications, and psychological status were
collected using a self-administered questionnaire and by review of medical
records. Data regarding use of dietary supplements and OTC drugs were analyzed
using descriptive statistics. Logistic regression analysis was applied to
investigate factors associated with the use of dietary supplements and OTC drugs.
RESULTS: The regular use of nonprescription drugs was reported by 32.5% of
patients. Vitamins were the most commonly used dietary supplements in elderly
patients. Female sex, higher educational qualifications, and good economic status
were identified as predictors for the use of nonprescription medications.
Concurrent use of nonprescription medications with more than 5 prescription
medications was detected in 12.2% of participants. The disclosure rate of the use
of nonprescription medications by patients to the physician was 30.3%.
CONCLUSION: The use of dietary supplements and OTC drugs was common in elderly
patients with chronic diseases, and its use is associated with sex, education,
and economic status. General practitioners (GPs) need to recognize the potential
use of nonprescription medications, considering that polypharmacy was common and
disclosure rate was low in this study.
PMID- 29368642
TI - Systematic reporting to improve the emergency medical response to major
incidents: a pilot study.
AB - BACKGROUND: Major incidents affect us globally, and are occurring with increasing
frequency. There is still no evidence-based standard regarding the best medical
emergency response to major incidents. Currently, reports on major incidents are
non-standardised and variable in quality. This pilot study examines the first
systematic reports from a consensus-based, freely accessible database, aiming to
identify how descriptive analysis of reports submitted to this database can be
used to improve the major incident response. METHODS: Majorincidentreporting.net
is a website collecting reports on major incidents using a standardised template.
Data from these reports were analysed to compare the emergency response to each
incident. RESULTS: Data from eight reports showed that effective triage by
experienced individuals and the use of volunteers for transport were notable
successes of the major incident response. Inadequate resources, lack of a common
triage system, confusion over command and control and failure of communication
were reported failures. The following trends were identified: Fires had the
slowest times for several aspects of the response and the only three countries to
have a single dialling number for all three emergency services had faster
response times. Helicopter Emergency Medical services (HEMS) were used for
transport and treatment in rural locations and for triage and treatment in urban
locations. In two incidents, a major incident was declared before the arrival of
the first Emergency Medical Services (EMS) personnel. CONCLUSION: This study
shows that we can obtain relevant data from major incidents by using systematic
reporting. Though the sample size from this pilot study is not large enough to
draw any specific conclusions it illustrates the potential for future analyses.
Identified lessons could be used to improve the emergency medical response to
major incidents.
PMID- 29368643
TI - Claudin expression during early postnatal development of the murine cochlea.
AB - BACKGROUND: Claudins are major components of tight junctions, which form the
paracellular barrier between the cochlear luminal and abluminal fluid
compartments that supports the large transepithelial voltage difference and the
large concentration differences of K+, Na+ and Ca2+ needed for normal cochlear
function. Claudins are a family of more than 20 subtypes, but our knowledge about
expression and localization of each subtype in the cochlea is limited. RESULTS:
We examined by quantitative RT-PCR the expression of the mRNA of 24 claudin
isoforms in mouse cochlea during postnatal development and localized the
expression in separated fractions of the cochlea. Transcripts of 21 claudin
isoforms were detected at all ages, while 3 isoforms (Cldn-16, - 17 and - 18)
were not detected. Claudins that increased expression during development include
Cldn-9, - 13, - 14, - 15, and -19v2, while Cldn-6 decreased. Those that do not
change expression level during postnatal development include Cldn-1, - 2, - 3, -
4, - 5, - 7, - 8, -10v1, -10v2, - 11, - 12, -19v1, - 20, - 22, and - 23. Our
investigation revealed unique localization of some claudins. In particular, Cldn
13 expression rapidly increases during early development and is mainly expressed
in bone but only minimally in the lateral wall (including stria vascularis) and
in the medial region (including the organ of Corti). No statistically significant
changes in expression of Cldn-11, - 13, or - 14 were found in the cochlea of
Slc26a4 -/- mice compared to Slc26a4 +/- mice. CONCLUSIONS: We demonstrated
developmental patterns of claudin isoform transcript expression in the murine
cochlea. Most of the claudins were associated with stria vascularis and organ of
Corti, tissue fractions rich in tight junctions. However, this study suggests a
novel function of Cldn-13 in the cochlea, which may be linked to cochlear bone
marrow maturation.
PMID- 29368644
TI - Differences in do-not-resuscitate orders, hospice care utilization, and late
referral to hospice care between cancer and non-cancer decedents in a tertiary
Hospital in Taiwan between 2010 and 2015: a hospital-based observational study.
AB - BACKGROUND: In 2009, the Taiwanese national health insurance system substantially
expanded hospice coverage for terminal cancer patients to include patients with
end-stage brain, dementia, heart, lung, liver, and kidney diseases. This study
aimed to evaluate differences in do-not-resuscitate (DNR) status and hospice care
utilization between terminal cancer patients and advanced non-cancer patients
after the policy change. METHODS: Data were obtained from the Death and Hospice
Palliative Care Database of Taipei Veterans General Hospital in Taiwan. The
differences between cancer and non-cancer patients who died in this hospital
between 2010 and 2015 were analyzed in terms of patient characteristics, rates of
DNR orders, hospice care utilization, number of living days after DNR order,
duration of survival (DOS) after hospice care enrollment, and the rate of late
referral to hospice care. RESULTS: Data for 8459 patients who died of cancer and
major non-cancer terminal diseases were included. DNR order rate, hospice care
utilization rate, and DOS were significantly higher for cancer patients than for
non-cancer patients (p < 0.001, p < 0.001, and p < 0.001, respectively). The
number of living days after DNR order and the late referral rate were
significantly higher for non-cancer decedents than for cancer decedents (p <
0.001 and p < 0.001, respectively). From 2010 to 2015, there were significantly
increasing trends in the hospice utilization rate, number of living days after
DNR order, and rate of late referral for the cancer group (p < 0.001, p = 0.001,
and p < 0.001, respectively). For the non-cancer group, there were significantly
increasing trends in the rate of DNR order, hospice utilization rate, and number
of living days after DNR order (p < 0.001, p < 0.001, and p = 0.029,
respectively). CONCLUSIONS: Further guidelines should be developed to help
clinicians to promptly refer terminal cancer and non-cancer patients to hospice
care. Considering the lower hospice utilization rate and the growing need for
hospice care among terminal non-cancer patients, policymakers should consider how
to improve the relevant levels of professional care to enhance the accessibility
and availability of hospice care in Taiwan.
PMID- 29368645
TI - Patients admitted to hospital after suicide attempt with violent methods compared
to patients with deliberate self-poisoning -a study of background variables,
somatic and psychiatric health and suicidal behavior.
AB - BACKGROUND: In Norway, there are about 550 suicides recorded each year. The
number of suicide attempts is 10-15 times higher. Suicide attempt is a major risk
factor for suicide, in particular when violent methods are used. Suicide attempts
with violent methods have hardly been studied in Norway. This study describes
demographic, psychiatric and somatic health in patients admitted to somatic
hospitals in Norway after suicide attempt by violent methods compared with
suicide attempters using deliberate self-poisoning (DSP). METHODS: Patients
admitted to somatic hospital after suicide attempt aged > 18 years were included
in a prospective cohort study, enrolled from December 2010 to April 2015.
Demographics (gender, age, marital and living condition, educational and
employment status), previous somatic and psychological health were registered.
Patients who had used violent methods were compared with patients admitted after
suicide attempt by DSP. RESULTS: The study included 80 patients with violent
methods and 81 patients with DSP (mean age both groups 42 yrs.). Violent methods
used were cutting (34%), jumping from heights (32%), hanging (14%), others (10%),
shooting (7%) and drowning (4%). Patients with violent methods had more often
psychosis than patients admitted with DSP (14% vs 4%, p < 0.05), less anxiety
disorders (4% vs 19%, p < 0.01) and less affective disorders (21% vs. 36%, p <
0.05). There were no significant differences between the numbers of patients who
received psychiatric treatment at the time of the suicide attempt (violent 55%
versus DSP 48%) or reported previous suicide attempt, 58% in patients with
violent methods and 47% in DSP. Patients with violent methods stayed longer in
hospital (14.3 (mean 8.3-20.3) vs. 2.3 (mean 1.6-3.1) days, p < 0.001), stayed
longer in intensive care unit (5 days vs. 0.5 days, p < 0.001) and were in need
of longer mechanical ventilation (1.4 vs 0.1 days, p < 0.001). CONCLUSIONS:
Patients with violent methods had more often psychosis, less anxiety disorders
and affective disorders than patients with DSP. Psychiatric treatment before the
attempt and previous suicide attempt was not significantly different between the
groups and about half of the patients in both groups were in psychiatric
treatment at the time of the suicide attempt.
PMID- 29368646
TI - PHENOS: a high-throughput and flexible tool for microorganism growth phenotyping
on solid media.
AB - BACKGROUND: Microbial arrays, with a large number of different strains on a
single plate printed with robotic precision, underpin an increasing number of
genetic and genomic approaches. These include Synthetic Genetic Array analysis,
high-throughput Quantitative Trait Loci (QTL) analysis and 2-hybrid techniques.
Measuring the growth of individual colonies within these arrays is an essential
part of many of these techniques but is useful for any work with arrays.
Measurement is typically done using intermittent imagery fed into complex image
analysis software, which is not especially accurate and is challenging to use
effectively. We have developed a simple and fast alternative technique that uses
a pinning robot and a commonplace microplate reader to continuously measure the
thickness of colonies growing on solid agar, complemented by a technique for
normalizing the amount of cells initially printed to each spot of the array in
the first place. We have developed software to automate the process of combining
multiple sets of readings, subtracting agar absorbance, and visualizing colony
thickness changes in a number of informative ways. RESULTS: The "PHENOS" pipeline
(PHENotyping On Solid media), optimized for Saccharomyces yeasts, produces highly
reproducible growth curves and is particularly sensitive to low-level growth. We
have empirically determined a formula to estimate colony cell count from an
absorbance measurement, and shown this to be comparable with estimates from
measurements in liquid. We have also validated the technique by reproducing the
results of an earlier QTL study done with conventional liquid phenotyping, and
found PHENOS to be considerably more sensitive. CONCLUSIONS: "PHENOS" is a cost
effective and reliable high-throughput technique for quantifying growth of yeast
arrays, and is likely to be equally very useful for a range of other types of
microbial arrays. A detailed guide to the pipeline and software is provided with
the installation files at https://github.com/gact/phenos .
PMID- 29368647
TI - MIR-708 promotes phagocytosis to eradicate T-ALL cells by targeting CD47.
AB - Immunoevasion is a hallmark of cancer progression, and immune checkpoint blockade
has emerged as a promising strategy for cancer treatment. microRNAs (miRNAs) are
important negative regulators of gene expression in the immune system. Here, we
demonstrate that miR-708 regulates CD47, a transmembrane protein that inhibits
phagocytosis in T cell acute lymphoblastic leukemia. miR-708 directly targeted
CD47 through binding to 3'UTR and is inversely correlated with CD47 expression.
Functional studies showed that restoration of miR-708 expression in the T-ALL
cell line is sufficient to promote phagocytosis by macrophages in the absence or
presence of the anti-CD47 antibody to eradicate T-ALL cells, and inhibited tumor
engraftment in vivo. Together, our findings suggest that miR-708 is a key
negative regulator of CD47 and may serve as an attractive candidate for
immunotherapy of T-ALL.
PMID- 29368648
TI - Food triggers and inherited metabolic disorders: a challenge to the pediatrician.
AB - Several disorders should be considered in the case of newborns and infants
experiencing acute or recurrent symptoms after food ingestion. Immune-mediated
adverse food reactions are the most frequent and always to be considered.
Nevertheless, in the extensive differential diagnosis, clinicians should also
include inherited metabolic disorders (IMDs).This review reports clinical
features and diagnostic aspects of the most common IMDs that may present with
acute manifestations triggered by food intake. Major focus will be amino acid and
protein metabolism defects and carbohydrate disorders.Nowadays, for many of these
disorders the risk of an acute presentation triggered by food has been decreased
by the introduction of expanded newborn screening (NBS). Nevertheless, clinical
suspicion remains essential because some IMDs do not have still reliable markers
for NBS and a false negative screening result may occur.The aim of this review is
to help pediatricians to take these rare inherited disorders into account in the
differential diagnosis of acute or recurrent gastrointestinal symptoms related to
food intake, which may avoid delayed diagnosis and potentially life-threatening
consequences.
PMID- 29368649
TI - Secondary pulmonary alveolar proteinosis: a single-center retrospective study (a
case series and literature review).
AB - BACKGROUND: Secondary pulmonary alveolar proteinosis (sPAP) is an extremely rare
disease. The clinical features of sPAP patients remain to be summarizeds.
METHODS: Patients pathologically diagnosed with PAP and with negative results for
anti-granulocyte macrophage colony stimulating factor (GM-CSF) autoantibodies
from Peking Union Medical College Hospital between January 2000 and July 2016
were retrospectively studied. The PubMed database was also searched for
literature to collect published cases. RESULTS: In our center, nine patients were
diagnosed as sPAP with a median age of 37 years. Hematological disorders,
including myelodysplastic syndrome (MDS), chronic myelogenous leukemia (CML), and
pulmonary tuberculosis (TB) infection were the underlying diseases. Cases
secondary to MDS had very poor prognosis as all of them survived less than 2
years after their diagnosis, while those secondary to TB had favorable prognosis.
Only 33.3% of cases showed interlobular septal thickening in our sPAP group.
Through literature review, 164 sPAP cases were collected. The age at diagnosis
was 45.0 +/- 14.8 years old and the gender radio was 1.20:1 (M:F). 61.9% of cases
were diagnosed by bronchoscopy. MDS and CML were common underlying diseases in
34.1% and 15.2% of patients, respectively. Patients with sPAP secondary to
hematological diseases had a short survival time and half of them died within
14.95 months after diagnosis. CONCLUSIONS: MDS and TB infection were the most
frequent underlying causes of sPAP in this single-center research in China, with
cases secondary to MDS having a poor survival rate. sPAP was more likely to be
secondary to hematological disorders, especially MDS and CML and had a fairly
poor prognosis in published cases. sPAP should be suspected in PAP patients whose
CT scan presents only ground-glass opacities without interlobular septal
thickening.
PMID- 29368650
TI - Association of depression with evolution of heart failure in patients with type 2
diabetes mellitus.
AB - BACKGROUND: Depression is a prevalent, independent predictor of mortality in
patients with heart failure (HF). Depression is also common in type 2 diabetes
mellitus (T2DM), which is itself an important risk factor for HF. However,
association of depression with incident HF in T2DM is undefined. The aim of the
present study was to evaluate the predictive value of depression in predicting
incident HF in a community-based cohort of asymptomatic patients with T2DM.
METHODS: We prospectively recruited 274 asymptomatic T2DM patients >= 65 years
(age 71 +/- 4 year, 56% men) with preserved EF and no ischemic heart disease from
a community-based population. The Patient Health Questionnaire 9 (PHQ-9) was used
to detect depression, and LV dysfunction was sought with a comprehensive
echocardiogram, including LV hypertrophy (LVH) and subclinical diastolic function
(E/e'). Over a median follow-up of 1.5 years (range 0.5-3), 20 patients were lost
to follow-up and 254 individuals were followed for outcomes. RESULTS: At
baseline, depression was present in 9.5%, LVH was identified in 26% and reduced
E/e' in 11%. Over a median follow-up of 1.5 years, 37 of 245 patients developed
new-onset HF and 3 died, giving an event rate of 107/1000 person-years. In a
competing-risks regression analysis, depression (adjusted HR 2.54, 95% CI 1.18
5.46; p = 0.017) was associated with incident HF and had incremental predictive
power to clinical, biochemical and echocardiographic variables. CONCLUSION:
Depression is prevalent in asymptomatic elderly patients with T2DM, and
depression independently and incrementally predicts incident HF.
PMID- 29368651
TI - Early feeding of larger volumes of formula milk is associated with greater body
weight or overweight in later infancy.
AB - BACKGROUND: The relation between infant feeding and growth has been extensively
evaluated, but studies examining the volume of formula milk consumption on infant
growth are limited. This study aimed to examine the effects of early feeding of
larger volumes of formula on growth and risk of overweight in later infancy.
METHODS: In total, 1093 infants were studied prospectively. Milk records
collected at 3 mo of age were used to define the following 3 feeding groups:
breast milk feeding (BM, no formula), lower-volume formula milk feeding (LFM,
<840 ml formula/d), and higher-volume formula milk feeding (HFM, >=840 ml
formula/d). Body weight and length were measured at 3 time points of 3, 6 and 12
mo of age. RESULTS: The results showed that the difference in weight and length
between the HFM and BM infants was significant at 3 mo of age (P < 0.05) and
continued until 12 mo of age (P < 0.001). The adjusted mean changes in weight-for
length z-scores (WLZ) and BMI-for-age z-scores (BAZ) from 3 to 6 mo of age were
significantly higher in HFM and LFM group than in BM group. Two-way interactions
between feeding practice and age intervals were significant for WLZ changes (P =
0.002) and BAZ changes (P = 0.017). Compared with BM-fed infants, infants fed
with HFM had 1.60-fold (95% CI 1.05-2.44) higher odds of greater body weight (1SD
< WLZ <=2 SD) at the age of 6 mo and 1.55-fold (95% CI 1.01-2.37) higher odds of
greater body weight and 2.13-fold (95% CI 1.03-4.38) higher odds of overweight
(WLZ > 2 SD) at the age of 12 mo. CONCLUSION: Feeding higher volumes of formula
in early infancy is associated with greater body weight and overweight in later
infancy.
PMID- 29368652
TI - Novel GLI3 variant causing overlapped Greig cephalopolysyndactyly syndrome (GCPS)
and Pallister-Hall syndrome (PHS) phenotype with agenesis of gallbladder and
pancreas.
AB - BACKGROUND: A proper balance between the activator and the repressor form of
GLI3, a zinc-finger transcription factor downstream of hedgehog signaling, is
essential for proper development of various organs during development. Mutations
in different domains of the GLI3 gene underlie several congenital diseases
including Greig cephalopolysyndactyly syndrome (GCPS) and Pallister-Hall syndrome
(PHS). CASE PRESENTATION: Here, we describe the case of an overlapped phenotype
of these syndromes with agenesis of the gallbladder and the pancreas, bearing a
c.2155 C > T novel likely pathogenic variant of GLI3 gene by missense point
mutation causing p.P719S at the proteolytic cleavage site. CONCLUSIONS: Although
agenesis of the gallbladder and the pancreas is uncommon in GLI3 morphopathy, a
slight difference in the gradient or the balance between activator and repressor
in this case may hinder sophisticated spatial and sequential hedgehog signaling
that is essential for proper development of gallbladder and pancreas from
endodermal buds.
PMID- 29368653
TI - New windows into retroviral RNA structures.
AB - BACKGROUND: The multiple roles of both viral and cellular RNAs have become
increasingly apparent in recent years, and techniques to model them have become
significantly more powerful, enabling faster and more accurate visualization of
RNA structures. MAIN BODY: Techniques such as SHAPE (selective 2'OH acylation
analysed by primer extension) have revolutionized the field, and have been used
to examine RNAs belonging to many and diverse retroviruses. Secondary structure
probing reagents such as these have been aided by the development of faster
methods of analysis either via capillary or next-generation sequencing, allowing
the analysis of entire genomes, and of retroviral RNA structures within virions.
Techniques to model the three-dimensional structures of these large RNAs have
also recently developed. CONCLUSIONS: The flexibility of retroviral RNAs, both
structural and functional, is clear from the results of these new experimental
techniques. Retroviral RNA structures and structural changes control many stages
of the lifecycle, and both the RNA structures themselves and their interactions
with ligands are potential new drug targets. In addition, our growing
understanding of retroviral RNA structures is aiding our knowledge of cellular
RNA form and function.
PMID- 29368654
TI - Identifying individual animal factors associated with Mycobacterium avium subsp.
paratuberculosis (MAP) milk ELISA positivity in dairy cattle in the Midwest
region of the United States.
AB - BACKGROUND: Mycobacterium avium subsp. paratuberculosis (MAP) is a widespread
chronic disease of ruminants that causes severe economic losses to the dairy
cattle industry worldwide. The objective of this study was to evaluate the
association between individual cow MAP-ELISA and relevant milk production
predictors in dairy cattle using data routinely collected as part of quality and
disease control programs in the Midwest region of the U.S. Milk ELISA results of
45,652 animals from 691 herds from November 2014 to August 2016 were analyzed.
RESULTS: The association between epidemiological and production factors and ELISA
results for MAP in milk was quantified using four individual-level mixed
multivariable logistic regression models that accounted for clustering of animals
at the farm level. The four fitted models were one global model for all the
animals assessed here, irrespective of age, and one for each of the categories of
< 4 year-old, 4-8 year-old, and > 8 year-old cattle, respectively. A small
proportion (4.9%; n = 2222) of the 45,652 tested samples were MAP-seropositive.
Increasing age of the animals and higher somatic cell count (SCC) were both
associated with increased odds for MAP positive test result in the model that
included all animals, while milk production, milk protein and days in milk were
negatively associated with MAP milk ELISA. Somatic cell count was positively
associated with an increased risk in the models fitted for < 4 year-old and 4-8
year-old cattle. Variables describing higher milk production, milk protein
content and days in milk were associated with significantly lower risk in the
models for 4-8 year-old cattle and for all cattle. CONCLUSIONS: Our results
suggest that testing cows with high SCC (> 26 * 1000/ml), low milk production and
within the first 60 days of lactation may maximize the odds of detecting
seropositive animals. These results could be useful in helping to design better
surveillance strategies based in testing of milk.
PMID- 29368655
TI - Deleterious genetic variants in ciliopathy genes increase risk of ritodrine
induced cardiac and pulmonary side effects.
AB - BACKGROUND: Ritodrine is a commonly used tocolytic to prevent preterm labour.
However, it can cause unexpected serious adverse reactions, such as pulmonary
oedema, pulmonary congestion, and tachycardia. It is unknown whether such adverse
reactions are associated with pharmacogenomic variants in patients. METHODS:
Whole-exome sequencing of 13 subjects with serious ritodrine-induced cardiac and
pulmonary side-effects was performed to identify causal genes and variants. The
deleterious impact of nonsynonymous substitutions for all genes was computed and
compared between cases (n = 13) and controls (n = 30). The significant genes were
annotated with Gene Ontology (GO), and the associated disease terms were
categorised into four functional classes for functional enrichment tests. To
assess the impact of distributed rare variants in cases with side effects, we
carried out rare variant association tests with a minor allele frequency <= 1%
using the burden test, the sequence Kernel association test (SKAT), and optimised
SKAT. RESULTS: We identified 28 genes that showed significantly lower gene-wise
deleteriousness scores in cases than in controls. Three of the identified genes
CYP1A1, CYP8B1, and SERPINA7-are pharmacokinetic genes. The significantly
identified genes were categorized into four functional classes: ion binding, ATP
binding, Ca2+-related, and ciliopathies-related. These four classes were
significantly enriched with ciliary genes according to SYSCILIA Gold Standard
genes (P < 0.01), thus representing ciliary genes. Furthermore, SKAT showed a
marginal trend toward significance after Bonferroni correction with Joubert
Syndrome ciliopathy genes (P = 0.05). With respect to the pharmacokinetic genes,
rs1048943 (CYP1A1) and rs1804495 (SERPINA7) showed a significantly higher
frequency in cases than controls, as determined by Fisher's exact test (P < 0.05
and P < 0.01, respectively). CONCLUSIONS: Ritodrine-induced cardiac and pulmonary
side effects may be associated with deleterious genetic variants in ciliary and
pharmacokinetic genes.
PMID- 29368657
TI - Characteristics of Indigenous primary health care service delivery models: a
systematic scoping review.
AB - BACKGROUND: Indigenous populations have poorer health outcomes compared to their
non-Indigenous counterparts. The evolution of Indigenous primary health care
services arose from mainstream health services being unable to adequately meet
the needs of Indigenous communities and Indigenous peoples often being excluded
and marginalised from mainstream health services. Part of the solution has been
to establish Indigenous specific primary health care services, for and managed by
Indigenous peoples. There are a number of reasons why Indigenous primary health
care services are more likely than mainstream services to improve the health of
Indigenous communities. Their success is partly due to the fact that they often
provide comprehensive programs that incorporate treatment and management,
prevention and health promotion, as well as addressing the social determinants of
health. However, there are gaps in the evidence base including the
characteristics that contribute to the success of Indigenous primary health care
services in providing comprehensive primary health care. This systematic scoping
review aims to identify the characteristics of Indigenous primary health care
service delivery models. METHOD: This systematic scoping review was led by an
Aboriginal researcher, using the Joanna Briggs Institute Scoping Review
Methodology. All published peer-reviewed and grey literature indexed in PubMed,
EBSCO CINAHL, Embase, Informit, Mednar, and Trove databases from September 1978
to May 2015 were reviewed for inclusion. Studies were included if they describe
the characteristics of service delivery models implemented within an Indigenous
primary health care service. Sixty-two studies met the inclusion criteria. Data
were extracted and then thematically analysed to identify the characteristics of
Indigenous PHC service delivery models. RESULTS: Culture was the most prominent
characteristic underpinning all of the other seven characteristics which were
identified - accessible health services, community participation, continuous
quality improvement, culturally appropriate and skilled workforce, flexible
approach to care, holistic health care, and self-determination and empowerment.
CONCLUSION: While the eight characteristics were clearly distinguishable within
the review, the interdependence between each characteristic was also evident.
These findings were used to develop a new Indigenous PHC Service Delivery Model,
which clearly demonstrates some of the unique characteristics of Indigenous
specific models.
PMID- 29368656
TI - Objective coding of content and techniques in workplace-based supervision of an
EBT in public mental health.
AB - BACKGROUND: Workplace-based clinical supervision as an implementation strategy to
support evidence-based treatment (EBT) in public mental health has received
limited research attention. A commonly provided infrastructure support, it may
offer a relatively cost-neutral implementation strategy for organizations.
However, research has not objectively examined workplace-based supervision of EBT
and specifically how it might differ from EBT supervision provided in efficacy
and effectiveness trials. METHODS: Data come from a descriptive study of
supervision in the context of a state-funded EBT implementation effort. Verbal
interactions from audio recordings of 438 supervision sessions between 28
supervisors and 70 clinicians from 17 public mental health organizations (in 23
offices) were objectively coded for presence and intensity coverage of 29
supervision strategies (16 content and 13 technique items), duration, and
temporal focus. Random effects mixed models estimated proportion of variance in
content and techniques attributable to the supervisor and clinician levels.
RESULTS: Interrater reliability among coders was excellent. EBT cases averaged
12.4 min of supervision per session. Intensity of coverage for EBT content
varied, with some discussed frequently at medium or high intensity (exposure) and
others infrequently discussed or discussed only at low intensity (behavior
management; assigning/reviewing client homework). Other than fidelity assessment,
supervision techniques common in treatment trials (e.g., reviewing actual
practice, behavioral rehearsal) were used rarely or primarily at low intensity.
In general, EBT content clustered more at the clinician level; different
techniques clustered at either the clinician or supervisor level. CONCLUSIONS:
Workplace-based clinical supervision may be a feasible implementation strategy
for supporting EBT implementation, yet it differs from supervision in treatment
trials. Time allotted per case is limited, compressing time for EBT coverage.
Techniques that involve observation of clinician skills are rarely used.
Workplace-based supervision content appears to be tailored to individual
clinicians and driven to some degree by the individual supervisor. Our findings
point to areas for intervention to enhance the potential of workplace-based
supervision for implementation effectiveness. TRIAL REGISTRATION: NCT01800266 ,
Clinical Trials, Retrospectively Registered (for this descriptive study;
registration prior to any intervention [part of phase II RCT, this manuscript is
only phase I descriptive results]).
PMID- 29368658
TI - HEALTH: laparoscopic supracervical hysterectomy versus second-generation
endometrial ablation for the treatment of heavy menstrual bleeding: study
protocol for a randomised controlled trial.
AB - BACKGROUND: Heavy menstrual bleeding (HMB) is a common problem affecting
approximately 1.5 million women in England and Wales with a major impact on their
physical, emotional, social and material quality of life. It is the fourth most
common reason why women attend gynaecology outpatient clinics and accounts for
one-fifth of all gynaecology outpatient referrals. Initial treatment in primary
care is medical - either by means of oral or injected medication or the
levonorgestrel-intrauterine system (Mirena(r)). If medical treatment fails then
surgical treatment can be offered, either endometrial ablation (EA), which
destroys the lining of the cavity of the uterus (endometrium), or hysterectomy,
i.e. surgical removal of the uterus. While effective, conventional hysterectomy
is invasive and carries a risk of complications due to injury to other pelvic
structures. The procedure can be simplified and complications minimised by
undertaking a 'supracervical' hysterectomy where the cervix is left in situ and
only the body of the uterus removed. Recent advances in endoscopic technologies
have facilitated increased use of laparoscopic supracervical hysterectomy (LASH)
which can be performed as a day-case procedure and is relatively easy for the
surgeon to learn. HEALTH (Hysterectomy or Endometrial AbLation Trial for Heavy
menstrual bleeding) aims to address the question 'Is LASH superior to second
generation EA for the treatment of HMB in terms of clinical and cost
effectiveness?' METHODS/DESIGN: Women aged < 50 years, with HMB, in whom medical
treatment has failed and who are eligible for EA will be considered for trial
entry. We aim to recruit women from approximately 30 active secondary care
centres in the UK NHS who carry out both surgical procedures. All women who
consent will complete a diary of pain symptoms from day 1 to day 14 after
surgery, postal questionnaires at six weeks and six months after surgery and 15
months post randomisation. Healthcare utilisation questions will also be
completed at the six-week, six-month and 15-month time-points. DISCUSSION:
Measuring the comparative effectiveness of LASH vs EA will provide the robust
evidence required to determine whether the new technique should be adopted widely
in the NHS. TRIAL REGISTRATION: International Standard Randomised Controlled
Trials, ISRCTN49013893 . Registered on 28 January 2014.
PMID- 29368659
TI - Pleiotropic alterations in gene expression in Latin American Fasciola hepatica
isolates with different susceptibility to drugs.
AB - BACKGROUND: Fasciola hepatica is the main agent of fasciolosis, a zoonotic
disease affecting livestock worldwide, and an emerging food-borne disease in
humans. Even when effective treatments are available, drugs are costly and can
result in tolerance, liver damage and normally they do not prevent reinfection.
Drug-resistant strains in livestock have been reported in various countries and,
more worryingly, drug resistance in human cases has emerged in South America. The
present study aims to characterize the transcriptome of two South American
resistant isolates, the Cajamarca isolate from Peru, resistant to both
triclabendazole and albendazole (TCBZR/ABZR) and the Rubino isolate from Uruguay,
resistant to ABZ (TCBZS/ABZR), and compare them to a sensitive strain (Cenapa,
Mexico, TCBZS/ABZS) to reveal putative molecular mechanisms leading to drug
resistance. RESULTS: We observed a major reduction in transcription in the
Cajamarca TCBZR/ABZR isolate in comparison to the other isolates. While most of
the differentially expressed genes are still unannotated, several trends could be
detected. Specific reduction in the expression levels of cytoskeleton proteins
was consistent with a role of tubulins as putative targets of triclabendazole
(TCBZ). A marked reduction of adenylate cyclase might be underlying pleiotropic
effects on diverse metabolic pathways of the parasite. Upregulation of GST mu
isoforms suggests this detoxifying mechanism as one of the strategies associated
with resistance. CONCLUSIONS: Our results stress the value of transcriptomic
approaches as a means of providing novel insights to advance the understanding of
drug mode of action and drug resistance. The results provide evidence for
pleiotropic variations in drug-resistant isolates consistent with early
observations of TCBZ and ABZ effects and recent proteomic findings.
PMID- 29368660
TI - Incidental findings in CT imaging of coronary artery bypass grafts: results from
a Canadian multicenter prospective cohort.
AB - OBJECTIVE: To assess the prevalence and clinical significance of incidental
findings identified during computed tomography imaging of coronary artery bypass
grafts. RESULTS: This prospective study includes 144 patients undergoing coronary
graft patency assessment using computed tomography. Incidental findings were
classified as significant if they were considered to need an immediate action or
treatment, short-term work-up or follow-up, or minor. A total of 211 incidental
findings were present in 109 (75.7%) patients. Seventy-one incidental findings
(33.6%) were cardiac and 140 (66.4%) were extracardiac. Most common cardiac
incidental findings were atrial dilatation [39 patients, 48 incidental findings
(67.6%)] and aortic valve calcifications (7 patients, 9.9%). Among the 140
extracardiac incidental findings, the most common were lung nodules (51 patients,
54 nodules, 38.6%), and emphysema (21 patients, 15%). Thirty-six (25.7%)
extracardiac incidental findings were significant and notably, 23 (63.9%) were
lung nodules. Follow-up was recommended in 37 cases, among which all patients
with significant lung nodules (23 patients, 62.2%). In conclusion, most common
computed tomography incidental findings in patients with coronary grafts were
lung nodules and emphysema.
PMID- 29368661
TI - Effective practices of international volunteering for health: perspectives from
partner organizations.
AB - BACKGROUND: The demand for international volunteer experiences to promote global
health and nutrition is increasing and numerous studies have documented the
experiences of the international volunteers who travel abroad; however, little is
known about effective practices from the perspective of partner organizations.
This study aims to understand how variables such as the skill-level of
volunteers, the duration of service, cultural and language training, and other
key variables affect partner organizations' perceptions of volunteer
effectiveness at promoting healthcare and nutrition. METHOD: This study used a
cross-sectional design to survey a convenience sample of 288 volunteer partner
organizations located in 68 countries. Principle components analyses and manual
coding of cases resulted in a categorization of five generalized types of
international volunteering. Differences among these types were compared by the
duration of service, skill-level of volunteers, and the volunteers' perceived fit
with organizational needs. In addition, a multivariate ordinary least square
regression tested associations between nine different characteristics/activities
and the volunteers' perceived effectiveness at promoting healthcare and
nutrition. RESULTS: Partner organizations viewed highly-skilled volunteers
serving for a short-term abroad as the most effective at promoting healthcare and
nutrition in their organizations, followed by slightly less-skilled long-term
volunteers. The greatest amount of variance in perceived effectiveness was
volunteers' ability to speak the local language, followed by their skill level
and the duration of service abroad. In addition, volunteer training in community
development principles and practices was significantly related to perceived
effectiveness. CONCLUSION: The perceptions of effective healthcare promotion
identified by partner organizations suggest that program and volunteer
characteristics need to be carefully considered when deciding on methods of
volunteer preparation and engagement. By better integrating evidence-based
practices into their program models, international volunteer cooperation
organizations can greatly strengthen their efforts to promote more effective and
valuable healthcare and nutrition interventions in partner communities.
PMID- 29368662
TI - Treatment outcomes in patients with opioid use disorder initiated by
prescription: a systematic review protocol.
AB - BACKGROUND: In North America, opioid use has become a public health crisis with
policy makers declaring it a state of emergency. Opioid substitution therapy
(OST) is a harm-reduction method used in treating opioid use disorder. While OST
has shown to be successful in improving treatment outcomes, there is still a
great degree of variability among patients. This cohort of patients has shifted
from young males using heroin to a greater number of older people and women using
prescription opioids. The primary objective of this review is to examine the
literature on the association between the first exposure to opioids through
prescription versus illicit use and OST treatment outcomes. METHOD: An electronic
search will be conducted on the EMBASE, MEDLINE, PsycINFO, and Cumulative Index
to Nursing and Allied Health Literature (CINAHL) databases. Two independent
reviewers will conduct the initial title and abstract screenings using
predetermined criteria for inclusion and exclusion. Reviewers will then conduct
full-text data extraction using a pilot-tested data extraction form in duplicate.
A third author will resolve disagreements if consensus cannot be reached. Quality
and risk of bias assessment will be conducted along with a sensitivity analysis
for all included studies. Qualitative summary of the evidence will be provided,
and when possible, a meta-analysis will be conducted, along with heterogeneity
calculation. The reporting of this protocol follows the PRISMA-P. DISCUSSION: We
expect that this review will help determine whether patients that were initially
exposed to opioids through a prescription differ in OST treatment outcomes in
comparison to people who used opioids through illicit means. We hope that this
review will provide evidence related to prescription opioids exposure and future
treatment outcomes, which will aid clinicians in their decisions to prescribe
opioids or not for specific populations at risk. SYSTEMATIC REVIEW REGISTRATION:
PROSPERO CRD42017058143.
PMID- 29368663
TI - Developmental dynamics of gene expression and alternative polyadenylation in the
Caenorhabditis elegans germline.
AB - BACKGROUND: The 3' untranslated regions (UTRs) of mRNAs play a major role in post
transcriptional regulation of gene expression. Selection of transcript cleavage
and polyadenylation sites is a dynamic process that produces multiple transcript
isoforms for the same gene within and across different cell types. Using LITE
Seq, a new quantitative method to capture transcript 3' ends expressed in vivo,
we have characterized sex- and cell type-specific transcriptome-wide changes in
gene expression and 3'UTR diversity in Caenorhabditis elegans germline cells
undergoing proliferation and differentiation. RESULTS: We show that nearly half
of germline transcripts are alternatively polyadenylated, that differential
regulation of endogenous 3'UTR variants is common, and that alternative isoforms
direct distinct spatiotemporal protein expression patterns in vivo. Dynamic
expression profiling also reveals temporal regulation of X-linked gene
expression, selective stabilization of transcripts, and strong evidence for a
novel developmental program that promotes nucleolar dissolution in oocytes. We
show that the RNA-binding protein NCL-1/Brat is a posttranscriptional regulator
of numerous ribosome-related transcripts that acts through specific U-rich
binding motifs to down-regulate mRNAs encoding ribosomal protein subunits, rRNA
processing factors, and tRNA synthetases. CONCLUSIONS: These results highlight
the pervasive nature and functional potential of patterned gene and isoform
expression during early animal development.
PMID- 29368665
TI - Dismantling cognitive-behaviour therapy for panic disorder: a systematic review
and component network meta-analysis.
AB - Cognitive-behaviour therapy (CBT) for panic disorder may consist of different
combinations of several therapeutic components such as relaxation, breathing
retraining, cognitive restructuring, interoceptive exposure and/or in vivo
exposure. It is therefore important both theoretically and clinically to examine
whether specific components of CBT or their combinations are superior to others
in the treatment of panic disorder. Component network meta-analysis (NMA) is an
extension of standard NMA that can be used to disentangle the treatment effects
of different components included in composite interventions. We searched MEDLINE,
EMBASE, PsycINFO and Cochrane Central, with supplementary searches of reference
lists and clinical trial registries, for all randomized controlled trials
comparing different CBT-based psychological therapies for panic disorder with
each other or with control interventions. We applied component NMA to disentangle
the treatment effects of different components included in these interventions.
After reviewing 2526 references, we included 72 studies with 4064 participants.
Interoceptive exposure and face-to-face setting were associated with better
treatment efficacy and acceptability. Muscle relaxation and virtual-reality
exposure were associated with significantly lower efficacy. Components such as
breathing retraining and in vivo exposure appeared to improve treatment
acceptability while having small effects on efficacy. The comparison of the most
v. the least efficacious combination, both of which may be provided as 'evidence
based CBT,' yielded an odds ratio for the remission of 7.69 (95% credible
interval: 1.75 to 33.33). Effective CBT packages for panic disorder would include
face-to-face and interoceptive exposure components, while excluding muscle
relaxation and virtual-reality exposure.
PMID- 29368664
TI - Extensive epigenetic reprogramming during the life cycle of Marchantia
polymorpha.
AB - BACKGROUND: In plants, the existence and possible role of epigenetic
reprogramming has been questioned because of the occurrence of stably inherited
epialleles. Evidence suggests that epigenetic reprogramming does occur during
land plant reproduction, but there is little consensus on the generality and
extent of epigenetic reprogramming in plants. We studied DNA methylation dynamics
during the life cycle of the liverwort Marchantia polymorpha. We isolated thalli
and meristems from male and female gametophytes, archegonia, antherozoids, as
well as sporophytes at early and late developmental stages, and compared their
DNA methylation profiles. RESULTS: Of all cytosines tested for differential DNA
methylation, 42% vary significantly in their methylation pattern throughout the
life cycle. However, the differences are limited to few comparisons between
specific stages of the life cycle and suggest four major epigenetic states
specific to sporophytes, vegetative gametophytes, antherozoids, and archegonia.
Further analyses indicated clear differences in the mechanisms underlying
reprogramming in the gametophytic and sporophytic generations, which are
paralleled by differences in the expression of genes involved in DNA methylation.
Differentially methylated cytosines with a gain in methylation in antherozoids
and archegonia are enriched in the CG and CHG contexts, as well as in gene bodies
and gene flanking regions. In contrast, gain of DNA methylation during sporophyte
development is mostly limited to the CHH context, LTR retrotransposons, DNA
transposons, and repeats. CONCLUSION: We conclude that epigenetic reprogramming
occurs at least twice during the life cycle of M. polymorpha and that the
underlying mechanisms are likely different between the two events.
PMID- 29368666
TI - Tryptophan supplementation and serotonin function: genetic variations in
behavioural effects.
AB - The neurotransmitter serotonin has a role in affective disorders such as
depression and anxiety, as well as sleep, cognitive function and appetite. This
review examines the evidence that serotonin-related genotypes may moderate the
behavioural effects of supplementation with the serotonin precursor amino acid l
tryptophan (TRP), on which synthesis of serotonin (or 5-hydroxytryptamine; 5-HT)
depends. However, 95 % of serotonin is synthesised and used in the periphery, and
TRP is also metabolised via non-5-HT routes such as the kynurenine pathway.
Moreover, understanding of genotypes involved in regulation of serotonin raises
questions over the generalisability of TRP effects on behaviour across
individuals with varied serotonergic genotypes. To date, only differences between
variants of the 5-HT transporter-linked promoter region (5-HTTLPR) have been
investigated in relation to behavioural effects of TRP supplementation. Effects
of 5-HTTLPR genotypes are usually compared between the alleles that are either
high (L/L') or low (S/S') expressing of mRNA for the 5-HT transporter receptor.
Yet, another key genetic variable is sex: in women, the S/S' genotype predicts
sensitivity to improved mood and reduced cortisol by TRP supplementation, during
stressful challenges, whereas the L/L' genotype protects against stress-induced
mood deterioration. In men, the L/L' genotype may confer risk of stress-induced
increases in negative affect; there are insufficient data to assess effects on
male S/S' genotypes. However, better-powered studies to detect sex by genotype by
stress by TRP interactions, as well as consideration of more genotypes, are
needed before strong conclusions and recommendations for behavioural effects of
TRP treatment can be reached.
PMID- 29368667
TI - Hypervirulent Clostridium difficile Strain Has Arrived in Brazil.
PMID- 29368668
TI - The death of expertise (in medicine).
PMID- 29368669
TI - [La disparition de l'expertise (en medecine)].
PMID- 29368670
TI - A solution to gender inequity in surgery? Better caregiving policies.
AB - SUMMARY: Attitudes toward women in surgery appear to be shifting in a positive
direction. Why, then, do women still represent only 27% of surgeons in Canada?
The answer may, at least in part, lie in the field's inability to adequately
accommodate caregiving duties, which are still disproportionately "women's
responsibilities" in our society. Although most Canadian academic centres now
have paid maternity leave policies for trainees and faculty, these do not
necessarily apply to surgeons working in the community, nor do they always
reflect what occurs in practice. The perceived inability of the field to
accommodate both personal and professional duties is often a significant
deterrent to young women considering a career in surgery. In this commentary, we
explore the need to address the "caregiver problem" as an important step toward
achieving gender equity in surgery.
PMID- 29368671
TI - No. 3 Canadian General Hospital (McGill) in the Great War: service and sacrifice.
AB - SUMMARY: During the Great War, McGill University fielded a full general hospital
to care for the wounded and sick among the Allied forces fighting in France and
Belgium. The unit was designated No. 3 Canadian General Hospital (McGill) and
included some of the best medical minds in Canada. Because the unit had a
relationship with Sir William Osler, who was a professor at McGill from 1874 to
1885, the unit received special attention throughout the war, and legendary
Canadian medical figures, such as John McCrae, Edward Archibald and Francis
Scrimger, VC, served on its staff. The unit cared for thousands of victims of the
war, and its trauma care advanced through the clinical innovation and research
demanded by the nature of its work. Although No. 3 Canadian General Hospital
suffered tragedies as well, such as the deaths of John McCrae and Osler's only
son Revere, by the war's end the McGill hospital was known as one of the best
medical units within the armies in France.
PMID- 29368672
TI - Process mapping as a framework for performance improvement in emergency general
surgery.
AB - BACKGROUND: Emergency general surgery conditions are often thought of as being
too acute for the development of standardized approaches to quality improvement.
However, process mapping, a concept that has been applied extensively in
manufacturing quality improvement, is now being used in health care. The
objective of this study was to create process maps for small bowel obstruction in
an effort to identify potential areas for quality improvement. METHODS: We used
the American College of Surgeons Emergency General Surgery Quality Improvement
Program pilot database to identify patients who received nonoperative or
operative management of small bowel obstruction between March 2015 and March
2016. This database, patient charts and electronic health records were used to
create process maps from the time of presentation to discharge. RESULTS: Eighty
eight patients with small bowel obstruction (33 operative; 55 nonoperative) were
identified. Patients who received surgery had a complication rate of 32%. The
processes of care from the time of presentation to the time of follow-up were
highly elaborate and variable in terms of duration; however, the sequences of
care were found to be consistent. We used data visualization strategies to
identify bottlenecks in care, and they showed substantial variability in terms of
operating room access. CONCLUSION: Variability in the operative care of small
bowel obstruction is high and represents an important improvement opportunity in
general surgery. Process mapping can identify common themes, even in acute care,
and suggest specific performance improvement measures.
PMID- 29368673
TI - Analysis of postdischarge costs following emergent general surgery in elderly
patients.
AB - BACKGROUND: As populations age, more elderly patients will undergo surgery.
Frailty and complications are considered to increase in-hospital cost in older
adults, but little is known on costs following discharge, particularly those
borne by the patient. We examined risk factors for increased cost and the type of
costs accrued following discharge in elderly surgical patients. METHODS: Acute
abdominal surgery patients aged 65 years and older were prospectively enrolled.
We assessed baseline clinical characteristics, including Clinical Frailty Scale
(CFS) scores. We calculated 6-month cost (in Canadian dollars) from patient
reported use following discharge according to the validated Health Resource
Utilization Inventory. Primary outcomes were 6-month overall cost and cost for
health care services, medical products and lost productive hours. Outcomes were
log-transformed and assessed in multivariable generalized linear and zero
inflated negative binomial regressions and can be interpreted as adjusted ratios
(AR). Complications were assessed according to Clavien-Dindo classification.
RESULTS: We included 150 patients (mean age 75.5 +/- 7.6 yr; 54.1% men) in our
analysis; 10.8% had major and 43.2% had minor complications postoperatively. The
median 6-month overall cost was $496 (interquartile range $140-$1948).
Disaggregated by cost type, frailty independently predicted increasing costs of
health care services (AR 1.76, 95% confidence interval [CI] 1.43-2.18, p < 0.001)
and medical products (AR 1.61, 95% CI 1.15-2.25, p = 0.005), but decreasing costs
in lost productive hours (AR 0.39, p = 0.002). Complications did not predict
increased cost. CONCLUSION: Frail patients accrued higher health care services
and product costs, but lower costs from lost productive hours. Interventions in
elderly surgical patients should consider patient-borne cost in older adults and
lost productivity in less frail patients. TRIAL REGISTRATION: NCT02233153
(clinicaltrials.gov).
PMID- 29368674
TI - Effect of patient decision aid was influenced by presurgical evaluation among
patients with osteoarthritis of the knee.
AB - BACKGROUND: Decision aids help patients make total joint arthroplasty decisions,
but presurgical evaluation might influence the effects of a decision aid. We
compared the effects of a decision aid among patients considering total knee
arthroplasty at 2 surgical screening clinics with different evaluation processes.
METHODS: We performed a subgroup analysis of a randomized controlled trial.
Patients were recruited from 2 surgical screening clinics: an academic clinic
providing 20-minute physician consultations and a community clinic providing 45
minute physiotherapist/nurse consultations with education. We compared the
effects of decision quality, decisional conflict and surgery rate using Cochran
Mantel-Haenszel chi2 tests and the Breslow-Day test. RESULTS: We evaluated 242
patients: 123 from the academic clinic (61 who used the decision aid and 62
controls) and 119 from the community clinic (59 who used the decision aid and 60
controls). Results suggested a between-site difference in the effect of the
decision aid on the patients' decision quality (p = 0.09): at the academic site,
patients who used the decision aid were more likely to make better-quality
decisions than controls (54% v. 35%, p = 0.044), but not at the community site
(47% v. 51%, p = 0.71). Fewer patients who used decision aids at the academic
site than at the community site experienced decisional conflict (p = 0.007) (33%
v. 52%, p = 0.05 at the academic site and 40% v. 24%, p = 0.08 at the community
site). The effect of the decision aid on surgery rates did not differ between
sites (p = 0.65). CONCLUSION: The decision aid had a greater effect at the
academic site than at the community site, which provided longer consultations
with more verbal education. Hence, decision aids might be of greater value when
more extensive total knee arthroplasty presurgical assessment and counselling are
either impractical or unavailable.
PMID- 29368675
TI - Tertiary care centre adherence to unified guidelines for management of
periprosthetic joint infections: a gap analysis.
AB - BACKGROUND: The success rate of surgical treatment for periprosthetic joint
infection (PJI) remains inconsistent in the literature. Variability in PJI
clinical guidelines and surgeon adherence to guidelines could affect treatment
success. The objectives of this study were to appraise current recommendations
for PJI management and develop a unified clinical standard of care, to perform a
gap analysis of PJI cases in a tertiary institution to determine the rate of
guideline adherence, and to determine if adherence to unified PJI guidelines
affected 2-year treatment outcomes. METHODS: We appraised the PJI guidelines from
3 academic medical societies, and consistent statements were aggregated. We
retrospectively reviewed all PJI cases in a tertiary care institution. We defined
PJI based on Musculoskeletal Infection Society PJI criteria. Surgeon adherence to
preoperative, intraoperative, surgical and medical management guidelines was
calculated, and we evaluated the association between guideline adherence and 2
year treatment outcomes. RESULTS: The institutional rate of PJI was 1.13% (38 of
3368). Treatment success was 57.8% at 2 years. Unified guideline adherence
percentages varied substantially: 92% of patients had preoperative erythrocyte
sedimentation rate and C-reactive protein, 97% had intraoperative tissue
cultures, 42% had appropriate preoperative arthrocentesis, and 74% underwent
guideline-appropriate surgery. Performing appropriate preoperative arthrocentesis
significantly correlated with positive treatment outcomes at 2 years (p = 0.028).
CONCLUSION: Adherence to PJI guidelines varies considerably, indicating that
clinicians are either unaware of them or do not recognize their value for PJI
treatment. This study shows the need for institution-based PJI treatment pathways
that are consistent with published guidelines and the need to monitor adherence.
PMID- 29368676
TI - Physical performance following acute high-risk abdominal surgery: a prospective
cohort study.
AB - BACKGROUND: Acute high-risk abdominal (AHA) surgery is associated with high
mortality, multiple postoperative complications and prolonged hospital stay.
Further development of strategies for enhanced recovery programs following AHA
surgery is needed. The aim of this study was to describe physical performance and
barriers to independent mobilization among patients who received AHA surgery
(postoperative days [POD] 1-7). METHODS: Patients undergoing AHA surgery were
consecutively enrolled from a university hospital in Denmark. In the first
postoperative week, all patients were evaluated daily with regards to physical
performance, using the Cumulated Ambulation Score (CAS; 0-6 points) to assess
basic mobility and the activPAL monitor to assess the 24-hour physical activity
level. We recorded barriers to independent mobilization. RESULTS: Fifty patients
undergoing AHA surgery (mean age 61.4 +/- 17.2 years) were included. Seven
patients died within the first postoperative week, and 15 of 43 (35%) patients
were still not independently mobilized (CAS < 6) on POD-7, which was associated
with pulmonary complications developing (53% v. 14% in those with CAS = 6, p =
0.012). The patients lay or sat for a median of 23.4 hours daily during the first
week after AHA surgery, and the main barriers to independent mobilization were
fatigue and abdominal pain. CONCLUSION: Patients who receive AHA surgery have
very limited physical performance in the first postoperative week. Barriers to
independent mobilization are primarily fatigue and abdominal pain. Further
studies investigating strategies for early mobilization and barriers to
mobilization in the immediate postoperative period after AHA surgery are needed.
PMID- 29368677
TI - Comparing the anterior, posterior and lateral approach: gait analysis in total
hip arthroplasty.
AB - BACKGROUND: The choice of surgical approach for total hip arthroplasty (THA)
remains a contentious issue with regards to clinical outcome optimization and
restoring patient function. The purpose of this study was to determine the impact
of surgical approach for THA on quantitative gait analysis. METHODS: Patients
undergoing THA for primary osteoarthritis of the hip were assigned to 1 of 3
surgical approaches: anterior, posterior and lateral. Standardized implants were
used at the time of surgery. Three-dimensional gait analysis was performed
preoperatively and at 6 and 12 weeks postoperatively. At each time point, we
compared temporal parameters, kinematics and kinetics. RESULTS: We included 30
patients in our analysis (10 anterior, 10 posterior, and 10 lateral). The groups
were similar with respect to age (p = 0.27), body mass index (p = 0.16), and
Charlson Comorbidity Index score (p = 0.66). Temporal parameters were similar
among the groups at all time points. The lateral cohort had higher pelvic tilt
during stance on the affected leg than the anterior cohort at 6 weeks (p =
0.041). Affected leg ipsilateral trunk lean during stance was higher in the
lateral group than in the other cohorts at 6 weeks (p = 0.008) and 12 weeks (p =
0.040). The anterior and posterior groups showed increased external rotation at 6
weeks (p = 0.003) and 12 weeks (p = 0.012) compared with the lateral group.
CONCLUSION: Temporal gait parameters were similar following THA for all
approaches. Differences in gait kinematics and kinetics exist; however, given the
small absolute differences, the clinical importance of these changes remains
undetermined.
PMID- 29368679
TI - Can surgical site infections be reduced with the adoption of a bundle of
simultaneous initiatives? The use of NSQIP incidence data to follow multiple
quality improvement interventions.
AB - SUMMARY: Surgical site infections (SSI) are a common complication after surgical
procedures. To reduce the incidence of SSIs, Oakville Trafalgar Memorial Hospital
decided to institute a bundle of initiatives to change multiple factors
simultaneously based on best available evidence and the understanding of
infection pathophysiology. We used National Surgical Quality Improvement Program
data on the incidence of SSIs in our targeted and essentials, general surgery and
orthopedic surgery cases before and after the implementation of an SSI reduction
bundle. This article discusses whether the use of intervention bundles may assist
in the reduction of a variety of postoperative surgical complications.
PMID- 29368680
TI - Intraoperative ultrasonic cholangiography for biliary system identification.
PMID- 29368681
TI - Author response to: Intraoperative ultrasonic cholangiography for biliary system
identification.
PMID- 29368678
TI - A systematic review of the factors affecting choice of surgery as a career.
AB - BACKGROUND: Interest in surgical careers among medical students has declined over
the past decade. Multiple explanations have been offered for why top students are
deterred or rejected from surgical programs, though no consensus has emerged.
METHODS: We conducted a review of the literature to better characterize what
factors affect the pursuit of a surgical career. We searched PubMed and EMBASE
and performed additional reference checks. Agency for Healthcare Research and
Quality (AHRQ) and Newcastle-Ottawa Education scores were used to evaluate the
included data. RESULTS: Our search identified 122 full-text, primary articles.
Analysis of this evidence identified 3 core concepts that impact surgical career
decision-making: gender, features of surgical education, and student "fit" in the
culture of surgery. CONCLUSION: Real and perceived gender discrimination has
deterred female medical students from entering surgical careers. In addition,
limited exposure to surgery during medical school and differences between student
and surgeon personality traits and values may deter students from entering
surgical careers. We suggest that deliberate and visible effort to include women
and early-career medical students in surgical settings may enhance their interest
in carreers in surgery.
PMID- 29368682
TI - Correction of place of practice: Brampton, not Brantford.
PMID- 29368683
TI - Author response to: Correction of place of practice: Brampton, not Brantford.
PMID- 29368684
TI - ?
PMID- 29368685
TI - [Masked hypertension during pregnancy].
AB - Hypertension during pregnancy is one of the leading causes of maternal and foetal
morbidity and mortality. Monitoring of blood pressure is therefore an essential
part of prenatal care. Masked hypertension, where blood pressure levels are
elevated at home despite normal blood pressure levels monitored in a clinical
setting, may lead to cardiovascular and obstetric complications equal to those of
sustained hypertension. This article discusses masked hypertension and the need
for further investigation of blood pressure monitoring during pregnancy.
PMID- 29368686
TI - [Surgical treatment of lumbar disc herniation].
AB - The Danish Health Authority has recently published a new guideline on the
treatment of lumbar disc herniation with radiculopathy. This paper goes through
the surgical treatment and considers the evidence behind surgical treatment of
these patients, along with the outcome reported in literature. The new guideline
recommends referring patients to be assessed by a surgeon within 12 weeks in
cases, where severe and debilitating pain persists despite non-surgical
treatment. This is found to be well in line with literature, as many studies have
shown time to be a predictive factor in surgical treatment of these patients.
PMID- 29368687
TI - [Meningitis and septic sinus thrombosis in connection with otitis media].
AB - This is a case report of a 30-year-old female, who presented to the emergency
department with headache, nausea and neck pain after five days of otitis media.
After lumbar puncture, CT and MRI the patient was treated for meningitis with
initial improvement in the clinical state. After four days she developed
contralateral neurological symptoms, and after five days she had a seizure. MR
venography showed thrombosis of the lateral dural sinus with venous cerebral
infarction. The treatment of intravenously administered antibiotics,
mastoidectomy and anticoagulation is discussed and compared with other cases in
the literature.
PMID- 29368688
TI - [Comorbidity in connection with psoriasis is more than psoriatic arthritis].
AB - Psoriasis is a common chronic inflammatory disease which is associated with
extensive comorbidity, including psoriatic arthritis, cardiovascular and
cardiometabolic disease, inflammatory bowel disease, malignancy, chronic kidney
disease and depression. Clinical guidelines have been developed to target some of
these comorbid diseases in patients with psoriasis and should be used by the
treating physician.
PMID- 29368689
TI - [Melioidosis is a rare diagnosis among returning travellers with fever].
AB - The causative organism of melioidosis is Burkholderia pseudomallei, a Gram
negative bacterium endemic to Southeast Asia and Northern Australia. The clinical
presentation varies, ranging from asymptomatic cases to rapidly progressive
septicaemia and shock. Abscesses may be found in any internal organ. We describe
an infrequent presentation of melioidosis with various symptoms, including
haematuria and rectal pain. Perirectal and prostatic abscesses were found, the
causative organism was identified and relevant treatment administered, resulting
in a full recovery.
PMID- 29368692
TI - Theoretical prediction of sandwiched two-dimensional phosphide binary compound
sheets with tunable bandgaps and anisotropic physical properties.
AB - Atomic layers of GaP and InP binary compounds with unique anisotropic structural,
electronic and mechanical properties have been predicted from first-principle
molecular dynamics simulations. These new members of the phosphide binary
compound family stabilize to a sandwiched two-dimensional (2D) crystalline
structure with orthorhombic lattice symmetry and high buckling of 2.14 A-2.46 A.
Their vibration modes are similar to those of phosphorene with six Raman active
modes ranging from ~80 cm-1 to 400 cm-1. The speeds of sound in their phonon
dispersions reflect anisotropy in their elastic constants, which was further
confirmed by their strong directional dependence of Young's moduli and effective
nonlinear elastic moduli. They show wide bandgap semiconductor behavior with
fundamental bandgaps of 2.89 eV for GaP and 2.59 eV for InP, respectively, even
wider than their bulk counterparts. Such bandgaps were found to be tunable under
strain. In particular, a direct-indirect bandgap transition was found under
certain strains along zigzag or biaxial orientations, reflecting their promising
applications in strain-induced bandgap engineering in nanoelectronics and
photovoltaics. Feasible pathways to realize these novel 2D phosphide compounds
are also proposed.
PMID- 29368691
TI - Activation of Toll-like receptors nucleates assembly of the MyDDosome signaling
hub.
AB - Infection and tissue damage induces assembly of supramolecular organizing centres
(SMOCs)), such as the Toll-like receptor (TLR) MyDDosome, to co-ordinate
inflammatory signaling. SMOC assembly is thought to drive digital all-or-none
responses, yet TLR activation by diverse microbes induces anything from mild to
severe inflammation. Using single-molecule imaging of TLR4-MyDDosome signaling in
living macrophages, we find that MyDDosomes assemble within minutes of TLR4
stimulation. TLR4/MD2 activation leads only to formation of TLR4/MD2
heterotetramers, but not oligomers, suggesting a stoichiometric mismatch between
activated receptors and MyDDosomes. The strength of TLR4 signalling depends not
only on the number and size of MyDDosomes formed but also how quickly these
structures assemble. Activated TLR4, therefore, acts transiently nucleating
assembly of MyDDosomes, a process that is uncoupled from receptor activation.
These data explain how the oncogenic mutation of MyD88 (L265P) assembles
MyDDosomes in the absence of receptor activation to cause constitutive activation
of pro-survival NF-kappaB signalling.
PMID- 29368693
TI - Surface-screening mechanisms in ferroelectric thin films and their effect on
polarization dynamics and domain structures.
AB - For over 70 years, ferroelectric materials have been one of the central research
topics for condensed matter physics and material science, an interest driven both
by fundamental science and applications. However, ferroelectric surfaces, the key
component of ferroelectric films and nanostructures, still present a significant
theoretical and even conceptual challenge. Indeed, stability of ferroelectric
phase per se necessitates screening of polarization charge. At surfaces, this can
lead to coupling between ferroelectric and semiconducting properties of material,
or with surface (electro) chemistry, going well beyond classical models
applicable for ferroelectric interfaces. In this review, we summarize recent
studies of surface-screening phenomena in ferroelectrics. We provide a brief
overview of the historical understanding of the physics of ferroelectric
surfaces, and existing theoretical models that both introduce screening
mechanisms and explore the relationship between screening and relevant aspects of
ferroelectric functionalities starting from phase stability itself. Given that
the majority of ferroelectrics exist in multiple-domain states, we focus on local
studies of screening phenomena using scanning probe microscopy techniques. We
discuss recent studies of static and dynamic phenomena on ferroelectric surfaces,
as well as phenomena observed under lateral transport, light, chemical, and
pressure stimuli. We also note that the need for ionic screening renders
polarization switching a coupled physical-electrochemical process and discuss the
non-trivial phenomena such as chaotic behavior during domain switching that stem
from this.
PMID- 29368690
TI - BAD and KATP channels regulate neuron excitability and epileptiform activity.
AB - Brain metabolism can profoundly influence neuronal excitability. Mice with
genetic deletion or alteration of Bad (BCL-2 agonist of cell death) exhibit
altered brain-cell fuel metabolism, accompanied by resistance to acutely induced
epileptic seizures; this seizure protection is mediated by ATP-sensitive
potassium (KATP) channels. Here we investigated the effect of BAD manipulation on
KATP channel activity and excitability in acute brain slices. We found that BAD's
influence on neuronal KATP channels was cell-autonomous and directly affected
dentate granule neuron (DGN) excitability. To investigate the role of neuronal
KATP channels in the anticonvulsant effects of BAD, we imaged calcium during
picrotoxin-induced epileptiform activity in entorhinal-hippocampal slices. BAD
knockout reduced epileptiform activity, and this effect was lost upon knockout or
pharmacological inhibition of KATP channels. Targeted BAD knockout in DGNs alone
was sufficient for the antiseizure effect in slices, consistent with a 'dentate
gate' function that is reinforced by increased KATP channel activity.
PMID- 29368695
TI - Polymers and biopolymers at interfaces.
AB - This review updates recent progress in the understanding of the behaviour of
polymers at surfaces and interfaces, highlighting examples in the areas of
wetting, dewetting, crystallization, and 'smart' materials. Recent developments
in analysis tools have yielded a large increase in the study of biological
systems, and some of these will also be discussed, focussing on areas where
surfaces are important. These areas include molecular binding events and protein
adsorption as well as the mapping of the surfaces of cells. Important techniques
commonly used for the analysis of surfaces and interfaces are discussed
separately to aid the understanding of their application.
PMID- 29368694
TI - Quantum plasmon and Rashba-like spin splitting in self-assembled Co x C60
composites with enhanced Co content (x > 15).
AB - Driving by interplay between plasmonic and magnetic effects in organic composite
semiconductors is a challenging task with a huge potential for practical
applications. Here, we present evidence of a quantum plasmon excited in the self
assembled Co x C60 nanocomposite films with x > 15 (interval of the Co cluster
coalescence) and analyse it using the optical absorption (OA) spectra. In the
case of Co x C60 film with x = 16 (LF sample), the quantum plasmon generated by
the Co/CoO clusters is found as the 1.5 eV-centred OA peak. This finding is
supported by the establishment of four specific C60-related OA lines detected at
the photon energies E p > 2.5 eV. Increase of the Co content up to x = 29 (HF
sample) leads to pronounced enhancement of OA intensity in the energy range of E
p > 2.5 eV and to plasmonic peak downshift of 0.2 eV with respect to the peak
position in the LF spectrum. Four pairs of the OA peaks evaluated in the HF
spectrum at E p > 2.5 eV reflect splitting of the C60-related lines, suggesting
great change in the microscopic conditions with increasing x. Analysis of the
film nanostructure and the plasmon-induced conditions allows us to propose a
Rashba-like spin splitting effect that suggests valuable sources for spin
polarization.
PMID- 29368696
TI - Guggulsterone-releasing microspheres direct the differentiation of human induced
pluripotent stem cells into neural phenotypes.
AB - Parkinson's disease (PD), a common neurodegenerative disorder, results from the
loss of motor function when dopaminergic neurons (DNs) in the brain selectively
degenerate. While pluripotent stem cells (PSCs) show promise for generating
replacement neurons, current protocols for generating terminally differentiated
DNs require a complicated cocktail of factors. Recent work demonstrated that a
naturally occurring steroid called guggulsterone effectively differentiated PSCs
into DNs, simplifying this process. In this study, we encapsulated guggulsterone
into novel poly-epsilon-caprolactone-based microspheres and characterized its
release profile over 44 d in vitro, demonstrating we can control its release over
time. These guggulsterone-releasing microspheres were also successfully
incorporated in human induced pluripotent stem cell-derived cellular aggregates
under feeder-free and xeno-free conditions and cultured for 20 d to determine
their effect on differentiation. All cultures stained positive for the early
neuronal marker TUJ1 and guggulsterone microsphere-incorporated aggregates did
not adversely affect neurite length and branching. Guggulsterone microsphere
incorporated aggregates exhibited the highest levels of TUJ1 expression as well
as high Olig 2 expression, an inhibitor of the STAT3 astrogenesis pathway
previously known as a target for guggulsterone in cancer treatment. Together,
this study represents an important first step towards engineered neural tissues
consisting of guggulsterone microspheres and PSCs for generating DNs that could
eventually be evaluated in a pre-clinical model of PD.
PMID- 29368697
TI - How do reference montage and electrodes setup affect the measured scalp EEG
potentials?
AB - OBJECTIVE: Human scalp electroencephalogram (EEG) is widely applied in cognitive
neuroscience and clinical studies due to its non-invasiveness and ultra-high time
resolution. However, the representativeness of the measured EEG potentials for
the underneath neural activities is still a problem under debate. This study aims
to investigate systematically how both reference montage and electrodes setup
affect the accuracy of EEG potentials. APPROACH: First, the standard EEG
potentials are generated by the forward calculation with a single dipole in the
neural source space, for eleven channel numbers (10, 16, 21, 32, 64, 85, 96, 128,
129, 257, 335). Here, the reference is the ideal infinity implicitly determined
by forward theory. Then, the standard EEG potentials are transformed to
recordings with different references including five mono-polar references (Left
earlobe, Fz, Pz, Oz, Cz), and three re-references (linked mastoids (LM), average
reference (AR) and reference electrode standardization technique (REST)).
Finally, the relative errors between the standard EEG potentials and the
transformed ones are evaluated in terms of channel number, scalp regions,
electrodes layout, dipole source position and orientation, as well as sensor
noise and head model. MAIN RESULTS: Mono-polar reference recordings are usually
of large distortions; thus, a re-reference after online mono-polar recording
should be adopted in general to mitigate this effect. Among the three re
references, REST is generally superior to AR for all factors compared, and LM
performs worst. REST is insensitive to head model perturbation. AR is subject to
electrodes coverage and dipole orientation but no close relation with channel
number. SIGNIFICANCE: These results indicate that REST would be the first choice
of re-reference and AR may be an alternative option for high level sensor noise
case. Our findings may provide the helpful suggestions on how to obtain the EEG
potentials as accurately as possible for cognitive neuroscientists and
clinicians.
PMID- 29368699
TI - Antiphospholipid syndrome.
AB - This corrects the article DOI: 10.1038/nrdp.2017.103.
PMID- 29368698
TI - Ingenol-3-Angelate Suppresses Growth of Melanoma Cells and Skin Tumor Development
by Downregulation of NF-kappaB-Cox2 Signaling.
AB - BACKGROUND A recent focus in skin cancer prevention intervenes though modulating
molecular links between inflammation and cell growth signaling, such as NF
kappaB. This study elucidates the effect of a non-tumor promoting phorbol ester,
ingenol-3-angelate (I3A), on the growth of human melanoma cells and on the 12-O
tetradecanoylphorbol-13-acetate (TPA)-induced skin inflammation and 7,12
Dimethylbenz(a)anthracene (DMBA)-induced skin carcinoma in mice. MATERIAL AND
METHODS Cell viability was assessed by MTT assay, cell proliferation by
clonogenic assay, apoptosis and cell cycle arrest was analyzed by flow cytometry,
protein expression was studied by IHC and Western blotting, and gene expression
by qPCR. RESULTS I3A suppressed the survival and proliferation of human melanoma
cells with estimated IC50 values around 38 and 46 MUM for A2058 and HT144 cell,
respectively. I3A activated the protein levels of PKCdelta and PKCepsilon, which
induced apoptosis by activating caspase-9 and caspace-3 followed by lowering of
mitochondrial membrane potential and enhancing DNA fragmentation. I3A induced G1
phase cell cycle arrest as well as G2/M phase arrest in both cell lines. I3A
inhibited the levels of NFkappaB p65 protein as well as phosphorylation of p65
and its nuclear translocation. I3A suppressed the gene expression of NF-kappaB,
COX-2 and iNOS. I3A inhibited TPA-induced inflammation and epidermal hyperplasia
in female ICR mice by downregulating NF-kappaB and iNOS. I3A suppressed the
growth of skin tumor in DMBA-induced mice in dose-dependent manner. CONCLUSIONS
The mechanism of I3A induces apoptosis in human melanoma cells and suppresses
skin inflammation and carcinoma via downregulation of NF-kappaB-iNOS-COX-2
signaling.
PMID- 29368700
TI - Arrhythmias: Noninvasive radioablation for VT.
PMID- 29368702
TI - Acute coronary syndromes: Silent myocardial infarction increases the risk of
heart failure.
PMID- 29368701
TI - Effect of glucose-lowering therapies on heart failure.
AB - Heart failure is one of the most common comorbidities of diabetes mellitus.
Glucose-lowering therapies that can prevent heart failure or improve outcomes in
patients with established heart failure are of critical importance among those
with type 2 diabetes. Several types of glucose-lowering drugs have been assessed
in this setting. Metformin has been shown to modestly improve the outcomes of
patients with heart failure, whereas the effect of insulin in those with
established heart failure is less clear. The effect of sulfonylureas on improving
heart failure is controversial; observational reports have suggested that they
are harmful in these patients, but these data have not been confirmed in
randomized, controlled trials. Thiazolidinediones are contraindicated in patients
with established heart failure and have also been known to cause heart failure.
Furthermore, certain dipeptidyl peptidase 4 inhibitors seem to increase heart
failure hospitalization. The effects of glucagon-like peptide 1 receptor agonists
might differ in patients with or without established heart failure, particularly
those with decompensated heart failure with a reduced ejection fraction. However,
perhaps the most important finding has been that sodium/glucose cotransporter 2
(SGLT2; also known as SLC5A2) inhibitors reduce heart failure hospitalizations
and, in the case of empagliflozin, markedly reduce the rate of cardiovascular
death. Given the known neutral (or even harmful) effects of other glucose
lowering drugs on heart failure outcomes, SGLT2 inhibitors might well be
considered the drug class of choice in patients with diabetes and heart failure,
or in those at high risk of developing heart failure.
PMID- 29368703
TI - Enhancement and sign change of magnetic correlations in a driven quantum many
body system.
AB - Periodic driving can be used to control the properties of a many-body state
coherently and to realize phases that are not accessible in static systems. For
example, exposing materials to intense laser pulses makes it possible to induce
metal-insulator transitions, to control magnetic order and to generate transient
superconducting behaviour well above the static transition temperature. However,
pinning down the mechanisms underlying these phenomena is often difficult because
the response of a material to irradiation is governed by complex, many-body
dynamics. For static systems, extensive calculations have been performed to
explain phenomena such as high-temperature superconductivity. Theoretical
analyses of driven many-body Hamiltonians are more challenging, but approaches
have now been developed, motivated by recent observations. Here we report an
experimental quantum simulation in a periodically modulated hexagonal lattice and
show that antiferromagnetic correlations in a fermionic many-body system can be
reduced, enhanced or even switched to ferromagnetic correlations (sign reversal).
We demonstrate that the description of the many-body system using an effective
Floquet-Hamiltonian with a renormalized tunnelling energy remains valid in the
high-frequency regime by comparing the results to measurements in an equivalent
static lattice. For near-resonant driving, the enhancement and sign reversal of
correlations is explained by a microscopic model of the system in which the
particle tunnelling and magnetic exchange energies can be controlled
independently. In combination with the observed sufficiently long lifetimes of
the correlations in this system, periodic driving thus provides an alternative
way of investigating unconventional pairing in strongly correlated systems
experimentally.
PMID- 29368704
TI - A photophoretic-trap volumetric display.
AB - Free-space volumetric displays, or displays that create luminous image points in
space, are the technology that most closely resembles the three-dimensional
displays of popular fiction. Such displays are capable of producing images in
'thin air' that are visible from almost any direction and are not subject to
clipping. Clipping restricts the utility of all three-dimensional displays that
modulate light at a two-dimensional surface with an edge boundary; these include
holographic displays, nanophotonic arrays, plasmonic displays, lenticular or
lenslet displays and all technologies in which the light scattering surface and
the image point are physically separate. Here we present a free-space volumetric
display based on photophoretic optical trapping that produces full-colour
graphics in free space with ten-micrometre image points using persistence of
vision. This display works by first isolating a cellulose particle in a
photophoretic trap created by spherical and astigmatic aberrations. The trap and
particle are then scanned through a display volume while being illuminated with
red, green and blue light. The result is a three-dimensional image in free space
with a large colour gamut, fine detail and low apparent speckle. This platform,
named the Optical Trap Display, is capable of producing image geometries that are
currently unobtainable with holographic and light-field technologies, such as
long-throw projections, tall sandtables and 'wrap-around' displays.
PMID- 29368706
TI - Kiss-and-tell way to track cell contacts.
PMID- 29368705
TI - Early episodes of high-pressure core formation preserved in plume mantle.
AB - The decay of short-lived iodine (I) and plutonium (Pu) results in xenon (Xe)
isotopic anomalies in the mantle that record Earth's earliest stages of
formation. Xe isotopic anomalies have been linked to degassing during accretion,
but degassing alone cannot account for the co-occurrence of Xe and tungsten (W)
isotopic heterogeneity in plume-derived basalts and their long-term preservation
in the mantle. Here we describe measurements of I partitioning between liquid Fe
alloys and liquid silicates at high pressure and temperature and propose that Xe
isotopic anomalies found in modern plume rocks (that is, rocks with elevated
3He/4He ratios) result from I/Pu fractionations during early, high-pressure
episodes of core formation. Our measurements demonstrate that I becomes
progressively more siderophile as pressure increases, so that portions of mantle
that experienced high-pressure core formation will have large I/Pu depletions not
related to volatility. These portions of mantle could be the source of Xe and W
anomalies observed in modern plume-derived basalts. Portions of mantle involved
in early high-pressure core formation would also be rich in FeO, and hence denser
than ambient mantle. This would aid the long-term preservation of these mantle
portions, and potentially points to their modern manifestation within seismically
slow, deep mantle reservoirs with high 3He/4He ratios.
PMID- 29368708
TI - Bashing holes in the tale of Earth's troubled youth.
PMID- 29368710
TI - Science after a year of President Trump.
PMID- 29368712
TI - A beacon at the dawn of the Universe.
PMID- 29368711
TI - Most popular public searches on gene names.
PMID- 29368714
TI - Gene names can confound most-searched listings.
PMID- 29368713
TI - Controversial femur could belong to ancient human relative.
PMID- 29368715
TI - Frontiers in biology.
PMID- 29368716
TI - Science must get ready for the next global flu crisis.
PMID- 29368717
TI - Meet the street animals that stole scientists' hearts.
PMID- 29368718
TI - Don't attack science agencies for political gain.
PMID- 29368719
TI - Test proxy carbon prices as decision-making tools.
PMID- 29368720
TI - First monkeys cloned with technique that made Dolly the sheep.
PMID- 29368721
TI - Robust research needs many lines of evidence.
PMID- 29368722
TI - Satellite images show China going green.
PMID- 29368723
TI - Science has a gambling problem.
PMID- 29368724
TI - China declared world's largest producer of scientific articles.
PMID- 29368727
TI - Brief US shutdown, harassment data and electric fishing.
PMID- 29368725
TI - Undergraduate physics labs don't improve US students' exam scores.
PMID- 29368728
TI - Eighty years of superfluidity.
PMID- 29368729
TI - US ecologists earn more in government than in academia.
PMID- 29368730
TI - Regulate prescription of Chinese medicines.
PMID- 29368731
TI - The lost art of looking at plants.
PMID- 29368733
TI - US researchers relieved as government shutdown ends.
PMID- 29368732
TI - Technology to watch in 2018.
PMID- 29368734
TI - German scientists hope for windfall from incoming government.
PMID- 29368736
TI - Vaccine boosters.
PMID- 29368737
TI - China's ban on imported plastic waste could be a game changer.
PMID- 29368738
TI - Ageing-related receptors resolved.
PMID- 29368740
TI - Calestous Juma (1953-2017).
PMID- 29368739
TI - Trapped particle makes 3D images.
PMID- 29368741
TI - Tumour metabolism: The promoter becomes the suppressor.
PMID- 29368742
TI - Oncogenes: Driving immune evasion.
PMID- 29368743
TI - Gastric cancer: Risk analysis.
PMID- 29368744
TI - Tumour microenvironment: Radical changes.
PMID- 29368745
TI - Immunotherapy: Bad B cells.
PMID- 29368746
TI - Corrigendum: Ribosome biogenesis in cancer: new players and therapeutic avenues.
AB - This corrects the article DOI: 10.1038/nrc.2017.104.
PMID- 29368747
TI - Metabolism: Fusion power.
PMID- 29368748
TI - Streams as Entanglement of Nature and Culture: European Upper Paleolithic River
Systems and Their Role as Features of Spatial Organization.
AB - Large river valleys have long been seen as important factors to shape the
mobility, communication, and exchange of Pleistocene hunter-gatherers. However,
rivers have been debated as either natural entities people adapt and react to or
as cultural and meaningful entities people experience and interpret in different
ways. Here, we attempt to integrate both perspectives. Building on theoretical
work from various disciplines, we discuss the relationship between biophysical
river properties and sociocultural river semantics and suggest that understanding
a river's persona is central to evaluating its role in spatial organization. By
reviewing the literature and analyzing European Upper Paleolithic site
distribution and raw material transfer patterns in relation to river catchments,
we show that the role of prominent rivers varies considerably over time. Both
ecological and cultural factors are crucial to explaining these patterns. Whereas
the Earlier Upper Paleolithic record displays a general tendency toward
conceiving rivers as mobility guidelines, the spatial consolidation process after
the colonization of the European mainland is paralleled by a trend of
conceptualizing river regimes as frontiers, separating archaeological entities,
regional groups, or local networks. The Late Upper Paleolithic Magdalenian,
however, is characterized again by a role of rivers as mobility and communication
vectors. Tracing changing patterns in the role of certain river regimes through
time thus contributes to our growing knowledge of human spatial behavior and
helps to improve our understanding of dynamic and mutually informed human
environment interactions in the Paleolithic.
PMID- 29368749
TI - On Textual Analysis and Machine Learning for Cyberstalking Detection.
AB - Cyber security has become a major concern for users and businesses alike.
Cyberstalking and harassment have been identified as a growing anti-social
problem. Besides detecting cyberstalking and harassment, there is the need to
gather digital evidence, often by the victim. To this end, we provide an overview
of and discuss relevant technological means, in particular coming from text
analytics as well as machine learning, that are capable to address the above
challenges. We present a framework for the detection of text-based cyberstalking
and the role and challenges of some core techniques such as author
identification, text classification and personalisation. We then discuss PAN, a
network and evaluation initiative that focusses on digital text forensics, in
particular author identification.
PMID- 29368750
TI - From Environment to Landscape. Reconstructing Environment Perception Using
Numerical Data.
AB - The paper introduces a method that links environment to landscape. The
environment-landscape divide appears because of epistemological differences:
since studying the landscape involves describing the world as it was perceived by
humans, it is difficult to access this dimension through the numerical data that
we employ when studying the environment. We approach the issue of non
correspondence between environment and landscape knowledge using fuzzy logic. The
numerical data describing two geomorphometric parameters, slope and modified
topographic index, are split each into three classes with overlapping borders.
The classes are then fused into four qualitative categories: flat wet, steep dry,
flat dry, and gradual moist. These four categories have direct correspondence in
the real world and can be observed by people through simple perception. The
correspondence of such categories to peoples' perception is checked against
evidence of past human settlement in three areas coming from Turkey, Serbia, and
Syria. The identified qualitative categories resemble the way people categorized
their landscape in all but the second case study. Humans were able to perceive
and choose areas which correspond to gradual moist in Turkey and broadly to flat
wet in Syria. However, for the Serbian example, the results are inconclusive.
PMID- 29368751
TI - The Contextual Cat: Human-Animal Relations and Social Meaning in Anglo-Saxon
England.
AB - The growing popularity of relational approaches to agency amongst archaeologists
has led to increased attention on the specific contexts of interaction between
humans and their material worlds. Within such viewpoints, non-humans are
perceived as agents in their own right and placed on an equal footing with
humans, with both acting to generate social categories in past cultures. However,
to date, the focus of these interpretative models has been overwhelmingly
directed towards inanimate objects. Animals are generally absent from these
discussions, despite their ubiquity in past societies and the frequently central
roles they held within daily lives and social relations. Moreover, living animals
are set apart from material culture because, like humans, they are usually aware
of their environs and are capable of physically responding to them. This ability
to 'act back' would have made human-animal interactions extremely dynamic and
thus offers different conceptual challenges to archaeologists than when faced
with objects. This paper demonstrates that the notion of performativity, combined
with understanding of animals themselves, can help to comprehend these relations.
It does so by focusing on one particular species, the domestic cat, in relation
to Anglo-Saxon England. The characteristics and behaviour of these animals
affected the ways in which humans perceived and interacted with them, so that
just one individual cat could be categorised in a range of different ways. The
classification of animals was thus just as fluid, if not more so, as that of
objects and highlights the need to incorporate the former into reconstructions of
the social in archaeological research.
PMID- 29368753
TI - The difference between LSMC and replicating portfolio in insurance liability
modeling.
AB - Solvency II requires insurers to calculate the 1-year value at risk of their
balance sheet. This involves the valuation of the balance sheet in 1 year's time.
As for insurance liabilities, closed-form solutions to their value are generally
not available, insurers turn to estimation procedures. While pure Monte Carlo
simulation set-ups are theoretically sound, they are often infeasible in
practice. Therefore, approximation methods are exploited. Among these, least
squares Monte Carlo (LSMC) and portfolio replication are prominent and widely
applied in practice. In this paper, we show that, while both are variants of
regression-based Monte Carlo methods, they differ in one significant aspect.
While the replicating portfolio approach only contains an approximation error,
which converges to zero in the limit, in LSMC a projection error is additionally
present, which cannot be eliminated. It is revealed that the replicating
portfolio technique enjoys numerous advantages and is therefore an attractive
model choice.
PMID- 29368752
TI - Predictors and short-term outcomes of recurrent pulmonary tuberculosis, Uganda: a
cohort study.
AB - Introduction: Recurrent tuberculosis (TB) occurring >2 years after completing
treatment for a prior TB episode is most often due to reinfection with a new
strain of M. tuberculosis. Objectives: We determined the prevalence and outcome
of late recurrent TB among hospitalized patients in Kampala, Uganda. Methods: We
conducted a retrospective analysis of patients admitted to Mulago Hospital who
had cough of >2 weeks' duration and completed TB treatment >2 years prior to
admission. All patients had mycobacterial culture performed on two sputum
specimens and vital status ascertained 2-months post-enrollment. We performed
modeling to identify predictors of recurrent TB and of survival. Results: Among
234 patients, 84 (36%) had recurrent TB. Independent predictors included younger
age (aOR=0.64, 95% CI=0.42-0.97, p=0.04), chest pain >2 weeks (aOR=3.32, 95%
CI=1.38-8.02, p=0.007), severe weight loss >=5 kilograms (aOR=4.88, 95% CI=1.66
14.29, p=0.004) and presence of >=1 WHO danger sign of severe illness (aOR=3.55,
95% CI=1.36-9.29, p=0.01). Two-month mortality was 17.8% (95% CI=10.5-29.2%), and
was higher among patients not initiated on TB treatment (aHR=16.67, 95% CI=1.18
200, p=0.04), not on ART if HIV-positive (aHR=16.99, 95% CI=1.17-246.47, p=0.04)
and with a history of smoking (aHR=1.20, 95% CI=1.03-1.40, p=0.02). Conclusion:
The high prevalence of late recurrent TB likely reflects high levels of TB
transmission in Kampala. Increased use of empiric TB treatment and early ART
treatment initiation if HIV-positive should be considered in patients with a
prior history of TB, particularly if young, with weight loss >=5kgs, chest pain
>2 weeks or >=1 WHO danger sign of severe illness.
PMID- 29368754
TI - Settlement Dynamics and Hierarchy from Agent Decision-Making: a Method Derived
from Entropy Maximization.
AB - This paper presents an agent-based complex system simulation of settlement
structure change using methods derived from entropy maximization modeling. The
approach is applied to model the movement of people and goods in urban settings
to study how settlement size hierarchy develops. While entropy maximization is
well known for assessing settlement structure change over different
spatiotemporal settings, approaches have rarely attempted to develop and apply
this methodology to understand how individual and household decisions may affect
settlement size distributions. A new method developed in this paper allows
individual decision-makers to chose where to settle based on social-environmental
factors, evaluate settlements based on geography and relative benefits, while
retaining concepts derived from entropy maximization with settlement size
affected by movement ability and site attractiveness feedbacks. To demonstrate
the applicability of the theoretical and methodological approach, case study
settlement patterns from the Middle Bronze (MBA) and Iron Ages (IA) in the Iraqi
North Jazirah Survey (NJS) are used. Results indicate clear differences in
settlement factors and household choices in simulations that lead to settlement
size hierarchies comparable to the two evaluated periods. Conflict and socio
political cohesion, both their presence and absence, are suggested to have major
roles in affecting the observed settlement hierarchy. More broadly, the model is
made applicable for different empirically based settings, while being generalized
to incorporate data uncertainty, making the model useful for understanding
urbanism from top-down and bottom-up perspectives.
PMID- 29368755
TI - LIVIVO - the Vertical Search Engine for Life Sciences.
AB - The explosive growth of literature and data in the life sciences challenges
researchers to keep track of current advancements in their disciplines. Novel
approaches in the life science like the One Health paradigm require integrated
methodologies in order to link and connect heterogeneous information from
databases and literature resources. Current publications in the life sciences are
increasingly characterized by the employment of trans-disciplinary methodologies
comprising molecular and cell biology, genetics, genomic, epigenomic,
transcriptional and proteomic high throughput technologies with data from humans,
plants, and animals. The literature search engine LIVIVO empowers retrieval
functionality by incorporating various literature resources from medicine,
health, environment, agriculture and nutrition. LIVIVO is developed in-house by
ZB MED - Information Centre for Life Sciences. It provides a user-friendly and
usability-tested search interface with a corpus of 55 Million citations derived
from 50 databases. Standardized application programming interfaces are available
for data export and high throughput retrieval. The search functions allow for
semantic retrieval with filtering options based on life science entities. The
service oriented architecture of LIVIVO uses four different implementation layers
to deliver search services. A Knowledge Environment is developed by ZB MED to
deal with the heterogeneity of data as an integrative approach to model, store,
and link semantic concepts within literature resources and databases. Future work
will focus on the exploitation of life science ontologies and on the employment
of NLP technologies in order to improve query expansion, filters in faceted
search, and concept based relevancy rankings in LIVIVO.
PMID- 29368756
TI - Rank-based methods for modeling dependence between loss triangles.
AB - In order to determine the risk capital for their aggregate portfolio, property
and casualty insurance companies must fit a multivariate model to the loss
triangle data relating to each of their lines of business. As an inadequate
choice of dependence structure may have an undesirable effect on reserve
estimation, a two-stage inference strategy is proposed in this paper to assist
with model selection and validation. Generalized linear models are first fitted
to the margins. Standardized residuals from these models are then linked through
a copula selected and validated using rank-based methods. The approach is
illustrated with data from six lines of business of a large Canadian insurance
company for which two hierarchical dependence models are considered, i.e., a
fully nested Archimedean copula structure and a copula-based risk aggregation
model.
PMID- 29368757
TI - Personal, Political, Pedagogic: Challenging the Binary Bind in Archaeological
Teaching, Learning and Fieldwork.
AB - In this paper, we consider how we can undercut the various binaries of gender and
sexuality in archaeological practice and particularly in our teaching. We argue
that taking an assemblage theory approach enables us to look at the multiplicity
of identities of those practicing archaeology as different and intersecting
assemblages that bring one another into being through their connections at
different scales. In particular, we examine how this approach can be applied to
archaeological pedagogy and how this in turn enables us to move away from modern
binary distinctions about sex and gender identities from the 'bottom up',
fostering an approach in our students that will then go on to be developed in
professional practice.
PMID- 29368758
TI - Modelling the effect of religion on human empathy based on an adaptive temporal
causal network model.
AB - Background: Religion is a central aspect of many individuals' lives around the
world, and its influence on human behaviour has been extensively studied from
many different perspectives. Methods: The current study integrates a number of
these perspectives into one adaptive temporal-causal network model describing the
mental states involved, their mutual relations, and the adaptation of some of
these relations over time due to learning. Results: By first developing a
conceptual representation of a network model based on the literature, and then
formalizing this model into a numerical representation, simulations can be done
for almost any kind of religion and person, showing different behaviours for
persons with different religious backgrounds and characters. The focus was mainly
on the influence of religion on human empathy and dis-empathy, a topic very
relevant today. Conclusions: The developed model could be valuable for many uses,
involving support for a better understanding, and even prediction, of the
behaviour of religious individuals. It is illustrated for a number of different
scenarios based on different characteristics of the persons and of the religion.
PMID- 29368759
TI - Ischemic preconditioning treatment of astrocytes transfers ischemic tolerance to
neurons.
AB - Ischemic preconditioning (IPC) represents a potential therapy against cerebral
ischemia. While our group has previously shown IPC to induce neuroprotection
through various pathways, the role of astrocytes in supporting IPC-induced
neuroprotection has not been extensively studied. Astrocyte-derived lactate has
gained attention as a potential soluble mediator through which astrocytes could
impart ischemic tolerance to neurons. Therefore, the goal of this study was to
determine if i) IPC-treatment of astrocytes alone could transfer ischemic
tolerance to neurons; ii) if IPC-treatment of astrocytes increases lactate
production; and if iii) exogenous lactate administration to neurons could induce
neuroprotection against lethal ischemia in vitro. For this purpose, a co-culture
system was used and modified from a previous method. This system allows
astrocytes and neurons to be separated by a physical barrier, while allowing
secreted substances from either cell type to interact with each other. Oxygen
glucose deprivation was used as a model of cerebral ischemia and IPC in cultured
rodent astrocytes and neurons. Neurons incubated with IPC-treated astrocytes were
significantly protected against lethal ischemic injury compared to neurons
incubated with sham-treated astrocytes. In addition, IPC-treatment of astrocytes
significantly increased lactate secretion into the extracellular media. Finally,
exogenous lactate administration can significantly attenuate cell death in
neuronal cultures following exposure to lethal OGD. Our results suggest that IPC
treatment of astrocytes alone can transfer ischemic tolerance to neurons. In
addition, the ability of IPC to increase lactate production in astrocytes suggest
that lactate could represent a neuroprotective agent to protect neurons against
lethal ischemic injury.
PMID- 29368760
TI - Scalable DB+IR Technology: Processing Probabilistic Datalog with HySpirit.
AB - Probabilistic Datalog (PDatalog, proposed in 1995) is a probabilistic variant of
Datalog and a nice conceptual idea to model Information Retrieval in a logical,
rule-based programming paradigm. Making PDatalog work in real-world applications
requires more than probabilistic facts and rules, and the semantics associated
with the evaluation of the programs. We report in this paper some of the key
features of the HySpirit system required to scale the execution of PDatalog
programs. Firstly, there is the requirement to express probability estimation in
PDatalog. Secondly, fuzzy-like predicates are required to model vague predicates
(e.g. vague match of attributes such as age or price). Thirdly, to handle large
data sets there are scalability issues to be addressed, and therefore, HySpirit
provides probabilistic relational indexes and parallel and distributed
processing. The main contribution of this paper is a consolidated view on the
methods of the HySpirit system to make PDatalog applicable in real-scale
applications that involve a wide range of requirements typical for data
(information) management and analysis.
PMID- 29368761
TI - Chiral effects on the final step of an octahedron-shaped coordination capsule
self-assembly.
AB - The final step of the self-assembly of an octahedron-shaped coordination capsule
was investigated by a novel theoretical method. Two different reaction pathways
were detected and classified by the chiral types of constituents, which addressed
the possibility of chiral effects on the self-assembly process.
PMID- 29368762
TI - Effects of structures of bidentate Schiff base type bonded-ligands derived from
benzaldehyde on the photoluminescence performance of polymer-rare earth
complexes.
AB - Two kinds of bidentate Schiff base ligands derived from benzaldehyde,
benzaldehyde/m-aminophenol (BAMA) type and benzaldehyde/glutamic acid (BAGL) type
ligands, were synchronously synthesized and bonded on the backbone of polysulfone
(PSF) through molecular design and by polymer reactions, and two functional
polymers, PSF-BAMA and PSF-BAGL, were obtained. Then two series of novel
luminescent Schiff base-type polymer-rare earth complexes were prepared via
coordination reactions. In this work, the effects of the structures of the bonded
ligands on the photoluminescence performance of the complexes were investigated
in detail, and for the different photophysical properties of the prepared
complexes, relevant theoretical explanations were given. The experimental results
show that the bonded ligand BAMA can strongly sensitize the fluorescence emission
of Eu(iii) ions, and the binary complex PSF-(BAMA)3-Eu(iii) emits strong red
fluorescence under UV light. The reason for this lies in the fact that a larger
conjugate pi-bond system is contained in the structure of BAMA, and so the
triplet state of BAMA can be matched with the resonant energy level of the
Eu(iii) ion. While the bonded ligand BAGL can effectively sensitize the
fluorescence emission of Tb(iii) ions, the binary complex PSF-(BAGL)3-Tb(iii)
exhibits very strong green fluorescence under UV light. The reason is that a
smaller conjugate pi-bond system is contained in the structure of BAGL and there
is a good energy level matching between the triplet state of BAGL and the
resonant energy level of the Tb(iii) ion. The fluorescence intensities of the two
ternary complexes, PSF-(BAMA)3-Eu(iii)-(Phen)1 (phenanthroline, Phen) and PSF
(BAGL)3-Tb(iii)-(Phen)1, are much stronger than that of the corresponding binary
complex because Phen as the second ligand has two effects, the effect of
synergistic coordination with the first ligand and the effect of replacing the
coordinated water around the central ion, and it has been confirmed by
fluorescence spectroscopy and thermogravimetric analysis.
PMID- 29368763
TI - Physical and compositional analysis of differently cultured 3D human skin
equivalents by confocal Raman spectroscopy.
AB - Three-dimensional skin equivalents are increasingly gaining acceptance as non
animal based experimental models of human skin. They are particularly suited to
studying differences in physical and compositional properties of normal and
diseased skin and their impact on the skin's barrier function. Typically, a
culture protocol yielding a model of normal skin is modified to create a model
simulating a pathology. Skin layer thicknesses and lipid/protein contents are
compared using methods that are invasive, precluding further experiments on the
same replicates, and which may be prone to artefacts. We show here that confocal
Raman spectroscopy (CRS) is a valuable method for non-invasive discrimination of
skin equivalents grown under different culture conditions. Using 3D full
thickness skin equivalents developed in-house, we measure significant differences
in stratum corneum and viable epidermis apparent thicknesses resulting from a 7
day difference in the cultures' air-lift phase and from supplementation of the
culture medium with interleukin 4. Furthermore, stratum corneum thicknesses
obtained by CRS are up to 2.6-fold higher than values measured from histological
photomicrographs. Regarding composition, CRS reveals the differential effects of
the culture protocol modifications on ceramide, cholesterol and protein
composition as a function of depth in the stratum corneum.
PMID- 29368764
TI - Raman spectroscopy of graphene-based materials and its applications in related
devices.
AB - Graphene-based materials exhibit remarkable electronic, optical, and mechanical
properties, which has resulted in both high scientific interest and huge
potential for a variety of applications. Furthermore, the family of graphene
based materials is growing because of developments in preparation methods. Raman
spectroscopy is a versatile tool to identify and characterize the chemical and
physical properties of these materials, both at the laboratory and mass
production scale. This technique is so important that most of the papers
published concerning these materials contain at least one Raman spectrum. Thus,
here, we systematically review the developments in Raman spectroscopy of graphene
based materials from both fundamental research and practical (i.e., device
applications) perspectives. We describe the essential Raman scattering processes
of the entire first- and second-order modes in intrinsic graphene. Furthermore,
the shear, layer-breathing, G and 2D modes of multilayer graphene with different
stacking orders are discussed. Techniques to determine the number of graphene
layers, to probe resonance Raman spectra of monolayer and multilayer graphenes
and to obtain Raman images of graphene-based materials are also presented. The
extensive capabilities of Raman spectroscopy for the investigation of the
fundamental properties of graphene under external perturbations are described,
which have also been extended to other graphene-based materials, such as graphene
quantum dots, carbon dots, graphene oxide, nanoribbons, chemical vapor deposition
grown and SiC epitaxially grown graphene flakes, composites, and graphene-based
van der Waals heterostructures. These fundamental properties have been used to
probe the states, effects, and mechanisms of graphene materials present in the
related heterostructures and devices. We hope that this review will be beneficial
in all the aspects of graphene investigations, from basic research to material
synthesis and device applications.
PMID- 29368765
TI - A self-assembled peroxidase from 5'-GMP and heme.
AB - Guanosine 5'-monophosphate (5'-GMP) and Fe(iii)-heme form a supramolecular
catalyst with peroxidase activity. Catalysis, which depends on self-assembly of
5'-GMP into a G-quadruplex that binds hemin, can be modulated by nucleotide
concentration, temperature and the identity of the nucleotide's sugar.
PMID- 29368766
TI - Facile synthesis of pyrroloindoles via a rhodium(ii)-catalyzed annulation of 3
benzylidene-indolin-2-ones and alpha-imino carbenes.
AB - The annulation of 3-benzylidene-indolin-2-ones with alpha-imino rhodium carbenes
generated in situ from N-sulfonyl-1,2,3-triazoles is presented. Through the
appropriate choice of catalyst, the reactions can be reasonably modulated, and
consequently, a number of pyrroloindole derivatives were constructed in moderate
to excellent yields.
PMID- 29368767
TI - Task-specific design of a hierarchical porous aromatic framework as an
ultrastable platform for large-sized catalytic active site binding.
AB - An amine-tagged hierarchical porous aromatic framework PAF70-NH2 with ultra
stability and narrowly distributed mesopores was synthesized. PAF70-NH2 has high
potential for covalently immobilizing a relatively large-sized catalyst inside
its pores. This work gave a perfect example of using PAF70-NH2 as a platform for
completely recyclable heterogeneous organocatalysis.
PMID- 29368768
TI - Designing anisotropic cyanometallate coordination polymers with unidirectional
thermal expansion (TE): 2D zero and 1D colossal positive TE.
AB - Oxidative addition of halogens to tetracyanoplatinate results in the formation of
a Pt(iv)-building block that, combined with Cu(ii), forms coordination polymers
Cu(H2O)2[PtX2(CN)4] (X = Br, Cl). These materials exhibit directional anisotropic
thermal expansion: nearly zero expansion within the cyanometallate sheets and
positive thermal expansion in the third dimension.
PMID- 29368770
TI - Co2P quantum dot embedded N, P dual-doped carbon self-supported electrodes with
flexible and binder-free properties for efficient hydrogen evolution reactions.
AB - Transition metal phosphides (TMPs) are considered to be superb catalysts for
water splitting. In this work, we introduce an efficient strategy to fabricate
dicobalt phosphide (Co2P) quantum dots embedded in N, P dual-doped carbon
(Co2P@NPC) on carbon cloth (Co2P@NPC/CC) by in situ carbonization of cobalt ion
induced phytic acid (PA) and polyaniline (PANI) macromolecule precursors. As a
highly efficient self-supported electrode, it has a low onset overpotential (74
mV at 1 mA cm-2) approaching that of the commercial Pt/C catalyst for the
hydrogen evolution reaction (HER) in acidic media. Meanwhile, it also shows very
low overpotentials of only 116 and 129 mV at 10 mA cm-2 with robust stability in
acidic and alkaline media, respectively.
PMID- 29368769
TI - Robust light harvesting by a noisy antenna.
AB - Photosynthetic light harvesting can be very efficient in solar energy conversion
while taking place in a highly disordered and noisy physiological environment.
This efficiency is achieved by the ultrafast speed of the primary photosynthetic
processes, which is enabled by a delicate interplay of quantum effects,
thermodynamics and environmental noise. The primary processes take place in light
harvesting antennas built from pigments bound to a fluctuating protein scaffold.
Here, we employ ultrafast single-molecule spectroscopy to follow fluctuations of
the femtosecond energy transfer times in individual LH2 antenna complexes of
purple bacteria. By combining single molecule results with ensemble spectroscopy
through a unified theoretical description of both, we show how the protein
fluctuations alter the excitation energy transfer dynamics. We find that from the
thirteen orders of magnitude of possible timescales from picoseconds to minutes,
the relevant fluctuations occur predominantly on a biological timescale of
seconds, i.e. in the domain of slow protein motion. The measured spectra and
dynamics can be explained by the protein modulating pigment excitation energies
only. Moreover, we find that the small spread of pigment mean energies allows for
excitation delocalization between the coupled pigments to survive. These unique
features provide fast energy transport even in the presence of disorder. We
conclude that this is the mechanism that enables LH2 to operate as a robust light
harvester, in spite of its intrinsically noisy biological environment.
PMID- 29368771
TI - Label-free and ultrasensitive detection of polynucleotide kinase activity at the
single-cell level.
AB - We develop a simple and label-free fluorescence method for the polynucleotide
kinase (PNK) assay based on phosphorylation-triggered isothermal exponential
amplification. This method exhibits ultrahigh sensitivity superior to most
existing PNK assays. Importantly, this method enables sensitive detection of
endogenous PNK activity at the single-cell level, holding great potential in
clinical diagnosis and biomedical research.
PMID- 29368772
TI - Transformative piezoelectric enhancement of P(VDF-TrFE) synergistically driven by
nanoscale dimensional reduction and thermal treatment.
AB - Despite the significant potential of organic piezoelectric materials in the
electro-mechanical or mechano-electrical applications that require light and
flexible material properties, the intrinsically low piezoelectric performance as
compared to traditional inorganic materials has limited their full utilization.
In this study, we demonstrate that dimensional reduction of poly(vinylidene
fluoride trifluoroethylene) (P(VDF-TrFE)) at the nanoscale by electrospinning,
combined with an appropriate thermal treatment, induces a transformative
enhancement in piezoelectric performance. Specifically, the piezoelectric
coefficient (d33) reached up to -108 pm V-1, approaching that of inorganic
counterparts. Electrospun mats composed of thermo-treated 30 nm nanofibers with a
thickness of 15 MUm produced a consistent peak-to-peak voltage of 38.5 V and a
power output of 74.1 MUW at a strain of 0.26% while sustaining energy production
over 10k repeated actuations. The exceptional piezoelectric performance was
realized by the enhancement of piezoelectric dipole alignment and the
materialization of flexoelectricity, both from the synergistic effects of
dimensional reduction and thermal treatment. Our findings suggest that
dimensionally controlled and thermally treated electrospun P(VDF-TrFE) nanofibers
provide an opportunity to exploit their flexibility and durability for
mechanically challenging applications while matching the piezoelectric
performance of brittle, inorganic piezoelectric materials.
PMID- 29368773
TI - Vacancy engineering of Cu2-xSe nanoparticles with tunable LSPR and magnetism for
dual-modal imaging guided photothermal therapy of cancer.
AB - The vacancies in the semiconductor nanocrystals not only induce unique
properties, but also provide spaces for engineering them with multifunctions by
the introduction of other elements. Herein, the vacancy of Cu2-xSe nanoparticles
was tuned by doping with magnetic ferric ions (Fe3+) at room temperature, and the
position and intensity of the near-infrared localized surface plasmon resonance
(LSPR) in the resultant nanostructure can be finely controlled by altering the
feeding amount of Fe3+ ions. The results of the density-functional theory (DFT)
calculations show that both doping and replacement reactions are favourable.
Owing to its tunable near-infrared absorption and magnetic property, the obtained
hybrid nanostructure was demonstrated to be a novel nanotheranostic agent for
effective deep-tissue photoacoustic imaging, magnetic resonance imaging, and
photothermal therapy of cancer.
PMID- 29368774
TI - Modular design of optically controlled protein affinity reagents.
AB - Photopharmaceuticals can, in principle, be created by linking photoswitchable
moieties to bioactive molecules. However, a general strategy for converting a
therapeutic agent into its photoswitchable version is not currently available.
Herein we propose a generalizable, modular approach for obtaining light
controllable bioactive agents by modifying the scaffold of a protein affinity
reagent using an azobenzene photoswitch.
PMID- 29368775
TI - Evaluation of RGD functionalization in hybrid hydrogels as 3D neural stem cell
culture systems.
AB - The use of neural stem cells (NSCs) in cell therapy has become a powerful tool
used for the treatment of central nervous system diseases, including traumatic
brain and spinal cord injuries. However, a significant drawback is related to the
limited viability after transplantation in situ. The design of three-dimensional
(3D) scaffolds that are capable of resembling the architecture and physico
chemical features of an extracellular environment could be a suitable approach to
improve cell survival and preserve their cellular active phase over time. In this
study, we investigated NSC adhesion and proliferation in hydrogel systems. In
particular, we evaluated the effect of RGD binding domains on cell fate within
the polymeric scaffold. The introduction of a tripeptide via hydrogel chemical
functionalization improved the percentage of proliferating cells until 8 days
after seeding when compared to the unmodified scaffold. The beneficial effects of
this 3D culture system was further evident when compared to a NSC monolayer (2D)
culture, resulting in an approximately 40% increase in cells in the active phases
at 4 and 8 days, and maintained a difference of 25% until 21 days after seeding.
PMID- 29368776
TI - Thermodynamic evidence of flexibility in H2O and CO2 absorption of transition
metal ion exchanged zeolite LTA.
AB - Gas absorption calorimetry has been employed to probe the intercation of water
and carbon dioxide with transition metal ion (TM = Mn2+, Fe2+, Co2+, Cu2+, and
Zn2+) exchanged zeolite A samples. There appears to be a two-phase region,
indicative of a guest-induced flexibility transition, separating hydrated zeolite
A and its dehydrated form, both of which have variable water content in the
single phase region. The differential enthalpy of absorption as a function of
water loading directly identifies different strengths of multiple interactions
along with possible binding mechanisms of Zn-A and Mn-A exhibiting the highest
water absorption with most exothermic initial enthalpies of -125.28 +/- 4.82 and
115.30 +/- 2.56 kJ mol-1. Zn-A and Mn-A also show moderately good capture ability
for CO2 with zero-coverage negative enthalpies of -55.59 +/- 2.48 and -44.07 +/-
1.53 kJ mol-1. The thermodynamic information derived from differential enthalpy,
chemical potential and differential entropy elucidated the multistage interactive
behavior of small guest molecules (H2O/CO2) and ion-exchanged frameworks.
PMID- 29368782
TI - Dynamics of a colloidal particle near a thermoosmotic wall under illumination.
AB - The effects of light on colloidal particles in solution are multiple, including
transfer of photonic linear/angular momentum and heating of the particles or
their surroundings. The temperature increase around colloidal particles due to
light heating can drive a thermoosmotic flow along a nearby boundary wall, which
significantly influences the motion of the particles. Here we perform mesoscopic
dynamics simulations to study two typical scenarios, where thermoosmosis is
critical. In the first scenario, we consider a light-absorbing colloidal particle
heated by uniform illumination. Depending on the fluid-wall interactions, the
thermoosmotic flow generated by the wall can exert a long-ranged hydrodynamic
attraction or repulsion on the "hot" Brownian particle, which leads to a strong
accumulation/depletion of the particle to/from the boundary. In the second
scenario, we investigate the motion of a colloidal particle confined by an
optical tweezer in a light-absorbing solution. In this case, thermoosmosis can
induce a unidirectional rotation of the trapped particle, whose direction is
determined by thermoosmotic properties of the wall. We show that colloidal
particles near a thermoosmotic wall exhibit rich dynamics. Our results can be
applied for the manipulation of colloidal particles in microfluidics.
PMID- 29368784
TI - Oxygen vacancy regulation on tungsten oxides with specific exposed facets for
enhanced visible-light-driven photocatalytic oxidation.
AB - Introduced oxygen vacancy on WO3 with specific exposed facets was prepared
through facile solvothermal treatment and different cooling methods. We
demonstrated that the density of oxygen defects could be regulated by different
cooling methods and speculated that oxygen vacancy with appropriate concentration
range could promote photocatalytic activity through suppressing the recombination
of photo-induced carriers. The specific exposed facets with higher oxidation
efficiency were prepared by solvothermal reaction. WO3-A treated by air cooling
exhibits the best photocatalytic oxygen evolution rate at 500 MUmol g-1 h-1 using
AgNO3 as sacrifice agent under visible light (lambda > 400 nm) without any co
catalysts, which is about 2 times higher than WO3-N without oxygen defects. This
strategy, using different cooling methods to regulate oxygen vacancy
concentration on tungsten oxides, could contribute to the design of other high
efficiency photocatalysts.
PMID- 29368785
TI - Electrophilic fluorination of stereodefined disubstituted silyl ketene
hemiaminals en route to tertiary alpha-fluorinated carbonyl derivatives.
AB - A highly diastereoselective synthesis of tertiary alpha-fluoro carbonyl compounds
is reported in only two chemical steps from a simple alkyne through the reaction
of stereodefined fully substituted silyl ketene hemiaminal derivatives with
Selectfluor.
PMID- 29368787
TI - Correction: Geometric frustration and compatibility conditions for two
dimensional director fields.
AB - Correction for 'Geometric frustration and compatibility conditions for two
dimensional director fields' by Idan Niv et al., Soft Matter, 2018, DOI: .
PMID- 29368788
TI - New insights into the nature of semi-soft elasticity and "mechanical-Freedericksz
transitions" in liquid crystal elastomers.
AB - The mechanical properties of an all-acrylate liquid crystal elastomer (LCE) with
a glass transition of 14 +/- 1 degrees C are reported. The highly nonlinear load
curve has a characteristic shape associated with semi-soft elasticity (SSE).
Conversely, measurements of the director orientation throughout tensile loading
instead indicate a "mechanical-Freedericksz" transition (MFT). Values of the step
length anisotropy, r, are independently calculated from the theories of SSE (r =
3.2 +/- 0.4), MFT (9.3 < r < 30.0) and thermally-induced length change (r = 3.8
+/- 0.5). From simultaneously recorded polarising microscopy textures, the
consequences of the above discrepancies are considered. Further, a mechanically
induced negative order parameter is observed. Results show the tensile load curve
shape cannot solely be used to determine the underlying physics. Consequently,
the LCE properties cannot be fully described by theories of SSE or MFTs alone.
This suggests that the theory of LCEs is not yet complete. The conclusions
suggest that both the LC order parameter and r must be functions of the
mechanical deformation.
PMID- 29368789
TI - Techniques for Repair of Obstetric Anal Sphincter Injuries.
AB - Importance: Obstetric anal sphincter injuries (OASISs) complicate up to 11% of
vaginal deliveries; obstetricians must be able to recognize and manage these
technically challenging injuries. Objective: The aim of this study was to share
our approach for management of these challenging complications of childbirth
based on a multidisciplinary collaboration between general obstetrician
gynecologists, maternal fetal medicine specialists, and female pelvic medicine
and reconstructive surgeons established at our institution. Evidence Acquisition:
A systematic literature search was performed in 3 search engines: PubMed 1946-,
EMBASE 1947-, and the Cochrane Database of Systematic Reviews using keywords
obstetric anal sphincter injuries and episiotomy repair. Results: Identification
should begin with an assessment of risk factors, notably nulliparity and
operative vaginal delivery, consistently associated with the highest risk of
OASISs, and proceed with a thorough examination to grade the degree of
laceration. Repair should be performed or supervised by an experienced clinician
in an operating room with either regional or general anesthesia. The external
anal sphincter may be repaired using either an overlapping or end-to-end
anastomosis. Providers should be comfortable with both approaches as the degree
of laceration may necessitate one approach over the other. We advocate for use of
monofilament suture on all layers to decrease risk of bacterial seeding, as well
as preoperative antibiotics and postoperative bowel regimen, which are associated
with improved outcomes. Conclusions and Relevance: Long-term sequelae, including
pain, dyspareunia, and fecal incontinence, significantly impact quality of life
for many patients who suffer OASISs and may be avoided if evidence-based
guidelines for recognition and repair are utilized.
PMID- 29368790
TI - Pravastatin for Preventing and Treating Preeclampsia: A Systematic Review.
AB - Importance: We have performed a systematic search to summarize the role of
statins for preventing and treating severe preeclampsia. Objective: The aim of
this study was to examine whether pravastatin is a useful and safe alternative
for treating preeclampsia during pregnancy. Evidence Acquisition: A systematic
MEDLINE (PubMed) search was performed (1979 to June 2017), which was restricted
to articles published in English, using the relevant key words of "statins,"
"pregnancy," "preeclampsia," "obstetrical antiphospholipid syndrome," and
"teratogenicity." Results: The initial search provided 296 articles. Finally, 146
articles were related to the use of statins during pregnancy, regarding their
effect on the fetus and the treatment of preeclampsia. Ten studies were related
to in vitro studies, 25 in animals, and 24 in humans (13 case report series and
11 cohort studies). We found 84 studies on reviews of such guidelines on
cardiovascular disease (35 studies), use of statins in the antiphospholipid
syndrome (25 studies), statin's specific use during pregnancy (13 studies), or
preeclampsia treatment (11 studies). Conclusions: Although the studies are of
poor quality, the rate of major congenital abnormalities in the newborn exposed
to statins during pregnancy is no higher than the expected when compared with
overall risk population. The review shows a potential beneficial role of statins
in preventing and treating severe preeclampsia that needs to be evaluated through
well-designed clinical trials. Relevance: This update could influence positively
the clinical practice, giving an alternative therapy for clinicians who treat
preeclampsia, particularly in severe cases.
PMID- 29368791
TI - Contraceptive Care of Obese Women.
AB - Importance: In the United States, 27% of reproductive-aged women are overweight,
and 35% are obese. Until recently, contraceptive research excluded women greater
than 130% of their ideal body weight. Gaps in data limit evidence-based decision
making for effective contraceptive counseling and management in this rapidly
growing population. Objectives: The aims of this summary are to review the
benefits, adverse effects, and risk factors associated with contraceptive methods
in obese women, with special attention to effectiveness, and provide
contraceptive management and counseling recommendations for best clinical
practice. Evidence Acquisition: We performed a thorough search of PubMed for
current literature, including original research articles, review articles, and
guidelines on contraceptive counseling and management in obese women in the
United States. We reviewed the body of evidence with special attention to studies
published since recent Cochrane and systematic reviews on this topic.
Conclusions: Contraceptive use is safer than pregnancy, especially for obese
women who have increased pregnancy risks. Baseline health is compromised by
obesity, but contraceptive risks remain low. Long-acting, reversible
contraceptive methods offer superior effectiveness, minimal risk, and numerous
noncontraceptive benefits across body mass index groups. No substantial evidence
supports ineffectiveness of any method in obese women except oral emergency
contraception and the patch. Pharmacokinetic changes of obesity associated with
other oral methods do not seem to result in clinically significant declines in
effectiveness. Future contraceptive efficacy studies should include obese women
to better discuss their contraceptive needs. Relevance: This review is beneficial
for all providers caring for reproductive-aged women wanting to avoid unintended
pregnancy.
PMID- 29368792
TI - Deformation behaviour of aged coronal dentin.
AB - OBJECTIVE: This study aimed to identify the changes in the time-dependent
deformation response of coronal dentin with ageing and its relationship with
changes in chemical composition. BACKGROUND: The structural behaviour of dentin
with ageing is affected by changes in the density and diameter of its dentinal
tubules (ie porosity), as well as changes in chemical composition throughout the
tooth. However, little is known about the time-dependent deformation behaviour of
aged dentin and the importance of its hierarchical structure and variations in
chemical composition. MATERIALS AND METHODS: The spherical indentation response
of aged coronal dentin was analysed in the outer, middle and inner regions, and
its time-dependent deformation response was modelled in terms of its
microstructure and chemical composition using a model recently proposed for young
dentin. RESULTS: The viscous deformation behaviour of aged dentin followed a
power-law response with a decrease in the stress exponent when compared to young
dentin. These results can be explained by cross-linking of the collagen present
in the tissue. CONCLUSION: A decrease in the deformation ability of aged dentin
was found. This behaviour could be a result of a dissolution process and
reprecipitation of the minerals present in intertubular dentin into the dentinal
tubules.
PMID- 29368793
TI - Different aberrant expression pattern of immune checkpoint receptors in patients
with PTCL and NK/T-CL.
AB - AIM: To better understand the T-cell immunodeficiency status in patients with
peripheral T-cell lymphomas (PTCLs) and NK/T-cell lymphomas (NK/T-CLs), the T
cell inhibitory receptors expression pattern was investigated. METHODS: The
expression levels of programmed death 1 (PD-1), cytotoxic T lymphocyte-associated
antigen 4 (CTLA-4), B/T lymphocyte attenuator (BTLA), lymphocyte-activation gene
3 (LAG-3), T-cell immunoglobulin-3 (TIM-3), T-cell immunoglobulin and ITIM domain
(TIGIT) genes were detected in peripheral blood mononuclear cells (PBMCs) from
patients and healthy volunteers by quantitative real-time-PCR, the correlation
between different gene expression levels was analyzed. RESULTS: Significantly
higher expression of PD-1, CTLA-4, BTLA, LAG-3, TIM-3 and TIGIT can be observed
as a common characteristic in patients with PTCL or NK/T-CL. However, the
coexpression pattern seemed different between subtypes. Their overexpression is
also related to disease progression stage. CONCLUSION: We first characterized the
expression pattern of six T-cell inhibitory receptor genes in PTCL and NK/T-CL,
which might work as immune biomarkers for evaluation the immunosuppression status
and help to establish the precision targets of immunotherapy.
PMID- 29368794
TI - Amplification of FRS2 in atypical lipomatous tumour/well-differentiated
liposarcoma and de-differentiated liposarcoma: a clinicopathological and genetic
study of 146 cases.
AB - AIMS: The aim of this study was to evaluate the frequency of FRS2 amplification
and its relationship with the clinicopathological features of atypical lipomatous
tumour (ALT)/well-differentiated liposarcoma (WDL)/de-differentiated liposarcoma
(DDL). METHODS AND RESULTS: FRS2 and MDM2 fluorescence in-situ hybridisation
(FISH) was performed on 146 tumours (70 ALT/WDLs and 76 DDLs). One hundred and
eight control samples were included for FRS2 analysis. FRS2 amplification was
detected in 136 of 146 (93.2%) ALT/WDL/DDLs, including 63 ALT/WDLs and 73 DDLs. A
higher FRS2/CEP12 ratio was observed in DDLs than in ALT/WDLs (P = 0.0005). The
FRS2/CEP12 ratio of peripheral tumours was lower than that of central tumours (P
= 0.00004). All the ALT/WDL/DDLs showed MDM2 amplification (100%). The MDM2+
/FRS2- series included seven ALT/WDLs and three DDLs. Four of seven (57.1%) MDM2+
/FRS2- ALT/WDLs occurred in peripheral sites, slightly higher than the percentage
of MDM2+ /FRS2+ ALT/WDLs (28 of 63, 44.4%). All the three MDM2+ /FRS2- DDLs
(100%) were peripheral tumours, a much higher proportion than that of MDM2+
/FRS2+ DDLs (10 of 73, 13.7%). A high percentage of homologous pleomorphic
liposarcoma-like DDLs (two of three) were observed in the MDM2+ /FRS2- group. In
the control group all the parosteal osteosarcomas (five of five, 100%) were FRS2
amplified, whereas the remaining 103 samples were FRS2 non-amplified.
CONCLUSIONS: These findings suggest that FRS2 is amplified consistently in
ALT/WDL/DDLs and offer another avenue for the investigation of the biology of
this tumour group. MDM2+ /FRS2- cases seem to be associated with certain
clinicopathological features, and further investigation is needed.
PMID- 29368795
TI - Intrauterine insemination versus intracervical insemination in donor sperm
treatment.
AB - BACKGROUND: The first-line treatment in donor sperm treatment consists of
inseminations that can be done by intrauterine insemination (IUI) or by
intracervical insemination (ICI). OBJECTIVES: To compare the effectiveness and
safety of intrauterine insemination (IUI) and intracervical insemination (ICI) in
women who start donor sperm treatment. SEARCH METHODS: We searched the Cochrane
Gynaecology and Fertility Group Trials Register, CENTRAL, MEDLINE, Embase,
PsycINFO, CINAHL in October 2016, checked references of relevant studies, and
contacted study authors and experts in the field to identify additional studies.
We searched PubMed, Google Scholar, the Grey literature, and five trials
registers on 15 December 2017. SELECTION CRITERIA: We included randomised
controlled trials (RCTs) reporting on IUI versus ICI in natural cycles or with
ovarian stimulation, and RCTs comparing different cointerventions in IUI and ICI.
We included cross-over studies if pre-cross-over data were available. DATA
COLLECTION AND ANALYSIS: We used standard methodological procedures recommended
by Cochrane. We collected data on primary outcomes of live birth and multiple
pregnancy rates, and on secondary outcomes of clinical pregnancy, miscarriage,
and cancellation rates. MAIN RESULTS: We included six RCTs (708 women analysed)
on ICI and IUI in donor sperm treatment. Two studies compared IUI and ICI in
natural cycles, two studies compared IUI and ICI in gonadotrophin-stimulated
cycles, and two studies compared timing of IUI and ICI. There was very low
quality evidence; the main limitations were risk of bias due to poor reporting of
study methods, and serious imprecision.IUI versus ICI in natural cyclesThere was
insufficient evidence to determine whether there was any clear difference in live
birth rate between IUI and ICI in natural cycles (odds ratio (OR) 3.24, 95%
confidence interval (CI) 0.12 to 87.13; 1 RCT, 26 women; very low-quality
evidence). There was only one live birth in this study (in the IUI group). IUI
resulted in higher clinical pregnancy rates (OR 6.18, 95% CI 1.91 to 20.03; 2
RCTs, 76 women; I2 = 48%; very low-quality evidence).No multiple pregnancies or
miscarriages occurred in this study.IUI versus ICI in gonadotrophin-stimulated
cyclesThere was insufficient evidence to determine whether there was any clear
difference in live birth rate between IUI and ICI in gonadotrophin-stimulated
cycles (OR 2.55, 95% CI 0.72 to 8.96; 1 RCT, 43 women; very low-quality
evidence). This suggested that if the chance of a live birth following ICI in
gonadotrophin-stimulated cycles was assumed to be 30%, the chance following IUI
in gonadotrophin-stimulated cycles would be between 24% and 80%. IUI may result
in higher clinical pregnancy rates than ICI (OR 2.83, 95% CI 1.38 to 5.78; 2
RCTs, 131 women; I2 = 0%; very low-quality evidence). IUI may be associated with
higher multiple pregnancy rates than ICI (OR 2.77, 95% CI 1.00 to 7.69; 2 RCTs,
131 women; I2 = 0%; very low-quality evidence). This suggested that if the risk
of multiple pregnancy following ICI in gonadotrophin-stimulated cycles was
assumed to be 10%, the risk following IUI would be between 10% and 46%.We found
insufficient evidence to determine whether there was any clear difference between
the groups in miscarriage rates in gonadotrophin-stimulated cycles (OR 1.97, 95%
CI 0.43 to 9.04; 2 RCTs, overall 67 pregnancies; I2 = 50%; very low-quality
evidence).Timing of IUI and ICIWe found no studies that reported on live birth
rates.We found a higher clinical pregnancy rate when IUI was timed one day after
a rise in blood levels of luteinising hormone (LH) compared to IUI two days after
a rise in blood levels of LH (OR 2.00, 95% CI 1.14 to 3.53; 1 RCT, 351 women; low
quality evidence). We found insufficient evidence to determine whether there was
any clear difference in clinical pregnancy rates between ICI timed after a rise
in urinary levels of LH versus a rise in basal temperature plus cervical mucus
scores (OR 1.31, 95% CI 0.42 to 4.11; 1 RCT, 56 women; very low-quality
evidence).Neither of these studies reported multiple pregnancy or miscarriage
rates as outcomes. AUTHORS' CONCLUSIONS: There was insufficient evidence to
determine whether there was a clear difference in live birth rates between IUI
and ICI in natural or gonadotrophin-stimulated cycles in women who started with
donor sperm treatment. There was insufficient evidence available for the effect
of timing of IUI or ICI on live birth rates. Very low-quality data suggested that
in gonadotrophin-stimulated cycles, ICI may be associated with a higher clinical
pregnancy rate than IUI, but also with a higher risk of multiple pregnancy rate.
We concluded that the current evidence was too limited to choose between IUI or
ICI, in natural cycles or with ovarian stimulation, in donor sperm treatment.
PMID- 29368797
TI - A two-path model of auditory modulation detection using temporal fine structure
and envelope cues.
AB - A model using temporal-envelope cues was previously developed to explain
perceptual interference effects between amplitude modulation and frequency
modulation (FM). As that model could not accurately predict FM sensitivity and
the interference effects, temporal fine structure (TFS) cues were added to the
model. Thus, following the initial stage of the model consisting of a linear
filter bank simulating cochlear filtering, processing was split into an 'envelope
path' based on envelope power cues and a 'TFS path' based on a measure of the
distribution of time intervals between successive zero-crossings. This yielded
independent detectability indices for envelope and TFS cues, which were optimally
combined to produce a single decision statistic. Independent internal noises in
the envelope and TFS paths were adjusted to match the data. Simulations indicate
that TFS cues are required to account for FM data for young normal-hearing
listeners and that TFS processing is impaired for both older normal-hearing and
hearing-impaired listeners. The role of TFS was further assessed by relating the
monaural FM sensitivity to measures of interaural phase difference, commonly
assumed to rely on binaural TFS sensitivity. The model demonstrates that binaural
TFS sensitivity is considerably lower than monaural TFS sensitivity. Similar to
FM thresholds, interaural phase difference sensitivity declined with age and
hearing loss, although higher degradations were observed in binaural temporal
processing compared to monaural processing. Overall, this model provides a novel
tool to explore the mechanisms involved in FM processing in the normal auditory
system and the degradations in FM sensitivity with ageing and hearing loss.
PMID- 29368796
TI - Survey of 5 mm small-field output factor measurements in Australia.
AB - The Australian Radiation Protection and Nuclear Safety Agency (ARPANSA) held a
comparison exercise in April 2016 where participants came to ARPANSA and measured
the output factor of a nominal 5 mm cone attached to the ARPANSA Elekta Synergy
(Elekta, Crawley, UK) linear accelerator. The goal of the exercise was to compare
the consistency and methods used by independent medical physicists in measuring
small-field output factors. ARPANSA provided a three-dimensional scanning tank
for detector setup and positioning, but the participants were required to measure
the output factor with their own detectors. No information regarding output
factors previously measured was supplied to participants to make each result as
independent as possible. Fifteen groups travelled to ARPANSA bringing a wide
range of detectors and methods. A total of 30 measurements of the output factor
were made. The standard deviation of the measurements (excluding one expected
outlier from an uncorrected ionization chamber measurement) was 3.6%. The results
provide an insight into the consistency of small-field dosimetry being performed
in Australia and New Zealand at the present time.
PMID- 29368798
TI - Chloride intracellular channel 1 (CLIC1) contributes to modulation of cyclic AMP
activated whole-cell chloride currents in human bronchial epithelial cells.
AB - Chloride channels are known to play critical physiological roles in many cell
types. Here, we describe the expression of anion channels using RNA Seq in
primary cultures of human bronchial epithelial cells (hBECs). Chloride
intracellular channel (CLIC) family members were the most abundant chloride
channel transcripts, and CLIC1 showed the highest level of expression. In
addition, we characterize the chloride currents in hBECs and determine how
inhibition of CLIC1 via pharmacological and molecular approaches impacts these.
We demonstrate that CLIC1 is able to modulate cyclic AMP-induced chloride
currents and suggest that CLIC1 modulation could be important for chloride
homeostasis in this cell type.
PMID- 29368799
TI - The influence of pulmonary vascular pressures on lung diffusing capacity during
incremental exercise in healthy aging.
AB - Alveolar-capillary surface area for pulmonary gas exchange falls with aging,
causing a reduction in lung diffusing capacity for carbon monoxide (DLCO).
However, during exercise additional factors may influence DLCO, including
pulmonary blood flow and pulmonary vascular pressures. First, we sought to
determine the age-dependent effect of incremental exercise on pulmonary vascular
pressures and DLCO. We also aimed to investigate the dependence of DLCO on
pulmonary vascular pressures during exercise via sildenafil administration to
reduce pulmonary smooth muscle tone. Nine younger (27 +/- 4 years) and nine older
(70 +/- 3 years) healthy subjects performed seven 5-min exercise stages at rest,
0 (unloaded), 10, 15, 30, 50, and 70% of peak workload before and after
sildenafil. DLCO, cardiac output (Q), and pulmonary artery and wedge pressure
(mPAP and mPCWP; subset of participants) were collected at each stage. mPAP was
higher (P = 0.029) and DLCO was lower (P = 0.009) throughout exercise in older
adults; however, the rate of rise in mPAP and DLCO with increasing Q was not
different. A reduction in pulmonary smooth muscle tone via sildenafil
administration reduced mPAP, mPCWP, and the transpulmonary gradient (TPG = mPAP
mPCWP) in younger and older subjects (P < 0.001). DLCO was reduced following the
reduction in mPAP and TPG, regardless of age (P < 0.001). In conclusion, older
adults successfully adapt to age-dependent alterations in mPAP and DLCO.
Furthermore, DLCO is dependent on pulmonary vascular pressures, likely to
maintain adequate pulmonary capillary recruitment. The rise in pulmonary artery
pressure with aging may be required to combat pulmonary vascular remodeling and
maintain lung diffusing capacity, particularly during exercise.
PMID- 29368800
TI - Food addiction and associations with mental health symptoms: a systematic review
with meta-analysis.
AB - BACKGROUND: The present study systematically reviewed the literature aiming to
determine the relationships between food addiction, as measured by the Yale Food
Addiction Scale (YFAS), and mental health symptoms. METHODS: Nine databases were
searched using keywords. Studies were included if they reported: (i) YFAS
diagnosis or symptom score and (ii) a mental health outcome, as well as the
association between (i) and (ii). In total, 51 studies were included. RESULTS:
Through meta-analysis, the mean prevalence of food addiction diagnosis was 16.2%,
with an average of 3.3 (range 2.85-3.92) food addiction symptoms being reported.
Subanalyses revealed that the mean number of food addiction symptoms in
populations seeking treatment for weight loss was 3.01 (range 2.65-3.37) and this
was higher in groups with disordered eating (mean 5.2 3.6-6.7). Significant
positive correlations were found between food addiction and binge eating [mean r
= 0.602 (0.557-0.643), P < 0.05], depression, anxiety and food addiction [mean r
= 0.459 (0.358-0.550), r = 0.483 (0.228-0.676), P < 0.05, respectively].
CONCLUSIONS: A significant, positive relationship exists between food addiction
and mental health symptoms, although the results of the present study highlight
the complexity of this relationship.
PMID- 29368801
TI - Adaptive immune responses are altered in adult mice following neonatal hyperoxia.
AB - Premature infants with bronchopulmonary dysplasia (BPD), are at risk for frequent
respiratory infections and reduced pulmonary function. We studied whether
neonatal hyperoxia disrupts adaptive immune responses in adult mice, contributing
to higher respiratory-related morbidities seen in these infants. Newborn mice
litters were randomized at 3 days to 85% O2 or room air (RA) for 12 days. Whole
lung mRNA was isolated in both the groups at 2 weeks and 3 months. Gene
expression for T-cell and B-cell adaptive immune response was performed by real
time PCR and qRT-PCR; protein expression (p21, IL4, IL10, IL27, cd4) was
performed by enzyme immunoassay along with p21 immunohistochemistry. Hyperoxia
increased expression of p21 and decreased expression of 19 genes representing T/B
cell activation by >= fourfold; three of them significantly (Rag1, Cd1d1, Cd28)
compared to the RA group at 2 weeks. Despite RA recovery, the expression of
IFNgamma, IL27, and CD40 was significantly reduced at 3 months in the hyperoxia
group. Expression of p21 was significantly higher and IL27 protein lower at 2
weeks following hyperoxia. Adult mice exposed to neonatal hyperoxia had lower IL4
and IL10 in the lung at 3 months. Adaptive immune responses are developmentally
regulated and neonatal hyperoxia suppresses expression of genes involved in T-/B
cell activation with continued alterations in gene expression at 3 months.
Dysfunction of adaptive immune responses increases the risk for susceptibility to
infection in premature infants.
PMID- 29368802
TI - Dietary nitrate-induced increases in human muscle power: high versus low
responders.
AB - Maximal neuromuscular power is an important determinant of athletic performance
and also quality of life, independence, and perhaps even mortality in patient
populations. We have shown that dietary nitrate (NO3- ), a source of nitric oxide
(NO), improves muscle power in some, but not all, subjects. The present
investigation was designed to identify factors contributing to this
interindividual variability. Healthy men (n = 13) and women (n = 7) 22-79 year of
age and weighing 52.1-114.9 kg were studied using a randomized, double-blind,
placebo-controlled, crossover design. Subjects were tested 2 h after ingesting
beetroot juice (BRJ) either containing or devoid of 12.3 +/- 0.8 mmol of NO3- .
Plasma NO3- and nitrite (NO2- ) were measured as indicators of NO bioavailability
and maximal knee extensor speed (Vmax ), power (Pmax ), and fatigability were
determined via isokinetic dynamometry. On average, dietary NO3- increased (P <
0.05) Pmax by 4.4 +/- 8.1%. Individual changes, however, ranged from -9.6 to
+26.8%. This interindividual variability was not significantly correlated with
age, body mass (inverse of NO3- dose per kg), body mass index (surrogate for body
composition) or placebo trial Vmax or fatigue index (in vivo indicators of muscle
fiber type distribution). In contrast, the relative increase in Pmax was
significantly correlated (r = 0.60; P < 0.01) with the relative increase in
plasma NO2- concentration. In multivariable analysis female sex also tended (P =
0.08) to be associated with a greater increase in Pmax. We conclude that the
magnitude of the dietary NO3- -induced increase in muscle power is dependent upon
the magnitude of the resulting increase in plasma NO2- and possibly female sex.
PMID- 29368803
TI - Early time course of variation in coelomic fluid ionic concentrations in sea
urchins abruptly exposed to hypo- and hyper-osmotic salinity challenges: Role of
size and cross-section area of test holes.
AB - Echinoderms are restricted to the marine environment and are osmoconformer
invertebrates. However, some species live in unstable environments. Especially
those species, and those of larger body size, tend to show variable, albeit
transient, ionic gradients between their coelomic fluid and external seawater. In
order to further examine how sea urchin size relates to apparent ionic
permeability of their body wall/epithelia, specimens of Echinometra lucunter,
Lytechinus variegatus, Paracentrotus gaimardi, and Arbacia lixula-A. lixula of
two distinct populations, Rio de Janeiro and Santa Catarina-were abruptly
transferred from 35 psu to either 25 or 45 psu. Sodium, chloride, magnesium, and
potassium concentrations were assayed in their coelomic fluids after 0, 1, 2, and
3 hr of exposure. Relative area of putative permeable (i.e., cross section areas
of soft tissues, or test holes) surfaces (PPS) was estimated in empty tests as
the sum of the peristomial area (oral hole in the empty test) and the total cross
section area of ambulacral holes, divided by the total volume (TV) of the test.
L. variegatus and E. lucunter, the largest species, had PPS/TV values similar to
that of the much smaller P. gaimardi. A. lixula was the "most putatively
permeable and conformer" among them all, especially urchins from the Santa
Catarina population. Internal ionic levels equilibrated faster with external
water in 45 than in 25, and differences among ions were observed. Body size is
relevant, among many other factors, to aid conformers such as sea urchins to
dwell in intertidal unstable habitats.
PMID- 29368804
TI - Endomycorrhizae and rhizobial Nod factors both require SYM8 to induce the
expression of the early nodulin genes PsENOD5 and PsENOD12A.
AB - We report here that the pea early nodulin genes PsENOD5 and PsENOD12A are induced
during the interaction of pea roots and the endomycorrhizal fungus Gigaspora
margarita. Using the pea nodulation mutant Sparkle-R25, which is mutated in SYM8,
it is shown that SYM8 is essential for the induction of PsENOD5 and PsENOD12Ain
pea roots interacting either with Rhizobium or the endomycorrhizal fungus
Gigaspora margarita. Our results suggest that mycorrhizal signals activate a
signal transduction cascade sharing at least one common step with the Nod factor
activated signal transduction cascade.
PMID- 29368805
TI - Post-transcriptional silencing of chalcone synthase in petunia using a
geminivirus-based episomal vector.
AB - A vector that produces DNA replicons (multicopy plant episomes) was constructed
using elements of the geminivirus tobacco yellow dwarf virus (TYDV). All plant
cells contain an integrated chromosomal T-DNA copy of the TYDV elements that
provides a template for the production of episomes in the cell nucleus.
Transgenic Petunia hybrida plants containing a CaMV 35S promoter-driven chalcone
synthase A (ChsA) gene cloned into the episomal vector produced flowers with a
white-spotted phenotype at high frequency. The spots were found at random
locations in the petals and occurred in corresponding positions in both the upper
and lower epidermis, indicating that the spots were non-clonal. The spotted
phenotype was somatically stable and was inherited through meiosis. In white
spotted flower tissue, steady-state ChsA mRNA levels were downregulated but rates
of RNA transcription were unaffected, suggesting that the phenotype resulted from
post-transcriptional gene silencing of the endogenous and episomal ChsA genes.
Increases in both the frequency and extent of gene silencing in flowers
correlated with increases in episome copy number in mature flowers, flower buds
and young and fully expanded leaves. Relatively small increases in episome copy
number (less than threefold) appeared sufficient to trigger the gene-silenced
phenotype.
PMID- 29368806
TI - Immediate early induction of mRNAs for ethylene-responsive transcription factors
in tobacco leaf strips after cutting.
AB - To investigate the functional relationship between the expression of genes for
ethylene-responsive transcription factors (ERFs) and the expression of ethylene
responsive genes, we examined the expression of genes for ERFs and the expression
of a reporter gene in transgenic tobacco that carried a gene for beta
glucuronidase (GUS) under the control of the ethylene-responsive element, which
includes four copies of the 11-bp consensus sequence (designated the GCC-box,
TAAGAGCCGCC). In strips of leaves of transgenic tobacco, the GCC-box-mediated
expression of the reporter gene was induced in response to treatment with
ethylene. We also observed the ethylene-independent immediate early induction of
the synthesis of mRNAs for ERFs in wounded leaves and the enhancement of this
induction by cycloheximide (CHX). Since CHX suppressed the induction of mRNAs for
chitinase and GUS by ethylene, protein synthesis de novo was required for
induction of the ethylene-dependent GCC-box-mediated transcription of genes. In
contrast, the enhancement by CHX of the wound-induced expression of ERFs
suggested that no synthesis of new proteins was required for the wounding signal
transduction leading to rapid expression of ERFs. Methyl jasmonate did not
stimulate the wounding-responsive accumulation of ERF mRNAs, but it reduced such
accumulation of mRNAs for ERF1, ERF2, ERF4 and the ethylene-dependent GCC-box
mediated transcription of the reporter gene. Thus, the immediate early induction
of the expression of genes for ERFs in strips of tobacco leaves appears to be a
novel type of wound-responsive activation of transcription. These results
suggested that the expression of ERFs was not sufficient for activation of the
GCC-box-mediated transcription but the expression of ERF1, ERF2 and ERF4, and
that conversion of these ERFs by ethylene to their active form might be crucial
for the GCC-box-mediated activation of the transcription of defense genes.
PMID- 29368807
TI - The control of source to sink carbon flux during tuber development in potato.
AB - We have used top-down metabolic control analysis to investigate the control of
carbon flux through potato (Solanum tuberosum) plants during tuberisation. The
metabolism of the potato plant was divided into two blocks of reactions (the
source and sink blocks) that communicate through the leaf apoplastic sucrose
pool. Flux was measured as the transfer of 14 C from CO2 to the tuber. Flux and
apoplastic sucrose concentration were varied either by changing the light
intensity or using transgenic manipulations that specifically affect the source
or sink blocks, and elasticity coefficients were measured. We have provided
evidence in support of our assumption that apoplastic sucrose is the only
communicating metabolite between the source and sink blocks. The elasticity
coefficients were used to calculate the flux control coefficients of the source
and sink blocks, which were 0.8 and 0.2, respectively. This work suggests that
the best strategy for the manipulation of tuber yield in potato will involve
increases in photosynthetic capacity, rather than sink metabolism.
PMID- 29368808
TI - A mutant in Lycopersicon esculentum Mill. with highly reduced VA mycorrhizal
colonization: isolation and preliminary characterisation.
AB - This paper reports the successful isolation and preliminary characterisation of a
mutant of Lycopersicon esculentum Mill. with highly reduced vesicular-arbuscular
(VA) mycorrhizal colonization. The mutation is recessive and has been designated
rmc . Colonization by G. mosseae is characterised by poor development of external
mycelium and a few abnormal appressoria. Vesicles were never formed by this
fungus in association with the mutant. Gi. margarita formed large amounts of
external mycelium, complex branched structures and occasional auxiliary cells.
Small amounts of internal colonization also occurred. Laser scanning confocal
microscopy (LSCM) gave a clear picture of the differences in development of G.
intraradices and Gi. margarita in mutant and wild-type roots and confirmed that
the fungus is restricted to the root surface of the mutants. The amenability of
tomato for molecular genetic characterisation should enable us to map and clone
the mutated gene, and thus identify one of the biochemical bases for inability to
establish a normal mycorrhizal symbiosis. The mutant represents a key advance in
molecular research on VA mycorrhizal symbiosis.
PMID- 29368809
TI - Transcriptional and post-transcriptional processes regulate gene expression in
oxygen-deprived roots of maize.
AB - To investigate the regulation of gene expression in maize ( Zea mays L.) in
response to oxygen deprivation (flooding), we quantitated run-on transcription in
isolated nuclei, steady-state mRNA accumulation and mRNA loading with ribosomes
for seven genes that encode proteins synthesized predominantly in oxygen-deprived
roots (anaerobic polypeptides) and seven genes that encode proteins synthesized
in aerobic roots (aerobic polypeptides). Run-on transcription of anaerobic
polypeptide genes was induced in response to oxygen deprivation and run-on
transcription of the aerobic polypeptide genes continued during the stress
treatment. The increased accumulation of mRNAs that encode anaerobic polypeptides
occurred concomitant with the induction of gene transcription and efficient
association of these mRNAs with large polysomes. The steady-state accumulation of
aerobic polypeptide mRNAs was within twofold of aerobic levels and in a number of
cases fewer ribosomes were loaded per transcript. These results demonstrate that
selected synthesis of anaerobic polypeptides involves transcriptional as well as
significant post-transcriptional regulation of gene expression. The repression of
synthesis of many aerobic polypeptides occurs without interruption of gene
transcription and is due to translational regulation and possibly the
sequestration of mRNAs on mRNPs. Ribosome loading patterns indicated that this
translational control occurs at both initiation and post-initiation phases in a
message-specific manner.
PMID- 29368810
TI - A transgene with repeated DNA causes high frequency, post-transcriptional
suppression of ACC-oxidase gene expression in tomato.
AB - Gene silencing with sense genes is an important method for down-regulating the
expression of endogenous plant genes, but the frequency of silencing is
unpredictable. Fifteen per cent of tomato plants transformed with a 35S-ACC
oxidase ( ACO 1) sense gene had reduced ACC-oxidase activity. However, 96% of
plants transformed with an ACC-oxidase sense gene, containing two additional
upstream inverted copies of its 5' untranslated region, exhibited reduced ACC
oxidase activity compared to wild-type plants. In the three plants chosen for
analysis, there were substantially reduced amounts of both endogenous and
transgenic ACO RNA, indicating that this was an example of co-suppression.
Ribonuclease protection assays using probes spanning intron-exon borders showed
that the reduced accumulation of endogenous ACO mRNA occurred post
transcriptionally since the abundance of unprocessed transcripts was not
affected. The ACO1 transgene with the repeated 5'UTR also strongly inhibited the
accumulation of RNA from the related ACO 2 gene in flowers, although there is
little homology between the 5'UTRs of ACO 1 and ACO 2. These results indicate
that although repeated DNA in a transgene greatly enhances the probability of
gene silencing of an endogenous gene, it also involves generation of a trans
acting silencing signal produced, at least partly, from sequences external to the
repeat.
PMID- 29368812
TI - Distribution of TMV movement protein in single living protoplasts immobilized in
agarose.
AB - Recent studies of the tobacco mosaic virus (TMV) P30 movement protein (MP) fused
with green fluorescent protein (GFP) during TMV infection described the
involvement of elements of the cytoskeleton and components of the endoplasmic
reticulum (ER) in the intracellular trafficking of MP:GFP from the sites of
synthesis in the cytoplasm to plasmodesmata. To examine in real-time the pattern
of synthesis, accumulation and degradation of MP:GFP, we developed a method to
immobilize protoplasts in agarose such that they are maintained alive for
extended periods of time. The pattern of MP:GFP accumulation in single living
protoplasts visualized by confocal laser scanning microscopy (CLSM) was parallel
to that previously described in a population of protoplasts harvested at
different times post-infection. Additionally, a network of weakly fluorescent
filaments, which are apparently different from microtubules, was observed to
surround the nucleus and these filaments were associated with fluorescent bodies
(previously identified as ER-derived structures). Later in infection, the
fluorescent bodies increased in size and coalesced to form larger structures that
accumulated near the periphery of the cells while highly fluorescent non-cortical
filaments were observed distributed in the cytoplasm. The putative involvement of
these filaments in targeting the fluorescent bodies to the periphery of the cell
is discussed. Studies of single, embedded protoplasts make it possible to observe
changes in amount and subcellular localization of viral and other proteins.
PMID- 29368813
TI - Selective noradrenaline reuptake inhibitors for schizophrenia.
AB - BACKGROUND: Schizophrenia is frequently a chronic and disabling illness with a
heterogeneous range of symptoms. The positive symptoms usually respond to
antipsychotics but the cognitive and negative symptoms of schizophrenia are
difficult to treat with conventional antipsychotics and significantly impact on
quality of life and social outcomes. Selective noradrenaline reuptake inhibitors
(NRIs) increase prefrontal dopamine and noradrenaline levels without
significantly affecting subcortical dopamine levels, making them an attractive
candidate for treating cognitive and negative symptoms. OBJECTIVES: To
investigate the effects of selective noradrenaline reuptake inhibitors (NRIs),
compared with a placebo or control treatment, for people with schizophrenia.
SEARCH METHODS: We searched the Cochrane Schizophrenia Group's Trials Register
(up to 7 February 2017) which is based on regular searches of MEDLINE, Embase,
CINAHL, BIOSIS, AMED, PubMed, PsycINFO, and registries of clinical trials. There
are no language, date, document type, or publication status limitation for
inclusion of records into the register. We inspected references of all included
studies for further relevant studies. SELECTION CRITERIA: We included randomised
controlled trials (RCTs) comparing NRIs with either a control treatment or
placebo for people with schizophrenia or related disorders (such as
schizoaffective disorder) by any means of diagnosis. We included trials that met
our selection criteria and provided useable information. DATA COLLECTION AND
ANALYSIS: We independently inspected all citations from searches, identified
relevant abstracts, and independently extracted data from all included studies.
For binary data we calculated risk ratio (RR), for continuous data we calculated
mean difference (MD), and for cognitive outcomes we derived standardised mean
difference (SMD) effect sizes, all with 95% confidence intervals (CI) and using a
random-effects model. We assessed risk of bias for the included studies and used
the GRADE approach to produce a 'Summary of findings' table which included our
prespecified main outcomes of interest. MAIN RESULTS: Searching identified 113
records. We obtained the full text of 48 of these records for closer inspection.
Sixteen trials, randomising a total of 919 participants are included. The
majority of trials included adults with schizophrenia or similar illness who were
inpatients, and while they were poorly characterised, most appeared to include
patients with a chronic presentation. The intervention NRI in nine of the 16
trials was reboxetine, with atomoxetine and viloxazine used in the remaining
trials. 14 trials compared NRIs with placebo. Only two trials provided data to
compare NRIs against an active control and both compared reboxetine to citalopram
but at 4 weeks and 24 weeks respectively so they could not be combined in a meta
analysis.One trial was described as 'open' and we considered it to be at high
risk of bias for randomisation and blinding, three trials were at high risk of
bias for attrition, six for reporting, and two for other sources of bias. Our
main outcomes of interest were significant response or improvement in
positive/negative mental state, global state and cognitive functioning, average
cognitive functioning scores, significant response or improvement in quality of
life and incidence of nausea. All data for main outcomes were short term.NRIs
versus placeboMental state results showed significantly greater rates of
improvement in negative symptoms scores (1 RCT, n = 50; RR 3.17, 95% CI 1.52 to
6.58; very low quality evidence) with NRIs on the PANSS negative. No data were
reported for significant response or improvement in positive symptoms, but
average endpoint PANSS positive scores were available and showed no difference
between NRIs and placebo (5 RCTs, n = 294; MD -0.16, 95% CI -0.96 to 0.63; low
quality evidence). Improvement in clinical global status was similar between
groups (1 RCT, n = 28; RR 0.99, 95% CI 0.45 to 2.20; very low quality evidence).
Significant response or improvement in cognitive functioning data were not
reported. Average composite cognitive scores showed no difference between NRIs
and placebo (4 RCTs, n = 180; SMD 0.04, 95% CI -0.28 to 0.36; low-quality
evidence). Significant response or improvement in quality of life data were not
reported, however average endpoint scores from the GQOLI-74 were reported. Those
receiving NRIs had better quality of life scores compared to placebo (1 RCT, n =
114; MD 9.36, 95% CI 7.89 to 10.83; very low quality evidence). All-cause
withdrawals did not differ between the treatment groups (8 RCTs, n = 401, RR 0.94
95% CI 0.63 to 1.39; moderate-quality evidence). Rates of nausea were not greater
with NRIs (3 RCTs, n = 176; RR 0.49, 95% CI 0.10 to 2.41; low-quality evidence).
AUTHORS' CONCLUSIONS: Our results provide tentative very low quality evidence
that compared to placebo, NRIs (specifically reboxetine) may have a benefit on
the negative symptoms of schizophrenia. Limited evidence also suggests that NRIs
have no effect on the positive symptoms of schizophrenia or cognitive
functioning. NRIs appear generally well tolerated with no real differences in
adverse effects such as nausea noted between NRIs and placebo. However, these
results are based on short-term follow-up and are poor quality - there is need
for more good-quality evidence. A large RCT of reboxetine over a longer period of
time, focusing specifically on negative and cognitive symptoms as well as more
detailed and comprehensive reporting of outcomes, including adverse events, is
required.
PMID- 29368815
TI - Telomere erosion varies with sex and age at immune challenge but not with
maternal antibodies in pigeons.
AB - Conditions experienced early in life have profound impact on adult fitness, and
telomere erosion could be a key mechanism in this process. In particular, early
exposure to parasites is a frequent phenomenon in young vertebrates, which is
associated with several short- and long-term costs such as telomere erosion.
However, the timing of exposure to parasites during ontogeny and maternal
antibodies can strongly modulate the costs of immunity, and could differentially
affect telomere erosion. Here, we compared the effects of an early or late immune
challenge on telomere erosion rate in male and female young feral pigeons
(Columba livia) having received or not maternal antibodies. More specifically, we
tested whether (i) early or late injections of antigens had different effects on
nestling telomere erosion rate, (ii) whether this effect was different between
male and female nestlings, and (iii) whether maternal antibodies could modulate
telomere erosion rate. Our results show an interaction between sex and age at
injection. Late-injected nestlings (injected at 14 days of age) had an
accelerated erosion rate compared with the early-injected nestlings (injected at
3 days of age), and this effect was higher in females compared with the males.
However, we did not find any effect of maternal antibodies on telomere erosion
rate. These results suggest that the age at which an immune challenge occurs is
important for telomere erosion and that sex-specific approaches are needed to
better understand the short-term and long-term costs of parasite exposure in
young vertebrates.
PMID- 29368814
TI - Synchronization of intrinsic 0.1-Hz blood-oxygen-level-dependent oscillations in
amygdala and prefrontal cortex in subjects with increased state anxiety.
AB - Low-frequency oscillations with a dominant frequency at 0.1 Hz are one of the
most influential intrinsic blood-oxygen-level-dependent (BOLD) signals. This
raises the question if vascular BOLD oscillations (originating from blood flow in
the brain) and intrinsic slow neural activity fluctuations (neural BOLD
oscillations) can be differentiated. In this study, we report on two different
approaches: first, on computing the phase-locking value in the frequency band
0.07-0.13 Hz between heart beat-to-beat interval (RRI) and BOLD oscillations and
second, between multiple BOLD oscillations (functional connectivity) in four
resting states in 23 scanner-naive, anxious healthy subjects. The first method
revealed that vascular 0.1-Hz BOLD oscillations preceded those in RRI signals by
1.7 +/- 0.6 s and neural BOLD oscillations lagged RRI oscillations by 0.8 +/- 0.5
s. Together, vascular BOLD oscillations preceded neural BOLD oscillations by ~90
degrees or ~2.5 s. To verify this discrimination, connectivity patterns of
neural and vascular 0.1-Hz BOLD oscillations were compared in 26 regions involved
in processing of emotions. Neural BOLD oscillations revealed significant phase
coupling between amygdala and medial frontal cortex, while vascular BOLD
oscillations showed highly significant phase-coupling between amygdala and
multiple regions in the supply areas of the anterior and medial cerebral
arteries. This suggests that not only slow neural and vascular BOLD oscillations
can be dissociated but also that two strategies may exist to optimize regulation
of anxiety, that is increased functional connectivity between amygdala and medial
frontal cortex, and increased cerebral blood flow in amygdala and related
structures.
PMID- 29368816
TI - Erratum: Synthesis and in vitro evaluation of melatonin entrapped PLA
nanoparticles: An oxidative stress and T-cell response using golden hamster. (J
Biomed Mater Res Part A 2015:103A:3034-3044).
PMID- 29368817
TI - Plant pathogen effector proteins as manipulators of host microbiomes?
PMID- 29368818
TI - Staff experiences of double-duty caring: at home and at work.
AB - Many healthcare, social care and voluntary agency employees are carers at work
and at home, a phenomenon referred to as double-duty caregiving. Using meta
ethnography, this article provides a synthesis of qualitative research by
analysing the original words of the interviewees. A linguistic and metaphoric
overview provides a deeper, richer picture of the experience of double-duty
caring, revealing the sacrifices associated with being a carer at home while
employed in a healthcare system, and the paradoxical effects of holding a
position in both worlds simultaneously.
PMID- 29368819
TI - Virtual inpatient surgical service.
AB - An increased number of emergency secondary care surgical admissions has
intensified the challenge of meeting acute care patients' needs, while not
interrupting elective admissions. Aneurin Bevan University Health Board is
striving to work differently, with the introduction of an innovative nurse
practitioner-led virtual inpatient (VIP) surgical service. VIP is designed to
manage emergency patients safely, effectively and in a timely manner, enabling
them to return home while waiting for investigations. This article describes the
service and outlines the main outcomes, which include increasing the number of
surgical emergency patients managed as VIPs, shortening VIP stays, providing more
timely access to investigations and saving money for the health board.
PMID- 29368820
TI - Simulation using 'live' adult service users and moulage in a variety of settings.
AB - This article shows how simulation can be modified and adapted to benefit higher
education institutions (HEIs) in a variety of situations. These situations can
involve the engagement of service users (SUs) and moulage, or application of make
up to simulate clinical presentations, to ensure skill enhancement, ultimately to
support and empower students so they can achieve their potential. This article
describes a unique collaboration between staff at an HEI and SUs, who have worked
together in simulation activities. The development of simulation using SUs is at
the heart of developing nursing student education and recruitment. It has created
communities of practice working across traditional departmental boundaries to
provide innovative learning opportunities for students. This collaboration links
to the political imperative to improve education in the health and social care
sector, highlights the integration of skills development into theory, focuses on
person-centred care and demonstrates how the HEI produces a compassionate and
caring workforce.
PMID- 29368821
TI - ?
PMID- 29368822
TI - ?
PMID- 29368823
TI - Aprepitant: A Novel Medicaton in the Prevention of Postoperative Nausea and
Vomiting.
PMID- 29368824
TI - Screening Mammography: Controversy and Recommendations.
PMID- 29368825
TI - USPSTF Breast Cancer Screening Guidelines.
PMID- 29368826
TI - Baylisascaris Procyonis Exposure Case Study.
AB - We report a case of exposure to raccoon feces found to be contaminated with
baylisascaris procyonis. The exposure was recognized early enough by the family
to allow prophylaxis with albendazole. Because of the potential fatal or
neurologically catastrophic effects of this disease immediate treatment is
indicated. This is started in advance of environmental studies that are done to
determine if the feces is indeed contaminated.
PMID- 29368828
TI - [Two novel mutations in gene SPG4 in patients with autosomal dominant spastic
paraplegia].
AB - Hereditary spastik paraplegias (HSP) are a group of neurodegenerative disorders
with primary lesion of the pyramidal tract. The most frequent autosomal dominant
form of the disease in Europeans is HSP associated with mutations in the spastin
gene (SPG4). Analysis of the gene SPG4 was carried out in 52 unrelated families
with HSP from Bashkortostan by SSCP and following sequencing. Previously
undescribed frameshift mutations c.322del29 (p.Val108SerfsX18) and c.885del10
(p.Thr295ThrfsX16) were detected in two unrelated families. Clinical studies have
shown that, in both families, the disease corresponds to an uncomplicated form of
hereditary spastic paraplegia, a main feature of which is the lower spastic
paraparesis without any other symptoms.
PMID- 29368827
TI - Review of Hyperkalemia in End Stage Renal Disease.
AB - Potassium balance is maintained in the body by balancing the intake with the
excretion and the transcellular shifts of potassium. Excretion of potassium is
mainly renal as the contribution of the colon to the net potassium secretion of
the colon to the net potassium secretion is trivial in patients with normal renal
function. As the majority of potassium excretion is renal, it is not surprising
to note that patients with end stage renal disease (ESRD) are at an increased
risk of developing hyperkalemia in ESRD patients has been estimated to be 3-5%.
Maintenance of a stable serum potassium level in patients with ESRD is crucial.
We will review the various measures for the management and prevention of
hyperkalemia in ESRD patients such as dietary restrictions, dialysis and drugs
enhancing extra renal elimination of potassium.
PMID- 29368829
TI - [Polymorphism of CD209 and TLR3 genes in populations of North Eurasia].
AB - The DC-SIGN (dendritic cell-specific intercellular adhesion molecule (ICAM)-3
grabbing non-integrin) and TLR3 (toll-like receptor 3) proteins are key effectors
of the innate immunity and particularly play an important role in the organism's
antiviral defense as pattern-recognition receptors. Previously, we demonstrated
that certain genotypes and alleles of single nucleotide polymorphisms (SNPs)
rs2287886 (G/A) in the promoter region of the CD209 gene (encoding DC-SIGN) and
rs3775291 (G/A, Leu412Phe) in the exon 4 of the TLR3 gene are associated with
human predisposition to tick-borne encephalitis in the Russian population. In the
present work, the distribution of genotype and allele frequencies for these SNPs
was studied in seven populations of North Eurasia, including Caucasians (Russians
and Germans (from Altai region)), Central Asian Mongoloids (Altaians, Khakass,
Tuvinians, and Shorians), and Arctic Mongoloids (Chukchi). It was found that the
CD209 gene rs2287886 SNP A/A genotype and A allele, as well as the TLR3 gene
rs3775291 SNP G/G genotype and G allele (the frequencies of which in our previous
studies were increased in tick-borne encephalitis patients as compared with the
population control (Russian citizens of Novosibirsk)), are preserved with a high
frequency in Central Asian Mongoloids (who for a long time regularly came in
contact with tick-borne encephalitis virus in places of their habitation). We
suggested that predisposition to tick-borne encephalitis in Central Asian
Mongoloid populations can be predetermined by a different set of genes and their
polymorphisms than in the Russian population.
PMID- 29368831
TI - [Aggression and empathy as genetic differentiation factors of urban population].
AB - Permanent residents of Kharkiv (637 men and 856 women at the age of 45-65 years)
are tested on the level of aggression and empathy. The average aggression level
(41.7 points) is higher in migrants (born outside Kharkiv) than in indigenous
people (36.3 points); the average empathy level is lower in migrants (3.2 points)
than in indigenous people (5.5 points). The average values of the aggression and
empathy indices are not associated with ethnicity and degree of miscegenation.
The correlation between spouses (r) by these personal features is within 0.20
0.31; the marriage conjugation index (K) is 0.13-0.18. Genotyping of the married
couples for the rs2235186 SNP of X-linked monoaminooxidase (MAO-A) gene detected
a positive marriage assortativeness: the C * CC and T * TT pairs are developed
more frequently than during panmixia; the C * TT and T * CC pairs, less
frequently. The T allele is coupled with increased aggression level and decreased
empathy level. The phenotypes of heterozygous women indicate the intermediate
inheritance of these traits.
PMID- 29368830
TI - Cellular Angiofibroma Presenting as an Inguinal Subcutaneous Mass: a Case Report
and Review of the Literature.
AB - Backgfound: Cellular angiofibroma is a rare benign mesenchymal tumor that occurs
in the inguinal and vulvovaginal region. We report a case of the tumor occurring
in the right inguinal region of a 64 old male and a review of the current
literature. Case: A 64 year old male veteran was referred to our general surgery
service with an incidentally discovered right inguinal mass on a computerized
tomography scan. The scan was performed to follow a history of prostate cancer
that had been treated with brachytherapy. Magnetic resonance imaging of the
lesion helped confirm that the mass did not represent a hernia or an undescended
testicle. Surgical resection revealed encapsulated, yellowish, pink tissue
measuring 6.5 x 5 x 3.5 cm. Microscopically, the sections showed densely fibrous
to loose and focally fibromyxoid background of oval to spindle-shaped cells with
a few scattered plasma cells and mast cells. Based upon the clinical,
histologic, and immunohistochemical findings, the lesion was classified as a
cellular angiofibroma. Conclusion: Cellular angiofibroma of the inguinal region
is a rare benign encapsulated tumor.It should be considered in the differential
diagnosis of a male with an inguinal mass proven not to be a hernia or
undescended testicle.
PMID- 29368832
TI - [Polymorphism C366G of gene GRIN2B and verbal episodic memory: No association
with schizophrenia].
AB - The present study searched for associations between gene GRIN2B (glutamate
receptor, ionotropic, N-methyl-D-aspartate, subunit 2B) and component processes
of verbal episodic memory in schizophrenic patients. The Rey Auditory Verbal
Learning Test (RAVLT) as a part of a large neuropsychological battery was
administered to 302 patients with schizophrenic spectrum disorders (sample PI).
Also, 285 patients (sample P2) and 243 healthy controls (sample C2) performed the
"10 words" test that measures short-term memory. The GRIN2B rs7301328 (C366G)
polymorphism was genotyped for each subject. There were no associations between
the polymorphism and any measure of the RAVLT either in the whole PI sample or in
a subsample of patients with a severe cognitive deficit. The GRIN2B influenced
immediate recall and proactive interference in the "10 words" test in the control
group: homozygotes CC recalled fewer words and showed a lower effect of proactive
interference than carriers of other genotypes. The results suggest that the C366G
polymorphism could influence verbal episodic memory in the general population,
but this influence is absent in schizophrenic patients.
PMID- 29368833
TI - [Gene dilp6 regulates octopamine metabolism in Drosophila melanogaster].
AB - The effect of strong hypomorphic mutation of the insulin-like protein gene
(dilp6) on metabolism of octopamine (one of the main biogenic amines in insects)
was studied in Drosophila melanogaster males and females. The activity of
tyrosine decarboxylase (the key enzyme of octopamine synthesis) and the activity
of octopamine-dependent N-acetyltransferase (the enzyme of its degradation) were
measured. It was demonstrated that the activity of both studied enzymes is
decreased under normal conditions in the dilp6 41 mutants (as we previously
demonstrated, this is correlated with an increased level of octopamine). It was
also found that hypomorphic mutation of the dilp6 gene decreases the intensity of
tyrosine decarboxylase response to heat stress. Thus, it was demonstrated for the
first time that insulin-like DILP6 protein in drosophila influences the level of
octopamine (regulating the activity of the enzyme degrading octopamine).
PMID- 29368834
TI - [Sequencing and analysis of the resistome of Streptomyces fradiae ATCC19609 in
order to develop a test system for screening of new antimicrobial agents].
AB - The paper provides the annotation and data on sequencing the antibiotic
resistance genes in Streptomyces fradiae strain ATCC19609, highly sensitive to
different antibiotics. Genome analysis revealed four groups of genes that
determined the resistome of the tested strain. These included classical
antibiotic resistance genes (nine aminoglycoside phosphotransferase genes, two
beta-lactamase genes, and the genes of puromycin N-acetyltransferase,
phosphinothricin N-acetyltransferase, and aminoglycoside acetyltransferase); the
genes of ATP-dependent ABC transporters, involved in the efflux of antibiotics
from the cell (MacB-2, BcrA, two-subunit MDR1); the genes of positive and
negative regulation of transcription (whiB and padR families); and the genes of
post-translational modification (serine-threonine protein kinases). A comparative
characteristic of aminoglycoside phosphotransferase genes in S. fradiae
ATCC19609, S. lividans TK24, and S. albus J1074, the causative agent of
actinomycosis, is provided. The possibility of using the S. fradiae strain
ATCC19609 as the test system for selection of the macrolide antibiotic oligomycin
A derivatives with different levels of activity is demonstrated. Analysis of more
than 20 semisynthetic oligomycin A derivatives made it possible to divide them
into three groups according to the level of activity: inactive (>1 nmol/disk), 10
substances; with medium activity level (0.05-1 nmol/disk), 12 substances; and
more active (0.01-0.05 nmol/disk), 2 substances. Important for the activity of
semisynthetic derivatives is the change in the position of the 33rd carbon atom
in the oligomycin A molecule.
PMID- 29368835
TI - [Microsatellite analysis of clonality and individual heterozygosity in natural
populations of aspen Populus tremula L.: Identification of highly heterozygous
clone].
AB - Aspen Populus tremula L. (Salicaceae) is the fast-growing tree species of
environmental and economic value. Aspen is capable of reproduction by both seeds
and vegetative means, forming root sprouts. In an adult stand, identification of
ramets of one clone among the trees of seed origin based on their morphology is
difficult. A panel of 14 microsatellite loci developed for individual
identification of aspen was applied for the clonal structure analysis in four
natural aspen stands of the European part of Russia: Moscow and Voronezh oblasts,
the Mari-El Republic, and the Republic of Tatarstan. In 52 trees from the Moscow
sample, 41 multilocus genotypes were identified; in the Voronezh sample, among 30
individuals, 25 different genotypes were detected; and in the sample from Mari
El, 32 trees were represented by 13 genotypes. In the stand from Sabinsky
Forestry, Tatarstan, all of the examined 29 trees were represented by a single
genotype. The ancestral tree carrier of this genotype which was the most
heterozygous (0.929) among all studied aspen individuals (sample mean, 0.598)
obviously has spread over a large territory during several cutting and
reproduction cycles, currently occupying the area of 2.2 ha. For aspen, usually
suffering from Aspen trunk rot, such high viability is evidence of resistance to
the main pathogens. The revealed superclone deserves further study with
karyological methods and flow cytometry to determine ploidy level and analysis of
the growth rate and the quality of wood for possible use in plantation forest
production.
PMID- 29368836
TI - [Analysis on the ambulatory usage of antibiotics at general hospitals in Asturias
(2006-2015)].
AB - OBJECTIVE: The Organization for Economic Co-operation and Development (OECD)
emphasize, in its report on health policies from 2017 that, Spain is one of the
countries with largest consumption of antibiotics, 21.6 DHD (defined daily dose
per 1000 inhibitants per day) in 2014 greater than the average 20.5 DHD in their
countries, ranking according to the European Center for Disease Prevention and
Control (ECDC) in the 11th place out of 30 European countries in 2016. The
outpatient prescription of specialized care is analyzed less frequently, due to
the greater contribution in consumption and expenditure of primary care. METHODS:
A descriptive, observational, and retrospective study of the consumption and
expenditure of the J01 group derived from outpatient prescription (outpatient and
urgent care) of public hospitals in Asturias, in a period of ten years (2006
2015). Consumption data were obtained using the database of prescription billing
of the Health Service of the Principality of Asturias, demographic data were
provided by the National Institute of Statistics. Consumption was expressed in
DHD and antibiotics expenditure in: expenditure per capita and expenditure in
euros per defined daily dose. RESULTS: The average global ambulatory consumption
for the period was 23.4 DHD, corresponding 11.5% (2.7 DHD) to the ambulatory
specialty care prescription. In terms of expenditure, it accounted for 13.6% of
overall outpatient spending on antibiotics. CONCLUSIONS: Outlay and consumption
had opposite tendencies, the expenditure control measures did not have or had
little impact on consumption, therefore, independent and spe-cific
rationalization measures are required in this area.
PMID- 29368837
TI - Redox-Responsive Amphipathic Dextran Nanomicelles for Solid Tumor Therapy.
AB - A disulfide bond containing deoxycholic acid-grafted dextran (Dex-SSDCA) was
successfully prepared for drug delivery. The Dex-SSDCA polymers can self-assemble
into nanomicelles at concentrations below 56 g/mL and encapsulate doxorubicin
(DOX) effectively. When exposed to 10 mM Dithiothreitol (DTT), the nanomicelles
disassembled rapidly and released DOX immediately. The DOX-loaded Dex-SSDCA
nanomicelles were able to reverse the drug resistance of MCF-7/Adr cells and
inhibit their growth in vitro. Moreover, DOX-loaded Dex-SSDCA nanomicelles could
significantly suppress the growth of subcutaneous SKOV-3 ovarian cancer in vivo,
exerting stronger efficiency on inhibiting tumor angiogenesis and proliferation
while aggravating apoptosis of tumor cells, in comparison with the negative
control and free DOX. The in vivo toxicity evaluation demonstrated that the Dex
SSDCA micelles reduced DOX-induced side effects. This redoxresponsive amphipathic
dextran is able to enhance the antitumor efficiency and reduce the toxicity of
doxorubicin, thus has a potential as a drug carrier for cancer therapy.
PMID- 29368838
TI - [Fundamentally low reproducibility in molecular genetic cancer research].
AB - The review discusses the causes of multiple failures in cancer treatment, which
might primarily result from the excessive variability of cancer genomes. They are
capable of changing their spatial and temporal architecture during tumor
development. The key reasons of irreproducibility of biomedical data and the
presumable means for improvement of therapeutic results aiming at targeting the
most stable tumor traits are suggested.
PMID- 29368839
TI - [Genetic mechanisms of adaptive immunity emergence in vertebrates].
AB - The adaptive immune system in vertebrates emerged in a multistep process that can
be reconstructed on the basis of the data concerning the structure of immune
systems of modern cartilaginous and bony fishes, as well as of cyclostomes. The
most probable evolutionary scenario is likely to be as follows: the T cell
receptor loci emerged on the basis of NK cell-like receptor genes; the antibody
loci evolved on the basis of T cell receptor loci; the MHC locus arose on the
basis of the locus responsible for innate immunity of early chordates. The
ancestral MHC molecules likely participated in the transplantation immunity
before they acquired the ability of antigen peptide presentation.
PMID- 29368840
TI - [Prospects for application of breakthrough technologies in breeding: The
CRISPR/Cas9 system for plant genome editing].
AB - Integration of the methods of contemporary genetics and biotechnology into the
breeding process is assessed, and the potential role and efficacy of genome
editing as a novel approach is discussed. Use of molecular (DNA) markers for
breeding was proposed more than 30 years ago. Nowadays, they are widely used as
an accessory tool in order to select plants by mono- and olygogenic traits.
Presently, the genomic approaches are actively introduced into the breeding
processes owing to automatization of DNA polymorphism analyses and development of
comparatively cheap methods of DNA sequencing. These approaches provide effective
selection by complex quantitative traits, and are based on the full-genome
genotyping of the breeding material. Moreover, biotechnological tools, such as
doubled haploids production, which provides fast obtainment of homozygotes, are
widely used in plant breeding. Use of genomic and biotechnological approaches
makes the development of varieties less time consuming. It also decreases the
cultivated areas and financial expenditures required for accomplishment of the
breeding process. However, the capacities of modern breeding are not limited to
only these advantages. Experiments carried out on plants about 10 years ago
provided the first data on genome editing. In the last two years, we have
observed a sharp increase in the number of publications that report about
successful experiments aimed at plant genome editing owing to the use of the
relatively simple and convenient CRISPR/Cas9 system. The goal of some of these
experiments was to modify agriculturally valuable genes of cultivated plants,
such as potato, cabbage, tomato, maize, rice, wheat, barley, soybean and sorghum.
These studies show that it is possible to obtain nontransgenic plants carrying
stably inherited, specifically determined mutations using the CRISPR/Cas9 system.
This possibility offers the challenge to obtain varieties with predetermined mono
and olygogenic traits.
PMID- 29368841
TI - Multiple erythema migrans due to borreliosis.
PMID- 29368842
TI - Cicatricial alopecia.
AB - Cicatricial alopecias (CAs) are clinical pathological conditions that result in
the complete destruction of hair follicles, which are replaced by fibrotic
structures. Clinically they are characterized by different inflammatory
conditions resulting in the end stage in the complete disappearance of hair
follicle and follicular ostia. CAs are classified in primary cicatricial alopecia
(PCA) and secondary cicatricial alopecia (SCA). PCA include multiple inflammatory
diseases with distinctive clinical and histopathologic features that primarily
affect and destroy the hair follicle. On the other way, diseases classified as
SCA include inflammatory and neoplastic conditions and physical traumas usually
primarily affecting the dermis and causing secondary follicular destruction.
PMID- 29368843
TI - Evidence-based treatment of atopic dermatitis with topical moisturizers.
AB - Skin barrier restoration represents the mainstay of the treatment of atopic
dermatitis and the use of moisturizers is recommended by several international
guidelines. The aim of the study was to investigate through an evidence-based
medicine analysis the effectiveness and safety of different moisturizing products
available for a non-pharmacological treatment of atopic dermatitis. A total of 92
randomized controlled trials (RCTs) have been identified and analyzed. The
results confirm the presence of a reasonable number of studies highlighting
moisturizers safety and effectiveness in the treatment of atopic dermatitis by
improving disease severity, increasing the time of relapse and reducing the time
of flares. Moisturizers containing urea, glycerin or glycyrrhetinic acid seem to
show the greater evidence of efficacy being supported by more clinical trials.
Among the existing moisturizers, those containing a single agent generally work
although the heterogeneity of RCTs does not allow reaching more definitive
conclusions. Moisturizers made of a mixture of substances seem to be more
effective thanks to the presence of different active substances that may exert a
synergistic effect. A meta-analysis of 4 RCTs confirms the efficacy of a medical
device containing glycyrrhetinic acid, hyaluronic acid, shea butter, telmesteine,
and vitis vinifera in the treatment of atopic dermatitis.
PMID- 29368844
TI - Scleroderma with an update about clinico-pathological correlation.
AB - Scleroderma is divided into a systemic form called systemic sclerosis and a
localized form also called morphea. According to 2013 ACR/EULAR Classification
Criteria for Systemic Sclerosis, developed by the American College of
Rheumatology (ACR) and the European League Against Rheumatism (EULAR), skin
thickening of the fingers extending proximal to the metacarpophalangeal joints is
sufficient for a patient to be classified as having scleroderma. Histological
examination is not included in the diagnostic criteria and is not routinely
performed. Skin biopsy is recommended only in the case of diagnostic doubt with
other scleroderma like disorders (scleromyxedema, scleredema, nephrogenic
systemic fibrosis). Alternatively, skin biopsy is also often performed for
research purposes. Indeed, the first step analysis of new cytokines or pathways
that may contribute to the pathogenesis of the disease requires the evaluation of
their expression or activation in the skin of scleroderma patients compared to
healthy controls. The histological picture of the skin in bot localized and
systemic scleroder shows initially microvascular alterations and chronic
inflammation while in the more advanced stages skin fibrosis prevails. Localized
scleroderma (LS) or morphea includes a number of subtypes which are classified
more according to their clinical presentation rather than histopathological
pictures. However, some histopathologic changes may be useful in differentiating
each entity from the others and from other sclerodermoid disorders.
PMID- 29368845
TI - Cutaneous lupus erythematosus: clinico-pathologic correlation.
AB - Cutaneous lupus erythematosus (CLE) is a chronic-relapsing disease. It is defined
as a LE localized to the skin without any significant systemic symptoms. Its
annual incidence is of 4 cases per 100,000 persons with a prevalence of 73 cases
per 100,000 persons. The etiology is unknown but it is considered as a prototype
of autoimmune disease in which genetic factors (HLA), environmental factors
(photo exposure and cigarette smoking) and pharmacological agents play an
important role. The most accepted classification includes three clinical
variants: acute (ACLE), subacute (SCLE) and chronic (CCLE). A fourth variety is
the intermittent form (ICLE) also called "lupus tumidus" (LET) which is
considered by some authors a distinct form from CCLE. The skin lesions are
subdivided into LE specific and LE non-specific. The latter have a considerable
importance as a symptom of evolution of the disease towards a systemic form of
lupus (SLE). The histopathology of CLE is characterized by an interface
dermatitis with vacuolization of the basal layer, a predominantly lymphocytic,
perivascular and periadnexal infiltrate, epidermal and follicular hyperkeratosis,
deposit of positive PAS material at the dermo-epidermal junction leading to
atrophic-cicatricial evolution. Depending on the clinical variants, these
microscopic features are more or less evident and are associated with
peculiarities such as deposits of mucin (SCLE and LET), involvement of the
panniculus in LE panniculitis, disappearance of the adnexa (cicatricial
alopecia). The relationship between SLE/CLE is still under study: the progression
of CLE in SLE is reported in a variable percentage of cases ranging from 12 to
18%. CLE therapy is aimed at preventing recurrences and scarring outcomes.
Photoprotection with clothing, chemical and physical sunscreens active on UVA and
UVB radiations is very important. Topical therapy is based on the use of steroids
and calcineurin inhibitors, while the systemic therapy includes
hydroxychloroquine as the first drug of choice.
PMID- 29368846
TI - Cyclosporine in clinical practice: a retrospective study comparing fixed dose and
body weight-based dose regimens in psoriatic patients.
AB - BACKGROUND: In the treatment of various diseases, such as diabetes and arterial
hypertension, fixed dose therapies have been reported to offer a method to
simplify complex treatment regimens. There are numerous clinical trials proving
the efficacy of cyclosporine in psoriasis in inducing remission and maintaining
results, although there are few studies about the treatment optimization of
cyclosporine. METHODS: We performed a 15-year retrospective observational study
comparing two groups of psoriatic patients matched for age and sex. We assessed
the clinical response, the safety and the compliance who took fixed dose of 200
mg cyclosporine (range 2.2-3.3 mg/kg) compared with a group of patients who took
body weight-based dosage. Student's t-test was used to assess the difference in
terms of PASI 75 achievement, patient-reported compliance on a five-point scale,
DLQI questionnaire, laboratory values and blood pressure. RESULTS: PASI 75
achievement was not statistically different between the two groups. Compliance
was good in both groups, and DLQI was also comparable. Laboratory values and
blood pressure were not significantly different. CONCLUSIONS: Our study showed no
statistically significant difference between the two groups in PASI reduction and
PASI 75 achievement, even though the fixed dose was often lower (range 2.2-3.3
mg/kg) than the dosage administered depending on weight. Fixed dose cyclosporine
is simple and practical to use in specific clinical settings, in particular when
the patient's weight ranges from 60 to 90 kg. This approach can result in
improved clinical outcomes and a better quality of life for psoriatic patients.
PMID- 29368847
TI - A case of pyoderma gangrenosum, acne, hidradenitis suppurativa (PASH) syndrome
associated with diabetes mellitus type 1 treated with adalimumab.
PMID- 29368848
TI - Shagreen-patch in a NF1 patient with a new missense mutation.
PMID- 29368849
TI - Pyoderma gangrenosum successfully treated with topical tacrolimus.
PMID- 29368850
TI - Psoriasiform sarcoidosis: an unusual variety.
PMID- 29368851
TI - A new distal phenotype of Madelung's disease.
PMID- 29368852
TI - A case of aquagenic wrinkling of the palms.
PMID- 29368853
TI - When it rains it pours: coexistence of autoimmune bullous disease and plaque
psoriasis in 8 Caucasian patients.
PMID- 29368854
TI - Pemphigus herpetiformis resistant to first line therapy: a rare case in
childhood.
PMID- 29368855
TI - Gender differences in genital lichen sclerosus.
AB - BACKGROUND: Studies specifically conducted to assess gender differences in
genital lichen sclerosus (GLS) are not available. This multicenter study aimed to
identify possible gender-related differences on GLS clinical features, history
and course, through collecting data from a large mixed-sex sample of patients.
METHODS: This was a cross-sectional study on 729 subjects (53.8% females, 46.2%
males) affected with GLS, consecutively observed within a network of 15 Italian
dermatology units. The following information was specifically collected: clinical
features and severity of symptoms related to GLS, extragenital involvement,
previous therapies, diagnostic suspicion at referral, type of referring
physicians, development of genital squamous-cell carcinoma (SCC). RESULTS:
Females complained of symptoms more frequent and severe than men; pallor and
scarring-sclerosis-atrophy were the most frequent features without gender
differences; itching- related signs were more frequent in females than in males
as well as extragenital involvement; prior to receiving a definitive diagnosis,
females received treatment more frequently than males; 40% of patients were
referred with a misdiagnosis; the highest rate of correct suspected diagnosis at
referral came from dermatologists than from other physicians; duration of the
disease was found to predispose to SCC development. CONCLUSIONS: Our findings
highlighted several gender differences on clinical presentation and symptom
profile of GLS. In spite of some characteristic features, misdiagnosis at
referrals was frequent.
PMID- 29368856
TI - Dermatomyositis: clinicopathological correlations.
AB - Dermatomyositis (DM) is a chronic autoimmune disease affecting primarily the
skeletal muscle and the skin. Although the diagnosis is usually based upon
clinical and laboratory findings, histopathology is helpful for confirmation
purposes and is mandatory in amiopathic DM where there is only skin involvement.
Histologically, the typical cases are characterized by subtle vacuolar interface
alterations with epidermal atrophy, mild capillary ectasia and sparse
perivascular lymphocytic infiltrate; these findings are similar to those seen in
other connective tissue diseases, especially lupus erythematosus. This review
deals with the specific histological findings that can be found in the different
presentations of the disease and discuss the most common differential diagnoses.
As for many other inflammatory skin diseases, the diagnosis of DM is based on a
strict interaction between the dermatologist and the dermatopathologist.
PMID- 29368857
TI - Neutrophilic dermatoses: a broad spectrum of disease.
AB - The neutrophilic dermatoses (NDs) comprise a group of heterogeneous disorders
characterized by inflammatory skin lesions that histologically show an intense
inflammatory infiltrate composed primarily by neutrophils, with no evidence of
infection or vasculitis. Although there are distinct clinical differences in the
classical lesions of these disorders, many patients have overlapping features. In
this review, we describe the clinical aspects of the main NDs, including: Sweet
Syndrome, ND of the dorsal hands, pyoderma gangrenosum, erythema elevatum
diutinum, subcorneal pustular dermatosis, neutrophilic eccrine hidradenitis,
rheumatoid neutrophilic dermatitis, neutrophilic panniculitis, and aseptic
abscesses including their association with underlying diseases and the
differential diagnoses.
PMID- 29368858
TI - A case of Axillary Hidradenitis suppurativa treated with topical tacrolimus.
PMID- 29368859
TI - Incidence of supernumerary nipples in a large population, with relative
dermoscopic and clinic-pathologic features: an update and a fast approach.
PMID- 29368860
TI - Reflectance confocal microscopy features of thin versus thick melanomas.
AB - BACKGROUND: In vivo reflectance confocal microscopy (RCM) plays an increasingly
important role in differential diagnosis of melanoma. The aim of the study was to
assess typical confocal features of thin (<=1mm according to Breslow index)
versus thick (>1mm) melanomas. METHODS: 30 patients with histopathologically
confirmed cutaneous melanoma were included in the study. Reflectance confocal
microscopy was performed with Vivascope equipment prior to excision. Fifteen
melanomas were thin (Breslow thickness <= 1mm) and 15 were thick melanomas
(Breslow thickness >1mm). RESULTS: In the RCM examination, the following features
were more frequently observed in thin compared to thick melanomas: edged papillae
(26.7% vs 0%, p=0.032) and areas with honeycomb or cobblestone pattern (33.3% vs
6.7%, p=0.068). Both features are present in benign melanocytic lesions, so in
melanoma are good prognostic factors. The group of thick melanomas compared to
the group of thin melanomas in the RCM images presented with greater frequency of
roundish cells (100% vs 40%, p=0.001), non-edged papillae (100% vs 60%, p=0.006),
numerous pagetoid cells (73.3% vs 33.3%, p=0.028), numerous atypical cells at
dermal-epidermal junction (53.3% vs 20%, p=0.058) and epidermal disarray (93.3%
vs 66.7%, p=0.068). CONCLUSIONS: Non-invasive imaging methods helps in deepening
of knowledge about the evolution and biology of melanoma. The most characteristic
features for thin melanomas in confocal examination are: fragments of cobblestone
or honeycomb pattern and edged papillae (as good prognostic factors). The
features of thick melanomas in RCM examination are: roundish cells, non-edged
papillae, numerous pagetoid cells at dermal-epidermal junction and epidermal
disarray.
PMID- 29368861
TI - A NGFR+ S100- myxoid neurothekeoma: a never described immunohistochemical
phenotype.
PMID- 29368862
TI - Clinical and histological evaluation in patients with mycosis fungoides treated
with UVA1.
AB - BACKGROUND: UVA1 phototherapy is a valid therapeutic alternative for skin
lymphoproliferative disorders, although there are few studies concerning its role
in mycosis fungoides (MF). Our aim was to evaluate and confirm the effectiveness
of UVA1 phototherapy in patients in early stage Mycosis Fungoides (MF). METHODS:
We enrolled 12 patients, 9 males and 3 females (mean age 54.83 +/- 9.99, range 36
74) with a histological diagnosis of mycosis fungoides at early stage. All
patients were treated with UVA1 for 22 sessions with two different protocols (3
times or 5 times per week) at the dose of 45 J/cm2. A punch biopsy was performed
before and after the treatment, to evaluate the variation of histological
features and of the proliferation index (Ki67/MIB1). RESULTS: At the end of the
study, we found a marked clinical improvement in all patients, associated to a
statistically significant reduction of the proliferation index Ki67 / MIB1. Five
patients achieved a complete clinical and histological response, while six a
partial one and only one a minimal response. CONCLUSIONS: Although in recent
years the number of the therapeutic options available for all types of skin
lymphoproliferative disorders, in particular mycosis fungoides, has increased
considerably, there are few studies concerning UVA1 phototherapy. Our results
represent a starting point for further studies, in order to investigate the role
that these UV-rays can play either alone or in combination with other therapeutic
regimens.
PMID- 29368863
TI - Antihypertensive drugs and risk of skin cancer.
AB - The potential carcinogenic risk of antihypertensive drugs has been examined in
several studies that reported controversial results. The association between
treatment with antihypertensives and risk of skin cancer has also been
questioned, considering the long-term administration of such drugs and the
ability of some agents to cause photosensitive reactions. In fact, experimental
and epidemiologic findings suggest a link between drug-induced photosensitivity
and skin cancer, possibly through the induction of DNA damage in predisposed
individuals. Antihypertensive medications might influence skin homeostasis
through additional mechanisms. For instance, some antihypertensive drugs can
affect epidermal differentiation by interfering with calcium or sodium channels
in the skin. Mediators in the renin-angiotensin system (RAS) are also involved in
the modulation of cellular proliferation and angiogenesis. Of note, the existence
of RAS has been recognized in many organs and tissues, including the skin. The
available data regarding the relationship between use of different types of
antihypertensives and skin cancer risk do not allow to draw definite conclusions
at present. The aim of this article is to summarize the current evidence about
the association of antihypertensive use with risk of non-melanoma skin cancer,
melanoma, lip cancer and cutaneous lymphoma. A brief mention of the role of beta
blockers in melanoma progression has also been added.
PMID- 29368864
TI - Skin manifestations of rheumatoid arthritis.
AB - Rheumatoid arthritis is a chronic systemic disease that, in addition to articular
involvement, may exhibit a variety of extraarticular manifestations. The skin is
frequently involved mainly in the most severe forms of the disease. Rheumatoid
nodules, accelerated rheumatoid nodulosis, rheumatoid nodulosis, Felty syndrome,
rheumatoid vasculitis, pyoderma gangrenosum, rheumatoid neutrophilic dermatosis,
interstitial granulomatous dermatitis, and palisaded neutrophilic granulomatous
dermatitis are reviewed both clinically and histologically. Moreover, cutaneous
reactions related to treatments of rheumatoid arthritis, in particular to
biologic agents, are described. Early recognition of these entities through a
clinical and histological correlation permit to optimize the management of
patients.
PMID- 29368865
TI - Daylight photodynamic therapy: experience in the treatment of actinic keratosis
in San Gallicano Institute of Rome and a review of literature.
AB - BACKGROUND: Actinic keratosis (AK) is a photo-induced skin lesion. It has been
considered by several authors as in situ squamous cell carcinoma (SCC), that can
evolve to invasive SCC (iSCC). Given the malignant potential and because it's
impossible predict which AK will evolve in iSCC, it's necessary to treat each
lesion. Multiple therapeutic approaches have been described to treat AKs. In
addition to the topical drugs, photodynamic therapy (PDT) has become an
established therapeutic modality for grade I and II of AKs of face and scalp.
Recently the daylight photo-dynamic therapy (DL-PDT) has found extensive use in
the care of the AK and in the field cancerization. METHODS: 101 patients, 90
males and 11 females, mean age 71, phototype I-II, with multiple AK I and II of
the face and the scalp, treated with DL-PDT were retrospectively studied.
Patients were clinically evaluated for 3 months. RESULTS: The efficacy was
complete in 16 patients (15,8%), in 71 patients (70,3%) was much improved or
improved and only in 14 (13,9%) subjects were minimal, while nobody had worsened
or changed. The majority of patients (84,2%) patients were satisfied of the
efficacy as well of the cosmetic results, only 15 (14,9%) were low satisfied and
one patients was no satisfied. CONCLUSIONS: This study confirms that the DL-PDT
is a good alternative to c-PDT for the treatment of grade I and II AK of the face
and scalp and in Rome, as in Southern Europe, it's possible to perform the DL-PDT
in almost every month of year.
PMID- 29368866
TI - Cutaneous and systemic vasculitides in dermatology: a histological perspective.
AB - Cutaneous vasculitides encompass a wide and heterogeneous group of diseases
affecting skin blood vessels that are clinically characterized by polymorphic
skin lesions, particularly including palpable purpura as well as urticarial and
necrotic-ulcerative lesions, with possible, albeit rare, extracutaneous
involvement. Cutaneous leukocytoclastic angiitis and urticarial vasculitis, which
are the two prototypic and most common variants of this group, are usually
idiopathic but may also be induced by different triggers, notably drugs and
infections, or may manifest in association with systemic disorders, particularly
lupus erythematosus. Vasculitis skin lesions can also occur during the chronic
relapsing course of systemic vasculitides, such as granulomatosis with
polyangiitis and eosinophilic granulomatosis with polyangiitis, with which
cutaneous vasculitides can share clinical and histological features. In order to
make the diagnosis of a specific form of vasculitis, the cutaneous picture and
clinical history of the patient have to be correlated with the histological
pattern, direct immunofluorescence findings, laboratory data and possible
presence of extracutaneous manifestations. Histology is pivotal for the diagnosis
of vasculitis and timing of the biopsy is relevant to correctly evaluate the
characteristics of the inflammatory infiltrate. In this review, we will focus in
particular on the histological features of cutaneous vasculitides in order to
differentiate them from the systemic forms and to avoid misdiagnosis when skin
involvement is the presenting sign of a multisystem vasculitis.
PMID- 29368867
TI - [Methods of high-throughput plant phenotyping for large-scale breeding and
genetic experiments].
AB - Phenomics is a field of science at the junction of biology and informatics which
solves the problems of rapid, accurate estimation of the plant phenotype; it was
rapidly developed because of the need to analyze phenotypic characteristics in
large scale genetic and breeding experiments in plants. It is based on using the
methods of computer image analysis and integration of biological data. Owing to
automation, new approaches make it possible to considerably accelerate the
process of estimating the characteristics of a phenotype, to increase its
accuracy, and to remove a subjectivism (inherent to humans). The main
technologies of high-throughput plant phenotyping in both controlled and field
conditions, their advantages and disadvantages, and also the prospects of their
use for the efficient solution of problems of plant genetics and breeding are
presented in the review.
PMID- 29368868
TI - [Horizontal gene transfer into the genomes of insects].
AB - Horizontal gene transfer (HGT) is widespread in the world of prokaryotes, but the
examples of this phenomenon among multicellular animals, particularly insects,
are few. This review examines the transfer of genetic material to the nuclear
genomes of insects from the mitochondrial genome (intracellular HGT), as well as
from the genomes of viruses, bacteria, fungi, and unrelated insects. In most
cases, the mechanisms of this transfer are unknown. Many pro- and eukaryotic
genes that moved through the HGT are expressed in the insect genome and in some
cases can provide the evolutionary innovations that are considered as
aromorphoses.
PMID- 29368869
TI - [Chromosome as a chronicler: Genetic dating, historical events, and DNA
genealogic temptation].
AB - Nonrecombinant portions of the genome, Y chromosome and mitochondrial DNA, are
widely used for research on human population gene pools and reconstruction of
their history. These systems allow the genetic dating of clusters of emerging
haplotypes. The main method for age estimations is rho statistics, which is an
average number of mutations from founder haplotype to all modern-day haplotypes.
A researcher can estimate the age of the cluster by multiplying this number by
the mutation rate. The second method of estimation, ASD, is used for STR
haplotypes of the Y chromosome and is based on the squared difference in the
number of repeats. In addition to the methods of calculation, methods of Bayesian
modeling assume a new significance. They have greater computational cost and
complexity, but they allow obtaining an a posteriori distribution of the value of
interest that is the most consistent with experimental data. The mutation rate
must be known for both calculation methods and modeling methods. It can be
determined either during the analysis of lineages or by providing calibration
points based on populations with known formation time. These two approaches
resulted in rate estimations for Y-chromosomal STR haplotypes with threefold
difference. This contradiction was only recently refuted through the use of
sequence data for the complete Y chromosome; "whole-genomic" rates of single
nucleotide mutations obtained by both methods are mutually consistent and mark
the area of application for different rates of STR markers. An issue even more
crucial than that of the rates is correlation of the reconstructed history of the
haplogroup (a cluster of haplotypes) and the history of the population. Although
the need for distinguishing "lineage history" and "population history" arose in
the earliest days of phylogeographic research, reconstructing the population
history using genetic dating requires a number of methods and conditions. It is
known that population history events leave distinct traces in the history of
haplogroups only under certain demographic conditions. Direct identification of
national history with the history of its occurring haplogroups is inappropriate
and is avoided in population genetic studies, although because of its simplicity
and attractiveness it is a constant temptation for researchers. An example of DNA
genealogy, an amateur field that went beyond the borders of even citizen science
and is consistently using the principle of equating haplogroup with lineage and
population, which leads to absurd results (e.g., Eurasia as an origin of
humankind), can serve as a warning against a simplified approach for
interpretation of genetic dating results.
PMID- 29368870
TI - [Migration as the main factor of the Russia's urban population dynamics].
AB - This review summarizes the results of the long-term studies performed at the
Institute of General Genetics, Russian Academy of Sciences, in the field of
genetic demography of migration processes in Russia and its capital. The main
population-genetic parameters of migration and their dynamics in Moscow over a
hundred years are given. Sociodemographic and population-genetic implications of
migration processes are considered. A model predicting the population gene pool
dynamics under migration pressure for genes of different localization (autosomal,
sex-linked, and mitochondrial), exemplified by predicting the allele frequency
dynamics in the Moscow population of some gene markers, including genes
accounting for monogenic pathology and genes associated with resistance to
socially significant diseases, are presented. The paper discusses the selective
character of migration processes, in particular, processes of emigration, with
respect to some genetically significant ethnodemographic traits; the problem of
adaptation of migrants; and adaptive strategies of consolidation of
ethnoconfessional groups in the megalopolis (compact settlement over the urban
territory and positive assortative mating with respect to demographic traits). It
was shown that, owing to the intense influx of migrants and gene flows between
ethnic groups, the population of the megalopolis is of mixed origin in terms of
ethnic, anthropologic, and genetic aspects. The results of the study suggest the
necessity to develop a specific strategy of genetic database formation for the
population of megalopolises for the purposes of medical genetics and forensic
medicine.
PMID- 29368871
TI - [Evolution of genetic diversity and human diseases].
AB - The problem of development and dispersion of complex diseases in human
populations requires new views, approaches, hypotheses, and paradigms.
Evolutionary medicine provides one of the promising approaches to this problem,
putting the disease into an evolutionary context. Unlike classic approaches
oriented to proximate issues on structure and mechanisms of a disease,
evolutionary considerations are broader. It provides the basis for understanding
the origin, dispersion, and maintenance of the high frequencies of pathological
phenotypes in modern human populations. In the current paper, we try to review
the modern concepts on the evolution of human genetic diversity, to shape the
outlines of evolutionary medicine, and to illustrate evolutionary medical
problems using our experimental data. Data on genome-wide search for the signals
of decanalization and adaptation in the human genome and on related biological
processes and diseases are presented. Some hypotheses and concepts of
evolutionary medicine may be productive for revealing the mechanisms of origin
and dispersion of complex diseases and for pathogenetics of multifactorial
diseases. One of such concepts is the hypothesis of decanalization of genome
phenome relationships under natural selection during modern human dispersion.
Probably, the high frequency of alleles associated with complex diseases (and
partially the high prevalence of diseases themselves) could be explained in the
framework of the hypothesis.
PMID- 29368872
TI - [Genetic risk assessment of the joint effect of several genes: Critical
appraisal].
AB - When assessing the combined action of genes on the quantitative or qualitative
phenotype we encounter a phenomenon that could be named the "paradox of the risk
score summation." It arises when the search of risk allele and assessment of
their combined action are performed with the same single dataset. Too often such
methodological error occurs when calculating the so called genetic risk score
(GRS), which refers to the total number of alleles associated with the disease.
Examples from numerous published genetic association studies are considered in
which the claimed statistically significant effects can be attributed to the
"risk score summation paradox." In the second section of the review we discuss
the current modifications of multiple regression analysis addressed to the so
called "n ? p problem" (the number of points is much smaller than the number of
possible predictors). Various algorithms for the model selection (searching the
significant predictor combinations) are considered, beginning from the common
marginal screening of the "top" predictors to LASSO and other modern algorithms
of compressed sensing.
PMID- 29368873
TI - Positive surgical margins are predictors of local recurrence in conservative
kidney surgery for pT1 tumors.
AB - OBJECTIVES: The clinical significance of positive surgical margin (PSM) after a
Nephron Sparing Surgery (NSS) is controversial. The aim of this study is to
evaluate the association between PSM and the risk of disease recurrence in
patients with pT1 kidney tumors who underwent NSS. MATERIALS AND METHODS:
Retrospective cohort study. A total of 314 patients submitted to a NSS due to
stage pT1 renal tumor between January 2010 and June 2015 were included.
Recurrence-free survival was estimated. The Cox model was used to adjust the
tumor size, histological grade, pathological stage, age, surgical margins and
type of approach. RESULTS: Overall PSM was 6.3% (n=22). Recurrence was evidenced
in 9.1% (n=2) of patients with PSM and 3.5% (n=10) for the group of negative
surgical margin (NSM). The estimated local recurrence-free survival rate at 3
years was 96.4% (95% CI 91.9 to 100) for the NSM group and 87.8% (95% CI 71.9 to
100) for PSM group (p=0.02) with no difference in metastasis-free survival. The
PSM and pathological high grade (Fuhrman grade III or IV) were independent
predictors of local recurrence in the multivariate analysis (HR 12.9, 95%CI 1.8
94, p=0.011 / HR 38.3, 95%CI 3.1-467, p=0.004 respectively). Fuhrman grade proved
to be predictor of distant recurrence (HR 8.1, 95%CI 1.6-39.7, p=0.011).
CONCLUSIONS: The PSM in pT1 renal tumors showed to have higher risk of local
recurrence and thus, worse oncological prognosis.
PMID- 29368874
TI - Preoperative care of Polypoid exposed mucosal template in bladder exstrophy: the
role of high-barrier plastic wraps in reducing inflammation and polyp size.
AB - OBJECTIVE: To assess the role of high-barrier plastic wrap in reducing the number
and size of polyps, as well as decreasing the inflammation and allergic reactions
in exstrophy cases, and to compare the results with the application of low
barrier wrap. MATERIALS AND METHODS: Eight patients with bladder exstrophy
epispadias complex (BEEC) that had used a low density polyethylene (LDPE) wrap
for coverage of the exposed polypoid bladder in preoperative care management were
referred. The main complaint of their parents was increase in size and number of
polyps. After a period of 2 months using the same wrap and observing the
increasing pattern in size of polyps, these patients were recommended to use a
high-barrier wrap which is made of polyvinylidene chloride (PVdC), until closure.
Patients were monitored for the number and size of polyps before and after the
change of barriers. The incidence of para-exstrophy skin infection/inflammation
and skin allergy were assessed. Biopsies were taken from the polyps to identify
histopathological characteristics of the exposed polyps. RESULTS: The high
barrier wrap was applied for a mean +/- SD duration of 12+/-2.1 months. Polyps'
size and number decreased after 12 months. No allergic reaction was detected in
patients after the usage of PVdC; three patients suffered from low-grade skin
allergy when LDPE was applied. Also, pre-malignant changes were observed in none
of the patients in histopathological examination after the application of PVdC.
CONCLUSION: Polyps' size and number and skin allergy may significantly decrease
with the use of a high-barrier wrap. Certain PVdC wraps with more integrity and
less evaporative permeability may be more "exstrophy-friendly".
PMID- 29368875
TI - Accidental cystectomy during laparoscopic excision of prostatic utricle cyst - a
rare complication.
AB - Prostatic utricle cyst is a rare congenital anomaly. Symptomatic cysts require
treatment. Surgical excision is the treatment of choice, but is challenging due
to close proximity to vas deferens, ejaculatory ducts, bladder, prostate, rectum
and pelvic nerves. Complications include rectal injury, ureteral injury,
impotence, infertility and faecal incontinence. We here report a rare
complication in which bladder was accidentally removed during laparoscopic
excision of prostatic utricle cyst. To best of our knowledge such a complication
has never been reported previously. We also describe the possible cause of this
accident and suggest ways to prevent this disastrous complication.
PMID- 29368877
TI - Transperitoneal laparoscopic pyeloplasty in children: does upper urinary tract
anomalies affect surgical outcomes?
AB - OBJECTIVE: To assess the feasibility and outcomes of laparoscopic pyeloplasty in
children with complex ureteropelvic junction obstruction (UPJO) and compare to
children with iso-lated UPJO without associated urinary tract abnormalities.
MATERIAL AND METHODS: Medical records of 82 consecutive children submitted to
transperitoneal laparoscopic pyeloplasty in a 12-year period were reviewed.
Eleven cases were con-sidered complex, consisting of atypical anatomy including
horseshoe kidneys in 6 patients, pelvic kidneys in 3 patients, and a duplex
collecting system in 2 patients. Patients were di-vided into 2 groups: normal
anatomy (group 1) and complex cases (group 2). Demographics, perioperative data,
outcomes and complications were recorded and analyzed. RESULTS: Mean age was 8.9
years (0.5-17.9) for group 1 and 5.9 years (0.5-17.2) for group 2, p=0.08. The
median operative time was 200 minutes (180-230) for group 1 and 203 minutes (120
300) for group 2, p=0.15. Major complications (Clavien >=3) were 4 (5.6%) in
group 1 and 1 (6.3%) in group 2, p=0.52. No deaths or early postoperative
complications such as: urinoma or urinary leakage or bleeding, occurred. The
success rate for radiologic improvement and flank pain improvement was comparable
between the two groups. Re-garding hydronephrosis, significant improvement was
present in 62 patients (93.4%) of group 1 and 10 cases (90.9%) of group 2,
p=0.99. The median hospital stay was 4 days (IQR 3-4) for group 1 and 4.8 days
(IQR 3-6) for group 2, p=0.27. CONCLUSIONS: Transperitoneal laparoscopic
pyeloplasty is feasible and effective for the management of UPJO associated with
renal or urinary tract anomalies.
PMID- 29368876
TI - Clinical and pathologic factors predicting reclassification in active
surveillance cohorts.
AB - The incidence of small, lower risk well-differentiated prostate cancer is
increasing and almost half of the patients with this diagnosis are candidates for
initial conservative management in an attempt to avoid overtreatment and
morbidity associated with surgery or radiation. A proportion of patients labeled
as low risk, candidates for Active Surveillance (AS), harbor aggressive disease
and would benefit from definitive treatment. The focus of this review is to
identify clinicopathologic features that may help identify these less optimal AS
candidates. A systematic Medline/PubMed Review was performed in January 2017
according to PRISMA guidelines; 83 articles were selected for full text review
according to their relevance and after applying limits described. For patients
meeting AS criteria including Gleason Score 6, several factors can assist in
predicting those patients that are at higher risk for reclassification including
higher PSA density, bilateral cancer, African American race, small prostate
volume and low testosterone. Nomograms combining these features improve risk
stratification. Clinical and pathologic features provide a significant amount of
information for risk stratification (>70%) for patients considering active
surveillance. Higher risk patient subgroups can benefit from further evaluation
or consideration of treatment. Recommendations will continue to evolve as data
from longer term AS cohorts matures.
PMID- 29368878
TI - Risk factors for urinary tract infection in children with urinary urgency.
AB - PURPOSE: To identify which independent variable would be strong predictor of
febrile urinary tract infection (UTI) in children and adolescents with overactive
bladder. MATERIALS AND METHODS: A search was made of the institute's database for
all patients diagnosed with overactive bladder over the preceding four years.
Children and adolescents under 18 years of age with overactive bladder and no
neurological or anatomical alterations of the lower urinary tract were included
in the study. The independent variables were: sex, age, ethnicity (Brazilians of
African descendence/others), the presence of urinary urgency, daytime
incontinence, enuresis, frequent urination, infrequent voiding (<=3 voids/day),
nocturia, holding maneuvers, straining to void, intermittent urinary flow,
constipation and encopresis. An analysis was conducted to identify patients with
febrile UTI and subsequently determine predictors of this condition. Univariate
and multivariate analyses were performed. RESULTS: Overall, 326 patients (214
girls/112 boys) were evaluated. The mean age of the patients was 7.7+/-3.19 years
(+/- standard deviation). The incidence of febrile UTI was 39.2%. Being female
and infrequent voiding were factors significantly associated with febrile UTI,
both in the univariate and multivariate analyses. CONCLUSIONS: These results show
that being female and infrequent voiding constituted significant risk factors for
a diagnosis of febrile UTI in these children.
PMID- 29368879
TI - 99mTc-DTPA Diuretic Renography with 3 hours late output fraction in the
evaluation of hydronephrosis in children.
AB - OBJECTIVE: Dynamic renal scintigraphy complemented by late gravity assisted
postvoid images to 60 minutes is a frequently used diagnostic test in the
evaluation of hydronephrosis. The objective of this study is to evaluate the
effectiveness in acquiring images at 180 minutes to calculate the late output
fraction (LOF) of 99mTc-DTPA in the diagnosis of ureteropelvic junction
obstruction (UPJO). MATERIALS AND METHODS: A retrospective study of 177 patients
(196 renal units) of suspected cases of clinical UPJO was conducted. The patients
were submitted to at least two dynamic renal scintigraphies of 99mTc-DTPA, with
the addition of furosemide (F0), with a mean age of 4.3+/-3.8 years for the first
study, and a follow-up of 2.7+/-2.5 years. RESULTS: For diagnosis based on renal
curves, a 100% sensitivity, 82.2% specificity, positive predictive value (PPV) of
10.4% and negative predictive value (NPV) of 100% were estimated. For diagnosis
based on LOF, a 100% sensitivity, 96.3% specificity, PPV of 35.7% and NPV of 100%
were estimated. CONCLUSION: A LOF <10% is indicative of UPJO, and a LOF >=15% is
indicative of no UPJO. The data demonstrate that LOF presents equivalent
sensitivity and NPV, and higher specificity and PPV in comparison to diagnosis
based on renal curves, and is useful in the evaluation and follow-up of suspected
cases of UPJO.
PMID- 29368880
TI - The influence of exercise on ulcer healing in patients with chronic venous
insufficiency.
AB - BACKGROUND: Venous leg ulcer (VLU) affects millions of people, and yet there have
been no major advances in its treatment for many decades. Is it the time to
change our approach, and try a multidisciplinary one that could bring about a
change? The aim of this study is to evaluate whether a regular, home-based
exercise could be influential in healing the VLU. METHODS: Eighty subjects (mean
age: 65.13 years) were recruited for 12 weeks. The participants were randomized
into a control group (N.=20), a compression therapy group (N.=20), an exercise
group (N.=20) and a compression and exercise group (N.=20). The exercise
comprised of 10 dorsiflexions each hour while the patient was awake. The first 2
groups did not perform any exercise while the other two did. Baseline and 3-month
measurements were performed. These measurements include skin perfusion assessment
and ulcer size. RESULTS: All patients showed low tcPO2 in the beginning of the
study. At the point of 3 months of exercise, Laser Doppler flowmetry and ulcer
size measurements showed significant decrease, P<0.001 in exercise groups,
however, no real change was demonstrated in the non-exercise groups. Subjects who
were performing exercise showed significant increase in tcPO2 readings after 3
months (P<0.001), whereas the tcPO2 readings remained the same in the non
exercise groups. CONCLUSIONS: We concluded that exercise had a significant effect
on the VLU healing and this effect may be enhanced further with the help of the
compression therapy. The tcPO2 and RF measurements may be seen as useful tools in
evaluating the microvascular changes, and monitoring healing and follow up of the
venous leg ulcer.
PMID- 29368881
TI - Targeting Therapy of Neuropilin-1 Receptors Overexpressed Breast Cancer by
Paclitaxel-Loaded CK3-Conjugated Polymeric Micelles.
AB - Chemotherapy for breast cancer is significantly restricted by the tumor's physio
pathological complexity. Here we have constructed a targeted nano-system based on
PEGylated poly (D, L-lactide) (PEG-PDLLA) using a novel ligand, CLKADKAKC (CK3)
peptide, for active targeting to Neuropilin-1-rich breast cancer cells. CK3
increased the cellular uptake of micelles 4.7-fold compared with the free drug
and nearly 2.2-fold compared with the unmodified micelles (PM), respectively.
Furthermore, in vivo imaging revealed that CK3-modified micelles (CK3-PM) had
excellent specific tumor cells targeting and the drug accumulation was also
enhanced. When paclitaxel (PTX) was loaded into micelles, CK3-PM-PTX induced the
strongest inhibition and apoptosis against MDA-MB-231 cells in vitro and in vivo.
These results demonstrated that CK3-modified PEG-PDLLA micelles developed in this
study could be a potential targeted vehicle for enhancing the chemotherapy of
breast cancers.
PMID- 29368882
TI - [Genetic structure of the Russian populations of Pyrenophora tritici-repentis,
determined by using microsatellite markers].
AB - The population genetic structure of plant pathogenic fungus Pyrenophora tritici
repentis was examined using microsatellite (SSR) markers. According to the
geographical origin of the pathogen populations, they were designated as North
Caucasian (S, 33 isolates), northwest (Nw, 39), and Omsk (Om, 43). The
populations were analyzed at the nine most polymorphic SSR loci, at which 75
alleles were identified. To characterize the genetic variation within and between
populations, the AMOVA algorithm as implemented in the Arlequin v. 3.5 software
program was used. The number of alleles per locus ranged from 5 to 12 and their
sizes varied within the range from 180 to 400 bp. The mean gene diversity at SSR
loci was high for all populations (H = 0.58-0.75). The populations were
considerably different in the frequencies of individual alleles of the SSR loci.
Most isolates in the populations were represented by unique haplotypes. The
within-population variation of the isolates at molecular markers was 86.4%; among
the populations, 13.6%. Substantial interpopulation differences were found
between the Om and S (F st = 0.16) and between the Om and Nw (F st = 0.20)
populations, whereas between the S and Nw populations, these differences were
small (F st = 0.05). Thus, it was demonstrated that the population of P. tritici
repentis from Omsk oblast had the independent status of the geographical
population; northwest and North Caucasian populations differed in the allelic
diversity of SSR loci, and despite the low F st value (0.05), they also belonged
to independent geographical populations.
PMID- 29368883
TI - [Phylogenetic relationships of the species of Oxytropis DC. subg. Oxytropis and
Phacoxytropis (Fabaceae) from Asian Russia inferred from the nucleotide sequence
analysis of the intergenic spacers of the chloroplast genome].
AB - The nucleotide sequence analysis of trnH-psbA, trnL-trnF, and trnS-trnG
intergenic spacer regions of chloroplast DNA performed in the representatives of
the genus Oxytropis from Asian Russia provided clarification of the phylogenetic
relationships of some species and sections in the subgenera Oxytropis and
Phacoxytropis and in the genus Oxytropis as a whole. Only the section Mesogaea
corresponds to the subgenus Phacoxytropis, while the section Janthina of the same
subgenus groups together with the sections of the subgenus Oxytropis. The
sections Chrysantha and Ortholoma of the subgenus Oxytropis are not only closely
related to each other, but together with the section Mesogaea, they are grouped
into the subgenus Phacoxytropis. It seems likely that the sections Chrysantha and
Ortholoma should be assigned to the subgenus Phacoxytropis, and the section
Janthina should be assigned to the subgenus Oxytropis. The molecular differences
were identified between O. coerulea and O. mandshurica from the section Janthina
that were indicative of considerable divergence of their chloroplast genomes and
the species independence of the taxa. The species independence of O. czukotica
belonging to the section Arctobia was also confirmed.
PMID- 29368884
TI - Anther culture as an effective tool in winter wheat (Triticum aestivum L.)
breeding.
AB - The aim of this study was to determine the effect of genotype and induction
medium in anther culture of wheat (Triticum aestivum L.). Ten F1 winter wheat
genotypes were tested in anther culture (AC) to compare the two most frequently
applied induction media (W14mf and P4mf). Androgenesis was induced during the
treatment of each tested genotypes and green plants were produced from them using
both media. Based on statistical analysis, the genotypes significantly influenced
(at the 0.001 probability level) the efficiency of AC (embryo-like structures
(ELS), albinos, green plantlets and transplanted plantlets) and the media also
had a significant effect on the number of ELS and albino plantlets. Both media
can be used for AC in wheat doubled haploid (DH) plant production. The production
of ELS and green plantlets was higher in P4mf medium (48.84 ELS/100 anthers, 4.82
green plantlets/100 anthers) than in W14mf medium (28.14 ELS/100 anthers, 4.59
green plantlets/100 anthers). However, the green plant regeneration efficiency of
the microspore-derived structures was 16.9% when using W14mf medium, while this
value was 9.6% in the case of ELS induced with P4mf medium. The application of
W14mf medium thus proved to be time- and labour-saving medium in the large-scale
production of DH wheat plants. In our experiments, 267 DH plants were produced
for our winter wheat breeding program. The spontaneous rediploidization rate was
32.72%.
PMID- 29368886
TI - Superparamagnetic Iron Oxide-Loaded Cationic Polymersomes for Cellular MR Imaging
of Therapeutic Stem Cells in Stroke.
AB - MR imaging (MRI) upon cell labeling is an attractive and clinically translatable
tool for longitudinally monitoring the survival and migration of stem cells. The
common intracellular delivery of superparamagnetic iron oxide nanoparticles
(SPIONs) via poly-L-lysine (PLL) requires a high SPION concentration and a long
incubation period for appropriate cell labeling, which may negatively affect the
viability and function of stem cells. In this study, we determined the
performance of a new class of cationic polymersomes in transferring SPIONs into
green fluorescence protein-modified mesenchymal stem cells (MSCs) for cellular
MRI in acute ischemic stroke, compared with PLL-coated SPIONs. The results
demonstrated that the polymersomes had comparable labeling efficiency and
biological safety as well as a marginal benefit on post-transplantation cell
survival; the polymersomes had the advantages of a relatively low SPION
concentration and a substantially shorter labeling period compared with PLL
coated SPIONs. After transplantation, MSCs labeled using both methods offered a
similar therapeutic effect on stroke, and cellular MRI could track the in vivo
distribution and migration behavior of biologically active MSCs; however, MRI
overestimated the true size of the cell grafts. SPION-loaded cationic
polymersomes can be used as an alternative for the efficient, rapid, and safe
labeling of stem cells for cellular MRI.
PMID- 29368887
TI - [Molecular phylogenetic analysis of the ant genus Formica L. (Hymenoptera:
Formicidae) from Palearctic region].
AB - Sixty-five sequences of the mitochondrial DNA cytochrome b gene fragment (759 bp)
and 23 sequences of the NADH dehydrogenase subunit 6 gene fragment (224 bp) were
compared in ants of the genus Formica L. from different regions of the Palearctic
and in Polyergus rufescens Latr. as outgroup. In total, 28 species of the genus
Formica were examined. As a result, dated trees with a molecular clock were
constructed showing the phylogenetic relationships of Formica ants. The topology
of the obtained tree based on the Cyt-b sequences was found to be not consistent
with the generally accepted opinion on the Formica rufa and F. rufibarbis groups.
New data on the formation history of the present-day fauna of Formica ants of the
Palearctic were obtained. It was demonstrated that a considerable fraction of the
examined species (about a third) were formed in the Quaternary Period.
PMID- 29368888
TI - [Communication, knowledge and medicine].
AB - Knowledge developed by humanity has had profound transcendence concerning its
communication and preservation. An example of this are the advances in
explanations regarding health and disease, whose mainly printed circulation is
rapidly losing its place to digital publications. That is the way it happens with
the Revista Medica del Instituto Mexicano del Seguro Social, whose aim is the
same: to disseminate new knowledge.
PMID- 29368889
TI - [Stunting, overweight and obesity during the nutrition transition in
schoolchildren of Arandas, Jalisco, Mexico].
AB - BACKGROUND: Nutrition transition provokes changes in the nutritional status of
individuals subjected to the interaction of various environmental factors;
therefore, the aim was to demonstrate that nutrition transition is associated
with socioeconomic changes, eating habits and physical activity, potentially
involved in stunting, overweight and obesity of schoolchildren. METHODS: Case
control study. 102 participants from the Instituto Alteno para el Desarrollo de
Jalisco (cases) and 194 from the elementary school system (controls), aged 5 to
12 years, were included. Dependent variables were these indexes weight/age (Z),
height/age (Z), BMI (Z). Independent variables were the socioeconomic and
demographic characteristics. Student's t test, chi square, odds ratio (OR) and
95% confidence intervals (95% CI) were estimated. RESULTS: Family income was low
(p = 0.031) and unstable job was higher in cases: OR = 4.1, 95% CI = 2.8-6.0. The
frequency of stunting was higher in cases (9.9% vs. 5.9%). The combination of
overweight/obesity was higher in controls (27.3% vs. 16.8%), OR = 1.85, 95% CI =
1.0-3.4. CONCLUSIONS: The nutritional status of children of Arandas, Jalisco, has
been modified by an accelerate nutrition transition, provoked by socioeconomic,
educational and demographic factors that might have influence on the persistence
of stunting and an increasing prevalence of overweight/obesity.
PMID- 29368891
TI - [Characterization of stroke patients attended at IMSS hospitals in Mexico City].
AB - BACKGROUND: Cerebrovascular disease (CD) is considered a problem of huge social,
economic and public health implications worldwide in adults and elderly. In
Mexico, the information concerning the provision of services to people and their
characteristics is limited. The objective is to describe the characteristics of
patients with CV who attended the hospitals of the Instituto Mexicano del Seguro
Social (IMSS) in Mexico City. METHODS: It was carried out a cohort study of
patients with ischemic or hemorrhagic CD who arrived to IMSS. Patients were
recruited from seven IMSS general hospitals in Mexico City from June, 2013, to
October, 2014. RESULTS: We assigned 430 subjects with CD (78.14% had ischemic
CD). There were no statistical differences regarding gender. The median age of
patients was 74 years. During their first 72 hours of hospital stay, it was
assessed language proficiency in 90%, the ability to walk in 16.3% and the
ability to move extremities in 94%. The main risk factors were hypertension, 84%;
diabetes mellitus, 46.3%; history of CD, 25.1%; smoking history, 13.7%. 80% of
patients reported that their health was regular or poor. CONCLUSIONS: General
characteristics of patients and vascular risk factors are similar to those
reported by other studies. Secondary prevention strategies are performed in less
time than that recommended by international standards. It is urgent to design
strategies to build better ways of care during the acute phase of patients with
CD.
PMID- 29368890
TI - [Diagnostic rentability of close pleural biopsy: Tru-cut vs. Cope].
AB - BACKGROUND: The prevalence of pleural effusion in Mexico is over 400 per 100 000
inhabitants. The etiology is infectious in 45.7% and neoplastic in 32.6%. Closed
pleural biopsy sensibility is 48-70% in cancer and 50-59% in tuberculosis using
Cope or Abrams needle. In 1989, Tru-cut needle biopsy was described in a small
study for massive pleural effusions with a sensibility of 86%. Our Institute has
a wide experience with this infrequently procedure with reliable results than
using Cope needle. Diagnostic yield should be evaluated. We aimed to evaluate the
diagnostic yield of Tru-cut vs. standard Cope biopsy in the histopathological
diagnosis of pleural effusion. METHODS: Experimental, not blinded, analytical,
cross-sectional study. We studied 44 patients (24 male and 20 female) with
exudative pleural effusion over a period of 14 months. Every patient underwent
four Tru-cut and four Cope needle biopsies. The diagnostic yield of both methods
was compared. RESULTS: The mean age of patients was 61.4 +/- 12.2 years. The
diagnosis was achieved in 25 (57%) of patients using Tru-cut and 22 (50%) of
patients using Cope's closed pleural biopsy. The diagnostic value was not
significantly higher (p = 0.41). The most common diagnoses were adenocarcinoma
(20.5%), mesothelioma (15.9%) and tuberculosis (15.9%). CONCLUSIONS: The
diagnostic yield of Tru-cut needle biopsy is slightly higher than Cope pleural
biopsy, very similar to that reported previously. The experience in this
procedure is an advantage in our clinical practice.
PMID- 29368892
TI - [Acute myocardial infarction with ST-segment elevation: Code I].
AB - Code infarction is a timely strategy for the treatment of acute myocardial
infarction (AMI) with elevation of the ST segment. This strategy has shown an
increase in survival and quality of life of patients suffering from this event
around the world. The processes of management and disposition aimed at the
reduction of time for effective and timely reperfusion are undoubtedly a
continuous challenge. In the Instituto Mexicano del Seguro Social (IMSS) the
mortality due to AMI has been reduced more than 50%, which is a historical
situation that deserves much attention. Nonetheless, the continuous improvement
and a wider coverage of this strategy in our country are the key factors that
will outline a change in the natural history of the leading cause of death in
Mexico. This review focuses on current strategies for the management of patients
with acute myocardial infarction.
PMID- 29368893
TI - [Laryngeal disease. Endoscopic characterization of 1493 procedures based on age].
AB - BACKGROUND: Based on international epidemiology, some laryngeal diseases could be
more frequent at certain ages. The objective was to describe endoscopic findings
in patients through distinct decades of age in a laryngoscopy facility. METHODS:
retrospective and descriptive study; clinical and endoscopic records were
collected from 1493 procedures performed between 2009 and 2015, and organized in
five groups of age for analysis. Differences among them were analyzed by chi
squared and ANOVA. RESULTS: 70% of patients reported dysphonia as a main symptom;
24% of subjects were referred with cancer diagnosis and just 7% of them, showed
findings related to malignancy; on the other hand, cancer suspicion increased in
direct proportion with age (p < 0.0001); inespecific inflammation and other
benign endoscopic manifestations (e.g. vocal fold paralysis, subglotic stenosis
and nodules) represented 80% of the whole findings; 14% of the procedures were
reported as normal. Glottic and supraglottic structures were the two regions
affected by malignancy; this finding was directly related to advanced age,
particularly in patients of 70 years of age or older. Finally, subglottic
stenosis was observed in patients younger than 50 years of age (p < 0.0001).
CONCLUSIONS: Causes of laryngeal diseases are different in individuals according
to their age. Carcinoma was more prevalent in adults of 70 years of age or older.
Benign causes were secondary to inflammatory and functional conditions.
PMID- 29368895
TI - [Clinical impact factor: can it be measured?]
PMID- 29368894
TI - [Airway metaplasia related to smoking and environmental pollution through
sputum].
AB - BACKGROUND: Both environmental pollution and smoking affect the respiratory
epithelium, causing cellular adaptation changes. Therefore, this work explores
the presence of metaplasia in smokers compared with non-smokers from Mexico City.
METHODS: A prospective cohort study was performed. The sample was performed
through a home interview covering the five Mexico City zones delimited to the
study and monitoring of air quality. We searched metaplastic cells and
inflammation in sputum cytology stained with Papanicolaou technique, and we
assessed the air quality in Mexico City. We calculated relative risk (RR) and
attributable risk (AR) in relation to the presence of metaplasia and smoking.
RESULTS: We performed 1897 home interviews obtaining a final sample of 30
participants. There were no significant differences between smokers and non
smokers with the presence of metaplastic cells (p = 0.269), although the
association of metaplastic cells and inflammation showed a significant difference
in the non-smokers group (p = 0.010). The RR in association with the presence of
metaplasia in sputum cytology and smoking was 1.6, and the RA was 0.2.
CONCLUSIONS: The air quality in this city has led the population to undergo
changes of cellular adaptation in the respiratory epithelium by the simple fact
of being exposed to environmental pollution. Metaplastic changes in non-smokers
suggest strongly that pollution causes the same effect as smoking.
PMID- 29368896
TI - [Costs and sick leave due to chikungunya in the Instituto Mexicano del Seguro
Social in Guerrero, Mexico].
AB - BACKGROUND: Chikungunya fever (CHIK) generally causes temporary sick leave,
affecting groups of productive age, which represents a significant economic
impact from the labor point of view. The objective was to estimate costs of
disability due to chikungunya in the Instituto Mexicano del Seguro Social (IMSS)
in Guerrero, Mexico. METHODS: Cost assessment of working population from IMSS in
Guerrero who met the definition of case for CHIK and took sick leave, which was
registered in the Original Disability Certificates (OCI, according to its
initials in Spanish) processed from January to April, 2015. Paid sick days were
multiplied by the current minimum wage of the municipality of Acapulco
(geographical area A, general = $ 70.10: seventy pesos with 10 cents per day]).
RESULTS: Of all the OCIs, 31.5% (38 271/12 062) met the criteria for CHIK with a
total of 41 197 prescribed days and 14 941 paid sick days with an estimated cost
of 2 397 393.40 pesos (two million, three hundred and ninety seven thousand,
three hundred and ninety three dollars and forty cents). CONCLUSIONS: Sick leaves
increase the costs in health systems. These costs increase as increases the
number of days granted. The average number of days granted is consistent with the
information published in different articles.
PMID- 29368898
TI - [Comment to the article "Hemodynamic regime of asymptomatic heart disease
patients studied by echocardiogram"].
PMID- 29368897
TI - [Adverse cutaneous reactions to drugs].
AB - Adverse cutaneous reactions to drugs are any undesirable change in the structure
or function of the skin. These are among the adverse side effects to common
drugs. The most commonly implicated drugs are antibiotics and anticonvulsants.
Cutaneous clinical manifestations are diverse ranging from mild or moderate
reactions, such as urticaria and maculopapular rash, to severe cutaneous adverse
reactions (SCAR), which are known due to their high morbidity and mortality
(among these: Stevens-Johnson syndrome, toxic epidermal necrolysis (TEN), and
drug reaction with eosinophilia and systemic symptoms (DRESS). The clinical
pattern, etiology, prognosis and treatment differ among these skin reactions,
which is why it is necessary a clear diagnosis based on a comprehensive clinical
examination, skin biopsy, and specific laboratory tests. The therapeutic options
depend on the clinical diagnosis. For all reactions, a symptomatic and adequate
supportive therapy is necessary; in some cases, a systemic immunomodulatory
therapy can be useful.
PMID- 29368899
TI - [Obesity hypoventilation syndrome and pulmonary hypertension. An association
little-known in Mexico].
AB - The obesity hypoventilation syndrome (OHS) refers to the combination of obesity,
daytime hypercapnia and sleep-disordered breathing. Obesity has risen to epidemic
proportions in the last three decades in the United States, Mexico and Europe.
The OHS is associated with obstructive sleep apnea syndrome in 30%. Without
treatment, mortality is 46% at 50 months. So in this paper we analyze the OHS,
obesity and pulmonary hypertension, the pathophysiology, clinical presentation
and diagnosis as well as the treatment, which is aimed at the correction of sleep
disordered breathing and hypoxemia; although there is little experience with the
use of specific pulmonary vasodilator drugs.
PMID- 29368900
TI - [Disability leave and sick leave in Spain. 2016 legislative update].
AB - In Spanish, the concepts of discapacidad (disability leave) and incapacidad (sick
leave) jointly refer to the impairment of a person due to injuries, diseases or
deficiencies that limit their activity in a social, personal or occupational
field. However, this common link does not imply that both concepts are the same.
Statistical data from INE (Instituto Nacional de Estadistica: Statistic National
Institute) show that Spain had in 2015 3.85 million persons with a disability
(59.8% were women). Statistical data from 2015 from INSS (Instituto Nacional de
Seguridad Social: Social Security National Institute) show high levels in the
number of processes and in workers affected by temporary sick leave, with social
costs to the social security system. Both concepts have been updated: about
disability leave, Law 39/2006 adjusted terminology by avoiding the use of
concepts with discriminating or pejorative connotation. Regarding sick leave, the
Ley General de Seguridad Social (General Social Security Law)has been amended and
came into effect in January, 2016. It is necessary to know and distinguish these
aspects for a better administrative management, and a more oriented information
to the affected patient.
PMID- 29368901
TI - [Unicornuate uterus with cavitary non-communicating rudimentary horn: magnetic
resonance characterization].
AB - Mullerian duct or paramesonephric anomalies are a group of congenital
malformations of the female genital tract that result from the alteration in one
or more stages of embryonic development. The prevalence has increased, probably
due to the progress of diagnostic imaging methods and the relevance that it has
acquired in young women with infertility problems. Magnetic resonance imaging
(MRI) is currently the method of choice for characterizing the different types of
Mullerian anomalies, its complications and associated pathology. Unicornuate
uterus correspond to class II of classification of Mullerian duct anomalies
developed by the American Society for Reproductive Medicine. According to this,
four subtypes have been identified, each with different clinical implications. A
cavitated, non-communicating rudimentary horn in a unicornuate uterus is
associated with an increased incidence of gynecological problems and obstetric
complications that sometimes threaten the lives of patients, reason why its
suspicion, diagnosis and treatment is necessary. We report the case of a patient
with infertility in which this subtype of congenital malformation was discovered.
PMID- 29368902
TI - [Action of Calendula officinalis essence on bone preservation after the
extraction].
AB - BACKGROUND: Calendula officinalis is a phytodrug used as analgesic, antiseptic
and wound-healing agent due to its collagenogenic effect, which is why it is a
convenient and affordable treatment that promotes alveolar bone preservation
after tooth extraction in vivo. The aim of this study was to use Calendula
officinalis during and after tooth extraction to determine its ability to
preserve bone after this procedure. METHODS: We established two groups matched by
age, gender and position of the third molar. We used with patients on the
experimental group Calendula officinalis diluted 10% as an irrigant during
surgical extraction of third molars. We performed the conventional way with the
control group irrigating with saline solution. Subsequently, both groups
continued to make mouthwash for a week with the irrigating agent. Every week for
a month, each patient underwent periapical radiography, out of which we took
measurements of alveolar ridges and depth of alveolar bone, which were compared.
CONCLUSIONS: There is statistically significant evidence to state that Calendula
officinalis favorably affects bone preservation after extraction.
PMID- 29368903
TI - [Anesthesic management during total organic separation of pygopagus conjoined
twins].
AB - BACKGROUND: Conjoined twins are among the most rare and challenging congenital
malformations. The incidence varies from 1:30 000 to 1:200 000 live births.
Pygopagus twins account for about 10 to 18% of all conjoined twins. CLINICAL
CASE: We report the case of male conjoined pygopagus twins. They shared medullary
canal, anal canal and sacrococcygeal region, without any degree of cross
circulation. At the moment of the surgery, twin 1 had congenital heart disease
without medical treatment. Twin 2 presented craniofacial malformations. The total
organic separation was performed electively, by being driven by two teams of
anesthesiologists with balanced general anesthesia, intravenous and sequential
induction intubation. Non-invasive and invasive monitoring was used for both
twins. During the transanesthetic period, twin 1 presented multiple hypercyanotic
episodes associated to hypovolemia and hypotension. Twin 2 remained stable.
During the final separation acute bleeding and hemodynamic instability showed up,
requiring the use of inotropes, vasopressors and blood components. The twins were
sent to intensive care where they remained under surveillance for three days.
They were discharged a month and a half after the surgical event. CONCLUSIONS:
Each type of siamese has specific characteristics depending on the type of union;
comprehensive knowledge of the morphology and physiopathology of the twins is
essential for optimal anesthetic management.
PMID- 29368904
TI - [Non-Hodgkin lymphoma. Incidental finding in a renal donor, 10 years after the
evolution in recipient].
AB - BACKGROUND: The incidence of cancer in transplant recipients is higher than in
the general population. Cutaneous and lymphoproliferative tumors are the primary
neoplasms that will develop these patients. Little is known about the
transmission of cancer in organ and tissue donation; it has been described that
neoplasms can be transmitted to immunosuppressed patients when donor organs with
neoplasms are inadvertently transplanted. CASE REPORT: Patient of 29 years of age
who underwent kidney transplantation 10 years ago. The kidney was donated by his
father, who was 58 years. An incidental finding in the bench surgery showed a
tumor of about 1 cm in the donated kidney. The intraoperative histopathological
study showed no alterations, but two weeks after the surgery it was diagnosed
follicular non-Hodgkin lymphoma grade II retroperitoneal. Subsequently, the donor
underwent radiotherapy, since it was documented local growth of lymph. The
recipient was monitored, given that the complete tumor was removed free of
neoplasia in all its edges. 10 years after the transplantation, both donor and
recipient are free of neoplastic disease and the latter has a stable renal
function. CONCLUSIONS: In the presence of an incidental neoplasm from a renal
donor, the possibility of donation must be reconsidered in the face of an in situ
neoplasm. We suggest detailed protocol prior to transplant and a thorough
exploration in the surgical event in order to detect tumors with intraoperative
study.
PMID- 29368905
TI - [The aging of Mexican population].
AB - No abstract.
PMID- 29368906
TI - [Molecular genetic analysis of five extant reserves of black honeybee Apis
melifera melifera in the Urals and the Volga region].
AB - Local populations of the black honeybee Apis mellifera mellifera from the Urals
and the Volga region were examined in comparison with local populations of
southern honeybee subspecies A. m. caucasica and A. m. carpatica from the
Caucasus and the Carpathians. Genetic analysis was performed on the basis of the
polymorphism of nine microsatellite loci of nuclear DNA and the mtDNA COI-COII
locus. On the territory of the Urals and the Volga region, five extant
populations (reserves) of the black honeybee A. m. mellifera were identified,
including the Burzyanskaya, Tatyshlinskaya, Yuzhno-Prikamskaya, Visherskaya, and
Kambarskaya populations. These five populations are the basis of the modern gene
pool of the black honeybee A. m. mellifera from the Urals and the Volga region.
The greatest proportion of the remaining indigenous gene pool of A. m. mellifera
(the core of the gene pool of the population of A. m. mellifera) is distributed
over the entire territory of Perm krai and the north of the Republic of
Bashkortostan. For the population of A. m. mellifera from the Urals and the Volga
region, the genetic standards were calculated, which will be useful for future
population studies of honeybees.
PMID- 29368907
TI - Authentication of five Barilius species from Indian waters using DNA barcoding.
AB - Authentic identification of fish species is essential for conserving them as a
valuable genetic resource in our environment. DNA barcoding of living beings has
become an important and ultimate tool for establishing their molecular identity.
Among cyprinids, Barilius is an important genus having nearly 23 species in
Indian region whose morphological identification is often difficult due to minute
differences in their features. Five species collected from Indian waters and
primarily identified as Opsarius bakeri (syn. Barilius bakeri), B. gatensis, B.
vagra, B. bendelisis and B. ngawa were authenticated by their DNA barcoding based
on mitochondrial COI gene sequences. Five individuals of each species were taken
for barcode preparation by COI gene sequencing which yielded one barcode for B.
ngawa, two barcodes each for O. bakeri, B. gatensis, B. bendelisis and three
barcodes for B. vagra. The order of inter and intra-specific variation was
estimated to know a preliminary status of variation prevailing in these cold
stream fish species significant for evolution and conservation of these valued
species of our ichthyofauna. Average variation within genera was found to be
13.6% with intra-specific variation ranging from 0.0% (B. ngawa) to 0.6% (B.
gatensis). These distance data are in the same order found by various researchers
globally using COI barcode sequences in different fish species. Phylogenetic
relatedness among Barilius species and some other cyprinids validate their status
of individual species as established by conventional taxonomy.
PMID- 29368908
TI - 3D Hierarchical, Pyramid-Based Cancer Cell Chip for the Detection of Anticancer
Drug Effects.
AB - In this study, we developed a novel three-dimensional (3D) cancer cell chip using
a three-floor hierarchical 3D pyramid structure (3D pyramid) to simulate 3D tumor
cell growth in vitro and to detect anticancer drugs. The proposed 3D pyramidbased
cancer cell chip offered substantial advantages for the agglomerate formation of
tumor cells, in which cells could be maintained as tumor spheroids for up to 3
weeks. Soon after HeLa tumor cells adhered to the micropatterned pillar
sidewalls, they were suspended between the pillars based on scanning electron
microscopy images. Treatment with the anticancer drug oleanolic acid resulted in
46.33% and 5.86% apoptotic cells on the 2D plate and 3D pyramid-based cell chip,
respectively, compared with only 0.06% apoptotic cells in the control. The
increase in chemoresistance to anticancer drugs in the 3D pyramid-based cell chip
might be a result of cell confluence and hypoxia due to the spheroid formation of
tumor cells in the 3D pyramid structure. These results indicated that the
proposed cell chip could potentially be used for anticancer drug screening or can
be incorporated into other models aimed at prolonging various cell functions in
culture.
PMID- 29368909
TI - Withdrawal statement.
AB - [This retracts the article .].
PMID- 29368910
TI - [The heteroplasmy level of some mutations in gene MT-CYB among women with
asymptomatic atherosclerosis].
AB - Atherosclerosis is a polygenic socially significant disease whose risk factors
include coronary heart disease, diabetes, hypertension, and myocardial
infarction. According to the literature, mutations m.14846G>A (G34S), m.15762G>A
(G339Q), m.15084G>A (W113Ter), and m.15059G>A (G190Ter) of cytochrome B gene (MT
CYB) are associated with mitochondrial myopathies, myoglobinuria, and exercise
intolerance. Preliminary studies carried out by the authors made it possible to
discover an association of certain mitochondrial genome mutations with
atherosclerotic lesions of aortic intima in people who died as a result of an
accident or sudden death. The most interesting seemed to be the data on the
association of mutations m.14846G>A and m.15059G>A of the cytochrome B gene with
lipofibrous aortic plaques, because these mutations affect the mitochondrial
respiratory chain enzyme. Defects in the given chain may be the reason for the
launch of pathogenic mechanisms in the human body. Owing to the fact that
mutations in the mitochondrial genome are inherited by the maternal type, it was
decided to analyze cytochrome B gene mutations in a sample of female volunteers
from Moscow oblast. According to the findings, mutations m.14846G>A and
m.15059G>A are highly significantly associated with atherosclerotic lesions of
the carotid arteries: m.14846G>A is antiatherogenic and m.15059G>A is
proatherogenic.
PMID- 29368912
TI - Renaissance of Sandmeyer-Type Reactions: Conversion of Aromatic C-N Bonds into C
X Bonds (X = B, Sn, P, or CF3).
AB - The Sandmeyer reaction represents an important organic transformation that
converts an arylamine to an aryl halide using Cu(I) halide via a diazonium salt
intermediate. The reaction was first reported by Sandmeyer in 1884, and a number
of named reactions closely related to it have been developed and widely applied
in organic synthesis throughout the 20th century. These include the Pschorr
reaction for the synthesis of biaryl tricycles, the Gomberg-Bachmann reaction for
biaryl formations, the Balz-Schiemann reaction for C-F bond formations, and the
Meerwein reaction for arylation of alpha,beta-unsaturated carbonyl compounds.
However, all these reactions were discovered before 1940. In 1977, Doyle and co
workers reported an organic phase diazotization process, and Kikukawa and Matsuda
used aryldiazonium salts in transition metal-catalyzed cross-coupling reactions.
However, completely new processes involving diazonium salts have been seldom
reported since then, although aryldiazonium salts are widely utilized in modern
organic synthesis. In the past few years, diazonium salt chemistry has been
revisited and become a fast-growing research topic. Several novel transformations
based on diazonium salts have been developed and have been practiced in organic
synthesis. In 2010, we reported a direct conversion of arylamines to pinacol
boronates through the reaction of in situ generated aryl diazonium salts with
B2pin2. This new strategy is under metal-free conditions and thus completely
avoids contamination by transition metals in the boron products. From readily
available arylamines various functionalized arylboronates, some of which are
difficult to access by other methods, can be easily obtained with this reaction.
Mechanistic investigations indicate the reaction likely follows a radical
mechanism, which is similar to traditional Sandmeyer-type reactions.
Subsequently, modified reaction conditions for this transformation appeared in
the literature, which include light-induced reactions, aqueous-phase
diazotization methods, and reactions with aryltriazenes as the arene diazonium
salt surrogates. In addition to the borylation, we have also demonstrated the
corresponding stannylation and phosphorylation of arylamines with similar
Sandmeyer-type approaches. The stannylation of arylamines was achieved by the
reaction of in situ generated diazonium salts with a distannane reagent, while
phosphorylation is the reaction of arylamines with trimethyl phosphite in the
presence of tert-butyl nitrite (t-BuONO). With the sequential borylation and
stannylation approaches, the aromatic compounds bearing two amino groups are
easily converted into trimethylstannyl arylboronates, which can be further used
in consecutive Stille and Suzuki-Miyaura cross-couplings. Finally, direct
conversion of the amino group of arylamines to the trifluoromethyl group has been
developed through aryl diazonium salts almost simultaneously by several groups.
These reactions represent a novel strategy to achieve trifluoromethylation of
aromatic compounds. These developments show the revivification of this age-old
chemistry, and this Account will summarize the Sandmeyer reaction-related
transformations that have been developed since 2010.
PMID- 29368913
TI - Synthesis of Mesoporous gamma-Alumina-Supported Co-Based Catalysts and Their
Catalytic Performance for Chemoselective Reduction of Nitroarenes.
AB - Mesoporous gamma-alumina (gamma-MA)-supported cobalt oxides (Co3O4) with large
surface areas and narrow pore size distributions were first prepared through one
pot hydrolysis of metal nitrates. The obtained Co3O4/gamma-MA materials were
impregnated with a water-ethanol solution of 1,10-phenanthroline, followed by
treatment at 700 degrees C in N2 atmosphere, generating Co-NC/gamma-MA catalysts
containing N-doped graphitic carbon (NC). The Co-NC/gamma-MA catalysts maintained
the mesoporous structure of gamma-MA, and Co3O4 was reduced to metallic Co
nanoparticles highly dispersed in the gamma-MA frameworks. Metallic Co species
had a strong interaction with NC in the matrices, avoiding the surface oxidation
of Co particles. The Co-NC/gamma-MA catalysts exhibited superior catalytic
activity and quantitatively reduced a variety of functionalized nitroarenes to
the corresponding arylamines with hydrazine hydrate in ethanol at near room
temperature, affording yields of >99%. The recycling test of 2-chloronitrobenzene
as a model reaction showed no detectable change in catalyst performance after 10
cycle reactions.
PMID- 29368911
TI - Decreased Uptake and Enhanced Mitochondrial Protection Underlie Reduced Toxicity
of Nanoceria in Human Monocyte-Derived Macrophages.
AB - Cerium dioxide nanoparticles (nanoceria), currently used as catalysts including
additives to diesel fuel, also present potential as a novel therapeutic agent for
disorders involving oxidative stress. However, little is known about the effects
of nanoceria on primary human cells involved in the innate immune response. Here,
we evaluate nanoceria effects on monocyte derived macrophages (MDMs) from healthy
human subjects. Peripheral blood monocytes were isolated from healthy human
volunteers. MDMs were obtained by maturing monocytes over a five-day period. MDMs
were exposed to well-characterized nanoceria suspensions (0, 5, 10, 20 MUg/mL)
for 24 or 48 hours. We evaluated particle uptake, ultrastructural changes,
cytotoxicity, and mitochondrial damage in MDMs through transmission electron
microscopy (TEM), confocal imaging, flow cytometry, spectrometry, western blots,
and immunofluorescence techniques. The role that intracellular concentration of
nanoceria plays in the toxicity of MDMs was evaluated by 3D image analysis and
compared to monocytes as a nanoceria sensitive cell model. Nanoceria failed to
induce cytotoxicity in MDMs at the tested doses. Nanoceria-exposed MDMs showed no
mitochondrial damage and displayed significant accumulation of anti-apoptotic
proteins (Mcl-1 and Bcl-2) during the maturation process. TEM and confocal
analyses revealed efficient uptake of nanoceria by MDMs, however 3D image
analyses revealed lower nanoceria accumulation per unit cell volume in MDMs
compared to monocytes. Taken together, our results suggest that mitochondrial
protection and reduced volume-corrected intracellular nanoparticle concentration
account for the lower sensitivity of human MDMs to nanoceria.
PMID- 29368914
TI - Structural and Solar Cell Properties of a Ag-Containing Cu2ZnSnS4 Thin Film
Derived from Spray Pyrolysis.
AB - A silver (Ag)-incorporated kesterite Cu2ZnSnS4 (CZTS) thin film was fabricated by
a facile spray pyrolysis method. Crystallographic analyses indicated successful
incorporation of various amounts of Ag up to a Ag/(Ag + Cu) ratio of ca. 0.1 into
the crystal lattice of CZTS in a homogeneous manner without formation of other
impurity compounds. From the results of morphological investigations, Ag
incorporated films had larger crystal grains than the CZTS film. The sample with
a relatively low Ag content (Ag/(Ag + Cu) of ca. 0.02) had a compact morphology
without appreciable voids and pinholes. However, an increase in the Ag content in
the CZTS film (Ag/(Ag + Cu) ca. 0.10) induced the formation of a large number of
pinholes. As can be expected from these morphological properties, the best
sunlight conversion efficiency was obtained by the solar cell based on the film
with Ag/(Ag + Cu) of ca. 0.02. Electrostructural analyses of the devices
suggested that the Ag-incorporated film in the device achieved reduction in the
amounts of unfavorable copper on zinc antisite defects compared to the bare CZTS
film. Moreover, the use of a Ag-incorporated film improved band alignment at the
CdS(buffer)-CZTS interface. These alterations should also contribute to
enhancement of device properties.
PMID- 29368915
TI - Correction to Mechanism of Shiga Toxin Clustering on Membranes.
PMID- 29368916
TI - Design and Development of Graphene Oxide Nanoparticle/Chitosan Hybrids Showing pH
Sensitive Surface Charge-Reversible Ability for Efficient Intracellular
Doxorubicin Delivery.
AB - A novel graphene oxide nanoparticle (GON)-based drug delivery system containing
GONs as carriers of anticancer drugs and chitosan/dimethylmaleic anhydride
modified chitosan (CS/CS-DMMA) as surface charge-reversible shells is fabricated
via the classic self-assembly of the deprotonated carboxyl of GONs and the
protonated amine of the CS backbone by electrostatic interaction, and CS-DMMA
serves as the outmost layer. In this GON-based drug delivery system, the GON
cores as desired carriers might adsorb doxorubicin hydrochloride (DOX) via the pi
pi stacking interaction between the large pi conjugated structures of GO and the
aromatic structure of DOX. Meanwhile, the chitosan-based polyelectrolyte shells
served as a smart protection screen to evade the premature release of the as
loaded DOX in normal extracellular condition, and then, the release of DOX was
accelerated because of the detachment of chitosan coating at low pH. Furthermore,
the re-exposure of amino groups after hydrolysis of CS-DMMA endowed the drug
delivery system with positive surface charge by taking advantage of the pH
difference between physiological conditions and the tumor microenvironment to
enhance the cellular uptake. Then, the pH-dependent site-specific drug release
was realized. The in vitro investigations confirmed that these promising
GON/CS/CS-DMMA hybrids with the charge-reversible character possessed various
merits including excellent encapsulation efficiency, high stability under
physiological conditions, enhanced cellular uptake by HepG2 cells, and tunable
intracellular chemotherapeutic agent release profiles, proving its capability as
an intelligent anticancer agent nanocarrier with enhanced therapeutic effects.
This smart GON/CS/CS-DMMA vehicle with the surface charge-reversible character
may be used as a significant drug delivery system for cancer treatment.
PMID- 29368917
TI - Triple Halide Bridges in Chiral MnII2MnIII6NaI2 Cages: Structural and Magnetic
Characterization.
AB - A family of decanuclear chiral clusters with a MnII2MnIII6NaI2 core have been
synthesized from enantiomerically pure Schiff bases. The new systems consist of
two MnIIMnIII3NaI units linked by rare triple chloro or bromo bridges between the
divalent Mn cations. Susceptibility measurements point out the weak
antiferromagnetic interaction mediated by these kinds of bridges and afford the
first magnetic measurements for the (MU-Br)3 case.
PMID- 29368918
TI - New Opportunities Created by Single-Particle Cryo-EM: The Mapping of
Conformational Space.
PMID- 29368919
TI - Conjugated Polymer-Based Photoelectrochemical Cytosensor with Turn-On Enable
Signal for Sensitive Cell Detection.
AB - In this work, a new photoelectrochemical (PEC) cytosensor was constructed by
using cationic polyfluorene derivative, poly(9,9-bis(6'-(N,N,N,
trimethylammonium)hexyl)fluorene-co-alt-1,4-phenylene)bromide (PFP) as the
photoelectric-responsive material for sensitive cell detection. Positive-charged
PFP with high photoelectric conversion efficiency can generate robust
photocurrent under light illumination. In the PEC cytosensor, 3
phosphonopropionic acid was linked to the indium tin oxide electrode, followed by
modification with antiepithelial-cell-adhesion-molecule (EpCAM) antibody via
amide condensation reaction. Thus, target SKBR-3 cells with overexpressed EpCAM
antigen could be captured onto the electrode via the specific antibody-antigen
interactions. Upon adding cationic PFP, a favorable electrostatic interaction
between cationic PFP and negatively charged cell membrane led to a turn-on
detection signal for target SKBR-3 cells. This new cytosensor not only exhibits
good sensitivity because of the good photoelectric performance of conjugated
polymers, but also offers decent selectivity to target cells by taking advantage
of the specific antibody-antigen recognition.
PMID- 29368920
TI - Piezoelectric-Induced Triboelectric Hybrid Nanogenerators Based on the ZnO
Nanowire Layer Decorated on the Au/polydimethylsiloxane-Al Structure for Enhanced
Triboelectric Performance.
AB - Here, we demonstrate a novel device structure design to enhance the electrical
conversion output of a triboelectric device through the piezoelectric effect
called as the piezo-induced triboelectric (PIT) device. By utilizing the
piezopotential of ZnO nanowires embedded into the polydimethylsiloxane (PDMS)
layer attached on the top electrode of the conventional triboelectric device
(Au/PDMS-Al), the PIT device exhibits an output power density of 50 MUW/cm2,
which is larger than that of the conventional triboelectric device by up to 100
folds under the external applied force of 8.5 N. We found that the effect of the
external piezopotential on the top Au electrode of the triboelectric device not
only enhances the electron transfer from the Al electrode to PDMS but also boosts
the internal built-in potential of the triboelectric device through an external
electric field of the piezoelectric layer. Furthermore, 100 light-emitting diodes
(LEDs) could be lighted up via the PIT device, whereas the conventional device
could illuminate less than 20 LED bulbs. Thus, our results highlight that the
enhancement of the triboelectric output can be achieved by using a PIT device
structure, which enables us to develop hybrid nanogenerators for various self
power electronics such as wearable and mobile devices.
PMID- 29368921
TI - Synergistic Effects of an Irreversible DNA Polymerase Inhibitor and DNA Damaging
Agents on HeLa Cells.
PMID- 29368922
TI - Photocaged Nanoparticle Sensor for Sensitive MicroRNA Imaging in Living Cancer
Cells with Temporal Control.
AB - Sensitive imaging of microRNA in living cells is of great value for disease
diagnostics and prognostics. While signal amplification-based strategies have
been developed for imaging low-abundance disease-relevant microRNA molecules,
precise temporal control over sensor activity in living cells still remains a
challenge, and limits their applications for sensing microRNA concentration
dynamics. Herein, we report a class of photocaged nanoparticle sensors for highly
sensitive imaging of microRNA in living cells with temporal control. The sensor
features a DNA-templated gold nanoparticle-quantum dot satellite nanostructure
which is temporarily inactivated by a photocaged DNA mask. Upon UV light
irradiation, the sensor restores its activity for catalytic sensing of microRNA
in living cells via entropy-driven two-step toehold-mediated strand displacement
reactions. We show that the sensor exhibits quick response to UV light, robust
intracellular stability, and high specificity and sensitivity for the microRNA
target. On the basis of this strategy, precise control over sensor activity is
achieved using an external light trigger, where on-demand sensing could be
potentially performed with spatiotemporal control.
PMID- 29368923
TI - Correction to "Photochemically Promoted Aza-Diels-Alder-Type Reaction: High
Catalytic Activity of the Cr(III)/Bipyridine Complex Enhanced by Visible Light
Irradiation".
PMID- 29368924
TI - Scoring of Side-Chain Packings: An Analysis of Weight Factors and Molecular
Dynamics Structures.
AB - The protein side-chain packing problem (PSCPP) is a central task in computational
protein design. The problem is usually modeled as a combinatorial optimization
problem, which consists of searching for a set of rotamers, from a given rotamer
library, that minimizes a scoring function (SF). The SF is a weighted sum of
terms, that can be decomposed in physics-based and knowledge-based terms.
Although there are many methods to obtain approximate solutions for this problem,
all of them have similar performances and there has not been a significant
improvement in recent years. Studies on protein structure prediction and protein
design revealed the limitations of current SFs to achieve further improvements
for these two problems. In the same line, a recent work reported a similar result
for the PSCPP. In this work, we ask whether or not this negative result regarding
further improvements in performance is due to (i) an incorrect weighting of the
SFs terms or (ii) the constrained conformation resulting from the protein
crystallization process. To analyze these questions, we (i) model the PSCPP as a
bi-objective combinatorial optimization problem, optimizing, at the same time,
the two most important terms of two SFs of state-of-the-art algorithms and (ii)
performed a preprocessing relaxation of the crystal structure through molecular
dynamics to simulate the protein in the solvent and evaluated the performance of
these two state-of-the-art SFs under these conditions. Our results indicate that
(i) no matter what combination of weight factors we use the current SFs will not
lead to better performances and (ii) the evaluated SFs will not be able to
improve performance on relaxed structures. Furthermore, the experiments revealed
that the SFs and the methods are biased toward crystallized structures.
PMID- 29368925
TI - Establishment of Molecular Design Strategy To Obtain Activatable Fluorescent
Probes for Carboxypeptidases.
AB - Carboxypeptidases (CPs) are a family of hydrolases that cleave one or more amino
acids from the C-terminal of peptides or proteins. However, methodology to
monitor the activities of CPs is poorly developed. Here, we present the first
versatile design strategy to obtain activatable fluorescent probes for CPs by
utilizing intramolecular spirocyclization of rhodamine to translate the
"aliphatic carboxamide to aliphatic carboxylate" structural conversion catalyzed
by CPs into dynamic fluorescence activation. Based on this novel strategy, we
developed probes for carboxypeptidases A and B. One of these probes was able to
detect pancreatic juice leakage in mice ex vivo, suggesting that its suitability
for intraoperative diagnosis of pancreatic fistula. This design strategy should
be broadly applicable to CPs, as well as other previously untargetable enzymes,
enabling development of fluorescent probes to study various pathological and
biological processes.
PMID- 29368926
TI - Light-Induced H2 Evolution with a Macrocyclic Cobalt Diketo-Pyrphyrin as a Proton
Reducing Catalyst.
AB - Cobalt complexes are well-known catalysts for photocatalytic proton reduction in
water. Macrocyclic tetrapyridyl ligands (pyrphyrins) and their CoII complexes
emerged in this context as a highly efficient class of H2 evolution catalysts. On
the basis of this framework, a new macrocyclic CoII complex consisting of two
keto-bridged bipyridyl units (Co diketo-pyrphyrin) is presented. The complex is
synthesized along a convenient route, is well soluble in water, and shows high
activity as a water reduction catalyst (WRC). In an aqueous system containing
[Ru(bpy)3]Cl2 as a photosensitizer and NaAscO as a sacrificial electron donor,
turnover numbers (TONs) of 2500 H2/Co were achieved. Catalysis is terminated by a
limited electron supply and decomposition of the photosensitizer but not of the
WRC, highlighting the distinct stability of Co diketo-pyrphyrin.
PMID- 29368927
TI - Molecular Sieving and Current Rectification Properties of Thin Organic Films.
AB - For the purpose of preparing well-organized functional surfaces, carbon and gold
substrates were modified using electroreduction of a tetrahedral-shape
preorganized tetra-aryldiazonium salt, leading to the deposition of ultrathin
organic films. Characterization of the modified surfaces has been performed using
cyclic voltammetry, X-ray photoelectron spectroscopy, infrared absorption
spectroscopy, ellipsometry, atomic force microscopy, and contact angle
measurements. The specific design of the tetra-aryldiazonium salts leads to an
intrinsic structuring of the resulting organic films, allowing molecular sieving
and current rectification properties toward redox probes in solution.
PMID- 29368928
TI - Cascade One-Pot Method To Synthesize Isoquinolin-1(2H)-ones with alpha-Bromo
Ketones and Benzamides via Pd-catalyzed C-H Activation.
AB - A cross-coupling strategy of palladium-catalyzed ortho-C-H bond activation and
intramolecular addition of N-C annulation to synthesize isoquinolin-1(2H)-ones
has been developed. A wide range of alpha-bromo ketones with different
substituents proceeded smoothly in this reaction, and varieties of isoquinolin
1(2H)-one derivatives were obtained in moderate to good yields.
PMID- 29368929
TI - Alternative Sm(II) Species-Mediated Cascade Coupling/Cyclization for the
Synthesis of Oxobicyclo[3.1.0]hexane-1-ols.
AB - The allylSmBr/HMPA/MsOH system has been found to be an efficient reagent for the
"ester-alkene" coupling/cyclization cascade of readily available alpha-allyloxy
esters. Oxobicyclo[3.1.0]hexane-1-ols were thus prepared in good to excellent
yields and diastereoselectivities. Investigation on the mechanism suggested the
possible existence of a new Sm(II) species, namely, CH3SO3SmBr, which resulted
from the reaction between allylSmBr and MsOH and may be the actual SET reagent.
PMID- 29368930
TI - Directed Self-Assembly of Topological Defects of Liquid Crystals.
AB - One of the alluring aspects of liquid crystals (LCs) is their readily
controllable self-assembly behavior, leading to comprehension of complex
topological structures and practical patterning applications. Here, we report on
manipulating various kinds of topological defects by adopting an imprinted
polymer-based soft microchannel that simultaneously imposes adjustable surface
anchoring, confinement, and uniaxial alignment. Distinctive molecular orientation
could be achieved by varying the surface anchoring conditions at the sidewall
polymer and the rubbing directions on the bottom layer. On this pioneering
platform, a common LC material, 8CB (4'-n-octyl-4-cyano-biphenyl), was placed
where various topological defect domains were generated in a periodic
arrangement. The experimental results showed that our platform can change the
packing behavior and even the shape of topological defects by varying the rubbing
condition. We believe that this facile tool to modulate surface boundary
conditions combined with topographic confinement can open a way to use LC
materials in potential optical and patterning applications.
PMID- 29368931
TI - Stereocontrolled Synthesis of 19'-Deoxyperidinin.
AB - The stereocontrolled convergent synthesis of 19'-deoxyperidinin, 2, which might
be a useful peridinin analog to understand the ICT characteristics, was
efficiently achieved by sequential Pd-catalyzed cross-coupling reactions using
bidirectionally extensible conjugated C5 olefin segments. The crucial 5(2H)
ylidenedihydrofuran function of 2 was successfully constructed by the Au
catalyzed regio- and stereoselective 5-exo-dig etherification.
PMID- 29368932
TI - Pd-Catalyzed Three-Component Reaction of Anilines, Ethyl Vinyl Ether, and Nitro
Paraffin: Assembly of beta-Nitroamines.
AB - A novel palladium-catalyzed amination and nitration of ethyl vinyl ether for the
construction of beta-nitroamine derivatives under mild conditions has been
developed. This transformation provides a new strategy for the installation of
amino and nitro from aromatic amines and nitro-paraffin into alkenes. Morpholine
resulted in the aza-Henry reaction, while DABCO led to the unexpected
rearrangement.
PMID- 29368933
TI - Palladium(0)-Catalyzed Intermolecular Asymmetric Allylic Dearomatization of
Polycyclic Indoles.
AB - An intermolecular Pd-catalyzed allylic dearomatization reaction of polycyclic
indoles with substituted allylic carbonates was realized in the presence of a
newly synthesized chiral phosphoramidite ligand. Various polycyclic indoline and
indolenine derivatives were successfully synthesized in excellent yields (up to
99%) with excellent enantioselectivity (up to 98% ee). The obtained products
could undergo versatile transformations, increasing the application potential of
the method in organic synthesis.
PMID- 29368934
TI - Superatomic Two-Dimensional Semiconductor.
AB - Structural complexity is of fundamental interest in materials science because it
often results in unique physical properties and functions. Founded on this idea,
the field of solid state chemistry has a long history and continues to be highly
active, with new compounds discovered daily. By contrast, the area of two
dimensional (2D) materials is young, but its expansion, although rapid, is
limited by a severe lack of structural diversity and complexity. Here, we report
a novel 2D semiconductor with a hierarchical structure composed of covalently
linked Re6Se8 clusters. The material, a 2D structural analogue of the Chevrel
phase, is prepared via mechanical exfoliation of the van der Waals solid
Re6Se8Cl2. Using scanning tunneling spectroscopy, photoluminescence and
ultraviolet photoelectron spectroscopy, and first-principles calculations, we
determine the electronic bandgap (1.58 eV), optical bandgap (indirect, 1.48 eV),
and exciton binding energy (100 meV) of the material. The latter is consistent
with the partially 2D nature of the exciton. Re6Se8Cl2 is the first member of a
new family of 2D semiconductors whose structure is built from superatomic
building blocks instead of simply atoms; such structures will expand the
conceptual design space for 2D materials research.
PMID- 29368935
TI - One-Step Synthesis of Ultrasmall and Ultrabright Organosilica Nanodots with 100%
Photoluminescence Quantum Yield: Long-Term Lysosome Imaging in Living, Fixed, and
Permeabilized Cells.
AB - Water-dispersible nanomaterials with superbright photoluminescence (PL) emissions
and narrow PL bandwidths are urgently desired for various imaging applications.
Herein, for the first time, we prepared ultrasmall organosilica nanodots (OSiNDs)
with an average size of ~2.0 nm and ~100% green-emitting PL quantum efficiency
via a one-step hydrothermal treatment of two commercial reagents (a silane
molecule and rose bengal). In particular, the structural reorganization and
halide loss of rose bengal during the hydrothermal treatment contribute to the
ultrahigh quantum yield and low phototoxicity of OSiNDs. Owing to their low pH
induced precipitation/aggregation property, the as-prepared OSiNDs can be used as
excellent lysosomal trackers with many advantages: (1) They have superior
lysosomal targeting ability with a Pearson's coefficient of 0.98; (2) The
lysosomal monitoring time of OSiNDs is up to 48 h, which is much longer than
those of commercial lysosomal trackers (<2 h); (3) They do not disturb the pH
environment of lysosomes and can be used to visualize lysosomes in living, fixed,
and permeabilized cells; (4) They exhibit intrinsic lysosomal tracking ability
without the introduction of lysosome-targeting ligands (such as morpholine) and
superior photostability; (5) The easy, cost-effective, and scalable synthetic
method further ensures that these OSiNDs can be readily used as exceptional
lysosomal trackers. We expect that the ultrasmall OSiNDs with superior
fluorescence properties and easily modifiable surfaces could be applied as
fluorescent nanoprobes, light-emitting diode phosphor, and anticounterfeiting
material, which should be able to promote the preparation and application of
silicon-containing nanomaterials.
PMID- 29368936
TI - Transformation of Alkynes into Chiral Alcohols via TfOH-Catalyzed Hydration and
Ru-Catalyzed Tandem Asymmetric Hydrogenation.
AB - A novel full atom-economic process for the transformation of alkynes into chiral
alcohols by TfOH-catalyzed hydration coupled with Ru-catalyzed tandem asymmetric
hydrogenation in TFE under simple conditions has been developed. A range of
chiral alcohols was obtained with broad functional group tolerance, good yields,
and excellent stereoselectivities.
PMID- 29368937
TI - Acute appendicitis after a colonic endoscopic submucosal resection.
AB - We present a case of acute appendicitis as a complication after an Endoscopic
Submucosal Resection of a colonic polyp.
PMID- 29368938
TI - Severe obscure gastrointestinal bleeding successfully treated with idarucizumab.
AB - Idarucizumab is a monoclonal antibody that rapidly reverses the anticoagulant
effect of dabigatran. The experience with this drug in the setting of
gastrointestinal bleeding is scarce. We present the case of an 84-year-old male
with known history of non-valvular atrial fibrillation anticoagulated with
dabigatran who presented to the emergency room with melena. During his stay in
the emergency department he suddenly worsened with hemodynamic derangement and
massive melena so idarucizumab was administered. Gastroscopy and colonoscopy were
performed without identifying a bleeding source. After the administration of
idarucizumab, the patient did not presented signs of ongoing bleeding.
Anticoagulation was resumed on the sixth day and no rebleeding or thromboembolic
events have been recorded after six months of follow-up. This case and the
limited information available in the literature suggest that idarucizumab can be
useful and safe in cases of severe gastrointestinal bleeding with recent intake
of dabigatran.
PMID- 29368939
TI - Dysphagia lusoria: a little-known cause of dysphagia.
AB - It is referred the case report of an 82-year-old female was referred for the
evaluation of progressive esophageal dysphagia of a six month duration, with a
recent weight loss of 10% of the normal corporal weight over a six month period.
The patient reported solid food dysphagia that required liquids to facilitate
food progression and denied food impaction.
PMID- 29368940
TI - Inverted Meckel's diverticulum in an adult patient diagnosed via capsule
endoscopy.
AB - Meckel's diverticulum is present in 2% of the general population and its inverted
presentation is rare. The most frequent complications are gastrointestinal
hemorrhage, obstruction, intussusception and perforation. In general, these
complications occur in the first two decades of life, and mostly before the
fourth decade. We present a case of inverted MD that started as a digestive
hemorrhage of obscure origin in a 77-year-old man who was diagnosed by endoscopic
capsule.
PMID- 29368941
TI - Regenerative nodular hyperplasia, portal vein thrombosis and primary
myelofibrosis: an unusual triple association.
AB - We report a case of a regenerative nodular hyperplasia with a portal vein
cavernomatosis with a subsequent progression to symptomatic, occlusive thrombosis
of the superior mesenteric vein. A thorough investigation resulted in a final
diagnosis of primary myelofibrosis associated with the V617F mutation in the JAK2
gene.
PMID- 29368942
TI - Autoimmune hepatitis as a complication of common variable immunodeficiency.
AB - In common variable immunodeficiency (CVID) there is a deregulation of the immune
system, which frequently leads to an increased risk of infections, but also to
autoimmunity phenomena. Autoimmune hepatitis may develop at any time of CVID's
evolution, but it is difficult to diagnose due to the frequent absence of
autoantibodies and low levels of IgG. Early diagnosis is important because
targeted treatment may allow disease improvement. We present a case of autoimmune
hepatitis in a patient with CVID.
PMID- 29368943
TI - A rare mass in the duodenal bulb: Brunner's glands cystadenoma.
AB - A 56-year-old man referred to our department for treatment of a mass in the
duodenal bulb. Esophagogastroduodenoscopy(EGD) showed a large spherical mass
extending from the pyloric canal to the anterior wall of the duodenal bulb.The
patient received endoscopic submucosal dissection uneventfully.Histology
demonstrated Brunner's glands csytadenoma finally.
PMID- 29368944
TI - A specific amino acid formula prevents alcoholic liver disease in rodents.
AB - Chronic alcohol consumption promotes mitochondrial dysfunction, oxidative stress,
defective protein metabolism, and fat accumulation in hepatocytes (liver
steatosis). Inadequate amino acid metabolism is worsened by protein malnutrition,
frequently present in alcohol-consuming patients, with reduced circulating
branched-chain amino acids (BCAAs). Here we asked whether dietary supplementation
with a specific amino acid mixture, enriched in BCAAs (BCAAem) and able to
promote mitochondrial function in muscle of middle-aged rodents, would prevent
mitochondrial dysfunction and liver steatosis in Wistar rats fed on a Lieber
DeCarli ethanol (EtOH)-containing liquid diet. Supplementation of BCAAem, unlike
a mixture based on the amino acid profile of casein, abrogated the EtOH-induced
fat accumulation, mitochondrial impairment, and oxidative stress in liver. These
effects of BCAAem were accompanied by normalization of leucine, arginine, and
tryptophan levels, which were reduced in liver of EtOH-consuming rats. Moreover,
although the EtOH exposure of HepG2 cells reduced mitochondrial DNA,
mitochondrial transcription factors, and respiratory chain proteins, the BCAAem
but not casein-derived amino acid supplementation halted this mitochondrial
toxicity. Nicotinamide adenine dinucleotide levels and sirtuin 1 (Sirt1)
expression, as well as endothelial nitric oxide (eNOS) and mammalian/mechanistic
target of rapamycin (mTOR) signaling pathways, were downregulated in the EtOH
exposed HepG2 cells. BCAAem reverted these molecular defects and the
mitochondrial dysfunction, suggesting that the mitochondrial integrity obtained
with the amino acid supplementation could be mediated through a Sirt1-eNOS-mTOR
pathway. Thus a dietary activation of the mitochondrial biogenesis and function
by a specific amino acid supplement protects against the EtOH toxicity and
preserves the liver integrity in mammals. NEW & NOTEWORTHY Dietary
supplementation of a specific amino acid formula prevents both fat accumulation
and mitochondrial dysfunction in hepatocytes of alcohol-consuming rats. These
effects are accompanied also by increased expression of anti-reactive oxygen
species genes. The amino acid-protective effects likely reflect activation of
sirtuin 1-endothelial nitric oxide synthase-mammalian target of rapamycin pathway
able to regulate the cellular energy balance of hepatocytes exposed to chronic,
alcoholic damage.
PMID- 29368946
TI - Socio-economic predictors of depressive symptoms around old age retirement in
Swedish women and men.
AB - OBJECTIVES: To estimate trajectories of depression around old age retirement in
Swedish women and men and examine if socio-economic status predicted the
trajectories Methods: The analytic sample comprised 907 women and 806 men from
the Swedish Longitudinal Occupational Survey of Health. B-spline smoothers and
group-based trajectory modelling were used to identify groups of individuals with
similar trajectories of depressive symptoms around retirement. Multinomial
regression analyses were conducted to investigate if socio-economic factors were
associated with odds of belonging to trajectory groups with higher depression
scores. RESULTS: Four depressive symptoms trajectories were identified in both
genders, all showing similar symptom levels across the retirement transition. Low
levels of depressive symptoms were observed in the three largest groups. In the
last trajectory group among women (2.5%) depression scores were moderate to
severe and among men (3.3%) depression scores were persistent moderate. Higher
educational level and lower subjectively rated social status were associated with
higher odds of belonging to trajectory groups with higher levels of depressive
symptoms in both genders. CONCLUSION: Retirement transition was not associated
with symptoms of depression. Higher educational level and lower subjective social
status may predict higher depressive symptom levels the years around old age
retirement.
PMID- 29368945
TI - Acute high-fat diet upregulates glutamatergic signaling in the dorsal motor
nucleus of the vagus.
AB - Obesity is associated with dysregulation of vagal neurocircuits controlling
gastric functions, including food intake and energy balance. In the short term,
however, caloric intake is regulated homeostatically although the precise
mechanisms responsible are unknown. The present study examined the effects of
acute high-fat diet (HFD) on glutamatergic neurotransmission within central vagal
neurocircuits and its effects on gastric motility. Sprague-Dawley rats were fed a
control or HFD diet (14% or 60% kcal from fat, respectively) for 3-5 days. Whole
cell patch-clamp recordings and brainstem application of antagonists were used to
assess the effects of acute HFD on glutamatergic transmission to dorsal motor
nucleus of the vagus (DMV) neurons and subsequent alterations in gastric tone and
motility. After becoming hyperphagic initially, caloric balance was restored
after 3 days following HFD exposure. In control rats, the non- N-methyl-d
aspartate (NMDA) receptor antagonist, 6,7-dinitroquinoxaline-2,3-dione (DNQX),
but not the NMDA receptor antagonist, amino-5-phosphonopentanoate (AP5),
significantly decreased excitatory synaptic currents and action potential firing
rate in gastric-projecting DMV neurons. In contrast, both AP5 and DNQX decreased
excitatory synaptic transmission and action potential firing in acute HFD
neurons. When microinjected into the brainstem, AP5, but not DNQX, decreased
gastric motility and tone in acute HFD rats only. These results suggest that
acute HFD upregulates NMDA receptor-mediated currents, increasing DMV neuronal
excitability and activating the vagal efferent cholinergic pathway, thus
increasing gastric tone and motility. Although such neuroplasticity may be a
persistent adaptation to the initial exposure to HFD, it may also be an important
mechanism in homeostatic regulation of energy balance. NEW & NOTEWORTHY Vagal
neurocircuits are critical to the regulation of gastric functions, including
satiation and food intake. Acute high-fat diet upregulates glutamatergic
signaling within central vagal neurocircuits via activation of N-methyl-d
aspartate receptors, increasing vagal efferent drive to the stomach. Although it
is possible that such neuroplasticity is a persistent adaptation to initial
exposure to the high-fat diet, it may also play a role in the homeostatic control
of feeding.
PMID- 29368947
TI - Development of a Multidimensional Attitude Scale for Organ Donation Research: An
Example from China.
AB - This research refined the notion of attitude based on the reasoned action
perspective of organ donation research at both the conceptual and the operational
levels in the Chinese context. In Study 1, a multidimensional attitude scale was
developed based on the salient beliefs of the Chinese toward registering to
become an organ donor, and the scale's reliability, convergent validity, and
discriminant validity were established. The results of Study 1 indicated that the
attitudes toward registering to become an organ donor consisted of two
statistically independent dimensions, namely, positive and negative attitudes. In
Study 2, the predictive validity of the multidimensional attitude scale was
confirmed, and the results indicated that negative attitudes, positive attitudes,
and subjective norms significantly impacted behavioral intention, which, in turn,
predicted organ donor registration behavior.
PMID- 29368949
TI - Headache Classification Committee of the International Headache Society (IHS) The
International Classification of Headache Disorders, 3rd edition.
PMID- 29368948
TI - Health economic evaluation in idiopathic pulmonary fibrosis in France.
AB - BACKGROUND: Idiopathic pulmonary fibrosis (IPF) is a specific form of chronic,
progressive, fibrosing interstitial pneumonia of unknown cause. To date, there is
no specific cure for IPF, and only two treatments (pirfenidone and nintedanib)
have marketing authorizations and recommendations in international and French
guidelines. OBJECTIVES: A cost-utility analysis (CUA) has been conducted to
evaluate the efficiency of nintedanib, in comparison to all available
alternatives, in a French setting using the official methodological guidelines.
METHODS: A previously developed lifetime Markov model was adapted to the French
setting by simulating the progression of IPF patients in terms of lung function
decline, incidence of acute exacerbations, and death. Considering the effect of
IPF on patients' quality-of-life, a CUA integrating quality adjusted life years
(QALY) was chosen as the primary outcome measure in the main analysis. One-way,
probabilistic, and scenario sensitivity analyses were performed to evaluate the
robustness of the model. RESULTS: Treatment with nintedanib resulted in an
estimated total cost of ?76,414 (vs ?82,665 for pirfenidone). In comparison with
all other available options, nintedanib was predicted to provide the most QALY
gained (3.34 vs 3.29). This analysis suggests that nintedanib has a 59.0% chance
of being more effective than pirfenidone and s 77.3% chance of being cheaper than
pirfenidone. Sensitivity analyses showed the results of the CUA to be robust.
CONCLUSIONS: In conclusion, this CUA has found that nintedanib appears to be a
more cost-effective therapeutic option than pirfenidone in a French setting, due
to fewer acute exacerbations and a better tolerability profile.
PMID- 29368950
TI - High-concentration topical capsaicin in the management of refractory neuropathic
pain in patients with neurofibromatosis type 1: a case series.
AB - AIM: The aim of this case series was to report the use of 8% topical capsaicin
patch (marketed under the trade name Qutenza(r)) a in the management of
refractory neuropathic pain (NP) in adult patients with type 1 neurofibromatosis
(NF1). METHODS: Capsaicin has been suggested for NF1 patients suffering from
refractory peripheral NP despite several years of analgesic treatments. The patch
was applied for 60 minutes on the painful area, with tolerability control (blood
pressure, intensity of pain and dermal reaction). The evaluation was done at the
beginning of treatment and during the 2 months following the first treatment
(phone calls at weeks 1, 2, 4 and 8). The primary efficacy criterion was the
response rate: a patient was considered to be responding if he or she reported an
average relief >=30% at the time of the follow-up calls. The secondary criteria
were: interference scores (QCD), Patient Global Impression of Change (PGIC) and
overall treatment satisfaction, self-reported by the patient. RESULTS: Eight
patients (5 females/3 males, 41.8 +/- 8.2 years of age) received a first
treatment with capsaicin. Patients had pre-existing pain for 6.6 years (+/-6.0)
and were currently receiving an average of 6.1 (+/-3.9) different analgesics. The
response rate was 37.5%. The three responders felt globally improved and
satisfied, with the improvement in overall condition as interference scores
decreased. Apart from the expected local reactions, the treatment was not
accompanied by systemic side effects. CONCLUSIONS: As suggested in this case
series, capsaicin provided pain relief in certain NF1 patients with resistant NP.
The response rate is that expected in multi-line refractory NP. A significant
benefit on the overall condition of some patients was observed. In addition, this
topical treatment is administered every 3 months without systemic effects. This
study is limited by the small number of patients, but was intended to describe a
new and well tolerated alternative treatment.
PMID- 29368951
TI - A new phenanthrene dimer from Dendrobium palpebrae.
AB - A new phenanthrene dimer, namely dendropalpebrone (1), was isolated from the
whole plant of Dendrobium palpebre, along with nine known compounds (2-10). All
of the isolates were evaluated for their hydroxyl radical scavenging effects
using a deoxyribose assay. Dendroflorin (10) showed an appreciable activity, and
therefore was selected for further studied in RAW264.7 cells. Compound 10
significantly decreased ROS in H2O2-stimulated RAW264.7 cells in a dose-dependent
manner, and improved activity of SOD, GPx, and CAT enzymes.
PMID- 29368952
TI - A new limonoid from stem bark of Chisocheton pentandrus (Meliaceae).
AB - A new limonoid, pentandricine (1), along with three known limonoids, ceramicine B
(2), 6-de(acetyloxy)-23-oxochisocheton (3), 6-de(acetyloxy)-23-oxo-7-O
deacetylchisocheton (4), have been isolated from the stembark of Chisocheton
pentandrus. The chemical structures of the new compound were elucidated on the
basis of spectroscopic evidence. All of the compounds were tested for their
cytotoxic effects against MCF-7 breast cancer cells. Compounds 1-4 showed weak
and no cytotoxicity against MCF-7 breast cancer cells with IC50 values of 369.84,
150.86, 208.93 and 120.09 MUM, respectively.
PMID- 29368953
TI - A novel sesquiterpene derivative with a seven-membered B ring from Illigera
aromatica.
AB - A novel sesquiterpene derivative with a seven-membered B ring, illigerine (1),
along with four known compounds, 1-epi-chiliophyllin (2), 3,4-dihydroxyphenethyl
alcohol (3), coniferyl alcohol (4) and phenylpropionic acid (5), were isolated
from Illigera aromatica S. Z. Huang et S. L. Mo. Their structures were identified
by 1D/2D NMR, HRESIMS and electronic circular dichroism spectra and the cytotoxic
activity and inhibitory effect of NO production in LPS-stimulated RAW264.7 were
also evaluated. This is the first report of sesquiterpene isolated from the genus
Illigera.
PMID- 29368954
TI - The Effect of Cognitive Activity on Sleep Maintenance in a Subsequent Daytime
Nap.
AB - BACKGROUND/OBJECTIVE: The aim of this study is to assess the effects of a
learning task on the characteristics of a subsequent daytime nap. PARTICIPANTS
AND METHODS: Thirty-eight subjects were administered a control nap (C) and one
preceded by a cognitive training session (TR). RESULTS: Relative to C, TR naps
showed significantly increased sleep duration with decreased sleep latency, as
well as significantly increased sleep efficiency due to reduced awakening
frequency. Meaningful trends were also found toward an increase of Stage 2 sleep
proportion and a reduction of Stage 1 sleep, percentage of wake after sleep onset
(WASO), and frequency of state transitions. CONCLUSIONS: Our results indicate
that presleep learning favors sleep propensity and maintenance, offering the
possibility to explore planned cognitive training as a low-cost treatment for
sleep impairments.
PMID- 29368956
TI - Chemical constituents and biological activities of Viburnum macrocephalum f.
keteleeri.
AB - Three new compounds (1-3) and seven known compounds (4-10) have been isolated
from the ethanolic extract of Viburnum macrocephalum f. keteleeri using
bioactivity-guided fractionation and identified as methyl (2-alpha-L
rhamnopyranosyloxy)acetate (1), methyl (2R-3-alpha-L-rhamnopyranosyloxy)glycerate
(2), methyl (3R-4-alpha-L-rhamnopyranosyloxy-3-hydroxy)butanoate (3),
bridelionoside B (4), (6S,7E,9R)-roseoside (5), linarionoside A (6), 3,7,11
trimethyl-1,6-dodecadien-3,10,11-triol (7), (+)-8-hydroxylinalool (8), beta
sitosterol (9) and daucosterol (10). The structures of 1-3, including absolute
configurations, were determined by spectroscopic data (1H and 13C NMR, HSQC, HMBC
and ORD) and chemical methods. In addition, compounds 1-8 were assayed for their
insecticidal and antimicrobial activities. Compounds 7 and 8 exhibited moderately
insecticidal effects against Mythimna separata with LD50 values of 180 and 230
MUg g-1, respectively. Compounds 2, 3, 7 and 8 showed varying antimicrobial
activities with IC50 values ranging from 125 to 529 MUM.
PMID- 29368955
TI - Translating Cognitive Vulnerability Theory Into Improved Adolescent Depression
Screening: A Receiver Operating Characteristic Approach.
AB - Traditionally, screening research tests how well a given symptom inventory can
identify a concurrent depressive episode. Although developmental psychopathology
could inform screening protocols for a myriad of depression outcomes (e.g.,
prospective depressive episodes), approaches typically used in research make it
difficult to translate these findings. Using a translational analytic approach
and multiwave longitudinal study design, we examined how screening for cognitive
vulnerabilities (rumination, dysfunctional attitudes, and attributional style)
may improve our ability to identify concurrent depressive episodes, prospective
depressive episodes, first lifetime episodes of depression, and recurrent major
depressive episodes. There were 473 sixth-grade (early adolescents) and ninth
grade (middle adolescents; AgeM = 13.15, AgeSD = 1.62) students who completed
baseline self-report cognitive vulnerability and depressive symptom measures. At
baseline and every 6 months for 3 years, pediatric depression interviews were
completed by the caregiver and youth. A receiver operating characteristic (ROC)
approach was utilized to test our aims. Distinct algorithms best forecasted our
depression outcomes. Rumination and attributional style emerged as unique and
incrementally valid predictors for prospective episodes after controlling for
baseline depressive symptoms. Rumination was the only unique predictor for first
lifetime depressive episodes. For recurrent major depression, rumination in early
adolescence and attributional style in middle adolescence served as incremental
predictors beyond baseline depressive symptoms. Proposed cutoffs and diagnostic
likelihood ratios are offered for algorithms for each depression outcome.
Assessing cognitive vulnerability represents a feasible method to improve
depression screening initiatives. Using an ROC-informed approach can help
prevention initiatives better leverage the considerable gains made within
developmental psychopathology research.
PMID- 29368958
TI - The prevalence of hyperkalemia in the United States.
AB - OBJECTIVE: The retrospective study aimed to estimate prevalence of hyperkalemia
using a large US commercial claims database. METHODS: Adults with serum potassium
lab data (2010 to 2014) and >=1 calendar year of data were included from a large
US commercial claims database. Hyperkalemia was defined as >=2 serum potassium
measurements >5.0 mEq/L or one hyperkalemia diagnosis code (ICD-9-CM, 276.7) or
one sodium polystyrene sulfonate fill. Hyperkalemia prevalence was estimated for
the overall population and subgroups with hyperkalemia-related comorbidities by
calendar year. Hyperkalemia prevalence was also standardized to the US population
to estimate the number of US adults with hyperkalemia. RESULTS: The analysis
included 2,270,635 patients (2010-2014). The annual prevalence of hyperkalemia in
the overall population was 1.57% in 2014, with higher rates observed in patients
with chronic kidney disease (CKD), heart failure, diabetes and hypertension.
Among patients with CKD and/or heart failure, the 2014 annual prevalence was
6.35%. Among patients with hyperkalemia, 48.43% had CKD and/or heart failure in
2014. The prevalence of hyperkalemia was higher in patients with more severe CKD,
as well as older patients and men. Extrapolating those results to the US
population supports that 1.55% or 3.7 million US adults had hyperkalemia in 2014.
CONCLUSIONS: An estimated 3.7 million US adults had hyperkalemia in 2014, and
this prevalence rate has increased since 2010. In patients with CKD and/or heart
failure, the annual prevalence of hyperkalemia was 6.35% in 2014, and about half
of all patients with hyperkalemia have either CKD and/or heart failure.
PMID- 29368957
TI - Sleep, Circadian Rhythms, and Risk Across Health Domains in Adolescents With an
Evening Circadian Preference.
AB - Sleep and circadian rhythm changes during adolescence contribute to increased
risk across emotional, behavioral, cognitive, social, and physical health
domains. This study examines if sleep and dim light melatonin onset (DLMO) are
related to greater risk in these 5 health domains. Participants were 163 (93
female, age = 14.7 years) adolescents with an evening circadian preference from a
study funded by the Eunice Kennedy Shriver National Institute of Child Health and
Human Development. Sleep and circadian measures included weekday total sleep time
(TST), bedtime, and shut-eye time assessed via sleep diary, the Children's
Morningness-Eveningness Preferences scale, and DLMO. Health domains included self
reported emotional, cognitive, behavioral, social, and physical health. Later
DLMO was significantly associated with shorter weekday TST, later weekday
bedtime, and later weekday shut-eye time, as well as lower risk in the behavioral
domain. At the trend level, later DLMO was related to fewer physical health
problems. Earlier DLMO combined with a later bedtime, later shut-eye time, or
shorter TST predicted greater risk in the cognitive domain. Later DLMO and
shorter TST or a later bedtime predicted worse physical health. DLMO timing was
not related to the emotional or social domain. There is evidence that a
discrepancy between sleep behaviors and the endogenous circadian rhythm may be
related to risk in the cognitive domain for adolescents with an evening circadian
preference. Preliminary evidence also indicated that a delayed DLMO and shorter
TST or a later bedtime may be related to vulnerability to physical health risk.
PMID- 29368959
TI - The dynamics of success and failure: how post-behaviour evaluations relate to
subsequent exercise intentions and behaviour.
AB - OBJECTIVE: Exercise behaviour change involves multiple experiences with success
and failure. The Model of Action Phases (MAP) offers a dynamic account of how
success and failure influence both immediate evaluations and future decisions and
actions. However, predictions from the MAP have not been formally tested. DESIGN:
A longitudinal daily diary study was used to examine how post-behaviour
evaluations of exercise success and failure influence subsequent exercise
intentions and behaviour. Participants (N = 104) set exercise goals, and then
kept a daily online exercise diary for four weeks. MAIN OUTCOME MEASURES:
Participants self-reported exercise behaviour, affective response to exercise,
self-evaluations after success or failure at following through on intentions to
exercise, and intentions to exercise in the next week. RESULTS: Multilevel
modelling revealed significant within- and between-participant relationships
among post-behaviour evaluations, intentions and subsequent behaviour. Findings
supported MAP-derived predictions about how success and failure at exercise are
associated with feelings about exercise and the self, and inform subsequent
exercise intentions and behaviour. CONCLUSION: Positive post-behaviour
evaluations of success or failure may stabilise positive intentions and aid
maintenance of exercise behaviour. Implications of these MAP-based findings for
intervention design are discussed.
PMID- 29368960
TI - Dementia: beyond disorders of mood.
PMID- 29368961
TI - Human abuse potential studies of abuse-deterrent opioids: lessons from oral and
intranasal studies with morphine abuse-deterrent, extended-release, injection
molded tablets.
AB - BACKGROUND: The development and use of abuse-deterrent (AD) opioids is part of a
multifaceted strategy to reduce misuse, abuse, and diversion, while maintaining
access for patients with severe pain who may benefit from their analgesic
efficacy. Morphine AD, extended-release (ER), injection-molded tablets (morphine
ADER-IMT; ARYMO ER; Egalet US Inc., Wayne, PA) is approved by the FDA as an AD
opioid. As part of the characterization of AD opioids, assessments of their human
abuse potential (HAP) are required. Evidence from HAP studies can guide
clinicians on the use of AD opioids in clinical practice. Herein, we describe HAP
study design, and how specific AD features can impact the conduct of a study and
interpretation of its results. OBJECTIVES: To review the design features and
results of the oral and intranasal HAP studies with morphine-ADER-IMT in order to
improve the understanding of key elements of HAP studies of AD opioids.
CONCLUSIONS: Results from HAP studies with morphine-ADER-IMT and other AD opioids
suggest that key study design features include the release profile (immediate
release vs extended-release) of the positive control, study drug doses, and the
way the products are manipulated. These elements can directly impact the outcomes
of the pharmacokinetic and pharmacodynamic (e.g. Maximum Drug Liking, Overall
Drug Liking, and Take Drug Again) results. When evaluating HAP studies, it is
important to understand study design features to assist in the interpretation of
the results and understand the clinical relevance of the data to help guide
clinical decision-making about the use of AD opioids.
PMID- 29368963
TI - COMMENTARY ON MEASUREMENTS OF GLYCEMIC CONTROL.
PMID- 29368964
TI - 15TH ANNUAL WORLD CONGRESS ON INSULIN RESISTANCE, DIABETES & CARDIOVASCULAR
DISEASE (WCIRDC).
PMID- 29368966
TI - PREDICTING RECOVERY OF THE HYPOTHALAMIC-PITUITARY-ADRENAL AXIS AFTER PROLONGED
GLUCOCORTICOID USE.
AB - OBJECTIVE: Prolonged exposure to glucocorticoids lead to hypothalamic-pituitary
adrenal (HPA) axis suppression that recovers after cessation of treatment. We
aimed to identify the predictive factors for HPA axis recovery after prolonged
glucocorticoid use. METHODS: Retrospective review of patients who had undergone
first short Synacthen test (SST) to assess HPA axis recovery after prolonged use
of glucocorticoids. RESULTS: A total of 61% (20/33) of patients had adequate SST
response at a median time of 2 years after diagnosis of adrenal insufficiency.
Those who had adequate response during SST had higher ambulatory early morning
cortisol ( P<.01), shorter duration of exposure to glucocorticoids ( P = .01),
and lower final cumulative hydrocortisone replacement dose ( P = .03). Age,
gender, body mass index, indications for glucocorticoid use, and basal
adrenocorticotropic hormone levels were not predictive of HPA axis recovery. On
multivariate analysis, ambulatory early morning cortisol was the only independent
predictor of adequate SST response (odds ratio, 1.02; 95% confidence interval,
1.01 to 1.04; P = .02). Using receiver operating characteristic curve analysis,
ambulatory early morning cortisol of 8.8 MUg/dL predicted a positive SST response
with a sensitivity of 70% and specificity of 93%. CONCLUSION: Early morning
ambulatory cortisol could be used to decide on timely SST in order to prevent
complications from unnecessary replacement with glucocorticoids. ABBREVIATIONS:
ACTH = adrenocorticotropic hormone; BMI = body mass index; CV = coefficient of
variation; HPA = hypothalamic-pituitary-adrenal; SST = short Synacthen test.
PMID- 29368965
TI - CONSENSUS STATEMENT BY THE AMERICAN ASSOCIATION OF CLINICAL ENDOCRINOLOGISTS AND
AMERICAN COLLEGE OF ENDOCRINOLOGY ON THE COMPREHENSIVE TYPE 2 DIABETES MANAGEMENT
ALGORITHM - 2018 EXECUTIVE SUMMARY.
AB - ABBREVIATIONS: A1C = hemoglobin A1C; AACE = American Association of Clinical
Endocrinologists; ACCORD = Action to Control Cardiovascular Risk in Diabetes;
ACCORD BP = Action to Control Cardiovascular Risk in Diabetes Blood Pressure;
ACEI = angiotensin-converting enzyme inhibitor; ADVANCE = Action in Diabetes and
Vascular Disease: Preterax and Diamicron MR Controlled Evaluation; AGI = alpha
glucosidase inhibitor; apo B = apolipoprotein B; ASCVD = atherosclerotic
cardiovascular disease; BAS = bile acid sequestrant; BCR-QR = bromocriptine quick
release; BMI = body mass index; BP = blood pressure; CCB = calcium channel
blocker; CHD = coronary heart disease; CKD = chronic kidney disease; CVD =
cardiovascular disease; DASH = Dietary Approaches to Stop Hypertension; DPP4 =
dipeptidyl peptidase 4; eGFR = estimated glomerular filtration rate; ER =
extended release; FDA = Food and Drug Administration; GLP1 = glucagon-like
peptide 1; HDL-C = high-density lipoprotein cholesterol; IMPROVE-IT = Improved
Reduction of Outcomes: Vytorin Efficacy International Trial; LDL-C = low-density
lipoprotein cholesterol; LDL-P = low-density lipoprotein particle; Look AHEAD =
Look Action for Health in Diabetes; NPH = neutral protamine Hagedorn; OSA =
obstructive sleep apnea; RCT = randomized controlled trial; SU = sulfonylurea;
SGLT2 = sodium glucose cotransporter-2; SMBG = self-monitoring of blood glucose;
T2D = type 2 diabetes; TZD = thiazolidinedione; VADT = Veterans Affairs Diabetes
Trial.
PMID- 29368967
TI - ENDO ECHO IMPROVES PRIMARY CARE PROVIDER AND COMMUNITY HEALTH WORKER SELF
EFFICACY IN COMPLEX DIABETES MANAGEMENT IN MEDICALLY UNDERSERVED COMMUNITIES.
AB - OBJECTIVE: To determine whether participation in a multidisciplinary
telementorship model of healthcare delivery improves primary care provider (PCP)
and community health worker (CHW) confidence in managing patients with complex
diabetes in medically underserved regions. METHODS: We applied a well-established
healthcare delivery model, Project ECHO (Extension for Community Healthcare
Outcomes), to the management of complex diabetes (Endo ECHO) in medically
underserved communities. A multidisciplinary team at Project ECHO connected with
PCPs and CHWs at 10 health centers across New Mexico for weekly videoconferencing
virtual clinics. Participating PCPs and CHWs presented de-identified patients and
received best practice guidance and mentor-ship from Project ECHO specialists and
network peers. A robust curriculum was developed around clinical practice
guidelines and presented by weekly didactics over the ECHO network. After 2 years
of participation in Endo ECHO, PCPs and CHWs completed self-efficacy surveys
comparing confidence in complex diabetes management to baseline. RESULTS: PCPs
and CHWs in rural New Mexico reported significant improvement in self-efficacy in
all measures of complex diabetes management, including PCP ability to serve as a
local resource for other healthcare providers seeking assistance in diabetes
care. Overall self-efficacy improved by 130% in CHWs ( P<.0001) and by 60% in
PCPs ( P<.0001), with an overall large Cohen's effect size. CONCLUSION: Among
PCPs and CHWS in rural, medically underserved communities, participation in Endo
ECHO for 2 years significantly improved confidence in complex diabetes
management. Application of the ECHO model to complex diabetes care may be useful
in resource-poor communities with limited access to diabetes specialist services.
ABBREVIATIONS: CHW = community health worker; CME = Continuing Medical Education;
ECHO = Extension for Community Healthcare Outcomes; FQHC = federally qualified
health center; PCP = primary care provider.
PMID- 29368968
TI - A MESSAGE FROM THE EDITOR-IN-CHIEF.
PMID- 29368969
TI - RESPONSE LETTER.
PMID- 29368970
TI - RE: DIABETIC KETOACIDOSIS IN PATIENTS WITH TYPE 2 DIABETES ON SGLT-2 INHIBITORS:
AN ONGOING CONCERN.
PMID- 29368971
TI - Ligand-dependent transcriptional induction of lethal autophagy: A new perspective
for cancer treatment.
AB - Dendrogenin A (DDA) is a mammalian metabolite that displays anticancer and
chemopreventive properties in mice. At the cancer cell level, DDA induces
differentiation and death. We investigated herein the nature of DDA cytoxicity in
cancer cells. We showed that DDA triggers biochemical and cellular features of
macroautophagy/autophagy and that autophagy is cytotoxic. DDA induces both the
accumulation of pro-lysosomal sterols and stimulates the expression of regulators
of autophagy such as NR4A, LC3 and TFEB through binding to the liver X receptor
(LXR), a ligand-dependent transcription factor consisting of 2 isoforms, NR1H2
and NR1H3. These effects are not observed with canonical LXR agonists such as the
oxysterol 22(R)-hydroxycholesterol or the synthetic molecules T0901317 and
GW3965. DDA effects were measured on melanoma and acute myeloid leukemia cells
including patient-derived leukemia cells in vitro and in vivo. Importantly the
induction of lethal autophagy kills cells independently of their cytogenetic
subgroups and does not differentiate bulk cancer cells from cancer cell
progenitors. Together these data show that DDA drives LXR to induce the
expression of autophagic genes leading to cancer cells death. This opens up new
perspectives for cancer treatment.
PMID- 29368972
TI - Propensity for movement specific reinvestment by physiotherapists: Implications
for education.
AB - Previous studies have shown that the propensity for conscious monitoring and
control of movement (i.e. movement specific reinvestment) influences the
acquisition of movement skills. Physiotherapists, whose primary function is to
promote effective human movement, also develop specialized movement skills that
are necessary to perform diagnostic and therapeutic procedures. To explore the
implications for promoting expertise, this current study examined
physiotherapists' propensity for movement-specific reinvestment. Practitioners
and students in physiotherapy, and other rehabilitation, and non-health
professionals, completed the Movement Specific Reinvestment Scale, which measures
two dimensions of reinvestment: 1) conscious motor processing (CMP); and 2)
movement self-consciousness (MS-C). Physiotherapists scored significantly higher
than other professionals on both CMP and MS-C. Specifically among
physiotherapists, those with relatively fewer years of practice tended to have
higher MS-C scores. Movement-specific reinvestment appears to be a characteristic
of physiotherapists that could be relevant for understanding the ways in which
novices think and act as they progress to expertise. Further research is
recommended to examine the role of reinvestment in performance of different tasks
of varying complexity by novice physiotherapists.
PMID- 29368974
TI - Formulation development and process analysis of drug-loaded filaments
manufactured via hot-melt extrusion for 3D-printing of medicines.
AB - Three dimensional(3D)-printing via fused deposition modeling (FDM) allows the
production of individualized solid dosage forms. However, for bringing this
benefit to the patient, active pharmaceutical ingredient (API)-loaded filaments
of pharmaceutical grade excipients are necessary as feedstock and have to be
produced industrially. As large-scale production of API-loaded filaments has not
been described in literature, this study presents a development of 3D-printable
filaments, which can continuously be produced via hot-melt extrusion. Further, a
combination of testing methods for mechanical resilience of filaments was applied
to improve the prediction of their printability. Eudragit RL was chosen as a
sustained release polymer and theophylline (30%) as thermally stable model drug.
Stearic acid (7%) and polyethylene glycol 4000 (10%), were evaluated as suitable
plasticizers for producing 3D-printable filaments. The two formulations were
printed into solid dosage forms and analyzed regarding their dissolution
profiles. This revealed that stearic acid maintained sustained release properties
of the matrix whereas polyethylene glycol 4000 did not. Analysis of the
continuous extrusion process was done using a design of experiments. It showed
that powder feed rate and speed of the stretching device used after extrusion
predominantly determine the diameter of the filament and thereby the mechanical
resilience of a filament.
PMID- 29368973
TI - Extending conceptual understanding: How interprofessional education influences
affective domain development.
AB - Interprofessional learning (IPL) can influence affective domain development of
students through teaching activities that facilitate learning with, from, and
about other professions. Current quantitative evidence offers limited explanation
of how this learning is achieved within IPL programmes. This article tests a
conceptual framework drawn from theories on IPL and affective domain development
(attitudes, values, and behaviours) to explain what works for whom, when, and in
what circumstances. The objectives of the study were twofold: to evaluate the
impact of the IPL programme on the student's attitudes and values, and to
identify behaviour changes in clinical practice towards interprofessional
working. Using an action research approach, based in practice, an IPL programme
was delivered over 6 weeks. Students from five professions, nursing, radiography,
physiotherapy, social work, and podiatry (n = 63), participated over the two
action research cycles and in semi-structured focus groups (n = 37). The recorded
personal experiences of the IPL activities on the students were examined in
relation to the type of activity; impact on the affective domain of learning
(attitude, value, or behaviour) and self-reported outcome on application to their
practice. Modification in affective domain development was measured to
identification or internalisation stage for 30 of the students. Self-reported
outcomes on application to practice included direct impact on patient care,
personal resilience building, improved communication, and ability to challenge
practice. This article presents a conceptual framework not evident in current
research, in regard to what IPL works for whom, in what circumstances, and when.
IPL activities that address a personal reward or incentive and are delivered over
four weeks, imitating 'circles of care,' that explore self-assessment, team
building, and reflection can lead to sustained change in values, attitudes, and
behaviours.
PMID- 29368975
TI - Effects of 1800 MHz RF-EMF exposure on DNA damage and cellular functions in
primary cultured neurogenic cells.
AB - PURPOSE: To systematically evaluate the effects of 1800 MHz radiofrequency
electromagnetic fields (RF-EMF) exposure on DNA damage and cellular functions in
primary cultured neurogenic cells. MATERIALS AND METHODS: The primary cultured
astrocytes, microglia and cortical neurons were exposed to RF-EMF at a SAR of 4.0
W/kg. The DNA damage was evaluated by gammaH2AX foci formation assay. The
secretions of pro-inflammatory cytokines (TNF-alpha, IL-6 and IL-1beta) in
astrocytes and microglia, microglial phagocytic activity and neuronal development
were examined by enzyme-linked immunosorbent assay, phagocytosis assay and
immunofluorescent staining on microtubule-associated protein tau, microtubule
associated protein 2, postsynaptic density 95 and gephyrin, respectively.
RESULTS: RF-EMF exposure did not significantly induce gammaH2AX foci formation in
three primary cultured neurogenic cells. Furthermore, RF-EMF exposure did not
significantly affect the secretion of cytokines in astrocytes and microglia, and
the morphological indicators of dendrites or synapses of cortical neurons.
However, the exposure significantly reduced the phagocytic activity of microglia
and inhibited the axon branch length and branch number of cortical neurons.
CONCLUSIONS: Our data demonstrated that exposure to RF-EMF did not elicit DNA
damage but inhibited the phagocytic ability of microglia and the axon branch
length and branch number of cortical neurons.
PMID- 29368976
TI - Effects of EphB4 receptor expression on colorectal cancer cells, tumor growth,
vascularization and composition.
AB - BACKGROUND: Colorectal cancer (CRC) is one of the most common causes of cancer
related deaths worldwide. Increased expression of the molecular target, EphB4
receptor, has been observed in several cancer types. However, studies on the role
of EphB4 receptor in CRC have yielded contradictory results. The aim of this
study was to investigate the influence of EphB4 expression levels on CRC cell
behavior and its contribution to tumor growth and vascularization. METHODS:
SW480, LIM2405 and CT26 CRC cell lines were transfected with EphB4 expression
vector. High EphB4 expressing cells were compared to low EphB4 expressing empty
vector controls. Proliferation and migration assays as well as EphrinB2-Fc cell
stimulations were conducted in vitro and subcutaneous xenografts of CRC were
analyzed in vivo. RESULTS: High EphB4 expression enhanced migratory ability of
these CRC cell lines in vitro and contributed to a significant increase in tumor
growth and vascularization in vivo. Tumours induced with high EphB4 expressing
SW480 and LIM2405 cells yielded homogenous masses densely packed with cancer
cells. EphrinB2-Fc cell stimulations induced cell clustering of high EphB4
expressing SW480 and LIM2405 in vitro. CONCLUSION: These results suggest that
with enhanced vascularization and an increase in migratory abilities, the high
EphB4 expressing cells may be able to metastasize more readily.
PMID- 29368977
TI - Quinazoline and quinazolinone as important medicinal scaffolds: a comparative
patent review (2011-2016).
AB - INTRODUCTION: Quinazoline and quinazolinone scaffolds represent an important
class of biologically active nitrogen heterocyclic compounds. A variety of
marketed drugs are based on these moieties. A diverse range of molecules with
quinazoline/quinazolinone moieties have been reported to exhibit broad spectrum
of biological activities. Area covered: This review covers recent efforts in the
synthesis and biological screening of quinazoline/quinazolinone based compounds
from 2011-2016. Expert opinion: Quinazoline and quinazolinones represent a
diverse class of biologically active nitrogen heterocyclic compounds with immense
therapeutic potential. Their ease of synthetic accessibility, and flexibility in
structural modifications and functionalization further adds to their appeal in
medicinal chemistry. A number of currently available drugs are based on
quinazoline/quinazolinone scaffold. It is interesting to note that, among the
recent patents available, a lot of them focus on the promising anticancer
activity of quinazoline and quinazolinone containing compounds. However their
biological activity is certainly not limited to anticancer only, they are also
known to elicit a number of other biological and physiological effects in vitro
and in vivo respectively. The interest in quinazolines and quinazolinones is ever
growing, since they offer a fairly diverse chemical space for exploration of
medicinal potential.
PMID- 29368979
TI - Beyond static measures: A review of functional magnetic resonance spectroscopy
and its potential to investigate dynamic glutamatergic abnormalities in
schizophrenia.
AB - Abnormalities of the glutamate system are increasingly implicated in
schizophrenia but their exact nature remains unknown. Proton magnetic resonance
spectroscopy (1H-MRS), while fundamental in revealing glutamatergic alterations
in schizophrenia, has, until recently, been significantly limited and thought to
only provide static measures. Functional magnetic resonance spectroscopy (fMRS),
which uses sequential scans for dynamic measurement of a range of brain
metabolites in activated brain areas, has lately been applied to a variety of
task or stimulus conditions, producing interesting insights into neurometabolite
responses to neural activation. Here, we summarise the existing 1H-MRS studies of
brain glutamate in schizophrenia. We then present a comprehensive review of
research studies that have utilised fMRS, and lastly consider how fMRS methods
might further the understanding of glutamatergic abnormalities in schizophrenia.
PMID- 29368978
TI - Experiences of a student-run clinic in primary care: a mixed-method study with
students, patients and supervisors.
AB - OBJECTIVE: To explore how a student-run clinic (SRC) in primary health care (PHC)
was perceived by students, patients and supervisors. DESIGN: A mixed methods
study. Clinical learning environment, supervision and nurse teacher evaluation
scale (CLES + T) assessed student satisfaction. Client satisfaction questionnaire
8 (CSQ-8) assessed patient satisfaction. Semi-structured interviews were
conducted with supervisors. SETTING: Gustavsberg PHC Center, Stockholm County,
Sweden. SUBJECTS: Students in medicine, nursing, physiotherapy, occupational
therapy and psychology and their patients filled in questionnaires. Supervisors
in medicine, nursing and physiotherapy were interviewed. MAIN OUTCOME MEASURES:
Mean values and medians of CLES + T and CSQ-8 were calculated. Interviews were
analyzed using content analysis. RESULTS: A majority of 199 out of 227 student
respondents reported satisfaction with the pedagogical atmosphere and the
supervisory relationship. Most of the 938 patient respondents reported
satisfaction with the care given. Interviews with 35 supervisors showed that the
organization of the SRC provided time and support to focus on the tutorial
assignment. Also, the pedagogical role became more visible and targeted toward
the student's individual needs. However, balancing the student's level of
autonomy and the own control over care was described as a challenge. Many
expressed the need for further pedagogical education. CONCLUSIONS: High student
and patient satisfaction reported from five disciplines indicate that a SRC in
PHC can be adapted for heterogeneous student groups. Supervisors experienced that
the SRC facilitated and clarified their pedagogical role. Simultaneously their
need for continuous pedagogical education was highlighted. The SRC model has the
potential to enhance student-centered tuition in PHC. Key Points Knowledge of
student-run clinics (SRCs) as learning environments within standard primary
health care (PHC) is limited. We report experiences from the perspectives of
students, their patients and supervisors, representing five healthcare
disciplines. Students particularly valued the pedagogical atmosphere and the
supervisory relationship. Patients expressed high satisfaction with the care
provided. Supervisors expressed that the structure of the SRC supported the
pedagogical assignment and facilitated student-centered tuition - simultaneously
the altered learning environment highlighted the need for further pedagogical
education. Student-run clinics in primary health care have great potential for
student-regulated learning.
PMID- 29368981
TI - Targeting autophagy blocks melanoma growth by bringing natural killer cells to
the tumor battlefield.
AB - Solid tumors are able to establish and sustain an immune suppressive
microenvironment, which prevents the infiltration of cytotoxic effector immune
cells into the tumor bed. We showed that genetic targeting of the
macroautophagy/autophagy gene Becn1/Beclin1 in B16-F10 tumors inhibits their
growth by inducing a massive infiltration of functional natural killer (NK) cells
into the tumor bed. Such infiltration is primarily due to the ability of BECN1
defective tumor cells to overexpress and release CCL5 cytokine in the tumor
microenvironment by a mechanism involving the activation of the MAPK8/JNK-JUN/c
Jun signaling pathway. Clinically, we reported a strong positive correlation
between the expression of NK cell marker and CCL5 in human melanoma tumors and
more importantly, a significant increased survival is found in melanoma patients
expressing a high level of CCL5. Overall, these findings highlight the impact of
targeting autophagy in breaking the immunosuppressive tumor microenvironment
barrier, thus allowing the trafficking of cytotoxic NK cells into the tumor bed.
This study underscore the importance of autophagy inhibition in tumors as a novel
therapeutic strategy to fully exploit NK cells antitumor properties in clinical
settings.
PMID- 29368982
TI - RAS-related GTPases DIRAS1 and DIRAS2 induce autophagic cancer cell death and are
required for autophagy in murine ovarian cancer cells.
AB - Among the 3 GTPases in the DIRAS family, DIRAS3/ARHI is the best characterized.
DIRAS3 is an imprinted tumor suppressor gene that encodes a 26-kDa GTPase that
shares 60% homology to RAS and RAP. DIRAS3 is downregulated in many tumor types,
including ovarian cancer, where re-expression inhibits cancer cell growth,
reduces motility, promotes tumor dormancy and induces macroautophagy/autophagy.
Previously, we demonstrated that DIRAS3 is required for autophagy in human cells.
Diras3 has been lost from the mouse genome during evolutionary re-arrangement,
but murine cells can still undergo autophagy. We have tested whether DIRAS1 and
DIRAS2, which are homologs found in both human and murine cells, could serve as
surrogates to DIRAS3 in the murine genome affecting autophagy and cancer cell
growth. Similar to DIRAS3, these 2 GTPases share 40-50% homology to RAS and RAP,
but differ from DIRAS3 primarily in the lengths of their N-terminal extensions.
We found that DIRAS1 and DIRAS2 are downregulated in ovarian cancer and are
associated with decreased disease-free and overall survival. Re-expression of
these genes suppressed growth of human and murine ovarian cancer cells by
inducing autophagy-mediated cell death. Mechanistically, DIRAS1 and DIRAS2 induce
and regulate autophagy by inhibition of the AKT1-MTOR and RAS-MAPK signaling
pathways and modulating nuclear localization of the autophagy-related
transcription factors FOXO3/FOXO3A and TFEB. Taken together, these data suggest
that DIRAS1 and DIRAS2 likely serve as surrogates in the murine genome for
DIRAS3, and may function as a backup system to fine-tune autophagy in humans.
PMID- 29368980
TI - TP53 is required for BECN1- and ATG5-dependent cell death induced by sphingosine
kinase 1 inhibition.
AB - The bioactive sphingolipid metabolite sphingosine-1-phosphate (S1P) and the
enzyme that produces it, SPHK1 (sphingosine kinase 1), regulate many processes
important for the etiology of cancer. It has been suggested that SPHK1 levels are
regulated by the tumor suppressor protein TP53, a key regulator of cell cycle
arrest, apoptosis, and macroautophagy/autophagy. However, little is still known
of the relationship between TP53 and SPHK1 activity in the regulation of these
processes. To explore this link, we examined the effects of inhibiting SPHK1 in
wild-type and TP53 null cancer cell lines. SK1-I, an analog of sphingosine and
isozyme-specific SPHK1 inhibitor, suppressed cancer cell growth and clonogenic
survival in a TP53-dependent manner. It also more strongly enhanced intrinsic
apoptosis in wild-type TP53 cells than in isogenic TP53 null cells. Intriguingly,
SK1-I induced phosphorylation of TP53 on Ser15, which increases its
transcriptional activity. Consequently, levels of TP53 downstream targets such as
pro-apoptotic members of the BCL2 family, including BAX, BAK1, and BID were
increased in wild-type but not in TP53 null cells. Inhibition of SPHK1 also
increased the formation of autophagic and multivesicular bodies, and increased
processing of LC3 and its localization within acidic compartments in a TP53
dependent manner. SK1-I also induced massive accumulation of vacuoles, enhanced
autophagy, and increased cell death in an SPHK1-dependent manner that also
required TP53 expression. Importantly, downregulation of the key regulators of
autophagic flux, BECN1 and ATG5, dramatically decreased the cytotoxicity of SK1-I
only in cells with TP53 expression. Hence, our results reveal that TP53 plays an
important role in vacuole-associated cell death induced by SPHK1 inhibition in
cancer cells.
PMID- 29368983
TI - Endomembrane remodeling in autophagic membrane formation.
AB - Autophagosomal membrane sources generate autophagic membrane precursors, which
later assemble into the double-membrane autophagosome. The key events happening
on the membrane sources during autophagic membrane generation remain poorly
characterized. Our previous work found the ER-Golgi intermediate compartment
(ERGIC) as a membrane source for the phagophore, the precursor to the
autophagosome. A relocation of the COPII machinery from the ER-exit sites (ERES)
to the ERGIC generates vesicles for LC3 lipidation. In recent work, we made a
further step by showing that a starvation-induced remodeling of ERES facilitates
the relocation of COPII to the ERGIC and the generation of the autophagic
membrane.
PMID- 29368984
TI - The reliability and validity of a designed setup for the assessment of static
back extensor force and endurance in older women with and without hyperkyphosis.
AB - OBJECTIVE: The purpose of this study was to investigate the intra-rater
reliability and validity of a designed load cell setup for the measurement of
back extensor muscle force and endurance. PARTICIPANTS: The study sample included
19 older women with hyperkyphosis, mean age 67.0 +/- 5.0 years, and 14 older
women without hyperkyphosis, mean age 63.0 +/- 6.0 years. METHODS: Maximum back
extensor force and endurance were measured in a sitting position with a designed
load cell setup. Tests were performed by the same examiner on two separate days
within a 72-hour interval. The intra-rater reliability of the measurements was
analyzed using intraclass correlation coefficient (ICC), standard errors of
measurement (SEM), and minimal detectable change (MDC). The validity of the setup
was determined using Pearson correlation analysis and independent t-test.
RESULTS: Using our designed load cell, the values of ICC indicated very high
reliability of force measurement (hyperkyphosis group: 0.96, normal group: 0.97)
and high reliability of endurance measurement (hyperkyphosis group: 0.82, normal
group: 0.89). For all tests, the values of SEM and MDC were low in both groups. A
significant correlation between two documented forces (load cell force and target
force) and significant differences in the muscle force and endurance among the
two groups were found. CONCLUSION: The measurements of static back muscle force
and endurance are reliable and valid with our designed setup in older women with
and without hyperkyphosis.
PMID- 29368985
TI - Noncanonical autophagy in dendritic cells triggers CNS autoimmunity.
AB - Reactivation and expansion of myelin-reactive CD4+ T cells within the central
nervous system (CNS) are considered to play a key role in the pathogenesis of
multiple sclerosis (MS) and its animal model, experimental autoimmune
encephalomyelitis (EAE). We demonstrated that accumulation of myelin-specific
CD4+ T cells within the CNS and subsequent clinical disease development require
autophagy related (ATG) protein-dependent phagocytosis in dendritic cells (DCs).
Genetic ablation of this pathway impairs presentation of myelin-associated
antigen following phagocytosis of injured, phosphatidylserine-exposing
oligodendroglial cells. Thus, DCs use ATG-dependent phagocytosis for enhanced
presentation of myelin antigen, thereby linking oligodendrocyte injury with
antigen processing and T cell-pathogenicity during autoimmune CNS inflammation.
PMID- 29368986
TI - Cholesterol and multilamellar bodies: Lysosomal dysfunction in GBA-Parkinson
disease.
AB - Lipid and cholesterol metabolism might play a role in the pathogenesis of
Parkinson disease (PD). However, the association between cholesterol and PD is
not clearly established. Cholesterol accumulation is closely related to the
expression of multilamellar bodies (MLBs). Also, cholesterol controls
autophagosome transport. Thus, impaired cholesterol and autophagosome trafficking
might lead to robust autophagic vacuole accumulation. Our recent work provides
the first evidence that the presence of the N370S GBA mutation produces an
accumulation of cholesterol, which alters autophagy-lysosome function with the
appearance of MLBs, rendering the cell more vulnerable and sensitive to
apoptosis.
PMID- 29368987
TI - Early Palliative Care and Its Impact on End-of-Life Care for Cancer Patients in
Brazil.
AB - BACKGROUND: Integrating palliative care into standard oncology care has been
recommended for cancer patients. Early palliative care is associated with less
aggressive treatment at the end of life. OBJECTIVE: To describe cancer patients
receiving palliative care in Brazil, determining the time from entry into
palliative care to death, and investigating whether late referral to palliative
care is associated with aggressive end-of-life treatment. DESIGN: This was a
cross-sectional study of cancer patients receiving palliative care in Brazil.
SETTING/SUBJECTS: Subjects were 2985 cancer patients >=18 years of age who
received at least two palliative care visits at the Sao Paulo State Cancer
Institute, in the city of Sao Paulo, Brazil, and died between 2010 and 2013.
MEASUREMENTS: We evaluated the time from the first palliative care consult to
death, stratifying cases by the timing of entry into palliative care (>=3 or <3
months before death). The associations between early palliative care and
indicators of aggressive treatment were assessed by Pearson's chi-square test and
Fisher's exact test. RESULTS: The overall median time between entry into
palliative care and death was 34 days (mean, 72 days) and was significantly
shorter in 2013 than in 2010 (p < 0.001). The number of emergency department
visits was significantly lower among the patients who entered palliative care
earlier (p < 0.001). CONCLUSIONS: Among cancer patients in Brazil, late referral
to palliative care is common. Patients who enter palliative care earlier appear
to receive less aggressive end-of-life treatment.
PMID- 29368988
TI - Activities of Dual Combinations of Antibiotics Against Multidrug-Resistant
Nontuberculous Mycobacteria Recovered from Patients with Cystic Fibrosis.
AB - Patients with cystic fibrosis (CF) are at risk for recurrent pulmonary infections
due to increased viscosity of airway secretions, leading to persistent
colonization with pathogenic bacteria, including nontuberculous mycobacteria
(NTM). Extensive antibiotic use for treatment of infections has led to increasing
antimicrobial resistance, which is a significant barrier to the treatment of
NTMs. We examined the in vitro activity of several antibiotics against a
selection of the most drug-resistant clinical isolates of Mycobacterium
abscessus, Mycobacterium chelonae, and Mycobacterium avium complex recovered from
CF patients at our institution, as well as paired combinations of antibiotics
against a subset of M. abscessus strains, to determine whether they exhibit
synergy in inhibiting bacterial growth. Most isolates displayed resistance to at
least six of the nine antibiotics tested for which phenotypic interpretation is
available, and elevated minimum inhibitory concentrations (MICs) were observed
for many of the other drugs. The major exception was clofazimine, which had
relatively low MICs for most isolates across all species. When synergy testing
was performed by using paired combinations of drugs, clofazamine and
clarithromycin exhibited 100% synergy for all combinations tested, as did
amikacin, with the exception of one isolate. These results suggest that
synergistic antibiotic combinations are capable of overcoming drug resistance in
vitro, and laboratories might consider implementation of synergy testing in
multidrug-resistant (MDR)-NTM organisms to guide treatment decisions in the
setting of extensive antimicrobial resistance.
PMID- 29368989
TI - Attachment representations in sexually abused preschoolers: a comparative study.
AB - Attachment theory provides a relevant framework for understanding the
psychosocial adjustment of victims of child sexual abuse (CSA). However, most
studies have been conducted among adult populations and none have focused on the
impact of both preschoolers' and mothers' experience of sexual abuse on
attachment security. The aim of this study was to compare attachment
representations in sexually abused (n = 258) and non-abused preschoolers (n =
133), and to explore the relation between maternal history of CSA and children's
attachment representations. A story completion task assessing attachment
representations was administered to children (Bretherton, Ridgeway, & Cassidy,
1990). The results revealed that CSA preschoolers presented significantly higher
levels of attachment disorganization compared to non-abused children, and that
abused boys were at particular risk for hyperactivation and disorganization.
Associations between mothers' history of CSA and children's attachment
representations were non-significant. These findings support the need to consider
victims' attachment representations in the implementation of efficient treatment
programs. Targeting parent-child relationships in interventions could help
promote attachment security in a vulnerable population of preschool victims.
PMID- 29368990
TI - 'On December 1, 2015, sex changes. Forever': Pre-exposure prophylaxis and the
pharmaceuticalisation of the neoliberal sexual subject.
AB - The global scale-up of AIDS treatment initiatives during the first decade of the
twenty-first century has been referred to as a kind of 'pharmaceuticalisation' of
public health, a trend that is now building in the area of HIV prevention. This
paper traces the emergence and increased uptake of pre-exposure prophylaxis
(PrEP), antiretroviral medications that can keep HIV negative individuals from
becoming infected, placing it within the broader (re)casting of HIV prevention as
a medical and technological problem that has been central to the recent 'end of
AIDS' discourse. While HIV prevention discourses have been grounded in a
neoliberal calculus of individual responsibility since the late 1990s, PrEP
constitutes a pharmaceutical extension of the responsibilised sexual subject.
Central to this extension are the acknowledgment of one's risk and a willingness
to take pre-emptive medical action to secure a future without HIV. For men who
have sex with men, a population heavily targeted for biomedical interventions in
the United States, PrEP marks a shift in moral discourses of what it means to be
a responsible sexual subject. Characteristics of the pharmaceutical extension of
the neoliberal sexual subject are explored through an examination of a New York
City-based PrEP promotional campaign.
PMID- 29368991
TI - Touching the dead: Autoethnographical reflections about the researcher's body in
the field of death, dying, and bereavement.
AB - This essay presents an account of the influence of the researcher's body within
qualitative death research. It suggests that appropriate reflection on the
researcher's subjectivity should consider his or her own bodily performances and
experiences. At the beginning I offer some introductory thoughts in this regard,
referring to Plessner's distinction between 'being a body' (Korper-haben) and
'having a body' (Leib-sein). Here, I highlight the importance of autoethnographic
approaches for the understanding of bodily experiences, such as sensations,
perceptions and their aesthetics. To demonstrate the importance of considering
the researcher's body within the research process, I then draw on my own
autoethnographic material, discussing how I experienced in my body frightening
and disturbing feelings while dealing with the dead. This material was collected
during a six-month internship from April to September 2016 at a small funeral
home in Thuringia, Germany. I explain how I was socialised regarding my bodily
behaviour towards the dead years ago and how I acquired the knowledge that
touching a corpse is often taboo; describe my bodily reactions when I saw a dead
body for the first time during my internship and how these reactions influenced
my fieldwork; relate how my senses and perceptions when first touching a corpse
led to extreme responses that drew most of my attention to the haptic and sensual
dimension, making me unable to notice other information in the field; and show
how these bodily experiences crossed borders and influenced my life beyond my
field research.
PMID- 29368993
TI - An unusual case of noma caused by Klebsiella pnuemoniae and its management.
AB - Noma or cancrum oris is an orofacial gangrene causing progressive mutilating
destruction of the infected tissues. It mainly affects malnourished children with
poor oral hygiene and concurrent debilitating systemic illnesses. It is a
polymicrobial infection and borrelia vincentii and fusobacterium are the most
important pathogens known. We present a case of a boy aged 2.5 years with noma
where klebsiella was grown and was the initial cause of failure of empiric
therapy.
PMID- 29368992
TI - Characterization of an Outbreak of Extended-Spectrum beta-Lactamase-Producing
Klebsiella pneumoniae in a Neonatal Intensive Care Unit in Italy.
AB - Here we report an outbreak of extended spectrum beta-lactamase-producing
Klebsiella pneumoniae that occurred in a neonatal intensive care unit in Northern
Italy and involved 97 patients. Progressively tightened sets of containment
measures were implemented but the epidemic event was stopped only 9 months later.
The final, effective, containment strategy consisted of the application of strict
geographic cohorting of colonized infants and their nursing staff, the suspension
of any new admission and a rigorous daily sterilization protocol for all surfaces
and fomites in the ward. A posteriori characterization of the outbreak strain was
performed using both traditional microbiology and molecular biology techniques,
and whole genome sequencing, allowing to compare outbreak isolates with other
strains collected in the previous two years. The results allowed to determine
that the outbreak strain had been circulating inside the ward since the year
before. Genomic characterization revealed that the strain carried a wide array of
virulence and antibiotic resistance determinants, including gene blaTEM-206,
which had never been reported in a clinical isolate of K. pneumoniae before. The
presence of such a high number of determinants for antibiotic resistance imposes
significant therapeutic limitations on the treatment of infections, thus, further
epidemiological investigations are needed to evaluate the prevalence of the newly
described variant.
PMID- 29368994
TI - Nurses' Intentions to Initiate an Antipsychotic or Behavioral Intervention with
Nursing Home Residents: The Role of Norms and Being Evaluated.
AB - OBJECTIVES: To examine whether exposure to a message to avoid antipsychotic
medications and a salient sense of managerial oversight influenced nurses'
treatment intentions. METHODS: 158 nurses from 28 long-term care facilities were
randomized to one of four conditions within a 2 * 2 between-participants design.
The nurses responded to a case study depicting a resident with dementia-related
behavioral problems with their intent to initiate an antipsychotic or a
psychosocial intervention. Self-efficacy, descriptive norms, and attitudes were
measured via self-report. RESULTS: Perceived local antipsychotic prevalence was
related to the nurses' treatment intentions. There were no main or interactive
effects of the avoid antipsychotic or manager oversight conditions on the nurses'
treatment intentions. However, there was a significant interaction of actual
facility antipsychotic prevalence and the avoid antipsychotics message on
intentions to initiate an antipsychotic. CONCLUSIONS: Nurses' perceptions of
local antipsychotic prevalence were positively associated with intentions to
initiate an antipsychotic and negatively associated with intentions to initiate a
psychosocial intervention. However, a salient message to avoid antipsychotics had
limited influence on nurses' treatment intentions and was associated with
increased intentions to initiate antipsychotics in facilities with higher rates
of antipsychotic use. The oversight condition did not demonstrate adequate
internal validity, which may have caused its lack of effect on treatment
intentions. CLINICAL IMPLICATIONS: Nurses' perceptions of the local prevalence of
antipsychotic use is associated with their treatment intentions. However,
increasing the visibility of a message discouraging antipsychotic use may have
limited utility in influencing nurses' intentions to initiate an antipsychotic or
psychosocial intervention.
PMID- 29368995
TI - Rab GTPases, master controllers of eukaryotic trafficking.
PMID- 29368996
TI - Iranian mothers' experiences of the outcomes of early motherhood: A qualitative
study.
AB - Early motherhood is a major health challenge in most developing countries. The
aim of this study was to explore Iranian mothers' experiences of the outcomes of
early motherhood. This qualitative study was done using the conventional
inductive content analysis approach. A purposive sample of 18 Iranian mothers,
with the experience of early motherhood, was recruited with maximum variation in
terms of their age at their first pregnancy, their children's age, place of
residence, and financial status. Data collection was done via in-depth
semistructured interviews and continued up to data saturation. The MAXQDA
software (v. 10.0) was employed for handling the data. Iranian mothers'
experiences of the outcomes of early motherhood came into two main categories
that were named "realization of the childhood dream of adulthood" and "heavy
burden of adulthood on the small shoulders of childhood," The four subcategories
of the first category were the acceleration of intellectual and mental
maturation, strengthening of family relationships, developing a strong identity,
and closer companionship with the child. The second main category also included
four subcategories, namely, experiencing numerous difficulties, threats to
mothers' physical and mental health, threats to children's health, and missing
opportunities. Early motherhood is not merely a negative experience; rather it is
also associated with different positive outcomes. Healthcare providers need to
provide high-quality prenatal, perinatal, and postnatal care services to
adolescent mothers and use available opportunities to strongly support them and
their children.
PMID- 29368997
TI - Suicide among men in Ghana: The burden of masculinity.
AB - In Ghana, some studies have reported a high rate for both fatal and non-fatal
suicidal behaviors among men. The current study aimed at understanding the
psychosocial circumstances involved in male suicides. We interviewed between two
to seven close relations of each of 12 men who died by suicide. Interpretative
phenomenological analysis of data indicates that experiences of shame related to
loss of economic control, breach of patriarchal norms, and threats to sexual
competence contributed to the suicides. Addressing socioeconomic issues, creating
opportunities for men to disclose their emotions, and identifying sources of
these emotions may help prevent suicide among men.
PMID- 29368999
TI - Corrigendum.
PMID- 29368998
TI - A paradigm for understanding trust and mistrust in medical research: The
Community VOICES study.
AB - BACKGROUND: To promote justice in research practice and rectify health
disparities, greater diversity in research participation is needed. Lack of trust
in medical research is one of the most significant obstacles to research
participation. Multiple variables have been identified as factors associated with
research participant trust/mistrust. A conceptual model that provides meaningful
insight into the interplay of factors impacting trust may promote more ethical
research practice and provide an enhanced, actionable understanding of
participant mistrust. METHODS: A structured survey was developed to capture
attitudes toward research conducted in emergency situations; this article focuses
on items designed to assess respondents' level of trust or mistrust in medical
research in general. Community-based interviews were conducted in English or
Spanish with 355 New York City residents (white 42%, African American 29%, Latino
22%). RESULTS: Generally favorable attitudes toward research were expressed by a
majority (85.3%), but many respondents expressed mistrust. Factor analysis
yielded four specific domains of trust/mistrust, each of which was associated
with different demographic variables: general trustworthiness (older age, not
disabled); perceptions of discrimination (African American, Latino, Spanish
language preference); perceptions of deception (prior research experience,
African American); and perceptions of exploitation (less education). CONCLUSIONS:
The four domains identified in the analysis provide a framework for understanding
specific areas of research trust/mistrust among disparate study populations. This
model offers a conceptual basis for the design of tailored interventions that
target specific groups to promote trust of individual researchers and research
institutions as well as to facilitate broader research participation.
PMID- 29369000
TI - Cardiorespiratory repercussions according to the abdominal circumference
measurement of men with obstructive respiratory disorder submitted to respiratory
physiotherapy.
AB - PURPOSE: To examine the effect of respiratory physiotherapy among men with
obstructive respiratory disorder, in relation to abdominal circumference (AC).
METHODS: Quasi-experimental study including 26 men split into two groups
according to AC(cm): 1) < 102 (ACrisk-free); and 2) >= 102 (ACrisk). Heart rate
variability (HRV), diastolic blood pressure (DBP), oxygen saturation (SpO2),
FEV1/FVC, slow vital capacity (SVC), inspiratory capacity (IC), maximal
inspiratory pressure (PImax), thoracoabdominal amplitude (AI) were measured:
before (M1); 5 min after the physiotherapy (i.e. breathing exercises for airway
clearance and active kinesiotherapy) (M2); and at follow-up, 30 min after
physiotherapy (M3). RESULTS: The groups differed in age, body mass index and body
fat %. At M2 IC was different between groups (ACrisk-free< ACrisk). There was an
increase in HRV indexes, PImax, SpO2, axillary AI, FEV1/FVC, and reduction in HR
for ACrisk-free. There was a decrease in AI and an increase in DBP for ACrisk.
CONCLUSION: In men with obstructive respiratory disorder, increased AC
measurement limited the thoracoabdominal expansibility and induced a rise of the
DBP. Respiratory physiotherapy promotes an increase of cardiac modulation and
inspiratory capacity for men with obstructive respiratory disorder.
PMID- 29369002
TI - Reliability of sternal instability scale (SIS) for transverse sternotomy in lung
transplantation (LTX).
AB - : A surgical incision for bilateral sequential lung transplantation (BSLTX) is
the "clam shell" (CSI) approach via bilateral anterior thoracotomies and a
transverse sternotomy to allow for sequential replacement of the lungs. This can
be associated with significant post-operative pain, bony overriding or sternal
instability. The sternal instability scale (SIS) is a non-invasive manual
assessment tool that can be used to detect early bony non-union or instability
following CSI; however, its reliability is unknown. OBJECTIVE: This prospective
blinded reliability study aimed to assess intra-rater and inter-rater reliability
of the SIS following lung transplantation. METHOD: Participants post BSLTX aged
older than 18 years underwent sternal assessment utilizing the SIS. Two assessors
examined the sternum using a standardized protocol at two separate time points
with a test-re-test time of 48 hours. The outcome measure was SIS tool using four
categories from 0 (clinically stable) to 3 (separated sternum with overriding).
RESULTS: In total, 20 participants (75% female) with a mean age of 48 years (SD
17) and mean pain score of 3 out of 10 were included, 60% having well healed
wounds and 25% reporting symptoms of sternal clicking. The most painful self
reported painful activity was coughing. The SIS demonstrated excellent
reliability with a kappa = 0.91 by different assessors on the same day, and kappa
= 0.83 for assessments by the same assessor on different days. CONCLUSION: The
SIS is a reliable manual assessment tool for evaluation of sternal instability
after CSI following BSLTX and may facilitate the timely detection and management
of sternal instability.
PMID- 29369001
TI - iTRAQ-based proteomics analysis of autophagy-mediated immune responses against
the vascular fungal pathogen Verticillium dahliae in Arabidopsis.
AB - The mechanisms underlying the functional link between autophagy and plant innate
immunity remain largely unknown. In this study, we investigated the autophagy
mediated plant defense responses against Verticillium dahliae (V. dahliae)
infection by comparative proteomics and cellular analyses. An assessment of the
autophagy activity and disease development showed that autophagic processes were
tightly related to the tolerance of Arabidopsis plant to Verticillium wilt. An
isobaric tags for relative and absolute quantification (iTRAQ)-based proteomics
analysis was performed, and we identified a total of 780 differentially
accumulated proteins (DAPs) between wild-type and mutant atg10-1 Arabidopsis
plants upon V. dahliae infection, of which, 193 ATG8-family-interacting proteins
were identified in silico and their associations with autophagy were verified for
several selected proteins. Three important aspects of autophagy-mediated defense
against V. dahliae infection were revealed: 1) autophagy is required for the
activation of upstream defense responses; 2) autophagy-mediated mitochondrial
degradation (mitophagy) occurs and is an important player in the defense process;
and 3) autophagy promotes the transdifferentiation of perivascular cells and the
formation of xylem hyperplasia, which are crucial for protection against this
vascular disease. Together, our results provide several novel insights for
understanding the functional association between autophagy and plant immune
responses.
PMID- 29369003
TI - Clinical characteristics and prognosis in patients with a first acute heart
failure hospitalization according to admission hyponatremia.
AB - Objective Admission hyponatremia is related to poor outcomes in patients with
heart failure (HF). Few studies have examined the influence of hyponatremia in
the prognosis of HF patients without previous admissions. Our aim is to determine
whether baseline hyponatremia predicts worse outcomes in a cohort of real-world
HF patients admitted because of a first episode of acute HF. Methods We reviewed
the medical records of 985 patients >50 years of age admitted within a two-year
period for a first episode of decompensation of HF. We divided the sample
according to the presence of hyponatremia, defined as serum sodium <135 mEq/L. We
compared one-year all-cause mortality rates between groups, using Cox regression
analyses. Results The patients' mean serum sodium at admission was 138 +/- 4; 150
(15.2%) patients had hyponatremia. Hyponatremic patients had lower hematocrit
values compared with the rest. Global mortality rates were higher across all
evaluations (one, three, and 12 months) in the hyponatremia group, although
statistical significance was not reached. After one year of follow-up no
differences in patients' baseline sodium values were found between those who died
and survivors (137.9 vs.138.6; p = 0.05). Natremia at admission considered as a
continuous variable (HR 0.971; IC 95% 0.945-0.997) was associated with mortality;
however, multivariate Cox regression analysis did not confirm this association.
Conclusions Admission hyponatremia is not uncommon even in patients admitted for
the first time because of acute HF. However, hyponatremia in this cohort of
patients does not seem to influence significantly in short- to mid-term
mortality.
PMID- 29369004
TI - A modified Essen Stroke Risk Score for predicting recurrent ischemic stroke at
one year.
AB - Objective The Essen Stroke Risk Score (ESRS) is widely used to predict the risk
of recurrent ischemic stroke but may not have sufficient discriminatory ability
for predicting early recurrence. In this study, we developed a modified ESRS for
predicting recurrent ischemic stroke at one year based on Chinese population.
Methods Eight hundred and three consecutive patients were included in the study.
We performed a univariate analysis to investigate the effect of previously
identified risk factors on recurrent stroke at one year. The candidate predictors
were included in a forward stepwise logistic regression model. Prediction scores
were assigned based on beta coefficient and a modified ESRS system was
constructed. We used receiver operating characteristic (ROC) curves to compare
the predictive ability of the modified and original ESRS. Results Recurrent
ischemic stroke occurred in 85 (11.00%) patients and death occurred in 68 (8.80%)
patients within one year. Hypertension over 15 years, diabetes over 10 years,
large artery atherosclerosis cerebral infarction by TOAST criteria and a history
of transient ischemic attack (TIA) or ischemic stroke (IS) were identified as
independent risk factors for recurrent stroke (all P < 0.05). The areas under the
ROC curves of ESRS and modified ESRS were 0.58 (P < 0.05, 95%CI: 0.51-0.64) and
0.70 (P < 0.05, 95% CI: 0.63-0.76), respectively. The modified ESRS appears to be
superior to the original ESRS for predicting recurrent ischemic stroke at one
year (P < 0.05). Conclusions The modified ESRS increased the ability of the
original ESRS to predict recurrent stroke at one year among the Chinese
population.
PMID- 29369005
TI - Remote ischemic postconditioning protects the brain from focal
ischemia/reperfusion injury by inhibiting autophagy through the mTOR/p70S6K
pathway.
AB - OBJECTIVE: Remote ischemic postconditioning (RIPostC) has been recognized as an
applicable strategy for protecting against cerebral ischemia/reperfusion (I/R)
injury. This study was performed to examine the effect of RIPostC on cerebral I/R
and to explore its underlying mechanism. METHODS: Healthy male SD rats (N = 36)
were assigned randomly into 3 groups of 12 each: sham group, I/R model group and
RIPostC group. Animal models were performed by filament insertion for 2 h with
middle cerebral artery occlusion(MCAO) followed by 24 h of reperfusion. RIPostC
was induced by 15 min occlusion of femoral arteries followed by 15 min of
reperfusion for 3 cycles at the beginning of middle cerebral artery reperfusion.
The neurological deficits, infarct size and brain edema were determined.
Autophagy was examined by transmission electron microscopy (TEM). The protein
levels of microtubule-associated protein light chain 3 (LC3-II), mammalian target
of rapamycin (mTOR), serine/threonine kinase p70S6 kinase (p70S6K), and their
phosphorylation (p-mTOR and p-p70S6K) in the brain tissue of the rats were
determined by western blotting. RESULTS: Our results suggested that RIPostC
significantly reduced I/R-induced brain injury, as exhibited by a significantly
decreased infarct size, mitigated brain edema and improved neurological deficits.
RIPostC also significantly reduced the LC3-II/LC3-I ratio and protein expression
of Beclin 1. Much less severe neuronal injury and fewer autophagosomes were
observed by TEM in the RIPostC group. CONCLUSIONS: These results suggest that
RIPostC attenuates cerebral I/R injury by inhibiting autophagy through the
activation of the mTOR/p70S6K signaling pathway.
PMID- 29369006
TI - Linear Energy Transfer Modulates Radiation-Induced NF-kappa B Activation and
Expression of its Downstream Target Genes.
AB - Nuclear factor kappaB (NF-kappaB) is a central transcription factor in the immune
system and modulates cell survival in response to radiotherapy. Activation of NF
kappaB was shown to be an early step in the cellular response to ultraviolet A
(UVA) and ionizing radiation exposure in human cells. NF-kappaB activation by the
genotoxic stress-dependent sub-pathway after exposure to different radiation
qualities had been evaluated to a very limited extent. In addition, the resulting
gene expression profile, which shapes the cellular and tissue response, is
unknown. Therefore, in this study the activation of NF-kappaB after exposure to
low- and high-linear energy transfer (LET) radiation and the expression of its
target genes were analyzed in human embryonic kidney (HEK) cells. The activation
of NF-kappaB via canonical and genotoxic stress-induced pathways was visualized
by the cell line HEK-pNF-kappaB-d2EGFP/Neo L2 carrying the destabilized enhanced
green fluorescent protein (d2EGFP) as reporter. The NF-kappaB-dependent d2EGFP
expression after irradiation with X rays and heavy ions was evaluated by flow
cytometry. Because of differences in the extent of NF-kappaB activation after
irradiation with X rays (significant NF-kappaB activation for doses >4 Gy) and
heavy ions (significant NF-kappaB activation at doses as low as 1 Gy), it was
expected that radiation quality (LET) played an important role in the cellular
radiation response. In addition, the relative biological effectiveness (RBE) of
NF-kappaB activation and reduction of cellular survival were compared for heavy
ions having a broad LET range (~0.3-9,674 keV/MUm). Furthermore, the effect of
LET on NF-kappaB target gene expression was analyzed by real-time reverse
transcriptase quantitative PCR (RT-qPCR). The maximal RBE for NF-kappaB
activation and cell killing occurred at an LET value of 80 and 175 keV/MUm,
respectively. There was a dose-dependent increase in expression of NF-kappaB
target genes NF-kappaB1A and CXCL8. A qPCR array of 84 NF-kappaB target genes
revealed that TNF and a set of CXCL genes (CXCL1, CXCL2, CXCL8, CXCL10), CCL2,
VCAM1, CD83, NF-kappaB1, NF-kappaB2 and NF-kappaBIA were strongly upregulated
after exposure to X rays and neon ions (LET 92 keV/MUm). After heavy-ion
irradiations, it was noted that the expression of NF-kappaB target genes such as
chemokines and CD83 was highest at an LET value that coincided with the LET
resulting in maximal NF-kappaB activation, whereas expression of the NF-kappaB
inhibitory gene NFKBIA was induced transiently by all radiation qualities
investigated. Taken together, these findings clearly demonstrate that NF-kappaB
activation and NF-kappaB-dependent gene expression by heavy ions are highest in
the LET range of ~50-200 keV/MUm. The upregulated chemokines and cytokines
(CXCL1, CXCL2, CXCL10, CXCL8/IL-8 and TNF) could be important for cell-cell
communication among hit as well as nonhit cells (bystander effect).
PMID- 29369007
TI - Combination therapy Eve and Pac to induce apoptosis in cervical cancer cells by
targeting PI3K/AKT/mTOR pathways.
AB - This study aimed to investigate the anti-cervical cancer effects of everolimus
(Eve) and paclitaxel (Pac) when used alone or in combination. Human cervical
cancer cells HeLa and SiHa were divided into four group: Blank control group
(control), everolimus group (Eve), paclitaxel group (Pac) and combined therapy
group (Eve + Pac). The cell viability was detected by CCK-8 assay and the cell
cloning ability was detected by clonegenic assay. Flow cytometry was used to
detect cell apoptosis. Meanwhile, the expression of phosphatidylinositol 3-kinase
(PI3K), protein kinase B (AKT), mammalian target of rapamycin (mTOR) and their
phosphorylated proteins were studied by western blot. The HeLa and SiHa cells
proliferation and cloning ability were significantly inhibited in drug treatment
groups compared with control group (p < .05), and the Eve + Pac combinatorial
therapy showed the better results than single treatment with Eve or Pac.
Combination of Eve and Pac has synergistic effect on the induction of apoptosis
in cervical cancer cells. In addition, the protein ratios in HeLa and SiHa cell
treated with the Eve + Pac combination were significantly lower than that of
cervical cancer cells treated with either Eve or Pac cell alone. Our study
suggested that Eve + Pac provide a novel therapeutic strategy for cervical
cancer.
PMID- 29369008
TI - Computational screening, ensemble docking and pharmacophore analysis of potential
gefitinib analogues against epidermal growth factor receptor.
AB - The observable mutated isoforms of epidermal growth factor receptor (EGFR) are
important considerable therapeutic benchmarks in moderating the non-small cell
lung cancer (NSCLC). Recently, quinazoline-based ATP competitive inhibitors have
been developed against the EGFR; however, these imply the mutation probabilities,
which contribute to the discovery of high probable novel inhibitors for EGFR
mutants. Therefore, SAR-based bioactivity analysis, molecular docking and
computational toxicogenomics approaches were performed to identify and evaluate
new analogs of gefitinib against the ligand-binding domain of the EGFR double
mutated model. From the diverse groups of molecular clustering and molecular
screening strategies, top high-binding gefitinib-analogues were identified and
studied against EGFR core cavity through three-phase ensemble docking approach.
Resulted high possible leads showed good binding orientations than gefitinib
(positive control) thus they were subjected to pharmacophore analysis that
possesses possible molecular assets to tight binding with EGFR domain. Residues
Ser720, Arg841 and Trp880 were observed as novel hot spots and involved in H
bonds, pi-stacking and pi-cation interactions that contribute additional
electrostatic potency to sustain stability and complexity of protein-ligand
complexes, thus they have ability to profoundly adopted by pharmacophoric
features. Furthermore, lead molecules have an inhibition percent probability,
anticancer potency, toxic impacts, flexible pharmacokinetics, potential gene
chemical interactions towards EGFR were revealed by computational systems biology
tools. Our multiple screening strategies confirmed that the druggable sub-pocket
was crucial to strong EGFR-ligand binding. The essential pharmacophoric features
of ligands provided viewpoints for new inhibitors envisaging, and predicted
scaffolds could used as anticancer agents against selected EGFR mutated isoforms.
PMID- 29369009
TI - Characterization of free fatty acid receptors expression in an obesity rat model
with high sucrose diet.
AB - INTRODUCTION/AIMS: In recent years, it has been shown that free fatty acids
receptors (FFAR) of whose function in the cell surface plays a significant role
in the regulation of cell function and nutrition as well are activated by various
endogenous ligands, but mainly by fatty acids. Within FFAR of our interest are
GPR 41, 43 and 120. The functions of these receptors are varied and dependent on
the tissue where they are. The activation and signaling of these receptors, FFAR,
are involved in many physiological processes, and currently the target of many
drugs in metabolic disorders like obesity, diabetes and atherosclerosis. MATERIAL
AND METHODS: Obesity was induced with hypercaloric diet (HD) in male Wistar rats
for 20 weeks (n = 10). At the end, adipose tissue (abdominal and subcutaneous)
was taken to perform assays for relative quantification mRNA expression by end
point RT-PCR and protein level expression by Western blot. RESULTS: These present
data have shown for the first time that total mRNA isolation and protein
expression from both adipose tissues (abdominal and subcutaneous) of rat in
obesity condition yield significative statistical difference among the control
versus obese groups, showing that the diet high in carbohydrates modifies the
total presence of mRNA and protein level expression of the receptors GPR41, 43
and 120. CONCLUSIONS: Further comparative methods are in process to clarify
whether or not the obesity changes the functional receptors in these two tissues
for new pharmacological approaches.
PMID- 29369010
TI - Effects of LPA1 and LPA6 on the regulation of colony formation activity in colon
cancer cells treated with anticancer drugs.
AB - Lysophosphatidic acid (LPA) is a simple physiological lipid and exhibits a
variety of cellular responses via the activation of G protein-coupled
transmembrane LPA receptors (LPA receptor-1 (LPA1) to LPA6). The aim of our study
was to investigate effects of LPA receptors on soft agar colony formation in
colon cancer cells treated with anticancer drugs. DLD1 cells were treated with
fluorouracil (5-FU) or cisplatin (CDDP) for at least six months (DLD-5FU and DLD
CDDP cells, respectively). LPAR1 gene expression was markedly elevated in DLD-5FU
cells. In contrast, DLD-CDDP cells showed the high expression of LPAR6 gene. In
colony formation assay, DLD-5FU cells formed markedly large-sized colonies, while
no colony formation was observed in DLD1 and DLD-CDDP cells. The large-sized
colonies formed in DLD-5FU cells were suppressed by LPA1 knockdown. In contrast,
LPA6 knockdown increased the size of colonies. In addition, DLD-5FU cells were
further treated with CDDP for three months (DLD-C-F cells). DLD-CDDP cells were
also treated with 5-FU (DLD-F-C cells). DLD-C-F cells formed large-sized
colonies, but not DLD-F-C cells, correlating with LPAR1 and LPAR6 gene expression
levels. These results suggest that LPA1 and LPA6 may regulate the colony
formation activity in DLD1 cells treated with anticancer drugs.
PMID- 29369011
TI - Structural insights of Staphylococcus aureus FtsZ inhibitors through molecular
docking, 3D-QSAR and molecular dynamics simulations.
AB - Filamentous temperature-sensitive protein Z (FtsZ) is a protein encoded by the
FtsZ gene that assembles into a Z-ring at the future site of the septum of
bacterial cell division. Structurally, FtsZ is a homolog of eukaryotic tubulin
but has low sequence similarity; this makes it possible to obtain FtsZ inhibitors
without affecting the eukaryotic cell division. Computational studies were
performed on a series of substituted 3-arylalkoxybenzamide derivatives reported
as inhibitors of FtsZ activity in Staphylococcus aureus. Quantitative structure
activity relationship models (QSAR) models generated showed good statistical
reliability, which is evident from r2ncv and r2loo values. The predictive ability
of these models was determined and an acceptable predictive correlation (r2Pred)
values were obtained. Finally, we performed molecular dynamics simulations in
order to examine the stability of protein-ligand interactions. This facilitated
us to compare free binding energies of cocrystal ligand and newly designed
molecule B1. The good concordance between the docking results and comparative
molecular field analysis (CoMFA)/comparative molecular similarity indices
analysis (CoMSIA) contour maps afforded obliging clues for the rational
modification of molecules to design more potent FtsZ inhibitors.
PMID- 29369012
TI - Executive functioning and processing speed in age-related differences in time
estimation: a comparison of young, old, and very old adults.
AB - Age-related differences in time estimation were examined by comparing the
temporal performance of young, young-old, and old-old adults, in relation to two
major theories of cognitive aging: executive decline and cognitive slowing. We
tested the hypothesis that processing speed and executive function are
differentially involved in timing depending on the temporal task used. We also
tested the assumption of greater age-related effects in time estimation in old
old participants. Participants performed two standard temporal tasks: duration
production and duration reproduction. They also completed tests measuring
executive function and processing speed. Findings supported the view that
executive function is the best mediator of reproduction performance and inversely
that processing speed is the best mediator of production performance. They also
showed that young-old participants provide relatively accurate temporal judgments
compared to old-old participants. These findings are discussed in terms of
compensation mechanisms in aging.
PMID- 29369013
TI - Children's perspectives on the benefits and burdens of research participation.
AB - BACKGROUND: Participation in research is associated with benefits and burdens for
individual research participants. Children living with a chronic illness are
considered particularly vulnerable as they are already burdened with symptoms of
their illness. In particular contexts, such as learning health care systems
(LHS), where research and clinical care are integrated, children with chronic
illnesses may be asked to participate in research related to their illness. A
growing body of literature has focused on children's perspectives as research
subjects; however, a relatively understudied aspect concerns children's
experiences of research in clinics where they are also patients. METHODS: We
interviewed 25 Canadian children and adolescents living with inflammatory bowel
disease (IBD) about their experiences of research participation. RESULTS: Our
participants described aspects of the research process and particular experiences
as benefits and others as burdens. Benefits included helping others, receiving
incentives, receiving the results of previous studies, and participating in fun
activities. Burdens included the time required for particular types of research,
physical and psychological discomfort, and feelings of obligation. CONCLUSIONS:
Our study describes the experiences of children participating in research at a
site that integrates research and clinical care. Our participants described
experiences that often go unreported (such as feelings of obligation); we mention
these as important considerations to be mindful of when interacting with children
as (potential) research participants in an LHS and when thinking about research
ethics protocols or the assent/consent process.
PMID- 29369014
TI - The categorization of amateur cyclists as research participants: findings from an
observational study.
AB - Sampling bias is an issue for research involving cyclists. The heterogeneity of
cyclist populations, on the basis of skill level and riding purpose, can generate
incorrect inferences about one specific segment of the population of interest. In
addition, a more accurate categorization would be helpful when physiological
parameters are not available. This study proposes using self-reported data to
categorize amateur cyclist types by varying skill levels and riding purposes,
therefore improving sample selection in experimental studies. A total of 986
cyclists completed an online questionnaire between February and October 2016. Two
step cluster analyses were performed to generate distinct groups, and dependent
variables of these groups were compared (demographics and characteristics of
cycling practice). The cluster analysis relied on 4 descriptors (cycling weekly
volume, average cycling speed, riding purpose, and cycling discipline) and
yielded five distinct groups: competitive road, recreational road, competitive
mountain bike (MTB), recreational MTB and competitive triathlon. Among these
groups, averages and distributions for age, height, body mass, body mass index,
training volume and intensity, and years of experience varied. This
categorization can potentially help researchers recruit specific groups of
cyclists based upon self-reported data and therefore better align the sample
characteristic with the research aims.
PMID- 29369015
TI - Developmental biology in bioartificial tissue design: manufacturing and
regulatory considerations.
PMID- 29369016
TI - Use of quantitative ultrasound as bone mineral density evaluation in an Italian
female population living with HIV: A real-life experience.
AB - This is a multicenter cross-sectional study where we aimed to detect the rate of
osteopenia/osteoporosis in an HIV female population (WLWHIV) by means of "heel
quantitative ultrasound" (QUS) measurement. We enrolled 273 patients, mean age
48.1 years, 36% menopausal, 96% on combination antiretroviral therapy (cART).
Calcaneal measure of bone mass index by QUS revealed osteopenia and osteoporosis
in 76 (27.8%) and 16 (5.9%) WLWHIV. Our data underline the correlation between
low QUS parameters and traditional risk factors for osteoporosis rather than with
cART exposure, thus suggesting the crucial importance of detection and correction
of traditional risk factors for osteoporosis in WLWHIV.
PMID- 29369017
TI - Quantitative Analysis of Aedes albopictus Movement Behavior Following Sublethal
Exposure To Prallethrin.
AB - The pyrethroid prallethrin, an AI in DUETTM (Clarke Mosquito Control, St.
Charles, IL), is widely marketed ultra-low volume (ULV) mosquito adulticide.
Volatilized prallethrin is intended to stimulate mosquito flight, increasing its
adulticide effectiveness. However, field tests using volatilized prallethrin have
not produced significant differences in mosquito trap catches, leading to
questions regarding prallethrin's behavioral impact efficacy. Thus, we conducted
laboratory tests of prallethrin's effect on flight behavior of adult female Asian
tiger mosquitoes, Aedes albopictus. Mosquitoes were divided into 3 groups:
untreated control, exposed to volatilized prallethrin, and exposed to a liquid
spray calibrated to simulate a ULV application at label rates. After exposure,
mosquito behavior in an airstream of 0.5 m/sec was recorded and analyzed using
motion-tracking software. No significant differences in flight behavior were
found between the control and treated mosquitoes exposed to volatilized
prallethrin. The ULV-sprayed mosquitoes exhibited a significant increase in the
number of flight events, the turning frequency, overall movement speed, and
flight speed compared to the control-a significant difference in locomotor
stimulation response that would increase exposure to a ULV spray cloud. However,
our results showed that volatilization alone was insufficient to increase ULV
efficacy in the field and suggested that incorporating a more volatile flight
stimulant into ULV adulticides would provide a measurable improvement in mosquito
control.
PMID- 29369018
TI - Vector Competence of Some Mosquito Species From Canada For Zika Virus.
AB - The recent introduction of Zika virus (ZIKV) into the Americas and the occurrence
of birth defects associated with infection during pregnancy have created a
concern about the spread of this virus into more northern countries in the
Americas. Therefore, we examined several species of mosquitoes found in southern
Manitoba, Canada, for their susceptibility to infection and their ability to
transmit ZIKV. Aedes cinereus, Ae. euedes, Ae. fitchii, Ae. sticticus, Ae.
vexans, Coquillettidia perturbans, Culex restuans, and Cx. tarsalis were captured
in the vicinity of Winnipeg, Manitoba; brought to the laboratory; and allowed to
feed on a ZIKV-sheep blood suspension to determine oral susceptibility. In
addition, some of the nonfed individuals were inoculated intrathoracically to
examine for the presence of a salivary gland barrier. Despite ingesting blood
containing 105.4 plaque-forming units/ml, infection rates were very low, and
infected individuals were only detected for Ae. vexans. Transmission was observed
for Ae. vexans, Cq. perturbans, and Cx. restuans that had been inoculated with
ZIKV, although rates were low. Based on the extremely low vector competence found
in this study and the lack of a preferential feeding on humans, it is unlikely
than any of the mosquito species tested in this study would be involved in any
large-scale transmission of ZIKV in Canada.
PMID- 29369019
TI - Effectiveness of Five Products To Control Culex pipiens Larvae In Urban
Stormwater Catch Basins.
AB - Effectiveness in controlling mosquito larvae in stormwater catch basins in the
North Shore Mosquito Abatement District (northeastern Cook County, IL) was
determined for 2 extended-duration larvicides indicating up to 180 days of
control on their labels (NatularTM XRT, FourStar(r) Briquet) and 3 larvicides
indicating up to 30 days of control (NatularTM T30, NatularTM G30, and
VectoLex(r) FG). Over the course of the 26-wk study, catch basins receiving the
extended-release products were treated twice (an initial treatment in early April
followed by a re-treatment after 16 wk), and catch basins receiving the shorter
duration products were treated every 28 days, with the 1st treatment occurring
during the 1st week in April. Control in an individual catch basin was considered
to have failed if late-stage larvae or pupae were found in 2-dip samples taken
from the catch basin. Control for a treatment was considered to have failed if
>25% of catch basins treated with the product failed at a given time period
posttreatment. All of the products evaluated in the study demonstrated some
degree of control; however, the Natular XRT-, FourStar Briquet-, and Natular T30
treated basins rarely achieved the effectiveness threshold of <=25% of catch
basins failing. By comparison, basins treated with Natular G30 were below that
threshold for 3 of 4 wk every treatment round and VectoLex(r) FG was consistently
below that threshold for all 4 wk posttreatment for every treatment round.
Compared with untreated catch basins, the total season pupal production was
reduced by approximately 48% in the Natular XRT-, FourStar Briquet-, and Natular
T30-treated basins, and by 87% and 99% in the Natular G30- and VectoLex FG
treated basins, respectively. Operational quality control observations indicated
that effective control (i.e., <=25% of catch basins failing) ranged from 3 to 12
wk posttreatment for catch basins treated with Natular XRT and from 5 to 9 wk
with VectoLex FG, and that there was considerable geographic variation in the
duration of effectiveness. The results indicate that 30-day re-treatments with
granular formulations in difficult-to-control areas may provide a more cost
effective outcome than using 1 or 2 applications of extended-duration larvicides.
PMID- 29369020
TI - Relative Insecticidal Efficacy of Three Spatial Repellent Integrated Light
Sources Against Aedes aegypti.
AB - Three repellent products, OFF! Mosquito Lamp, Insecticandel, and Rescue
DecoShield, were comparatively evaluated against Aedes aegypti in 130-m2 enclosed
areas with a 317-m3 air volume. The results showed that the OFF! Mosquito Lamp
with metofluthrin had a greater effect than the Insecticandel with transfluthrin,
which had greater effect than the DecoShield with lemongrass oil and several
other plant oils against Ae. aegypti. The OFF! Mosquito Lamp was the only product
to exceed 50% mortality. An outdoor semi-field evaluation was conducted to
determine the effect by distance of the product. Mosquitoes were stationed in
cages at 3, 6, 9, 12, and 15 m away from the treatment in a downwind linear array
and exposed for 10 min. They were recorded for knockdown after treatment and at
24 h for mortality. The OFF! Mosquito Lamp produced 100% mortality indoors and
>80% knockdown and 90% mortality within 6 m while outdoors against Ae. aegypti.
PMID- 29369021
TI - First Record of Aedes japonicus In Florida.
AB - The presence of Aedes j. japonicus in Florida is reported for the first time.
Four adult females were collected by a Mosquito Magnet(r) X trap baited with
pressurized CO2 in Okaloosa County, FL, in August 2012 and later identified as
Ae. japonicus in 2014. Additional adult and larval specimens were collected
during 2014-17 from Bay, Leon, Okaloosa, Santa Rosa, or Walton counties, Florida.
Notes are provided on the location, general habitats, and mosquito associates
that may be found with Ae. japonicus in northwestern Florida. The role of Ae.
japonicus in arbovirus transmission within Florida is currently unknown and
should be further explored.
PMID- 29369022
TI - First Record of Culex coronator In Shelby County, Tennessee.
AB - Culex coronator has been identified in Shelby County, TN, for the first time as
an established species. This is an important discovery since the range of Cx.
coronator is unknown in Tennessee and it has been found positive for West Nile
virus. Larvae and an adult were discovered during routine surveillance. Larvae
were collected at 9 different locations, while the 1 adult captured was at the
10th isolated location.
PMID- 29369023
TI - Field Trial To Evaluate Two Different Procedures For Monitoring the Efficacy of
Aquatain(r) Against Culex pipiens and Aedes albopictus IN CATCH BASINS.
AB - Aedes albopictus and Culex pipiens are commonly distributed in Italy and
represent the main species found in catch basins. The application of a silicone
based film (e.g., Aquatain(r)) is a new tool recently introduced for treating
catch basins. While the efficacy of Aquatain has been experimentally
demonstrated, its use is still lacking an appropriate monitoring procedure. The
present study compared the differences in the efficacy of treatment assessment
between a newly developed floating system (FS), which was designed to collect
emerging adults, with the standard dipper procedure, used for estimating the
abundance of mosquito larvae. Forty catch basins, half treated with Aquatain and
half untreated (control basins), were monitored weekly using dipper (10 treated +
10 control basins) or FS (10 + 10) 5 times after 2 subsequent treatments. Both
monitoring procedures recorded high percentages of larvae and adult reduction for
the 1st 1-3 wk after treatments, confirming the simultaneous activity of Aquatain
against all stages of mosquitoes. Differences in adult emergence were recorded
also when monitoring of larvae was ineffective, suggesting that the newly
developed FS is a promising method for assessing the efficacy of monomolecular
films in catch basins.
PMID- 29369024
TI - Diversity of Mosquitoes At the Itatiaia National Park, State of Rio De Janeiro,
Brazil.
AB - A temporal observational study was conducted with the aim of characterizing
Culicidae richness, diversity, abundance, and species similarity in fragments of
Atlantic Forest within the Itatiaia National Park, state of Rio de Janeiro,
Brazil. A total of 31 mosquito species were collected in 2 sampling stations,
during the day and night, and the abundance index and dominance coefficient for
each species were calculated. The results showed that the culicid density was
higher during the day, and the time preference was directly influenced by the
climate variables analyzed, which acted as limiting factors for mosquito
occurrence. The mosquito fauna at the Itatiaia National Park presented high
richness, including some epidemiologically important species: Anopheles cruzii,
Haemagogus leucocelaenus, Aedes scapularis, and Sabethes identicus. Studies of
this region supply information on biodiversity, and consequently help to
understand epidemiological aspects of potential pathogen vector species.
PMID- 29369028
TI - Laboratory Evaluation of Synthetic Blends of l-(+)-Lactic Acid, Ammonia, and
Ketones As Potential Attractants For Aedes aegypti.
AB - Attraction of Aedes aegypti to various binary, trinary, and quaternary blends of
lactic acid and ketones with or without ammonia was studied using a dual choice
olfactometer. A dose dependent attraction was observed in cases of single
compounds where cyclopentanone attracted the highest percentage (36.9 +/- 1.8%)
of Ae. aegypti when tested alone. No significant difference was observed between
the attraction levels of trinary and binary blends of lactic acid and acetone or
butanone when tested against clear air. However, in competitive bioassays, the
trinary blend of lactic acid, acetone, and butanone was significantly preferred
over binary blends of individual compounds ( P < 0.05). Acetylacetone was weakly
attractive when tested alone but showed additive attraction when blended with
lactic acid. However, acetylacetone acted as an attraction inhibitor when blended
with other compounds. Cyclopentanone was attractive, but enhancement of
attraction was not observed when blended with other components. Addition of
ammonia to binary or trinary blends of lactic acid, acetone, and/or butanone did
not increase the attraction significantly. In competitive bioassays, the blends
containing ammonia were significantly preferred over the blends lacking ammonia (
P < 0.05). This highlights ammonia as an essential component of synthetic blends.
A quaternary blend of lactic acid, ammonia, acetone, and butanone was most
attractive (65 +/- 1.5%) and preferred blend of all other combinations.
PMID- 29369029
TI - BG-SentinelTM Trap Efficacy As A Component of Proof-Of-Concept For Push-Pull
Control Strategy For Dengue Vector Mosquitoes.
AB - The efficacy of the BG-SentinelTM (BGS) trap as a "pull" component of a "push
pull" system (PPS) for management of the dengue vector, Aedes aegypti, was
evaluated using local households in Pu Tuey, Kanchanaburi, Thailand. The pull
component was the concluding phase of a 3-part investigation using a PPS
combination spatial repellent (SR) and BGS trap to capture adult vector
mosquitoes. Two sentinel households were selected for evaluation of BGS trap
efficacy based on the highest pretrial indoor resting densities of Ae. aegypti
using Centers for Disease Control and Prevention (CDC) mechanical backpack
collections. Potential Ae. aegypti resting sites around the selected houses were
identified as possible competing sites that might influence the BGS trap capture
efficiency. Results showed that BGS traps were productive in capturing Ae.
aegypti females (93.4% of all Aedes collected) in the presence of competing man
made, artificial resting sites. The CDC backpack aspirator collections provided
an indirect measure of local Aedes population, although technically not
comparable for supporting productivity of BGS traps due to different collection
days and households sampled. The predominant competing resting sites were water
containers found within 3 m around the outside of sentinel households. The most
productive BGS collections between houses differed by location. The most
productive period of operation for Ae. aegypti BGS trapping was between 1330 and
1730 h. The BGS trap appears an effective "pull" device in the PPS strategy in
natural settings.
PMID- 29369031
TI - Comparison of Adult Mosquito Abundance From Biogents-2 Sentinel and Biogents
Gravid Aedes Traps In Northeastern Florida.
AB - We compared the efficacy of the Biogents Gravid Aedes Trap (BG-GAT) and Biogents
2 Sentinel (BG2S) trap to assess differences in adult mosquito collection rates
between these 2 mosquito surveillance methods in northeastern Florida. Species
diversity of trap collections was significantly greater for BG2S than for BG-GAT,
with 14 mosquito species collected from the former trap and 4 species from the
latter. Aedes aegypti and Culex quinquefasciatus were the 2 predominant species
collected in both traps. Overall, BG2S traps collected significantly more
mosquitoes (in some instances nearly 5 times) than the BG-GAT, regardless of
species. There was no significant difference between the 2 traps with respect to
gravid status when total species and number of mosquitoes collected were
compared. However, at the species level, 8% of Ae. aegypti were gravid from the
BG-GAT collections compared with 1% from the BG2S. The number of gravid Cx.
quinquefasciatus was also considerably greater (59%) for the BG-GATs than for
BG2S traps (2%), but these relationships were not statistically significant due
to high variability in collections between traps.
PMID- 29369032
TI - A Small-Scale Investigation Into the Effect of A Larvicidal Oil On Oviposition
Site Preference By Aedes aegypti.
AB - Larvicidal oils can be used to control immature Aedes aegypti and other
pestiferous mosquitoes. To test whether oil-based larvicides also act as
oviposition deterrents, indoor and semi-field trials were conducted at the Navy
Entomology Center of Excellence in Jacksonville, FL. In both studies, treatment
cages consisted of oviposition cups lined with seed germination paper as an
oviposition substrate and filled with 1-wk-old southern live oak ( Quercus
virginiana) leaf litter-infused water. Treatment cages consisted of 2 cups
treated with CocoBearTM Mosquito Larvicidal Oil, while 2 cups were untreated.
Control cages contained oviposition cups with only oak leaf litter-infused water.
Gravid Ae. aegypti were released into cages and allowed to oviposit for 24 h,
after which eggs were counted. The number of eggs deposited in treatment and
control cages was not significantly different (indoor P = 0.0865; outdoor P =
0.9765). However, the number of eggs deposited in untreated cups was
significantly greater than that deposited in treated cups within treatment cages
(indoor P < 0.0001; outdoor P = 0.0050). These results suggest that the presence
of the larvicidal oil CocoBear may cause gravid female Ae. aegypti to seek
alternative oviposition sites.
PMID- 29369033
TI - Preliminary Results On the Efficacy of Macroalgal Extracts Against Larvae of
Aedes albopictus.
AB - Activity of ethanolic extracts of the algae Ulva rigida, Asparagopsis taxiformis,
Dictyota dichotoma, and Cystoseira barbata, collected along Italian coasts, was
tested against larvae of the Asian tiger mosquito ( Aedes albopictus), a vector
of pathogens for animals and humans. Tests were carried out using 10 specimens of
3rd-stage larvae per test, following the World Health Organization standard
protocol with minor modifications. Among algal extracts, only D. dichotoma was
active against the larvae of Ae. albopictus. Ethanolic extracts of that species
showed LC90 (the concentration that kills 90% of larvae) and LC50 (the
concentration that kills 50% of larvae) values at 44.32 and 85.92 mg/liter,
respectively. Based on the data obtained, D. dichotoma biometabolic extracts
could be potential candidates as larvicide compounds to control Ae. albopictus,
encouraging the use of macroalgae as natural resources in integrated vector
management strategies.
PMID- 29369034
TI - Regional Survey of Mosquito Control Knowledge and Usage In North Carolina.
AB - Mosquitoes are a nuisance and can transmit pathogens to humans and other animals,
resulting in notable morbidity and mortality. Organized mosquito control programs
conduct surveillance, source reduction, treatment of mosquito oviposition sites,
and adulticiding to protect public health. However, in some regions, there has
been a decline in county and municipal mosquito control programs, and homeowners
increasingly contract with private mosquito control companies or address mosquito
related issues themselves at the household level. The extent to which these
services are being used, the potential for mosquito and/or insecticide exposure
around the home, and the access to these services by individuals of different
socioeconomic categories are not known. We conducted regional (western, central,
eastern) assessments of public perception and knowledge of mosquito control
services in a variety of communities of different socioeconomic categories (low,
moderate, high) and types (urban, suburban, rural) in 3 North Carolina counties.
We also assessed the respondents' basic knowledge of mosquito-borne diseases.
Most respondents thought mosquito control was important to protect against both
nuisance mosquitoes and mosquito-borne disease. The majority of people would pay
<=$50/year for mosquito control and most thought this should be covered by
municipal/county taxes. Many respondents (31%, 95% confidence interval [CI] 26
35%) personally undertake mosquito control on their properties, but only 5.3%
(95% CI 3.1-7.5%) contract with professional mosquito control services, with no
significant differences between region, community type, or socioeconomic category
in the proportion using private mosquito control services. While there were
significant differences between factor levels (3 regions, 3 community types, 3
socioeconomic categories) in some responses, there were no significant
differences observed between respondents, regardless of factor level, in the
willingness to contribute funds to a community-based mosquito control program.
PMID- 29369035
TI - Identification of Differentially Expressed Genes In Deltamethrin-Resistant Culex
pipiens quinquefasciatus.
AB - Culex quinquefasciatus is one of China's major house-dwelling mosquito species
and an important vector of filariasis and encephalitis. Chemical treatments
represent one of the most successful approaches for comprehensive mosquito
prevention and control. However, the widespread use of chemical pesticides has
led to the occurrence and development of insecticide resistance. Therefore, in
depth studies of resistance to insecticides are of vital importance. In this
study, we performed a gene expression analysis to investigate genes from Cx.
quinquefasciatus that may confer pyrethroid resistance. We aimed to understand
the mechanisms of Cx. quinquefasciatus resistance to pyrethroid insecticides and
provide insights into insect resistance management. Using a resistance bioassay,
we determined the deltamethrin LC50 values (lethal concentration required to kill
50% of the population) for Cx. quinquefasciatus larvae in the F21, F23, F24, F26,
F27, and F30 generations. The 7 tested strains exhibited pesticide resistance
that was 25.25 to 87.83 times higher than that of the SanYa strain. Moreover, the
expression of the OBPjj7a (odorant-binding protein OBPjj7a), OBP28 (odorant
binding protein OBP28), and E2 (ubiquitin-conjugating enzyme) genes was
positively correlated with deltamethrin resistance ( R2 = 0.836, P = 0.011; R2 =
0.788, P = 0.018; and R2 = 0.850, P = 0.009, respectively) in Cx.
quinquefasciatus. The expression of 4 additional genes, H/ACA, S19, SAR2, and
PGRP, was not correlated with deltamethrin resistance. In summary, this study
identified 3 Cx. quinquefasciatus genes with potential involvement in
deltamethrin resistance, and these results may provide a theoretical basis for
the control of mosquito resistance and insights into resistance detection.
PMID- 29369036
TI - Molecular Identification of Four Members of the Anopheles dirus Complex Using the
Mitochondrial Cytochrome C Oxidase Subunit I Gene.
AB - Precise mosquito species identification is an essential step for proper
management and control of malaria vectors. Misidentification of members in the
Anopheles dirus complex, some which are primary malaria vectors in Thailand and
mainland Southeast Asia, remains problematic because of indistinguishable or
overlapping morphological characters between sibling species. Moreover, there is
a need for alternative methods, since the existing molecular techniques in the
literature are not entirely satisfactory in differentiating all members in the
An. dirus complex. The nucleotide polymorphisms in the mitochondrial cytochrome c
oxidase subunit I (COI) sequences were developed to identify the 4 species within
the An. dirus complex using an allele-specific (AS) multiplex polymerase chain
reaction (PCR). The identified primers amplified and clearly differentiated the 4
members of the complex found in Thailand, Anopheles dirus, An. cracens, An.
scanloni, and An. baimaii with PCR products 428/104, 236, 625, and 428 bp,
respectively. These results demonstrate that an AS-PCR based on the COI region
can accurately identify 4 members of An. dirus complex and would be useful as an
alternative PCR-based method for accurate species identification.
PMID- 29369037
TI - Correction notice to: Position preference and position change of hiders in the
game of hide-and-seek.
AB - Sanderson YB (2018). Position preference and position change of hiders in the
game of hide-and-seek. Quarterly Journal of Experimental Psychology. 71(5): 1172
1187. doi: 10.1080/17470218.2017.1322110. The following errors were present in
the Online First version of this article: Permissions acknowledgements were
missing from legends to Figures 1, 2 and 3. Figure 2 has been replaced with an
updated version. The Online First version of the article has been updated with
these changes and subsequent versions of the article will be corrected.
PMID- 29369038
TI - Causality and Pleiotropy in the Association Between Bullying Victimization in
Adolescence and Depressive Episodes in Adulthood.
AB - Children and adolescents who are victims or perpetrators of bullying
victimization are at elevated risk for maladjustment problems, concurrently and
in the long run. Previous studies suggest that this correlation is partly
explained by genetic influence. However, whether the genetic correlation is
independent of a causal effect of victimization on maladjustment remains unclear.
Using data from 2,510 females from the TwinsUK registry, we applied an innovative
extension of the Cholesky decomposition to investigate to what extent the
association between victimization in adolescence and self-reported depressive
episodes in adulthood is caused by shared genetic effects (pleiotropy), and to
what extent it is due to a phenotypic causal relationship. We find that around
60% of the association between victimization and self-reported depressive
episodes is due to a causal effect of victimization on depressive episodes, and
40% is due to pleiotropic effects. These findings underline the importance of
integrating genetic information into social science research and demonstrate a
neat strategy to elucidate causal mechanisms in the absence of experimental
designs.
PMID- 29369039
TI - Genetic and Environmental Contributions of Negative Valence Systems to
Internalizing Pathways.
AB - The genetic and environmental contributions of negative valence systems (NVS) to
internalizing pathways study (also referred to as the Adolescent and Young Adult
Twin Study) was designed to examine varying constructs of the NVS as they relate
to the development of internalizing disorders from a genetically informed
perspective. The goal of this study was to evaluate genetic and environmental
contributions to potential psychiatric endophenotypes that contribute to
internalizing psychopathology by studying adolescent and young adult twins
longitudinally over a 2-year period. This report details the sample
characteristics, study design, and methodology of this study. The first wave of
data collection (i.e., time 1) is complete; the 2-year follow-up (i.e., time 2)
is currently underway. A total of 430 twin pairs (N = 860 individual twins; 166
monozygotic pairs; 57.2% female) and 422 parents or legal guardians participated
at time 1. Twin participants completed self-report surveys and participated in
experimental paradigms to assess processes within the NVS. Additionally, parents
completed surveys to report on themselves and their twin children. Findings from
this study will help clarify the genetic and environmental influences of the NVS
and their association with internalizing risk. The goal of this line of research
is to develop methods for early internalizing disorder risk detection.
PMID- 29369043
TI - Evaluation of 209At as a theranostic isotope for 211At
radiopharmaceutical development using high-energy SPECT.
AB - The development of alpha-emitting radiopharmaceuticals using 211At
requires quantitative determination of the time-dependent nature of the
211At biodistribution. However, imaging-based methods for acquiring
this information with 211At have not found wide-spread use because of
its low abundance of decay emissions suitable for external detection. In this
publication we demonstrate the theranostic abilities of the
211At/209At isotope pair and present the first-ever
209At SPECT Images. Methods: The VECTor microSPECT/PET/CT
scanner was used to image 209At with a collimator suitable for the 511
keV annihilation photons of PET isotopes. Data from distinct photopeaks of the
209At energy spectrum (195 keV (22.6%), 239 keV (12.4%), 545 keV
(91.0%), a combined 782/790 keV peak (147%), and 209Po x-rays
(139.0%)) were independently evaluated for use in image reconstructions using
Monte Carlo (GATE) simulations and phantom studies. 209At-imaging
in vivo was demonstrated in a healthy mouse injected with 10 MBq of free
[209At]astatide. Image-based measurements of 209At uptake
in organs of interest - acquired in 5-minute intervals - were compared to ex
vivo gamma counter measurements of the same organs. Results: Simulated
and measured data indicated that - due to the large amount of scatter from high
energy (>750 keV) gammas - reconstructed images using the x-ray peak outperformed
those obtained from other peaks in terms of uniformity and spatial resolution,
determined to be <0.85 mm. 209At imaging using the x-ray peak revealed
a biodistribution that matched the known distribution of free astatide, and in
vivo image-based measurements of 209At uptake in organs of
interest matched ex vivo measurements within 10%. Conclusion: We
have acquired the first 209At SPECT images and demonstrated the
ability of quantitative SPECT imaging with 209At to accurately
determine astatine biodistributions with high spatial and temporal resolution.
PMID- 29369044
TI - A robust deep convolutional neural network for the classification of abnormal
cardiac rhythm using single lead electrocardiograms of variable length.
AB - OBJECTIVE: Atrial fibrillation (AF) is a major cause of hospitalization and death
in the United States. Moreover, as the average age of individuals increases
around the world, early detection and diagnosis of AF become even more pressing.
In this paper, we introduce a novel deep learning architecture for the detection
of normal sinus rhythm, AF, other abnormal rhythms, and noise. APPROACH: We have
demonstrated through a systematic approach many hyperparameters, input sets, and
optimization methods that yielded influence in both training time and performance
accuracy. We have focused on these properties to identify an optimal 13-layer
convolutional neural network (CNN) model which was trained on 8528 short single
lead ECG recordings and evaluated on a test dataset of 3658 recordings. MAIN
RESULTS: The proposed CNN architecture achieved a state-of-the-art performance in
identifying normal, AF and other rhythms with an average F 1-score of 0.83.
SIGNIFICANCE: We have presented a robust deep learning-based architecture that
can identify abnormal cardiac rhythms using short single-lead ECG recordings. The
proposed architecture is computationally fast and can also be used in real-time
cardiac arrhythmia detection applications.
PMID- 29369040
TI - Testing Genetic and Environmental Associations Between Personality Disorders and
Cocaine Use: A Population-Based Twin Study.
AB - Until now, data have not been available to elucidate the genetic and
environmental sources of comorbidity between all 10 DSM-IV personality disorders
(PDs) and cocaine use. Our aim was to determine which PD traits are linked
phenotypically and genetically to cocaine use. Cross-sectional data were obtained
in a face-to-face interview between 1999 and 2004. Subjects were 1,419 twins
(uage = 28.2 years, range = 19-36) from the Norwegian Institute of Public Health
Twin Panel, with complete lifetime cocaine use and criteria for all 10 DSM-IV
PDs. Stepwise multiple and Least Absolute Shrinkage and Selection Operator
(LASSO) regressions were used to identify PDs related to cocaine use. Twin models
were fitted to estimate genetic and environmental associations between the PD
traits and cocaine use. In the multiple regression, antisocial (OR = 4.24, 95% CI
[2.66, 6.86]) and borderline (OR = 2.19, 95% CI [1.35, 3.57]) PD traits were
significant predictors of cocaine use. In the LASSO regression, antisocial,
borderline, and histrionic were significant predictors of cocaine use. Antisocial
and borderline PD traits each explained 72% and 25% of the total genetic risks in
cocaine use, respectively. Genetic risks in histrionic PD were not significantly
related to cocaine use. Importantly, after removing criteria referencing
substance use, antisocial PD explained 65% of the total genetic variance in
cocaine use, whereas borderline explained only 4%. Among PD traits, antisocial is
the strongest correlate of cocaine use, for which the association is driven
largely by common genetic risks.
PMID- 29369045
TI - Spine morphology and energetics: how principles from nature apply to robotics.
AB - Inspired by the locomotive advantages that an articulated spine enables in
quadrupedal animals, we explore and quantify the energetic effect that an
articulated spine has in legged robots. We compare two model instances of a
conceptual planar quadruped: one with a traditional rigid main body and one with
an articulated main body with an actuated spinal joint. Both models feature four
distinct legs, series elastic actuation, distributed mass in all body segments,
and limits on actuator torque and speed. Using optimal control to find the
energetically optimal joint trajectories, actuator inputs, and footfall timing,
we examine and compare the positive mechanical work cost of transport of both
models across multiple gaits and speeds. Our results show that an articulated
spine increases the maximum possible speed and improves the locomotor economy at
higher velocities, especially for asymmetrical gaits. The driving factors for
these improvements are the same mechanistic effects that facilitate asymmetrical
gaits in nature: improved leg recirculation, elastic energy storage in the spine,
and enlarged stride lengths.
PMID- 29369046
TI - Superconductivity in tantalum self-intercalated 4Ha
Ta1.03Se2.
AB - TaSe$_2$ has several different polytypes and abundant physical properties such as
superconductivity and charge density waves (CDW), which had been investigated in
the past few decades. However, there is no report on the physical properties of
4$Ha$
polytype up to now. Here we report the crystal growth and discovery of
superconductivity in tantalum self-intercalated
4$Ha$-Ta$_{1.03}$Se$_2$
single crystal with a superconducting transition onset temperature $T_{?rm c}$
$?approx$ 2.7 K, which
is the first observation of superconductivity in
4$Ha$ polytype of TaSe$_2$. A slightly suppressed CDW transition is found around
106
K. A large $?mu_0H_{?rm c2}/T_{?rm c}$ value of about 4.48 is found when
magnetic field is applied in the $ab$ plane, which probably results from the
enhanced spin-orbit coupling(SOC). Special stacking faults are observed, which
further enhance the anisotropy. Although the density of states at the Fermi level
is lower than that of other polytypes, $T_{?rm c}$ remains the same, indicating
the stack mode of 4$Ha$ polytype may be beneficial to superconductivity in
TaSe$_2$.
PMID- 29369047
TI - Monte Carlo evaluation of magnetically focused proton beams for radiosurgery.
AB - The purpose of this project is to investigate the advantages in dose distribution
and delivery of proton beams focused by a triplet of quadrupole magnets in the
context of potential radiosurgery treatments. Monte Carlo simulations were
performed using various configurations of three quadrupole magnets located
immediately upstream of a water phantom. Magnet parameters were selected to match
what can be commercially manufactured as assemblies of rare-earth permanent
magnetic materials. Focused unmodulated proton beams with a range of ~10 cm in
water were target matched with passive collimated beams (the current beam
delivery method for proton radiosurgery) and properties of transverse dose, depth
dose and volumetric dose distributions were compared. Magnetically focused beams
delivered beam spots of low eccentricity to Bragg peak depth with full widths at
the 90% reference dose contour from ~2.5 to 5 mm. When focused initial beam
diameters were larger than matching unfocused beams (10 of 11 cases) the focused
beams showed 16%-83% larger peak-to-entrance dose ratios and 1.3 to 3.4-fold
increases in dose delivery efficiency. Peak-to-entrance and efficiency benefits
tended to increase with larger magnet gradients and larger initial diameter
focused beams. Finally, it was observed that focusing tended to shift dose in the
water phantom volume from the 80%-20% dose range to below 20% of reference dose,
compared to unfocused beams. We conclude that focusing proton beams immediately
upstream from tissue entry using permanent magnet assemblies can produce beams
with larger peak-to-entrance dose ratios and increased dose delivery
efficiencies. Such beams could potentially be used in the clinic to irradiate
small-field radiosurgical targets with fewer beams, lower entrance dose and
shorter treatment times.
PMID- 29369048
TI - Reaction paths of alane dissociation on the Si(0 0 1) surface.
AB - Building on our earlier study, we examine the kinetic barriers to decomposition
of alane, AlH3, on the Si(0 0 1) surface, using the nudged elastic band approach
within density functional theory. We find that the initial decomposition to AlH
with two H atoms on the surface proceeds without a significant barrier. There are
several pathways available to lose the final hydrogen, though these present
barriers of up to 1 eV. Incorporation is more challenging, with the initial
structures less stable in several cases than the starting structures, just as was
found for phosphorus. We identify a stable route for Al incorporation following
selective surface hydrogen desorption (e.g. by scanning tunneling microscope
tip). The overall process parallels PH3, and indicates that atomically precise
acceptor doping should be possible.
PMID- 29369049
TI - Comparison of kQ factors measured with a water calorimeter in
flattening filter free (FFF) and conventional flattening filter (cFF) photon
beams.
AB - Recently flattening filter free (FFF) beams became available for application in
modern radiotherapy. There are several advantages of FFF beams over conventional
flattening filtered (cFF) beams, however differences in beam spectra at the point
of interest in a phantom potentially affect the ion chamber response. Beams are
also non-uniform over the length of a typical reference ion chamber and
recombination is usually larger. Despite several studies describing FFF beam
characteristics, only a limited number of studies investigated their effect on
kQ factors. Some of those studies predicted significant
discrepancies in kQ factors (0.4 % up to 1.0 %) if
TPR20,10 based codes of practice (CoPs) would be used. This study
addresses the question to which extent kQ factors, based on a
TPR20,10 CoP, can be applied in clinical reference dosimetry. It is
the first study that compares kQ factors measured directly with
an absorbed dose to water primary standard in FFF-cFF pairs of clinical photon
beams. This was done with a transportable water calorimeter described elsewhere.
The measurements corrected for recombination and beam radial non-uniformity were
performed in FFF-cFF beam pairs at 6 MV and 10 MV of an Elekta Versa HD for a
selection of three different Farmer-type ion chambers (8 serial numbers). The
ratio of measured kQ factors of the FFF-cFF beam pairs were
compared with the TPR20,10 CoPs of the NCS and IAEA and the
%dd(10)x CoP of the AAPM. For the TPR20,10 based
CoPs differences less than 0.23 % were found in kQ factors
between the corresponding FFF-cFF beams with standard uncertainties smaller than
0.35 %, while for the %dd(10)x these differences were smaller
than 0.46 % and within the expanded uncertainty of the measurements. Based on the
measurements made with the equipment described in this study the authors conclude
that the kQ factors provided by the NCS-18 and IAEA TRS-398
codes of practice can be applied for flattening filter free beams without
additional correction. However, existing codes of practice cannot be applied
ignoring the significant volume averaging effect of the FFF beams over the ion
chamber cavity. For this a corresponding volume averaging correction must be
applied.
PMID- 29369050
TI - Endocardial center motion for quantification of left ventricular discoordination
in heart failure using cine MRI.
AB - OBJECTIVE: To compare a novel cardiovascular magnetic resonance technique for the
assessment of left ventricular (LV) mechanical discoordination by characterizing
the endocardial center motion (ECM) in short-axis cine MRI in healthy volunteers
and heart failure patients with left bundle branch block (HF-LBBB). APPROACH: To
evaluate ECM analysis as mechanical discoordination measure, we retrospectively
compared spatial and temporal features of the ECM between a group of healthy
volunteers (n = 14) and conduction defect patients (HF-LBBB, n = 31). We
tracked the center of the endocardial borders on short-axis view MRI cine loops
during the cardiac cycle. From the ECM trajectory we calculated the overall
traveled distance, the enclosed area, the eccentricity of the trajectory, and the
maximum traveled distance. The ECM can be visualized in spatial coordinates as
well as by its temporal behavior. We evaluated the classification performance of
these measures for LBBB detection. We also quantified the coherence of the ECM on
the longitudinal direction by considering the variability of the ECM measures
between different short-axis slices. MAIN RESULTS: Patients with LBBB showed
significantly higher traveled distance (p < 0.0001), enclosed area (p <
0.002), eccentricity (p < 0.02), and peak displacement (p < 0.02) of the
endocardial center. Patients with positive late gadolinium enhancement showed a
higher variability of ECM measures across different slices (p < 0.05).
SIGNIFICANCE: ECM analysis is feasible and it allows the assessment of left
ventricular mechanical discoordination. Differences in ECM measures permit one to
distinguish between LBBB and healthy volunteers.
PMID- 29369051
TI - First Description of QTc Prolongation Associated With Clonazepam Overdose in a
Pediatric Patient.
PMID- 29369052
TI - Iodine-Associated Sialadenitis: An Inflammatory Condition.
PMID- 29369053
TI - Acute Phase Predictors of 6-Month Functional Outcome in Italian Stroke Patients
Eligible for In-Hospital Rehabilitation.
AB - PURPOSE: The aim of the study was to assess early poststroke prognostic factors
in patients admitted for postacute phase rehabilitation. METHODS: A 1-yr
multicenter prospective project was conducted in four Italian regions on 352
patients who were hospitalized after a first stroke and were eligible for
postacute rehabilitation. Clinical data were collected in the stroke or acute
care units (acute phase), then in rehabilitation units (postacute phase), and,
subsequently, after a 6-mo poststroke period (follow-up). Clinical outcome
measures were represented using the Barthel Index and the modified Rankin Scale.
Univariate and multivariate analyses were performed to identify the most
important prognostic index. RESULTS: Modified Rankin Scale score, minor
neurologic impairment, and early out-of-bed mobilization (within 2 days after the
stroke) proved to be important factors related to a better recovery according to
Barthel Index (power of prediction = 37%). Similarly, age, premorbid modified
Rankin Scale score, and early out-of-bed mobilization were seen to be significant
factors in achieving better overall participation and activity according to the
modified Rankin Scale (power of prediction = 48%). Barthel Index at admission and
certain co-morbidities were also significant prognostic factors correlated with a
better outcome. CONCLUSIONS: According to the Barthel Index and modified Rankin
Scale, early mobilization is an early predictor of favorable outcome. TO CLAIM
CME CREDITS: Complete the self-assessment activity and evaluation online at
http://www.physiatry.org/JournalCME CME OBJECTIVES: Upon completion of this
article, the reader should be able to: (1) Incorporate prognostic factors of good
clinical outcomes after stroke in developing treatment plans for patients
admitted to rehabilitation; (2) Identify acute phase indicators associated with
favorable 6-mo outcome after stroke; and (3) Recognize the cut-off for early
mobilization linked to better outcome in stroke survivors admitted to
rehabilitation. LEVEL: Advanced ACCREDITATION: The Association of Academic
Physiatrists is accredited by the Accreditation Council for Continuing Medical
Education to provide continuing medical education for physicians.The Association
of Academic Physiatrists designates this Journal-based CME activity for a maximum
of 1.0 AMA PRA Category 1 Credit(s)TM. Physicians should only claim credit
commensurate with the extent of their participation in the activity.
PMID- 29369054
TI - Lack of paclitaxel effects on intracranial self-stimulation in male and female
rats: comparison to mechanical sensitivity.
AB - Paclitaxel is a cancer chemotherapy with adverse effects that include peripheral
neuropathy, neuropathic pain, and depression of behavior and mood. In rodents,
hypersensitive paw-withdrawal reflexes from mechanical stimuli serve as one
common measure of paclitaxel-induced pain-related behavior. This study tested the
hypothesis that paclitaxel would also depress rates of positively reinforced
operant responding as a measure of pain-related behavioral depression. Male and
female Sprague-Dawley rats were equipped with electrodes targeting the medial
forebrain bundle, trained to lever press for electrical brain stimulation in an
assay of intracranial self-stimulation (ICSS), and treated with four injections
of varying paclitaxel doses (0.67, 2.0, or 6.0 mg/kg/injection*4 injections on
alternate days). Mechanical sensitivity, body weight, and ICSS were evaluated
before, during, and for 3 weeks after paclitaxel treatment. Paclitaxel doses
sufficient to produce mechanical hypersensitivity did not reliably depress ICSS
in male or female rats. Moreover, the degree of behavioral suppression in
individual rats did not correlate with mechanical sensitivity. Paclitaxel
treatment regimens commonly used to model chemotherapy-induced neuropathic pain
in rats are not sufficient to depress ICSS.
PMID- 29369055
TI - Corticosteroids in Pediatric Septic Shock Are Not Helpful.
PMID- 29369056
TI - Prompt Administration of Antibiotics and Fluids in the Treatment of Sepsis: A
Murine Trial.
AB - OBJECTIVES: Sepsis, the acute organ dysfunction caused by a dysregulated host
response to infection, poses a serious public health burden. Current management
includes early detection, initiation of antibiotics and fluids, and source
control as necessary. Although observational data suggest that delays of even a
few hours in the initiation of antibiotics or IV fluids is associated with
survival, these findings are controversial. There are no randomized data in
humans, and prior animal studies studied time from experimental manipulation, not
from the onset of clinical features of sepsis. Using a recently developed murine
cecal ligation and puncture model that precisely monitors physiologic
deterioration, we hypothesize that incremental hourly delays in the first dose of
antibiotics, in the first bolus of fluid resuscitation, or a combination of the
two at a clinically relevant point of physiologic deterioration during
polymicrobial sepsis will shorten survival. DESIGN: Randomized laboratory animal
experimental trial. SETTING: University basic science laboratory. SUBJECTS: Male
C57BL/6J, female C57BL/6J, aged (40-50 wk old) male C57BL/6J, and BALB/C mice.
INTERVENTIONS: Mice (n = 200) underwent biotelemetry-enhanced cecal ligation and
puncture and were randomized after meeting validated criteria for acute
physiologic deterioration. Treatment groups consisted of a single dose of
imipenem/cilastatin, a single bolus of 30 mL/kg fluid resuscitation, or a
combination of the two. Mice were allocated to receive treatment at the time of
meeting deterioration criteria, after a 2-hour delay or after a 4-hour delay.
MEASUREMENTS AND MAIN RESULTS: Hourly delays in the initiation of antibiotic
therapy led to progressively shortened survival in our model (p < 0.001). The
addition of fluid resuscitation was unable to rescue animals, which received
treatment 4 hours after meeting enrollment criteria. Systemic inflammation was
increased, and host physiology was increasingly deranged with hourly delays to
antibiotics. CONCLUSIONS: We conclude that antibiotic therapy is highly time
sensitive, and efforts should be made to deliver this critical therapy as early
as possible in sepsis, perhaps extending into the first point of medical contact
outside the hospital.
PMID- 29369058
TI - Delayed Presentation of Compartment Syndrome of the Thigh in a Previously
Undiagnosed Factor VII-Deficient High School Football Athlete: A Case Report.
AB - CASE: We describe a case of delayed presentation of compartment syndrome in the
anterior aspect of the thigh in a high school athlete. The patient had sustained
a blow to the thigh 8 days prior to presentation, and had continued to practice
football in the setting of undiagnosed coagulopathy. He presented with severe
thigh pain and the inability to contract the thigh muscles. CONCLUSION: A high
index of suspicion for compartment syndrome is indicated for patients with
disproportionate pain, especially in the setting of relatively minor trauma.
Underlying coagulopathy should be investigated in patients with compartment
syndrome because there is a high incidence of bleeding disorders in this
population.
PMID- 29369057
TI - Clinical Epidemiology of Adults With Moderate Traumatic Brain Injury.
AB - OBJECTIVES: To characterize admission patterns, treatments, and outcomes among
patients with moderate traumatic brain injury. DESIGN: Retrospective cohort
study. SETTING: National Trauma Data Bank. PATIENTS: Adults (age > 18 yr) with
moderate traumatic brain injury (International Classification of Diseases, Ninth
revision codes and admission Glasgow Coma Scale score of 9-13) in the National
Trauma Data Bank between 2007 and 2014. INTERVENTIONS: None. MEASUREMENT AND MAIN
RESULTS: Demographics, mechanism of injury, hospital course, and facility
characteristics were examined. Admission characteristics associated with
discharge outcomes were analyzed using multivariable Poisson regression models.
Of 114,066 patients, most were white (62%), male (69%), and had median admission
Glasgow Coma Scale score of 12 (interquartile range, 10-13). Seventy-seven
percent had isolated traumatic brain injury. Concussion, which accounted for 25%
of moderate traumatic brain injury, was the most frequent traumatic brain injury
diagnosis. Fourteen percent received mechanical ventilation, and 66% were
admitted to ICU. Over 50% received care at a community hospital. Seven percent
died, and 32% had a poor outcome, including those with Glasgow Coma Scale score
of 13. Compared with patients 18-44 years, patients 45-64 years were twice as
likely (adjusted relative risk, 1.97; 95% CI, 1.92-2.02) and patients over 80
years were five times as likely (adjusted relative risk, 4.66; 95% CI, 4.55-4.76)
to have a poor outcome. Patients with a poor discharge outcome were more likely
to have had hypotension at admission (adjusted relative risk, 1.10; 95% CI, 1.06
1.14), lower admission Glasgow Coma Scale (adjusted relative risk, 1.37; 95% CI,
1.34-1.40), higher Injury Severity Score (adjusted relative risk, 2.97; 95% CI,
2.86-3.09), and polytrauma (adjusted relative risk, 1.05; 95% CI, 1.02-1.07),
compared with those without poor discharge outcomes. CONCLUSIONS: Many patients
with moderate traumatic brain injury deteriorate, require neurocritical care, and
experience poor outcomes. Optimization of care and outcomes for this vulnerable
group of patients are urgently needed.
PMID- 29369059
TI - Use of an Ultrasonic Bone Curet for the Extraction of a Cervical Artificial Disc:
A Novel Application: A Case Report.
AB - CASE: Eighteen months after implantation of a C6-C7 cervical artificial disc
(ProDisc-C; DePuy Synthes), a 44-year-old woman presented with right-sided C7
radiculopathy. Imaging revealed posterior subsidence of the prosthesis, with C7
foraminal stenosis. An ultrasonic curet was used to safely and effectively
extract the prosthesis without neurologic complication; safe access for the
insertion of an intervertebral cage was facilitated. CONCLUSION: The ultrasonic
curet was a safe and effective adjunct for revision artificial cervical disc
replacement surgery; this technique may help to reduce potential injury to neural
structures in a procedure with a traditionally high complication rate.
PMID- 29369060
TI - Tendon Transfers to Restore Ankle Dorsiflexion After Anterior Compartment
Myonecrosis: A Case Report.
AB - CASE: A 47-year-old Caucasian woman spontaneously developed an isolated anterior
compartment pressure of >100 mm Hg in the left leg. Despite fasciotomies, the
musculature was nonviable and required debridement. Following Achilles tendon
lengthening, a posterior tibial tendon (PTT) to anterior tibial tendon (ATT)
transfer was supplemented with a flexor digitorum longus tendon transfer to the
remaining PTT in order to prevent a flatfoot deformity while restoring active
dorsiflexion. CONCLUSION: Preservation of the tendinous portion of the ATT during
debridement allowed for transfer of the PTT to the ATT using a modified
Pulvertaft transfer. This technique allowed early ambulation and restoration of
active dorsiflexion, obviating the ongoing use of an ankle-foot orthosis.
PMID- 29369061
TI - Reduced H3K27me3 Expression is Common in Nodular Melanomas of Childhood
Associated With Congenital Melanocytic Nevi But Not in Proliferative Nodules.
PMID- 29369062
TI - Simulation-based Assessment to Reliably Identify Key Resident Performance
Attributes.
AB - BACKGROUND: Obtaining reliable and valid information on resident performance is
critical to patient safety and training program improvement. The goals were to
characterize important anesthesia resident performance gaps that are not
typically evaluated, and to further validate scores from a multiscenario
simulation-based assessment. METHODS: Seven high-fidelity scenarios reflecting
core anesthesiology skills were administered to 51 first-year residents (CA-1s)
and 16 third-year residents (CA-3s) from three residency programs. Twenty trained
attending anesthesiologists rated resident performances using a seven-point
behaviorally anchored rating scale for five domains: (1) formulate a clear plan,
(2) modify the plan under changing conditions, (3) communicate effectively, (4)
identify performance improvement opportunities, and (5) recognize limits. A
second rater assessed 10% of encounters. Scores and variances for each domain,
each scenario, and the total were compared. Low domain ratings (1, 2) were
examined in detail. RESULTS: Interrater agreement was 0.76; reliability of the
seven-scenario assessment was r = 0.70. CA-3s had a significantly higher average
total score (4.9 +/- 1.1 vs. 4.6 +/- 1.1, P = 0.01, effect size = 0.33). CA-3s
significantly outscored CA-1s for five of seven scenarios and domains 1, 2, and
3. CA-1s had a significantly higher proportion of worrisome ratings than CA-3s
(chi-square = 24.1, P < 0.01, effect size = 1.50). Ninety-eight percent of
residents rated the simulations more educational than an average day in the
operating room. CONCLUSIONS: Sensitivity of the assessment to CA-1 versus CA-3
performance differences for most scenarios and domains supports validity. No
differences, by experience level, were detected for two domains associated with
reflective practice. Smaller score variances for CA-3s likely reflect a training
effect; however, worrisome performance scores for both CA-1s and CA-3s suggest
room for improvement.
PMID- 29369063
TI - Long-term outcome following decompressive craniectomy: an inconvenient truth?
AB - PURPOSE OF REVIEW: There is little doubt that decompressive craniectomy can
reduce mortality following malignant middle cerebral infarction or severe
traumatic brain injury. However, the concern has always been that the reduction
in mortality comes at the cost of an increase in the number of survivors with
severe neurological disability. RECENT FINDINGS: There has been a number of large
multicentre randomized trials investigating surgical efficacy of the procedure.
These trials have clearly demonstrated a survival benefit in those patients
randomized to surgical decompression. However, it is only possible to demonstrate
an improvement in outcome if the definition of favourable is changed such that it
includes patients with either a modified Rankin score of 4 or upper severe
disability. Without this recategorization, the results of these trials have
confirmed the 'Inconvenient truth' that surgery reduces mortality at the expense
of survival with severe disability. SUMMARY: Given these results, the time may
have come for a nuanced examination of the value society places on an individual
life, and the acceptability or otherwise of performing a procedure that converts
death into survival with severe disability.
PMID- 29369064
TI - Neuro-ICU patient disposition: optimal venue for acute needs.
AB - PURPOSE OF REVIEW: This article revises the recent evidence on ICU admission
criteria for acute neurological patients [traumatic brain injury (TBI) patients,
postoperative neurosurgical procedures and stroke]. RECENT FINDINGS: The
appropriate utilization of ICU beds is essential, but it is complex and a
challenge to attain. To date there are no widely accepted international
guidelines for managing these acute brain-injured patients (stroke, TBI,
postneurosurgery) in the ICU. The criteria for ICU admission after neurological
acute injury, high-dependency unit or a specialized neurosurgical ward vary from
institution to institution depending on local structures and characteristics of
the available resources. Better evidence to standardize the treatment and the
degree of monitoring is needed during neurological acute injury. It is highly
recommended to implement clinical vigilance in these patients regardless of their
destination (ICU, stroke unit or ward). SUMMARY: Currently evidence do not allow
to define standardized protocol to guide ICU admission for acute neurological
patients (TBI patients, postoperative neurosurgical procedures and stroke).
PMID- 29369065
TI - Spirituality at the end of life.
AB - PURPOSE OF REVIEW: There is increasing emphasis on medical care of the whole
patient. This holistic approach encompasses supporting the spiritual or religious
needs of the patient. Particularly at the end of life, spiritual concerns may
come to the fore as patients recognize and accept their impending death.
Physicians may also recognize this spiritual distress but may not be clear on how
to provide spiritual support. RECENT FINDINGS: Tools to screen for spiritual
concerns are available for physicians to use. Some physicians wish to go further,
supporting patients at the end of life in their spiritual quest. Other physicians
express concern about causing more distress to patients in a time of significant
need. Descriptions of educational tools, as well as the difference between
spiritual generalists and spiritual specialists have emerged. Integration of
chaplains into the medical team caring for patients at the end of life will also
enhance care of the whole patient. SUMMARY: The increasing emphasis on whole
patient care is leading to increasing focus on spiritual concerns of patients.
Although not every patient has an interest in spiritual conversation, most do and
medical teams will need to become more educated about appropriate spiritual
engagement.
PMID- 29369068
TI - Applications of near infrared spectroscopy in the neonate.
AB - PURPOSE OF REVIEW: There has been a significant increase in the utilization of
NIRS in neonatal care over the last few years, with some centers now routinely
utilizing this monitoring technique for direct intervention at the bedside. In
this review, we provide a summary of the most up-to-date evidence on near
infrared spectroscopy utilization, with particular emphasis on measurement of
cerebral oxygenation in preterm infants. RECENT FINDINGS: There have been
significant advances in the technology, leading to an increase in the number of
available devices and in the use of this monitoring tool to reduce cerebral
injury in preterm infants. The role of NIRS in assessing cerebral autoregulation
in preterm and term infants, in evaluating somatic oxygenation, and in the
management of newborns with hypoxic ischaemic encephalopathy is discussed.
SUMMARY: Two recent pilot randomized controlled trials highlight the potential of
cerebral oxygenation monitoring to direct management in the delivery room and the
neonatal intensive care unit. However, we urge caution against routine use and
await the results of further studies in this area before considering this type of
monitoring as standard of care.
PMID- 29369066
TI - Transfusion practices in traumatic brain injury.
AB - PURPOSE OF REVIEW: The aim of this review is to summarize the recent studies
looking at the effects of anemia and red blood cell transfusion in critically-ill
patients with traumatic brain injury (TBI), describe the transfusion practice
variations observed worldwide, and outline the ongoing trials evaluating
restrictive versus liberal transfusion strategies for TBI. RECENT FINDINGS:
Anemia is common among critically-ill patients with TBI, it is also thought to
exacerbate secondary brain injury, and is associated with an increased risk of
poor outcome. Conversely, allogenic red blood cell transfusion carries its own
risks and complications, and has been associated with worse outcomes. Globally,
there are large reported differences in the hemoglobin threshold used for
transfusion after TBI. Observational studies have shown differential results for
improvements in cerebral oxygenation and metabolism after red blood cell
transfusion in TBI. SUMMARY: Currently, there is insufficient evidence to make
strong recommendations regarding which hemoglobin threshold to use as a
transfusion trigger in critically-ill patients with TBI. There is also
uncertainty whether the restrictive transfusion strategy used in general critical
care can be extrapolated to acutely brain injured patients. Ultimately, the
consequences of anemia-induced cerebral injury need to be weighed up against the
risks and complications associated with red blood cell transfusion.
PMID- 29369069
TI - Innovative Practice in Advancement of Academic Nurse Educator Careers: Developing
Scholarship From Program Grants.
AB - We describe an innovative practice in advancing careers of academic nurse
educators: demonstrating scholarly productivity from program grants. Scholarly
productivity is often narrowly defined, especially in research-intensive
institutions. The expectation may be a career trajectory based on the traditional
scholarship of discovery. However, nurse educators, especially at the associate
and full professor ranks, are often involved in leadership activities that
include writing and managing program grants. We encourage the academy to value
and support the development of program grants that include significant scholarly
components, and we offer exemplars of associate and full professor scholarship
derived from these projects.
PMID- 29369067
TI - Evidence establishing a link between prenatal and early-life stress and asthma
development.
AB - PURPOSE OF REVIEW: The objective of this review is to provide an update on our
evolving understanding of the effects of stress in pregnancy and during early
development on the onset of asthma-related phenotypes across childhood,
adolescence, and into early adulthood. RECENT FINDINGS: Accumulating evidence
over the past 2 decades has established that prenatal and early-life
psychological stress and stress correlates (e.g., maternal anxiety or depression)
increase the risk for childhood respiratory disorders. Recent systematic reviews
and meta-analyses including numerous prospective epidemiological and case-control
studies substantiate a significant effect of prenatal stress and stress in early
childhood on the development of wheeze, asthma, and other atopic-related
disorders (eczema and allergic rhinitis), with many studies showing an exposure
response relationship. Offspring of both sexes are susceptible to perinatal
stress, but effects differ. The impact of stress on child wheeze/asthma can also
be modified by exposure timing. Moreover, coexposure to prenatal stress can
enhance the effect of chemical stressors, such as prenatal traffic-related air
pollution, on childhood respiratory disease risk. Understanding complex
interactions among exposure dose, timing, child sex, and concurrent environmental
exposures promises to more fully characterize stress effects and identify
susceptible subgroups. Although the link between perinatal stress and childhood
asthma-related phenotypes is now well established, pathways by which stress
predisposes children to chronic respiratory disorders are not as well delineated.
Mechanisms central to the pathophysiology of wheeze/asthma and lung growth and
development overlap and involve a cascade of events that include disrupted
immune, neuroendocrine, and autonomic function as well as oxidative stress.
Altered homeostatic functioning of these integrated systems during development
can enhance vulnerability to asthma and altered lung development. SUMMARY:
Mechanistic studies that more comprehensively assess biomarkers reflecting
alterations across interrelated stress response systems and associated regulatory
processes, in both pregnant women and young children, could be highly
informative. Leveraging high-throughput systems-wide technologies to include
epigenomics (e.g., DNA methylation, microRNAs), transcriptomics, and microbiomics
as well as integrated multiomics are needed to advance this field of science.
Understanding stress-induced physiological changes occurring during vulnerable
life periods that contribute to chronic respiratory disease risk could lead to
the development of preventive strategies and novel therapeutic interventions.
PMID- 29369070
TI - An Examination of How Gender May Relate to Communication Styles of Introversion
and Extroversion in Nursing Students.
AB - This research brief explores the relationship between introversion and
extroversion communication styles and gender in nursing students. Although
communication is integral for delivering safe patient care, teaching about
individual communication styles is rare in nursing curricula. The Myers-Briggs
Type Indicator (MBTI) was administered to 47 nursing students. Chi-square was
used to analyze relationships between introversion/extroversion and gender. The
data reported no significance relationships between introverts and extroverts and
gender. The findings contribute to nursing education by bringing awareness of
communication preference tools like the MBTI.
PMID- 29369071
TI - Using System Inflammatory Response Syndrome as an Easy-to-Implement, Sustainable,
and Automated Tool for All-Cause Deterioration Among Medical Inpatients.
AB - OBJECTIVES: Clinical deterioration detection among adult inpatients is known to
be suboptimal, and many electronic health record tools have been developed to
help identify these patients. Many of these tools are focused on sepsis spectrum
disorders, but the evolution of the definition of sepsis is moving toward
increased specificity, which may make automated detection of clinical
deterioration from nonsepsis-related conditions less likely. The objectives of
this study were to develop and to examine the use of a low-cost, highly
sustainable deterioration detection tool based on systemic inflammatory response
syndrome (SIRS) criteria. METHODS: Using existing resources, a SIRS-based
electronic health record monitoring and intervention tool was developed with a
focus on ease of implementation and high sustainability. This tool was used to
monitor 15,739 adult inpatients in real time during their admission. RESULTS: The
SIRS-based tool, created with focus on ease of implementation and high
sustainability, identified patients with higher risk of clinical deterioration.
The project was rapidly deployed for a 4-month period at a 900-bed campus of an
academic medical center with minimal additional resources required. CONCLUSIONS:
Whereas the definition of sepsis moves away from SIRS, SIRS-based criteria may
still have clinical benefit as an easy-to-automate detection tool for all-cause
clinical deterioration among medical inpatients.
PMID- 29369072
TI - Patient-Reported Dental Safety Events: A South African Perspective.
AB - OBJECTIVES: In recent years, there has been an increase in research studies
highlighting patients' experiences of adverse events (AEs) as well as the role of
patients in promoting safety. The primary goal of the study was to assess the
prevalence of dental AEs (DAEs) among dental patients in South Africa and its
associated factors. The integration of the patient perspective into dental
patient safety research will enhance our collective understanding of DAEs.
METHODS: We conducted a cross-sectional study of adult patients at a large dental
academic institution in South Africa from May to June 2015, evaluating their
previous experiences of DAEs at any dental clinic in South Africa. Descriptive
statistics and bivariate and multivariate analyses were performed to identify the
factors associated with an increased likelihood of experiencing a DAE. RESULTS: A
total of 440 questionnaires were returned during the 6-week study period
(response rate = 97.8%). Overall, 45.5% of participants reported experiencing one
or more DAEs. Two hundred participants reported a total of 717 DAEs giving us a
lifetime prevalence of 1.6 DAEs per respondent. Our results suggest that
respondents who were younger (18-24 y), from high-income families (>R150,000 or
US $9200), dissatisfied with their last dental visit and oral health had an
increased likelihood of reporting a previous experience of a DAE. CONCLUSIONS:
This study provides an insight into the nature of information that can be gleaned
from dental patients regarding safety and helps lay the foundation for patient
involvement in patient safety reporting.
PMID- 29369073
TI - Effects of a Kundalini Yoga Program on Elementary and Middle School Students'
Stress, Affect, and Resilience.
AB - OBJECTIVE: The Your Own Greatness Affirmed (YOGA) for Youth program delivers yoga
to urban inner-city schools with the goal of providing practical benefits that
support underserved children at high risk of behavioral and emotional problems. A
10-week YOGA for Youth program delivered 1 to 2 times per week was implemented in
3 schools in urban neighborhoods to examine the effect of the program on student
stress, affect, and resilience. METHODS: Thirty children were administered the
Perceived Stress Scale, the Positive and Negative Affect Schedule, and the
Resilience Scale before and after the yoga program. After the program, informal
qualitative interviews were conducted with school teachers, yoga teachers, and
students to determine the overall impact of the yoga program. RESULTS: The
quantitative results of this study indicated that the yoga program significantly
improved students stress (p < 0.05), positive affect (p < 0.05), and resilience
(p < 0.001). The qualitative results indicated that students, school teachers,
and yoga teachers all found the program to be beneficial for students' well
being. CONCLUSION: Taken together, these data suggest that the YOGA for Youth
program may provide students in low-income urban schools with behavioral skills
that will protect against risk factors associated with the development of
behavioral and emotional problems.
PMID- 29369074
TI - Concomitant Transcranial Direct Current Stimulation With Ultrabrief
Electroconvulsive Therapy: A 2-Week Double-Blind Randomized Sham-Controlled
Trial.
AB - OBJECTIVE: The feasibility and effectiveness of concomitant use of transcranial
direct current stimulation (tDCS) with electroconvulsive therapy (ECT) has not
been investigated. The study principally aimed at determining whether tDCS when
combined with ECT improved the speed of antidepressant response. Secondarily, the
ease of generation of seizures during electroconvulsive therapy and cognitive
outcomes were investigated. METHODS: Consecutive patients referred for ECT to
treat major depression were randomized to tDCS with dorsolateral prefrontal
electrode placements (n = 8) or sham (n = 8) used daily and just before thrice
weekly, 6 times threshold, right unilateral ultrabrief (0.3 ms) pulse width ECT.
Change of depression severity was determined using the Montgomery Asberg
Depression Rating Scale along with cognitive assessments using Montreal Cognitive
Assessment and visual memory testing at weeks 1 and 2, which were compared with
baseline. RESULTS: Change of depression severity from baseline was similar in
tDCS and ECT compared with sham tDCS and ECT at week1 (mean [standard deviation
{SD}] = 16.00 [6.78]; 13.75 [7.83]; P = 0.89) and at week 2 (mean [SD] = 23.00
[4.96]; 19.75 [9.85], P = 0.08). No between-group differences were obtained in
the cognitive tests at weeks 1 and 2. Combining tDCS with ECT resulted in higher
restimulation: 62.5% requiring 3 stimulations to achieve threshold in contrast to
12.5% with sham tDCS and ECT (P = 0.04). The mean suprathreshold dose was higher
in the tDCS and ECT group compared with sham tDCS and ECT: mean [SD] = 144.0
[43.54] and mean [SD] = 122.4 [20.36], P = 0.04, respectively. CONCLUSIONS:
Concomitant use of tDCS with ultrabrief right unilateral ECT is feasible and safe
albeit with higher rates of restimulation when tDCS was combined with ECT.
However, there were no statistically significant differences in the speed of
antidepressant response or cognitive outcomes at weeks 1 and 2 after the
commencement of treatments.
PMID- 29369075
TI - Reporting guideline and clinical trial registration requirements in
gastroenterology and hepatology journals.
AB - AIM: The objective of this study was to evaluate the current recommendations and
requirements of gastroenterology and hepatology journals concerning reporting
guidelines and clinical trial registration. Current research on the topic is
sparse and in need of further research in both clinical trial registration and
guideline adherence. METHODS: The authors performed a review of journal protocols
and 'Instructions for Authors' regarding guideline adherence and trial
registration requirements within 30 gastroenterology and hepatology journals. We
searched the Expanded Science Citation Index of the 2015 Journal Citation Reports
to determine if each journal required, recommended, or made no mention of 17
guidelines and clinical trial registration. RESULTS: A majority (23/30; 76.7%) of
the journals either required or recommended reporting guideline usage, whereas
the remainder (7/30; 23.3%) had no such policy. In addition, 14 (14/30; 46.7%)
require or recommend trial registration. Journals with a higher impact factor
were associated with greater reporting guideline adherence (rpb = 0.43, P <
0.05). There was not a significant relationship between journal impact factor and
trial registration requirements (rpb = 0.16, P > 0.05). Consolidated Standards of
Reporting Trials was the most often required guideline (9/30; 30%), whereas
Animal Research: Reporting of In Vivo Experiments and Preferred Reporting Items
for Systematic Reviews and Meta-Analyses were tied for second most often required
(6/30 each; 20% each). Clinical trial registration was most common via
ClinicalTrials.gov (9/30; 30%). CONCLUSION: A majority of gastroenterology or
hepatology journals either require or recommend reporting guideline usage, but
just less than one-half of the journals did the same for trial registration.
PMID- 29369077
TI - Is There an Optimum Duration of Fluid Bolus in Pediatric Septic Shock? A Critical
Appraisal of "Fluid Bolus Over 15-20 Versus 5-10 Minutes Each in the First Hour
of Resuscitation in Children With Septic Shock: A Randomized Controlled Trial" by
Sankar et al (Pediatr Crit Care Med 2017; 18:e435-e445).
AB - OBJECTIVES: To review the findings and discuss the implications of a longer
duration for fluid boluses in the resuscitation of children with septic shock.
DATA SOURCES: We performed a PubMed.gov search using the criteria "Fluid Bolus"
and "Children" and "Septic Shock," which yielded 29 references. STUDY SELECTION:
One trial compared different durations of fluid boluses in pediatric septic shock
and was therefore selected for critical appraisal. (Sankar J, Ismail J, Sankar
MJ, et al: Fluid Bolus Over 15-20 Versus 5-10 Minutes Each in the First Hour of
Resuscitation in Children with Septic Shock: A Randomized Controlled Trial.
Pediatr Crit Care Med 2017; 18:e435-e445.) DATA EXTRACTION:: This trial compared
the effectiveness of 20 mL/kg fluid boluses, in children with septic shock, given
over 15-20 versus 5-10 minutes in terms of need for invasive ventilation and/or
increase in oxygenation index by 5 from baseline at 6 and 24 hours post initial
fluid resuscitation. The relative risk of the primary outcome was 0.62 (p = 0.04;
95% CI, 0.39-0.99) at 6 hours and 0.63 (p = 0.02; 95% CI, 0.42-0.93) at 24 hours.
DATA SYNTHESIS: Fragility Index calculations for the primary outcomes of this
trial were 1 and 2. Therefore, only one and two children would have needed
different outcomes to have given nonsignificant p values greater than 0.05.
Analysis of the results did not support the early stopping of this trial at the
interim period. CONCLUSIONS: The article reviewed does not support a change in
practice to a longer duration of fluid bolus. The "push" technique for titration
of fluid boluses in pediatric septic shock should continue to be the method of
choice. Critical Care trials using binary outcomes as endpoints should publish
Fragility Index results to aid interpretation and generate stronger conclusions.
PMID- 29369076
TI - Analysis of Pediatric Trauma in Combat Zone to Inform High-Fidelity Simulation
Predeployment Training.
AB - OBJECTIVES: The military uses "just-in-time" training to refresh deploying
medical personnel on skills necessary for medical and surgical care in the
theater of operations. The burden of pediatric care at Role 2 facilities has yet
to be characterized; pediatric predeployment training has been extremely limited
and primarily informed by anecdotal experience. The goal of this analysis was to
describe pediatric care at Role 2 facilities to enable data-driven development of
high-fidelity simulation training and core knowledge concepts specific to the
combat zone. SETTING AND PATIENTS: A retrospective review of the Role 2 Database
was conducted on all pediatric patients (< 18 yr) admitted to Role 2 in
Afghanistan from 2008-2014. INTERVENTIONS: Three cohorts were determined based on
commercially available simulation models: Group 1: less than 1 year, Group 2: 1-8
years, Group 3: more than 8 years. The groups were sub-stratified by point of
injury care, pre-hospital management, and Role 2 facility medical/surgical
management. MEASUREMENTS AND MAIN RESULTS: Appropriate descriptive statistics
(chi square and Student t test) were utilized to define demographic and
epidemiologic characteristics of this population. Of 15,404 patients in the Role
2 Database, 1,318 pediatric subjects (8.5%) were identified. The majority of
patients were male (80.0%) with a mean age of 9.5 years (+/- SD, 4.5). Injury
types included: penetrating (56%), blunt (33%), and burns (7%). Mean transport
time from point of injury to Role 2 was 198 minutes (+/-24.5 min). Mean Glasgow
Coma Scale and Revised Trauma Score were 14 (+/- 0.1) and 7.0 (+/- 1.4),
respectively. Role 2 surgical procedures occurred for 424 patients (32%). Overall
mortality was 4% (n = 58). CONCLUSIONS: We have described the epidemiology of
pediatric trauma admitted to Role 2 facilities, characterizing the spectrum of
pediatric injuries that deploying providers should be equipped to manage. This
analysis will function as a needs assessment to facilitate high-fidelity
simulation training and the development of "pediatric trauma core knowledge
concepts" for deploying providers.
PMID- 29369078
TI - Thromboelastographic study of the snakebite-related coagulopathy in Djibouti.
AB - : Hemostasis disorders are one of the major clinical conditions of snakebites and
are because of mechanisms which may disrupt vessels, platelets, clotting factors
and fibrinolysis. Thromboelastography (TEG) could help to understand these
effects in the clinical practice. A retrospective study reports a series of
patients presenting a snakebite-related coagulopathy, treated with antivenom and
monitored with conventional tests and TEG in a French military treatment facility
(Republic of Djibouti, East Africa) between August 2011 and September 2013.
Conventional coagulation assays (platelets, prothrombin time, activated partial
thromboplastin time, fibrinogen) and TEG measurements were taken on arrival and
at various times during the first 72 h of hospitalization, at the discretion of
the physician. The study included 14 patients (median age 28 years). Bleedings
were present in five patients. All patients received antivenom. A coagulopathy
was present in all patients and was detected by both conventional assays and TEG.
None exhibited thrombocytopenia. Prothrombin time and fibrinogen remained
abnormal for most of patients during the first 72 h. The TEG profiles of 11
patients (79%) showed incoagulability at admission (R-time > 60 min). TEG
distinguished 10 patients with a generalized clotting factor deficiency and 4
patients with an isolated fibrinogen deficiency after an initial profile of
incoagulability. Hyperfibrinolysis was evident for 12 patients (86%) after Hour
6. Snake envenomations in Djibouti involve a consumption coagulopathy in
conjunction with delayed hyperfibrinolysis. TEG could improve medical management
of the condition and assessment of additional therapeutics associated with the
antivenom.
PMID- 29369079
TI - Safety and effectiveness of high-dose, weight-based factor VIII inhibitor
bypassing activity for warfarin-induced life-threatening bleeding.
AB - : Previous studies suggest low, fixed-dose regimens of activated prothrombin
complex concentrate [factor VIII inhibitor bypassing activity (FEIBA); 500 U for
international normalized ratio (INR) < 5; 1000 U for INR > 5] is effective for
reversal of warfarin-induced life-threatening bleeds. Little data are available
on the use of high-dose, weight-based FEIBA for this indication. The objective of
this study was to evaluate effectiveness and safety of high-dose, weight-based
FEIBA (50 U/kg) vs. frozen plasma alone in this population. This was a matched
case-control, multicenter retrospective study including patients who received
high-dose, weight-based FEIBA or frozen plasma alone for warfarin-induced life
threatening bleeds matched (1 : 1) based on age and bleed location. Forty-eight
patients were included in the analysis (24 FEIBA, 24 frozen plasma). The primary
endpoint was time to INR less than 1.5 after administration of FEIBA or frozen
plasma. Secondary endpoints include rates of thromboembolic events and mortality.
Median baseline INR was 3.7 (interquartile range 2.7, 7.30) and 2.9 (2.3, 6.61)
in the FEIBA and frozen plasma groups, respectively (P = 0.13). Median FEIBA dose
was 4530 (3672, 5028) U. Use of FEIBA resulted in faster time to INR less than
1.5 with a median of 2.5 (1.25, 4.15) vs. 12 (5.6, 28.35) h; (P < 0.0001).
Thromboembolic events occurred in nine (16.7%) patients (FEIBA n = 5; plasma n =
4); (P = 1.0). Mortality was similar in both groups (FEIBA 33% vs. frozen plasma
15%; P = 0.2). The use of high-dose, weight-based FEIBA resulted in faster time
to reversal of warfarin-induced coagulopathy compared with frozen plasma alone
and showed a similar safety profile.
PMID- 29369080
TI - Coagulation assays in a case of apixaban overdose.
AB - : Intentional overdose of apixaban is rare and minimal data exist regarding the
usefulness of routinely available laboratory tests to predict drug levels. A 50
year-old man was admitted after ingestion of 200-mg apixaban. Serial blood
samples were obtained over a 54-h period for assessment of the fall-off in drug
levels using the prothrombin time/international normalized ratio and anti-Xa
assays and compared with an apixaban-specific chromogenic assay. The prothrombin
time/international normalized ratio and anti-Xa assays correlated with the
apixaban level when the drug was in the supratherapeutic range (>130 ng/ml) but
not in the typical therapeutic trough to peak levels. Apixaban levels are best
assessed by a specific anti-Xa test using optimized chromogenic substrates and
specific calibrators. A standard anti-Xa test can be a useful surrogate when drug
levels are high but use of a specific threshold level for discharge purposes
requires caution.
PMID- 29369081
TI - A retrospective evaluation of the age-adjusted D-dimer versus the conventional D
dimer for pulmonary embolism.
AB - : The conventional D-dimer cut-off value of at least 500 MUg FEU/l has good
sensitivity but poor specificity for identifying pulmonary embolism. An elevated
age-adjusted D-dimer value (age in years * 10 MUg FEU/l) for patients at least 50
years old has been recommended as a better cut-off with adequate sensitivity and
improved specificity for identifying pulmonary embolism compared with the
conventional value. We retrospectively reviewed 3117 patient encounters in which
a D-dimer was ordered. The D-dimer value, age of the patient, and the computed
tomography radiology report was evaluated. The sensitivity and specificity of the
age-adjusted D-dimer was calculated using bootstrapping. With an assumed 99%
sensitivity for the conventional D-dimer cut-off the specificity was 39.2% [95%
confidence interval (CI): 37.5-41.0%]. The sensitivity of the age-adjusted D
dimer was 91.8% (95% CI: 83.8-97.2%) with a specificity of 51.0% (95% CI: 49.1
53.1%). The sensitivity of the age-adjusted D-dimer was unacceptably low compared
with the conventional D-dimer cut-off.
PMID- 29369082
TI - Compliance with the 2009 Royal College of Obstetricians and Gynaecologists
guidelines for venous thromboembolic disease prophylaxis in pregnancy and
postpartum period in Uruguay.
AB - : Venous thromboembolism remains as one of the leading causes of maternal death.
Prevention of venous thromboembolism in the obstetric population is challenging
as recommendations for prophylaxis have low grade of evidence. Risk factors and
prophylaxis guidelines have been highlighted by Royal College of Obstetricians
and Gynaecologists. In 2014, we developed a written alert following this
guidelines to guide thromboprophylaxis. The aim of this study is to assess
recommendations compliance. This study was conducted at University-Hospital in
Uruguay from January 2014 to December 2016. A total of 1035 women were enrolled
and stratified in high, intermediate or low risk based on Royal College of
Obstetricians and Gynaecologists guidelines. Thromboprophylaxis was recommended
for women at intermediate and high risk. Women were followed up to assess
symptomatic thromboembolism or haemorrhagic complications. A total of 309 were
pregnant and 731 puerperal. Median age was 24 (19-29) years old. Of them, 3.0% (n
= 31) were at high risk and 35.4% (n = 366) at intermediate risk. All high-risk
women received prophylaxis with low-molecular-weight heparin. Of the 366
intermediate-risk women, 52.7% received prophylaxis. Venous thromboembolism was
developed in only one woman of the intermediate group, who had received
prophylaxis. Bleeding complications were not observed. Awareness of the
thrombotic risk, as conferred by an easy and suitable risk assessment, has the
potential to improve venous thromboembolism prophylaxis in pregnant and puerperal
women. We have a good guidelines compliance with the written alert in the high
risk women group. However, we have to improve low-molecular-weight heparin
indication in intermediate-risk group, especially in postcaesarean women.
PMID- 29369083
TI - Influence of ABO blood group on von Willebrand factor tests in healthy Saudi
blood donors.
AB - : Von Willebrand disease is a common bleeding disorder. The wide variation in von
Willebrand factor (VWF) levels between and within normal individuals highlights
the clinical challenge of defining its cutoff value. Although studies on the
influence of ethnicity on ABO phenotypes and the levels of VWF have been carried
out on different ethnicities, there is a lack of such data among Arab population.
We aimed to evaluate the correlation of ABO phenotypes with all the parameters of
the minimal test panel of VWF including VWF antigen, VWF activity using the
ristocetin cofactor and the collagen binding activity assays, and factor VIII
coagulant activity (VWF:Ag, VWF:RCo, VWF:CB and FVIII:C) tested in a normal Arab
population, and to estimate ABO-specific normal reference range. Blood samples
were collected from 87 healthy donors in Riyadh to determine levels of factor
VIII and VWF panel between the various ABO phenotypes. The highest mean values of
factor VIII : C (128 U/dl), VWF : Ag (125 U/dl), VWF : RCo (109 U/dl) and VWF :
CB (91 U/dl) were observed with type AB and the lowest mean values of factor VIII
: C (81 U/dl), VWF : Ag (85 U/dl), VWF : RCo (73 U/dl) and VWF : CB (70 U/dl)
corresponded to type O. ABO phenotypes significantly influence plasma levels of
VWF parameters in Arab nations as seen with other ethnicity. Hence, ABO-specific
normal ranges of the minimal test panel of VWF and factor VIII : C are essential
for the appropriate prediction of mild von Willebrand disease. Further study
including a larger categorized sample size is required to generalize the test
panel on the Arab population.
PMID- 29369084
TI - DIRECTIONAL VARIABILITY OF FUNDUS REFLECTANCE IN ACUTE MACULAR NEURORETINOPATHY:
EVIDENCE FOR A CONTRIBUTION OF THE STILES-CRAWFORD EFFECT.
AB - PURPOSE: To document directional reflectivity of fundus lesions in a case of
acute macular neuroretinopathy. METHODS: Case report. Clinical and imaging data
from a patient with acute macular neuroretinopathy were reviewed. Imaging
comprised infrared scanning laser ophthalmoscopy, optical coherence tomography
and flood-illumination adaptive optics images acquired through different entry
pupils in the cardinal directions (approximately 2 degrees eccentricity).
RESULTS: The patient reported acute bilateral paracentral scotoma revealing dark,
wedge-shaped macular plaques which by optical coherence tomography were
associated with focal loss of the visibility of the cone outer segment tip and
inner/outer segment lines. Comparing scanning laser ophthalmoscopy images taken
at different entry points in the pupil showed that macular plaques varied from
hyporeflectance to isoreflectance. Cone counts by flood-illumination adaptive
optics within plaques and optical coherence tomography features of the cone outer
segment tip showed also a strong directional variability, peaking at near-normal
values. Within each modality, fusion images showed that directional variability
covered most of macular plaques. CONCLUSION: The characteristic fundus
abnormalities of acute macular neuroretinopathy may show a strong directional
variability. Our findings suggest that the Stiles-Crawford effect may be an
important factor in signs and symptoms of acute macular neuroretinopathy.
PMID- 29369085
TI - A Qualitative Study of the Influences on Clinical Academic Physicians'
Postdoctoral Career Decision Making.
AB - PURPOSE: To describe the influences on clinical academic physicians' postdoctoral
career decision making. METHOD: Thirty-five doctoral trainee physicians from
University College London took part in semistructured interviews in 2015 and
2016. Participants were asked open-ended questions about their career to date,
their experiences undertaking a PhD, and their career plans post PhD. The
interviews were audio-recorded and transcribed. Thematic analysis was used to
generate, review, and define themes from the transcripts. Emerging differences
and similarities in participants' reasons for pursuing a PhD were then grouped to
produce typologies to explore how their experiences influenced their career
decision making. RESULTS: Participants described four key reasons for undertaking
a PhD, which formed the basis of the four typologies identified. These reasons
included the following: to pursue a clinical academic career; to complete an
extensive period of research to understand whether a clinical academic career was
the desired path forward; to improve clinical career prospects; and to take a
break from clinical training. CONCLUSIONS: These findings highlight the need to
target efforts at retaining clinical academic physicians according to their
reasons for pursuing a PhD and their subsequent experiences with the process.
Those responsible for overseeing clinical training must be well informed of the
long-term benefits of training academically qualified physicians. In light of
current political uncertainty, universities, hospitals, and external agencies
alike must increase their efforts to inspire and assuage early-career clinical
academic physicians' fears regarding their academic future.
PMID- 29369086
TI - Drawing Boundaries: The Difficulty in Defining Clinical Reasoning.
AB - Clinical reasoning is an essential component of a health professional's practice.
Yet clinical reasoning research has produced a notably fragmented body of
literature. In this article, the authors describe the pause-and-reflect exercise
they undertook during the execution of a synthesis of the literature on clinical
reasoning in the health professions. Confronted with the challenge of
establishing a shared understanding of the nature and relevant components of
clinical reasoning, members of the review team paused to independently generate
their own personal definitions and conceptualizations of the construct. Here, the
authors describe the variability of definitions and conceptualizations of
clinical reasoning present within their own team. Drawing on an analogy from
mathematics, they hypothesize that the presence of differing "boundary
conditions" could help explain individuals' differing conceptualizations of
clinical reasoning and the fragmentation at play in the wider sphere of research
on clinical reasoning. Specifically, boundary conditions refer to the practice of
describing the conditions under which a given theory is expected to hold, or
expected to have explanatory power. Given multiple theoretical frameworks,
research methodologies, and assessment approaches contained within the clinical
reasoning literature, different boundary conditions are likely at play. Open
acknowledgment of different boundary conditions and explicit description of the
conceptualization of clinical reasoning being adopted within a given study would
improve research communication, support comprehensive approaches to teaching and
assessing clinical reasoning, and perhaps encourage new collaborative
partnerships among researchers who adopt different boundary conditions.
PMID- 29369087
TI - Evaluation Apprehension and Impression Management in Clinical Medical Education.
AB - Historically, clinical medical education has relied on subjective evaluations of
students and residents to judge their clinical competence. The uncertainty
associated with these subjective clinical evaluations has produced evaluation
apprehension among learners and attempts to manage one's professional persona
(impression management) among peers and supervisors. Such behavior has been
documented from antiquity through the Middle Ages to the present, including in
two new qualitative studies in this issue of Academic Medicine on the social
psychology of clinical medical education. New approaches to medical education,
including competency-based education, mastery learning, and assessment methods
that unite evaluation and education, are slowly changing the culture of clinical
medical education. The author of this Invited Commentary argues that this shift
will bring greater transparency and accountability to clinical medical education
and gradually reduce evaluation apprehension and the impression management
motives it produces.
PMID- 29369088
TI - Free flaps for head and neck cancer in paediatric and neonatal patients.
AB - PURPOSE OF REVIEW: To review recent literature on the subject of free tissue
transfer options in paediatric head and neck surgery, with a particular emphasis
on highlighting the advantages and disadvantages of different reconstructions in
the paediatric patient. RECENT FINDINGS: Free tissue transfer in paediatric
patients is predictable and applicable for a wide range of congenital and
acquired defects in the head and neck. The free fibula flap is a mainstay of
mandibular reconstruction and allows excellent implant-supported prosthodontic
rehabilitation and growth potential at the recipient site with little or no donor
site morbidity. Other less commonly explored options include the deep circumflex
iliac artery flap, scapula flap and medial femoral condyle flap. The gracilis
mucle remains the mainstay for facial reanimation with other options including
pectoralis minor, rectus abdominis, extensor digitorum brevis and latissimus
dorsi. There are compelling arguments for centralization of services and creative
strategies in postoperative rehabilitation (e.g. play therapy). SUMMARY: Free
flaps in paediatric patients are a viable option and may even have advantages
relative to adults because of the absence of atherosclerosis, purported lower
risk of vasospasm and proportionally larger vessel size. Transfer earlier in life
maximizes functional potential and 'normalizes' treatment.
PMID- 29369089
TI - Using technology to support clinical care and research in rheumatoid arthritis.
AB - PURPOSE OF REVIEW: As digital technology becomes more ubiquitous, understanding
the current state-of-the-art in digital information use for clinical care and
research for patients with rheumatoid arthritis (RA) is timely and relevant.
RECENT FINDINGS: The opportunities for recording and utilizing high-quality data
from rheumatologists are reviewed, as well as opportunities from collecting,
integrating and analysing patient-generated data to deliver a step-change in the
support and management of RA. SUMMARY: Once greater adoption, standardization and
implementation of relevant RA measures are in place within electronic health
records (EHRs), patient care will improve and the ability to learn from aggregate
experiences increases dramatically. Incorporating passive and patient-reported
outcomes into self-management apps and integrating such data into the patient's
health record will provide more responsive and better treatment results.
PMID- 29369090
TI - EASY-An Instrument for Surveillance of Physical Activity in Youth.
AB - PURPOSE: Physical activity (PA) promotion among youth is a public health
priority, and there is a need for robust surveillance systems to help support
such initiatives. Existing youth PA self-report instruments that are used for
surveillance lack information regarding the types and contexts of activity.
Further, these instruments have limited validity with accelerometry. The purpose
of the present study was to develop a self-report instrument, with sound
psychometric properties, for monitoring compliance with PA guidelines in youth.
METHODS: In focus groups, 162 middle school students identified 30 forms of PA
that are highly prevalent in that age-group. We incorporated these activities
into three preliminary forms of a self-report instrument. An independent sample
of middle school students (n = 537) was randomly assigned to complete one of the
three preliminary versions of the instrument. Rasch analysis was applied to the
responses to the three formats, and a yes/no plus frequency format emerged as the
preferred method. A third sample of 342 middle school students then completed the
yes/no plus frequency instrument twice after a 7-d period during which they wore
an accelerometer. Using both Rasch analysis and traditional correlational
methods, validity and reliability of a 14-item instrument were established. Data
were collected during 2012-2015. RESULTS: Spearman correlation coefficient for
the association between the cumulative score for the 14 items and minutes per day
of accelerometry-derived moderate-to-vigorous physical activity was 0.33 (95%
confidence interval = 0.22-0.43, P < 0.001). The sensitivity and specificity
values of the 14-item instrument were 0.90 and 0.44, respectively. CONCLUSIONS:
The study produced a PA self-report instrument for youth that was found to be
reliable (r = 0.91), valid versus accelerometry (r = 0.33), and with acceptable
specificity and sensitivity in detecting compliance with PA guidelines.
PMID- 29369091
TI - Comparison of Intranasal Dexmedetomidine and Oral Pentobarbital Sedation for
Transthoracic Echocardiography in Infants and Toddlers: A Prospective,
Randomized, Double-Blind Trial.
AB - BACKGROUND: Acquisition of transthoracic echocardiographic (TTEcho) images in
children often requires sedation. The optimal sedative for TTEcho has not been
determined. Children with congenital heart disease are repeatedly exposed to
sedatives and anesthetics that may affect brain development. Dexmedetomidine,
which in animals alters brain structure to a lesser degree, may offer advantages
in this vulnerable population. METHODS: A prospective, randomized, double-blind
trial enrolled 280 children 3-24 months of age undergoing outpatient TTEcho,
comparing 2.5 ug.kg intranasal dexmedetomidine to 5 mg.kg oral pentobarbital.
Rescue sedation, for both groups, was intranasal dexmedetomidine 1 ug.kg. The
primary outcome was adequate sedation within 30 minutes without rescue sedation,
assessed by blinded personnel. Secondary outcomes included number of sonographer
pauses, image quality in relation to motion artifacts, and parental satisfaction.
RESULTS: Success rates with a single dose were not different between sedation
techniques; 85% in the pentobarbital group and 84% in the dexmedetomidine group
(P = .8697). Median onset of adequate sedation was marginally faster with
pentobarbital (16.5 [interquartile range, 13-21] vs 18 [16-23] minutes for
dexmedetomidine [P = .0095]). Time from drug administration to discharge was not
different (P = .8238) at 70.5 (64-83) minutes with pentobarbital and 70 (63-82)
minutes with dexmedetomidine. Ninety-five percent of sedation failures with
pentobarbital and 100% of dexmedetomidine failures had successful rescue sedation
with intranasal dexmedetomidine. CONCLUSIONS: Intranasal dexmedetomidine was
comparable to oral pentobarbital sedation for TTEcho sedation in infants and did
not increase the risk of clinically important adverse events. Intranasal
dexmedetomidine appears to be an effective "rescue" sedative for both failed
pentobarbital and dexmedetomidine sedation. Dexmedetomidine could be a safer
option for repeated sedation in children, but further studies are needed to
assess long-term consequence of repeated sedation in this high-risk population.
PMID- 29369092
TI - American Society for Enhanced Recovery and Perioperative Quality Initiative Joint
Consensus Statement on Nutrition Screening and Therapy Within a Surgical Enhanced
Recovery Pathway.
AB - Perioperative malnutrition has proven to be challenging to define, diagnose, and
treat. Despite these challenges, it is well known that suboptimal nutritional
status is a strong independent predictor of poor postoperative outcomes. Although
perioperative caregivers consistently express recognition of the importance of
nutrition screening and optimization in the perioperative period, implementation
of evidence-based perioperative nutrition guidelines and pathways in the United
States has been quite limited and needs to be addressed in surgery-focused
recommendations. The second Perioperative Quality Initiative brought together a
group of international experts with the objective of providing consensus
recommendations on this important topic with the goal of (1) developing
guidelines for screening of nutritional status to identify patients at risk for
adverse outcomes due to malnutrition; (2) address optimal methods of providing
nutritional support and optimizing nutrition status preoperatively; and (3)
identifying when and how to optimize nutrition delivery in the postoperative
period. Discussion led to strong recommendations for implementation of routine
preoperative nutrition screening to identify patients in need of preoperative
nutrition optimization. Postoperatively, nutrition delivery should be restarted
immediately after surgery. The key role of oral nutrition supplements, enteral
nutrition, and parenteral nutrition (implemented in that order) in most
perioperative patients was advocated for with protein delivery being more
important than total calorie delivery. Finally, the role of often-inadequate
nutrition intake in the posthospital setting was discussed, and the role of
postdischarge oral nutrition supplements was emphasized.
PMID- 29369094
TI - Using the Ventrain With a Small-Bore Catheter: Ventilation or Just Oxygenation?
PMID- 29369093
TI - Age Does Not Affect Metoprolol's Effect on Perioperative Outcomes (From the POISE
Database).
AB - BACKGROUND: Perioperative beta-blockade reduces the incidence of myocardial
infarction but increases that of death, stroke, and hypotension. The elderly may
experience few benefits but more harms associated with beta-blockade due to a
normal effect of aging, that of a reduced resting heart rate. The tested
hypothesis was that the effect of perioperative beta-blockade is more significant
with increasing age. METHODS: To determine whether the effect of perioperative
beta-blockade on the primary composite event, clinically significant hypotension,
myocardial infarction, stroke, and death varies with age, we interrogated data
from the perioperative ischemia evaluation (POISE) study. The POISE study
randomly assigned 8351 patients, aged >=45 years, in 23 countries, undergoing
major noncardiac surgery to either 200 mg metoprolol CR daily or placebo for 30
days. Odds ratios or hazard ratios for time to events, when available, for each
of the adverse effects were measured according to decile of age, and interaction
term between age and treatment was calculated. No adjustment was made for
multiple outcomes. RESULTS: Age was associated with higher incidences of the
major outcomes of clinically significant hypotension, myocardial infarction, and
death. Age was associated with a minimal reduction in resting heart rate from
84.2 (standard error, 0.63; ages 45-54 years) to 80.9 (standard error, 0.70; ages
>85 years; P < .0001). We found no evidence of any interaction between age and
study group regarding any of the major outcomes, although the limited sample size
does not exclude any but large interactions. CONCLUSIONS: The effect of
perioperative beta-blockade on the major outcomes studied did not vary with age.
Resting heart rate decreases slightly with age. Our data do not support a
recommendation for the use of perioperative beta-blockade in any age subgroup to
achieve benefits but avoid harms. Therefore, current recommendations against the
use of beta-blockers in high-risk patients undergoing noncardiac surgery apply
across all age groups.
PMID- 29369095
TI - The Effects of Agrin Isoforms on Diabetic Neuropathic Pain in a Rat
Streptozotocin Model.
AB - BACKGROUND: Diabetes mellitus affects 9.3% of the US population and increases
risks of surgery and complications. Diabetic neuropathic pain (DNP), one of the
main consequences of diabetes mellitus, is extremely difficult to treat. Current
medications yield limited benefits and/or have severe adverse effects. Therefore,
new, effective treatment is needed. METHODS: Streptozotocin at 55 mg/kg was
injected intraperitoneally in rats to induce diabetes mellitus. Diabetic rats
exhibiting neuropathic pain underwent intrathecal injection of purified agrin
proteins at various doses and were then tested for tactile allodynia to evaluate
whether DNP was inhibited. The agrin effects were also analyzed with patch-clamp
recording on spinal cord slices. RESULTS: Fifty-kilo Dalton agrin (Agr50) at 0.2
and 2 ng suppressed DNP when given intrathecally, while 25- and 75-kDa agrin
(Agr25, Agr75) had little effect. The suppressive effect of Agr50 lasted 4 hours
after a single bolus injection. The difference in effects of Agr50 on mean
withdrawal threshold (4.6 +/- 2.2 g before treatment to 26 +/- 0 g after
treatment) compared with that of Agr25 (4.9 +/- 2.0 g to 4.9 +/- 2.0 g) and Agr75
(5.3 +/- 2.3 g to 9.2 +/- 2.5 g) was highly significant (P < .01). On spinal cord
slices, Agr50 increased spontaneous GABAergic current activities, suggesting
increased spontaneous inhibitory postsynaptic currents and action potential
firing rate from GABA neurons, whereas Agr25 and Agr75 had no such effect.
CONCLUSIONS: Agr50 had a potent suppressive effect on DNP and increased
spontaneous inhibitory postsynaptic currents and action potential firing rate
from GABA neurons. Therefore, Agr50 may provide a potential therapy for DNP.
PMID- 29369096
TI - Electroencephalography and Brain Oxygenation Monitoring in the Perioperative
Period.
AB - Maintaining brain function and integrity is a pivotal part of anesthesiological
practice. The present overview aims to describe the current role of the 2 most
frequently used monitoring methods for evaluation brain function in the
perioperative period, ie, electroencephalography (EEG) and brain oxygenation
monitoring. Available evidence suggests that EEG-derived parameters give
additional information about depth of anesthesia for optimizing anesthetic
titration. The effects on reduction of drug consumption or recovery time are
heterogeneous, but most studies show a reduction of recovery times if anesthesia
is titrated along processed EEG. It has been hypothesized that future EEG-derived
indices will allow a better understanding of the neurophysiological principles of
anesthetic-induced alteration of consciousness instead of the probabilistic
approach most often used nowadays.Brain oxygenation can be either measured
directly in brain parenchyma via a surgical burr hole, estimated from the venous
outflow of the brain via a catheter in the jugular bulb, or assessed
noninvasively by near-infrared spectroscopy. The latter method has increasingly
been accepted clinically due to its ease of use and increasing evidence that near
infrared spectroscopy-derived cerebral oxygen saturation levels are associated
with neurological and/or general perioperative complications and increased
mortality. Furthermore, a goal-directed strategy aiming to avoid cerebral
desaturations might help to reduce these complications. Recent evidence points
out that this technology may additionally be used to assess autoregulation of
cerebral blood flow and thereby help to titrate arterial blood pressure to the
individual needs and for bedside diagnosis of disturbed autoregulation.
PMID- 29369097
TI - In Response.
PMID- 29369098
TI - Intraoperative Assessment of the Relationship Between Nipple Circulation and
Incision Site in Nipple-Sparing Mastectomy With Implant Breast Reconstruction
Using the SPY Imaging System.
AB - INTRODUCTION: Nipple-sparing mastectomy (NSM) and immediate breast reconstruction
with gel implants have grown in popularity because of superior aesthetic
outcomes. One risk of this procedure is overexcision of the flap leading to
inadequate circulation in the breast envelope. METHODS: We investigated 17 cases
of NSM and gel implant breast reconstruction. Patients were divided into an infra
areolar incision group and a supra-areolar incision group. Nipple-areolar complex
perfusion was evaluated using the SPY imaging system after NSM and gel implant
breast reconstruction. We aimed to discover any relationships between the
incision method and nipple-areolar complex (NAC) circulation in NSM and gel
implant breast reconstruction. RESULTS: For successful breast surgery, awareness
of the blood supply to the breast, especially the NAC, is very important. In our
study, with the indocyanine green SPY imaging system, most ingress (arterial
inflow) and egress (venous outflow) rates in the infra-areolar incision group
were better than those in the supra-areolar incision group (P < 0.005).
CONCLUSIONS: We have shown that an infra-areolar incision provides better blood
flow following NSM and gel implant breast reconstruction. In our experience, in
order to prevent the possible ischemia of NAC, we used the smaller gel implants,
which is approximately 10 to 20 mL smaller than the original implant size
measured by the sizer, if the egress rate of NAC is lower than 0.2. These
findings have implications in the clinical setting as surgeons have a choice to
provide a better outcome for patients.
PMID- 29369099
TI - Lymphangiomatous Tumors of the Neck: Tips to Avoid Iatrogenic Nerve Injuries in
the Brachial Plexus Region.
AB - Lymphangiomas are rare malformations of the lymphatic system and can often be
found in the neck region, where its proximity to the brachial plexus may cause
compressive neuropathy and possible iatrogenic injuries during dissection. We
report 4 cases of lymphangiomas with compression of the brachial plexus that were
successfully removed without permanent nerve injuries and present a literature
review of the preoperative approach and surgical techniques. A preoperative
multidisciplinary approach can help surgeons predict what they may encounter
during the surgery. A careful and stepwise dissection of the vital structures
surrounding the tumor, especially the brachial plexus anatomy, is critical to
avoiding severe complications. Incomplete resection or leakage of the lymph could
result in higher rate of recurrence.
PMID- 29369100
TI - Successful Treatment of Plantar Hyperkeratosis in the Form of Recurrent Corns
With Split-Thickness Sole Skin Graft.
AB - INTRODUCTION: Plantar hyperkeratosis, such as corns and calluses, is common in
older people and associated with pain, mobility impairment, and functional
limitations. It usually develops on the palms, knees, or soles of feet,
especially under the heels or balls. There are several treatment methods for
plantar hyperkeratosis, such as salicylic acid plaster and scalpel debridement,
and conservative modalities, such as using a shoe insert and properly fitting
shoes. METHODS: We present an effective method of reconstructing the wound after
corn excision using a split-thickness sole skin graft (STSSG). We harvested the
skin graft from the arch of the sole using the dermatome with a skin thickness of
14/1000th inches. RESULTS: Because the split-thickness skin graft, harvested from
the sole arch near the distal sole, is much thicker than the split-thickness skin
graft from the thigh, it is more resistant to weight and friction. The healed
wound with STSSG coverage over the distal sole was intact, and the donor site
over the sole arch had healed without complication during the outpatient follow
up, 3 months after surgery. CONCLUSIONS: The recovery time of STSSG for corn
excision is shorter than that with traditional treatment. Therefore, STSSG can be
a reliable alternative treatment for recurrent palmoplantar hyperkeratosis.
PMID- 29369101
TI - Course Review: Plastic Surgery for Surgical Trainees.
AB - Early in their careers, trainees contemplating a career in plastic surgery may
have limited specialty experience. Courses can provide valuable insight into
specialty training and help develop necessary basic skills. However, this is not
without a significant financial burden.Plastic Surgery for Surgical Trainees is a
1-day course that only costs L50 GBP (US $65). Accredited by the Royal College of
Surgeons, this course held in Bristol, United Kingdom, offers an inexpensive
practical introduction toward plastic surgical techniques for trainees interested
in plastic surgery and those in other surgical specialties. In this review, we
provide an evaluation of the course.
PMID- 29369102
TI - Does Brow Lift Add Risk to Blepharoplasty? Answers From a Multicenter Analysis of
6126 Patients Undergoing Aesthetic Eye Surgery.
AB - BACKGROUND: Brow lift is frequently combined with blepharoplasty; however, the
literature is lacking on their combined effect on complication rates. OBJECTIVES:
This study aims to evaluate major complication rates after blepharoplasty and
brow lift and to determine if complication rates increase when blepharoplasty and
brow lift were performed simultaneously. METHODS: A prospective cohort of
patients who underwent cosmetic blepharoplasty, brow lift, or a combination of
the 2 procedures between 2008 and 2013 was identified from the CosmetAssure
Insurance database. The primary outcome was a postoperative major complication
requiring emergency room evaluation, hospital admission, or reoperation within 30
days. Groups were compared with univariate analysis (significance, P < 0.05).
RESULTS: A total of 6126 patients underwent aesthetic eye surgery, of which, 4879
(79.6%) underwent blepharoplasty, 441 (7.2%) brow lift, and 806 (13.2%) a
combination the 2 procedures. Patients who underwent a combined procedure were
older than patients who underwent isolated blepharoplasty or brow lift (55.5 +/-
9.4 vs 54.6 +/- 11.1 vs 53.3 +/- 12.0 years; P < 0.01). In males, blepharoplasty
was the most commonly performed procedure, followed by a combined procedure and
brow lift (17.6% vs 12.9% vs 10.7%; P < 0.01). There were similar rates of
smokers between the 3 groups (5.7% vs 8.0% vs 6.6%; P = 0.06). Between combined
procedures, blepharoplasty, and brow lift there, were similar rates of major
complications (0.4% vs 0.4% vs 0.7%; P = 0.65) and hematoma (0.2% vs 0.2% vs
0.5%; P = 0.49), which was the most common complication. CONCLUSIONS: Aesthetic
eye surgery has a very low overall major complication rate (0.4%). When brow lift
is combined with blepharoplasty, it poses no additional risk of major
complications compared with either procedure alone.
PMID- 29369103
TI - Antegrade Intramedullary Pinning in Subacute Fifth Metacarpal Neck Fracture After
Failed Conservative Treatment: A Prospective Comparative Study With Acute
Fracture.
AB - PURPOSE: The purposes of this study were to evaluate the efficacy of antegrade
intramedullary pinning performed for neck fractures with angulations of over 30
degrees after failed conservative treatment during the subacute phase and to
compare the radiologic and clinical results with those of acute fractures with
angulations of over 30 degrees treated via the same procedure. METHODS: Seventy
three patients with a fifth metacarpal neck fracture were admitted to our
institute between January 2010 and April 2015. Among them, 26 patients with an
acute fracture (group 1) and 27 patients with a subacute fracture after failed
conservative treatment who met the inclusion/exclusion criteria were
investigated. After surgery, improvements in angulation and shortening, visual
analog scale score for postoperative pain, Disabilities of the Arm, Shoulder, and
Hand score, active range of motion, and grip strength were evaluated and
compared. RESULTS: The mean durations of surgery from injury were 4.92 and 32.74
days in groups 1 and 2, respectively, with a significant difference (P < 0.001).
The preoperative amounts of angulation were 44.91 and 45.89 degrees, and the
amounts of preoperative shortening were 3.31 and 3.44 mm, respectively, with no
significant difference (P > 0.05). At the final follow-up, the angulation had
definitively improved compared with before surgery in both groups (P < 0.001,
both). However, there was a slight significant difference in terms of the
residual angulation of 3.35 and 5.56 degrees in groups 1 and 2, respectively (P =
0.02). Preoperative shortening was restored in both groups (P < 0.001, both) and
the final state of residual shortening were similar (P = 0.06). The final visual
analog scale scores, Disabilities of the Arm, Shoulder, and Hand scores, range of
motion, and grip strength were all satisfactory in both groups without any
significant difference. CONCLUSIONS: The failed treatment group, which had been
predicted to obtain proper union through the initial use of conservative
treatment, provided an adequate indication for noninvasive antegrade pinning. In
addition, the current study suggested that closed reduction/immobilization
remains a primary recommendation for angulated metacarpal neck fracture as long
as careful observation is conducted if progression of the reduced fracture toward
dorsal angulation is suspected.
PMID- 29369104
TI - Three-Dimensional Analysis of Airway Change After LeFort III Midface Advancement
With Distraction.
AB - INTRODUCTION: LeFort III midface advancement using the distraction technique
became the standard method for the correction of various craniofacial syndromes
accompanied by the midface retrusion. Midface hypoplasia is known to be related
to the imbalance in facial aesthetics, malocclusion, and the airway problem. This
study aimed to evaluate the change in airway volume after performing a LeFort III
midface advancement with the distraction techniques. PATIENTS AND METHODS:
Between April 2008 and February 2013, 7 patients aged 5 to 7 years underwent
standard LeFort III osteotomy, followed by distraction with a rigid external
distractor with or without internal distractor. The degree of advancement of the
midface and the airway volume were evaluated with 3-dimensional computed
tomography scans and the 3-dimensional software. RESULTS: The average latent
period was 3.57 days. The average manual distraction distance was 17.55 mm for
3.82 weeks. The consolidation period ranged from 3 to 7 months. Changes between
the preoperative and postoperative airway volumes were remarkable. The average
preoperative postpharyngeal airway volume was 5649.33 mm compared with an average
postoperative airway volume of 7403.44 mm. Therefore, the average postpharyngeal
airway space increased by a remarkable 32.78%. CONCLUSIONS: This investigation
revealed that the LeFort III midface advancement using distraction could increase
postpharyngeal airway space by approximately 33% when the midface is advanced by
approximately 18 mm. This method could be used as a future reference for LeFort
III midface advancement with distraction.
PMID- 29369105
TI - Monitoring of Myocutaneous Flaps by Measuring Capillary Glucose and Lactate
Levels: Experimental Study.
AB - INTRODUCTION: In surgery, certain defects require reconstruction with a
microsurgical flap. The free flap failure rate varies between 2% and 5%. Vascular
thrombosis is the most frequent complication and represents 15% to 73% of
failures. The success rate of salvage therapy is greater when salvage surgery is
early. Currently, clinical monitoring is the criterion standard but many
noninvasive or minimally invasive techniques have been developed to improve early
diagnosis of complications of vascular thrombosis. The aim of our experimental
study was to compare clinical assessments with measurements of capillary glycemia
and lactatemia during the monitoring of free flaps. MATERIALS AND METHODS:
Myocutaneous latissimus dorsi flaps with skin paddles were created in pigs under
general anesthesia. For each animal, 2 flaps were created (right and left) using
the same technique. Four groups were made: group 1 (no flap ligation: control
group), group 2 (flap with permanent ligation of the artery), group 3 (flap with
permanent ligation of the two veins), group 4 (flap with transient ligation of
the artery and 2 veins for 1 hour). The postoperative monitoring protocol
consisted of monitoring the clinical, biological (glucose and lactate), and
histological parameters. RESULTS: Eight animals were operated on and sixteen
flaps were created. Each flap was clinically and biologically tested 25 times.
Clinical, biological, and histological monitoring showed significant variations
between the groups. The analysis of variance of capillary glycemia and lactatemia
showed statistically significant difference between control group and group 2 (P
< 0,0001), group 3 (P < 0,0001), or group 4 (P < 0,0001). There were no
histological abnormalities after transient ligature at different times contrary
to permanent ligature. DISCUSSION-CONCLUSION: Measuring capillary levels of
lactate and glucose associated with clinical monitoring may shorten the time to
diagnosis of flap failure. Ultimately, this will save lives and achieve better
functional and aesthetic results.
PMID- 29369106
TI - Evidence-Based Practice in Liposuction.
AB - BACKGROUND: The goal of this study is to examine the existing peer reviewed
literature comparing modern adjunctive techniques in liposuction including laser
assisted liposuction (LAL) and ultrasound-assisted liposuction (UAL) to standard
suction-assisted liposuction (SAL). We intend to interpret these findings into a
literature-based clinical application to influence practice patterns. METHODS: A
literature review was conducted using a keyword search in PubMed. Keyword search
items included liposuction, lipoplasty, suction assisted liposuction, ultrasound
assisted liposuction, laser assisted liposuction, tumescent, liposuction
comparison, liposuction review, and combinations therein. Exclusion criteria
included articles with a primary focus on histologic effects of energy devices,
primary animal models, primary opinion papers with no reference to available
data, and industry-sponsored publications. Inclusion criteria included articles
with direct comparison of liposuction modalities, randomized or blinded studies,
and studies with objective outcomes. RESULTS: Twenty-five articles that met the
inclusion criteria comparing SAL to UAL or LAL out of 9972 articles identified
were obtained. The selected literature was assigned into 3 categories: evidence
demonstrating an advantage of 1 modality (SAL, UAL, or LAL) over another,
evidence that showed no benefit of 1 modality over another, and evidence that
demonstrated risks of complications of 1 modality over another. CONCLUSIONS: The
benefits of UAL and LAL over SAL include the following: (1) UAL over SAL in the
treatment of gynecomastia, (2) LAL and UAL over SAL with decreased
hemoglobin/hematocrit in high-volume lipoaspirates, and (3) LAL over SAL with
skin tightening in select areas specifically the submental area. Otherwise, the
literature demonstrates equivocal results among the described techniques with no
clear benefit to set one apart from the other. There appears to be no
demonstrable added benefit to the addition of either UAL or LAL that would urge a
change in practice patterns outside the exceptions listed.
PMID- 29369107
TI - Facelift Practice Evolution: Objective Implementation of New Surgical Techniques.
AB - BACKGROUND: Facial rejuvenation is an elective procedure commonly performed to
combat the consequences of normal aging. The senior author's practice initially
consisted of traditional facelifts with superficial muscular apopneurotic system
plication and/or superficial muscular apopneurotic system flap. After the
development of the minimal access cranial suspension (MACS) technique by Tonnard
et al., the senior author transitioned to an almost exclusive MACS facelift
practice. The purpose of this study was to determine the efficacy of the MACS
technique versus the traditional facelift approach and objectively incorporate
these results into practice. METHODS: A retrospective cohort study design was
performed to identify all patients who underwent a facelift by the senior author
between January 1, 2013, and December 31, 2015. Patient demographics,
comorbidities, type of procedure, complications, revision surgery, and objective
surgeon satisfaction were among the parameters recorded. This was compared with
an historical cohort of the senior author's earlier experience. RESULTS: There
was a statistically significant decrease in the use of the MACS facelift
procedure between this study and the senior authors' seminal study. Within this
study cohort, compared with conventional facelift, the MACS technique was done on
younger patients, proved to have significantly shorter operative time, mean
follow up, and allowed for additional concurrent non-facial procedures when
compared with the traditional approach (all comparisons significant at P < 0.05).
However, continued postoperative neck laxity, submental pleating, and periocular
pleating were seen with the MACS technique. CONCLUSIONS: The current study shows
that the MACS technique is better suited for young patients with lesser cervical
bulk or laxity and provides an opportunity for concurrent nonfacial procedures.
Objective assessment with recognition of the limitations of the MACS technique
versus the traditional facelift approach has led to a change in the senior
author's practice. Although the MACS lift incurs shorter procedure time and
quicker recovery, it does demonstrate limitations when it comes to marked skin
laxity and bulky necks/platysmal banding. Both techniques are used with in line
with the respective limitations and advantages.
PMID- 29369108
TI - Safety of Microsurgery Under Loupes Versus Microscope: A Head-to-Head Comparison
of 2 Surgeons With Similar Experiences.
AB - BACKGROUND: Microsurgery is performed using either the operating microscope or
loupe magnification. Use of the operating microscope is considered the "criterion
standard"; however, loupes are emerging as a safe and reliable technique to
perform microsurgery. The purpose of this study was to analyze the safety of
microsurgery under loupe magnification compared with the microscope. Previous
studies discussing the safety of loupe magnification during microsurgery have
been published; however, this is the first study to compare free flap outcomes
from 2 surgeons at the same institution, each using their respective technique.
METHODS: The outcomes were compared by retrospective chart review of 116
patients, and 148 microvascular free tissue transfers were performed between
January 1, 2013, and July 15, 2016, by 2 surgeons (D.S.) and (M.L.). Patients'
demographics, free flap failure rate, and other surgical complications were
analyzed. Statistical significance was determined by unpaired t test, and chi
analysis was used to determine statistical significance in proportions between
groups. RESULTS: Thirty-eight percent of flaps were performed under *3.5 loupe
magnification and 62% under the operating microscope. Most free flaps used were
deep inferior epigastric perforator or muscle sparing transverse rectus abdominis
flaps (52%) for breast reconstruction, remainder of free flaps included ALT,
radial forearm, and latissimus dorsi for a variety of reconstructive
applications. There was no significant difference between the loupes and
microscope groups in intraoperative anastomotic revision rate (27% vs 17%),
postoperative arterial or venous thrombosis (4.4% vs 2.6%, 5.4% vs 2.2%), flap
loss (3.6% vs 2.2%), or median length of stay (6 days vs 6.5 days). The loupe
magnification group had statistically significant shorter setup time (20 minutes,
P < 0.01). CONCLUSIONS: Consistent with previously reported studies, we found no
statistical difference in free flap outcomes and safety under loupe magnification
compared with the operating microscope. This is the first study to demonstrate
these findings with 2 microsurgeons both in their first 3 years in practice, with
similar training and experience, operating at the same institution and given the
same resources, each using either microscopes or loupes for microsurgery.
PMID- 29369109
TI - Is Unipedicled Transverse Rectus Abdominis Myocutaneous Flap Obsolete Owing to
Superiority of DIEP Flap?
AB - BACKGROUND: Pedicled transverse rectus abdominis myocutaneous (TRAM) flap was
developed by Hartrampf in 1982 for breast reconstruction. Since deep inferior
epigastric perforator (DIEP) flap was popularized, it has become the criterion
standard for abdominally based breast reconstruction owing to its low donor site
morbidity, excellent cosmetic outcomes, and high success rates. The purpose of
this review is to determine if a unilateral pedicle TRAM flap has become obsolete
to DIEP flap. METHODS: Literature review was performed with key words DIEP flap,
pedicled TRAM flap, and comparison of DIEP flap to unilateral pedicled TRAM flap.
Specific journals reviewed were Plastic and Reconstructive Surgery and Annals of
Plastic Surgery. Flaps were compared in the studies with respect to donor site
morbidity, flap-related complications, operative time, length of hospital stay,
patient satisfaction, and cost. RESULTS: Abdominal bulge and/or hernia were found
to be statistically higher in pedicled TRAM patients in most of the studies
reviewed. Also, uniformly flap fat necrosis rate was lower in DIEP patients. Rate
of partial flap necrosis, total flap loss, hematoma, seroma, delayed healing, and
infection varied in the studies with respect to both flaps without definitive
trend towards specific flap technique. Overall patient satisfaction appeared to
be higher in DIEP group in several studies. No significant differences between
length of hospital stay, overall complication rates, and operative times between
DIEP and unilateral pedicled TRAM patients were noted, and the results varied
across different studies. Deep inferior epigastric perforator flap
reconstructions were associated with higher overall cost in one study.
CONCLUSIONS: In a carefully selected patient and circumstances where microsurgery
is suboptimal, unipedicled TRAM flap can be a safe and viable option with
satisfactory outcomes. It should continue to remain as part of core plastic
surgery training and armamentarium of plastic surgeons in autologous breast
reconstruction.
PMID- 29369110
TI - Moffitt Cancer Center Experience of Tissue Expander Breast Reconstruction: Does
Acellular Dermal Matrix Increase Return to the Operating Room?
AB - BACKGROUND: Tissue expander and implant remains the most common technique for
breast reconstruction. A controversial topic within this method is routine use of
acellular dermal matrix (ADM). Acellular dermal matrices have increased risks of
infection, seroma, hematoma, skin flap necrosis, and total complications.
METHODS: After an institutional review board approval, a retrospective chart
review was conducted of 756 tissue expander with implant cases from November 2010
to November 2016 at Moffitt Cancer Center with 2 breast reconstruction surgeons.
Patients were grouped in 2 groups: tissue expander alone reconstruction (TE) and
tissue expander with ADM (TE + ADM). Complications were defined by return visits
to the operating room for irrigation and debridement as well as for subsequent
tissue expander placement. RESULTS: There were 703 patients in the TE group and
53 in the TE + ADM group. Patients undergoing TE + ADM reconstruction were 3
times more likely to experience return to operating room compared with patients
undergoing TE alone (7.5% vs 2.4%). Patients were significantly more likely to
undergo 3 or more subsequent tissue expander placement procedures with TE + ADM
(54.7%) compared with TE alone (4.8%) (P < 0.0001). CONCLUSIONS: Although ADM may
be appropriate for specific patients, its use in tissue expander breast
reconstruction should be judiciously selected, because there is an observed
increase in complications needing return to the operating room.
PMID- 29369111
TI - The Impact of Perioperative Hormonal Therapy for Breast Cancer on Transverse
Rectus Abdominis Myocutaneous Flap Abdominal Complications.
AB - BACKGROUND: The pedicled transverse rectus abdominis myocutaneous (TRAM) flap is
a reliable reconstructive option in breast cancer patients; however, it carries
known risk of donor site hernia formation. Some hormonal therapy drugs have been
associated with hernia formation in animal models. Minimal data exist concerning
impact of hormonal therapy for breast cancer on abdominal donor site
complications after breast reconstruction. METHODS: Patients who underwent TRAM
flap for breast cancer or high-risk status at a single institution by the senior
author from 2003 to 2015 were identified. Charts were reviewed. Patient
demographics, comorbidities, treatments, and abdominal complications were
recorded. Patients were divided into groups based on use of hormonal therapy as
well as exposure to specific drugs. Statistical analyses were performed. RESULTS:
A total of 358 patients were included. Overall hernia rate was 5.9%. About 231
(64.5%) patients had hormonal therapy, whereas 127 (35.5%) did not. Difference in
hernia formation was not statistically significant between the hormonal therapy
group (6.9%) and the no hormonal therapy group (3.9%; P = 0.359). Patients
exposed to tamoxifen and those exposed to anastrozole had no significant
difference in complication rates compared with the no hormonal therapy group,
whereas patients exposed to letrozole had increased rate of hernia (13.5%; P =
0.037) and infection (21.6%; P = 0.013) compared with the no hormonal therapy
group (3.9% and 7.1%, respectively). CONCLUSIONS: Hormonal therapy is a useful
adjunct for chemoprevention in breast cancer; however, use of letrozole in
patients undergoing reconstruction with pedicled TRAM can lead to increase in
certain complication rates.
PMID- 29369112
TI - Anisocytosis and leukocytosis are independently related to survival after
transcatheter aortic valve replacement.
PMID- 29369113
TI - The Influence of Presurgical Factors on the Rehabilitation Outcome of Patients
Following Hip Arthroplasty.
AB - PURPOSE: The aims of this study were to evaluate the relationship between
sociodemographic information, anthropometric values, clinical and presurgery
factors, and length of stay (LOS) in older adult patients undergoing total hip
arthroplasty (THA) and to predict which factors can delay the start of the
rehabilitation program and increase the corresponding LOS. METHODS: A prospective
cohort study was conducted in an orthopedic inpatient unit with 40 patients
undergoing THA. FINDINGS: The Morse Fall Scale scores and pain intensity scores
delayed the commencement of the rehabilitation program. Gender and social support
were important determinants of LOS and rehabilitation outcome following THA. The
weight of the lower limb without osteoarthritis followed by pain intensity and
overweight patients also influenced LOS. CONCLUSIONS/CLINICAL RELEVANCE:
Functional outcomes after THA are variable, and the rehabilitation process is an
important factor to regain their normal level of physical functioning. This
factor can have an impact in the discharge of patients, in resource allocation
and in health care of older adult patients.
PMID- 29369114
TI - Resting energy metabolism and anticancer treatments.
AB - PURPOSE OF REVIEW: Cachexia is a multifactorial syndrome associated with
morbidity and mortality in cancer patients and represents a major challenge in
cancer management. Elevated energy expenditure is supposed to contribute to
cachexia. The current article presents the recent findings on the resting energy
expenditure (REE) in cancer and the clinical implications for anticancer
treatments. RECENT FINDINGS: Half of cancer patients present with hypermetabolism
(measured REE >110% of predicted REE). Hypermetabolism is associated with
clinical and biological features of cachexia. Hypermetabolic patients - even
those with normal nutritional status - have a high risk of severe acute toxicity
and a poor prognosis. SUMMARY: Recent discoveries have highlighted the REE as an
essential component of nutritional assessment in cancer patients. Multimodal care
for cachexia should include REE measurements and dedicated pharmacologic
interventions such as adrenoreceptor blockade in case of hypermetabolism.
PMID- 29369115
TI - Are the days of counting seizures numbered?
AB - PURPOSE OF REVIEW: The estimation of seizure frequency is a cornerstone of
clinical management of epilepsy and the evaluation of new therapies. Current
estimation approaches are significantly limited by several factors. Comparing
patient diaries and objective estimates (through both inpatient video-EEG
monitoring of and long-term ambulatory EEG studies) reveal that patients document
seizures inaccurately. So far, few practical alternative methods of estimation
have been available. RECENT FINDINGS: We review the systems of counting currently
utilized and their limitations, as well as the limitations imposed by problems
defining clinical events. Alternative methodologies that permit the volatility of
seizure rates to be accommodated, and possible alternative measures of brain
excitability will be outlined. Recent developments in technologies around data
capture, such as wearable and implantable devices, as well as significant
advances in the ability to analyse the large data-sets supplied by these systems
have provided a wealth of information. SUMMARY: There are now unprecedented
opportunities to utilize and apply these insights in routine clinical management
and assessment of therapies. The rapid adoption of long-term, wearable monitoring
systems will permit major advances in our understanding of the natural history of
epilepsy, and lead to more effective therapies and improved patient safety.
PMID- 29369116
TI - Imaging Findings in Purtscher-Like Retinopathy Associated With Leukemia.
PMID- 29369117
TI - Does goal-directed haemodynamic and fluid therapy improve peri-operative
outcomes?: A systematic review and meta-analysis.
AB - BACKGROUND: Much uncertainty exists as to whether peri-operative goal-directed
therapy is of benefit. OBJECTIVES: To discover if peri-operative goal-directed
therapy decreases mortality and morbidity in adult surgical patients. DESIGN: An
updated systematic review and random effects meta-analysis of randomised
controlled trials. DATA SOURCES: Medline, Embase and the Cochrane Library were
searched up to 31 December 2016. ELIGIBILITY CRITERIA: Randomised controlled
trials enrolling adult surgical patients allocated to receive goal-directed
therapy or standard care were eligible for inclusion. Trauma patients and
parturients were excluded. Goal-directed therapy was defined as fluid and/or
vasopressor therapy titrated to haemodynamic goals [e.g. cardiac output (CO)].
Outcomes included mortality, morbidity and hospital length of stay. Risk of bias
was assessed using Cochrane methodology. RESULTS: Ninety-five randomised trials
(11 659 patients) were included. Only four studies were at low risk of bias.
Modern goal-directed therapy reduced mortality compared with standard care [odds
ratio (OR) 0.66; 95% confidence interval (CI) 0.50 to 0.87; number needed to
treat = 59; N = 52; I = 0.0%]. In subgroup analysis, there was no mortality
benefit for fluid-only goal-directed therapy, cardiac surgery patients or
nonelective surgery. Contemporary goal-directed therapy also reduced pneumonia
(OR 0.69; 95% CI, 0.51 to 0. 92; number needed to treat = 38), acute kidney
injury (OR 0. 73; 95% CI, 0.58 to 0.92; number needed to treat = 29), wound
infection (OR 0.48; 95% CI, 0.37 to 0.63; number needed to treat = 19) and
hospital length of stay (days) (-0.90; 95% CI, -1.32 to -0.48; I = 81. 2%). No
important differences in outcomes were found for the pulmonary artery catheter
studies, after accounting for advances in the standard of care. CONCLUSION: Peri
operative modern goal-directed therapy reduces morbidity and mortality.
Importantly, the quality of evidence was low to very low (e.g. Grading of
Recommendations, Assessment, Development and Evaluation scoring), and there was
much clinical heterogeneity among the goal-directed therapy devices and
protocols. Additional well designed and adequately powered trials on peri
operative goal-directed therapy are necessary.
PMID- 29369118
TI - Administration of Cabergoline Contributes to Preserving Fertility in Young
Hyperprolactinemic Patients With Endometrial Cancer Treated With
Medroxyprogesterone Acetate.
AB - BACKGROUND: An association between high levels of serum prolactin and endometrial
cancer (EC) has been reported. However, the effect of antiprolactin drugs on
hyperprolactinemic patients with EC has not been determined. The aim of this
study was to confirm the effect of cabergoline on young hyperprolactinemic
patients treated with medroxyprogesterone acetate (MPA) for the preservation of
fertility. METHODS: A retrospective observational study was conducted to identify
patients with atypical endometrial hyperplasia or early-stage EC aged 40 years or
younger who were treated with oral MPA in Kumamoto University Hospital between
1998 and 2016. RESULTS: Thirty-four patients were identified and divided into two
groups of 17 patients each, including a nonadministration of cabergoline group
(noncabergoline group) and an administration of cabergoline group (cabergoline
group). The ratio of pathological diagnoses of EC in the noncabergoline group was
significantly lower than that in the cabergoline group (29.4% vs 70.6%, P =
0.016). The mean serum prolactin levels showed a significant decrease after the
administration of cabergoline in the cabergoline group (25.2 [24.0] vs 5.2 [4.2]
ng/mL, P = 0.003), and this decreased level was also significantly lower than
that in the noncabergoline group (5.2 [4.2] vs 12.0 [5.0] ng/mL, P < 0.001).
Kaplan-Meier analysis conducted for 150 months revealed that the estimated mean
period until hysterectomy in the noncabergoline group was significantly shorter
than that in the cabergoline group (83.5 vs 140.8 months, P = 0.007). Significant
differences were observed in EC but not atypical endometrial hyperplasia based on
histological classification (25.6 vs 138.0 months, P = 0.001). CONCLUSIONS: The
administration of cabergoline may contribute to preserving fertility in young
hyperprolactinemic patients with EC who were treated with MPA.
PMID- 29369119
TI - Disease-Free and Survival Outcomes for Total Laparoscopic Hysterectomy Compared
With Total Abdominal Hysterectomy in Early-Stage Endometrial Carcinoma: A Meta
analysis.
AB - OBJECTIVES: Laparoscopic hysterectomy is currently offered to a large number of
patients, and assessing the noninferiority to abdominal hysterectomy with respect
to clinical outcomes is key. We examine rates of recurrence, disease-free
survival (DFS), and overall survival, and surgical complications of laparoscopic
compared with abdominal hysterectomy for the treatment of early-stage endometrial
cancer. METHODS: Electronic databases were systematically searched to identify
relevant studies, and patient characteristics and clinical outcomes extracted.
The primary outcome was 3-year DFS, and estimates were pooled using an inverse
variance weighted meta-analysis. RESULTS: Nine studies (4405 patients) were
identified in which DFS was reported in 5 studies. The difference in 3-year DFS
was 1.44% (95% confidence interval [CI], -0.65% to 3.53%) in favor of total
abdominal hysterectomy, consistent with a noninferiority margin of 5%.
Differences in DFS (hazard ratio, 1.10; 95% CI, 0.92-1.32), overall survival
(hazard ratio, 1.16; 95% CI, 0.81-1.66), and local recurrence (difference, 0.42%;
95% CI, -0.41% to 1.25%) were not significant. Rates of intraoperative
complications showed no difference (0.5%; 95% CI, -1.1% to 2.0%) based on 7
studies. There was a significant reduction in postoperative complications with
the laparoscopic procedure (-6.83%; 95% CI, -9.19% to -4.47%). CONCLUSIONS:
Noninferiority of laparoscopy was demonstrated on clinical outcomes and was
associated with a reduction in postoperative complications. These results support
continued treatment by laparoscopic hysterectomy for early-stage endometrial
cancer.
PMID- 29369120
TI - Activity Behaviors and Physiological Characteristics of Women With Advanced-Stage
Ovarian Cancer: A Preliminary Cross-sectional Investigation.
AB - OBJECTIVES: Ovarian cancer (OC) survivors experience many disease and treatment
adverse effects. However, the impact of OC and its treatment on objective
activity behaviors and physiological status have not been examined. The purpose
of this study was to compare objectively measured activity behaviors and
physiological characteristics of advanced-stage OC survivors to age-matched
controls. METHODS: Twenty stage III-IV OC survivors and 20 controls completed
assessments of activity behaviors (7-day accelerometry), physical function (400
meter walk as indicator of cardiorespiratory fitness, repeated chair rise, 6
meter walking tests), muscle strength (1-repetition maximum and handgrip), body
composition (dual-energy x-ray absorptiometry), and musculoskeletal morphology
(peripheral quantitative computed tomography). RESULTS: Compared with controls,
OC survivors spent more time/day in prolonged sedentary bouts (P = 0.039, r =
0.32), had lower cardiorespiratory fitness (P = 0.041, r = 0.33) and upper body
strength (P = 0.023, r = 0.37), had higher areal bone mineral content (P = 0.047,
r = 0.33) and volumetric trabecular density (P = 0.048, r = 0.31), but were not
different in other measures of body composition nor in muscle morphology (P >
0.050). Only 20% (n = 4) of OC survivors accrued 150 minutes/week or greater
moderate and vigorous physical activity (MVPA) time in 10-minute bouts or
greater. Moderate and vigorous physical activity time/day in 10-minute bouts or
greater was strongly associated with cardiorespiratory fitness (P = 0.001, rho =
0.702) and lower extremity function (P = 0.019, rho = -0.519) and moderately
associated with muscle cross-sectional area (P = 0.035, rho = 0.473).
CONCLUSIONS: Posttreatment OC survivors spent more time in prolonged sedentary
bouts and had lower cardiorespiratory fitness and upper body strength compared
with controls. Moderate and vigorous physical activity was associated with
physical function and muscle cross-sectional area. Future studies should test the
efficacy of exercise interventions to increase MVPA, reduce sedentary behavior,
and increase cardiorespiratory fitness and muscle strength in OC survivors.
PMID- 29369121
TI - Quality and Cultural Sensitivity of Linguistically Appropriate Cardiovascular
Disease Information for Chinese Immigrants: A Review of Online Resources From
Heart Foundations.
AB - BACKGROUND: Chinese immigrants are at an increased risk for cardiovascular
diseases (CVDs) compared with Chinese nationals partly because of lifestyle
changes and knowledge deficits. Translated patient resources are available on the
Internet and are often provided by health professionals; however, the quality and
cultural sensitivity of these resources have not been reported. OBJECTIVE: The
aim of this study was to assess the availability, quality, and cultural
sensitivity of Chinese-language information available from national "Heart
Foundations" (cardiac research bodies, nongovernmental organisations) of the 5
most popular destinations of Chinese immigration. METHODS: This study is a
descriptive research in which national "Heart Foundation" websites were
systematically searched for Chinese-language CVD patient education resources.
Quality (content, identification, structure) was assessed using the Ensuring
Quality Information for Patients instrument. Cultural sensitivity was evaluated
using the Cultural Sensitivity Assessment Tool. RESULTS: From 107 identified
resources, 33 were CVD specific: coronary heart disease (n = 20), arrhythmias (n
= 7), and heart failure (n = 6). Quality of resources was adequate (mean Ensuring
Quality Information for Patients score, 69%), but scores varied significantly
(min, 60%; max, 85%). Although all resources were classified as culturally
sensitive (Cultural Sensitivity Assessment Tool score >= 2.5), 2 resources scored
low (<=2.5) for visual impact, and across all resources, written and visual
domains were assessed as least culturally sensitive. Most resources lacked
culturally specific references. CONCLUSIONS: Chinese-language CVD resources were
inconsistent in the supply of key information. Quality and level of cultural
sensitivity were adequate, but most resources lacked culturally specific
references. Comprehensive, high-quality CVD resources powered by Editorial
Manager and ProduXion Manager from Aries Systems Corporation tailored for Chinese
immigrants are urgently needed for healthcare providers to support CVD education
and care of patients belonging to this population.
PMID- 29369122
TI - Effects of Static Stretching Exercise on Lumbar Flexibility and Central Arterial
Stiffness.
AB - BACKGROUND: Previous studies have demonstrated that arterial stiffness is
associated with lumbar flexibility (LF). Stretching exercise targeted to improve
LF may have a beneficial effect on reducing arterial stiffness. OBJECTIVES: We
examined the effects of a single bout of a structured, static stretching exercise
on arterial stiffness, LF, peripheral and central blood pressure (BP), and heart
rate (HR) and tested the association between LF and central arterial stiffness.
METHOD: The study had a pretest-posttest design without a control group. Thirty
healthy women followed a video demonstration of a 30-minute whole-body stretching
exercise. Carotid-femoral pulse wave velocity (cf-PWV), augmentation index, LF,
peripheral and central BP, and HR were measured before and after the stretching
exercise. RESULTS: One bout of a static stretching exercise significantly reduced
cf-PWV (t29 = 2.708, P = .011) and HR (t29 = 7.160, P = .000) and increased LF
(t29 = 12.248, P < .000). Augmentation index and peripheral and central BP also
decreased but did not reach statistical significance. Despite no association
found between cf-PWV and LF, the larger increase in LF the subjects had, the
larger decrease in cf-PWV they had after exercise (r = 0.500, P = .005).
CONCLUSIONS: Study findings highlight the potential benefit of a static
stretching exercise on central arterial stiffness, an independent predictor of
cardiovascular morbidity. Static stretching exercise conducted in the sitting
position may be used as an effective intervention to reduce cardiovascular risk
after a cardiac event or for patients whose sympathetic function should not be
overly activated or whose gaits are not stable.
PMID- 29369123
TI - Effects of a Tablet Computer on Self-care, Quality of Life, and Knowledge: A
Randomized Clinical Trial.
AB - BACKGROUND: Conflicting results have been reported for telemonitoring in patients
with heart failure (HF). We wanted to evaluate whether patients using a tablet
computer aimed at improving self-care behavior could do so and also whether it
affects quality of life and health-related quality of life, disease knowledge,
and in-hospital days. METHODS AND RESULTS: Patients with HF (n = 82) were
randomized to the intervention group (IG) with a tablet computer (giving
information and advice) or the control group (CG) that was subject to standard
care. Study was completed by 72 patients, with a mean (SD) age of 75 (8) years,
68% male, and 74% NYHA class III. Self-care behavior measured with the 9-item
European Heart Failure Self-Care Behaviour Scale, health related quality of life
measured by the Kansas City Cardiomyopathy Questionnaire, quality of life
measured by the Swedish version of the Health Survey, knowledge measured by the
Dutch Heart Failure Knowledge Scale, days in hospital, and adherence were
analyzed. The IG displayed better 9-item European Heart Failure Self-Care
Behaviour Scale score (median IG, 16.5 [interquartile range {IQR}, 12-22], vs
median CG, 23.5 [IQR, 18.8-30.0]; P < .05) and improved health related quality of
life (median IG, 72.7 [IQR, 50.8-87.9], vs median CG, 51.8 [IQR, 40.9-62.8]; P <
.05). A significant difference in knowledge was seen, with an 11% increase in IG
and a 1% decrease in CG (P < .05), as well as a reduction in hospital days in IG
by 2.7 days per patient (relative risk, 0.72; 95% confidence interval, 0.61-0.84;
P < .05). CONCLUSION: The tablet computer significantly improved self-care
behavior and health related quality of life, increased HF knowledge, and reduced
hospital days.
PMID- 29369124
TI - Are We Too Quick to Alter Our Practice Patterns Because of the Results of a
Randomized Controlled Trial?
PMID- 29369125
TI - Derotational Pronation-producing Osteotomy of the Radius and Biceps Tendon
Rerouting for Supination Contractures in Neonatal Brachial Plexus Palsy Patients.
AB - Forearm supination contractures can occur as a result of neurological derangement
of the upper extremity. Primarily, this is observed in patients with neonatal
brachial plexus birth palsy. The contractures develop slowly over time and become
problematic in childhood as the patients begin requiring forearm pronation for
activities of daily living including typing on a keyboard and writing. Although
supination contracture is a well-described sequelae of neonatal brachial plexus
birth palsy, there is a paucity of literature describing techniques and outcomes
for reconstruction and restoration of forearm pronation. The initially described
technique included release of the interosseous membrane for flexibility combined
with rerouting of part of the biceps tendon to change its biomechanical pull from
supination to pronation. More recently, bone and soft tissue procedures have been
combined with various forearm osteotomies. We present a combined derotational
radial osteotomy and biceps rerouting to realign the forearm in resting pronation
and convert the biceps from a supinator to a pronator. This novel surgical
technique has not been described as a solution for supination contracture to
restore pronation and provide biomechanical advantage of the biceps insertion.
PMID- 29369126
TI - New Beginnings.
PMID- 29369127
TI - Caring for the Caregiver.
PMID- 29369129
TI - MACRA and the Quality Payment Program: How Does It Relate to Orthopaedic Nursing?
PMID- 29369128
TI - MACRA and the Quality Payment Program: How Does It Relate to Orthopaedic Nursing?
AB - The introduction of 2017 also brought with it the beginning of the Medicare
Access and CHIP Reauthorization Act of 2015 (MACRA) legislation related to the
Quality Payment Program (QPP), in addition to alternative payment models and the
merit-based incentive payment system. The successful implementation of the QPP
within the specialty of orthopaedics will rely heavily on the active involvement
of orthopaedic nurses when it comes to improving quality, lowering costs, and
incorporating value. It is important for orthopaedic nurses to understand the QPP
and the role it plays in determining value-based payment of orthopaedic care
delivery, in addition to how the structure of the QPP correlates with nursing
diagnoses and respective plans of care delivery.
PMID- 29369131
TI - The Diabetic Foot Assessment.
PMID- 29369130
TI - The Diabetic Foot Assessment.
AB - The diabetic foot assessment is a key component in the care of a patient with
diabetes. The assessment includes risk factor identification in both the diabetic
patient's history and physical examination, foot care education, treatment, and
referrals as needed. The foot complications related to diabetes such as
peripheral neuropathy, foot ulceration, and amputation can be life altering. The
American Diabetes Association recommends a diabetic foot examination annually for
patients with diabetes with foot care education. Diabetic foot assessment may be
recommended more frequently for individuals with risk factors contributing to
ulceration, peripheral arterial disease, and peripheral neuropathy. This article
reviews the diabetic foot assessment that nurses in healthcare settings and other
healthcare professionals should use when caring for a diabetic patient.
PMID- 29369132
TI - Development and Validity Testing of an Arthritis Self-Management Assessment Tool.
AB - BACKGROUND: Because of the chronic, progressive nature of arthritis and the
substantial effects it has on quality of life, patients may benefit from self
management. However, no valid, reliable self-management assessment tool has been
devised for patients with arthritis. PURPOSE: This study was conducted to develop
a comprehensive self-management assessment tool for patients with arthritis, that
is, the Arthritis Self-Management Assessment Tool (ASMAT). METHODS: To develop a
list of qualified items corresponding to the conceptual definitions and
attributes of arthritis self-management, a measurement model was established on
the basis of theoretical and empirical foundations. Content validity testing was
conducted to evaluate whether listed items were suitable for assessing arthritis
self-management. Construct validity and reliability of the ASMAT were tested.
Construct validity was examined using confirmatory factor analysis and
nomological validity. RESULTS: The 32-item ASMAT was developed with a sample
composed of patients in a clinic in South Korea. Content validity testing
validated the 32 items, which comprised medical (10 items), behavioral (13
items), and psychoemotional (9 items) management subscales. Construct validity
testing of the ASMAT showed that the 32 items properly corresponded with
conceptual constructs of arthritis self-management, and were suitable for
assessing self-management ability in patients with arthritis. Reliability was
also well supported. CONCLUSION: The ASMAT devised in the present study may aid
the evaluation of patient self-management ability and the effectiveness of self
management interventions. The authors believe the developed tool may also aid the
identification of problems associated with the adoption of self-management
practice, and thus improve symptom management, independence, and quality of life
of patients with arthritis.
PMID- 29369133
TI - A Systematic Integrative Review of Pin Site Crusts.
AB - There continues to be a lack of knowledge in the overall management of pin site
crusts for orthopaedic clinicians to make evidence-based decisions on their
retention or removal. The goal of pin site care is to reduce, or where possible,
prevent pin site infection. Understanding the role of pin site crusts in the
management of the pin site and prevention of pin site infection is important. The
aim of this systematic integrative review is to explore the effectiveness of pin
site crusts as a biological dressing versus the removal of pin site crusts in pin
site care and prevention of pin site infection. Three electronic databases were
used to conduct a systematic search. The methodologies of five studies that met
inclusion criteria were appraised using the Mixed Method appraisal Tool. Findings
reveal that pin site crusts have similar properties to that of a dressing, as the
crusts are able to act as a barrier between the insertion site of the pin and
external environment, which can reduce infection. Additional high-quality
evidence is required to solidify the effectiveness of pin site crusts as a
biological dressing.
PMID- 29369134
TI - Posttraumatic Stress, Depressive Emotions, and Satisfaction With Life After a
Road Traffic Accident.
AB - BACKGROUND: The psychological response of injured people after traffic accidents
includes stress and depression. PURPOSE: To assess orthopaedic patients' stress,
depression, and satisfaction with life after traffic accidents in Greece.
METHODS: Descriptive, longitudinal, correlational study. Patients' background
factors, injury severity, scores on the Impact of Events Scale-Revised (IES-R),
the Center for Epidemiologic Studies Depression (CES-D) Scale, and the
Satisfaction With Life Quality (SWLQ) Scale were recorded. The principles of the
Declaration of Helsinki were applied. RESULTS: In total, 60 patients participated
in this study during hospitalization following a road traffic accident and 40
patients at 6 months after. Participants were mostly men (75%) with severe
injuries (50%). The IES-R score at 6 months was significantly lower than during
hospitalization. One out of 3 people had a CES-D score, which is considered of
clinical significance. The SWLQ scores were considered high. CONCLUSION: As
posttraumatic stress and depression seem to affect a considerable percentage of
people involved in road traffic accidents in Greece, these individuals should be
assessed for posttraumatic stress and depression while still hospitalized.
PMID- 29369136
TI - Health Literacy, Health Disparities, and Sources of Health Information in U.S.
Older Adults.
PMID- 29369135
TI - Health Literacy, Health Disparities, and Sources of Health Information in U.S.
Older Adults.
AB - BACKGROUND: Low health literacy in older adults has been associated with poor
health outcomes (i.e., mortality, decreased physical and cognitive functioning,
and less preventive care utilization). Many factors associated with low health
literacy are also associated with health disparities. Interaction with healthcare
providers and sources of health information are influenced by an individual's
health literacy and can impact health outcomes. PURPOSE: This study examined the
relationships between health literacy, sources of health information, and
demographic/background characteristics in older adults (aged 65 years and older)
related to health literacy and disparities. METHODS: This descriptive,
correlational study is a secondary analysis of the 2003 National Assessment of
Adult Literacy, a large-scale national assessment. RESULTS: Older adults with
lower health literacy have less income and education, rate their health as poor
or fair, have visual or auditory difficulties, need help filling out forms,
reading newspaper, or writing notes, and use each source of health information
less (print and nonprint). Many of these characteristics and skills are
predictive of health literacy and associated with health disparities. CONCLUSION:
The results expand our knowledge of characteristics associated with health
literacy and sources of health information used by older adults. Interventions to
improve health outcomes including health disparities can focus on recognizing and
meeting the health literacy demands of older adults.
PMID- 29369137
TI - Lumbar Degenerative Disease With Intervertebral Disk Herniation.
PMID- 29369138
TI - Lumbar Degenerative Disease With Intervertebral Disk Herniation.
PMID- 29369139
TI - Simple Sling and Sling With Abductor Pillow: What's Wrong With These Pictures?
PMID- 29369140
TI - New Certificants April 1-June 30, 2017.
PMID- 29369143
TI - NAON Congress Program.
PMID- 29369142
TI - New Certificants July 1-September 30, 2017.
PMID- 29369144
TI - Familial clustering of hypertensive target organ damage in the community.
AB - OBJECTIVES: Prior studies suggest that hypertensive target organ damage (TOD) is
a heritable trait. However, the risk that parental TOD confers on propensity for
TOD in their offspring, and how hypertensive TOD clusters in the context of
parental versus offspring hypertension status remain unclear. METHODS: We studied
3238 Framingham Heart Study participants (mean age 39 +/- 8 years, 53% women)
with available parental data on TOD. Parents and offspring underwent measurements
for left ventricular hypertrophy, increased relative wall thickness, albuminuria
and conventional risk factors. RESULTS: Prevalence of any TOD (left ventricular
hypertrophy or albuminuria) in participants with zero and at least one parents
with any TOD was 7 and 13%, respectively (P < 0.001 for difference). Having at
least one parent with TOD was associated with greater odds of TOD in offspring
than individuals without parental TOD [multivariable-adjusted odds ratio (OR),
1.65; 95% confidence interval (95% CI), 1.27-2.14]. Similarly, parental left
ventricular hypertrophy was associated with offspring left ventricular
hypertrophy (OR, 2.73; 95% CI 1.92-3.89), parental increased relative wall
thickness conferred increased odds of increased relative wall thickness in the
offspring (OR, 1.54; 95% CI 1.16-2.04) and parental albuminuria was related to
offspring albuminuria (OR, 1.49; 95% CI 1.03-2.16). These associations remained
significant upon adjustment for other risk factors, including blood pressure, and
in analyses of subgroups defined according to parental or offspring hypertension
status. CONCLUSION: Overall, our data suggest that familial clustering of TOD in
the community is independent of blood pressure. Additional studies are warranted
to confirm our observations.
PMID- 29369145
TI - A system view and analysis of essential hypertension.
AB - OBJECTIVES: The goal of this study was to investigate genes associated with
essential hypertension from a system perspective, making use of bioinformatic
tools to gain insights that are not evident when focusing at a detail-based
resolution. METHODS: Using various databases (pathways, Genome Wide Association
Studies, knockouts etc.), we compiled a set of about 200 genes that play a major
role in hypertension and identified the interactions between them. This enabled
us to create a protein-protein interaction network graph, from which we
identified key elements, based on graph centrality analysis. Enriched gene
regulatory elements (transcription factors and microRNAs) were extracted by motif
finding techniques and knowledge-based tools. RESULTS: We found that the network
is composed of modules associated with functions such as water retention,
endothelial vasoconstriction, sympathetic activity and others. We identified the
transcription factor SP1 and the two microRNAs miR27 (a and b) and miR548c-3p
that seem to play a major role in regulating the network as they exert their
control over several modules and are not restricted to specific functions. We
also noticed that genes involved in metabolic diseases (e.g. insulin) are central
to the network. CONCLUSION: We view the blood-pressure regulation mechanism as a
system-of-systems, composed of several contributing subsystems and pathways
rather than a single module. The system is regulated by distributed elements.
Understanding this mode of action can lead to a more precise treatment and drug
target discovery. Our analysis suggests that insulin plays a primary role in
hypertension, highlighting the tight link between essential hypertension and
diseases associated with the metabolic syndrome.
PMID- 29369146
TI - Interaction between physical activity and television time on blood pressure
level: cross-sectional data from 45 000 individuals.
AB - OBJECTIVES: The aim was to investigate if there is an interaction between sitting
time and leisure time physical activity on blood pressure and if there are age
differences and sex differences in this respect. METHODS: Linear regression
analysis on cross-sectional data was performed in more than 45 000 men and women
from two Swedish cohort studies, EpiHealth (45-75 years) and LifeGene (18-45
years). Self-reported leisure time physical activity was given in five levels
from low (level 1) to vigorous physical activity (level 5) and television time
was used as a proxy measure of sitting time. RESULTS: High physical activity was
associated with lower DBP (P = 0.001), but not SBP. Active middle-aged men had
lower DBP (-1.1 mmHg; 95% CI -1.7 to -0.4) compared with inactive participants.
Prolonged television time was associated with higher SBP (P < 0.001) and DBP (P =
0.011) in both sexes and in most age groups. Watching 3 h instead of 1 h
television per day was associated with higher SBP in middle-aged women (SBP: 1.1
mmHg; 95% CI 0.7-1.4) and men (SBP: 1.2 mmHg; 95% CI 0.8-1.6). Only in young men,
a high physical activity (level 4 instead of level 1) could compensate for a
prolonged television time (3 h per day) in terms of DBP. CONCLUSION: Prolonged
television time was associated with higher SBP and DBP in both sexes and at most
ages, whereas an increased physical activity was mainly associated with a lower
DBP. Only in young men, a high physical activity could compensate for prolonged
television time regarding DBP.
PMID- 29369147
TI - Target home morning SBP be below 125 mmHg in type 2 diabetes patients.
AB - BACKGROUND: It is not established to what extent self-monitoring of home BP be
lowered in patients with type 2 diabetes. We tested the hypothesis that the
appropriate home morning SBP cutoff value is 125 mmHg in our stratification of
cardiovascular risk in type 2 diabetes. METHOD: Clinic and home BP monitoring
were performed in 4308 individuals (1057 people with diabetes and 3251,
nondiabetes), and we tested two cutoff values of home morning SBP (MSBP): 135 and
125 mmHg. Multivariable Cox regression analyses adjusting for age, sex, body mass
index, history of cardiovascular events, presence of chronic kidney disease, and
atrial fibrillation were used for incident cardiovascular events. Main outcomes
included stroke, myocardial infarction, sudden death, and acute aortic
dissection. RESULTS: A Kaplan-Meyer analysis revealed that MSBP at least 135 mmHg
was significantly associated with cardiovascular events in both diabetes and
nondiabetes groups (log-rank test, both P < 0.01), whereas MSBP at least 125 mmHg
was significantly associated with cardiovascular events in the diabetes group (P
= 0.012) but not nondiabetes group. In a multivariable analysis, home MSBP at
least 135 mmHg was significantly associated with cardiovascular events in the
diabetes group [hazard ratio 2.45, 95% confidence interval (CI) 1.17-5.14, P =
0.017) and nondiabetes group (hazard ratio 1.79, 95% CI 1.08-2.97, P = 0.024).
Home MSBP at least 125 mmHg was an independent predictor of cardiovascular events
in the diabetes group (hazard ratio 4.35, 95% CI 1.04-18.25, P = 0.045) but not
in the nondiabetes group. CONCLUSION: In patients with type 2 diabetes, the 125
mmHg cutoff value for home MSBP would be the appropriate cutoff value in
predicting combined cardiovascular outcomes.
PMID- 29369148
TI - Focus on diagnostic and therapeutic aspects of hypertension.
PMID- 29369149
TI - Age of Infection with Kaposi Sarcoma-Associated Herpesvirus and Subsequent
Antibody Values Among Children in Uganda.
AB - We investigated associations between Kaposi sarcoma-associated herpesvirus (KSHV)
seroconversion age and KSHV antibody values in Ugandan children. Every annual
delay in KSHV seroconversion age was associated with a reduction of 19% (P <
0.0001) in K8.1 and 27% (P < 0.0001) in ORF73 antibody values at 6 years of age.
Early infection may be an important risk factor for KSHV pathogenesis and viral
shedding in saliva, leading to transmission.
PMID- 29369150
TI - Improving Outcomes of Posterior Approach Levatorpexy for Congenital Ptosis With
Reduced Levator Function.
AB - PURPOSE: The authors present a new series of our experience using posterior
approach levatorpexy for congenital ptosis with poorer levator function (LF) in
comparison with our first published report. This technique avoids a skin incision
or any resection in addition to no excision of tissue. METHODS: A consecutive
series of 16 patients. Retrospective review of levatorpexy for congenital ptosis.
Data included eyelid margin reflex distance 1, pretarsal show, contour, and
complications, including nocturnal lagophthalmos, eyelid lag on downgaze, and dry
eye. Surgery was considered successful if the following 4 criteria were
simultaneously met: a postoperative margin reflex distance 1 of >=2 mm and <=4.5
mm, intereyelid height asymmetry of <=1 mm, no overcorrection compare to opposite
eye, and satisfactory eyelid contour. RESULTS: Mean age was 10.3 years (range 1
26 years). Mean LF was 7.3 mm (2-14 mm), while 66% (12) had LF <=7 mm.
Preoperative phenylephrine test was positive in 87.5% of patients. Mean
preoperative and postoperative margin reflex distance 1 was 1.34 mm and 3.2 mm,
respectively. Fourteen patients (87%) achieved the desired eyelid height and
fulfilled our criteria set of success. Among 10 patients with LF <=7 mm, 9 (90%)
achieved the desired eyelid height and fulfilled our criteria set of success.
Ninety-four percent did not report nocturnal lagophthalmos. Three patients needed
a further levatorpexy procedure due to undercorrection. Mean postoperative follow
up was up 11.2 (range 6-36) months. CONCLUSIONS: Posterior approach levatorpexy
is an useful first-line choice for congenital ptosis for all ranges of LF. It is
popular among parents due to its avoidance of a skin incision or any resection or
excision of tissue.
PMID- 29369151
TI - Autologous Fat Graft for the Treatment of Sighted Posttraumatic Enophthalmos and
Sunken Upper Eyelid.
AB - PURPOSE: The treatment of enophthalmos and sunken upper eyelid is challenging.
Although autologous fat graft has been widely used in breast augmentation,
buttock contouring, and facial rejuvenation, its application in enophthalmos and
sunken upper eyelid is not yet widely utilized. The clinical safety and value of
autologous fat graft in sighted patients with enophthalmos and sunken upper
eyelid are unclear. This study retrospectively analyzed the cosmetic results and
safety of autologous fat graft in the correction of sighted traumatic
enophthalmos and sunken upper eyelid. METHODS: Autologous fat graft was performed
in 9 patients with posttraumatic enophthalmos and sunken upper eyelid. The visual
acuity, orbital swelling, eye movement, enophthalmos, and sunken upper eyelid
were observed. RESULTS: Eight to 24 months after autologous fat graft,
enophthalmos and sunken upper eyelid in 9 patients improved significantly.
Although orbital swelling occurred in the early postoperative period, no vision
loss, eye movement limitation, or fat embolism had occurred. CONCLUSION:
Autologous fat graft is an effective, predictable, scarless, and minimally
invasive surgery for the correction of the sighted posttraumatic enophthalmos and
sunken upper eyelid. The possible risk of fat embolization and blindness from the
compression of the optic nerve should not be neglected. Further studies and more
cases must be performed.
PMID- 29369152
TI - Paracanthal "One-Snip" Decompression in a Cadaver Model of Retrobulbar
Hemorrhage.
AB - PURPOSE: The authors assess the effectiveness of a modified paracanthal or "one
snip" procedure compared with the traditional lateral canthotomy and inferior
cantholysis in the reduction of intraocular pressure (IOP) and proptosis in a
human cadaveric model of retrobulbar hemorrhage. METHODS: This study comprised a
comparative interventional study in a cadaveric model of retrobulbar hemorrhage.
Six orbits of 3 fresh cadavers were included in the study. Baseline measurements
of IOP and proptosis were recorded for all 6 orbits before and after simulation
of retrobulbar hemorrhage as previously described. Right orbits (n = 3) underwent
traditional lateral canthotomy and inferior cantholysis. Left orbits (n = 3)
underwent modified paracanthal or "one-snip" procedure. The primary outcome
measures were reduction in IOP and proptosis between the 2 techniques. RESULTS:
Following lateral canthotomy and inferior cantholysis of each right orbit, the
average IOP dropped to 14 mm Hg (range of 11-18 mm Hg), corresponding to a mean
decrease of 32 mm Hg. Following the "one-snip" procedure of each left orbit, the
average IOP dropped to 19 mm Hg with a range of 16 to 23 mm Hg, corresponding to
a mean decrease of 22 mm Hg. There was no statistically significant difference in
IOP reduction (p = 0.36) or proptosis reduction (p = 0.23) between the 2
treatment groups. CONCLUSIONS: Compared with traditional lateral canthotomy xand
inferior cantholysis, the modified paracanthal or "one-snip" procedure is
effective for IOP reduction and led to mild improvement of proptosis in a
cadaveric model of retrobulbar hemorrhage. The authors hope this study helps
improve orbital compartment syndrome outcomes by providing an option that more
providers will feel comfortable performing and therefore decreasing time to
surgical decompression.
PMID- 29369153
TI - Deep Orbital Sub-Q Hyaluronic Acid Filler Injection for Enophthalmic Sighted Eyes
in Parry-Romberg Syndrome.
AB - PURPOSE: The authors present a consecutive series of deep orbital Sub-Q
injections to treat enophthalmic sighted eyes in Parry-Romberg syndrome patients.
METHODS: Retrospective, interventional case series in 2 centers. Data were
collected on patient demographics, Parry-Romberg syndrome onset age, previous
orbital and eyelid surgeries, diplopia, ocular movement restriction before and
after the injection, number of injections, interval between injections,
indication for any top-up or dissolution of filler, and any other complications.
In all cases, the hyaluronic acid gel used was Restylane Sub-Q + Lidocaine.
RESULTS: A total of 8 injections on 3 patients with Parry-Romberg syndrome, and
significant enophthalmos is reported. All injections were with deep orbital Sub-Q
filler. All patients were females, aged 32, 24, and 52 years old while their
symptoms started at 15, 16, and 30 years old, respectively. None had orbital
surgery prior to the injection. Follow up period was 2, 7, and 5 years
respectively. All presented a significant enophthalmos of 4 mm which reduced to 1
mm after the injection, and duration effect was 18, 24, and 20 months,
respectively. We observed a significant improvement in enophthalmos,
lagophthalmos, exposure keratopathy, and even ocular motility. Lagophthalmos
improved from 1, 4, and 7 mm to 0, 1, and 2 mm post injection. Ocular motility
improved with no onset of new limitation or diplopia. Lower eyelid retraction
increased in 1 patient after orbital injection. No other complications occurred.
CONCLUSIONS: Deep orbital Sub-Q hyaluronic injection for treatment of
enophthalmos in Parry-Romberg syndrome is an useful option in sighted eyes.
PMID- 29369154
TI - Combining Bilateral Magnetically Controlled Implants Inserted Parallel to the
Spine With Rib to Pelvis Fixation: Surgical Technique and Early Results.
AB - Spine-based fixation of magnetically controlled lengthening devices has been
successfully performed for children with early-onset scoliosis. However, spinal
manipulation may lead to ossifications, stiffness, and autofusion as previously
described. To avoid these problems, a surgical technique combining bilateral
externally controlled magnetic device implantation with a rib cradle and pelvic
hook fixation was introduced by us in 2011. By using a bilateral single-rib or
double-rib cradle fixation and a pelvic hook, the magnetic device is
percutaneously inserted. The spine corrects indirectly without further
manipulation. In small rib diameter or severe osteoporosis, double-rib cradles
are used. Our introduced technique enables modification of the rib vertebral
angle, which may be beneficial in children with spinal muscular atrophy and rib
cage deformity. This nonrandomized prospective study describes 18 children with
neuromuscular scoliosis treated first by this method. All patients followed the
same protocol, with expansion procedures being performed 5 months after surgery
and every 3 months thereafter. Clinical, radiologic, and complication data were
analyzed, showing a significant reduction in scoliosis and pelvic obliquity and
an increase in spinal length, which could be maintained over a follow-up period
of 1.2 years. The overall complication rate was lower than previously described,
with 8%, 2 of them requiring surgery.
PMID- 29369155
TI - Distribution and Determinants of 90-Day Payments for Multilevel Posterior Lumbar
Fusion: A Medicare Analysis.
AB - STUDY DESIGN: A retrospective, economic analysis. OBJECTIVE: The objective of
this article is to analyze the distribution of 90-day payments, sources of
variation, and reimbursement for complications and readmissions for primary >=3
level posterior lumbar fusion (PLF) from Medicare data. A secondary objective was
to identify risk factors for complications. SUMMARY OF BACKGROUND DATA: Bundled
payments represent a single payment system to cover all costs associated with a
single episode of care, typically over 90 days. The dollar amount spent on
different health service providers and the variation in payments for >=3-level
PLF have not been analyzed from a bundled perspective. MATERIALS AND METHODS:
Administrative claims data were used to study 90-day Medicare (2005-2012)
reimbursements for primary >=3-level PLF for deformity and degenerative
conditions of the lumbar spine. Distribution of payments, sources of variation,
and reimbursements for managing complications were studied using linear
regression models. Risk factors for complications were studied by stepwise
multiple-variable logistic regression analysis. RESULTS: Hospital payments
comprised 73.8% share of total 90-day payment. Adjusted analysis identified
several factors for variation in index hospital payments. The average 90-day
Medicare payment for all multilevel PLFs without complications was $35,878 per
patient. The additional average cost of treating complications with/without
revision surgery within 90 days period ranged from $17,284 to $68,963. A 90-day
bundle for >=3-level PLF with readmission ranges from $88,648 (3 levels) to
$117,215 (8+ levels). Rates and risk factors for complications were also
identified. CONCLUSIONS: The average 90-day payment per patient from Medicare was
$35,878 with several factors such as levels of surgery, comorbidities, and
development of complications influencing the cost. The study also identifies the
risks and costs associated with complications and readmissions and emphasize the
significant effect these would have on bundled payments (additional burden of up
to 192% the cost of an average uncomplicated procedure over 90 days). LEVEL OF
EVIDENCE: Level 3.
PMID- 29369156
TI - Hepatitis C virus cure does not impact kidney function decline in HIV co-infected
patients.
AB - OBJECTIVE: To examine the impact of sustained virologic response (SVR) and
illicit (injection and noninjection) drug use on kidney function among hepatitis
C virus (HCV) and HIV co-infected individuals. DESIGN: Longitudinal observational
cohort study of HCV-HIV co-infected patients. METHODS: Data from 1631 patients
enrolled in the Canadian Co-Infection Cohort between 2003 and 2016 were analyzed.
Patients who achieved SVR were matched 1 : 2 with chronically infected patients
using time-dependent propensity scores. Linear regression with generalized
estimating equations was used to model differences in estimated glomerular
filtration rates (eGFR) between chronic HCV-infected patients and those achieving
SVR. The relationship between illicit drug use and eGFR was explored in patients
who achieved SVR. RESULTS: We identified 384 co-infected patients who achieved
SVR (53% treated with interferon-free antiviral regimens) and 768 propensity
score matched patients with chronic HCV infection. Most patients were men (78%)
and white (87%), with a median age of 51 years (interquartile range: 45-56).
During 1767 person-years of follow-up, 4041 eGFR measurements were available for
analysis. Annual rates of decline in eGFR were similar between patients with SVR
[-1.32 (ml/min per 1.73 m)/year, 95% confidence interval (CI) -1.75 to -0.90] and
chronic infection [-1.19 (ml/min per 1.73 m) per year, 95% CI -1.55 to -0.84].
Among SVR patients, recent injection cocaine use was associated with rapid eGFR
decline [-2.16 (ml/min per 1.73 m)/year, 95% CI -4.17 to -0.16]. CONCLUSION: SVR
did not reduce the rate of kidney function decline among HCV-HIV co-infected
patients. Increased risk of chronic kidney disease in co-infection may not be
related to persistent HCV replication but to ongoing injection cocaine use.
PMID- 29369157
TI - HIV reservoir dynamics in HAART-treated poor immunological responder patients
under IL-7 therapy.
AB - OBJECTIVES: Recombinant Human IL-7 (rhIL-7) therapy allows reconstituting
systemic and tissue-associated CD4 T-cell populations in HIV-infected poor
immunological responder (PIR) patients. However, in-vitro studies suggest that
the impact of rhIL-7 treatment on HIV-DNA loads in vivo remains questionable.
DESIGN: We assessed the dynamics of circulating HIV-DNA loads in IL-7-treated HIV
infected PIR individuals. METHODS: Forty-one rhIL-7-treated and 16 control
participants from the INSPIRE-3 clinical trial were included. Participants
received three weekly subcutaneous injections of rhIL-7. HIV-DNA was quantified
by nested quantitative PCR in white blood cells sampled at D0, D28 and M3 and
expressed as per milliliters and per CD4 T-cell. Changes in HIV-DNA loads in the
CD4 compartment at M3 were confirmed on sorted CD4 cells. RESULTS: Together with
rhIL-7-induced T-cell expansion, we observed a significant raise in both infected
cell frequencies and counts during the first 28 days of follow-up. During this
period, HIV-DNA load per CD4 T-cell also increased, to a lower extent. Three
months post-therapy, both the frequencies and counts of infected cells diminished
in blood as compared with D28 but remained significantly higher than before IL-7
therapy. In contrast, infection frequencies strongly diminished within CD4 cells,
reaching slightly but significantly lower levels than at baseline. CONCLUSION:
rhIL-7 treatment initially drives an expansion of HIV reservoir in PIR patients
by D28. This expansion is probably not only because of infected cell
proliferation, but also to possible enhanced neoinfection, despite highly active
antiretroviral therapy. In contrast, subsequent reduction in HIV-DNA load per CD4
T-cell argues for partial elimination of infected cells between D28 and M3.
PMID- 29369158
TI - The growing burden of noncommunicable disease among persons living with HIV in
Zimbabwe.
AB - OBJECTIVES: We aim to characterize the future noncommunicable disease (NCD)
burden in Zimbabwe to identify future health system priorities. METHODS: We
developed an individual-based multidisease model for Zimbabwe, simulating births,
deaths, infection with HIV and progression and key NCD [asthma, chronic kidney
disease (CKD), depression, diabetes, hypertension, stroke, breast, cervical,
colorectal, liver, oesophageal, prostate and all other cancers]. The model was
parameterized using national and regional surveillance and epidemiological data.
Demographic and NCD burden projections were generated for 2015 to 2035. RESULTS:
The model predicts that mean age of PLHIV will increase from 31 to 45 years
between 2015 and 2035 (compared with 20-26 in uninfected individuals).
Consequently, the proportion suffering from at least one key NCD in 2035 will
increase by 26% in PLHIV and 6% in uninfected. Adult PLHIV will be twice as
likely to suffer from at least one key NCD in 2035 compared with uninfected
adults; with 15.2% of all key NCDs diagnosed in adult PLHIV, whereas contributing
only 5% of the Zimbabwean population. The most prevalent NCDs will be
hypertension, CKD, depression and cancers. This demographic and disease shift in
PLHIV is mainly because of reductions in incidence and the success of ART scale
up leading to longer life expectancy, and to a lesser extent, the cumulative
exposure to HIV and ART. CONCLUSION: NCD services will need to be expanded in
Zimbabwe. They will need to be integrated into HIV care programmes, although the
growing NCD burden amongst uninfected individuals presenting opportunities for
additional services developed within HIV care to benefit HIV-negative persons.
PMID- 29369159
TI - Substance-associated elevations in monocyte activation among methamphetamine
users with treated HIV infection.
AB - OBJECTIVE: Microbial translocation and monocyte activation predict mortality in
treated HIV. We examined whether substance use independently contributes to these
pathophysiologic processes. DESIGN: Cross-sectional study at baseline for a
randomized controlled trial. METHODS: HIV-positive, methamphetamine-using MSM
with undetectable HIV viral load (less than 40 copies/ml) were enrolled. We
examined if plasma biomarkers of monocyte activation and intestinal barrier
integrity were associated with the following: reactive urine toxicology results
(Tox+) for stimulants (i.e., methamphetamine or cocaine) and substance use
severity measured by the Addiction Severity Index. Multiple linear regression
models adjusted for age, antiretroviral therapy regimen, CD4 T-cell count,
interleukin-6, and alcohol use severity. RESULTS: The sample of 84 virally
suppressed MSM had a median CD4 T-cell count of 645 cells/MUl. Those who were
Tox+ for stimulants displayed higher soluble CD14 (sCD14) levels (2087 versus
1801 ng/ml; P = 0.009), and this difference remained significant after adjusting
for covariates (standardized beta = 0.23, P = 0.026). Greater substance use
severity was also independently associated with higher sCD14 after adjusting for
covariates (standardized beta = 0.29, P = 0.013). Being Tox+ for stimulants and
substance use severity were not associated with soluble CD163 (sCD163) or
intestinal fatty acid binding protein (iFABP) levels (P > 0.05). CONCLUSIONS:
Monocyte activation is one plausible mechanism by which stimulant use may
increase clinical HIV progression.
PMID- 29369160
TI - HLA-associated polymorphisms in the HIV-2 capsid highlight key differences
between HIV-1 and HIV-2 immune adaptation.
AB - OBJECTIVE: HIV-1 frequently adapts in response to immune pressure from cytotoxic
T-lymphocytes (CTL). Many HIV-2 infected individuals have robust capsid-specific
CTL responses associated with viral control. Despite this CTL pressure, adaptive
changes in this key immunogenic HIV-2 protein have not previously been described.
We sought to compare selective pressure on HIV-1 and HIV-2 capsids and identify
HLA-associated viral polymorphisms in HIV-2. DESIGN AND METHODS: Bioinformatic
algorithms to identify sites under positive and negative selective pressure and a
statistical model of evolution to identify HLA-associated polymorphisms in HIV-2
was applied to sequences from a community cohort in Guinea-Bissau. IFN-gamma
ELISpots were used to compare T-cell responses to wild-type and variant epitopes.
RESULTS: We identified greater purifying selection and less sites under positive
selective pressure in HIV-2 compared with HIV-1. Five HIV-2 codons with HLA
associated polymorphisms were detected all within or around known or predicted
CTL epitopes. One site was within the HLA-B58 SuperType (ST)-restricted epitope
(TSTVEEQIQW), the HIV-2 equivalent of the HIV-1 TW10 epitope. In contrast to HIV
1, where a T->N mutation at position 3 is associated with resulting loss of CTL
control, an E->D mutation at position 5 was observed in HIV-2. Robust CTL
responses to the variant HIV-2 epitope were seen, suggesting that HIV-2
adaptation may be at the level of T-cell receptor recognition. CONCLUSION:
Greater constraints on evolution may exist in HIV-2, resulting in more purifying
selection and different immune adaptation pathways in HIV-1 and HIV-2 capsids.
This may allow CTL responses to persist in HIV-2.
PMID- 29369162
TI - Dolutegravir pharmacokinetics in pregnant and postpartum women living with HIV.
AB - OBJECTIVE: To evaluate dolutegravir pharmacokinetics during pregnancy compared
with postpartum and in infant washout samples after delivery. DESIGN: Ongoing,
nonrandomized, open-label, parallel-group, multicenter phase-IV prospective study
of antiretroviral pharmacokinetics in HIV-infected pregnant women and infants.
METHODS: Intensive steady-state 24 h pharmacokinetic profiles after dolutegravir
50 mg once-daily were performed during the second trimester (2T), third trimester
(3T) and postpartum. Maternal delivery and postnatal infant samples were
collected after birth. Dolutegravir was measured by validated LC-MS/MS;
quantitation limit was 0.005 MUg/ml. A two-tailed Wilcoxon signed-rank test
(alpha = 0.10) was employed for paired within-subject comparisons. RESULTS:
Twenty-nine enrolled participants had a median age of 32 years (range 21-42).
Pharmacokinetic data were available for 15 (2T), 28 (3T) and 23 (postpartum)
women. Median dolutegravir AUC0-24,Cmax and C24 were 25-51% lower in the 2T and
3T compared with postpartum. The median cord blood/maternal plasma concentration
ratio was 1.25 (n = 18). In 21 infants, median elimination half-life was 32.8 h
after in utero exposure. Viral load at delivery was less than 50 copies/ml for
27/29 women (93%). Twenty-nine infants were HIV-negative. Renal abnormalities
noted on ultrasound in two infants were deemed possibly related to dolutegravir.
CONCLUSION: Dolutegravir exposure is lower in pregnancy compared with postpartum
in the same women on once-daily dosing. Median AUC0-24 during pregnancy was
similar to, whereas trough concentrations were lower than, those seen in
nonpregnant adults. Trough concentrations in pregnancy were well above
dolutegravir EC90 (0.064 MUg/ml). Dolutegravir readily crosses the placenta.
Infant elimination is prolonged, with half-life over twice that of historical
adult controls.
PMID- 29369163
TI - The influence of human leukocyte antigen-types on disease progression among HIV-2
infected patients in Guinea-Bissau.
AB - OBJECTIVES: HIV-2 is endemic in West Africa and is characterized by lower
transmissibility because of lower viral load, and HIV-2-infected persons usually
have a slower progression to AIDS. The mechanisms behind the slower disease
progression are unknown. The main objective was to identify specific HLA class I
and II alleles that may influence the disease progression of HIV-2 infection.
DESIGN: Cohort follow-up study. METHODS: We used high-resolution HLA typing of
DNA from 437 antiretroviral naive HIV-2-infected patients from the Bissau HIV
Cohort, Guinea-Bissau, to identify HLA alleles with an influence on HIV-2 disease
progression. The effect of HLA-type on viral load and CD4 cell count was assessed
initially by ranksum-test and t-test, followed by adjusted logistic regression
and multivariable linear regression analysis, respectively. RESULTS: Three
alleles (HLA-B58:01, HLA-DPB110:01 and HLA-DRB111:01) were associated with lower
possibility of detectable baseline plasma viral load (P = 0.002, P = 0.044 and P
= 0.033, respectively), and no alleles were associated with higher possibility of
detectable plasma viral load. HLA-DPB110:01 and HLA-DRB111:01 were in linkage
disequilibrium (P = 0.047). Patients with heterozygous HLA types in all their HLA
class I loci or in one or two loci were not more likely to have undetectable
viral load compared with patients that were homozygous in all their class I loci
after adjusting for sex and CD4 cell count (P = 0.93 and P = 0.88, respectively).
CONCLUSION: The three alleles HLA-B58:01, HLA-DPB110:01 and HLA-DRB111:01 may
protect against HIV-2 disease progression towards AIDS.
PMID- 29369164
TI - Individual and community-level risk factors for HIV stigma in 21 Zambian and
South African communities: analysis of data from the HPTN071 (PopART) study.
AB - OBJECTIVE: To describe the prevalence and determinants of HIV stigma in 21
communities in Zambia and South Africa. DESIGN: Analysis of baseline data from
the HPTN 071 (PopART) cluster-randomized trial. HIV stigma data came from a
random sample of 3859 people living with HIV. Community-level exposures
reflecting HIV fears and judgements and perceptions of HIV stigma came from a
random sample of community members not living with HIV (n = 5088), and from
health workers (HW) (n = 851). METHODS: We calculated the prevalence of
internalized stigma, and stigma experienced in the community or in a healthcare
setting in the past year. We conducted risk-factor analyses using logistic
regression, adjusting for clustering. RESULTS: Internalized stigma (868/3859,
prevalence 22.5%) was not associated with sociodemographic characteristics but
was less common among those with a longer period since diagnosis (P = 0.043).
Stigma experienced in the community (853/3859, 22.1%) was more common among women
(P = 0.016), older (P = 0.011) and unmarried (P = 0.009) individuals, those who
had disclosed to others (P < 0.001), and those with more lifetime sexual partners
(P < 0.001). Stigma experienced in a healthcare setting (280/3859, 7.3%) was more
common among women (P = 0.019) and those reporting more lifetime sexual partners
(P = 0.001) and higher wealth (P = 0.003). Experienced stigma was more common in
clusters wherever community members perceived higher levels of stigma, but was
not associated with the beliefs of community members or HW. CONCLUSION: HIV
stigma remains unacceptably high in South Africa and Zambia and may act as
barrier to HIV prevention and treatment. Further research is needed to understand
its determinants.
PMID- 29369165
TI - IL-7 treatment supports CD8+ MAIT cell restoration in HIV-1 infected patients on
ART.
AB - : Chronic HIV-1 infection is associated with lower frequencies and functional
impairment of mucosa-associated invariant T (MAIT) cells. We evaluated IL-7
treatment to restore MAIT cells in peripheral blood of chronically HIV-1 infected
individuals on ART. IL-7 led to increased relative and absolute levels of MAIT
cells and this expansion occurred primarily in the CD8+ subset. These results
suggest that IL-7 may represent a therapeutic intervention for the restoration of
MAIT cells in chronic HIV-1 infection.
PMID- 29369166
TI - Novel mediators of statin effects on plaque in HIV: a proteomics approach.
AB - OBJECTIVE: HIV patients have increased atherosclerotic coronary vascular disease
(ASCVD), thought to be mediated through inflammatory mechanisms. We hypothesized
that among asymptomatic HIV-infected patients with subclinical coronary plaque,
statin therapy would modulate unique inflammatory and cardiovascular proteins in
relation to change in subclinical coronary plaque volume. We tested this
hypothesis using a novel proteomics approach. DESIGN: Forty HIV-infected
participants were randomized to atorvastatin (40 mg/day) versus placebo, and
underwent computed tomography coronary angiography to quantify plaque volume at
baseline and 1 year. METHODS: We used Olink Cardiovascular III and
Cardiometabolic panels based on dual antibody epitope recognition with linked DNA
amplification to compare change over time in 184 proteins in treatment versus
placebo and in relation to change in coronary plaque volume. RESULTS: Six
proteins (TFPI, CCL24, NT-Pro BNP, MBL2, LTBR, PCOLCE) changed significantly in
the atorvastatin versus placebo group, many in innate immune and other novel
inflammatory pathways. Twenty-six proteins changed significantly in relationship
to total coronary plaque volume over 1 year. Notably, many of these proteins
changed only weakly in relationship to change in low-density lipoprotein (LDL).
Overlapping these two broad discovery approaches, proteins involved in myocardial
fibrosis/collagen formation and monocyte chemoattraction changed with statin
treatment, in relationship to plaque volume, but not LDL. CONCLUSION: This proof
of-concept study employing a proteomic discovery platform offers insight into
statin effects on novel immune pathways relevant to ASCVD progression in HIV.
Novel biomarker discovery may enhance precision medicine strategies to estimate
the efficacy of targeted therapies to reduce ASCVD progression and events in HIV.
PMID- 29369168
TI - A case report of metastatic hepatocellular carcinoma in the mandible and coracoid
process: A rare presentation.
AB - RATIONALE: We report a rare case of hepatocellular carcinoma (HCC) with
metastases to the mandible and coracoid process of scapula without evidence of
lung involvement. PATIENTS CONCERNS: The patient was diagnosed with HCC,
presented 5 months later with right lower tooth pain, swelling over the right
mandible area and right shoulder pain. DIAGNOSES: Histopathological examination
of mandible showed findings suggestive of metastatic HCC. Magnetic resonance
imaging (MRI) of the right shoulder revealed findings of irregular enhancing
lesion at the right coracoid process causing erosion of the coracoid process.
INTERVENTIONS: Patient was subsequently referred for palliative medicine care.
OUTCOMES: He received adequate analgesia. LESSONS: Oral cavity and scapula
metastases from HCC are very rare. Most oral metastases are associated with lung
metastases, and they possibly occur by hematogenous route. In our case, the
possible pathway of metastasis is an anastomotic network of paravertebral veins
that bypasses the pulmonary, inferior caval, and portal venous circulations.
PMID- 29369167
TI - HIV viral suppression and geospatial patterns of HIV antiretroviral therapy
treatment facility use in Rakai, Uganda.
AB - OBJECTIVE: To assess geospatial patterns of HIV antiretroviral therapy (ART)
treatment facility use and whether they were impacted by viral load suppression.
METHODS: We extracted data on the location and type of care services utilized by
HIV-positive persons accessing ART between February 2015 and September 2016 from
the Rakai Community Cohort Study in Uganda. The distance from Rakai Community
Cohort Study households to facilities offering ART was calculated using the open
street map road network. Modified Poisson regression was used to identify
predictors of distance traveled and, for those traveling beyond their nearest
facility, the probability of accessing services from a tertiary care facility.
RESULTS: In total, 1554 HIV-positive participants were identified, of whom 68%
had initiated ART. The median distance from households to the nearest ART
facility was 3.10 km (interquartile range, 1.65-5.05), but the median distance
traveled was 5.26 km (interquartile range, 3.00-10.03, P < 0.001) and 57% of
individuals travelled further than their nearest facility for ART. Those with
higher education and wealth were more likely to travel further. In total, 93% of
persons on ART were virally suppressed, and there was no difference in the
distance traveled to an ART facility between those with suppressed and
unsuppressed viral loads (5.26 vs. 5.27 km, P = 0.650). CONCLUSION: Distance
traveled to HIV clinics was increased with higher socioeconomic status,
suggesting that wealthier individuals exercise greater choice. However, distance
traveled did not vary by those who were or were not virally suppressed.
PMID- 29369169
TI - Primary spinal mucosa-associated lymphoid tissue lymphoma: A case report.
AB - RATIONALE: Mucosa-associated lymphoid tissue (MALT) lymphoma is an indolent B
cell lymphoma which occurs mainly in the organs having mucosal layer and owns a
fairly good prognosis. To date, 7 cases of spinal primary MALT has been reported
before. However, there is no consensus on the optimal adjuvant treatment
modalities for primary spinal MALT. The aim of this study was to add a new case
of MALT which responded well to systemic therapy to the literature and to review
the current literature. PATIENT CONCERNS: A 68-year-old woman visited to our
hospital due to back pain and progressive bilateral lower extremity weakness for
2 months. Magnetic resonance imaging (MRI) of the spine revealed a diffusely
contrast-enhancing epidural mass extending from vertebral body T6 to T8 with
compression of the spinal cord. Due to the spinal cord compression, patient
underwent surgical resection. Histological examination indicated monocytoid small
B-cells. Immunochemical study demonstrates that most tumor cells were positive
for CD20, CD21, CD45, CD79a, CD43, bcl-2 with Ki-67 labing index was 15%, but
were negative for CD3, CD5 cyclin D1, BCL6, and CD23. The positron emission
tomography/computer tomography (PET/CT) revealed that right iliac wing and right
liver were metastases for the standard uptake value (SUV) were 9.05 and 8.35,
respectively. DIAGNOSES: Based on these findings, final diagnosis of spinal MALT
lymphoma was made. INTERVENTIONS: After the diagnosis, the patient received 6
cycles of immuno-chemotherapy and repeated intrathecal methotrexate and
intrathecal cytarabine. OUTCOMES: At 1 year follow up, no recurrence or other
dissemination was detected. LESSONS: Chemotherapy and/or radiation have been
employed in larger case series. While there is no defined treatment guideline for
this rare disease entity, our reported case suggests a favorable prognosis when
combining both surgical and adjuvant systemic approach.
PMID- 29369170
TI - Five-year serial follow-up of muscle MRI in adult onset myotonic dystrophy type
1: A case report.
AB - RATIONALE: Although several studies have described the involvement pattern of
myotonic dystrophy type 1 (DM1) using muscle MRI, most of these studies have
limitations as cross-sectional studies. To the best of our knowledge, there have
been no reports of longitudinal studies describing muscle involvement patterns in
patients with DM1 via serial MRI. PATIENT CONCERNS: Progressive weakness of both
lower extremities. DIAGNOSIS: Two patients with DM1. INTERVENTION: The serial
muscle MRI performed in the 2 patients with DM1. OUTCOMES: The serial muscle MRI
showed early involvement of proximal (tensor fascia latae) and truncal muscles
(spine extensor muscles), and these longitudinal imaging may be helpful to reveal
the pattern of muscle involvement in patients with DM1. LESSONS: Since most
previous studies on muscle involvement patterns in DM1 patients were cross
sectional studies, this case series of studying muscle involvement patterns
through serial MRI in patients with DM1 may have significant clinical
significance.
PMID- 29369171
TI - Microdissected thin anterolateral thigh perforator flaps with multiple
perforators: A series of case reports.
AB - INTRODUCTION: The study aimed to explore the effect of microdissected thin
anterolateral thigh (MTALT) perforator flap with multiple perforators on patients
with complex defects on the hand, elbow, heel, or knee. METHODS: From March 2012
to February 2013, 5 patients with complex defects on the hand, elbow, heel, or
knee were included. During the flap preparation, 2 to 3 perforators penetrating
the fascia of the anterolateral femoral area were initially detected, and the
deep fascia was incised. The superficial fascia layer of the flap and the deep
adipose were then dissected, and removed after verifying the distribution of the
blood vessels using an operating microscope. The whole flap was then elevated,
and transposed to the recipient areas for microsurgical reparation. RESULTS: Two
cases of post-burn scar contracture and 3 cases of traumatic tissue defects were
successfully reconstructed with these multiple-perforator MTALT flaps. No
complication was reported, and secondary operative procedure was not needed in
any patient in the follow-up. CONCLUSION: MTALT perforator flap with multiple
perforators is safe and reliable for patients with complex defects on the hand,
elbow, heel, or knee.
PMID- 29369172
TI - Long noncoding RNA hypoxia-inducible factor 1 alpha-antisense RNA 1 promotes
tumor necrosis factor-alpha-induced apoptosis through caspase 3 in Kupffer cells.
AB - Kupffer cells (KCs) play a crucial role in the pathogenesis of acute-on-chronic
liver failure (ACLF) which is characterized by acute and severe disease in
patients with preexisting liver disease and shows high mortality. Long noncoding
RNAs (lncRNAs) are recently found to be involved in gene regulation. However, the
mechanisms of how KCs are regulated by inflammatory factors, tumor necrosis
factor-alpha (TNF-alpha), and whether lncRNAs are involved in the process remain
largely unknown. Hence, we investigated the role of lncRNAs in the cytotoxicity
of TNF-alpha on KCs.lncRNA array (The lncRNAs in the array are apoptosis-related
lncRNAs reported in some research papers.) was used to identify lncRNAs related
with liver fibrosis. Annexin V/protease inhibitor (PI) staining was used for
detection of cell apoptosis. Real time-polymerase chain reaction was utilized for
analysis of mRNA levels of lncRNA hypoxia-inducible factor 1 alpha-antisense RNA
1 (HIF1A-AS1) and apoptosis-related genes. Western blot was implied to the
determination of lymphoid enhancer factor-1 (LEF-1).In this study, we found that
HIF1A-AS1 could be upregulated by TNF-alpha by lncRNA array analysis and
knockdown of HIF1A-AS1 significantly rescued cell apoptosis induced by TNF-alpha.
Moreover, inhibition of HIF1A-AS1 markedly reduced mRNA level of caspase 3 which
can be significantly enhanced by TNF-alpha. Furthermore, HIF1A-AS1 showed binding
sites for LEF-1 and siRNA-mediated downregulation of LEF-1 decreased HIF1A-AS1
level in KCs treated with TNF-alpha.This study elucidates a new role of HIF1A-AS1
in TNF-alpha-induced cell apoptosis and provides potential therapeutic targets
for ACLF.
PMID- 29369173
TI - Restoration of the corticoreticular pathway following shunt operation for
hydrocephalus in a stroke patient.
AB - RATIONALE: We report on a stroke patient who showed restoration of discontinued
corticoreticular pathways (CRPs) on serial diffusion tensor tractography (DTT)
concurrent with recovery of gait disturbance following shunt operation for
hydrocephalus. PATIENT CONCERNS: A 67-year-old female patient underwent
stereotactic drainage for management of intraventricular hemorrhage due to a
rupture of the left posterior communicating artery. DIAGNOSES: After 4 weeks from
onset, the patient exhibited quadriparesis with more severe weakness in the
proximal muscles and could not even stand or walk. She underwent comprehensive
rehabilitation for 3 weeks. Her quadriparesis, as a result of hydrocephalus, did
not improve significantly. INTERVENTIONS: On the pre-op DTT, discontinuations
(the right CRP: at subcortical white matter level, and the left CRP: at the
midbrain level) of the CRP fibers from the premotor cortex were observed in both
hemispheres. OUTCOMES: She underwent a ventriculo-peritoneal shunt operation and
her quadriparesis improved, especially the proximal muscles. Consequently, she
could walk with mild assistance on an even floor at 5 days and walk on stairs at
4 weeks after the shunt operation. On the post-op DTT, the discontinued CRP
fibers were elongated to the premotor cortex in both hemispheres. LESSONS:
Restoration of discontinued CRPs concurrent with recovery of gait disturbance
following shunt operation for hydrocephalus was demonstrated in a stroke patient.
PMID- 29369174
TI - A case report and literature review on fabella syndrome after high tibial
osteotomy.
AB - RATIONALE: The fabella is a normal structure, but is occasionally reported to
cause posterior knee pain. The aim of this study was to present fabella syndrome
after high tibial osteotomy. PATIENT CONCERNS: A 55-year-old female patient was
admitted to the hospital due to about 1 year and 8 months of left knee pain.
Sclerosis was observed in the anterior margin of the fabella in the preoperative
magnetic resonance (MR) T2 image, and reactive bone marrow was found and was
considered to be due to degeneration of the distal femur. Degenerative change
(Kellgren and Lawrence-KL grade 2) of the left knee was observed, along with
cartilage delamination corresponding to International Cartilage Repair Society
(ICRS) grade 4 in the patellofemoral joint. DIAGNOSES: We present the first
reported case of fabella syndrome in Korea, after high tibial osteotomy due to
degenerative arthritis and varus deformity, rather than artificial joint surgery.
INTERVENTIONS: We excised the fabella from the patient's knee. OUTCOMES: There
was no evidence of recurrence during 5 months of postoperative follow-up.
Posterolateral Corner including the fabella might have sustained increased
tensile force causing symptoms due to compression of the fabella by the posterior
joint of the posterior femoral condyle. LESSONS: We present the first reported
case of fabella syndrome in Korea, after high tibial osteotomy due to
degenerative arthritis and varus deformity, rather than artificial joint surgery.
Collision syndrome caused by a fabella has previously been attributed to
inconsistency of the joint surface due to excessive exercise and degenerative
changes due to knee instability. We report this case since it appeared to involve
collision syndrome due to mechanical change of an angular deformity.
PMID- 29369175
TI - The relationship between cognitive function and arterial partial pressure O2 in
patients with COPD: A meta-analysis.
AB - BACKGROUND: The high incidence of cognition disorders in chronic obstructive
pulmonary disease (COPD) patients represents a main focus in public health field
recently. Thus, we tried to explore relationship between cognitive function and
arterial partial pressure O2 (PaO2) in patients with COPD as assessed by Mini
mental State Examination (MMSE) and/or Montreal Cognitive Assessment (MoCA).
MATERIALS AND METHODS: Medical and scientific literature databases, such as Web
of Science, PubMed, Cochrane Library, China National Knowledge Infrastructure,
and Wanfang Database, were searched independently by 2 reviewers until February
2016. Correlation coefficient (r or rs) values were obtained from each study, and
95% confidence intervals (CIs) were calculated using STATA12.0 software. RESULTS:
A total of 2049 studies were produced, and 9 of which were analyzed (714
participants) in the meta-analysis. The pooled r observed medium relationship for
all selected studies (r = 0.405, 95% CI 0.31-0.55), and notable heterogeneity was
also tested between studies (chi = 17.72, P = .023; I = 54.9%). After the
sensitivity and subgroup analysis, the heterogeneity significantly decreased.
Subgroup analysis showed that MMSE score was stronger correlation between PaO2
and cognitive function than MoCA score in the COPD patients. Begg test did not
indicate potential risk of publication bias. CONCLUSIONS: There was a negative
correlation between cognitive function and anoxia in patients with COPD, so it
may be extremely essential to predict and improve the status of hypoxia in COPD
patients.
PMID- 29369176
TI - Effect of platinum-based chemotherapy on EGFR gene mutation status in lung
adenocarcinoma.
AB - The aim of this study was to detect the epidermal growth factor receptor (EGFR)
gene type at pre- and postchemotherapy to evaluate the impact of platinum-based
chemotherapy on EGFR gene mutations and provide a theoretical foundation for
clinical treatment.Around 40 serum DNA samples were collected from advanced
nonsmall cell lung cancer patients who received platinum-based chemotherapy as
first-line treatment in our hospital from August 1, 2014 to June 1, 2015. The
EGFR gene exons 19 and 21 were amplified by polymerase chain reaction (PCR) and
detected by direct sequencing. The outcomes were analyzed with SPSS 17.0.Of 40
patients, 38 were included in the analysis. An EGFR gene mutation was detected in
17 cases (44.7%) at prechemotherapy compared with 19 cases (50.0%) at
postchemotherapy. The EGFR gene mutation differences were not statistically
significantly (P = .165) during pre- and postchemotherapy. The EGFR gene type was
consistent in 26 cases (68.4%). Among the 12 discordant cases, 5 cases changed
from mutant type to wild type, while 7 cases changed from wild type to mutant
type. EGFR mutation positive patients had a disease control rate (DCR) of 88.2%
(15/17), whereas it was only 57.1% in EGFR mutation negative patients, which was
statistically significant (P = 0.01) indicating a better curative effect in EGFR
mutation positive patients.Platinum-based chemotherapy may change the serum EGFR
gene type in advanced lung adenocarcinoma.
PMID- 29369177
TI - Functional performance comparison between real and virtual tasks in older adults:
A cross-sectional study.
AB - INTRODUCTION: Ageing is usually accompanied by deterioration of physical
abilities, such as muscular strength, sensory sensitivity, and functional
capacity, making chronic diseases, and the well-being of older adults new
challenges to global public health. OBJECTIVE: The purpose of this study was to
evaluate whether a task practiced in a virtual environment could promote better
performance and enable transfer to the same task in a real environment. METHOD:
The study evaluated 65 older adults of both genders, aged 60 to 82 years (M =
69.6, SD = 6.3). A timing coincident task was applied to measure the perceptual
motor ability to perform a motor response. The participants were divided into 2
groups: started in a real interface and started in a virtual interface. RESULTS:
All subjects improved their performance during the practice, but improvement was
not observed for the real interface, as the participants were near maximum
performance from the beginning of the task. However, there was no transfer of
performance from the virtual to real environment or vice versa. CONCLUSIONS: The
virtual environment was shown to provide improvement of performance with a short
term motor learning protocol in a timing coincident task. This result suggests
that the practice of tasks in a virtual environment seems to be a promising tool
for the assessment and training of healthy older adults, even though there was no
transfer of performance to a real environment. TRIAL REGISTRATION:
ISRCTN02960165. Registered 8 November 2016.
PMID- 29369178
TI - Home-based cardiac rehabilitation improves quality of life, aerobic capacity, and
readmission rates in patients with chronic heart failure.
AB - BACKGROUND: Exercise tolerance and cardiac output have a major impact on the
quality of life (QOL) of patients experiencing heart failure (HF). Home-based
cardiac rehabilitation can significantly improve not only exercise tolerance but
also peak oxygen uptake ((Equation is included in full-text article.)peak), and
the QOL in patients with HF. The aim of this prospective study was to evaluate
the beneficial effects of home-based cardiac rehabilitation on the quality of
medical care in patients with chronic HF. METHODS: This study was a randomized
prospective trial. HF patients with a left ventricular ejection fraction (LVEF)
of less than 50% were included in this study. We randomly assigned patients to
the control group (n = 18) and the interventional group (n = 19). Within the
interventional group, we arranged individualized rehabilitation programs,
including home-based cardiac rehabilitation, diet education, and management of
daily activity over a 3-month period. Information such as general data,
laboratory data, Cardiopulmonary Exercise Test (CPET) results, Six-minute Walk
Test (6MWT) results, and the scores for the Minnesota Living with Heart Failure
Questionnaire (MLHFQ) before and after the intervention, was collected from all
patients in this study. RESULTS: Patients enrolled in the home-based cardiac
rehabilitation programs displayed statistically significant improvement in
(Equation is included in full-text article.)peak (18.2 +/- 4.1 vs 20.9 +/- 6.6
mL/kg/min, P = .02), maximal 6-Minute Walking Distance (6MWD) (421 +/- 90 vs 462
+/- 74 m, P = .03), anaerobic threshold (12.4 +/- 2.5 vs 13.4 +/- 2.6 mL/kg/min,
P = .005), and QOL. In summary, patients receiving home-based cardiac
rehabilitation experienced a 14.2% increase in (Equation is included in full-text
article.)peak, a 37% increase in QOL score, and an improvement of 41 m on the
6MWD test. The 90-day readmission rate for patients reduced to 5% from 14% after
receiving cardiac rehabilitation. CONCLUSION: Home-based cardiac rehabilitation
offered the most improved results in functional capacity, QOL, and a reduced the
rate of readmission within 90 days.
PMID- 29369179
TI - Targeted next-generation sequencing of malignant peripheral nerve sheath tumor of
the pterygopalatine fossa with intracranial metastatic recurrence.
AB - Malignant peripheral nerve sheath tumor (MPNST) is an uncommon neoplasm that
rarely involves the head and neck region. Intracranial MPNSTs unrelated to
cranial nerves are highly malignant tumors with poor overall survival, probably
because of infiltrating growth into surrounding brain tissue. The pathogenesis of
MPNST remains unclear. There are no conclusive explanations for the mechanisms
underlying the initiation, progression, and metastasis of MPNST. In this paper,
we describe a case of MPNST in the pterygopalatine fossa with intracranial
metastatic recurrence and review related literatures. Meanwhile, targeted next
generation sequencing (NGS) revealed the presence of both a beta-catenin (CTNNB1)
missense mutation p.Ser33Phe and a mediator complex subunit 12 (MED12) frameshift
mutation p.Tyr1278fs in the recurrent intracranial tumor. Therapies that target
CTNNB1 mutation, MED12 mutation, CTNNB1 activation, or Wnt pathway activation are
worth future studying.
PMID- 29369180
TI - Primary cutaneous anaplastic large-cell lymphoma: A case report.
AB - RATIONALE: Primary cutaneous anaplastic large-cell lymphoma (C-ALCL) is a rare
cancer belonging to the group of primary T-cell lymphoproliferative diseases. C
ALCL is characterized by the presence of single or multiple ulcerated lesions on
the skin's surface. PATIENT CONCERNS: This is the case of a 73-year-old man who
reported to the Clinic of Cranio-Maxillofacial and Oral Surgery and Implantology,
Medical University of Warsaw, owing to a skin tumor in the right
parotideomasseteric region, initially diagnosed as discoid lupus erythematosus.
During treatment for discoid lupus erythematosus, biopsy was repeated because of
significant disease progression and dynamic tumor growth. Histopathological
examination revealed the presence of pilomatrix carcinoma (trichilemmal
carcinoma). Because of the discrepancy between clinical and histopathological
findings, the tumor specimen was submitted to another facility, wherein lymphoma
infiltration by anaplastic large cells was found in the dermis and subcutaneous
tissue. DIAGNOSIS: C-ALCL. INTERVENTIONS: The patient was transferred to the
Lymphoid Tumours Clinic of the Maria Sklodowska Curie Memorial Cancer Centre and
Institute of Oncology in Warsaw, where chemotherapy was initiated. OUTCOMES:
After 4 cycles of chemotherapy, a complete remission of skin lesions was
achieved. During the 5-year follow-up, no recurrence occurred. LESSONS: C-ALCL is
a rare type of cancer. Misdiagnosis can lead to inappropriate therapy and result
in disease progression or unnecessary harm to the patient.
PMID- 29369182
TI - Association between social capital and quality of life among urban residents in
less developed cities of western China: A cross-sectional study.
AB - China has experienced rapid urbanization over the past several decades. Social
capital is considered a vital human resource, and quality of life (QoL) is an
important measure of human health embedded in a physical, mental, and social
context. No studies have reported on the association between social capital and
QoL in Chinese urban residents. We performed a cross-sectional study to
investigate social capital in urban community residents of West China, and its
relationship with QoL.Our study was carried out between June and July of 2015. A
total of 1136 households were surveyed. The Chinese-translated version of the
Short-Form Health Survey (SF-12) and social capital questionnaire were used to
evaluate people's QoL and social capital. Associations between QoL and social
capital were evaluated by 3 logistic regression analyses.A total of 1136 adult
participants aged 18 years and older completed the questionnaire. Young residents
were more likely to have lower second (SC2), third (SC3), and fourth (SC4)
dimensions of social capital. Migrants and residents with higher education levels
and high incomes showed lower SC1 and SC2 relative to other participants, and
employed residents had relatively low SC1. Unmarried residents had lower SC2 and
SC3. Without adjustment for potential confounding factors, participants with
higher SC2 had higher average scores for mental components (MCS) of QoL [odds
ratio (OR) = 1.48, 95% confidence interval (95% CI): 1.09-2.02], and the same was
seen for SC3 (OR = 1.70, 95% CI: 1.24-2.34). After adjusting for socioeconomic
status (SES) and risk factors, SC2 and SC3 were still significantly associated
with MCS. Social capital was not significantly associated with physical
components of QoL in any of the 3 logistic regression models.In conclusion,
social capital is related to MCS of QoL, and increasing it may be an effective
way to promote health.
PMID- 29369181
TI - Revealing the action mechanisms of dexamethasone on the birth weight of infant
using RNA-sequencing data of trophoblast cells.
AB - Dexamethasone (DEX) could induce low birth weight of infant, and low birth weight
has close associations with glucocorticoid levels, insulin resistance,
hypertension, and metabolic syndrome in adulthood. This study was designed to
reveal the action mechanisms of DEX on the birth weight of infant.Using
quantitative real-time polymerase chain reaction (qRT-PCR), trophoblast cells of
human placenta were identified and the optimum treatment time of DEX were
determined. Trophoblast cells were treated by DEX (DEX group) or ethanol (control
group) (each group had 3 samples), and then were performed with RNA-sequencing.
Afterward, the differentially expressed genes (DEGs) were identified by R
package, and their potential functions were successively enriched using DAVID
database and Enrichr method. Followed by protein-protein interaction (PPI)
network was constructed using Cytoscape software. Using Enrichr method and
TargetScan software, the transcription factors (TFs) and micorRNAs (miRNAs)
targeted the DEGs separately were predicted. Based on MsigDB database, gene set
enrichment analysis (GSEA) was performed.There were 391 DEGs screened from the
DEX group. Upregulated SRR and potassium voltage-gated channel subfamily J member
4 (KCNJ4) and downregulated GALNT1 separately were enriched in PDZ (an acronym of
PSD-95, Dlg, and ZO-1) domain binding and Mucin type O-glycan biosynthesis. In
the PPI network, CDK2 and CDK4 had higher degrees. TFs ATF2 and E2F4 and miRNA
miR-16 were predicted for the DEGs. Moreover, qRT-PCR analysis confirmed that SRR
and KCNJ4 were significantly upregulated.These genes might affect the roles of
DEX in the birth weight of infant, and might be promising therapeutic targets for
reducing the side effects of DEX.
PMID- 29369183
TI - Retrospective analysis of the utility of multiparametric MRI for differentiating
between benign and malignant breast lesions in women in China.
AB - We explored the utility of time-resolved angiography with interleaved stochastic
trajectories dynamic contrast-enhanced magnetic resonance imaging (TWIST DCE
MRI), readout segmentation of long variable echo-trains diffusion-weighted
magnetic resonance imaging- diffusion-weighted magnetic resonance imaging
(RESOLVE-DWI), and echo-planar imaging- diffusion-weighted magnetic resonance
imaging (EPI-DWI) for distinguishing between malignant and benign breast
lesions.This retrospective analysis included female patients with breast lesions
seen at a single center in China between January 2016 and April 2016. Patients
were allocated to a benign or malignant group based on pathologic diagnosis. All
patients received routine MRI, RESOLVE-DWI, EPI-DWI, and TWIST DCE-T1WI.
Variables measured included quantitative parameters (K, Kep, and Ve),
semiquantitative parameters (rate of contrast enhancement for contrast agent
inflow [W-in], rate of contrast decay for contrast agent outflow [W-out], and
time-to-peak enhancement after contrast agent injection [TTP]) and apparent
diffusion coefficient (ADC) values for RESOLVE-DWI (ADCr) and EPI-DWI (ADCe).
Receiver-operating characteristic (ROC) curve analysis was used to evaluate the
diagnostic utility of each parameter for differentiating malignant from benign
breast lesions.A total of 87 patients were included (benign, n = 20; malignant, n
= 67). Compared with the benign group, the malignant group had significantly
higher K, Kep and W-in and significantly lower W-out, TTP, ADCe, and ADCr (all P
< .05); Ve was not significantly different between groups. RESOLVE-DWI was
superior to conventional EPI-DWI at illustrating lesion boundary and morphology,
while ADCr was significantly lower than ADCe in all patients. Kep, W-out, ADCr,
and ADCe showed the highest diagnostic efficiency (based on AUC value) for
differentiating between benign and malignant lesions. Combining 3 parameters
(Kep, W-out, and ADCr) had a higher diagnostic efficiency (AUC, 0.965) than any
individual parameter and distinguished between benign and malignant lesions with
high sensitivity (91.0%), specificity (95.0%), and accuracy (91.9%).An index
combining Kep, W-out, and ADCr could potentially be used for the differential
diagnosis of breast lesions.
PMID- 29369184
TI - The effect of tourniquet usage on cement penetration in total knee arthroplasty:
A prospective randomized study of 3 methods.
AB - BACKGROUND: It is a randomized study to compare cement penetration on x-rays
after total knee arthroplasty (TKA) among 3 different ways to use tourniquets;
application during the surgery, application only with implantation prosthesis and
with no tourniquet use. METHODS: A total 69 knees of 59 patients were included in
the study in a quasirandom manner. Each patient had physical exams and standard
radiographic evaluations at 6 weeks after the TKA procedure. Outcome evaluations
included visual analog scale (VAS) scores, Knee Society Scores (KSS), blood
transfusion, and drainage status after surgery for all groups. For radiographic
review, the tibial plateau was divided into zones in the anterior-posterior and
lateral views, according to the Knee Society Scoring System. RESULTS: The average
age of the patients who were eligible for the study was 65.05 (range 46-81)
years. All 59 patients included in the study were female patients. Group 1
consisted of 24 patients who had TKA with use of a tourniquet during the entire
operation. Group 2 consisted of 20 patients who had TKA with use of tourniquet
only at the time of cementing and group 3 consisted of 25 patients with no use
tourniquet. There is no significant difference in early cement penetration among
the groups (group 1 2.50 mm, group 2 2.28 mm, group 3 2.27 mm; group 1 vs 2 P =
.083, group 1 vs 3 P = .091, group 2 vs 3 P = .073). There is no significant
difference for postoperative drainage among the 3 groups (group 1 245 mL, group 2
258.76 mL, group 3 175.88 mL; group 1 vs 2 P = .081, group 1 vs 3 P = .072, group
2 vs 3 P = .054). There was no need to transfuse more than 1 unit in any patient.
The VAS score was significantly higher (group 1 3.58, group 2 1.55, group 3 1.52;
group 1 vs 2 P = .022, group 1 vs 3 P = .018, group 2 vs 3 P = .062) and KSS was
significantly lower in the tourniquet group (group 1 63, group 2 79, group 3 82;
group 1 vs 2 P = .017, group 1 vs 3 P = .02, group 2 vs 3 P = .082). CONCLUSION:
We do not suggest long-duration tourniquet use, which can lead higher pain scores
and reduce functional recovery after total knee arthroplasty.
PMID- 29369185
TI - Daily 10 mg rivaroxaban as a therapy for ventricular thrombus related to left
ventricular non-compaction cardiomyopathy: A case report.
AB - RATIONALE: Left ventricular non-compaction cardiomyopathy (LVNC) is a rare heart
disorder related to thrombosis. Anticoagulant therapy is suggested for the
treatment of this disease. The success of the novel oral anticoagulant
rivaroxaban as a treatment option for this disorder is unclear. PATIENT CONCERNS:
A 43-year-old man who felt dizzy at rest was found to have an intraventricular
thrombus. DIAGNOSES: The thrombus was confirmed by echocardiography. And LVNC was
diagnosed by cardiac magnetic resonance (CMR) and echocardiography.
INTERVENTIONS: He was prescribed a low dose (10 mg daily) of rivaroxaban as
treatment. OUTCOMES: After 3 months, the thrombus diminished, and the
manifestation disappeared. LESSONS: Low dose of rivaroxaban may serve as a viable
option for anticoagulation therapy in LVNC patients, with large clinical trials
needed to determine the best course of treatment.
PMID- 29369186
TI - Cervical disc arthroplasty with Prestige-LP for the treatment of contiguous 2
level cervical degenerative disc disease: 5-year follow-up results.
AB - The objective of this study is to present the long-term results and to evaluate
the safety and effectiveness of the Prestige-LP cervical disc replacement in
treatment of patients with symptomatic 2-level cervical degenerative disc
disease.Twenty-four patients with 48 Prestige-LP disc were analyzed before
surgery and at 1 week, 3 months, 6 months, 12 months, 24 months, and 60 months
after surgery. Clinical assessments included 36-Short Form (SF-36), Japanese
Orthopedic Assessment (JOA), visual analog scale (VAS), and Neck Disability Index
(NDI) scores. Radiographic assessments included cervical lordosis (CL), disc
height (DH), range of motion (ROM) of the total cervical spine, functional spinal
unit (FSU) as well as upper and lower operated segment. Complications at the 5
year follow-up were collected as well.Mean follow-up period was 64.22 months.
There was clinical improvement in terms of SF-36, JOA, NDI, and VAS from the
preoperative to the final follow-up (P < .05). Overall, ROM of the total cervical
spine, FSU, and upper and lower operated segment were maintained during the
follow-up. Statistically significant (P < .05) improvements in the trend of CL
and DH were noted at the follow-up. Eight patients were observed an appearance of
heterotopic ossification at the 5-year follow-up, with 6 patients appeared at
Class II and 2 patients at Class III. Adjacent segment degeneration assessed by
radiographic evidence was found in 2 patients.Two-level cervical disc
arthroplasty with Prestige-LP showed significant improvement in clinical outcomes
at 5 years. It not only effectively preserves the motion of both total cervical
spine and operated segments, but also restores normal CL and DH up to 5 years
postoperation.
PMID- 29369187
TI - Clinical application of MRI-respiratory gating technology in the evaluation of
children with obstructive sleep apnea hypopnea syndrome.
AB - The objective of the present study was to investigate the clinical application of
magnetic resonance imaging (MRI)-respiratory gating technology for assessing
illness severity in children with obstructive sleep apnea hypopnea syndrome
(OSAHS).MRI-respiratory gating technology was used to scan the nasopharyngeal
cavities of 51 children diagnosed with OSAHS during 6 respiratory phases.
Correlations between the ratio of the area of the adenoid to the area of the
nasopalatine pharyngeal cavity (Sa/Snp), with the main indexes of polysomnography
(PSG), were analyzed. Receiver operator characteristic (ROC) curve and Kappa
analysis were used to determine the diagnostic accuracy of Sa/Snp in pediatric
OSAHS.The Sa/Snp was positively correlated with the apnea hypopnea index (AHI) (P
< .001) and negatively correlated with the lowest oxygen saturation of blood
during sleep (LaSO2) (P < .001). ROC analysis in the 6 respiratory phases showed
that the area under the curve (AUC) of the Sa/Snp in the end-expiratory phase was
the largest (0.992, P < .001), providing a threshold of 69.5% for the diagnosis
of severe versus slight-moderate OSAHS in children. Consistency analysis with the
AHI showed a diagnosis accordance rate of 96.0% in severe pediatric OSAHS and
96.2% in slight-moderate pediatric OSAHS (Kappa = 0.922, P < .001).Stenosis of
the nasopalatine pharyngeal cavity in children with adenoidal hypertrophy was
greatest at the end-expiration phase during sleep. The end-expiratory Sa/Snp
obtained by a combination of MRI and respiratory gating technology has potential
as an important imaging index for diagnosing and evaluating severity in pediatric
OSAHS.
PMID- 29369188
TI - Human cytomegalovirus in high grade serous ovarian cancer possible implications
for patients survival.
AB - Patients diagnosed with high grade serous ovarian adenocarcinoma have a poor
prognosis. Recently human cytomegalovirus (HCMV) has been detected in several
tumors. Here, we evaluated HCMV in ovarian cancer tissue specimens obtained at
pre- and postchemotherapy tumor resection.Available paraffin embedded ovarian
cancer tissues from matched pre- and postchemotherapy tumor resection specimens
(i.e., diagnostic excisional biopsy prechemotherapy; DEBPC) and neoadjuvant
chemotherapy followed by interval debulking surgery (NACT + IDS) from 10 patients
with stage IIIC-IV high grade serous ovarian carcinoma (HGS) diagnosed between
years 2007 and 2008 at Karolinska University Hospital were examined for HCMV
immediate-early protein (HCMV-IE), tegument protein pp65, and nucleic acid
(beta2.7) by immunohistochemistry and in situ hybridization.HCMV-IE and pp65 were
detected in 8/10 (80%), 4/9 (44%) and in 4/10 (40%), 5/8 in ovarian cancer tissue
specimens from DEBPC and NACT + IDS, respectively. HCMV-beta2.7 was detected in
all available tissue sections obtained from DEBPC and NACT + IDS. Patients with
HCMV-IE or pp65 positive cells in their ovarian tumors at IDS after NACT had a
median overall survival of 23.4 and 18.2 months, respectively, compared to 29.6
and 54 months, respectively, in those who did not express HCMV proteins in their
tumors.In conclusion, HCMV proteins and nucleic acids are frequently detected at
different levels in HGS ovarian carcinoma. Despite the limitation of our study,
shorter median overall survival of patients with HCMV-IE and pp65 in their tumor
highlights the need to further investigate the role of HCMV in ovarian cancer
patients.
PMID- 29369189
TI - Drug-induced eosinophilic pneumonia: A review of 196 case reports.
AB - BACKGROUND AND OBJECTIVE: Eosinophilic pneumonia (EP) is an important subset of
patients who present with pulmonary infiltrates and eosinophilia (PIE). EP is
classified by chronicity and etiology and drug-induced EP is the main cause of
secondary EP. The primary goal of this review was to examine all the case reports
published since the syndrome was defined in 1990. It remains unclear whether
acute or chronic EP (AEP or CEP) represent different diseases, and the secondary
goal of this review is to determine if there are factors that may help
distinguish these 2 entities. METHODS: PubMed (MEDLINE and Medical Subject
Headings) was searched for case reports of drug-induced EP or PIE syndrome
published between 1990 and 2017. Case reports were only included if the
diagnostic criteria for AEP or CEP were fulfilled. For each case, data were
extracted pertaining to age, sex, type of medication associated with the disease,
time from the onset of symptoms to diagnosis, eosinophil counts in the blood,
eosinophil fractions in bronchoalveolar lavage (BAL) fluid, initial chest
radiograph and computed tomography results, use of mechanical ventilation, and
use of steroid treatment and recurrence. RESULTS: We found 196 case reports
describing drug-induced EP. The leading cause was daptomycin. From our review, we
found that AEP is more common in younger patients with no gender preference.
Eosinophilia in the blood at the time of diagnosis characterized only the CEP
patients (80% in CEP vs. 20% in AEP). Abnormal findings on radiographic imagine
was similar in both syndromes. A significant portion of AEP patients (20%)
presented with acute respiratory failure requiring mechanical ventilation. Most
patients with EP were treated with steroids with a higher rate of relapse
observed in patients with CEP. CONCLUSION: AEP is a much more fulminant and
severe disease than the gradual onset and slowly progressive nature of CEP. The
pathogenesis of AEP and CEP remains unclear. However, there is significant
clinical overlap among AEP and CEP that are associated with drug toxicity,
suggesting the possibility that AEP and CEP are distinct clinical presentations
that share a common pathogenic pathway.
PMID- 29369190
TI - Endometrial thickness as a predictor of the reproductive outcomes in fresh and
frozen embryo transfer cycles: A retrospective cohort study of 1512 IVF cycles
with morphologically good-quality blastocyst.
AB - To evaluate the relationship between endometrial thickness during fresh in vitro
fertilization (IVF) cycles and the clinical outcomes of subsequent frozen embryo
transfer (FET) cycles.FET cycles using at least one morphological good-quality
blastocyst conducted between 2012 and 2013 at a university-based reproductive
center were reviewed retrospectively. Endometrial ultrasonographic
characteristics were recorded both on the oocyte retrieval day and on the day of
progesterone supplementation in FET cycles. Clinical pregnancy rate, spontaneous
abortion rate, and live birth rate were analyzed.One thousand five hundred twelve
FET cycles was included. The results showed that significant difference in
endometrial thickness on day of oocyte retrieval (P = .03) was observed between
the live birth group (n = 844) and no live birth group (n = 668), while no
significant difference in FET endometrial thickness was found (P = .261) between
the live birth group and no live birth group. For endometrial thickness on oocyte
retrieval day, clinical pregnancy rate ranged from 50.0% among patients with an
endometrial thickness of <=6 mm to 84.2% among patients with an endometrial
thickness of >16 mm, with live birth rate from 33.3% to 63.2%. Multiple logistic
regression analysis of factors related to live birth indicated endometrial
thickness on oocyte retrieval day was associated with improved live birth rate
(OR was 1.069, 95% CI: 1.011-1.130, P = .019), while FET endometrial thickness
did not contribute significantly to pregnancy outcomes following FET cycles. The
ROC curves revealed the cut-off points of endometrial thickness on oocyte
retrieval day was 8.75 mm for live birth.Endometrial thickness during fresh IVF
cycles was a better predictor of endometrial receptivity in subsequent FET cycles
than FET cycle endometrial thickness. For those females with thin endometrium in
fresh cycles, additional estradiol stimulation might be helpful for adequate
endometrial development.
PMID- 29369191
TI - Comparison of surgical and conservative treatment of Rockwood type-III
acromioclavicular dislocation: A meta-analysis.
AB - BACKGROUND: There is no consensus on the effects of surgical versus conservative
treatment on Rockwood type-III dislocation of the acromioclavicular joint in
general orthopedic practice. The objective of this meta-analysis was to compare
the clinical outcomes of patients managed surgically and conservatively following
type-III acromioclavicular (AC) dislocation. METHODS: The Cochrane Library,
EMBASE, MEDLINE via Ovid SP, and PubMed databases were searched for randomized
controlled trials and observational studies. Patient data were pooled using
standard meta-analytic approaches. For continuous variables, the weighted mean
difference was used. For dichotomous data, the odds ratio was calculated.
RESULTS: The current analysis included 10 trials on this topic, and the results
demonstrated that there were no significant differences between surgical and
conservative treatment in terms of pain, weakness, tenderness, post-traumatic
arthritis, restriction of strength, unsatisfactory function, and scores
(Constant, UCLA, Imatani, SST, DASH, Larsen). Analyses of ossification of the
coracoclavicular ligament (OR = 1.62, 95% CI = 1.01-2.61) and osteolysis of the
lateral clavicle (OR = 2.87, 95% CI = 1.27-6.52) suggested better function with
conservative treatment versus surgical treatment, but the latter was superior to
conservative treatment with regard to loss of anatomic reduction. Only 1 study
showed a higher acromioclavicular joint instability score for surgical management
compared with conservative management (P < .00001). CONCLUSION: In the management
of Rockwood type-III AC dislocation, conservative treatment is superior to
surgical treatment. Nonoperative treatment results in a lower incidence of
ossification of the coracoclavicular ligament and osteolysis of the lateral
clavicle compared with operative treatment. However, there was no statistical
difference between operative and nonoperative treatment in terms of clinical
outcomes.
PMID- 29369192
TI - Current trends in the management of Mirizzi Syndrome: A review of literature.
AB - Mirizzi Syndrome is a rare and challenging clinical entity to manage. However,
recent advances in technology have provided surgeons with new options for more
effective diagnosis and treatment of this condition. This paper reviews these new
diagnostic modalities and treatment approaches for the management of Mirizzi
Syndrome.An online search language was performed using PubMed and Web of Science
for literature published in English between 2012 and 2017 using the search terms
"Mirizzi Syndrome" and "Mirizzi." In total, 16 case series and 11 case reports
were identified and analyzed.The most frequently used diagnostic modalities were
ultrasound, computed tomography (CT); magnetic resonance
cholangiopancreaticography (MRCP); endoscopic retrograde
cholangiopancreaticography (ERCP). A combination of >=2 diagnostic modalities was
frequently used to detect Mirizzi Syndrome. Literature shows that the specific
type of Mirizzi Syndrome determined the type of treatment chosen. Open surgery
was the preferred option, although there are documented cases of the use of
minimally-invasive techniques, even in advanced cases. Laparoscopic, endoscopic
or robot-assisted surgery, used individually or in combination with lithotripsy,
were all associated with a favorable outcome.As yet, there are no internationally
accepted guidelines for the management of Mirizzi Syndrome. Laparotomy is the
preferred surgical technique of choice, although an increasing number of surgeons
are beginning to opt for minimally-invasive techniques. The number of papers in
the existing literature describing diagnostic and treatment procedures is
relatively small at present, thus making it difficult to reasonably propose an
evidence-based standard of care for Mirizzi Syndrome.
PMID- 29369193
TI - Fibrosing mediastinitis with pulmonary hypertension as a complication of
pulmonary vein stenosis: A case report and review of the literature.
AB - INTRODUCTION: Fibrosingmediastinitis (FM) is caused by a proliferation of fibrous
tissue in the mediastinum encasing the mediastinal viscera that results in
compression of mediastinal bronchovascular structures. Pulmonary hypertension
(PH) is a severe complication of FM caused by extrinsic compression of pulmonary
blood vessels. CASE PRESENTATION: Here, we present the case of a 47-year-old man
who presented with a 10-year history of progressive hemoptysis and a 2-year
history of shortness of breath, in whom a diagnosis of FM was made. Occlusion of
the superior pulmonary veins was noted, with stenosis of the inferior pulmonary
veins, leading to PH. Because the patient was a poor candidate for interventional
catheterization, the preferred treatment for FM, his PH has been managed with
diuretics, and he remains stable. CONCLUSIONS: FM is a serious, potentially life
threatening condition that is best managed in specialized centers.
PMID- 29369194
TI - Rapid improvement of angiostenosis due to isolated middle cerebral artery
dissection: A case report.
AB - RATIONALE: Intracranial arterial dissection is a rare cause of ischemic stroke,
and isolated middle cerebral artery dissection (MCAD) is extremely rare, having
been described only in sparse case reports. The etiology, clinicoradiological
features, and treatment strategies are not yet well understood. PATIENT CONCERNS:
A 49-year-old man presented with rapidly progressive aphasia and motor
disturbance of the right limbs. DIAGNOSES: Neuroimaging evaluation confirmed a
diagnosis of MCAD and cerebral infarction. INTERVENTIONS: The patient underwent
oral anti-platelet therapy (100 mg aspirin daily). OUTCOMES: The patient
recovered to normal status within 2 weeks following antiplatelet treatment.
During a follow-up period of 2 years, he remained neurologically asymptomatic and
led a virtually normal life. LESSONS: It is crucial for clinicians to be aware of
this entity, as the diagnosis of MCAD is quite challenging. Antiplatelet therapy
is effective for treating this condition, and the prognosis can be favorable.
PMID- 29369195
TI - The effectiveness of Korean medicine treatment in male patients with infertility:
a study protocol for a prospective observational pilot study.
AB - : Male factor subfertility has increasingly been considered the cause of
infertility in couples. Many men with male infertility have sperm problems such
as oligozoospermia, asthenozoospermia, or teratozoospermia. Because abnormal
semen parameters are idiopathic to some extent, no standard therapy has been
established to date. Herbal medicine has been reported to have beneficial
properties in the treatment of subfertility, especially in improving semen
quality both in vivo and in human studies. Therefore, we intend to investigate
the effectiveness and safety of treatment using Korean medicine (KM) for
infertile male patients with poor semen quality.This will be a single-center,
prospective, case-only observational pilot study. About 20 male patients with
infertility who visit Conmaul Hospital of Korean Medicine will be recruited. We
will follow the standard treatment protocol, which has shown good results in the
treatment of male infertility. The protocol is composed mainly of a 10-week
herbal decoction treatment; acupuncture and/or pharmacopuncture are added when
needed. Semen samples, quality of life, and the scrotal temperatures of infertile
men will be observed before and after the 10-week treatment with KM.The study has
received ethical approval from the Public Institutional Review Board (approval
number: P01-201708-21-008). The findings will be disseminated to appropriate
audiences via peer-reviewed publication and conference presentations. TRIAL
REGISTRATION: Korean Clinical Trial Registry (CRIS), Republic of Korea:
KCT0002611.
PMID- 29369196
TI - Tuina for children with cerebral palsy: A protocol for a systematic review.
AB - BACKGROUND: Cerebral palsy (CP) describes a group of permanent disorders of
movement and posture causing activity limitations, leading the most common
movement disorder to children. On recovery of various aspects of CP, massotherapy
has a good effect in a great many of Chinese clinical trials. Therefore, we plan
to conduct a protocol of systematic review aimed at systematically reviewing all
the clinical evidence on the effectiveness of massotherapy for treating CP in
children. METHODS: The following electronic databases will be searched from
inception to October 1, 2017: Cochrane Library, Web of Science, EBASE, Springer,
World Health Organization International Clinical Trials Registry Platform, China
National Knowledge Infrastructure, Wan-fang database, Chinese Biomedical
Literature Database, Chinese Scientific Journal Database, and other sources. All
published English and Chinese articles randomized controlled trials (RTCs) will
be included. All types of CP of children in the trials will be included in this
study and these individuals will be involved as coresearchers to evaluate the
efficacy of massothreapy. RevMan V.5.3.5 software will be implemented for the
assessment of bias risk, data synthesis, subgroup analysis, and meta-analyses if
inclusion conditions are met. Continuous outcomes will be presented as mean
difference (MD) or standard mean difference (SMD), while dichotomous data will be
expressed as a relative risk. RESULTS: A high-quality synthesis of current
evidence of massothreapy for children with CP will be provided from several
aspects, including motor function improvement, intellectual development,
improvement of self-care ability, and daily living. CONCLUSION: This protocol
will present the evidence of whether Tuina threapy is an effective intervention
for children with CP. ETHICS AND DISSEMINATION: There is no requirement of
ethical approval and it will be in print or disseminated by electronic copies.
PROSPERO REGISTRATION NUMBER: CRD42017080342.
PMID- 29369197
TI - Different surgical approaches in laparoscopic sleeve gastrectomy and their
influence on metabolic syndrome: A retrospective study.
AB - Obesity is a growing health, social, and economic issue and became an epidemic,
according to recent report of World Health Organization.The only method with
scientifically proved efficiency of body mass loss is a surgical treatment.
Laparoscopic sleeve gastrectomy (LSG) is recently a leading method in metabolic
surgery. There are no standards of operative technique for LSG so far. The
influence of technique modification on metabolic effect has not been described
clearly.The aim of this study was to evaluate metabolic effects in patients with
morbid obesity who underwent various surgical approaches of LSG.The study
included 120 patients who were randomly divided into 3 groups: Group I, where
bougie size was 32 French (Fr), Group II-36 Fr and Group III-40 Fr. Each group
was divided into 2 subgroups, based on the distance of resection beginning from
the pylorus-2 or 6 cm. Statistical analysis of: body mass index (BMI), the
Percentage of Excess Weight Loss (%EWL), the Percentage of Excess BMI Loss
(%EBMIL), levels of glucose and insulin on an empty stomach, glycated hemoglobin
(HbA1c), insulin resistance (Homeostatic Model Assessment of Insulin Resistance
Index-HOMA-IR), aspartate transaminase (AST), alanine transaminase (ALT), total
cholesterol, high-density lipoprotein (HDL), low-density lipoprotein (LDL),
triglycerides (TG), and C-reactive protein (CRP) were under
investigation.Statistically significant decrease in body mass, BMI, %EWL, %EBL,
glucose, and insulin concentrations has been observed in all studied groups. It
was the highest when the smallest calibration tube has been used (32 Fr). Similar
results were observed in HOMA-IR and HbA1c levels. Statistically significant
decrease of total cholesterol, LDL, and TG concentrations have been observed.
Significant increase of HDL in every group has been also noted. Postoperative CRP
values were the lowest when the smallest bougie was used.LSG is effective method
of obesity treatment. Metabolic effects of LSG are the most noticeable when a
small bougie size is used.
PMID- 29369198
TI - Prognostic significance of glypican-3 expression in hepatocellular carcinoma: A
meta-analysis.
AB - BACKGROUND: In recent years, an increasing number of studies has been published
analyzing the possible prognostic utility of glypican-3 (GPC3) in hepatocellular
carcinoma (HCC), but the results are still controversial. The aim of this meta
analysis was to evaluate possible association between GPC3 expression and
patients' survival. METHODS: Relevant publications which assessed GPC3 expression
with survival outcome in HCC patients were searched from Pubmed, Embase, Web of
Science, and the Cochrane library. Survival outcome (odds ratios or hazard
ratios) was synthesized with a fixed or random effects meta-analysis. Publication
bias and sensitivity analyses were also conducted. Statistical analysis was
performed by STATA 12.0 and Review Manager software 5.3. RESULTS: Fifteen studies
including 2336 HCC cases were analyzed systematically in our meta-analysis. The
main results showed that GPC3 high expression was significantly associated with
later tumor stage, higher tumor grade, presence of vascular invasion, shortened
overall survival, and disease-free survival. Subgroup analyses for GPC3 on HCC
overall survival according to the studies categorized by sample size, follow-up
period, and cut-offs were also conducted. CONCLUSION: Our findings suggested that
GPC3 may play a role in cancer invasion and progression and may be related to
poor prognosis of HCC. Further mechanical research or multicenter cohort studies
are needed to confirm these findings.
PMID- 29369199
TI - Combined pretreatment serum CA19-9 and neutrophil-to-lymphocyte ratio as a
potential prognostic factor in metastatic pancreatic cancer patients.
AB - The aim of this study was to explore the role of combined pretreatment serum
carbohydrate antigen 19-9 (CA19-9) and neutrophil-to-lymphocyte ratio (NLR) as
potential prognostic factors in metastatic pancreatic cancer patients.We
investigated pretreatment serum CA19-9 and NLR in 59 metastatic pancreatic cancer
patients, determined the patients' thresholds by receiver operating
characteristic curve analysis, and assessed their prognostic values by Kaplan
Meier curve and Cox regression models.Results of multivariate analysis showed
high CA19-9, high NLR, and high score (the scoring system of CA19-9 and NLR) were
significantly correlated with overall survival. Area under the curve of the
scoring system was higher than that of CA19-9 or NLR.Combined pretreatment serum
CA19-9 and NLR is a better prognostic biomarker of metastatic pancreatic cancer
patients than CA19-9 or NLR alone.
PMID- 29369200
TI - Effectiveness and confounding factors of penetrating astigmatic keratotomy in
clinical practice: Case report.
AB - RATIONALE: Penetrating astigmatic keratotomy (penetrating AK) is a well-known
method to correct corneal astigmatism but rarely be performed nowadays. This
article reevaluated the clinical effectiveness and confounding factors of
penetrating AK. PATIENT CONCERNS: Penetrating AK has been introduced to serve as
one alternative operation for astigmatism correction, and is thought to have the
potential advantage of being more affordable and easy to perform. The purpose of
our study is to evaluate the effectiveness and confounding factors of penetrating
AK. DIAGNOSES: The chart of 95 patients with corneal astigmatism (range: 0.75
3.25 diopters [D]) who received penetrating AK from January 2014 to December 2016
was collected. The corneal astigmatism were measured by an autokeratometer
(Topcon KR8100PA topographer-autorefractor), and repeated with manual keratometer
in low reproducibility cases. INTERVENTIONS: All patients received penetrating AK
by an experienced ophthalmologist (Dr. Gow-Lieng Tseng, MD, PHD) in the operation
room. Among which, 66 patients received penetrating AK with phacoemulsification
simultaneously (group A), whereas 29 patients received penetrating AK at least 3
months after phacoemulsification (group B). After excluding the patients combined
with other procedures or lost followed up, 79 patients are remaining for
analysis. The outcome was evaluated by net correction, the difference between
preoperative corneal astigmatism (PCA) and residual corneal astigmatism (RCA).
Two sample t tests and Pearson test were used for effectiveness evaluation. For
confounding factors, multivariate linear regression was used for statistical
analysis. OUTCOMES: The mean preoperative and postoperative refractive cylinders
were 1.97 +/- 0.77 and 1.08 +/- 0.64 D, respectively, in group A and 2.62 +/-
1.05 and 1.51 +/- 0.89 D in group B. There were no statistically significant
differences in net correction between these two groups (0.9 +/- 0.66 vs. 1.1 +/-
0.69, P = .214). Higher PCA were associated with higher net correction in both
group A (P = .002) and group B (P = .019). Compound myopic astigmatism caused
less net correction than others only in group A (P = 0.031). LESSONS: Penetrating
AK is an accessible, affordable, and effective way to correct corneal
astigmatism. The results of this procedure are comparable to modern methods in
patients with low to moderate corneal astigmatism.
PMID- 29369201
TI - Avoiding excessive pregnancy weight gain to obtain better pregnancy outcomes in
Taiwan.
AB - Pregnancy weight gain may be associated with adverse pregnancy outcomes. The
article aims to explore the relationship between weight change and pregnancy
outcome in the Taiwanese pregnant women.The retrospective cohort study enrolled
women with vertex singleton pregnancy at University-associated Hospital between
2011 and 2014. Pregnancy weight change was separated into 3 groups, based on the
Institute of Medicine (IOM) guidelines: below (n = 221); within (n = 544); and
above (n = 382). Analysis of variance, chi tests, generalized linear models, and
logistic regression models were used for statistical comparisons.Pregnant women
with weight change above IOM guidelines had a significant increase in both
maternal and perinatal complications compared with normal controls (odds ratio
[OR] 1.65, 95% confidence interval [CI] 1.03-1.98; P = .043; OR 1.45, 95% CI 1.01
1.87; P = .049, respectively). This finding was not found in pregnant women with
weight gain below IOM guidelines. Moreover, age (OR 1.08, 95% CI 1.02-1.15; P =
.0011), pre-pregnancy weight (OR 1.04, 95% CI 1.01-1.09; P = .0008), pre
pregnancy body mass index (BMI; OR 1.15, 95% CI 1.06-1.30; P < .0001), weight at
the time of delivery (OR 1.05, 95% CI 1.02-1.13; P < .0001) and BMI at the time
of delivery (OR 1.15, 95% CI 1.06-1.39; P < .0001), all contributed to increased
maternal complications but not perinatal complications, whereas parity (OR 0.23,
95% CI 0.12-0.41; P < .0001) and gestational age (OR 0.50, 95% CI 0.35-0.62; P <
.001) were associated with fewer maternal complications.Our study reconfirmed
that for Taiwanese pregnant women, the approximate pregnancy weight gain
recommended by IOM in 2009 was associated with the fewest maternal and perinatal
complications. If approximate pregnancy weight gain cannot be attained, even less
weight gain during pregnancy is still reasonable without significantly and
adversely affecting maternal and perinatal outcomes in Taiwan.
PMID- 29369202
TI - Palliative care with cervical intrathecal infusion and external pump for a late
stage cancer patient with refractory pain: A case report.
AB - RATIONALE: Intrathecal therapy, with a low complication rate, has become an
alternative to standard pain management for treatment of neuropathic cancer pain.
PATIENT CONCERNS: Here, we reported a late-stage cancer patient with intractable
neuropathic pain in his right neck, shoulder, and upper limb. DIAGNOSES: The pain
started 2 years ago when the patient was diagnosed as squamous cell carcinoma
with metastasis to right supraclavicular lymph nodes. INTERVENTIONS: Cervical
intrathecal infusion of morphine and bupivacaine with patient control analgesia
by external pump was performed. The intrathecal catheter was located at the level
of C6 vertebra. The initial concentration of bupivacaine and morphine were both 1
mg/mL with infusion rate of 0.3 mL/h and bolus of 0.3 mL. Subsequently, the
concentrations increased to 2 mg/mL (bupivacaine) and 1.33 mg/mL (morphine), with
infusion rate to 0.6 mL/h and bolus to 0.5 ml. OUTCOMES: The pain intensity
decreased from numerical rating scale 6 to 7 to 2 to 3 at rest, and from 10 to 5
to 6 of breakthrough pain. LESSONS: In conclusion, cervical intrathecal infusion
requires low concentration but high doses of bupivacaine and morphine, which is
safe and effective in cancer patients with refractory pain and short life
expectancy.
PMID- 29369203
TI - Bilateral decompression and intervertebral fusion via unilateral fenestration for
complex lumbar spinal stenosis with a mobile microendoscopic technique.
AB - For complex lumbar spinal stenosis, using of endoscopy technique may provide
clear vision with less invasive dissection of paravertebral muscle. The objective
of this study was to evaluate the feasibility and clinical efficacy of bilateral
decompression and intervertebral fusion via unilateral fenestration for complex
lumbar spinal stenosis using mobile microendoscopic discectomy (MMED) technique.A
total of 61 patients with complex lumbar spinal stenosis (lumbar canal stenosis
combined with degenerative spondylolisthesis, instability, and scoliosis) were
treated with this procedure. Patients with isolated lumbar spinal stenosis or
spondylolisthesis greater than grade II were excluded. The index levels included
L4/5 in 52 patients, L5/S1 in 6 patients, L3-L5 in 2 patients and L4-S1 in 1
patient. The preoperative Oswestry Disability Index (ODI) score was 42.6 +/-
10.2, lumbar visual analog scale (VAS) score was 6.1 +/- 4.2, and leg VAS score
was 7.1 +/- 5.1. During the operation, ipsilateral enlarged fenestration was made
using the MMED technique. The disc and cartilage endplate were thoroughly
removed, and the contralateral ligamentum flavum and the inner layer of lamina
were undercut to release the contralateral nerve root. The intervertebral space
was released and prepared, followed by bone grafting and cage insertion.
Percutaneous pedicle system was used for reduction and fixation. The operative
time and blood loss were recorded, and patients were followed-up for at least 3
years (36-48 months, average 41 months) to evaluate the clinical efficacy.The
procedure was successful in all patients, with no nerve injury or conversion to
open operation. The mean operative time was 120 minutes (range, 100-180 minutes),
with a mean blood loss of 100 mL (range, 50-200 mL). Postoperative x-ray and CT
showed sufficient decompression and improvement of spinal alignment. At 3 years
after surgery, the ODI scores, lumbar and leg VAS scores decreased from
preoperative 42.6 +/- 10.2, 6.1 +/- 4.2, and 7.1 +/- 5.1 to 8.6 +/- 7.0, 1.8 +/-
1.3, and 0.9 +/- 0.6, respectively (P = .00 for each comparison). The clinical
results were excellent in 36 cases, good in 23, and fair in 2, according to the
MacNab scale.The procedure of bilateral decompression and intervertebral fusion
via unilateral fenestration using the MMED technique can provide satisfactory
clinical results for complex lumbar spinal stenosis.
PMID- 29369204
TI - Chronic fatigue syndrome in Chinese middle-school students.
AB - The objective of the present study was to determine the prevalence of chronic
fatigue syndrome (CFS) and its associated factors in middle-school students in
Suzhou, China. From September 2010 to January 2011, across-sectional study was
conducted in junior- and senior middle-school students aged 10 to 18 years using
a battery of confidential questionnaires. Our results indicate that 18,139
completed the questionnaires effectively, of whom 163 (0.9%) met the definition
of CFS, with senior high-school students and male students predominating. The
prevalence of CFS in the middle-school students increased steadily with age. The
main symptoms of CFS in these students included being afraid of going to school,
despondency, and irritability in addition to those specified in the Centers for
Disease Control and Prevention (CDC). Our study shows that CFS is prevalent among
Chinese teenagers, and requiring proper intervention and treatment.
PMID- 29369205
TI - Low recurrence rate of high-grade cervical intraepithelial neoplasia after
successful excision and routine colposcopy during follow-up.
AB - The aim of the present cohort study was to assess the long-term (follow-up period
up to 22 years) recurrence rate of preinvasive disease and the newly detected
invasive disease rate in a cohort of women treated with excisional methods for
high-grade cervical intraepithelial neoplasia (CIN).Women treated with large loop
excision of the transformation zone (LLETZ) and cold knife conization (CKC) for
histologically proven high-grade CIN were followed up for up to 22 years.
Surgical specimens underwent histological examination and the status of
endocervical as well as ectocervical margins was recorded. Follow-up protocol
included conventional Pap test, colposcopy and pelvic examination at 3, 6, and 12
months after the initial treatment, and every 12 months thereafter, provided that
the results were normal. In case of high-grade cytological findings and/or
atypical colposcopic impression, multiple punch biopsies were taken in order to
verify or exclude recurrent disease.In total, 804 women were followed for a mean
time of 77.1 months (range: 6-266). LLETZ was used in 569 (70.7%) and CKC in 235
cases (29.2%). No woman developed invasive cervical cancer. Recurrent high-grade
disease, developed in 9 women (1.1%, 95% confidence interval 0.5-2.2). Median
treatment-to-recurrence time was 46.5 months (range: 6-235.3). One woman treated
for squamous CIN2 on clear margins developed adenocarcinoma in situ 59.2 months
post-treatment.Women having undergone excisional treatment for high-grade CIN
indicate a very low risk for recurrent disease and potentially negligible risk
for invasive cancer, provided that a strict and vigorous follow-up is offered
after treatment.
PMID- 29369206
TI - Low-grade myofibroblastic sarcoma of gastric cardia on 18F-FDG positron emission
tomography/computed tomography: An extremely rare case report.
AB - RATIONALE: Low-grade myofibroblastic sarcoma (LGMS) is a rare mesenchyme-derived
tumor, which usually occurs in head, neck (especially tongue and mouth), and
limbs. In this report, we described a case of gastric LGMS by F-fluoro-2-deoxy-d
glucose (FDG) positron emission tomography/computed tomography (PET/CT), which
has not been reported previously. PATIENT CONCERNS: A 51-year-old female patient
was admitted to our hospital with upper abdominal discomfort for 1 year and
gradually increased eating difficulties over the last 3 months. From gastroscopy,
an ulcer of 1.0 cm * 1.2 cm at the entrance of cardia and stiffness of peripheral
mucosa were found, leading to suspicion of cardia cancer. F-FDG PET/CT was
performed for further diagnosis and staging. DIAGNOSES: According to pathological
findings in combination with immunohistochemical features, diagnosis of gastric
LGMS was made. INTERVENTIONS: To relieve symptoms of upper gastrointestinal
obstruction in the patient, proximal gastrectomy was carried out 1 week after the
F-FDG PET/CT scan. OUTCOMES: The patient died due to advanced tumor. LESSONS: F
FDG PET/CT scan showed local thickening of the gastric wall, invasion of adjacent
soft tissue, diaphragmatic and peritoneal metastasis at early stage, absence of
regional lymph node metastasis, and increased F-FDG metabolism in primary tumor
and metastatic tumor.
PMID- 29369207
TI - Prevalence of fear of falling and associated factors among Japanese community
dwelling older adults.
AB - To determine the prevalence of fear of falling and associated factors among
Japanese community-dwelling older adults.Cross-sectional study between 2011 and
2013.Community in which residents voluntarily attended a health examination.We
recruited 844 older adults (male, n = 350; female, n = 494) aged 60 to 92 years
from among those who presented at the health examination.We assessed fear of
falling, falls in the previous year, pain, comorbidity, and cataracts. Five times
chair stand time was applied as an indicator of physical performance.The
prevalence of fear of falling was 26.9% and 43.3% among the men and women,
respectively. Men and women who feared falling were older (P < .01), had longer 5
times chair stand time (P < .01), and more falls in the previous year (P < .05),
pain (P < .01), and comorbidity (P < .05). Multivariate logistic regression
analysis identified advanced age (odds ratios [OR], 1.57; 95% confidence interval
[CI], 1.03-2.39), falls in the previous year (OR, 2.44; 95%CI, 1.29-4.64), and
pain (OR, 1.82; 95%CI, 1.03-3.22) in men, and advanced age (OR, 1.59; 95%CI, 1.13
2.24), longer 5 times chair stand times (OR, 1.28; 95%CI, 1.04-1.59), falls in
the previous year (OR, 2.59; 95%CI, 1.54-4.34), and pain (OR, 1.65; 95%CI, 1.06
2.55) in women as being independently associated with fear of falling.The
prevalence of fear of falling was similar to previous reports. Advanced age,
falls in previous year, and pain were associated with fear of falling in men. A
longer 5 times chair stand time was also associated with fear of falling among
older adult women. Maintenance of physical function and pain management might be
important for older adults with fear of falling.
PMID- 29369208
TI - An alternative strategy treated giant congenital melanocytic nevi with epidermis
and superficial dermis of the lesions.
AB - Giant congenital melanocytic nevi (GCMN) are defined as rare pigmented lesions
that are believed to form between weeks 9 and 20 of gestation. It is difficult to
reconstruct large defects after the removal of the lesions and it has posed a
great challenge to the plastic surgeon and dermatologist.Given all those
difficulty reconstructing the defects, we try to explore an alternative way to
resurfacing the defect after removal of GCMN.Patients with GCMN received single
stage excision. Following the subcutaneous tissue and deep dermis were discarded,
epidermis and superficial dermis were harvested as graft substitutes to
reconstruct the defects in situ.All of the grafted tissue survived well and skin
color in the surgical area gradually became lighter. During the periodicity of
follow-up, neither hypertrophic scars nor recurrence were observed. Furthermore,
histopathology examination demonstrated that there are no distinct melanocytes
gathered in the postoperation lesions.For those GCMN which is difficult to
reconstruct with traditional methods, resection of the lesion followed by
reconstruction with epidermis skin and superficial dermis from the lesions in
situ may be a feasible and alternative therapy method.
PMID- 29369211
TI - Preoperative intravenous glucocorticoids can decrease acute pain and
postoperative nausea and vomiting after total hip arthroplasty: A PRISMA
compliant meta-analysis: Erratum.
PMID- 29369209
TI - Bone marrow granulomas in a high tuberculosis prevalence setting: A
clinicopathological study of 110 cases.
AB - Granulomas were reported in 0.3% to 3% of bone marrow biopsies. The aim of the
study was to evaluate the incidence and etiology of bone marrow granulomas (BMGs)
in the West China Hospital, which located at a high tuberculosis (TB) prevalence
area in China.A retrospective case review was performed on 11,339 bone marrow
biopsies at the West China Hospital of Sichuan University between January 2011
and December 2015. Cases with BMGs were retrieved and their clinical data and
histopathological features were collected, examined, and analyzed.Out of 11,339,
110 cases showed granulomatous lesions in the bone marrow biopsies (0.97%).
Etiologies were indentified in 80 cases (72.8%), with infections being the most
common (64.5%), following by malignancies (4.5%) and autoimmune diseases (3.6%).
Among infectious cases, 87.32% (62/71) cases were diagnosed as TB, a positive
acid-fast stain or/and polymerase chain reaction (PCR) result for mycobacterium
TB DNA fragment amplification was obtained for 35 cases. In 30 cases (27.27%), a
definite diagnosis could not be established.In a TB high prevalence region in
China, with a combined histological, clinical, serological, and molecular
approach, we were able to clarify the cause in 72.73% of the bone marrow
granulomatous cases. TB is the most common underlying etiologies. Therefore, acid
fast stain and quantitative PCR for mycobacterium TB DNA amplification are
recommended as a routine for bone marrow biopsies in TB high prevalence regions.
PMID- 29369210
TI - Prognostic role of pretreatment neutrophil to lymphocyte ratio in breast cancer
patients: A meta-analysis: Erratum.
PMID- 29369212
TI - Nonsurgical management of an extensive spontaneous spinal epidural hematoma
causing quadriplegia and respiratory distress in a choledocholithiasis patient: A
case report: Erratum.
PMID- 29369213
TI - Efficacy of Lactobacillus reuteri DSM 17938 for infantile colic: Systematic
review with network meta-analysis: Erratum.
PMID- 29369214
TI - Ginseng for improving semen quality parameters: A protocol of systematic review.
AB - BACKGROUND: The aim of this systematic review is to determine whether ginseng is
effective in improving sperm quality. METHODS AND ANALYSIS: Twelve databases will
be searched from their inception to the present date: PubMed, EMBASE, AMED, the
Cochrane Library, 5 Korean medical databases (KoreaMed, DBpia, OASIS, the
Research Information Service System [RISS], and the Korean Studies Information
Service System [KISS]), and 3 Chinese medical databases (China National Knowledge
Infrastructure [CNKI], the Wanfang Database, and the Chinese Scientific Journals
Database [VIP]). We will include all prospective clinical trials including
randomized controlled trials (RCTs), controlled trials, and uncontrolled
observational studies. We will exclude case study and case series, and
retrospective studies in which healthy men or men with subfertility are treated
with any type of ginseng. We will exclude studies comparing the 2 different forms
of ginseng. The selection of the studies, data abstraction, and validations will
be performed independently by 2 researchers. The risk of bias of the RCTs will be
evaluated using the Cochrane's risk of bias assessment tool. ETHICS AND
DISSEMINATION: The findings will be disseminated to appropriate audiences via
peer-reviewed publications and conference presentations. Our review will provide
readers the opportunity to access studies originally published in East Asian
languages that they would otherwise be unable to read. TRIAL REGISTRATION NUMBER:
PROSPERO 2017 CRD42017078797.
PMID- 29369215
TI - Association between daily life experience and psychological well-being in people
living with nonpsychotic mental disorders: Protocol for a systematic review and
meta-analysis.
AB - BACKGROUND: Evidence has shown that people living with nonpsychotic mental
disorders experience difficulties in maintaining their daily living, consequently
impacting on psychological well-being. However, the role of daily life experience
remains unclear. This systematic review and meta-analysis aims to determine the
association between daily life experience and psychological well-being in people
living with nonpsychotic mental disorders, and evaluate daily life experience as
a moderator of psychological well-being in this population. METHODS: Literature
search will be performed using a combination of title/abstract words and subject
headings on 7 electronic databases according to predefined inclusion and
exclusion criteria. Data will be extracted by 4 independent reviewers (JH, SPCN,
WKKW, and WKH). Disagreement will be resolved by discussion with senior
reviewers. Observational studies involving subjects with unipolar depression,
bipolar disorder, anxiety disorder, acute stress disorder, as post-traumatic
stress disorder as distinct groups with quantitative measurement of daily life
experience and psychological well-being will be included. RESULTS: Effect sizes
will be pooled by random effects model. The quality of the studies will be
assessed using Newcastle-Ottawa scale. Heterogeneity between studies will be
quantified using I index. This review is registered in PROSPERO. CONCLUSIONS:
While symptoms and existing treatments of nonpsychotic mental disorders could be
long term and dependent upon medical regimens, sustaining daily life experience
will be a potentially important and concrete pathway that empowers patients to
recover from the disorders, maintain or enhance psychological well-being, and be
reintegrated into society. Findings of this review will inform prospective
interventional trials of enhancing daily life experience in prevention of
recurrence and enhancing psychological well-being in people living with
nonpsychotic mental disorders.
PMID- 29369216
TI - Helicobacter pylori eradication may influence timing of endoscopic surveillance
for gastric cancer in patients with gastric precancerous lesions: A retrospective
study.
AB - Chronic atrophic gastritis and intestinal metaplasia related to Helicobacter
pylori infection, are major risk factors for gastric adenocarcinoma. Eradication
of H pylori and endoscopy surveillance of precancerous lesions may reduce the
risk and/or lead to early detection of gastric cancer improving survival. In this
study, the progression of precancerous lesions after H pylori treatment was
evaluated.Patients with incomplete or complete intestinal metaplasia and/or
gastric atrophy at the index endoscopy, were examined for the
extension/histological worsening of precancerous lesions at the endoscopy
surveillance for gastric cancer. Progression of lesions was evaluated according
to H pylori status, age, and sex. Cox proportional hazard regression model and
Kaplan-Meier curves were used to evaluate the strength of predictors for lesions
progression.Among 105 patients (61 women) H pylori negative patients showed a
milder worsening of gastric lesions between index and surveillance endoscopy
compared with patients positive for the infection (log-rank test: P < .0001, P =
.012, and P = .032 for antrum, angulus, and corpus, respectively). The Cox
regression model showed persistence of H pylori infection (hazard ratio = 4.436;
P < .0001) as the only relevant factor for lesion progression, whereas age >65
years and sex were not significant predictors.According to literature our results
demonstrate that H pylori eradication is the major factor able to delay gastric
precancerous lesions progression. Time interval for endoscopic surveillance in
patients negative for H pylori infection and with gastric precancerous lesions
may be extended.
PMID- 29369217
TI - Herbal medicine (Danggui Liuhuang decoction) for managing menopausal symptoms: A
protocol of systematic review of randomized clinical trials.
AB - BACKGROUND: Danggui Liuhuang (DLH) decoction is a traditional herbal medicine
that is widely used in East Asia to treat menopausal symptoms. Most of the
available clinical trials that investigated DLH decoction have been included in
this review. The objectives of this protocol are to provide the information of
how to evaluate the effectiveness and safety of DLH decoction for the treatment
of menopausal symptoms. METHODS AND ANALYSIS: Fourteen databases will be searched
from inception until February 2018. We will include randomized controlled trials
(RCTs) testing any type of DLH decoction. All RCTs investigating DLH decoction or
modified DLH decoction will be included. The methodological quality of the RCTs
will be evaluated using the Cochrane's risk of bias assessment tool. ETHICS AND
DISSEMINATION: The full systematic review will be published in a peer-reviewed
journal. The review will also be disseminated electronically and in print.
Updates of the review will be conducted to inform and guide healthcare practice
and policy. TRIAL REGISTRATION NUMBER: PROSPERO 2017 CRD42017079189.
PMID- 29369218
TI - The association of hypernatremia and hypertonic saline irrigation in hepatic
hydatid cysts: A case report and retrospective study: Erratum.
PMID- 29369220
TI - Insulin autoimmune syndrome in a pregnant female: A rare case report: Erratum.
PMID- 29369219
TI - A primary gastric synovial sarcoma: A case report and literature review: Erratum.
PMID- 29369221
TI - The association of single nucleotide polymorphism rs189037C>T in ATM gene with
coronary artery disease in Chinese Han populations: A case control study.
AB - Accumulated evidence has indicated that ataxia telangiectasia mutated (ATM) is
closely related to atherosclerosis and cardiovascular diseases. So we aimed to
examine potential association between a gene variant [single nucleotide
polymorphisms (SNPs), i.e., rs189037C>T] in the promoter of ATM gene and coronary
artery disease (CAD) in Chinese Han populations.In this hospital-based case
control study, a total of 1308 participants were divided into CAD group (652
patients) and control group (656 subjects) after performing coronary angiography.
The SNP rs189037 was genotyped by using polymerase chain reaction-restriction
fragment length polymorphism.The distribution of rs189037 genotypes and alleles
showed a significant difference between CAD and control subjects (genotypes: P =
.032; alleles: P = .028). The percentage of the TT genotype is much higher in
control group than that in CAD group (22.0% vs 16.3%, P = .009). After adjustment
of the major confounding factors, such difference remained significant (OR =
0.62, 95% CI = 0.43-0.89, P = .010). After analyzing data from different groups
divided by genders and smoking status respectively, we found that the protective
effect of TT genotype on CAD was significant in males (P = .007) and smokers (P =
.031). The difference remained statistically significant after multivariate
adjustment (adjusted in males: OR = 0.60, 95% CI = 0.38-0.93, P = .022; adjusted
in smokers: OR = 0.47, 95% CI = 0.27-0.81, P = .006).Our study suggests that ATM
rs189037 polymorphism is associated with CAD in Chinese Han populations. The TT
genotype of rs189037 seems to be associated with a lower risk of CAD and a
protective genetic marker of CAD, especially in males and smokers.
PMID- 29369222
TI - Prognostic impact of left ventricular mass change in patients with ST-elevation
myocardial infarction.
AB - Prognostic significance between progression of left ventricular hypertrophy (LVH)
and clinical outcomes in patients with ST-elevation myocardial infarction (STEMI)
is uncertain. The objective of this study was to investigate prognostic impact of
progression of LV mass index (LVMI) in patients with STEMI.We analyzed the data
and clinical outcomes of patients with STEMI who received successful coronary
intervention. A total of 200 patients who had echocardiographic follow-up between
12 and 36 months were finally enrolled. According to change in LVMI compared to
baseline LVMI, patients were classified into progression group and nonprogression
group. Progression of LVMI was defined when increment of LMVI was greater than
10% compared to baseline LVMI. End points were major adverse cardiac events
within 5 years, including death, recurrent MI, target vessel revascularization,
and hospitalization due to heart failure.Progression of LVMI occurred in 55
patients. In the progression group, rate of recurrent MI was higher (13 vs 2%, P
= .026) and the event-free survival of recurrent MI was significantly worse (log
rank P < .001) than that in the nonprogression group. Adjusted hazard ratio of
progression of LVMI for recurrent MI was 10.253 (95% confidence intervals 2.019
52.061, P = .005).Increased LVMI was an independent predictor for adverse events,
especially for recurrent MI, in patients with STEMI.
PMID- 29369223
TI - Intramedullary fixation versus plate fixation for displaced mid-shaft clavicle
fractures: A systematic review of overlapping meta-analyses.
AB - BACKGROUND: Displaced mid-shaft clavicle fractures (DMCFs) are common injuries.
Both intramedullary fixation (IMF) and plate fixation (PF) have been described
and routinely used. Multiple trials have been conducted to compare these
treatments. Multiple meta-analyses have been published to compare IMF and PF
treatment for DMCFs; however, the results remain controversial. The purposes of
this study were to perform a systematic review of overlapping meta-analyses
comparing IMF and PF treatment for DMCFs, to help decision makers critically
evaluate the current meta-analyses, and to propose a guide through the best
available evidence. METHOD: We searched the Cochrane library, PubMed, and EMBASE
data bases. Two authors independently scanned titles and abstracts to exclude
irrelevant articles and identify meta-analyses that met the eligibility criteria.
The methodological quality of the meta-analysis was independently assessed by the
2 authors using the Oxford Centre for Evidence-based Medicine Levels of Evidence
and the Assessment of Multiple Systematic Reviews (AMSTAR) tool. Heterogeneity
information of each variable was extracted from the included studies. An I of
<60% is accepted in this systematic review. The Jadad algorithm was then applied
to determine which of the meta-analyses provided the best evidence. RESULTS:
Eight meta-analysis met the inclusion criteria in this study. AMSTAR scores
varied from 7 to 9. Heterogeneity of each outcome was acceptable. Four authors
independently selected the same meta-analysis as providing the highest quality of
evidence using the Jadad decision algorithm. CONCLUSION: This systematic review
of overlapping meta-analyses suggests that compared with PF, major reintervention
and refracture after implant removal occurred more frequently after PF of DMCFs.
No differences in terms of function and non-union between PF and IMF were
observed. Future research should focus on fracture selection for IMF and further
improvement of plates and IM devices.
PMID- 29369225
TI - Serum 25-hydroxyvitamin D and risk of type 2 diabetes in older adults: A dose
response meta-analysis of prospective cohort studies: Retraction.
PMID- 29369224
TI - Sorafenib prescribed by gastroenterologists and hepatologists for hepatocellular
carcinoma: A retrospective, multi-institutional cohort study.
AB - Sorafenib is the only Food and Drug Administration (FDA)-approved first-line
therapy shown to have survival benefit for patients with advanced hepatocellular
carcinoma (HCC). Patients with advanced HCC are often but not exclusively
transferred from non-oncologists to oncologists to initiate systemic therapy. The
objective of this study was to assess whether sorafenib prescribing by non
oncologists has any impact on utilization, adverse effects, cost or outcome.This
was a retrospective cohort study utilizing data from patients prescribed
sorafenib for HCC within Veterans Health Administration hospitals with 100% chart
abstraction to confirm HCC diagnosis, identify prescribing provider specialty
(oncology versus gastroenterology/hepatology), and obtain data required for
cancer staging by the Barcelona Clinic Liver Cancer (BCLC) system. The primary
outcome was overall survival from the time of sorafenib prescription.A total of
4903 patients who prescribed sorafenib for HCC were identified, for whom 340
patients (6.9%) were prescribed drug by a non-oncologist (Onc). BCLC Stage, age,
Child-Turcotte-Pugh score, and comorbidity indices were similar between patients
prescribed sorafenib by oncologists and non-oncologists. Oncologists more often
discontinued sorafenib due to progression, whereas non-oncologists were more
likely to continue sorafenib until death resulting in greater pill utilization
and cost. Overall survival in both unadjusted and multivariable models showed no
significant impact of prescriber type on survival (222 vs 217 days, P = .96),
confirmed with propensity-matched subcohorts.Similar survival outcomes were
observed for patients with HCC prescribed sorafenib by non-oncologists and
oncologists, suggesting that non-oncologists with expertise in the management of
HCC can safely and effectively administer sorafenib.
PMID- 29369226
TI - The efficiency and safety of tranexamic acid for reducing blood loss in open
myomectomy: A meta-analysis of randomized controlled trials: Retraction.
PMID- 29369227
TI - Silicone Hydrogel and Rigid Gas-Permeable Scleral Lens Tear Exchange.
AB - OBJECTIVES: To quantify tear elimination rate (ER) underneath silicone hydrogel
(Si-Hy) and scleral gas permeable (GP) contact lenses (CLs). METHODS: Subjects
successfully using either well-fitting soft Si-Hy CLs or scleral GP CLs were
recruited. Most scleral GP CL wearers had irregular corneas (e.g., keratoconus).
An objective fluorometer measured decay of fluorescein isothiocyanate dextran dye
signal (70 kD MW) from which the tear ER in %/min was calculated. For GP scleral
lenses, the ER was determined for both the initial settling period and the 30- to
60-min period, and without lenses. All ERs were calculated from 5 to 30 min to
avoid reflex tearing effects. RESULTS: Fourteen soft Si-Hy CL and 12 scleral GP
CL wearers completed the study. The ER for the scleral GP CL wearers averaged
0.57 (+/-0.6) %/min for the 0- to 30-min and 0.42 (+/-0.5) %/min for the 30- to
60-min period (P=0.515). Non-CL wear tear ER in these same subjects averaged
34.17 (+/-15.9) %/min and was significantly different versus both scleral GP wear
periods (both P values <0.001). The ER for the soft Si-Hy CL wearers, 5 to 30
min, averaged 6.09 (+/-2.8) %/min. CONCLUSIONS: Our data demonstrate
significantly less ER in well-fit scleral GP CL wearers compared with soft Si-Hy
CL wearers for both the settling and longer wear periods (both P values <0.001).
Moreover, slightly greater tear exchange was observed during the scleral GP CL
settling period than later, which may reflect a change over time in tear vault
thickness.
PMID- 29369228
TI - Consequences of Preservative Uptake and Release by Contact Lenses.
AB - OBJECTIVES: To assess contact lens preservative uptake and release from
multipurpose solutions (MPS) and subsequent acquisition of lens antibacterial
activity. METHODS: Kinetics of uptake and release of poly (hexamethylene
biguanide hydrochloride) (PHMB) or polyquaternium-1 (PQ-1) from various contact
lenses were studied initially with the pure compounds and then after soaking in
MPS containing these compounds. Lenses soaked in MPS were tested for
antibacterial activity. RESULTS: Only lenses with a negatively charged component
absorbed these preservatives. For lenses containing methacrylic acid (MA), uptake
of PHMB from preservative-only solution was fast, yet little was released, in
contrast to its rapid release from lenses containing other anionic groups. This
trend persisted with PHMB-containing MPS. PQ-1 from preservative-only solution
was only absorbed by lenses containing MA and was released from MA-containing
hydrogels, but not significantly from an MA-containing silicone hydrogel. Lens
uptake of PQ-1 was much lower from MPS and release was essentially undetectable
from all lenses evaluated. Antibacterial lens activity was acquired by lenses
containing MA after an overnight soak in MPS containing PQ-1, and for balafilcon
A and omafilcon A after 5 exchanges in PHMB-containing MPS. Acquired activity was
maintained during cycling between artificial tear protein solution and MPS.
CONCLUSIONS: Lens preservative uptake and its subsequent release are dependent on
lens chemistry, preservative nature, and other MPS components. A few
lens/solution combinations acquired antibacterial activity after one or more
overnight soaks in MPS, depending on the nature of the anionic lens component and
the preservative. Uncharged lenses did not acquire antibacterial activity.
PMID- 29369229
TI - Stenotrophomonas maltophilia-A Case Series of a Rare Keratitis Affecting Patients
With Bandage Contact Lens.
AB - OBJECTIVES: Stenotrophomonas maltophilia is an opportunistic pathogen known to
form biofilms on contact lens and case surfaces that may result in permanent
visual loss in cases of microbial keratitis. Because of its multiple drug
resistance and extremely low incidence, there is little consensus on treatment.
We investigated the predisposing factors, management, and visual outcomes in a
small case series of patients to better inform the management of this rarely
reported keratitis. METHODS: Retrospective analysis of medical records was
performed at a single tertiary referral center between 2011 and 2017. The case
notes of each microbiology confirmed S. maltophilia keratitis were examined.
RESULTS: Six cases were identified (four men) with a median age of 62 years
(range 1 month-90 years) and pre-existing ocular surface disease in all cases. At
presentation, four patients were using bandage contact lenses and three were on
topical antibiotic and steroid medications. Initial antibiotic treatment was
intensive topical 0.3% ofloxacin and 5% cefuroxime, which was modified based on
corneal scrape culture and sensitivity and clinical findings. One patient chose
not to complete the treatment course. The 5 remaining patients had complete
resolution of ulceration over a mean of 2.9 months (SD 0.8 months). CONCLUSIONS:
Contact lens in the context of ocular surface problems, prolonged topical
antibiotic and steroid treatments may predispose to S. maltophilia, a rare cause
of keratitis. We report successful treatment with case-specific combinations of
topical antibiotics such as fluoroquinolone, cotrimoxazole, and/or cephalosporin
agents, although visual outcomes remain poor due to corneal scar.
PMID- 29369230
TI - Longitudinal Changes in Disc and Retinal Lesions Among Highly Myopic Adolescents
in Singapore Over a 10-Year Period.
AB - OBJECTIVES: To examine the progression pattern of disc and retinal lesions in
highly myopic Chinese adolescents over a 10-year period in Singapore. METHODS:
This longitudinal study included Chinese participants who showed high myopia
(spherical equivalent [SE] worse than or equal to -5 diopters [D]), no history of
refractive surgery, and available fundus photographs at both 2006 (baseline) and
2016 (10-year follow-up) visits. Forty-four adolescents (aged 12-16 years at
baseline) who were re-examined later at follow-up were included. Cycloplegic
refraction, biometry, and fundus photography were performed at both visits. A
trained grader classified myopic macular degeneration (MMD) based on the Meta
pathologic myopia classification and disc lesions from fundus photographs.
Choroidal thickness (CT) measurements were performed at 10-year follow-up using
swept-source optical coherence tomography. The ocular parameters and lesions were
compared between baseline and follow-up. RESULTS: There was a significant
worsening of high myopia at follow-up to -7.5+/-1.8 D (mean SE+/-SD) in 2016
versus -6.2+/-1.3 D in 2006; (P<0.001). The 10-year changes included increased
degree of tessellation (26 eyes, 29.5%), development of new tessellated fundus
(19 eyes, 21.6%), disc tilt (7 eyes, 8.0%), and expansion of peripapillary
atrophy size (33 eyes, 37.5%). Eyes with early-onset tessellation (present at
baseline, 48 eyes) showed significantly thinner CT (P<0.05), compared with eyes
with late-onset tessellation (incident at 10-year follow-up, 19 eyes). No cases
of MMD were recorded at baseline or 10-year follow-up. CONCLUSIONS: Although
there was no incident MMD, the retinal and disc lesions worsened over the follow
up period. Early-onset fundus tessellation was associated with thinner CT.
PMID- 29369231
TI - Does Occupation Influence Selection of Contact Lens Material?
AB - OBJECTIVE: Long-term follow-up of contact lens (CL) wearers leads to better
understanding of the factors leading to changes in CL trends. This study is an
attempt to ascertain these factors in an emerging market like India. Factors like
occupation were analyzed to determine if these contributed to changes in
selection of CL materials in this article. METHODS: Occupations were categorized
as per the norms of International Standard Classification of Occupations-2008
(International Labor Organization, United Nations organization). RESULTS: A
majority of lens wearers were professionals and hydrogel lens materials were the
lenses of choice among most of the lens wearers. There was a significant
variation in CL material with different occupations (chi=19.29, P=0.037).
CONCLUSION: To conclude, employment and affordability influenced the selection of
lens materials.
PMID- 29369233
TI - Relationship Between Race, Insurance Coverage, and Visual Acuity at the Time of
Cataract Surgery.
AB - OBJECTIVE: To assess the relationship between sociodemographic variables
(including race, age, and insurance coverage) and preoperative best-corrected
visual acuity (BCVA) at the time of first-eye cataract surgery. METHODS: Single
center retrospective chart review study at Shiley Eye Institute, University of
California, San Diego (UCSD). Medical record data from 600 patients were
collected from the appointment immediately preceding cataract surgery, including
BCVA in the operated eye converted to the logarithmic minimal angle of resolution
(logMAR), insurance coverage, race, sex, age, body mass index, smoking status
(including pack years), systemic and ocular comorbidities, and ocular surgical
history. Preoperative BCVA in the operated eye was the main outcome measure.
RESULTS: Univariate analysis revealed significant differences in BCVA between
groups by insurance type (public, private, and uninsured), race (Asian, black,
Hispanic, white, and other), and sex (male and female) (P<0.001, P<0.001, and
P=0.019, respectively). Further multivariate analysis revealed significant
pairwise differences, with better BCVA associated with white race (0.85, logMAR
[Snellen 20/140]) than Hispanic race (1.06, [20/230], P=0.017), and better BCVA
associated with private insurance (0.79, [20/125]) versus public insurance (0.96,
[20/180], P=0.0002). CONCLUSION: Hispanic race and public insurance coverage were
each associated with worse preoperative BCVA compared with white race and private
insurance, respectively. This suggests that Hispanic race and public insurance
may predispose patients to decreased access to cataract surgery. Additional
large, prospective studies are required to rigorously test and elaborate on this
finding.
PMID- 29369232
TI - Survey of Ophthalmologists Regarding Practice Patterns for Dry Eye and Sjogren
Syndrome.
AB - OBJECTIVE: To survey ophthalmologists about current practice patterns regarding
the evaluation of dry eye patients and referrals for a Sjogren syndrome (SS)
workup. METHODS: An online survey was sent to ophthalmologists affiliated with
the Scheie Eye Institute or Wills Eye Hospital using REDCap in August 2015.
Descriptive statistics were used to summarize the data. RESULTS: Four hundred
seventy-four survey invitations were sent out and 101 (21%) ophthalmologists
completed the survey. The common traditional dry eye test performed was corneal
fluorescein staining (62%) and the most common newer dry eye test performed was
tear osmolarity (18%). Half of respondents (51%) refer fewer than 5% of their dry
eye patients for SS workups, with 18% reporting that they never refer any
patients. The most common reasons for referrals included positive review of
systems (60%), severe dry eye symptoms (51%) or ocular signs (47%), or dry eye
that is refractory to treatment (42%). The majority (83%) felt that there is a
need for an evidence-based standardized screening tool for dry eye patients to
decide who should be referred for evaluation for SS. CONCLUSIONS:
Ophthalmologists continue to prefer the use of traditional dry eye tests in
practice, with the most common test being corneal fluorescein staining. There is
an underreferral of dry eye patients for SS workups, which is contributing to the
continued underdiagnosis of the disease. Most respondents felt that there was a
need for an evidence-based standardized screening tool to decide which dry eye
patients should be referred for SS evaluations.
PMID- 29369234
TI - In Vitro Evaluation of a Hypochlorous Acid Hygiene Solution on Established
Biofilms.
AB - OBJECTIVES: The purpose of this study was to determine whether a commercial
formulation of hypochlorous acid hygiene solution (0.01%), Avenova, can destroy
existing biofilms formed by ocular clinical bacterial isolates, including
blepharitis isolates of Staphylococcus aureus and coagulase-negative
staphylococci, and a keratitis isolate of Pseudomonas aeruginosa. METHODS:
Biofilms grown in bacterial growth media on disposable contact lens cases were
challenged with hypochlorous acid hygiene solution. At various time points,
surviving bacteria were quantified by serial dilution and colony counts.
Staphylococcus aureus biofilms formed on glass were challenged using a
hypochlorous acid hygiene solution and imaged using vital staining and confocal
laser scanning microscopy. RESULTS: Bactericidal activity (>=3 Log10; 99.9%) was
observed for all tested bacterial species after a 30-min exposure. Staphylococcus
aureus biofilms had a bactericidal level of killing by 10 min (P<0.01),
Staphylococcus capitis by 5 min (P<0.001), Staphylococcus epidermidis by 30 min
(P<0.001), and P. aeruginosa by 10 min (P<0.01). Confocal microscopy and crystal
violet staining analysis of bacterial biofilms treated with hypochlorous acid
solution both demonstrated that biofilm bacteria were readily killed, but biofilm
structure was largely maintained. CONCLUSIONS: Hypochlorous acid (0.01%) hygiene
solution was able to achieve bactericidal levels of killing of bacteria in
biofilms but did not disrupt biofilm structures. Susceptibility of tested
staphylococcal blepharitis isolates varied by species, with S. capitis being the
most susceptible and S. epidermidis being the least susceptible.
PMID- 29369235
TI - Global Practice Patterns in the Management of Infantile Cataracts.
AB - OBJECTIVES: Surveys are an important tool to assess the impact of research on
physicians' approach to patient care. This survey was conducted to assess current
practice patterns in the management of infantile cataracts in light of the
findings of the Infant Aphakia Treatment Study. METHODS: Pediatric
ophthalmologists were emailed a link to the survey using newsletters from
American Association of Pediatric Ophthalmology and Strabismus, World Society of
Pediatric Ophthalmology and Strabismus, and the Pediatric Listserv. The 17
question survey was anonymous and active during July to August 2016. RESULTS: One
hundred twenty-five respondents (North America, 65%; Asia, 12%; Europe, 9%; and
other, 14%) reported operating on pediatric cataracts. Most practice in a
university setting (55%). There was a strong consensus that unilateral cataract
surgery should be performed between ages 4 to 6 weeks and aphakic contact lenses
should be used to optically correct their eyes, particularly in children <=6
months of age. For bilateral cataracts, there was a trend for surgeons to perform
cataract surgery at an older age than unilateral cataract surgery. Surgeons who
performed less than 5 versus greater than 20 pediatric cataract surgeries/year
were more likely to use aphakic contact lenses in children undergoing cataract
surgery more than 6 months of age (62% vs. 35%, P=0.04). Most respondents (73%)
indicated that the Infant Aphakia Treatment Study had changed how they manage
unilateral congenital cataracts. CONCLUSION: Most pediatric cataract surgeons
perform congenital cataract surgery between ages 4 to 6 weeks and use aphakic
contact lenses for initial optical correction in infants less than 6 months.
Surgeons have equal preference for intraocular lenses and contact lenses in
infants more than 6 months of age.
PMID- 29369236
TI - The Impact of Clostridium difficile Infection on Mortality in Patients With
Inflammatory Bowel Disease.
PMID- 29369238
TI - To Pull or to Scope: A Prospective Safety and Cost-effectiveness of Percutaneous
Endoscopic Gastrostomy Tube Replacement Methods.
AB - BACKGROUND: Percutaneous endoscopic gastrostomy (PEG) tubes are routinely used as
an effective method for providing enteral nutrition. The need for their exchange
is common. GOALS: We aimed to examine the comparative safety and cost
effectiveness of PEG percutaneous counter-traction "pulling" approach or by
endoscopically guided retrieval. STUDY: A prospective 215 consecutive patients
undergoing PEG tube insertion were included. Fifty patients in total were
excluded. The patients were examined for demographics, indications for PEG
replacement, as well as procedure-related complications and procedural costs.
RESULTS: Group A included 70 patients (42%) with PEG tubes replaced
endoscopically, whereas group B included 95 patients (58%) with PEG tubes
replaced percutaneously. Baselines characteristics were similar between the 2
groups (P=NS). Group A and group B had similar immediate complication rates
including 4 patients in group B (4.2%), and 2 patients in group A (2.8%)
(P=0.24). Complications included a conservatively managed esophageal perforation,
and self-limited mild bleeding groups A and group B, respectively. The mean
procedure cost was significantly higher in the endoscopic PEG replacement group
compared with the percutaneous PEG replacement group ($650 vs. $350,
respectively). CONCLUSION: Percutaneous PEG replacement appears as safe as
endoscopic PEG replacement, however, percutaneous tube exchange is less costly.
PMID- 29369237
TI - Comparative Assessment of Patient Preferences and Tolerability in Barrett
Esophagus Screening: Results From a Randomized Trial.
AB - GOALS: To determine patient preference for the Barrett esophagus (BE) screening
techniques. BACKGROUND: Sedated esophagogastroduodenoscopy (sEGD) and unsedated
transnasal endoscopy (uTNE) are both potential techniques for BE screening.
However, systematic assessment of patient preference for these 2 techniques is
lacking. As part of a comparative effectiveness randomized trial of BE screening
modalities, we measured short-term patient preferences for the following
approaches: in-clinic uTNE (huTNE), mobile-based uTNE (muTNE), and sEGD using a
novel assessment instrument. STUDY: Consenting community patients without known
BE were randomly assigned to receive huTNE, muTNE, or sEGD, followed by a
telephone administered preference and tolerability assessment instrument 24 hours
after study procedures. Patient preference was measured by the waiting trade-off
method. RESULTS: In total, 201 patients completed screening with huTNE (n=71),
muTNE (n=71), or sEGD (n=59), and a telephone interview. Patients' preferences
for sEGD and uTNE using the waiting trade-off method were comparable (P=0.51).
Although tolerability scores were superior for sEGD (P<0.001) compared with uTNE,
scores for uTNE examinations were acceptable. CONCLUSIONS: Patient preference is
comparable between sEGD and uTNE for diagnostic examinations conducted in an
endoscopy suite or in a mobile setting. Given acceptable tolerability, uTNE may
be a viable alternative to sEGD for BE screening.
PMID- 29369239
TI - Rising Events and Improved Outcomes of Gastrointestinal Bleed With Shock in USA:
A 12-year National Analysis.
AB - OBJECTIVE: Limited information is available based on single-center studies on
trends of incidence and outcomes in gastrointestinal (GI) bleed with shock.
METHODS: We analyzed data from 2002 to 2013 National Inpatient Sample. Using ICD
9 codes we identified 6.4 million hospital discharges of GI bleed from National
Inpatient Sample database. Events were analyzed based on type of GI bleed, in
hospital mortality, hemodynamic status, and use of blood products. RESULTS: GI
bleed with shock results in higher hospital mortality (20.77% with shock vs. 2.6%
without shock). Between 2002 and 2013, there has been an increase in the
percentage of upper and lower GI bleed with shock (1.35% to 4.92% and 1.49% to
3.06%) along with a reduction in mortality in both upper GI bleed with shock
(26.9% to 13.8%) and lower GI bleed with shock (54.7% to 19.7%). Consistent with
the rise in GI bleed with shock was an increase in blood product utilization.
Packed red blood cell (pRBC) transfusion was associated with reduction in
mortality in both nonvariceal upper GI bleed with shock (18.3% without pRBC vs.
13.9% receiving pRBC) and lower GI bleed with shock (36.05% without pRBC vs.
22.13% receiving pRBC), but did not affect mortality in variceal upper GI bleed
with shock (31.79% vs. 32.22%). CONCLUSIONS: GI bleed with shock carries a higher
mortality and have been steadily increasing from 2002 to 2013. pRBC transfusion
was associated in improved mortality in GI bleed with shock except variceal
bleed.
PMID- 29369240
TI - CapsoCam SV-1 Versus PillCam SB 3 in the Detection of Obscure Gastrointestinal
Bleeding: Results of a Prospective Randomized Comparative Multicenter Study.
AB - BACKGROUND AND STUDY AIM: Newer capsule with a panoramic viewing mode is
available and might increase the detection rate of bleeding lesions in patients
with obscure gastrointestinal bleeding (OGIB). Furthermore, an improved patient
acceptance rate is expected. MATERIALS AND METHODS: In a randomized prospective
comparative multicenter study, patients with OGIB were included and examined
either with CapsoCam SV-1 or with PillCam SB 3. Detection of bleeding lesions,
transit, and evaluation time and adverse events were evaluated. Physicians were
interviewed about their experience with both capsules and the evaluation
software. A detailed subject questionnaire analyzed acceptance of each capsule.
Follow-up was 3 months. RESULTS: In total, 181 patients with OGIB were recruited
into the study. After exclusion of 28 patients 153 patients were randomized and
CapsoCam SV-1 (n=78) or PillCam SB 3 (n=75) was administered. CapsoCam SV-1
detected more cases of bleeding (31/79, diagnostic yield 39.7%) compared with
PillCam SB 3 (26/75, diagnostic yield 34.6%, NS). Transit time of both capsules
was not different. Evaluation time with PillCam SB 3 was superior to CapsoCam SV
1 (27 vs. 40 min, P=0.01). In total, 95% of the physicians were satisfied with
each capsule system and evaluation software. The acceptance rate of the patients
to retrieve the CapsoCam SV-1 was high. Adverse events/serious adverse events
were 17.9%/1.3% with CapsoCam SV-1 and 16%/0% with PillCam SB 3. Rebleeding rate
was 28.75% within 3 months. CONCLUSIONS: CapsoCam SV-1 detected more lesions;
however, relevant bleeding sources were visualized by both capsules. Physician's
satisfaction was high with both capsule systems and evaluation software.
Patient's acceptance with CapsoCam SV-1 was unexpectedly high. Serious adverse
events were 0% with PillCam SB 3 and 1.3% with CapsoCam SV-1.
PMID- 29369241
TI - Serum Pepsinogen as a Predictor for Gastric Cancer Death: A 16-Year Community
based Cohort Study.
AB - GOALS: The purpose of this article is to validate the long-term association
between initial serum pepsinogen (PG) measurements and subsequent gastric cancer
specific deaths from a long-term longitudinal cohort. BACKGROUND: Endoscopic
surveillance can be effective and efficient in reducing gastric cancer mortality
if a biomarker such as serum PG is available to identify high-risk individuals
and if the biomarker also is specific to gastric cancer risk. STUDY: Between 1995
and 1998, a gastric cancer-screening program was conducted in a high-risk
population: The first stage involved PG testing, and the second stage involved
upper endoscopy. The outcome was gastric cancer death, which was monitored until
December 31, 2010; results were expressed as the hazard ratio (HR) and
corresponding 95% confidence interval (CI) using the Cox proportional hazards
regression model. Other causes of death were used as comparators. RESULTS: Among
participants (n=3514) aged >=30 years, 1682 (47.9%) were screened to determine
serum PG levels. After 16 years of follow-up, 14 deaths from gastric cancer were
documented. Multivariate analyses adjusted for age, sex, and Helicobacter pylori
serological positivity showed that PG-I <30 MUg/L and PG-I <30 MUg/L or PG-I/II
ratio <3 were significantly associated with the risk of gastric cancer death (HR,
3.27; 95% CI, 1.11-9.61 and HR, 3.45; 95% CI, 1.18-10.12, respectively). In
contrast, there were no significant associations between PG and other causes of
death, including neoplastic and non-neoplastic diseases. CONCLUSION: This long
term cohort study shows the usefulness of PG measurement as a biomarker that is
specific to the risk of gastric cancer death.
PMID- 29369242
TI - Long-term Prognosis of Acute-on-Chronic Liver Failure Survivors.
AB - GOALS: We aimed to investigate significant factors influencing the long-term
prognosis of patients who survived acute-on-chronic liver failure (ACLF).
BACKGROUND: The mortality of ACLF is predominantly affected by the organ failure
severity. However, long-term outcomes of patients who survive ACLF are not known.
STUDY: A cohort of 1084 cirrhotic patients who survived for more than 3 months
following acute deterioration of liver function was prospectively followed. ACLF
was defined by the European Association for the Study of the Liver Chronic Liver
Failure Consortium definition. RESULTS: The mean follow-up duration was 19.4+/
9.9 months. In the subgroup of patients without previous acute decompensation
(AD), ACLF occurrence did not affect long-term outcomes. However, in patients
with previous AD, ACLF negatively affected long-term transplant-free survival
even after overcoming ACLF (hazard ratio, 2.00, P=0.012). Previous AD was the
significant predictive factor of long-term mortality and was independent of the
Model for End-stage Liver Disease score in these ACLF-surviving patients. Organ
failure severity did not affect transplant-free survival in patients who survived
an ACLF episode. CONCLUSIONS: A prior history of AD is the most important factor
affecting long-term outcomes following an ACLF episode regardless of Model for
End-stage Liver Disease score. Prevention of a first AD episode may improve the
long-term transplant-free survival of liver cirrhosis patients.This is an open
access article distributed under the terms of the Creative Commons Attribution
Non Commercial-No Derivatives License 4.0 (CCBY-NC-ND), where it is permissible
to download and share the work provided it is properly cited. The work cannot be
changed in any way or used commercially without permission from the journal.
http://creativecommons.org/licenses/by-nc-nd/4.0/.
PMID- 29369243
TI - Percutaneous Ultrasound-Guided Musculoskeletal Applications of Autologous Bone
Marrow Aspirate Concentrate: Preliminary Experience From a Single Institution.
AB - Emerging musculoskeletal applications for local administration of autologous bone
marrow aspirate concentrate (BMAC) include treatment of fractures, osteonecrosis,
osteochondral injuries, osteoarthritis, ligament injury, tendon injury, and
tendonopathies. Ultrasound-guided technique for various BMAC injection sites is
detailed in this technical report and our preliminary clinical experience
outlined.Five patients, 1 woman and 4 men, were treated with 6
peri/intratendinous (n = 4) or intraarticular (n = 2) BMAC injections between
July 5, 2015 and December 31, 2016 for the clinical indications of common
hamstrings origin tendinosis (n = 4), hip labral tear (n = 1), and osteochondral
lesion of the talus (n = 1).All procedures were technically successful, with BMAC
locally administered to the therapeutic target and no procedural complications
observed. Clinical follow-up was available for 5 of 6 procedures. Four of 5
injections resulted in self-reported symptomatic improvement (clinical follow-up
range, 2-12 months). One 72-year-old man with right common hamstrings origin
tendinosis reported no improvement after BMAC injection.The technology is now
available to support ultrasound-guided, autologous BMAC administration by the
musculoskeletal interventionalist for common indications. Our initial clinical
experience is consistent with early reports in the literature. This technique is
well tolerated by symptomatic patients on an outpatient basis, and rates of self
reported symptomatic relief are high. Mechanism of action, long-term safety, and
long-term clinical efficacy remain largely undefined.
PMID- 29369244
TI - Gastric Lipoma: A Review of the Literature.
AB - Gastric lipoma is a rare benign tumor of the stomach. The imaging characteristics
are diagnostic because the lesion has fat attenuation on computed tomography and
demonstrates characteristics of fat on magnetic resonance images. On ultrasound,
the lesion can be identified as a lesion hypoechoic to the gastric mucosa that is
soft, but the mass cannot be displaced with compression. Identifying these
imaging characteristics can prevent biopsy or surgery in asymptomatic patients.
Although this lesion is benign, it can cause gastric obstruction.
PMID- 29369245
TI - Literature Reviews.
PMID- 29369246
TI - Bowel Ultrasound for the Diagnosis of Necrotizing Enterocolitis: A Meta-analysis.
AB - Radiographic evaluation for necrotizing enterocolitis (NEC) often yields
nonspecific findings. Bowel ultrasound (BUS) provides additional information
beyond that of abdominal radiographs and may be helpful in the diagnosis of NEC
in neonates. We systematically reviewed and aggregated existing literature to get
a better estimate of diagnostic accuracy of BUS in the diagnosis of NEC. A
literature search was performed using PubMed, Embase, and Cumulative Index to
Nursing and Allied Health Literature to identify studies in which infants with
clinically suspected NEC were evaluated using BUS. Studies that used modified
Bell staging criteria as the reference standard were included. Study quality was
assessed, and pooled sensitivity and specificity of various BUS findings for
diagnosing NEC were determined. Six articles with a total of 462 patients met
eligibility and inclusion criteria. There was heterogeneity in BUS findings
evaluated across studies. Ultrasound detection of classic signs of NEC (portal
venous gas, pneumatosis, and free air) had pooled sensitivities ranging from 0.27
to 0.48 and pooled specificities ranging from 0.91 to 0.99. Bowel wall thinning
and absent peristalsis had overall low sensitivity (0.22 and 0.30) but high
specificity (0.96 and 0.96) for NEC. Assessment of abdominal fluid, which
included ascites and focal fluid collection, also had overall low sensitivity and
high specificity (simple ascites: 0.45 and 0.92; focal fluid collection: 0.19 and
0.98). In summary, individual BUS findings have low sensitivity and high
specificity for diagnosis of NEC. Bowel ultrasound may be a useful adjunct to
plain abdominal radiographs in the evaluation of infants with clinical suspicion
of NEC.
PMID- 29369247
TI - Literature Reviews.
PMID- 29369248
TI - Experience of Using Shear Wave Elastography Imaging in Evaluation of Undescended
Testes in Children: Feasibility, Reproducibility, and Clinical Potential.
AB - We aimed to determine the difference in tissue stiffness, which might reflect
histologic damage, by comparing the potential of the shear wave elastography
(SWE) values of operated undescended testes (OUT) with those of undescended
testes (UT) and normal testes. A total of 120 patients (235 testes) were enrolled
in the current study. Quantitative SWE values were measured by manually drawing
contours of the entire testis structure with a free region of interest. A group
of 66 OUT were classified as group A. Operation age and the period passed over
the operation time and SWE values were compared among the testes assigned to
group A. The 50 testes having inguinal canal placement were classified as group
B, and the 119 testes whose sonography findings were normal were classified as
group C. These 3 groups were compared in terms of the SWE values and volume. The
SWE values of group A were significantly higher than those of groups B and C (P <
0.001). The SWE values of group B were significantly higher than those of group C
(P < 0.001). The SWE values of OUT were significantly higher than those of the
contralateral normal testes and UT (P < 0.001). There were no significant
differences among operation age and period passed over the operation time and SWE
values in the OUT (P > 0.05). There was no significant correlation between SWE
values and testes' volume (P > 0.05). The SWE technique can be used effectively
as a new parameter to assess stiffness of the OUT and UT to predict interstitial
fibrosis and the severity of histologic damage.
PMID- 29369249
TI - Durable Clinical and Immunologic Advantage of Living Donor Liver Transplantation
in Children.
AB - BACKGROUND: Despite high survival in pediatric living donor liver transplantation
(LDLT), only 10% of liver transplants in children in the United States are from
living donors, reflecting reluctance to embrace this approach. In addition to
optimal timing and graft quality, LDLT may offer immunologic benefit because most
donors are haploidentical parents. We sought to quantify the benefit of LDLT
compared to deceased donor liver transplantation (DDLT) using granular clinical
and immunologic outcomes over the long term. METHODS: A retrospective cohort of
children (age <18 years) surviving 1 year or longer posttransplant was evaluated
to determine the impact of donor type on graft survival and immunologic outcomes.
RESULTS: Two hundred forty-one children (177 DDLT and 64 LDLT) were assessed. In
multivariable analysis, LDLT was associated with a lower rate of acute cellular
rejection (hazard ratio [HR], 0.53; 95% confidence interval [CI], 0.29-0.98; P =
0.04), a lower rate of chronic rejection (HR, 0.12; 95% CI, 0.03-0.56; P =
0.007), better graft survival on monotherapy immunosuppression at 3 years
posttransplant (87.7% vs 46.7%; odds ratio, 7.41; 95% CI, 2.80-19.66; P < 0.001),
and a lower rate of graft loss (HR, 0.29; 95% CI, 0.10-0.88; P = 0.03). Graft
type was not an independent predictor of posttransplant mortality (LDLT HR, 0.57;
95% CI, 0.16-2.01; P = 0.38). Maternal graft LDLT was associated with a lower
rate of acute cellular rejection (HR, 0.13; 95% CI, 0.03-0.64; P = 0.01) and
posttransplant lymphoproliferative disorder (HR, 0.04; 95% CI, 0.004-0.44; P =
0.008) compared with paternal grafts. CONCLUSIONS: This study demonstrates the
potential benefit of LDLT, particularly with maternal grafts, for pediatric liver
transplant recipients on multiple clinical parameters over long-term follow-up.
PMID- 29369250
TI - Public Health in Transitional Vietnam: Achievements and Challenges.
PMID- 29369251
TI - Community-Based Screening for Cervical Cancer Using Visual Inspection With Acetic
Acid: Results and Lessons Learned From a Pilot Study in Vietnam.
AB - CONTEXT: Vietnam still applies the opportunistic cytology-based screening model,
which failed to have an impact on the increasing burden of cervical cancer in
Vietnam. OBJECTIVES: To pilot a community-based screening model for cervical
cancer using visual inspection with acetic acid (VIA) in Vietnam by training
midwife and assistant physician working at grassroots level of health care
system. DESIGN: The study employed a pre-experimental design. SETTING: Cases from
2 provinces of Vietnam. PARTICIPANTS: The study trained 36 assistant
physicians/midwives working at commune health centers to do VIA screening for
cervical cancer and provided screening services for 1945 women 30 to 65 years of
age. INTERVENTION: The pilot intervention had 2 aims: train health care workers
to do VIA screening and assess the quality of screening services provided by the
trained staffs by examining the diagnostics value of VIA. RESULTS: All selected
health care workers were able to perform VIA screening method after training.
Their VIA services had high diagnostic value: positive predicted value of 11.5%
and negative predicted value of 99%; for the detection of cervical
intraepithelial neoplasia (CIN) 2, the sensitivity of VIA is 100%, specificity of
67.0%, positive predicted value of 5.7%, and negative predicted value of 100%;
for the detection of CIN 3, the sensitivity of VIA is 100%, specificity of 66.5%,
positive predicted value of 3.8%, and negative predicted value of 100%. The
diagnostic value of VIA is comparable with Papanicolaou test but requires far
fewer resources and suitable with community-based setting. CONCLUSION: Local
midwives and assistant physicians who currently work at commune health centers
and district health centers can be trained to do VIA efficiently. Regarding to
implications for policy and practice, VIA can offer significant advantages over
Papanicolaou test in low-resource settings like Vietnam, particularly in terms of
increased screening coverage, improved follow-up care, and overall program
quality.
PMID- 29369252
TI - Utilization of Services Provided by Village-Based Ethnic Minority Midwives in
Vietnam: Lessons From Implementation Research.
AB - BACKGROUND: Global progress in reducing maternal mortality requires improving
access to maternal and child health services for the most vulnerable groups. This
article reports results of implementation research that aimed to increase the
acceptability of village-based ethnic minority midwives (EMMs) by local
communities in Vietnam through implementing an integrated interventions package.
METHODS: The study was carried out in 2 provinces in Vietnam, Dien Bien and Kon
Tum. A quasi-experimental survey with pretest/posttest design was adopted, which
included 6 months of intervention implementation. The interventions package
included introductory "launch" meetings, monthly review meetings at community
health centers, and 5-day refresher training for EMMs. A mixed-methods approach
was used involving both quantitative and qualitative data. A structured
questionnaire was used in the pre- and posttest surveys, complemented by in-depth
interviews and focus group discussions with EMMs, relatives of pregnant women,
community representatives, and health managers. RESULTS: Introductions of EMMs to
their local communities by local authorities and supervision of performance of
EMMs contributed to significant increases in utilization of services provided by
EMMs, from 58.6% to 87.7%. Key facilitators included information on how to
contact EMMs, awareness of services provided by EMMs, and trust in services
provided by EMMs. The main barriers to utilization of EMM services, which may
affect sustainability of the EMM scheme, were low self-esteem of EMMs and small
allowances to EMMs, which also affected the recognition of EMMs in the community.
CONCLUSIONS: Providing continuous support and integration of EMMs within
frontline service provision and ensuring adequate local budget for monthly
allowances are the key factors that should allow sustainability of the EMM scheme
and continued improvement of access to maternal and child health care among poor
ethnic minority people living in mountainous areas in Vietnam.
PMID- 29369253
TI - The Association Between Gender Inequalities and Women's Utilization of Maternal
Health Services: A Cross-Sectional Survey in Eight South Central Coast Provinces,
Vietnam.
AB - BACKGROUND: Gender inequalities influence the utilization of maternal health
services in Vietnam, but little research has been published. This study,
therefore, aimed to explore the association between gender inequalities and
women's utilization of maternal health services in Vietnam. METHODS: The study
was conducted in 8 provinces in the South Central Coast region of Vietnam during
August 2013 to May 2014. A total of 907 women who delivered a year prior to the
date of interview participated in the study. A multiple logistic regression model
was used to examine the association between gender inequalities (including
sociodemographic determinants of health) and utilization of 4 or more antenatal
care (ANC4+) services, institutional delivery, and ever used contraceptive
methods. RESULTS: The utilization rate of maternal health services was varied,
from 53.9% for ANC4+ to 87.7% for ever used a contraceptive method and 97% for
institutional delivery. Ethnicity was identified as the most influential variable
out of all sociodemographic determinants of health. Regarding gender
inequalities, couple communication was the only variable having significant
association with women's utilization of maternal health services. CONCLUSION:
Women's equal role within context of their daily life and relations with their
husbands (discussing maternal care with husband and having equal income to
husband) supported their use of maternal health services. Therefore, there should
be concerted efforts from all relevant stakeholders including the health system
to focus on disadvantaged women in planning and delivery of maternal health
services, especially to ethnic minority women. Male involvement strategy should
be implemented to promote maternal health care, especially during the prenatal
and postpartum period. To provide more culturally sensitive and right-based
approaches in delivery of maternal health services to disadvantaged women in
Vietnam, interventions are recommended that promote male involvement, that is, to
engage men in service delivery to adapt and ensure the most appropriate and
effective maternal health care.
PMID- 29369254
TI - Community Participatory Interventions to Improve Farmer Knowledge and Practices
of Household Biogas Unit Operation in Ha Nam Province, Vietnam.
AB - CONTEXT: The use of biogas systems to treat livestock waste is a common practice
in Vietnam. However, farmers' knowledge and practices of the safe and appropriate
use of household biogas units (HBUs) are still limited and could negatively
impact human, animal, and environmental health. OBJECTIVE: To assess the
effectiveness of an intervention to improve knowledge and practices of biogas
operation among farmers in 2 communes of Ha Nam Province, Vietnam. DESIGN: A
community-based intervention approach. SETTING: This study was conducted from
2015 to 2016 in 2 communes of Ha Nam Province, Vietnam. PARTICIPANTS: Only
farmers with HBUs (N = 399) participated in this research. Farmers were assigned
to either an intervention group or a control group at a ratio of 1:2.
INTERVENTION: Two intervention steps were implemented over a 6-month period as
follows: (i) the core farmer group trained in 6 steps of HBU safe handling
practice; and (ii) the core farmer group conducted peer-to-peer communication
with its neighbors in the commune using the provided material. MAIN OUTCOME
MEASURE(S): Farmers' knowledge and practices in biogas operation were assessed by
a structured questionnaire. The questionnaire was administered before (baseline)
and after the intervention (follow-up) to both the control and intervention
groups. RESULTS: There was a significant difference in the knowledge and
practices of biogas operation between the 2 groups, in which farmers in the
intervention group demonstrated better understanding of the related topic than
the control group (P < .05, t test). A linear regression model indicated that
baseline and follow-up scores in both knowledge and practices of the intervention
group were higher than those of the control group. After the intervention, the
mean difference score in knowledge and practices between the intervention and
control groups was 5.0 and 2.0 points, respectively (P < .01). CONCLUSION: A
community-based intervention approach could be applied to improve knowledge and
practices among farmers in using biogas systems. However, further studies should
be conducted to assess the sustainability and effectiveness of this model.
PMID- 29369255
TI - Evaluation of an Ecohealth Approach to Public Health Intervention in Ha Nam,
Vietnam.
AB - CONTEXT: Ecohealth is a transdisciplinary research approach that considers socio
economic, cultural, and environmental factors. Ecohealth program assessment is
sometimes unable to capture the process of change, especially when the evidence
is not well documented. As such, there is a need to better understand how
ecohealth approaches are understood, integrated, and adapted in practice to
support the sustainability of the approach. OBJECTIVE: To evaluate the use of an
ecohealth approach to a community-based intervention to improve environmental
sanitation and draw lessons learned for similar public health initiatives.
DESIGN: An iterative evaluation approach involving 27 in-depth interviews and 9
focus group discussions was used to gather feedback on the intervention
activities and outcomes from all participants. SETTING AND PARTICIPANTS: The
study site was Hoang Tay commune, Kim Bang district, Ha Nam province. The
participants included 12 farmers, 4 local policy makers, and 7 researchers from
Hanoi University of Public Health. RESULTS: The farmers provided specific
shortcomings of the biogas procedure steps, while the local authorities
identified new and more effective ways to promote sanitation guidelines.
Outcomes, as behavior changes, in 3 participant groups were captured. CONCLUSION:
Participation in ecohealth interventions should be collegial to give
opportunities for all related stakeholders to build capacity, support, and
achieve the transdisciplinary principle. This also helps ensure that the
community-based solutions are incorporated in public health interventions.
Participatory monitoring and evaluation should support the understanding of the
implementation process to capture intervention outcomes.
PMID- 29369256
TI - Injury Mortality in Vietnam: Patterns and Trends, 2005-2013.
AB - CONTEXT: Injury is a growing public health problem worldwide. Deaths due to
injuries account for 10% of the world's mortality. More than 90% of the world's
injury-related deaths occur in low- and middle-income countries such as Vietnam.
The public health burden has been recognized by government; however, there is a
need to study and better understand the epidemiology and trends for injuries and
injury-related mortality to be able to better address this burden. OBJECTIVES: In
this article, we analyze the patterns and trends in injury mortality from 2005 to
2013 in Vietnam. DESIGN: All registered deaths from injuries from January 2005 to
December 2013 were extracted from the Ministry of Health death recording system
(A6). Mortality rates per 100 000 population per year were calculated. A linear
regression model was used to estimate the injury mortality trends. RESULTS: In
the 9-year period, 313 101 deaths due to injuries were recorded in the A6 system;
this accounts for about 10% of all deaths. The leading causes of injury-related
death were road traffic injuries among the entire population, while drowning was
the leading cause of death among children. Other unintentional injuries including
occupational, fall, poisoning, and exposure to electric current also accounted
for a substantial proportion of the burden of injury. There is a significant
reduction trend found in drowning among children 0 to 18 years of age and
significant increasing trends in intentional injury causes. CONCLUSIONS: While
injuries have been recognized as a public health problem in Vietnam in the last
decade, as seen by our analysis, there is a need for concerted action to reduce
their burden. Of particular concern is the increasing prominence of intentional
injuries. Improved data systems, increasing the awareness in the community, and
making appropriate policies and implementing them, as well as implementing
effective, evidence-based interventions are all key to decreasing this burden.
This is an important study describing injury mortality in Vietnam for the period
2005-2013. The results of the study show that injury death remains an important
public health issue that needs more attention from government and relevant
agencies.
PMID- 29369257
TI - Universal Health Insurance Coverage in Vietnam: A Stakeholder Analysis From
Policy Proposal (1989) to Implementation (2014).
AB - CONTEXT: In 1989, health insurance (HI) was introduced in Vietnam and began to be
implemented in 1992. There was limited progress until the 2014 Law on HI that was
revised with the aim of universal health insurance coverage (UHIC) by 2020. This
article explores stakeholder roles and positions from the initial introduction of
HI to the implementation of the Master Plan accelerating UHIC. OBJECTIVE: To
better understand the influence of stakeholders in accelerating UHIC to achieve
equity in health care. DESIGN: Using a qualitative study design, we conducted
content analysis of HI-related documents and interviewed social security and
health system key informants, government representatives, and community
stakeholders to determine their positions and influence on UHIC. RESULTS: Our
findings demonstrate different levels of support of stakeholders that influence
in the HI formulation and implementation, from opposition when HI was first
introduced in 1989 to collaboration of stakeholders from 2013 when the Master
Plan for UHIC was implemented. Despite an initial failure to secure the support
of the Parliament for a Law on HI, a subsequent series of alternative legislative
strategies brought limited increases in HI coverage. With government financial
subsidization, the involvement of multiple stakeholders, political commitment,
and flexible working mechanisms among stakeholders have remained important, with
an increasing recognition that HI is not only a technical aspect of the health
system but also a broader socioeconomic and governance issue. The different
levels of power and influence among stakeholders, together with their commercial
and political interests and their different perceptions of HI, have influenced
stakeholders' support or opposition to HI policies. CONCLUSIONS: Despite high
level policy support, stakeholders' positions may vary, depending on their
perceptions of the policy implications. A shift in government stakeholder
positions, especially at the provincial level, has been necessary to accelerate
UHIC progress and build institutional capacity.
PMID- 29369258
TI - Health Service Utilization Among People With Noncommunicable Diseases in Rural
Vietnam.
AB - CONTEXT: Chronic noncommunicable diseases (NCDs) have been shown to be major
causes of morbidity and mortality in hospitals for the whole country. OBJECTIVE:
This study aims to describe the situation of health service utilization among
people with NCDs in a rural area and identify association between the situation
of health service utilization among people with chronic diseases and their
socioeconomic status. DESIGN: This was a cross-sectional study. SETTING: A rural
district located in the North of Vietnam. PARTICIPANTS: People 15 years of age
and older. Health service utilization was analyzed only among people who reported
having NCD. MAIN OUTCOME MEASURES: Data were collected through a personal
household interview conducted by 12 trained field workers. The dependent variable
is health care service utilization among people with chronic NCDs. The
explanatory variables include both household attributes such as household
economic conditions, and so forth, and individual characteristics. RESULTS:
Eighteen percent of the adults and 51% of the elderly respondents reported having
at least 1 of the NCDs. The proportions of people with NCDs who used at least 1
outpatient service and used at least 1 inpatient health service during the last
12 months were 68.1% and 10.7%, respectively (the nonutilization rates of 31.9%
and 89.3%, respectively). The statistically significant correlates of health care
service utilization among people with NCDs were ethnicity (ethnic minority was
significantly associated with a lower odds of health care service utilization)
and health insurance (no health insurance was significantly associated with lower
odds of health care service utilization). CONCLUSION: Given the evidence from
this study, actions to improve access to health care services among people with
NCDs are clearly needed. The capacity of primary health care system for the
prevention and control of NCDs should be ranked a top priority.
PMID- 29369259
TI - Alcohol Consumption and Binge Drinking Among Adult Population: Evidence From the
CHILILAB Health and Demographic Surveillance System in Vietnam.
AB - CONTEXT: Alcohol contributes to severe social and health problems and is a major
risk factor for noncommunicable diseases in Vietnam. Over the years, there has
been an increase in consumption per capita as well as a rapid expansion of
commercially prepared alcohol. OBJECTIVE: To describe the prevalence of alcohol
consumption and binge drinking in a random sample of people 15 years of age and
older living in Chi Linh who were also a part of the Chi Linh Health and
Demographic Surveillance System (CHILILAB HDSS) and to determine the association
between alcohol use and sociodemographic characteristics. DESIGN: Data on alcohol
consumption of 5438 people 15 years of age and older were extracted from the
CHILILAB HDSS information collected in 2016. Descriptive statistics and multiple
logistic regression were utilized to assess the association between current
drinkers and binge drinkers with socioeconomic groups. RESULTS: The overall
prevalence of alcohol use 1 month prior to interview was 41.1%, which is composed
of 75.1% males and 17.3% females. Among the 41% of alcohol drinkers, 31.7%
reported binge drinking over the last 30 days. The proportion of binge drinking
was also found to be higher among males than among females. The association
between current drinkers, binge drinkers and gender, area of residence,
education, and family income level was statistically significant. CONCLUSIONS:
This study confirms that alcohol use among current and binge drinkers is common
among males in Vietnam and that it is also a rising issue among females. Alcohol
use is also associated with sociodemographic factors and income level. The
results of this study provide evidence of harmful alcohol use among the
Vietnamese population, which could help policy makers further advocate for the
approval of the Vietnamese alcohol harm reduction law in the coming years. The
results of this study reaffirm the need for public health strategies, including
the formulation of laws and policies to reduce the harmful effects of alcohol
consumption in Vietnam.
PMID- 29369260
TI - Strengthening Public Health Management Capacity in Vietnam: Preparing Local
Public Health Workers for New Roles in a Decentralized Health System.
AB - Health sector decentralization has created an urgent need to strengthen public
health management capacity in many countries throughout the developing world.
This article describes the establishment of a national management training
network in Vietnam that used Project-Based Learning to strengthen management
competencies of HIV program workers and linked training to measurable improvement
in HIV/AIDS public health program outcomes. Skills were taught using a
combination of classroom learning and mentored fieldwork. From 2005 to 2015, 827
HIV/AIDS program managers were trained with this method throughout Vietnam by
trainers in 3 regional training centers. A total of 218 applied learning projects
were carried out by trainees during this period; 132 resulted in measurable
improvements in HIV/AIDS program outputs, and 86 produced well-organized plans
for implementing, monitoring, and evaluating HIV/AIDS intervention strategies.
Vietnam's management training network represents an important advancement in
public health workforce development that helps prepare workers for new roles and
responsibilities in a decentralized health system.
PMID- 29369261
TI - Screening of Pediatric Facial Fractures by Brain Computed Tomography: Diagnostic
Performance Comparison With Facial Computed Tomography.
AB - OBJECTIVES: Facial bone fractures secondary to head trauma are more common in
children than in adults. Recently, multidetector row computed tomography (CT) has
been considered superior to conventional radiography. Some studies have reported
that facial soft tissue injuries require both facial and brain CT and that brain
CT is helpful in screening facial bone fractures. However, these studies included
only adult patients. The aim of this study was to evaluate the diagnostic
performance of brain CT and the need for additional facial CT to detect facial
bone fractures in emergency pediatrics. METHODS: This multicenter study was
conducted retrospectively in 2 tertiary hospitals in Seoul, Republic of Korea.
The data were collected from the medical records of pediatric patients younger
than 8 years who had undergone both brain and facial CT on the same day in the
emergency department. All CT scans were retrospectively and independently
evaluated by 1 board-certified radiologist and 1 board-certified emergency
medicine physician. A P value of <0.05 was considered statistically significant.
RESULTS: Sensitivity was 92.31%, specificity was 100%, positive predictive value
was 100%, negative predictive value was 99.31%, and accuracy was 99.36% for the
emergency physician; all parameters were 100% for the radiologist. CONCLUSIONS:
Brain CT showed high diagnostic performance to detect facial bone fractures with
high accuracy in pediatric patients. As emergency physicians, we should consider
facial bone fractures when reviewing brain CT images of pediatric patients with
blunt head and face trauma.
PMID- 29369263
TI - Do You C What I C: Emergency Department Evaluation and Diagnosis of Pediatric
Scurvy in an Autistic Child With a Restricted Diet.
AB - Scurvy in modern times may not be as rare as previously thought. The link between
adequate intake of vitamin C and scurvy has been known since ancient times and is
recorded in Ebers Papyrus. Recent reports indicate that, with restricted diets,
vitamin C deficiency is being seen in infants exclusively fed plant-based formula
and children with oral aversion, autism, restricted diets, and cerebral palsy.
Additional at-risk groups include the older adults and patients having
alcoholism. Often costly, emergency department visits and elaborate diagnostic
studies lead to fruitless results when a simple diet history is often overlooked.
Here, we report a case of pediatric scurvy in an 11-year-old autistic child with
a restricted diet who presented with refusal to walk, fatigue, a purpuric rash,
and gingival bleeding. The diagnosis was made based on diet history, physical
examination findings, and symptom resolution with vitamin C supplementation. Our
case report reaffirms that vitamin C deficiency still occurs and should be
considered in children with restrictive diets. Early recognition of this disease
by physicians provides early diagnosis, avoids costly diagnostic workup and
hospitalization, and expedites effective treatment.
PMID- 29369262
TI - Analysis of Complications After Button Battery Ingestion in Children.
AB - AIM: Button battery ingestion (BBI) in children may cause severe complications.
This analysis is a literature review of complications after pediatric BBI.
METHODS: Literature was searched on PubMed (1995-2015) using the terms "button
battery," "ingestion," and "children." End points were age, type and diameter of
battery, complications, affected organ, and fatality. RESULTS: A total of 31
publications were analyzed. Patients from 4 months to 19 years old were included
(n = 136,191, with n = 102,143 or 75% aged <6 y). In 6262, the diameter of the
battery was documented. Batteries of 20 mm or greater in size were more prone to
complications (n = 226). With regard to the anatomy, BBI caused complications
mainly in the esophagus (n = 88, 38.94%). Sixty-one fatal outcomes were reported.
CONCLUSIONS: Children younger than 6 years are the most prone to BBI, with
lithium batteries of 20 mm or greater in size associated with complications.
Complications have been estimated at 0.165%, with lethality of 0.04%. The
esophagus is the most affected organ, but vascular involvement is often fatal.
PMID- 29369264
TI - Endoscopic Findings Associated With Button Battery Ingestion in Children: Do We
Need to Change the Protocol for Managing Gastric Location?
AB - BACKGROUND: Ingested button batteries (BB) can cause corrosive damage of
digestive mucosa within minutes. Immediate endoscopic removal of esophageal BB
has been clearly established, but the management of BB located in the stomach is
still controversial. AIM: To describe demographic, clinical, radiologic, and
endoscopic characteristics of a series of pediatric patients evaluated for BB
ingestion. METHODS: Retrospective analysis of clinical charts belonging to
children younger than 15 years, who underwent endoscopic removal of BB at Clinica
Alemana of Santiago, between November 2007 and November 2011. RESULTS: Twenty
five patients subjected to upper endoscopy were analyzed; median age, 31 months;
15 were male (60%), and 11 patients (46%) were symptomatic after ingestion. The
BB ingestion was confirmed by radiograph. Endoscopy revealed 10 patients with BB
in the esophagus, 12 patients in the stomach and 3 distal to duodenum. Range time
between ingestion and endoscopy was 2 to 10 hours for esophageal BB and 2 hours
to 3 days for gastric BB. Eight of the 22 BBs removed had a diameter of 20 mm or
greater, 6 of them were located in the esophagus and 2 in stomach. The BB color
changes were observed in 14 of the 22 BBs. Breakage of battery edges was present
in 11 of the 22 batteries. All patients with esophageal BB and 6 of those 12 with
gastric BB presented mucosal damage. CONCLUSION: Esophageal BB cause damage
within hours. The BB located in the stomach may also cause damage early.
Extraction of gastric BB before 48 hours should be considered.
PMID- 29369265
TI - A Provider-Focused Intervention to Promote Optimal Care of Pediatric Patients
With Suspected Elbow Fracture.
AB - OBJECTIVE: Emergency department (ED) and urgent care (UC) physicians' accurate
assessment of the neurovascular and musculoskeletal (NV/MSK) examination in
pediatric patients with suspected elbow fracture is crucial to the early
recognition of neurovascular compromise. Our objective was to determine the
impact of computer-based simulation (CBS) and computerized clinical decision
support systems (CCDSS) on ED and UC physicians' assessment of the NV/MSK
examination of pediatric patients with elbow fracture as noted in their
documentation. METHODS: All ED UC physician participants received CBS training
about management of pediatric patients with suspected elbow fracture.
Participants were then randomized to receive CCDSS (intervention arm) when an
eligible patient was seen or no further intervention (comparison arm.)
Participants received feedback on the proportion of patients with discharge
diagnosis of elbow fracture with proper examination elements documented. RESULTS:
Twenty-eight ED and UC physicians were enrolled - 14 in each arm. Over the span
of 16 weeks, 50 patients with a discharge diagnosis of elbow fracture were seen -
25 in each arm. Twenty-two of 25 (88%) patients seen by intervention arm
participants had a complete NV/MSK examination documented. Six of 25 (24%)
patients seen by comparison arm participants had a complete NV/MSK examination
documented. Elements most commonly missed in the comparison arm included
documentation of ulnar pulse as well as radial, median, and ulnar nerve motor
functions. CONCLUSIONS: Compared with single CBS training alone, repeated
exposure to CCDSS after CBS training resulted in improved documentation of the
NV/MSK status of pediatric patients with elbow fracture.
PMID- 29369266
TI - Pain Intensity and Risk of Bone Fracture in Children With Minor Extremity
Injuries.
AB - OBJECTIVES: Injuries are one of the most common causes of pediatric emergency
department (ED) visit. The aim of this study was to investigate the relationship
between the intensity of pain at the ED visit of children presenting with an
extremity injury and the risk of fracture. METHODS: We conducted a retrospective
study, considering all patients presenting to the ED of a children's hospital in
Italy, with an accidental extremity injury, between May and December 2015. We
selected all children aged 8 to 17 years who underwent an x-ray. Children with
major, multiple, or nonextremity injuries were excluded. Age, sex, spontaneous
and palpation pain, local swelling, time between injury, and medical evaluation
were recorded. Sensibility and specificity of spontaneous and palpation pain in
detecting a fracture were calculated. RESULTS: We reviewed 994 medical records;
of these, 344 (34.6%) reported a fracture. Children's median age was 12 years
(interquartile range [IQR], 10-14). Median spontaneous pain at the ED visit was
not significantly different between children with and without a fracture: 4.0
(1.0-6.0) and 5 (1.0-6.0), respectively (P = 0.129). Children with mild palpation
pain and children without an increase of pain of at least 2 points between
spontaneous and palpation pain were fractured in 3.2% and 0.97% of cases,
respectively. CONCLUSIONS: In this series, pain intensity in children with a
minor extremity injury was not a good marker of fracture. Nevertheless, children
with mild palpation pain or with a mild increase of pain between spontaneous and
palpation pain had a low risk of fracture.
PMID- 29369267
TI - Advancing Professional Development Through a Community of Practice: the New
England Network for Faculty Affairs.
AB - INTRODUCTION: In an era of competing priorities, funding is increasingly
restricted for offices of faculty affairs and development. Opportunities for
professional staff to grow and network through attendance at national meetings
and to share best practices are limited. We sought to describe a community of
practice established to enhance the professional development of faculty affairs
professionals and to document its impact. METHODS: We outlined the process of
formation of the New England Network for Faculty Affairs (NENFA), reviewed the
pedagogical approaches to professional development, and surveyed members to
evaluate the impact of NENFA on their activities, professional network and their
institutions. RESULTS: After a successful 2011 initial meeting, NENFA created an
organizing committee and conducted a needs assessment among potential members.
NENFA's charter, mission, goals, and structure were based on survey results.
NENFA's regional community of practice grew to 31 institutions and held 10
meetings over 5 years. Meetings have examined a faculty development topic in
depth using multiple learning formats to engage participants from academic
medical centers and allied professions. Results from a 2015 member survey
confirmed the value of NENFA. Multiple members documented changes in practice as
a result of participating. DISCUSSION: NENFA has been sustained by volunteer
leadership, collaboration, and the value that the group has brought to its
members. We propose that a "community of practice" offers an effective model for
collaborative learning among individuals at different institutions within a
competitive health care environment. We recommend that the approach be replicated
in other regions.
PMID- 29369268
TI - Innovative Multimodal Training Program for Family Physicians Leads to Positive
Outcomes Among Their HIV-Positive Patients.
AB - CME programs can increase physicians' uptake and adherence to clinical guidelines
for chronic diseases. We developed an intensive multimodal training program for
family physicians to increase their competency in the management and treatment of
HIV, through group learning and via close interactions with expert clinicians in
HIV. We trained 51 physicians from September 2010 to June 2015 and compared their
adherence to clinical guidelines 1 year before and 1 year after the program. We
observed significant increases in the physicians' HIV-related clinical
competencies, in accordance with clinical guidelines, and an increase in the
number of HIV-positive patients seen by these physicians and the number of
combination antiretroviral therapies prescribed by these physicians. By combining
various pedagogical approaches, as well as creating and encouraging communities
of practice, we were able to make a durable impact on physician performance and
patient-specific outcomes.
PMID- 29369269
TI - Developing a Web Platform to Support a Community of Practice: A Mixed Methods
Study in Pediatric Physiotherapy.
AB - INTRODUCTION: Web platforms are increasingly used to support virtual interactions
between members of communities of practice (CoP). However, little is known about
how to develop these platforms to support the implementation of best practices
for health care professionals. The aim of this article is to explore pediatric
physiotherapists' (PTs) perspectives regarding the utility and usability of the
characteristic of a web platform developed to support virtual communities of
practice (vCoP). METHODS: This study adopted an explanatory sequential mixed
methods design. A web platform supporting the interactions of vCoP members was
developed for PTs working with children with developmental coordination disorder.
Specific strategies and features were created to support the effectiveness of the
platform across three domains: social, information-quality, and system-quality
factors. Quantitative data were collected from a cross-sectional survey (n = 41)
after 5 months of access to the web platform. Descriptive statistics were
calculated. Qualitative data were also collected from semistructured interviews
(n = 9), which were coded, interpreted, and analyzed by using Boucher's Web
Ergonomics Conceptual Framework. RESULTS: The utility of web platform
characteristics targeting the three key domain factors were generally perceived
positively by PTs. However, web platform usability issues were noted by PTs,
including problems with navigation and information retrieval. DISCUSSION: Web
platform aiming to support vCoP should be carefully developed to target potential
users' needs. Whenever possible, users should co-construct the web platform with
vCoP developers. Moreover, each of the developed characteristics (eg, newsletter,
search function) should be evaluated in terms of utility and usability for the
users.
PMID- 29369270
TI - Letters.
PMID- 29369272
TI - Care coordination at end of life: The nurse's role.
PMID- 29369273
TI - Global convergence of emerging infectious diseases: Only a plane ride away.
PMID- 29369274
TI - Motivational interviewing for patients with mood disorders.
PMID- 29369275
TI - Practical tips for novice nurse educators.
PMID- 29369279
TI - Handle with care: Caring for children with autism spectrum disorder in the ED.
PMID- 29369280
TI - Protect older adults from polypharmacy hazards.
PMID- 29369281
TI - A pilot study exploring rehabilitation nurses' perceptions of 12-hour shifts.
PMID- 29369282
TI - Why your facility needs a full-time certified wound care nurse.
PMID- 29369283
TI - Early pushing urge in the laboring patient.
PMID- 29369284
TI - Apps for nurses.
PMID- 29369286
TI - One hospital's journey to create a sustainable sepsis program.
PMID- 29369287
TI - Guest Editorial: Background and Rationale for Clinical Trial Registration.
PMID- 29369288
TI - Developmental Effects in Masking Release for Speech-in-Speech Perception Due to a
Target/Masker Sex Mismatch.
AB - OBJECTIVES: The purpose of this study was to evaluate the extent to which
infants, school-age children, and adults benefit from a target/masker sex
mismatch in the context of speech detection or recognition in a background of 2
competing talkers. It was hypothesized that the ability to benefit from a
target/masker sex mismatch develops between infancy and the early school-age
years, as children gain listening experience in multi-talker environments.
DESIGN: Listeners were infants (7 to 13 months), children (5 to 10 years), and
adults (18 to 33 years) with normal hearing. A series of five experiments
compared speech detection or recognition in continuous two-talker speech across
target/masker conditions that were sex matched or sex mismatched. In experiments
1 and 2, an observer-based, single-interval procedure was used to estimate speech
detection thresholds for a spondaic word in a two-talker speech masker. In
experiments 3 and 4, speech recognition thresholds were estimated in continuous
two-talker speech using a four-alternative, forced-choice procedure. In
experiment 5, speech reception thresholds (SRTs) were estimated for adults using
the forced-choice recognition procedure after ideal time-frequency segregation
processing was applied to the stimuli. RESULTS: Speech detection thresholds for
adults tested in experiments 1 and 2 were significantly higher when the target
word and speech masker were matched in sex than when they were mismatched, but
thresholds for infants were similar across sex-matched and sex-mismatched
conditions. Results for experiments 3 and 4 showed that school-age children and
adults benefit from a target/masker sex mismatch for a forced-choice word
recognition task. Children, however, obtained greater benefit than adults in 1
condition, perhaps due to greater susceptibility to masking overall. In
experiment 5, adults had substantial threshold reductions and more uniform
performance across the 4 conditions evaluated in experiments 3 and 4 after the
application of ideal time-frequency segregation to the stimuli. CONCLUSIONS: The
pattern of results observed across experiments suggests that the ability to take
advantage of differences in vocal characteristics typically found between speech
produced by male and female talkers develops between infancy and the school-age
years. Considerable child-adult differences in susceptibility to speech-in-speech
masking were observed for school-age children as old as 11 years of age in both
sex-matched and sex-mismatched conditions.
PMID- 29369289
TI - Celebrating 45 Years of American Audiology Society Excellence: Memoirs of a
Founder.
PMID- 29369291
TI - Editorial: Clinical Trial Registration-Ear and Hearing Policy.
PMID- 29369290
TI - Longitudinal Development of Distortion Product Otoacoustic Emissions in Infants
With Normal Hearing.
AB - OBJECTIVES: The purpose of this study was to describe normal characteristics of
distortion product otoacoustic emission (DPOAE) signal and noise level in a group
of newborns and infants with normal hearing followed longitudinally from birth to
15 months of age. DESIGN: This is a prospective, longitudinal study of 231
infants who passed newborn hearing screening and were verified to have normal
hearing. Infants were enrolled from a well-baby nursery and two neonatal
intensive care units (NICUs) in Cincinnati, OH. Normal hearing was confirmed with
threshold auditory brainstem response and visual reinforcement audiometry. DPOAEs
were measured in up to four study visits over the first year after birth.
Stimulus frequencies f1 and f2 were used with f2/f1 = 1.22, and the DPOAE was
recorded at frequency 2f1-f2. A longitudinal repeated-measure linear mixed model
design was used to study changes in DPOAE level and noise level as related to
age, middle ear transfer, race, and NICU history. RESULTS: Significant changes in
the DPOAE and noise levels occurred from birth to 12 months of age. DPOAE levels
were the highest at 1 month of age. The largest decrease in DPOAE level occurred
between 1 and 5 months of age in the mid to high frequencies (2 to 8 kHz) with
minimal changes occurring between 6, 9, and 12 months of age. The decrease in
DPOAE level was significantly related to a decrease in wideband absorbance at the
same f2 frequencies. DPOAE noise level increased only slightly with age over the
first year with the highest noise levels in the 12-month-old age range. Minor,
nonsystematic effects for NICU history, race, and gestational age at birth were
found, thus these results were generalizable to commonly seen clinical
populations. CONCLUSIONS: DPOAE levels were related to wideband middle ear
absorbance changes in this large sample of infants confirmed to have normal
hearing at auditory brainstem response and visual reinforcement audiometry
testing. This normative database can be used to evaluate clinical results from
birth to 1 year of age. The distributions of DPOAE level and signal to noise
ratio data reported herein across frequency and age in normal-hearing infants who
were healthy or had NICU histories may be helpful to detect the presence of
hearing loss in infants.
PMID- 29369292
TI - The contribution of family history to the burden of diagnosed diabetes,
undiagnosed diabetes, and prediabetes in the United States: analysis of the
National Health and Nutrition Examination Survey, 2009-2014.
AB - PURPOSE: Given the importance of family history in the early detection and
prevention of type 2 diabetes, we quantified the public health impact of reported
family health history on diagnosed diabetes (DD), undiagnosed diabetes (UD), and
prediabetes (PD) in the United States. METHODS: We used population data from the
National Health and Nutrition Examination Survey 2009-2014 to measure the
association of reported family history of diabetes with DD, UD, and PD. RESULTS:
Using polytomous logistic regression and multivariable adjustment, family history
prevalence ratios were 4.27 (confidence interval (CI): 3.57, 5.12) for DD, 2.03
(CI: 1.56, 2.63) for UD, and 1.26 (CI: 1.09, 1.44) for PD. In the United States,
we estimate that 10.1 million DD cases, 1.4 million UD cases, and 3.9 million PD
cases can be attributed to having a family history of diabetes. CONCLUSION: These
findings confirm that family history of diabetes has a major public health impact
on diabetes in the United States. In spite of the recent interest and focus on
genomics and precision medicine, family health history continues to be an
integral component of public health campaigns to identify persons at high risk
for developing type 2 diabetes and early detection of diabetes to prevent or
delay complications.
PMID- 29369293
TI - CardioClassifier: disease- and gene-specific computational decision support for
clinical genome interpretation.
AB - PURPOSE: Internationally adopted variant interpretation guidelines from the
American College of Medical Genetics and Genomics (ACMG) are generic and require
disease-specific refinement. Here we developed CardioClassifier (
http://www.cardioclassifier.org ), a semiautomated decision-support tool for
inherited cardiac conditions (ICCs). METHODS: CardioClassifier integrates data
retrieved from multiple sources with user-input case-specific information,
through an interactive interface, to support variant interpretation. Combining
disease- and gene-specific knowledge with variant observations in large cohorts
of cases and controls, we refined 14 computational ACMG criteria and created
three ICC-specific rules. RESULTS: We benchmarked CardioClassifier on 57 expertly
curated variants and show full retrieval of all computational data, concordantly
activating 87.3% of rules. A generic annotation tool identified fewer than half
as many clinically actionable variants (64/219 vs. 156/219, Fisher's P = 1.1 *
10-18), with important false positives, illustrating the critical importance of
disease and gene-specific annotations. CardioClassifier identified putatively
disease-causing variants in 33.7% of 327 cardiomyopathy cases, comparable with
leading ICC laboratories. Through addition of manually curated data, variants
found in over 40% of cardiomyopathy cases are fully annotated, without requiring
additional user-input data. CONCLUSION: CardioClassifier is an ICC-specific
decision-support tool that integrates expertly curated computational annotations
with case-specific data to generate fast, reproducible, and interactive variant
pathogenicity reports, according to best practice guidelines.
PMID- 29369294
TI - Developmental neurotoxicants and the vulnerable male brain: a systematic review
of suspected neurotoxicants that disproportionally affect males.
AB - The prevalence of neurodevelopmental disorders (NDs), including autism spectrum
disorder, attention-deficit/hyperactivity disorder, tic disorder, obsessive
compulsive disorder, and emotional disturbances, has increased notably in the
past few decades. To date, debate continues as to the origins of NDs. Increases
in widespread exposure to and bioaccumulation of chemical neurotoxicants have
paralleled the upsurge in NDs, and are suggested to be causal agents for NDs. One
consistent aspect of NDs is the male preponderance. This review considers the
issue of male preponderance by reviewing the gender-specific neurotoxic effects
of recognized neurotoxicant chemicals to assess their possible etiology in NDs.
This investigation consisted of a systematic literature review of original
studies published from 1970-2016 on suspected neurotoxicants, to examine whether
they have a disproportionate adverse effect based on gender. Based on that
review, the neurotoxicants exhibiting consistent gender-specific effects, with
exposed males being more affected (than similarly exposed females), were: lead,
Thimerosal/ethylmercury, some organochlorine pesticides (e.g., dieldrin,
endosulfan, and heptachlor), and air pollution. The next group identified were
neurotoxicants exhibiting gender-specific neurotoxic effects, with males being
somewhat (but not consistently) more affected than females: mercury vapor,
polychlorinated biphenyls (PCBs), and organophosphate pesticides. Finally, there
was a group of studies in which the neurotoxicants exhibited apparent gender
related neurotoxic effects but failed to show whether exposed males were
consistently more affected than females: inorganic mercury salts, methylmercury
species, and certain endocrine disruptors (e.g., phthalates and BPA). The overall
conclusion from the studies reviewed was that the brain in males is more
vulnerable to many toxic exposures than it is in females. Evidence suggests that
the reasons for the male brain being more vulnerable include: (1) greater
glutathione availability in females; (2) greater sulfate-based detoxification
capacity in females; (3) potentiating effects of co-exposure to neurotoxicants
and testosterone; (4) greater neuroinflammatory response in males; (5) reduced
vulnerability to oxidative stress in females; and (6) neuroprotective effects of
female hormones (estrogen and progesterone), especially in the reduction of
inflammation and oxidative stress.
PMID- 29369295
TI - Omitted Stimulus Potential Depends on the Sensory Modality.
AB - Determining the characteristics of Omitted Stimulus Potential (OSP) parameters
using different sensory modalities is important because they reflect timing
processes and have a substantial influence on time perception. At the same time,
the central mechanisms of time perception associated with sensory processing can
modulate cortical brain waves related to cognition. This experiment tested the
relationship between parameters of the whole OSP brain wave when trains of
auditory, visual or somatosensory stimuli were applied. Twenty healthy young
college volunteers completed within-subjects trials with sensory stimuli at a
fixed frequency of 0.5 Hz that ceased unpredictably. These passive trials
required no behavioural response and were administered to measure the complete
set of OSP (i.e., the rate of rise, amplitude and peak latency). OSPs showed a
faster rate of rise for auditory stimuli compared to visual or somatosensory
stimuli. Auditory stimuli also produced a shorter time to peak and higher
amplitude waves. No significant differences were obtained between visual and
somatosensory waves. The results suggest that the brain handles interval timing
and expectation with greater efficiency for the auditory system compared to other
sensory modalities. This auditory supremacy is congruent with previous
behavioural studies using missing stimulus tasks and could be useful for clinical
purposes, for example, designing auditory-based brain-computer interfaces for
patients with motor disabilities and visual impairment. The rate of rise is a
dynamic measure that should be included in the ERPs analysis.
PMID- 29369296
TI - Top-down and bottom-up competition in visual stimuli processing.
AB - Limited attention capacity results that not all the stimuli present in the visual
field are equally processed. While processing of salient stimuli is automatically
boosted by bottom-up attention, processing of task-relevant stimuli can be
boosted volitionally by top-down attention. Usually, both top-down and bottom-up
influences are present simultaneously, which creates a competition between these
two types of attention. We examined this competition using both behavioral and
electrophysiological measures. Participants responded to letters superimposed on
background pictures. We assumed that responding to different conditions of the
letter task engages top-down attention to different extent, whereas processing of
background pictures of varying salience engages bottom-up attention to different
extent. To check how manipulation of top-down attention influences bottom-up
processing, we measured evoked response potentials (ERPs) in response to pictures
(engaging mostly bottom-up attention) during three conditions of a letter task
(different levels of top-down engagement). Conversely, to check how manipulation
of bottom-up attention influences top-down processing, we measured ERP responses
for letters (engaging mostly top-down attention) while manipulating the salience
of background pictures (different levels of bottom-up engagement). The
correctness and reaction times in response to letters were also analyzed. As
expected, most of the ERPs and behavioral measures revealed a trade-off between
both types of processing: a decrease of bottom-up processing was associated with
an increase of top-down processing and, similarly, a decrease of top-down
processing was associated with an increase in bottom-up processing. Results
proved competition between the two types of attentions.
PMID- 29369297
TI - Antiallodynic effect of intrathecal resiniferatoxin on neuropathic pain model of
chronic constriction injury.
AB - INTRODUCTION: Injuries and/or dysfunctions in the somatosensory system can lead
to neuropathic pain. Transient receptor potential vanilloid sub-type 1 (TRPV1)
play an important role in the development of allodynia and hyperalgesia following
injury and the ensuing inflammatory conditions. Resiniferatoxin (RTX) is an
ultrapotent synthetic TRPV1 agonist and many different administration routes are
available for different mechanisms and different effects. RTX is used
intraperitonially as a model of neuropathic pain or epidurally and topically to
produce prolonged analgesic effects. However, the use of RTX is controversial
because its neurotoxicity and margin of safety have not been addressed
adequately. The present study evaluates the effect of intrathecal RTX on the
induction and allodynia behavior of animals submitted to neuropathic pain by
chronic constriction injury (CCI). METHODS: 160 Swiss mice were randomly
distributed into two groups: intrathecal pre-treatment group (PRE) aiming the
effect in induction of allodynia and late intrathecal treatment group (POST) to
evaluate the antiallodynic effect of the RTX on mechanical nociceptive threshold
evaluated by the Von Frey hair filaments. Additionally, we evaluated the
expression of TRPV1 in dorsal root ganglia (DRG) by western blotting after PRE-
and POST-treatment with RTX. RESULTS: Our results showed that the CCI mice
developed prolonged mechanical allodynia-like behavior in ipsilateral paw after
surgery up to 24 hours. The PRE- and POST-treatment groups presented significant
antiallodynic effects in ipsilateral paw for 24 hours. Only the POST-treatment
group showed a significant reduction of expression of the TRPV1 receptor after
CCI. CONCLUSION: The presented data demonstrated that both PRE- and POST
treatment with RTX given intrathecally produced potent antiallodynic activities
in CCI mice and that POST-treatment can reduce TRPV1 expression in DRG,
suggesting that POST-treatment RTX can revert central sensitization and its
associated allodynia.
PMID- 29369298
TI - Effects of different delayed exercise regimens on cognitive performance in
fimbria-fornix transected rats.
AB - Studies have shown that exercise can positively influence cognitive performance
after brain injury. This study investigated the effects of different exercise
regimens on allocentric place learning after fimbria-fornix (FF) transection. One
hundred and sixteen pre-shaped rats were subjected either to a mechanical
transection of the FF or control sham surgery and divided into following groups:
i) no exercise (NE), ii) voluntary exercise in a running wheel (RW), iii) forced
swimming exercise administered as interval training of short (3x5 min) duration
(FS-SI), iv) forced swimming exercise administered as interval training of long
(3x15 min) duration (FS-LI), v) forced swimming exercise administered as one
session of short (5 min) duration (FS-SS), and vi) forced swimming exercise
administered as one session of long (15 min) duration (FS-LS). The exercise was
initiated 21 days post-surgery. Subsequently, all animals were administered 28
acquisition sessions in an 8-arm radial maze. Both sham operated and lesioned
animals showed a significant learning response, however, the lesion induced a
marked and lasting impairment, which was not alleviated neither by voluntary nor
forced (spaced or one-session only) exercise regimens. Exercise regimens had no
effect on the place learning of control sham animals. We conclude that the lesion
location as well as factors related to the exercise- and cognitive testing
protocols can profoundly influence the potential of exercise as a general
recovery-promoting method.
PMID- 29369299
TI - Axonal outgrowth stimulation after alginate/mesenchymal stem cell therapy in
injured rat spinal cord.
AB - Despite strong efforts in the field, spinal cord trauma still belongs among the
untreatable neurological conditions at present. Given the complexity of the
nervous system, an effective therapy leading to complete recovery has still not
been found. One of the potential tools for supporting tissue regeneration may be
found in mesenchymal stem cells, which possess anti-inflammatory and trophic
factor-producing properties. In the context of transplantations, application of
degradable biomaterials which could form a supportive environment and scaffold to
bridge the lesion area represents another attractive strategy. In the present
study, through a combination of these two approaches we applied both alginate
hydrogel biomaterial alone or allogenic transplants of MSCs isolated from bone
marrow seeded in alginate biomaterial into injured rat spinal cord at three weeks
after spinal cord compression performed at Th8-9 level. Following three-week
survival, using immunohistochemistry we studied axonal growth (GAP-43 expression)
and both microglia (Iba-1) and astrocyte (GFAP) reactions at the lesion site and
in the segments below and above the lesion. To detect functional improvement,
during whole survival period we performed behavioral analyses of locomotor
abilities using a classical open field test (BBB score) and a Catwalk automated
gait analyzing device (Noldus). We found that despite the absence of locomotor
improvement, application of both alginate and MSCs caused significant increase in
the number of GAP-43 positive axons.
PMID- 29369300
TI - Interaction between the serotoninergic and GABAergic systems in frog retina as
revealed by electroretinogram.
AB - Functional interactions between serotoninergic and GABAergic systems in the
vertebrate retina are largely unknown. In this study, the effects of isolated or
combined stimulation of the serotonin receptors (with 100 MUM serotonin) and
ionotropic GABAA and GABAC receptors (with 5 mM TACA) on the electroretinographic
(ERG) ON (b-wave) and OFF (d-wave) responses were investigated in frog eyecup
preparations. It was found that serotonin alone produced a significant
enhancement of the b- and d-wave amplitude, while TACA alone caused its marked
diminution. The relative amplitude diminution, caused by the TACA treatment, was
significantly smaller when TACA was applied on the background of the fully
developed serotonin effect. This result suggests that the retinal serotoninergic
system could diminish the effects of ionotropic GABA receptor activation on the
ERG wave generator mechanisms. In order to separately evaluate the effects of the
GABAC receptor activation, in a subset of experiments the effects of TACA or TACA
+ serotonin were tested during GABAA receptor blockade with 100 MUM bicuculline.
Bicuculline alone caused a marked increase of the b- and d-wave amplitude. The
stimulation of GABAC receptors (with TACA) during bicuculline action produced a
strong diminution of the b- and d-wave amplitudes. Similar relative decrease of
the b-wave amplitude was produced when TACA was applied in combination with
serotonin, while the relative decrease of the d-wave amplitude was less
pronounced during treatment with serotonin + TACA than TACA alone. Our results
demonstrate that there is an ON/OFF asymmetry in the receptors involved in the
presumed interactions between serotoninergic and GABAergic systems. Serotonin may
decrease the effects of GABAA receptor activation in the ON pathway, while it may
decrease the effects of both GABAA and GABAC receptor activation in the OFF
pathway.
PMID- 29369301
TI - Effects of ascorbic acid on anxiety state and affect in a non-clinical sample.
AB - : Objective Given that the literature data indicates that ascorbic acid may have
an anxiolytic effect, we hypothesized that a single oral administration of
ascorbic acid could acutely affect emotional states. Methods The effects of acid
ascorbic supplementation on anxiety and other emotional states were evaluated by
the State-Trait Anxiety Inventory (STAI), and Visual Analogue Mood Scale (VAMS).
Immediately before, and 2 hours after receiving a single ascorbic acid dose (1000
mg) or placebo, 142 graduate students were evaluated by the STAI and VAMS in a
randomized, double-blind, placebo-controlled trial. Results No changes from basal
levels were observed in the STAI state-anxiety or VAMS scores. However, the
ingestion of ascorbic acid by the 25% more anxious healthy subjects (women; 14
control and 23 ascorbic acid), as defined by the STAI trait-anxiety scale,
produced a significant reduction from baseline anxiety scores in the STAI state
anxiety scale and VAMS anxiety subscale. The study evaluated a small sample with
narrow sociodemographic characteristics, composed mainly of healthy young females
(> 94%) enrolled in post-graduation courses, without controlling diet, physical
activity, and formal psychiatric diagnosis. CONCLUSIONS: Despite the sample size
limitation, this study provides the first evidence of an acute anxiolytic effect
of ascorbic acid. Broader population studies are required to evaluate the
clinical relevance of presented data.
PMID- 29369302
TI - Antimuscarinic-induced convulsions in fasted mice after food intake: No evidence
of spontaneous seizures, behavioral changes or neuronal damage.
AB - Prolonged or repeated seizures have been shown to cause spontaneous recurrent
seizures, increased anxiety-related behavior, locomotor hyperactivity, impaired
functions of learning and memory, and neuronal damage in the hippocampus and
other brain regions in animals. Mice and rats treated with antimuscarinic drugs
after fasting for two days or less develop convulsions after being allowed to eat
ad libitum. To address whether such behavioral and neuroanatomic changes occur
following these convulsions, mice treated i.p. with saline (control) or 2.4 mg/kg
atropine and given food after 24 h of fasting were grouped according to seizure
scores for behavioral and histological analysis. Following convulsions, the
occurrence of spontaneous recurrent seizures was observed for 30 days. Motor
activity and grooming behavior were assessed in the open field, and memory was
assessed using the novel object recognition test 4 and 7 days after onset of
convulsions, respectively. Animals allocated for the histological analysis were
decapitated 7 days after onset of convulsions and hippocampal slices were
evaluated for the percentage of degenerating neurons stained with Fluoro-Jade C.
Spontaneous recurrent seizures, locomotor alterations, anxiety-related behavior,
memory impairment, and neuronal loss in the granular layer of the dentate gyrus
were not detected in the animals with seizure score 1-2 or 3-5. These results are
in accordance with those related to the absence of behavioral changes, cognitive
deficits, and hippocampal neuronal damage after single brief seizures in animals
and patients with epilepsy.
PMID- 29369303
TI - Report from the International Conference on Viral Hepatitis - 2017.
AB - The International Conference on Viral Hepatitis 2017 brought exciting news on the
treatment of viral hepatitis. The most recent estimates of the burden for
hepatitis B virus and hepatitis C virus (HCV) infections were presented. The
current gaps and prospects for regional and global eradication of viral hepatitis
were discussed on the light of the WHO roadmap until 2030. Debates focused on
hepatitis C and expectations using the new approved HCV pan-genotypic, once
daily, oral direct-acting antivirals (DAAs), glecaprevir-pibrentasvir, and
sofosbuvir-velpatasvir-voxilaprevir. The management of difficult-to-cure HCV
patients included individuals who had failed prior DAAs, people who inject drugs,
patients with decompensated cirrhosis, or renal insufficiency. Special patient
populations such as children, pregnant women, persons with acute hepatitis C, or
HIV coinfection were addressed separately. The use of HCV treatment as prevention
was subject to debate, balancing the benefits on halting transmission and the
risk for HCV reinfections and high medication costs. Complementary efforts on
behavioral interventions and harm reduction programs were highlighted. Data from
both clinical trials and real-world experience (i.e., from the US Veterans) were
compared. Further debates addressed hepatic conditions that may alter the
management and outcome of viral hepatitis, such as hepatitis B reactivation, non
alcoholic fatty liver disease, liver transplantation, and hepatocellular
carcinoma. Finally, the recent data on often neglected hepatitis D and E virus
infections were reviewed.
PMID- 29369304
TI - Antiretroviral Therapy in Advanced HIV Disease: Which is the Best Regimen?
AB - Advanced HIV disease, defined as a CD4 cell count below 200 cells/MUl or the
presence of an AIDS-defining illness, remains common among HIV-infected
individuals who first present for medical care. In developed countries, nearly
30% of new HIV diagnoses occurred at advanced stages of the disease, and it is
important because advanced HIV disease has been associated with worse clinical
outcomes, including lower rates of virological response, higher morbidity, and
higher mortality. However, there are scarce data regarding which is the best
antiretroviral regimen in these patients. Nowadays, integrase inhibitor-based
regimens are widely recommended as the best initial therapy for treatment-naive
HIV-infected patients by all international guidelines. However, these guidelines
hardly mention the recommended regimens in individuals with advanced HIV disease.
Otherwise, recent data indicating a higher risk of immune reconstitution
inflammatory syndrome associated to the use of integrase inhibitors have raised
concerns on the use of these drugs in patients with advanced HIV disease. The aim
of this article is to review the available evidence from randomized clinical
trials for the best treatment in patients with advanced HIV disease.
PMID- 29369305
TI - Nucleostemin regulates proliferation and migration of gastric cancer and
correlates with its malignancy [Retraction].
AB - [This retracts the article on p. 17634 in vol. 8, PMID: 26770353.].
PMID- 29369306
TI - A comparison study of immune-inflammatory response in electroacupuncture and
transcutaneous electrical nerve stimulation for patients undergoing
supratentorial craniotomy [Retraction].
AB - [This retracts the article on p. 2662 in vol. 8, PMID: 25932216.].
PMID- 29369307
TI - Formal recognition of the species of Oreosaurus (Reptilia, Squamata,
Gymnophthalmidae) from the Sierra Nevada de Santa Marta, Colombia.
AB - Oreosaurus is one of the two genera extracted from the former Riamasensu lato,
which was recently recognized as polyphyletic. Oreosaurus is a small clade (five
named and two undescribed species) of montane gymnophthalmid lizards and exhibits
an exceptional distributional pattern. Its nominal and undescribed species are
discontinuously distributed on the Cordillera de la Costa of Venezuela, the
tepuis from the Chimanta massif in Venezuela, the highlands of the island of
Trinidad, and the Sierra Nevada de Santa Marta in Colombia (SNSM). Herein, we
describe the species of Oreosaurus that is endemic to the SNSM. Historically,
this species associates with two names that are currently nomina nuda:
Proctoporus serranus and P. specularis. Formal nomenclatural recognition of
Oreosaurus serranussp. n. renders specularis a permanently unavailable name for
this taxon. Oreosaurus serranussp. n. is the sister of all remaining congeners,
and differs primarily from them in having only one pair of genial scales, as well
as a unique pattern of scutellation. We provide an identification key to the
species of Oreosaurus.
PMID- 29369308
TI - Photo-triggered micelles: simultaneous activation and release of microtubule
inhibitors for on-demand chemotherapy.
AB - The nonspecific biodistribution of cytotoxic drugs and associated adverse effects
greatly limit the efficacy and patient compliance of chemotherapy. To address
this, we employed a photoswitchable microtubule inhibitor (Azo-CA4) that was
physically loaded in cyclodextrin-bearing micellar nanocarriers through the host
guest interaction. Azo-CA4 was only activated upon ultraviolet (UV) light
irradiation to trigger the transition from the "trans" (inactive) to "cis"
(active) state. Such conformation change could then induce rapid Azo-CA4 release
from micelles without the delay of the onset of therapeutic action. This
nanoscale delivery system produced photo-triggered antimitotic and pro-apoptotic
effects in MDA-MB-231 cells via a triggered control of microtubule dynamics. The
anticancer efficacy of Azo-CA4-loaded micelles was further proved in vivo using a
4T1 tumor-bearing mice model coupled with multiple topical administrations to
avoid the penetration problem of UV light. This work provides a new delivery
vehicle to aid the application and potential translation of Azo-CA4 as biomedical
tools and precision chemotherapeutics.
PMID- 29369309
TI - Enhanced bone defect repairing effects in glucocorticoid-induced osteonecrosis of
the femoral head using a porous nano-lithium-hydroxyapatite/gelatin
microsphere/erythropoietin composite scaffold.
AB - Glucocorticoid-induced osteonecrosis of the femoral head (GIONFH) is a common
debilitating disease that occurs in young and middle-aged adults. To treat early
GIONFH, core decompression and bone graft are regarded as effective measures.
However, the ideal bone graft should possess bioactivity as well as biomechanical
properties. The most commonly used bone graft materials are currently
unsatisfactory. In this study, we fabricated a composited scaffold using lithium
(Li) to activate the Wnt signal pathway and erythrogenin (EPO) to upregulate the
HIF-1/VEGF pathway to improve the osteogenic and angiogenic effects of the
scaffold. We obtained the porous gelatin/nano-lithium-hydroxyapatite/gelatin
microsphere/rhEPO (Li-nHA/GMs/rhEPO) composited scaffold and assessed its
mechanical properties, release properties, and in vitro bioactivity. Then, we
implanted the scaffold into the femoral heads of GIONFH rabbits after core
decompression surgery and evaluated the osteogenic and angiogenic abilities of
the scaffold in vivo as well as its bone defect repair efficacy. As the results
show, the Li-nHA/GM/rhEPO scaffold possessed good mechanical compression strength
and enabled continuous release of Li and rhEPO. Moreover, the scaffold improved
the viability of glucocorticoid-treated BMMSCs and vascular endothelial cells and
increased the expression of osteogenic and angiogenic factors. In the in vivo
study, the composited scaffold improved new bone formation and exerted effects on
repairing femoral head defects in GIONFH rabbits. Additionally, the osteogenic
and angiogenic factors were increased along with the activation of factors in the
Wnt signal pathway and the HIF-1/VEGF pathway. In conclusion, the Li-nHA/GM/rhEPO
scaffold can upregulate the Wnt and HIF-1/VEGF pathways at same time and has
effects on improving osteogenesis and angiogenesis, which benefits the repair of
GIONFH.
PMID- 29369310
TI - A peptide-based synthetic transcription factor selectively activates
transcription in a mammalian cell.
AB - A peptide-based cell permeable synthetic transcription factor is reported, which
binds to its target site with high affinity and specificity. When linked to a HAT
binding peptide, it causes significant upregulation of gene expression in a
mammalian cell. Such molecules may be developed for selectively activating
repressed genes in mammalian cells.
PMID- 29369311
TI - The Janus-faced chromophore: a donor-acceptor dyad with dual performance in
photon up-conversion.
AB - An electron donor-acceptor dyad based on BODIPY (acceptor) and anthracene (donor)
plays either the role of sensitizer or emitter in triplet-triplet annihilation
photon up-conversion (TTA-UC). This Janus-like behavior was achieved via altering
the relative ordering of charge-transfer and local excited state energies in the
dyad through the polarity of TTA-UC media.
PMID- 29369312
TI - Direct thermal desorption gas chromatographic determination of toxicologically
relevant concentrations of ethylene glycol in whole blood.
AB - A simple and rapid method involving thermal desorption gas chromatography (TD-GC)
with flame ionisation detection has been successfully developed for the
determination of ethylene glycol in whole blood. No sample extraction or
derivatization steps were required. The conditions required for the direct
determination of ethylene glycol in whole blood were optimised and require only
the addition of the internal standard, 1,2-butanediol, to the sample. A 1 MUL
aliquot of the sample was then introduced to the thermal desorption unit, dried,
and thermally desorbed directly to the gas chromatograph. A calibration curve was
constructed over the concentration range of 1.0 to 200 mM and was found to be
linear over the range investigated with an R2 value of 0.9997. The theoretical
limit of detection based on 3sigma was calculated to be 50.2 MUM (3.11 mg L-1).
No issues with carryover were recorded. No interferences were recorded from
endogenous blood components or a number of commonly occurring alcohols. The
proposed method was evaluated by carrying out replicate ethylene glycol
determinations on fortified whole blood samples at the levels of 12.5 mM, 20.0
mM, 31.2 mM, 100 mM and 200 mM comparable to commonly reported blood levels in
intoxications. Mean recoveries of between 84.8% and 107% were obtained with
coefficients of variation of between 1.7% and 5.8%. These data suggest that the
method holds promise for applications in toxicology, where a rapid, reliable
method to confirm ethylene glycol poisoning is required.
PMID- 29369313
TI - Through bond and through space interactions in dehydro-diazine radicals: a case
study of 3c-5e interactions.
AB - Owing to the 3c-5e (3-centred-5-electrons) interactions between two nitrogen lone
pairs and a radical electron, the dehydrodiazine radical isomers are very
interesting from the fundamental point of view. Among them, pyrimidine has three
(1a-1c), pyridazine has two (2a and 2b) and pyrazine has only one (3a) radical
isomer. Based on quantum chemical calculations at the (U)B3LYP, (U)M06-2X,
(U)BLYP, CBS-QB3 and (U)CCSD(T) levels with cc-pVTZ as the basis set, we found
the 4-dehydropyrimidine (1b) radical to be the most stable isomer among the three
pyrimidine radicals, followed by the 2-dehydropyrimidine (1a) and 5
dehydropyrimidine (1c) radical isomers. In the case of pyridazine, 3-dehydro
radical isomer (2a) is more stable than 4-dehydropyridazine (2b). Bond
dissociation energy (BDE) calculations and estimation of radical stabilization
energies (RSE) using isodesmic reactions revealed the stability order among the
six isomeric diazine radicals as 1c < 2b < 2a < 1a < 3a < 1b. Spin densities at
each radical centre and non-zero values at nitrogen centres provided information
about the extent of delocalization of radical electrons, which was consistent
with the relative stability order of all the isomers. The multiconfigurational
CASSCF and natural bond orbital (NBO) calculations suggested the presence of
direct through space interactions (between lone pairs and a radical, TS) that
play a dominant role over the through bond (through intervening bonds, TB)
interactions in deciding the stability order. To confirm these results, we have
also estimated the proton affinities (PAs) for each nitrogen atom and compared
them with their respective parent diazines, where lowering of the PA values
convincingly envisaged the extent and strength of interactions between the
nitrogen and radical centre. Atoms-in-molecules (AIM) analysis and estimation of
hyperfine coupling constants have also been performed to verify these results.
All these results showed that the through space interaction between the lone pair
and the radical electron is very important for the electronic structural and
stability aspects in dehydrodiazine radicals.
PMID- 29369314
TI - Synthesis, functionalization, and applications of metal-organic frameworks in
biomedicine.
AB - Metal-organic frameworks (MOFs), also known as coordination polymers, have
attracted extensive research interest in the past few decades due to their unique
physical structures and potentially vast applications. In this review, we outline
the recent progress in the synthesis, functionalization and applications of MOFs
in biomedicine, mainly focusing on two promising, yet challenging areas, i.e.,
drug delivery and biosensing applications. A major challenge is the proper
functionalization of MOFs with demanding properties suitable for biomedical
applications. Extensive studies on MOFs in biomedicine have led to substantial
progress in the control of key properties of MOFs such as toxicity, size and
shape, and biological stability. Due to their flexible composition, pore size and
easy functionalization properties, MOFs can be utilized as key components for the
development of various functional systems, and their applications in drug
delivery and biosensing are reviewed. Future trends and perspectives in these
research areas are also outlined.
PMID- 29369315
TI - Band gap modification and photoluminescence enhancement of graphene nanoribbon
filled single-walled carbon nanotubes.
AB - Molecule encapsulation inside the single-walled carbon nanotube (SWCNT) core has
been demonstrated to be a successful route for the modification of nanotube
properties. SWCNT diameter-dependent filling results in band gap modification
together with the enhancement of photoluminescence quantum yield. However, the
interaction between the inner structure and the outer shell is complex. It
depends on the orientation of the molecules inside, the geometry of the host
nanotube and on several other mechanisms determining the resulting properties of
the hybrid nanosystem. In this work we study the influence of encapsulated
graphene nanoribbons on the optical properties of the host single-walled carbon
nanotubes. The interplay of strain and dielectric screening caused by the
internal environment of the nanotube affects its band gap. The photoluminescence
of the filled nanotubes becomes enhanced when the graphene nanoribbons are
polymerized inside the SWCNTs at low temperatures. We show a gradual
photoluminescence quenching together with a selective signal enhancement for
exact nanotube geometries, specifically (14,6) and (13,8) species. A precise
adjustment of the optical properties and an enhancement of the photoluminescence
quantum yield upon filling for nanotubes with specific diameters were assigned to
optimal organization of the inner structures.
PMID- 29369316
TI - Photoactivated proton coupled electron transfer in DNA: insights from quantum
mechanical calculations.
AB - The energetics of the two main proton coupled electron transfer processes that
could occur in DNA are determined by means of time dependent-DFT calculations,
using the M052X functional and the polarizable continuum model to include solvent
effect. This approach has been applied to fragments of GC and AT alternated
duplexes containing up to 4 base pairs. In GC DNA, intra-strand G -> C charge
transfer (CT) can trigger inter-strand Proton Transfer (PT) involving the C
anion, since the reaction is exothermic and the related energy barrier is <0.3
eV. In AT DNA, the minimum resulting from intra-strand A -> T CT is not predicted
to undergo inter-strand PT. These conclusions are in full agreement with the
indication of time-resolved IR spectra. In both systems, inter-strand CT can be
coupled to inter-strand PT, and the resulting minimum, reached after overcoming a
moderate energy barrier (<0.4 eV), is close to a crossing region with the ground
electronic state, providing a relatively fast non-radiative deactivation route.
PMID- 29369317
TI - Diacylhydrazone-assembled {Ln11} nanoclusters featuring a "double-boats
conformation" topology: synthesis, structures and magnetism.
AB - A family of novel Ln nanoclusters, namely, [Ln11(ovpho)4(MU-CH3O)2(MU-H2O)2(MU3
OH)6(CH3OH)4(H2O)2(NO3)8](OH).xH2O.yCH3OH [Ln = Gd (1), x = 1, y = 3; Ln = Tb
(2), x = 1, y = 3; Ln = Dy (3), x = 0, y = 3], was obtained via solvothermal
reactions of Ln(NO3)3 with a diacylhydrazone ligand N,N'-bis(o
vanillidene)pyridine-2,6-dicarbohydrazide N-oxide (H4ovpho). Their isostructural
molecular structures are composed of two crystallographically symmetric {Ln6}
rings sharing a Ln3+ ion, and display an unprecedented "double boat conformation"
topology that, to our knowledge, has not yet been reported. Ophenol, Oenol and
Ooxynitride from ovpho4- ligands, as well as Omethanol, Owater and Ohydroxyl help
to bridge the Ln3+ ions. The structural variation between these {Ln11} clusters
and a previously reported {Gd18} nanowheel, both of which are assembled by
H4ovpho under the same synthetic method and reaction conditions, is caused only
by changing the anions of Ln salts. Magnetic investigations revealed a large
magnetocaloric effect (MCE) of 1, whose maximum -DeltaSm value reaches 30.1 J kg
1 K-1 for DeltaH = 50 kOe at 2.0 K. Additionally, it was found that 3 shows
single-molecule magnets (SMMs) behavior, with an approximated energy barrier Ueff
= 6.13 K and pre-exponential factor tau0 = 1.70 * 10-6 s.
PMID- 29369318
TI - In situ probing behaviors of single LiNiO2 nanoparticles by merging CAFM and AM
FM techniques.
AB - Probing single active nanoparticles of Li-ion battery electrodes is challenging
but important to reveal their behaviors including morphology, mechanical
properties and electrochemical reactions with an electrolyte. In this work, we in
situ investigated voltage-induced behaviors of single LiNiO2 nanoparticles by
merging conductive atomic force microscopy (CAFM) and amplitude modulation
frequency modulation (AM-FM) techniques. The former was used to apply a voltage
between a selected single nanoparticle and a substrate through its tip, while the
latter was done for imaging. Evolution in the morphology and stiffness of the
nanoparticles induced by different voltages under air and dried argon atmospheres
was tracked, respectively. The evolution mechanisms related to electrochemical
reactions were discussed in detail. These results suggest that the merged
techniques would provide an indirect and effective approach to study the
behaviors and electrochemical reactions of electrode materials on the nanometer
scale and even single nanoparticles.
PMID- 29369319
TI - Endogenous sialic acid-engineered micelles: a multifunctional platform for on
demand methotrexate delivery and bone repair of rheumatoid arthritis.
AB - Rheumatoid arthritis (RA) patients have suffered from the current drug
therapeutic regimen because of its high toxicity and the absence of bone
regeneration for existing erosion, seriously affecting the quality of life.
Herein, a sialic acid-dextran-octadecanoic acid (SA-Dex-OA) conjugate was
synthesized to form micelles with a 55.06 MUg mL-1 critical micelle
concentration. The obtained micelles can encapsulate a disease-modifying anti
rheumatic drug, methotrexate (MTX), with 4.28% (w/w) drug content, featuring
sustained drug release behavior over 48 h. In vitro and in vivo studies showed
that SA-Dex-OA micelles significantly improved accumulation and transportation
through a combination of SA and E-selectin receptors in inflamed cells and
arthritic paws highly expressing E-selectin. MTX-loaded SA-Dex-OA micelles not
only significantly inhibited the inflammatory response, but also diminished the
adverse effects of MTX, as reflected by the reduced alanine aminotransferase,
aspartate aminotransferase, creatinine, and urea nitrogen levels. Most
importantly, the bone mineral density in rats treated with MTX-loaded SA-Dex-OA
micelles was significantly higher as compared to in those treated with free MTX
and Dex-OA/MTX micelles (increasing from 391.4 to 417.4 to 492.7 mg cc-1),
benefiting from the effects of endogenous sialic acid in promoting MC3T3-E1 cell
differentiation and mineralization. It is anticipated that SA-based micelles with
bone repair activities have great potential for RA treatment and other metabolic
bone diseases with serious bone erosion.
PMID- 29369320
TI - Efficient construction of bioactive trans-5A5B6C spirolactones via bicyclo[4.3.0]
alpha-hydroxy ketones.
AB - An efficient, convenient short synthetic procedure for the synthesis of the
intricate 5A5B6C-ring fusion topologies of tricyclic spiranoid beta
hydroxybutyrolactones through lactonization of the key intermediate trans-alpha
hydroxyindenones with malonates is described. All the compounds synthesized
exhibited environmentally benign characteristics, moderate fungicidal,
nematocidal, and anti-TMV activities.
PMID- 29369331
TI - Alterations in local stability and dynamics of A4V SOD1 in the presence of
trifluoroethanol.
AB - Alterations in the local dynamics of Cu/Zn Superoxide dismutase (SOD1) due to
mutations affect the protein folding, stability, and function leading to
misfolding and aggregation seen in amyotrophic lateral sclerosis (ALS). Here, we
study the structure and dynamics of the most devastating ALS mutation, A4V SOD1
in aqueous trifluoroethanol (TFE) through experiments and simulation. Far-UV
circular dichroism (CD) studies shows that TFE at intermediate concentrations
(~15% - 30%) induce partially unfolded beta-sheet-rich extended conformations in
A4V SOD1 which subsequently aggregates. Molecular dynamics (MD) simulation
results shows that A4V SOD1 increases local dynamics in the active site loops
that leads to the destabilization of the beta-barrel and loss of hydrophobic
contacts, thus stipulating a basis for aggregation. Free energy landscape (FEL)
and essential dynamics (ED) analysis demonstrates the conformational
heterogeneity in A4V SOD1. Our results thus shed light on the role of local
unfolding and conformational dynamics in aggregation of SOD1.
PMID- 29369332
TI - Morphometric panel regression equations for predicting body mass in immature
humans.
AB - OBJECTIVES: Predicting body mass is a frequent objective of several
anthropological subdisciplines, but there are few published methods for
predicting body mass in immature humans. Because most reference samples are
composed of adults, predicting body mass outside the range of adults requires
extrapolation, which may reduce the accuracy of predictions. Prediction equations
developed from a sample of immature humans would reduce extrapolation for
application to small-bodied target individuals, and should have utility in
multiple predictive contexts. MATERIALS AND METHODS: Here, we present two novel
body mass prediction equations derived from 3468 observations of stature and bi
iliac breadth from a large sample of immature humans (n = 173) collected in the
Harpenden Growth Study. Prediction equations were generated using raw and natural
log-transformed data and modeled using panel regression, which accounts for
serial autocorrelation of longitudinal observations. Predictive accuracy was
gauged with a global sample of human juveniles (n = 530 age- and sex-specific
annual means) and compared to the performance of the adult morphometric
prediction equation previously identified as most accurate for human juveniles.
RESULTS: While the raw data panel equation is only slightly more accurate than
the adult equation, the logged data panel equation generates very accurate body
mass predictions across both sexes and all age classes of the test sample (mean
absolute percentage prediction error = 2.47). DISCUSSION: The logged data panel
equation should prove useful in archaeological, forensic, and paleontological
contexts when predictor variables can be measured with confidence and are outside
the range of modern adult humans.
PMID- 29369333
TI - Confocal microscopy in adult women with acne.
AB - BACKGROUND: Acne is an inflammatory disease of the pilosebaceous follicle,
affecting 41-54% of adult women, with a particular form that involves the
mandible. METHODS: We characterized infundibulum morphology in two groups of
adult women using reflectance confocal microscopy. First, we investigated acne
visually "healthy zones" on the forehead in 15 adult women with diffuse acne and
compared with acne-free controls. We then compared healthy forehead and affected
mandibular zone in 15 acne patients with mandibular involvement. Exposed results
had a P < 0.05. RESULTS: Seven hundred and ninety-one follicles were observed on
apparently healthy skin of 15 adult women with acne, with a larger diameter,
thicker (68%), and hyper keratinized (65%) follicle border, and more keratin
plugs (44%) than in controls. In the second group of 15 adult women with
mandibular acne, we compared 569 follicles in the mandibular zone and 475 on
forehead. In the mandibular area, follicles were significantly larger, thicker
(76%), more hyper keratinized (72%), with more keratin plugs (47%) and increased
inflammation (23%) compared with the forehead area. In the mandibular area, 0.2%
of follicles showed isolated inflammation without hyper keratinization, and 15.3%
had both thickened borders with an onion-like appearance and keratin plugs
associated with inflammation. CONCLUSIONS: Hyper keratinization was higher in
healthy skin of adult women with acne compared with controls, confirming that
microcomedo is crucial in the development of acne lesions. We also demonstrate
that the repartition of comedones and microcomedones is inhomogeneous with a
great number in the mandibular area where acne lesions are located.
PMID- 29369334
TI - The incidental thyroid nodule.
AB - Incidental thyroid nodules that are found on an imaging study performed for
reasons other than thyroid pathology represent a common scenario encountered by
health care providers. The initial workup for these nodules comprises a thorough
history and physical examination, thyroid function tests, a dedicated thyroid
ultrasound, and fine-needle aspiration of any suspicious lesions. Management
ranges from observation and reassurance to surgical resection and depends on the
cytologic diagnosis. In cases of cytologically indeterminate or discordant
nodules, surgical excision (lobectomy) offers a definitive diagnosis, although
molecular testing or a reasonable period of observation may be useful as less
invasive adjuncts. CA Cancer J Clin 2018;68:97-105. (c) 2018 American Cancer
Society.
PMID- 29369335
TI - The effect of music therapy on infants born preterm.
PMID- 29369336
TI - Economic consequences of over-diagnosis of threatened preterm labor.
AB - OBJECTIVE: To investigate whether adherence to a cervical length-based protocol
can reduce both unnecessary admissions and the socioeconomic costs associated
with inappropriately admitted patients. METHODS: The present retrospective
observational study included women admitted for threatened preterm labor (TPL) at
24-34 weeks of pregnancy to a tertiary hospital in the Canary Islands, 2009-2014.
Data were reviewed from all patients admitted for TPL. Those with a long cervix
(>25 mm) were classified as "inappropriate admissions", and both the economic
burden based on diagnosis-related group (DRG) and the social costs associated
with sick leave for these women were calculated. RESULTS: During the 6-year study
period, 430 women were admitted for TPL. The rate of inappropriate hospital
admissions was 45% in the first year, but was reduced to 23% in the final year
(P<0.001); the premature delivery rates in these years did not differ (P=0.224).
The mean DRG-based cost of the admission per patient with a long cervix was EU
euros ?2099. The total annual costs from inappropriate admission (both social
security sick leave costs and hospital costs) were estimated to be up to ?571
047.37 during the 6-year study period, and reduced from ?60 420.76 in 2009 to ?29
998.04 in 2014. CONCLUSION: Reductions in inappropriate admissions from applying
cervical length-based management protocol could reduce healthcare costs without
increasing the incidence of premature delivery.
PMID- 29369337
TI - Improving the integrity of published science: An expanded taxonomy of retractions
and corrections.
PMID- 29369338
TI - Monocle tumor as tonsillar squamous cell carcinoma metastasis: resolution after
chemotherapy treatment.
PMID- 29369339
TI - Prevalence and predictors of depressive symptoms among attendees of a tertiary
care dermatology clinic in Muscat, Oman.
AB - BACKGROUND: Various studies have suggested that depression is more prevalent
among patients with skin disorders than in the general population. Most of the
studies addressing this subject involve Euro-American populations. OBJECTIVES:
The present study aimed to estimate the prevalence of depressive symptoms among
patients with dermatological disorders and, then, to decipher the clinical
demographic factors associated with depressive symptoms. METHODS: A cross
sectional analytical study was conducted among a random sample of patients
attending a dermatology clinic in Muscat. The Patient Health Questionnaire-9 (PHQ
9) was used to screen for depressive symptoms. A logistic regression model was
used to find the adjusted and unadjusted odds ratios (ORs). RESULTS: A total of
260 patients participated in this study, with a response rate of 81%. The
prevalence of depression symptoms was 24%. According to regression analysis,
family history of depression, comorbid medical disorders, and treatment with
topicals or isotretinoin were significant predictors of depression (OR = 9.41,
95% confidence interval [CI]: 2.27-39.05, P = 0.002; OR = 2.0, 95% CI: 1.2-3.21,
P = 0.05; OR = 2.28, 95% CI: 1.09-4.76, P = 0.028; and OR = 2.78; 95% CI: 1.08
7.19, P = 0.035, respectively). CONCLUSION: This study indicates that depressive
symptoms are common among patients with dermatological disorders in Oman,
particularly in those with a family history of depression and medical
comorbidities, and those who use a specific dermatological medication. Screening
for depression in patients attending dermatology clinics is essential in order to
detect and promptly treat patients suffering from depression.
PMID- 29369340
TI - Filaggrin gene mutations may influence the persistence of food allergies in
Japanese primary school children.
PMID- 29369341
TI - Monitoring dynamic spatio-temporal ecological processes optimally.
AB - Population dynamics vary in space and time. Survey designs that ignore these
dynamics may be inefficient and fail to capture essential spatio-temporal
variability of a process. Alternatively, dynamic survey designs explicitly
incorporate knowledge of ecological processes, the associated uncertainty in
those processes, and can be optimized with respect to monitoring objectives. We
describe a cohesive framework for monitoring a spreading population that
explicitly links animal movement models with survey design and monitoring
objectives. We apply the framework to develop an optimal survey design for sea
otters in Glacier Bay. Sea otters were first detected in Glacier Bay in 1988 and
have since increased in both abundance and distribution; abundance estimates
increased from 5 otters to >5,000 otters, and they have spread faster than 2.7
km/yr. By explicitly linking animal movement models and survey design, we are
able to reduce uncertainty associated with forecasting occupancy, abundance, and
distribution compared to other potential random designs. The framework we
describe is general, and we outline steps to applying it to novel systems and
taxa.
PMID- 29369342
TI - Case report of endometrial cancer with a microcytic, elongated, and fragmented
pattern of invasion and DNA mismatch repair deficiency.
PMID- 29369343
TI - Health insurance coverage and access to skilled birth attendance in Togo.
AB - OBJECTIVE: To examine the effect of the newly introduced national health
insurance plan on access to skilled birth attendance (SBA). METHODS: The present
secondary analysis used data from the 2014 Togo Demographic and Health Survey.
The study sample comprised women aged 15-49 years who had at least one delivery
in the 5 years preceding the survey. Multivariate logistic regression analyses
were conducted. RESULTS: The adjusted sample included 4826 women. Overall, 195
(4.0%) of 4826 pregnant women had health insurance. The coverage rate varied by
wealth, with poor women having the lowest coverage rate (22/931 [1.1%]).
Approximately one-third of the women had no SBA at delivery. Women with health
insurance were almost three times as likely to be assisted by skilled healthcare
personnel at delivery as were those without health insurance (adjusted odds ratio
2.74, 95% confidence interval 1.63-4.59). Other factors associated with SBA
included education, household wealth, and age. CONCLUSION: The study highlights
the positive impact health insurance coverage could have on access to SBA and
provides evidence that SBA use could be improved through improved access to
health insurance. An accessible health insurance scheme will offer a pathway to
achieving health equity and Sustainable Development Goal 3 in Togo.
PMID- 29369346
TI - Morphology, diet, and stable carbon isotopes: On the diet of Theropithecus and
some limits of uniformitarianism in paleoecology.
AB - Geladas were long supposed to be the only living primates feeding almost entirely
on graminoids and accordingly display dramatic dental and manual adaptive traits.
A recent study of Theropithecus gelada, the first in a relatively undisturbed
habitat, revealed a more diverse diet, also incorporating large quantities of
forbs. The peculiar adaptive traits of T. gelada are also observed in extinct
Theropithecus as early as 3.7 Ma. Stable carbon isotopic data of extinct
Theropithecus from eastern Africa indicate that specimens older than 3 Ma
consumed a significant proportion of C3 plants (on average ca. 40% of total food
intake) whereas specimens younger than 2 Ma consumed more C4 plants (on average
ca. 80%). Recent paleobotanical evidence suggests that C3 herbaceous plants were
still present in non-negligible proportions in Plio-Pleistocene lowland tropical
ecosystems. Together, the shared morphological adaptive traits of extant and
extinct Theropithecus and the varied diets of extant T. gelada suggest that the
paleodiets of Theropithecus may have been dominated by herbaceous plants,
comprising both C3 forbs and graminoids and C4 graminoids. The changes in stable
carbon isotopes could correspond to a replacement of C3 plants by C4 plants
within the herbaceous strata rather than a shift from C3 woody vegetation to C4
graminoids. This synthesis highlights the need for a more exhaustive knowledge of
the ecology of extant species to achieve meaningful paleodietary and
paleoenvironmental reconstructions. A strong selectivity for food resources that
are rare in the landscapes (as in T. gelada) should also be considered when
interpreting stable carbon isotopes of extinct African mammals (and notably
hominids).
PMID- 29369345
TI - Circulating plasmablasts are elevated and produce pathogenic anti-endothelial
cell autoantibodies in idiopathic pulmonary arterial hypertension.
AB - Idiopathic pulmonary arterial hypertension (IPAH) is a devastating pulmonary
vascular disease in which autoimmune and inflammatory phenomena are implicated. B
cells and autoantibodies have been associated with IPAH and identified as
potential therapeutic targets. However, the specific populations of B cells
involved and their roles in disease pathogenesis are not clearly defined. We
aimed to assess the levels of activated B cells (plasmablasts) in IPAH, and to
characterize recombinant antibodies derived from these plasmablasts. Blood
plasmablasts are elevated in IPAH, remain elevated over time, and produce IgA
autoantibodies. Single-cell sequencing of plasmablasts in IPAH revealed
repertoires of affinity-matured antibodies with small clonal expansions,
consistent with an ongoing autoimmune response. Recombinant antibodies
representative of these clonal lineages bound known autoantigen targets and
displayed an unexpectedly high degree of polyreactivity. Representative IPAH
plasmablast recombinant antibodies stimulated human umbilical vein endothelial
cells to produce cytokines and overexpress the adhesion molecule ICAM-1.
Together, our results demonstrate an ongoing adaptive autoimmune response
involving IgA plasmablasts that produce anti-endothelial cell autoantibodies in
IPAH. These antibodies stimulate endothelial cell production of cytokines and
adhesion molecules, which may contribute to disease pathogenesis. These findings
suggest a role for mucosally-driven autoimmunity and autoimmune injury in the
pathogenesis of IPAH.
PMID- 29369347
TI - Long-term pediatric skin eruption-related hospitalizations in offspring conceived
via fertility treatment.
AB - BACKGROUND: Although concerns have been raised regarding the long-term health
risks of offspring conceived following fertility treatments, limited information
is available regarding their health status beyond the neonatal period. We aimed
to evaluate the risk of long-term eruptive dermatological morbidity among
children born following fertility treatments as compared to those conceived
spontaneously. METHODS: A population-based cohort study was conducted, including
all singleton deliveries occurring between the years 1991 and 2014 at a single
tertiary medical center. Fetuses with congenital malformations and multiple
gestations were excluded. Children delivered following fertility treatment
pregnancies and spontaneous pregnancies were compared. Hospitalizations of the
offspring up to the age of 18 years involving cutaneous eruptions were evaluated.
A Kaplan-Meier survival curve was used to compare cumulative morbidity incidence
and a Cox regression model to control for confounders. RESULTS: During the study
period, 242,187 singleton deliveries met the inclusion criteria, 1.8% of which
were following fertility treatments (n = 4324). Eruptive dermatological morbidity
of the offspring up to the age of 18 years was significantly more common in the
fertility treatment group (1.5%) as compared to spontaneous pregnancies (1.1%; P
= 0.023). The Kaplan-Meier survival curve demonstrated a significantly higher
cumulative incidence of eruptive dermatological morbidity following fertility
treatments (log-rank P = 0.007). Using the Cox regression model, while
controlling for multiple confounders, fertility treatment was noted as an
independent risk factor for long-term pediatric eruptive dermatological morbidity
(adjusted HR = 1.43, CI 1.12-1.83, P = 0.004). CONCLUSION: Singletons conceived
via fertility treatments appear to be at an increased risk for long-term eruptive
dermatological morbidity.
PMID- 29369349
TI - The apelin receptor influences biomechanical and morphological properties of
endothelial cells.
AB - The adaption of endothelial cells to local flow conditions is a multifunctional
process which leads to distinct alterations in cell shape, the subcellular
distribution of structural proteins, and cellular function. G-protein-coupled
receptors (GPCRs) have been identified to be fundamentally involved in such
processes. Recently, we and others have shown that the expression of the
endothelial GPCR apelin receptor (APJ) is regulated by fluid flow and that
activation of APJ participates in signaling pathways which are related to
processes of mechanotransduction. The present study aims to illuminate these
findings by further visualization of APJ function. We show that APJ is located to
the cellular junctions and might thus be associated with platelet endothelial
cell adhesion molecule-1 (PECAM-1) in human umbilical vein endothelial cells
(HUVEC). Furthermore, siRNA-mediated silencing of APJ expression influences the
shear-induced adaption of HUVEC in terms of cytoskeletal remodeling, cellular
elasticity, cellular motility, attachment, and distribution of adhesion
complexes. Taken together, our results demonstrate that APJ is crucial for
complemented endothelial adaption to local flow conditions.
PMID- 29369348
TI - Efficacy and safety of eslicarbazepine acetate versus controlled-release
carbamazepine monotherapy in newly diagnosed epilepsy: A phase III double-blind,
randomized, parallel-group, multicenter study.
AB - OBJECTIVE: We assessed the efficacy and safety of once-daily eslicarbazepine
acetate in comparison with twice-daily (BID) controlled-release carbamazepine
(carbamazepine-CR) monotherapy in newly diagnosed focal epilepsy patients.
METHODS: This randomized, double-blind, noninferiority trial (NCT01162460)
utilized a stepwise design with 3 dose levels. Patients who remained seizure-free
for the 26-week evaluation period (level A: eslicarbazepine acetate 800
mg/carbamazepine-CR 200 mg BID) entered a 6-month maintenance period. If a
seizure occurred during the evaluation period, patients were titrated to the next
target level (level B: eslicarbazepine acetate 1200 mg/carbamazepine-CR 400 mg
BID, level C: eslicarbazepine acetate 1600 mg/carbamazepine-CR 600 mg BID) and
the evaluation period began again. The primary endpoint was the proportion of
seizure-free patients for 6 months after stabilization in the per protocol set.
The predefined noninferiority criteria were -12% absolute and -20% relative
difference between treatment groups. RESULTS: Eight hundred fifteen patients were
randomly assigned; 785 (388 in the eslicarbazepine acetate group and 397 in the
carbamazepine-CR group) were included in the per protocol set, and 813 (401 in
the eslicarbazepine acetate group and 412 in the carbamazepine-CR group) were
included in the full analysis set for the primary analysis. Overall, 71.1% of
eslicarbazepine acetate-treated patients and 75.6% of carbamazepine-CR-treated
patients were seizure-free for >=6 months at the last evaluated dose (average
risk difference = -4.28%, 95% confidence interval [CI] = -10.30 to 1.74; relative
risk difference = -5.87%, 95% CI = -13.50 to 2.44) in the per protocol set. Rates
of treatment-emergent adverse events were similar between groups for patients in
the safety set. Noninferiority was also demonstrated in the full analysis set, as
70.8% of patients with eslicarbazepine acetate and 74.0% with carbamazepine-CR
were seizure-free at the last evaluated dose (average risk difference = -3.07,
95% CI = -9.04 to 2.89). SIGNIFICANCE: Treatment with eslicarbazepine acetate was
noninferior to BID carbamazepine-CR. With its once-daily formulation,
eslicarbazepine acetate provides a useful option for first-line monotherapy for
adults with newly diagnosed epilepsy and focal onset seizures.
PMID- 29369350
TI - Identification and molecular docking study of novel angiotensin-converting enzyme
inhibitory peptides from Salmo salar using in silico methods.
AB - BACKGROUND: In order to circumvent some challenges of the classical approach, the
in silico method has been applied to the discovery of angiotensin-converting
enzyme (ACE) inhibitory peptides from food proteins. In this study, some
convenient and efficient in silico tools were utilized to identify novel ACE
inhibitory peptides from Salmo salar. RESULTS: Collagen from Salmo salar was
digested in silico into hundreds of peptides. Results revealed that tetrapeptides
PGAR and IGPR showed potent ACE inhibitory activity, with IC50 values of 0.598 +/
0.12 and 0.43 +/- 0.09 mmol L-1 , respectively. The molecular docking result
showed that PGAR and IGPR interact with ACE mostly via hydrogen bonds and
attractive charge. Peptide IGPR interacts with Zn+ at the ACE active site,
showing high inhibitory activity. CONCLUSION: Interaction with Zn+ in ACE may
lead to higher inhibitory activity of peptides, and Pi interactions may promote
the effect of peptides on ACE. The in silico method can be an effective method to
predict potent ACE inhibitory peptides from food proteins. (c) 2018 Society of
Chemical Industry.
PMID- 29369351
TI - The role of plant mycorrhizal type and status in modulating the relationship
between plant and arbuscular mycorrhizal fungal communities.
AB - Interactions between communities of plants and arbuscular mycorrhizal (AM) fungi
shape fundamental ecosystem properties. Experimental evidence suggests that
compositional changes in plant and AM fungal communities should be correlated,
but empirical data from natural ecosystems are scarce. We investigated the
dynamics of covariation between plant and AM fungal communities during three
stages of grassland succession, and the biotic and abiotic factors shaping these
dynamics. Plant communities were characterised using vegetation surveys. AM
fungal communities were characterised by 454-sequencing of the small subunit rRNA
gene and identification against the AM fungal reference database MaarjAM. AM
fungal abundance was estimated using neutral-lipid fatty acids (NLFAs).
Multivariate correlation analysis (Procrustes) revealed a significant
relationship between plant and AM fungal community composition. The strength of
plant-AM fungal correlation weakened during succession following cessation of
grassland management, reflecting changes in the proportion of plants exhibiting
different AM status. Plant-AM fungal correlation was strong when the abundance of
obligate AM plants was high, and declined as the proportion of facultative AM
plants increased. We conclude that the extent to which plants rely on AM
symbiosis can determine how tightly communities of plants and AM fungi are
interlinked, regulating community assembly of both symbiotic partners.
PMID- 29369352
TI - Monitoring Shelf Life of Pasteurized Whole Milk Under Refrigerated Storage
Conditions: Predictive Models for Quality Loss.
AB - : The shelf life of pasteurized milk is generally determined through
microbiological analysis. The objective of this study was to correlate microbial
quality parameters then to design predictive models for shelf life of pasteurized
milk. We analyzed pasteurized milk (3.9% fat) for aerobic plate counts (APCs),
psychrotrophic bacteria counts (PBCs), and Bacillus spp. counts at 5, 7, 10, 13,
15, and 19 (+/-1 degrees C) to the end of storage time. We also monitored
titratable acidity, pH, and, lipase, and protease activity and correlated this
with APC, which is the principal index defining shelf life. Results indicate that
the shelf life of pasteurized milk was 24, 36, and 72 h at 19, 15, and 13
degrees C respectively, as determined by APC and acidity indicators. However,
milk stored at lower temperatures of 5, 7, and 10 degrees C had longer shelf
life of 30, 24, and 12 d, respectively. A sharp increase in titratable acidity,
while decrease pH were observed when APCs reached 5.0 log10 CFU/mL at all storage
temperatures. Lipase and protease activities increased with storage temperature.
At 5 and 7 degrees C, however, protease activity was very low. Therefore, we
eliminated this parameter from our quality parameters as a potential spoilage
indicator. PRACTICAL APPLICATION: Findings of this research are useful for
monitoring the quality of commercial pasteurized milk, particularly in locations
where environmental conditions make longer storage difficult. The study also
provides valuable information for development of colorimetric shelf life
indicators.
PMID- 29369353
TI - Spin-coated epoxy resin embedding technique enables facile SEM/FIB thickness
determination of porous metal oxide ultra-thin films.
AB - A facile nonsubjective method was designed to measure porous nonconductive iron
oxide film thickness using a combination of a focused ion beam (FIB) and scanning
electron microscopy. Iron oxide films are inherently nonconductive and porous,
therefore the objective of this investigation was to optimize a methodology that
would increase the conductivity of the film to facilitate high resolution imaging
with a scanning electron microscopy and to preserve the porous nature of the film
that could potentially be damaged by the energy of the FIB. Sputter coating the
sample with a thin layer of iridium before creating the cross section with the
FIB decreased sample charging and drifting, but differentiating the iron layer
from the iridium coating with backscattered electron imaging was not definitive,
making accurate assumptions of the delineation between the two metals difficult.
Moreover, the porous nature of the film was lost due to beam damage following the
FIB process. A thin layer plastication technique was therefore used to embed the
porous film in epoxy resin that would provide support for the film during the FIB
process. However, the thickness of the resin created using conventional thin
layer plastication processing varied across the sample, making the measuring
process only possible in areas where the resin layer was at its thinnest. Such
variation required navigating the area for ideal milling areas, which increased
the subjectivity of the process. We present a method to create uniform thin resin
layers, of controlled thickness, that are ideal for quantifying the thickness of
porous nonconductive films with FIB/scanning electron microscopy.
PMID- 29369354
TI - The role of nitric oxide in the cardiopulmonary response to hypoxia in highland
and lowland newborn llamas.
AB - KEY POINTS: Perinatal hypoxia causes pulmonary hypertension in neonates,
including humans. However, in species adapted to hypoxia, such as the llama,
there is protection against pulmonary hypertension. Nitric oxide (NO) is a
vasodilatator with an established role in the cardiopulmonary system of many
species, but its function in the hypoxic pulmonary vasoconstrictor response in
the newborn llama is unknown. Therefore, we studied the role of NO in the
cardiopulmonary responses to acute hypoxia in high- and lowland newborn llamas.
We show that high- compared to lowland newborn llamas have a reduced pulmonary
vasoconstrictor response to acute hypoxia. Protection against excessive pulmonary
vasoconstriction in the highland llama is mediated via enhancement of NO
pathways, including increased MYPT1 and reduced ROCK expression as well as Ca2+
desensitization. Blunting of pulmonary hypertensive responses to hypoxia through
enhanced NO pathways may be an adaptive mechanism to withstand life at high
altitude in the newborn llama. ABSTRACT: Llamas are born in the Alto Andino with
protection against pulmonary hypertension. The physiology underlying protection
against pulmonary vasoconstrictor responses to acute hypoxia in highland species
is unknown. We determined the role of nitric oxide (NO) in the cardiopulmonary
responses to acute hypoxia in high- and lowland newborn llamas. The
cardiopulmonary function of newborn llamas born at low (580 m) or high altitude
(3600 m) was studied under acute hypoxia, with and without NO blockade. In
pulmonary arteries, we measured the reactivity to potassium and sodium
nitroprusside (SNP), and in lung we determined the content of cGMP and the
expression of the NO-related proteins: BKCa, PDE5, PSer92-PDE5, PKG-1, ROCK1 and
2, MYPT1, PSer695-MYPT1, PThr696-MYPT1, MLC20 and PSer19-MLC20. Pulmonary
vascular remodelling was evaluated by morphometry and based on alpha-actin
expression. High- compared to lowland newborn llamas showed lower in vivo
pulmonary arterial pressor responses to acute hypoxia. This protection involved
enhanced NO function, as NO blockade reverted the effect and the pulmonary
arterial dilatator response to SNP was significantly enhanced in highland
neonates. The pulmonary expression of ROCK2 and the phosphorylation of MLC20 were
lower in high-altitude llamas. Conversely, MYPT1 was up-regulated whilst PSer695
MYPT1 and PThr695-MYPT1 did not change. Enhanced NO-dependent mechanisms were
insufficient to prevent pulmonary arterial remodelling. Combined, the data
strongly support that in the highland newborn llama reduced ROCK, increased MYPT1
expression and Ca2+ desensitization in pulmonary tissue allow an enhanced NO
biology to limit hypoxic pulmonary constrictor responses. Blunting of hypoxic
pulmonary hypertensive responses may be an adaptive mechanism to life at high
altitude.
PMID- 29369355
TI - Recent advances in postharvest technology of the wine grape to improve the wine
aroma.
AB - Postharvest techniques are widely used for the handling and storage of fresh
horticultural crops. Some of these techniques are interesting for use with wine
grapes to improve the quality of wine. In this review, we consider the
postharvest techniques that are already commercially used in the wine sector and
others that may be significant in inducing or extracting the aroma from grapes to
produce high-quality wines. Precooling consists of rapidly lowering the grape
temperature, which allows the preservation/increase of volatile organic compounds
(VOCs). We also discuss sustainability. Partial dehydration consists of the
partial removal of water from grapes, and if a suitable environment is adopted it
can be used to produce and extract berry VOCs. As a solid, carbon dioxide is used
in wine processing for the rapid cooling of grapes and, as a gas, it is used for
carbonic maceration. Ozone has been used for sanitation purposes in wineries for
a long time, but more recently it has been used to produce wine without sulfite
addition and to increase the aromatic quality of wine grapes. Ethylene
application is not used commercially for wine grapes, but promising results in
terms of phenolic extraction and aromatic changes in grapes are discussed. A
comparison among the proposed techniques is reported in terms of grape aromatic
quality and process features. The proposed techniques could help a winemaker to
maintain or induce aromatic compounds in grape berries before the vinification
process. The choice depends on the desired wine and economic consistency. (c)
2018 Society of Chemical Industry.
PMID- 29369356
TI - Hypertonicity-induced cation channels in HepG2 cells: architecture and role in
proliferation vs. apoptosis.
AB - KEY POINTS: Na+ conducting hypertonicity-induced cation channels (HICCs) are key
players in the volume restoration of osmotically shrunken cells and, under
isotonic conditions, considered as mediators of proliferation - thereby opposing
apoptosis. In an siRNA screen of ion channels and transporters in HepG2 cells,
with the regulatory volume increase (RVI) as read-out, deltaENaC, TRPM2 and TRPM5
were identified as HICCs. Subsequently, all permutations of these channels were
tested in RVI and patch-clamp recordings and, at first sight, HICCs were found to
operate in an independent mode. However, there was synergy in the siRNA
perturbations of HICC currents. Accordingly, proximity ligation assays showed
that deltaENaC was located in proximity to TRPM2 and TRPM5 suggesting a physical
interaction. Furthermore, deltaENaC, TRPM2 and TRPM5 were identified as mediators
of HepG2 proliferation - their silencing enhanced apoptosis. Our study defines
the architecture of HICCs in human hepatocytes as well as their molecular
functions. ABSTRACT: Hypertonicity-induced cation channels (HICCs) are a
substantial element in the regulatory volume increase (RVI) of osmotically
shrunken cells. Under isotonic conditions, they are key effectors in the volume
gain preceding proliferation; HICC repression, in turn, significantly increases
apoptosis rates. Despite these fundamental roles of HICCs in cell physiology,
very little is known concerning the actual molecular architecture of these
channels. Here, an siRNA screening of putative ion channels and transporters was
performed, in HepG2 cells, with the velocity of RVI as the read-out; in this
first run, deltaENaC, TRPM2 and TRPM5 could be identified as HICCs. In the second
run, all permutations of these channels were tested in RVI and patch-clamp
recordings, with special emphasis on the non-additivity and additivity of siRNAs
which would indicate molecular interactions or independent ways of channel
functioning. At first sight, the HICCs in HepG2 cells appeared to operate rather
independently. However, a proximity ligation assay revealed that deltaENaC was
located in proximity to both TRPM2 and TRPM5. Furthermore, a clear synergy of
HICC current knock-downs (KDs) was observed. deltaENaC, TRPM2 and TRPM5 were
defined as mediators of HepG2 cell proliferation and their silencing increased
the rates of apoptosis. This study provides a molecular characterization of the
HICCs in human hepatocytes and of their role in RVI, cell proliferation and
apoptosis.
PMID- 29369357
TI - Development of an automated flow injection analysis system for determination of
phosphate in nutrient solutions.
AB - BACKGROUND: A fully automated flow injection analysis (FIA) system was developed
for determination of phosphate ion in nutrient solutions. This newly developed
FIA system is a portable, rapid and sensitive measuring instrument that allows on
line analysis and monitoring of phosphate ion concentration in nutrient
solutions. The molybdenum blue method, which is widely used in FIA phosphate
analysis, was adapted to the developed FIA system. The method is based on the
formation of ammonium Mo(VI) ion by reaction of ammonium molybdate with the
phosphate ion present in the medium. The Mo(VI) ion then reacts with ascorbic
acid and is reduced to the spectrometrically measurable Mo(V) ion. New software
specific for flow analysis was developed in the LabVIEW development environment
to control all the components of the FIA system. The important factors affecting
the analytical signal were identified as reagent flow rate, injection volume and
post-injection flow path length, and they were optimized using Box-Behnken
experimental design and response surface methodology. RESULTS: The optimum point
for the maximum analytical signal was calculated as 0.50 mL min-1 reagent flow
rate, 100 uL sample injection volume and 60 cm post-injection flow path length.
The proposed FIA system had a sampling frequency of 100 samples per hour over a
linear working range of 3-100 mg L-1 (R2 = 0.9995). The relative standard
deviation (RSD) was 1.09% and the limit of detection (LOD) was 0.34 mg L-1 .
CONCLUSION: Various nutrient solutions from a tomato-growing hydroponic
greenhouse were analyzed with the developed FIA system and the results were found
to be in good agreement with vanadomolybdate chemical method findings. (c) 2018
Society of Chemical Industry.
PMID- 29369358
TI - The association between weight gain during pregnancy and intertwin delivery
weight discordance using 2011-2015 birth registration data from the USA.
AB - OBJECTIVE: To assess the effect of weight gain during pregnancy on intertwin
delivery weight discordance. METHODS: In the present retrospective cohort study
using twin delivery records, data were extracted from the 2011-2015 USA birth
registration dataset created by the Centers for Disease Control and Prevention.
The outcome variable was delivery weight discordance. The nonlinear association
of weight gain during pregnancy with delivery weight discordance was examined
using a generalized additive model, adjusting for potential confounders. RESULTS:
A total of 255 627 twin pairs were included in this analysis. Weight gain during
pregnancy showed an inverse, yet nonlinear, association with intertwin delivery
weight discordance. Women with weight gain of approximately 25 kg exhibited the
lowest level of discordance. When stratified by pre-pregnancy body mass index,
the association of weight gain with discordance became insignificant among obese
women. When categorizing weight gain according to recommendations from the
Institute of Medicine, inadequate weight gain was associated with increased risk
of discordance among women of any pre-pregnancy body mass index. CONCLUSION: Twin
pregnancies with maternal weight gain of approximately 25 kg demonstrated the
lowest risk of developing intertwin delivery weight discordance, while inadequate
weight gain was a risk factor for delivery weight discordance in all pre
pregnancy body mass index categories.
PMID- 29369359
TI - Fruit flesh volatile and carotenoid profile analysis within the Cucumis melo L.
species reveals unexploited variability for future genetic breeding.
AB - BACKGROUND: Aroma profile and carotenoids content of melon flesh are two
important aspects influencing the quality of this fruit that have been
characterized using only selected genotypes. However, the extant variability of
the whole species remains unknown. RESULTS: A complete view of the
volatile/carotenoid profiles of melon flesh was obtained analyzing 71 accessions,
representing the whole diversity of the species. Gas chromatography-mass
spectrometry and high-performance liquid chromatography were used to analyze 200
volatile compounds and five carotenoids. Genotypes were classified into two main
clusters (high/low aroma), but with a large diversity of differential profiles
within each cluster, consistent with the ripening behavior, flesh color and
proposed evolutionary and breeding history of the different horticultural groups.
CONCLUSION: Our results highlight the huge amount of untapped aroma diversity of
melon germplasm, especially of non-commercial types. Also, landraces with high
nutritional value with regard to carotenoids have been identified. All this
knowledge will encourage melon breeding, facilitating the selection of the
genetic resources more appropriate to develop cultivars with new aromatic
profiles or to minimize the impact of breeding on melon quality. The newly
characterized sources provide the basis for further investigations into specific
genes/alleles contributing to melon flesh quality. (c) 2018 Society of Chemical
Industry.
PMID- 29369360
TI - Efficacy of Peracetic Acid in Inactivating Foodborne Pathogens on Fresh Produce
Surface.
AB - : Washing treatment with effective sanitizer is one of the critical steps in
ensuring fresh produce safety. This study was to evaluate the efficacy of
peracetic acid (PAA; VigorOx(r) 15 F&V), chlorine-based sanitizers (acidic
electrolyzed water [AEO], near neutral electrolyzed water and bleach), lactic
acid, and deionized (DI) water to reduce Escherichia coli O157:H7, Listeria
monocytogenes, and Salmonella Typhimurium DT104 from fresh produce surfaces. A 5
strain cocktail of E. coli O157:H7, L. monocytogenes, and S. Typhimurium DT104
was separately prepared and used for surface inoculation on produce samples (E.
coli O157:H7 on romaine lettuce, lemons, tomatoes, and blueberries; L.
monocytogenes on romaine lettuce and cantaloupe; S. Typhimurium DT104 on lemons,
tomatoes, cantaloupe, and blueberries). PAA at 45, 85, and 100 mg/L; AEO, NNEO,
and bleach at 100 mg/L of free chlorine; lactic acid at 2%; and DI water were
used for washing inoculated produce in an automated produce washer for 5 min. In
general, PAA at 100 mg/L achieved the highest microbial inactivation of E. coli
O157:H7 (lettuce, lemon, tomato, and blueberry at 2.2, 5.7, 5.5, and 6.7 log
CFU/g, respectively), S. Typhimurium DT104 (lemon, tomato, cantaloupe, blueberry
at 5.4, 6.8, 4.5, and 5.9 log CFU/g, respectively), and L. monocytogenes (lettuce
and cantaloupe at 2.4 and 4.4 log CFU/g, respectively). Efficacy of sanitizers on
produce with coarse surface (for example, lettuce and cantaloupe) was lower than
produce with smooth texture (lemon, tomato, and blueberry). Cross-contamination
of E. coli O157:H7 among romaine lettuce heads during simulated retail crisping
process was greatly reduced by the application of PAA and NNEO. PRACTICAL
APPLICATION: NNEO and PAA showed high efficacy in foodborne pathogen removal from
fresh produce. Produce surface texture plays an important role in pathogen
removal. NNEO and PAA effectively prevented cross-contamination during the
crisping process.
PMID- 29369361
TI - Influence of Food with High Moisture Content on Oxygen Barrier Property of
Polyvinyl Alcohol (PVA)/Vermiculite Nanocomposite Coated Multilayer Packaging
Film.
AB - : This study investigates the potential complications in applying nanoclay-based
waterborne coating to packaging films for food with high moisture content.
Multilayer packaging films were prepared by dry laminating commercially available
polyvinyl alcohol (PVA)/vermiculite nanocomposite coating films and linear low
density polyethylene film, and the changes in oxygen barrier properties were
investigated according to different relative humidity using 3 types of food
simulants. When the relative humidity was above 60%, the oxygen permeability
increased sharply, but this was reversible. Deionized water and 3% acetic acid
did not cause any large structural change in the PVA/vermiculite nanocomposite
but caused a reversible deterioration of the oxygen barrier properties. In
contrast, 50% ethanol, a simulant for the semifatty food, induced irreversible
structural changes with deterioration of the oxygen barrier property. These
changes are due to the characteristics of PVA rather than vermiculite. PRACTICAL
APPLICATION: We believe this manuscript would be of interest to the wide group of
researchers, organizations, and companies in the field of developing nanoclay
based gas barrier packaging for foods with high moisture content. Hence, we wish
to diffuse our knowledge to the scientific community.
PMID- 29369362
TI - Influence of Selected Saccharomyces and Schizosaccharomyces Strains and Their
Mixed Cultures on Chemical Composition of Apple Wines.
AB - : Currently in apple winemaking, pure cultures of Saccharomyces cerevisiae and S.
bayanus strains are mainly used. The aim of this study was to determine the
influence of Saccharomyces cerevisiae (Johannisberg Riesling - LOCK 105), S.
bayanus (DSMZ 3774), S. paradoxus (CBS 7302), and Schizosaccharomyces pombe (DSMZ
70576) applied in pure and mixed cultures on the chemical composition and sensory
profile of apple wines. Pasteurized Gloster apple musts with addition of sucrose
(up to 22 degrees Blg) were inoculated with specific volume (0.6 g dry weight per
liter) of yeast pure or mixed cultures (in a ratio of 1:1, 1:1:1, or 1:1:1:1) and
fermented for 28 d at 22 degrees C. The influence of pure/mixed culture on the
chemical composition, volatile profile, and sensory properties of apple wines was
determined using high-performance liquid chromatography (HPLC) and gas
chromatography (GC) methods. All pure culture of yeasts used for the apple wines
production are characterized by good enological profiles. S. bayanus and Sch.
pombe are the most distinct to S. cerevisiae. S. bayanus strain increases the
level of malic acid and carbonyl compounds in apple wines, whereas Sch. pombe
highly deacidifies it and produces the most of glycerol, esters, and acetic acid.
The wines obtained with these 2 species gained also, respectively, the best and
the worse notes during sensory analysis. Mixed cultures (in most cases) produce
greater amounts of ethanol, methanol, and volatile esters compared to pure
cultures. The presence of S. bayanus in the mixed culture is beneficial for the
quality of apple wines. PRACTICAL APPLICATION: Good understanding of the
properties of yeasts and the procedures for their selection will make it easier
to find strains that could improve the quality of wine. Since wine is formed by
the action of a number of species and strains of yeasts, many authors have
studied the effect of mixed cultures on the final quality of the product. Most of
this research was focused on the effect of the inoculation of Saccharomyces yeast
with other species on the aroma of wine. However, there is a little data on the
fermentation using simultaneous inoculation of different strains of S.
cerevisiae.
PMID- 29369363
TI - Comparison of Cinnamon Essential Oils from Leaf and Bark with Respect to
Antimicrobial Activity and Sensory Acceptability in Strawberry Shake.
AB - : Cinnamon leaf and bark essential oils have long been used as natural
preservatives and flavoring agents in foods. This study determined antimicrobial
effects of leaf and bark of cinnamon essential oils (CEOs) against 2 foodborne
pathogens, Salmonella Typhimurium (S.T.) and Listeria monocytogenes (L.m.), at 2
initial bacterial levels (4- and 9-log CFU/mL) in strawberry shakes. The
antimicrobial study of CEOs at 0.1% and 0.5% in strawberry shakes against S.T.
and L.M. showed a significant difference (P < 0.05) in log reductions of both
bacterial growth at low (4-log CFU/mL) and high (9-log CFU/mL) initial bacterial
levels. Addition of 0.5% CEOs into strawberry shakes at 4 degrees C completely
inhibited both bacteria after a period of 8 d storage. Shelf-life study showed
that acidity and total solid content were not affected during storage. The
strawberry shakes containing bark CEO had higher ratings of sensory acceptability
compared to leaf CEO, with or without the addition of 1% masking agent. In
conclusion, this study demonstrated that CEO derived from bark was better than
that from leaf in terms of their antimicrobial activity and sensory aspect.
PRACTICAL APPLICATION: This study demonstrates that essential oils derived from
cinnamon bark and leaf have the potential to be used as natural antimicrobial
ingredient in milk beverages with respect to sensory aspect. This finding
promotes the acceptance of natural antimicrobials among consumers, while
providing enhanced safer products to the food industry application.
PMID- 29369364
TI - Explorative analysis of IPA-SPECT data through statistical inference for an
automated diagnosis of glioma tumor.
AB - PURPOSE: The identification of a brain tumor imaged with PET or SPECT is usually
performed with visual inspection of an expert medical clinician. However an
automated diagnostic of such images hasn't been established or applied. In this
study, we explored the possibility of establishing an automated statistical
analysis for the diagnosis of glioma by means of IPA-SPECT data. METHODS: On the
basis of a dataset of 100 patients that have undergone MRI and IPA-SPECT
acquisition, in this work, we identify an automated workflow. Three different
approaches were explored: I. statistical non-parametric mapping analysis (SnPM),
II. statistical non-parametric analysis with an increased number of permutations
due to sign-flipping function (PALM) and III. statistical parametric analysis
(SPM). The automated methods were compared with the visual inspection. RESULTS:
The study proved PALM and SPM approaches to have a high diagnostic power.
Compared to the parametric methods, the non-parametric method is the
mathematically correct approach for the problem in question. If we take the high
resolution structural MRI information into account, the diagnostic power of PALM
was not significantly inferior to the visual inspection (P = 0.5150), showing an
area under the ROC curve (AUC) smaller only by less than 3%. CONCLUSIONS: The
automated diagnostic method based on statistical inference, here applied to
diagnose glioma tumors in IPA-SPECT data, seems to be a promising tool that can
support the visual investigation in nuclear medicine. Moreover in the foreseeable
future, the presented methodology has a big potential in various application like
localization of active tumor tissues in surgical resection or stereotactic
radiosurgery.
PMID- 29369365
TI - Spectroscopic identification of individual fluorophores using photoluminescence
excitation spectra.
AB - The identity of a fluorophore can be ambiguous if other fluorophores or
nonspecific fluorescent impurities have overlapping emission spectra. The
presence of overlapping spectra makes it difficult to differentiate fluorescent
species using discrete detection channels and unmixing of spectra. The unique
absorption and emission signatures of fluorophores provide an opportunity for
spectroscopic identification. However, absorption spectroscopy may be affected by
scattering, whereas fluorescence emission spectroscopy suffers from signal loss
by gratings or other dispersive optics. Photoluminescence excitation spectra,
where excitation is varied and emission is detected at a fixed wavelength, allows
hyperspectral imaging with a single emission filter for high signal-to-background
ratio without any moving optics on the emission side. We report a high throughput
method for measuring the photoluminescence excitation spectra of individual
fluorophores using a tunable supercontinuum laser and prism-type total internal
reflection fluorescence microscope. We used the system to measure and sort the
photoluminescence excitation spectra of individual Alexa dyes, fluorescent
nanodiamonds (FNDs), and fluorescent polystyrene beads. We used a Gaussian
mixture model with maximum likelihood estimation to objectively separate the
spectra. Finally, we spectroscopically identified different species of
fluorescent nanodiamonds with overlapping spectra and characterized the
heterogeneity of fluorescent nanodiamonds of varying size.
PMID- 29369366
TI - Electrophysiological characteristics and catheter ablation of symptomatic focal
premature atrial contractions originating from pulmonary veins and non-pulmonary
veins.
AB - BACKGROUND: We aimed to explore electrophysiological characteristics of premature
atrial contractions (PACs) originating from pulmonary veins (PVs) and non-PVs and
to evaluate the effectiveness and safety of catheter ablation for PACs.
HYPOTHESIS: Symptomatic PACs originated from different positions and whether
could be ablated. METHODS: Symptomatic, frequent, and drug-refractory PAC
patients were enrolled in this study. All patients underwent electrophysiological
study and catheter ablation. RESULTS: A total of 81 patients were enrolled: 45
patients with PACs originating from PVs (group A), 24 patients with PACs
originating from non-PVs (group B), and 12 patients with PACs arising from both
PVs and non-PVs (group C). Twenty (44.4%) patients in group A, 6 (50.0%) patients
in group C, and 3 (12.5%) patients in group B presented paroxysmal atrial
fibrillation (P < 0.05). PV isolation was performed in groups A and C. Focal
ablation or superior vena cava isolation was performed in groups B and C,
depending on patient condition. PACs were abolished in all patients except one
patient in group B. During a median follow-up period of 21.3 +/- 14.3 months, 40
(88.9%) patients in group A, 10 (83.3%) patients in group C, and 21 (87.5%)
patients in group B were free of recurrence after initial ablation. CONCLUSIONS:
Frequent PACs originating from PVs were associated with increased incidence of
atrial fibrillation compared with PACs originating from non-PVs. Catheter
ablation yields a satisfactory success rate and could be a good choice for
eliminating symptomatic, frequent, and drug-refractory PACs.
PMID- 29369367
TI - Development of a stiffness-angle law for simplifying the measurement of human
hair stiffness.
AB - OBJECTIVE: This research examines the benefits of caffeine absorption on hair
stiffness. To test hair stiffness, we have developed an evaluation method that is
not only accurate, but also inexpensive. Our evaluation method for measuring hair
stiffness culminated in a model, called the Stiffness-Angle Law, which describes
the elastic properties of hair and can be widely applied to the development of
hair care products. METHODS: Small molecules (<=500 g mol-1 ) such as caffeine
can be absorbed into hair. A common shampoo containing 4% caffeine was formulated
and applied to hair 10 times, after which the hair stiffness was measured. The
caffeine absorption of the treated hair was observed using Fourier-transform
infrared spectroscopy (FTIR) with a focal plane array (FPA) detector. Our
evaluation method for measuring hair stiffness consists of a regular camera and a
support for single strands of hair. After attaching the hair to the support, the
bending angle of the hair was observed with a camera and measured. Then, the hair
strand was weighed. The stiffness of the hair was calculated based on our
proposed Stiffness-Angle Law using three variables: angle, weight of hair and the
distance the hair was pulled across the support. RESULTS: The caffeine absorption
was confirmed by FTIR analysis. The concentration of amide bond in the hair
certainly increased due to caffeine absorption. After caffeine was absorbed into
the hair, the bending angle and weight of the hair changed. Applying these
measured changes to the Stiffness-Angle Law, it was confirmed that the hair
stiffness increased by 13.2% due to caffeine absorption. CONCLUSION: The
theoretical results using the Stiffness-Angle Law agree with the visual
examinations of hair exposed to caffeine and also the known results of hair
stiffness from a previous report. Our evaluation method combined with our
proposed Stiffness-Angle Law effectively provides an accurate and inexpensive
evaluation technique for measuring bending stiffness of human hair.
PMID- 29369368
TI - Khat chewing increases the risk for developing chronic liver disease: A hospital
based case-control study.
AB - : The chewing of the leaves of Catha edulis (khat) has been implicated in the
development of liver disease, but no controlled observations have been
undertaken. The objective of the present study was to determine whether khat
chewing is associated with development of chronic liver disease (CLD). A case
control study was conducted at two public hospitals in Harar, Ethiopia, between
April 2015 and April 2016. A consecutive sample of 150 adult hospital attendees
with CLD were included as cases, and 300 adult hospital attendees without
clinical or laboratory evidence of CLD were included as controls. Khat
consumption was quantified in "khat years"; 1 khat year was defined as daily use
of 200 g of fresh khat for 1 year. A logistic regression model was used to
control for confounders. There was a significant association between chewing khat
and the risk for developing CLD (crude odds ratio, 2.64; 95% confidence interval
[CI], 1.56-4.58). In men, this risk, following adjustment for age, alcohol use,
and chronic hepatitis B/C infection, increased with increasing khat exposure;
thus, compared to never users the adjusted odds ratios were for low khat exposure
3.58 (95% CI 1.05-12.21), moderate khat exposure 5.90 (95% CI 1.79-19.44), and
high khat exposure 13.03 (95% CI 3.61-47.02). The findings were robust in a post
hoc sensitivity analysis in which individuals with identifiable risk factors for
CLD were excluded. CONCLUSION: A significant association was observed between
chewing khat and the risk for developing CLD, and in men the association was
strong and dose-dependent, suggesting a causal relationship; as the prevalence of
khat chewing is increasing worldwide, these findings have major public health
implications. (Hepatology 2018;68:248-257).
PMID- 29369369
TI - Health behaviours and quality of life in independently living South Australians
aged 75 years or older.
AB - BACKGROUND: This study evaluated the associations between oral and general health
behaviours, self-reported health and quality of life of adults aged 75 years or
older living independently in South Australia. METHODS: A cross sectional study
based on a self-report mailed questionnaire was conducted in 590 independently
living adults aged 75 years or older. Self-ratings of oral health and general
health were assessed using single-item global ratings. Quality of life was
measured using the Oral Health Impact Profile and the EuroQol instrument for
health utility. RESULTS: The overall response rate was 78%. The current analyses
were restricted to 354 dentate older adults. Increasing age and being female were
negatively associated with EuroQol scores. Good self-rated oral and general
health were more prevalent in participants with higher social status who also had
lower oral health impact and higher EuroQol scores. Good self-rated oral and
general health were less prevalent while oral health impact was greater in
participants who ate few fruits, vegetables or dairy products. CONCLUSIONS: Self
rated health and quality of life were poor in older adults with inadequate
fruit/vegetables/dairy intake and lower social status. These findings suggest
that nutrition and socioeconomic factors may be important to the oral and general
health of adults aged 75 years or older.
PMID- 29369370
TI - Evaluation of QT dispersion and Tp-e interval in children with subclinical
hypothyroidism.
AB - BACKGROUND: Studies on adults have shown increased dispersion of QT and corrected
QT (QTc), peak-to-end interval of the T wave (Tp-e), Tp-e/QT ratio, and Tp-e/QTc
ratio in subclinical hypothyroidism (SH), but there have been no pediatric
studies. MATERIALS AND METHODS: A total of 40 SH patients were compared with 40
healthy children in respect to serum thyroid-stimulating hormone (TSH), serum
free level of triiodothyronine, and free level of thyroxine (fT4). SH diagnosis
was accepted as TSH above the laboratory accepted upper limit (>4.2 mU/L) and
normal fT4 values. The patient and control group data were compared by
calculating the QT interval, QTc, QT dispersion (QTd), QTc dispersion (QTcd), Tp
e, Tp-e/QT ratio, and Tp-e/QTc ratio on 12-lead surface electrocardiogram.
RESULTS: The mean age was 7.91 +/- 3.6 years in the SH group and 8.8 +/- 2.4
years in the control group. In the SH group, the minimum QT (QTmin) was
determined to be statistically significantly lower (P < 0.001) and maximum QT
(QTmax), QTd, QTcd, Tp-e, Tp-e/QT ratio, and Tp-e/QTc ratio were statistically
significantly higher (P = 0.028, P < 0.001, P = 0.003, P < 0.001, P = 0.001, P <
0.001, respectively). A positive correlation was determined between TSH and QTmax
(r: +0.331, P = 0.037). CONCLUSIONS: The current study is the first to have shown
significantly increased QTd, QTcd, Tp-e, Tp-e/QT ratio, and Tp-e/QTc ratio in
children diagnosed with SH. A positive correlation was determined between TSH and
maximum QT values, Tp-e, Tp-e/QT ratio, and Tp-e/QTc ratio. These results suggest
the need to further assess the long-term risks of prolonged QT dispersion in the
setting of subclinical hypothyroidism.
PMID- 29369371
TI - Clinical outcomes after upgrading from pacemakers to cardiac resynchronization
therapy.
AB - BACKGROUND AND AIMS: Right ventricular pacing may lead to heart failure (HF).
Upgrades from pacemakers to cardiac resynchronization therapy (CRT) were excluded
from most randomized, controlled trials. We sought to determine the long-term
outcomes of upgrading from pacemakers to CRT with (CRT-D) or without (CRT-P)
defibrillation in patients with no history of sustained ventricular arrhythmias.
METHODS AND RESULTS: In this observational study, clinical events were quantified
in relation to the type of implant (de novo or upgrade) and device type at
upgrade (CRT-P or CRT-D). Patients underwent CRT implantation (n = 1,545; 1,314
[85%] de novo implants and 231 [15%] upgrades) over a median of 4.6 years
[interquartile range: 2.4-7.0]. In analyses of crude event rates, upgrades had a
higher total mortality (adjusted hazard ratio [aHR]: 1.33; 95% confidence
interval [CI] 0.10-1.61), a higher total mortality or HF hospitalization (aHR:
1.26; 95% CI 1.05-1.51), but similar mortality or hospitalization for major
adverse cardiac events (MACEs, aHR: 1.15; 95% CI 0.96-1.38). No group differences
emerged in any of these endpoints after propensity score matching. After inverse
probability weighting in upgrades, total mortality (HR: 0.55; 95% CI 0.36-0.73),
total mortality or HF hospitalization (HR: 0.56; 95% CI 0.34-0.79), and total
mortality or hospitalization for MACEs (HR: 0.61; 95% CI 0.40-0.82) were lower
after CRT-D than after CRT-P. CONCLUSION: Upgrading from pacemakers to CRT was
associated with a similar long-term risk of mortality and morbidity to de novo
CRT. After upgrade, CRT-D was associated with a lower mortality than CRT-P.
PMID- 29369372
TI - Effects of the dopamine D3 receptor agonist 7-hydroxy-2-(di-N-propylamino)
tetralin in hyperthyroidism-induced premature ejaculation rat model.
AB - Various factors are involved in the aetiology of premature ejaculation (PE).
Hyperthyroidism is one of the causes of acquired PE, but the exact mechanism by
which it causes the disorder is not yet understood. The aim of this study was to
evaluate the role of the dopaminergic system in hyperthyroidism-induced PE by the
intracerebroventricular microinjection of the preferentially active dopamine
receptor agonist 7-hydroxy-2-(di-N-propylamino) tetralin (7-OH-DPAT) in a rat
model of this disorder. Wistar rats were randomly divided into hyperthyroid and
control groups, and ejaculation was induced by the ICV administration of 7-OH
DPAT. To evaluate the emission and expulsion phases of ejaculation, measurements
of seminal vesicle pressure (SVP) and electromyographic recordings of the
bulbospongiosus muscle were taken. The interval between the 7-OH-DPAT
administration and the first ejaculation was significantly less in the
hyperthyroid group (p < .01) than in the control group, and the maximum amplitude
of the SVP values revealed a statistically significant difference between the
groups (p < .01). The intervals between contractions of the seminal vesicle and
bulbospongiosus muscles were also significantly less in the hyperthyroid group (p
= .0187) than in the control group. No other results differed significantly
between the groups. This study determined that hyperthyroidism altered only the
emission phase of ejaculation.
PMID- 29369373
TI - Preclinical approaches to assess potential kinase inhibitor-induced cardiac
toxicity: Past, present and future.
AB - Over a decade ago, use of tyrosine kinase inhibitors (TKIs) for the treatment of
malignancies was found to cause left ventricular dysfunction, a finding that was
unexpected and not well predicted by standard preclinical studies. Subsequently,
several preclinical approaches were proposed to address this issue. Over the last
5 years, several approaches for preclinical evaluation of cardiac function using
isolated perfused hearts, engineered heart tissue and human-induced pluripotent
stem cell-derived cardiac myocytes have been shown to be relatively predictive of
the cardiotoxic potential of TKIs. Further, preclinical studies submitted for
regulatory review for recently approved KIs have demonstrated various forms of KI
induced cardiotoxicity. Thus, early identification and assessment of
cardiotoxicity in the preclinical setting is now possible. Given that kinases are
involved in diverse cellular processes common to both normal and tumor cells, KI
induced toxicity, particularly in the heart, appears difficult to avoid. To
develop drugs with fewer adverse effects, better efficacy and safety assessments,
such as pharmacological separation of targets for cancer from heart, and/or wider
separation of the drug concentrations for antitumor activity from cardiac
toxicity, may be helpful. Additional preclinical approaches for assessing drug
efficacy and toxicity in parallel may include use of animal cancer models and a
3D integrated in vitro model of perfused tumor and heart tissues. Minimizing and
predicting potential KI-induced cardiotoxicity is still an important regulatory
challenge, and better preclinical approaches may help achieve this goal.
PMID- 29369374
TI - Fragile lives with fragile rights: Justice for babies born at the limit of
viability.
AB - There is an inconsistency in the ways that doctors make clinical decisions
regarding the treatment of babies born extremely prematurely. Many experts now
recommend that clinical decisions about the treatment of such babies be
individualized and consider many different factors. Nevertheless, many policies
and practices throughout Europe and North America still appear to base decisions
on gestational age alone or on gestational age as the primary factor that
determines whether doctors recommend or even offer life-sustaining neonatal
intensive care treatment. These policies are well intentioned. They aim to guide
doctors and parents to make decisions that are best for the baby. That is an
ethically appropriate goal. But in relying so heavily on gestational age, such
policies may actually do the babies a disservice by denying some babies treatment
that might be beneficial and lead to intact survival. In this paper, we argue
that such policies are unjust to premature babies and ought to be abolished. In
their place, we propose individualized treatment decisions for premature babies.
This would treat premature babies as we treat all other patients, with clinical
decisions based on an individualized estimation of likelihood that treatment
would be beneficial.
PMID- 29369375
TI - Safety and efficacy of second-generation drug-eluting stents compared with bare
metal stents: An updated meta-analysis and regression of 9 randomized clinical
trials.
AB - The efficacy of second-generation drug-eluting stents (DES; eg, everolimus and
zotarolimus) compared with bare-metal stents (BMS) in patients undergoing
percutaneous coronary intervention was challenged recently by new evidence from
large clinical trials. Thus, we aimed to conduct an updated systematic review and
meta-analysis of randomized clinical trials (RCTs) evaluating the efficacy and
safety of second-generation DES compared with BMS. Electronic databases were
systematically searched for all RCTs comparing second-generation DES with BMS and
reporting clinical outcomes. The primary efficacy outcome was major adverse
cardiac events (MACE); the primary safety outcome was definite stent thrombosis.
The DerSimonian and Laird method was used for estimation of summary risk ratios
(RR). A total of 9 trials involving 17 682 patients were included in the final
analysis. Compared with BMS, second-generation DES were associated with decreased
incidence of MACE (RR: 0.78, 95% confidence interval [CI]: 0.69-0.88), driven by
the decreased incidence of myocardial infarction (MI) (RR: 0.67, 95% CI: 0.48
0.95), target-lesion revascularization (RR: 0.47, 95% CI: 0.42-0.53), definite
stent thrombosis (RR: 0.57, 95% CI: 0.41-0.78), and definite/probable stent
thrombosis (RR: 0.54, 95% CI: 0.38-0.80). The incidence of all-cause mortality
was similar between groups (RR: 0.94, 95% CI: 0.79-1.10). Meta-regression showed
lower incidences of MI with DES implantation in elderly and diabetic patients (P
= 0.026 and P < 0.0001, respectively). Compared with BMS, second-generation DES
appear to be associated with a lower incidence of MACE, mainly driven by lower
rates of target-lesion revascularization, MI, and stent thrombosis. However, all
cause mortality appears similar between groups.
PMID- 29369376
TI - Influencing relatives to respect donor autonomy: Should we nudge families to
consent to organ donation?
AB - Refusing consent to organ donation remains unacceptably high, and improving
consent rates from family or next-of-kin is an important step to procuring more
organs for solid organ transplantation in countries where this approval is
sought. We have thus far failed to translate fully our limited understanding of
why families refuse permission into successful strategies targeting consent in
the setting of deceased organ donation, primarily because our interventions fail
to target underlying cognitive obstacles. Novel interventions to overcome these
hurdles, incorporating an understanding of cognitive psychology and behavioral
change therapy, may be beneficial. One potential intervention is to use the
concept of nudge theory, where decision-making is influenced by encouraging
positive reinforcement and indirect suggestion. Purposefully nudging families to
given consent for organ donation by understanding, and then overcoming, their
inherent cognitive biases is novel but also controversial. This article explores
the roles of relatives in decisions about organ donation, how nudge theory
translates to organ donation and discusses the arguments for and against its
application.
PMID- 29369377
TI - Relational autonomy, care, and Jehovah's Witnesses in Germany.
AB - Drawing from an ethics of care, relational approaches to autonomy have recently
emerged in bioethics. Unlike individual autonomy with its emphasis on patients'
rights, choice, and self-determination which has been the hallmark of bioethics
consistent with the ideology of individualism in neoliberal democracies in
Western countries, relational autonomy highlights the relatedness,
interdependency, and social embeddedness of patients. By examining the mediating
role that male Hospital Liaison Committee members in Germany play in facilitating
care that supports Jehovah's Witnesses' refusal of blood transfusions, this
article moves beyond 'dyadic relations' and contexts of caregiving. It also
contributes to a deeper understanding of care and relational conceptualizations
of Witness patients' autonomy globally.
PMID- 29369378
TI - Heat transfer analysis and resolution quantification of active dynamic
thermography through human skin.
AB - OBJECTIVES: Active dynamic thermography (ADT) is a non-contact imaging technique
that characterizes non-homogeneities in thermal conductance through objects as a
response to applied energy stimulus. The aim of this study was to (i) develop a
heat transfer model to define the relationship between thermal stimulation and
resolution and (ii) empirically quantify the resolution an ADT imaging system can
detect through a range of depths of human skin. MATERIALS AND METHODS: A heat
transfer model was developed to describe a thermally non-conductive object below
a sheet of skin. The size and depth of the object were varied to simulate wound
conditions, while the intensity and duration of thermal stimulation were varied
to define stimulation parameters. The model was solved by numerical analysis. For
ex vivo experimentation, freshly excised human pannus tissue was cut into sheets
of thickness 2.54-6.35 * 10-4 m (0.010-0.025vinches) for a total of 48 grafts
from 12 patients. Grafts were placed over a 3D printed resolution target with
objects ranging from 0.445-0.125 LP/mm. Stimulation from a 300 W halogen lamp
array was applied for 0.5-14 seconds for a total of 480 experiments. RESULTS: ADT
resolved a peak of 0.428 +/- 0.025 LP/mm for 2.54 * 10-4 m (0.010 inches) skin
thickness, 0.384 +/- 0.030 LP/mm for 3.81 * 10-4 m (0.015 inches), 0.325 +/-
0.042 LP/mm for 5.08 * 10-4 m (0.020 inches) and 0.249 +/- 0.057 LP/mm for 6.35
* 10-4 m (0.025 inches) skin thickness. Additionally, it was determined that the
ideal duration of stimulation energy with a 300 W stimulation system was 4
seconds for 2.54 * 10-4 m, 6 seconds for 3.81 * 10-4 m, 8 seconds for 5.08 * 10
4 m, and 14 seconds for 6.35 * 10-4 m skin thickness. CONCLUSIONS: This study
has characterized the correlation between thermal stimulus input and resolvable
object size and depth for ADT. Through ex vivo experimentation it has also
quantified the functional imaging depth to below the sub-cutis, beyond that of
conventional imaging techniques. Lasers Surg. Med. (c) 2018 Wiley Periodicals,
Inc.
PMID- 29369379
TI - Melanoma in the shopping mall: A utilitarian argument for offering unsolicited
medical opinions in informal settings.
AB - Doctors occasionally make diagnoses in strangers outside of formal medical
settings by using the medical skill of visual inspection, such as noticing signs
of melanoma or the symptoms of hyperthyroidism. This may cause considerable moral
unease and doubts on the side of the diagnosing physician. Such encounters force
physicians to consider whether or not to intervene by introducing themselves to
the stranger and offering an unsolicited medical opinion despite the absence of a
formal doctor-patient relationship. A small body of literature has addressed the
topic of the unsolicited medical opinion, often with a primary focus on practical
advice. This article seeks to establish an ethical-theoretical basis for
physicians' ethical obligation to offer an unsolicited medical opinion when they
make a diagnosis by visual inspection in a stranger outside of the formal medical
context. Using a utilitarian approach, it is argued that, if it is in the
physicians' power to prevent a possible loss of well-being, without thereby
sacrificing anything of equal value, physicians have an ethical obligation to
intervene.
PMID- 29369380
TI - Mothers' informational needs when deciding to have their newborn infant
vaccinated with BCG. A Mixed-methods design.
AB - OBJECTIVE: To explore the informational needs of mothers with different levels of
education in order to improve counselling about vaccination. METHODS: In the
setting of a large vaccination trial, mothers' assessments and yield of written
information in combination with telephone consultations were evaluated in a
survey. Furthermore, searching strategies for additional information were
investigated. Mothers' perspectives on informational needs were explored in focus
group discussions. RESULTS: Out of 2025 mothers, 95% felt well-informed. Of the
4% not feeling well-informed, there were significantly more mothers with basic
schooling and nontheoretical education. There was no correlation between
searching for additional information and feeling well-informed. The telephone
consultation was found to be very supportive for the decision. CONCLUSION: The
written information was digestible over time. The telephone consultation ensured
the mothers' understanding by tailoring and deriving meaning from the information
to her special needs. Moreover, it helped the mothers gain an overview of risks
and benefits and inspired confidence. These findings indicate that the telephone
consultation improved health literacy. PRACTICE IMPLICATIONS: Individual
counselling about vaccines is required in addition to information about side
effects and accurate instructions on how to react upon them.
PMID- 29369381
TI - Why arguments against infanticide remain convincing: A reply to Rasanen.
AB - In 'Pro-life arguments against infanticide and why they are not convincing' Joona
Rasanen argues that Christopher Kaczor's objections to Giubilini and Minerva's
position on infanticide are not persuasive. We argue that Rasanen's criticism is
largely misplaced, and that he has not engaged with Kaczor's strongest arguments
against infanticide. We reply to each of Rasanen's criticisms, drawing on the
full range of Kaczor's arguments, as well as adding some of our own.
PMID- 29369382
TI - Rituximab in myasthenia gravis: a "to be or not to be" inhibitor of T cell
function.
AB - In recent years, rituximab (RTX), a monoclonal antibody that binds the B
lymphocyte membrane protein CD20, has been increasingly used for the treatment of
autoimmune diseases, with the rationale of destroying pathogenic B lymphocytes
and decreasing autoantibody formation. Surprisingly, RTX has also proven
effective in predominantly T cell-mediated diseases, raising the question whether
additional mechanisms may play roles in determining the therapeutic response.
Here, we review the current literature on the effects of RTX in autoimmune
diseases, with special emphasis on myasthenia gravis (MG). To elicit a complete
and effective immune response, B and T lymphocytes cooperate in a loop in which
they affect each other. Disruption of this cross talk has profound effects on the
immune system. RTX is likely to affect the whole spectrum of B cell function,
including antigen presentation, cytokine production, and T cell stimulation. In
addition, as a small subset of T lymphocytes expresses CD20, its direct targeting
by RTX may contribute to the therapeutic effect. Owing to its distinctive immune
characteristics, MG proved to be a useful model to investigate the multifaceted
implications of B cell depletion.
PMID- 29369383
TI - Effective altruists ought to be allowed to sell their kidneys.
AB - Effective altruists aim to do the most good that they can do with the resources
available to them, without causing themselves or their dependents significant
harm thereby. The argument presented in this paper demonstrates that there are no
morally relevant dissimilarities between living kidney donation and living kidney
selling for effective altruistic reasons. Thus, since the former is allowed, the
latter ought to be allowed as well. And, there are important moral differences
between living kidney selling for effective altruistic reasons and other reasons
for kidney vending (e.g., for personal financial gain), such that standard
objections against markets in human kidneys do not attach to those markets
designed around principles of effective altruism. The reasonable conclusion to
draw from this is that eligible effective altruist kidney donors ought to be
allowed to sell (one of) their kidneys to others in need, if they so desire.
Because of this, law and policy ought to be changed to allow for this exceptional
case: current laws that ban kidney selling for everyone, irrespective of their
reason for selling, are unjustified.
PMID- 29369384
TI - Ecological causes and consequences of flower color polymorphism in a self
pollinating plant (Boechera stricta).
AB - Intraspecific variation in flower color is often attributed to pollinator
mediated selection, yet this mechanism cannot explain flower color polymorphisms
in self-pollinating species. Indirect selection mediated via biotic and abiotic
stresses could maintain flower color variation in these systems. The selfing
forb, Boechera stricta, typically displays white flowers, but some individuals
produce purple flowers. We quantified environmental correlates of flower color in
natural populations. To disentangle plasticity from genotypic variation, we
performed a multiyear field experiment in five gardens. In controlled conditions,
we evaluated herbivore preferences and the effects of drought stress and soil pH
on flower color expression. In natural populations, purple-flowered individuals
experienced lower foliar herbivory than did their white-flowered counterparts.
This pattern also held in the common gardens. Additionally, low-elevation
environments induced pigmented flowers (plasticity), and the likelihood of floral
pigmentation decreased with source elevation of maternal families (genetic
cline). Viability selection favored families with pigmented flowers. In the
laboratory, herbivores exerted greater damage on tissue derived from white- vs
purple-flowered individuals. Furthermore, drought induced pigmentation in white
flowered lineages, and white-flowered plants had a fecundity advantage in the
well-watered control. Flower color variation in selfing species is probably
maintained by herbivory, drought stress, and other abiotic factors that vary
spatially.
PMID- 29369385
TI - Maximization of regional probabilities using Optimal Surface Graphs: Application
to carotid artery segmentation in MRI.
AB - PURPOSE: We present a segmentation method that maximizes regional probabilities
enclosed by coupled surfaces using an Optimal Surface Graph (OSG) cut approach.
This OSG cut determines the globally optimal solution given a graph constructed
around an initial surface. While most methods for vessel wall segmentation only
use edge information, we show that maximizing regional probabilities using an OSG
improves the segmentation results. We applied this to automatically segment the
vessel wall of the carotid artery in magnetic resonance images. METHODS: First,
voxel-wise regional probability maps were obtained using a Support Vector Machine
classifier trained on local image features. Then, the OSG segments the regions
which maximizes the regional probabilities considering smoothness and topological
constraints. RESULTS: The method was evaluated on 49 carotid arteries from 30
subjects. The proposed method shows good accuracy with a Dice wall overlap of
74.1 +/- 4.3%, and significantly outperforms a published method based on an OSG
using only surface information, the obtained segmentations using voxel-wise
classification alone, and another published artery wall segmentation method based
on a deformable surface model. Intraclass correlations (ICC) with manually
measured lumen and wall volumes were similar to those obtained between observers.
Finally, we show a good reproducibility of the method with ICC = 0.86 between the
volumes measured in scans repeated within a short time interval. CONCLUSIONS: In
this work, a new segmentation method that uses both an OSG and regional
probabilities is presented. The method shows good segmentations of the carotid
artery in MRI and outperformed another segmentation method that uses OSG and edge
information and the voxel-wise segmentation using the probability maps.
PMID- 29369386
TI - Epigenetic Modifications of Cytosine: Biophysical Properties, Regulation, and
Function in Mammalian DNA.
AB - To decode the function and molecular recognition of several recently discovered
cytosine derivatives in the human genome - 5-hydroxymethylcytosine, 5
formylcytosine, and 5-carboxylcytosine - a detailed understanding of their
effects on the structural, chemical, and biophysical properties of DNA is
essential. Here, we review recent literature in this area, with particular
emphasis on features that have been proposed to enable the specific recognition
of modified cytosine bases by DNA-binding proteins. These include electronic
factors, modulation of base-pair stability, flexibility, and radical changes in
duplex conformation. We explore these proposals and assess whether or not they
are supported by current biophysical data. This analysis is focused primarily on
the properties of epigenetically modified DNA itself, which provides a basis for
discussion of the mechanisms of recognition by different proteins.
PMID- 29369387
TI - Phenotype and natural history of elderly onset inflammatory bowel disease: a
multicentre, case-control study.
AB - BACKGROUND: Onset during old age has been reported in upto 10% of total cases of
inflammatory bowel disease (IBD). AIM: To evaluate phenotypic characteristics and
the use of therapeutic resources in patients with elderly onset IBD. METHODS:
Case-control study including all those patients diagnosed with IBD over the age
of 60 years since 2000 who were followed-up for >12 months, identified from the
IBD databases. Elderly onset cases were compared with IBD patients aged 18 to 40
years at diagnosis, matched by year of diagnosis, gender and type of IBD (adult
onset). RESULTS: One thousand three hundred and seventy-four elderly onset and
1374 adult-onset cases were included (62% ulcerative colitis (UC), 38% Crohn's
disease (CD)). Among UC patients, elderly onset cases had a lower proportion of
extensive disease (33% vs 39%; P < 0.0001). In CD, elderly onset cases showed an
increased rate of stenosing pattern (24% vs 13%; P < 0.0001) and exclusive
colonic location (28% vs 16%; P < 0.0001), whereas penetrating pattern (12% vs
19%; P < 0.0001) was significantly less frequent. Regarding the use of
therapeutic resources, there was a significantly lower use of corticosteroids (P
< 0.0001), immunosuppressants (P < 0.0001) and anti-TNFs agents (P < 0.0001) in
elderly onset cases. Regarding surgery, we found a significantly higher surgery
rate among elderly onset UC cases (8.3% vs 5.1%; P < 0.009). Finally, elderly
onset cases were characterised by a higher rate of hospitalisations (66% vs 49%;
P < 0.0001) and neoplasms (14% vs 0.5%; P < 0.0001). CONCLUSIONS: Elderly onset
IBD shows specific characteristics and they are managed differently, with a lower
use of immunosuppressants and a higher rate of surgery in UC.
PMID- 29369388
TI - Targeted metabolomic analysis of 33 amino acids and biogenic amines in human
urine by ion-pairing HPLC-MS/MS: Biomarkers for tacrolimus nephrotoxicity after
renal transplantation.
AB - Calcineurin inhibitor nephrotoxicity, especially for the widely used tacrolimus,
has become a major concern in post-transplant immunosuppression. Multiparametric
amino acid metabolomics is useful for biomarker identification of tacrolimus
nephrotoxicity, for which specific quantitative methods are highlighted as a
premise. This article presents a targeted metabolomic assay to quantify 33 amino
acids and biogenic amines in human urine by high-performance liquid
chromatography coupled with tandem mass spectrometry. Chromatographic separation
was carried out on an Agilent Zorbax SB-C18 column (3.0 * 150 mm, 5 MUm) with
addition of an ion-pairing agent in the mobile phase, and MS/MS detection was
achieved in both the positive and negative multiple reaction monitoring modes.
Good correlation coefficients (r2 > 0.98) were obtained for most analytes. Intra-
and inter-day precision, stability, carryover and incurred sample reanalysis met
with the acceptance criteria of the guidance of the US Food and Drug
Administration. Analysis on urine from healthy volunteers and renal
transplantation patients with tacrolimus nephrotoxicity confirmed symmetric
dimethylarginine and serine as biomarkers for kidney injury, with AUC values of
0.95 and 0.81 in receiver operating characteristic analysis, respectively.
Additionally, symmetric dimethylarginine exhibited a tight correlation with serum
creatinine, and was therefore indicative of renal function. The targeted
metabolomic assay was time and cost prohibitive for amino acid analysis in human
urine, facilitating the biomarker identification of tacrolimus nephrotoxicity.
PMID- 29369389
TI - The future-like-ours argument, animalism, and mereological universalism.
AB - Which metaphysical theories are involved-whether presupposed or implied-in
Marquis' future-like-ours (FLO) argument against abortion? Vogelstein has
recently argued that the supporter of the FLO argument faces a problematic
dilemma; in particular, Marquis, the main supporter of the argument, seems to
have to either (a) abandon diachronic universalism (DU) or (b) acquiesce and
declare that contraception is morally wrong. I argue that the premises of
Marquis' argument can be reasonably combined with a form of unrestricted
composition and that the FLO argument is better viewed as including animalism,
i.e., the thesis that we are animals.
PMID- 29369390
TI - CDX2 and LEF-1 expression in pilomatrical tumors and their utility in the
diagnosis of pilomatrical carcinoma.
AB - BACKGROUND: The Wnt signaling pathway has been implicated in the pathogenesis of
pilomatrical tumors. Lymphoid enhancer-binding factor 1 (LEF-1) is a downstream
component of this pathway, and Caudal-related homeobox transcription factor 2
(CDX2) has been postulated to regulate it, but little is known about expression
of these transcription factors in pilomatrical tumors. METHODS:
Immunohistochemistry for CDX2, beta-catenin, LEF-1, CK19, CK5, Special AT-rich
sequence- binding protein 2 (SATB2), cadherin 17 and androgen receptor was
performed on pilomatricomas (PMs) (N = 12), pilomatrical carcinomas (PMCAs) (N =
12) and non-pilomatrical cutaneous tumors (N = 18). RESULTS: PMs and PMCAs were
positive for CDX2 (9/12 PMs, sensitivity = 75%, specificity = 100%; 11/12 PMCAs,
sensitivity = 92%, specificity = 100%; P < 0.01), beta-catenin (12/12 PMs,
sensitivity = 100%, specificity = 94%; 10/12 PMCAs, sensitivity = 83%,
specificity = 94%; P < 0.01) and LEF-1 (12/12 PMs, sensitivity = 100%,
specificity = 56%; 12/12 PMCAs, sensitivity = 100%, specificity = 56%; P < 0.01).
CDX2 expression was commonly focal, within a discrete subpopulation of squamoid
cells. The LEF-1 expression pattern was different and discernable between
pilomatrical tumors (strong, diffuse) and non-pilomatrical tumors (weak, patchy).
CONCLUSION: This study reaffirms the importance of the Wnt signaling pathway in
the tumorigenesis of pilomatrical tumors, and this introduces CDX2 as a possible
regulator and marker of pilomatrical tumorigenesis. LEF-1 and CDX2 performed at
least as well as beta-catenin, if not better when taking into account expression
pattern, as a diagnostic marker for PMCA, and should be considered in the workup
of ambiguous primitive-appearing cutaneous tumors.
PMID- 29369391
TI - Review: Somatic mutations in neurodegeneration.
AB - Somatic mutations are postzygotic mutations which may lead to mosaicism, the
presence of cells with genetic differences in an organism. Their role in cancer
is well established, but detailed investigation in health and other diseases has
only been recently possible. This has been empowered by the improvements of
sequencing techniques, including single-cell sequencing, which can still be error
prone but is rapidly improving. Mosaicism appears relatively common in the human
body, including the normal brain, probably arising in early development, but also
potentially during ageing. In this review, we first discuss theoretical
considerations and current evidence relevant to somatic mutations in the brain.
We present a framework to explain how they may be integrated with current views
on neurodegeneration, focusing mainly on sporadic late-onset neurodegenerative
diseases (Parkinson's disease, Alzheimer's disease and amyotrophic lateral
sclerosis). We review the relevant studies so far, with the first evidence
emerging in Alzheimer's in particular. We also discuss the role of mosaicism in
inherited neurodegenerative disorders, particularly somatic instability of tandem
repeats. We summarize existing views and data to present a model whereby the time
of origin and spatial distribution of relevant somatic mutations, combined with
any additional risk factors, may partly determine the development and onset age
of sporadic neurodegenerative diseases.
PMID- 29369392
TI - Cutaneous intravascular CD30+ T-cell pseudolymphoma occurring in a regressing
keratoacanthoma.
AB - Cutaneous intravascular CD30+ pseudolymphoma is an uncommon incidental finding
that may mimic intravascular or angiotropic lymphoma. We describe a 78-year-old
female with a traumatized regressing keratoacanthoma on her left cheek. A shave
biopsy revealed intravascular staining of atypical lymphocytes positive for CD45,
CD3 and CD30. Clinical exam revealed no other evidence of lymphoma, the patient
denied constitutional symptoms, and routine blood work was normal. The patient is
healthy and doing well 28 months after her first visit. CD30+ pseudolymphoma
should be distinguished from malignant intravascular lymphoproliferative
disorders.
PMID- 29369393
TI - Case of psoriatic arthritis mutilans whose finger dysfunction was successfully
ameliorated by surgical intervention during infliximab treatment.
PMID- 29369395
TI - Case with anaphylactic shock induced by heparin-lock flush injection.
PMID- 29369396
TI - Current developments in phototherapy for psoriasis.
AB - Phototherapy utilizes the beneficial effects of ultraviolet (UV) wavelengths to
affect immunoregulatory functions. UV light phototherapy using narrowband UV-B
(NB-UVB) and bath-psoralen UV-A (bath-PUVA) therapy are well-established
treatments for psoriasis. Dual-action mechanisms of UV phototherapy have been
identified: apoptosis and immune suppression. NB-UVB depletes pathogenic T cells
by inducing apoptosis and regulatory T cells. Other wavelengths are also utilized
for phototherapy, namely 308-nm excimer light and 312-nm flat-typed NB-UVB.
Excimer light (308-nm) therapy effectively targets the affected skin without
unduly exposing other areas and increases the levels of regulatory T cells.
Phototherapy improves impaired resting regulatory T cells and increases activated
regulatory T cells in patients with psoriasis. Intensive studies of phototherapy
effects have led to several improvements in the design, protocols, and light
sources, such as UV light-emitting diodes, thereby providing several options for
patients with refractory skin disease, such as psoriasis.
PMID- 29369397
TI - Metallothioneins are neuroprotective agents in lysosomal storage disorders.
AB - OBJECTIVE: Lysosomal storage disorders (LSDs) are a broad class of inherited
metabolic diseases caused by the defective activity of lysosomal enzymes. Central
nervous system (CNS) manifestations are present in roughly 50% of LSD patients
and represent an unmet medical need for them. We explored the therapeutic
potential of metallothioneins (MTs), a newly identified family of proteins with
reported neuroprotective roles, in the murine models of two LSDs with CNS
involvement. METHODS: MT-1 overexpressing transgenic mice (MTtg) were crossed
with the murine models of Batten and Krabbe diseases. Changes in the survival and
manifestations of the disease in the MTtg setting were assessed. In addition, we
analyzed the therapeutic effects of MT-1 CNS gene delivery in one of these LSD
models. RESULTS: Constitutive expression of MT-1 exerted favorable phenotypic
effects in both LSD models. MT-LSD mice showed a 5% to 10% increase in survival
and slower disease progression as compared to not-transgenic LSD mice. Rescue of
Purkinje cells from degeneration and apoptosis was also observed in the MT-LSD
models. This phenotypic amelioration was accompanied by a modulation of the
disease-associated activated inflammatory microglia phenotype, and by a reduction
of oxidative stress. Importantly, for the clinical translation of our findings,
the very same effects were obtained when MTs were delivered to brains by systemic
AAV gene transfer. INTERPRETATION: MTs can be considered novel therapeutic agents
(and targets) in LSDs and potentiate the effects of approaches aiming at
correction of the disease-causing enzyme deficiency in the CNS. Ann Neurol
2018;83:418-432 Ann Neurol 2018;83:418-432.
PMID- 29369398
TI - Neutrophil hyperactivation correlates with Alzheimer's disease progression.
AB - OBJECTIVE: Recent studies have underlined the effect of systemic inflammation on
the pathophysiology of Alzheimer's disease (AD). Neutrophils are key components
of early innate immunity and contribute to uncontrolled systemic inflammation if
not tightly regulated. The aim of our study was to fully characterize human
circulating neutrophils at different disease stages in AD. METHODS: We analyzed
neutrophil phenotypes and functions in 42 patients with AD (16 with mild
cognitive impairment and 26 with dementia), and compared them to 22 age-matched
healthy subjects. This study was performed directly in whole blood to avoid
issues with data interpretation related to cell isolation procedures. RESULTS:
Blood samples from AD patients with dementia revealed neutrophil hyperactivation
associated with increased reactive oxygen species production and increased levels
of intravascular neutrophil extravascular traps. The homeostasis of circulating
neutrophils in these patients also changed: The ratio between the harmful
hyperreactive CXCR4high /CD62Llow senescent and the CD16bright /CD62Ldim
immunosuppressive neutrophil subsets rose in the later stage of the disease.
These abnormalities were greater in fast-decliner than in slow-decliner patients.
INTERPRETATION: Our results indicate that the inflammatory properties of
circulating neutrophils shift as the percentage of aged neutrophils expands in
patients with AD-changes that may play an instrumental role in establishing
systemic chronic inflammation. Most important, our data strongly suggest that the
neutrophil phenotype may be associated with the rate of cognitive decline and may
thus constitute an innovative and prognostic blood biomarker in patients with AD.
Ann Neurol 2018;83:387-405.
PMID- 29369400
TI - Lichen planopilaris and frontal fibrosing alopecia cannot be differentiated by
histopathology.
AB - BACKGROUND: Lichen planopilaris (LPP) and frontal fibrosing alopecia (FFA)
represent 2 entities that cause primary cicatricial alopecia. These entities are
clinically different; nevertheless, the literature suggests that FFA represents a
form of LPP. The main argument in support of this hypothesis is that previous
studies comparing the histologic findings have not found obvious differences
between these diseases. METHODS: Our objective was to more critically compare and
contrast 20 histologic findings of these diseases in a large number of patients
in order to determine any significant histologic differences between LPP and FFA.
RESULTS: We found 3 parameters that were statistically different, namely the
presence of terminal catagen-telogen hairs (50% FFA vs 23.5% LPP; P = .020); a
severe perifollicular inflammatory infiltrate (29.4% LPP vs 4.6% FFA; P = .010)
and a zone of concentric lamellar fibroplasia (85.3% LPP vs 63.6% FFA; P = .041).
CONCLUSIONS: Although a few histologic features differ between FFA and LPP, we
believe that these differences are too subtle or non-specific to distinguish
between them with confidence. Therefore, clinical correlation is essential to
establish the diagnosis.
PMID- 29369399
TI - Expression profiling suggests microglial impairment in human immunodeficiency
virus neuropathogenesis.
AB - OBJECTIVE: CD16+ /CD163+ macrophages (MPhis) and microglia accumulate in the
brains of patients with human immunodeficiency virus (HIV) encephalitis (HIVE), a
neuropathological correlate of the most severe form of HIV-associated
neurocognitive disorders, HIV-associated dementia. Recently, we found that some
parenchymal microglia in brain of HIV+ subjects without encephalitis (HIV/noE)
but with varying degrees of neurocognitive impairment express CD16 and CD163,
even in the absence of detectable virus production. To further our understanding
of microglial activation in HIV, we investigated expression of specific genes by
profiling parenchymal microglia from archival brain tissue of patients with HIVE
and HIV/noE, and HIV- controls. METHODS: Single-population microarray analyses
were performed on ~2,500 laser capture microdissected CD163+ , CD16+ , or CD68+
MPhis/microglia per case, using terminal continuation RNA amplification and a
custom-designed array platform. RESULTS: Several classes of microglial
transcripts in HIVE and HIV/noE were altered, relative to HIV- subjects,
including factors related to cell stress, immune activation, and apoptosis.
Additionally, several neurotrophic factors were reduced in HIV infection,
suggesting an additional mechanism of neuropathogenesis. The majority of
transcripts altered in HIVE displayed intermediate changes in HIV/noE.
INTERPRETATION: Our results support the notion that microglia contribute to the
maintenance of brain homeostasis and their potential loss of function in the
context of chronic inflammation contributes to neuropathogenesis. Furthermore,
they indicate the utility of profiling MPhis/microglia to increase our
understanding of microglia function, as well as to ascertain alterations in
specific pathways, genes, and potentially, encoded proteins that may be amenable
to targeted treatment modalities in diseases affecting the brain. Ann Neurol
2018;83:406-417.
PMID- 29369401
TI - Pallidal deep brain stimulation modulates cortical excitability and plasticity.
AB - OBJECTIVE: Internal globus pallidus (GPi) deep brain stimulation (DBS) relieves
symptoms in dystonia patients. However, the physiological effects produced by GPi
DBS are not fully understood. In particular, how a single-pulse GPi DBS changes
cortical circuits has never been investigated. We studied the modulation of motor
cortical excitability and plasticity with single-pulse GPi DBS in dystonia
patients with bilateral implantation of GPi DBS. METHODS: The cortical evoked
potentials from DBS were recorded with electroencephalography. Transcranial
magnetic stimulation with a conditioning test paired-pulse paradigm was used to
investigate the effect of GPi DBS on the primary motor cortex. How GPi DBS might
modulate the motor cortical plasticity was tested using a paired associative
stimulation paradigm with repetitive pairs of GPi DBS and motor cortical
stimulation at specific time intervals. RESULTS: GPi stimulation produced 2 peaks
of cortical evoked potentials with latencies of ~10 and ~25 milliseconds in the
motor cortical area. Cortical facilitation was observed at ~10 milliseconds after
single-pulse GPi DBS, and cortical inhibition was observed after a ~25
millisecond interval. Repetitive pairs of GPi stimulation with cortical
stimulation at these 2 time intervals produced long-term potentiation-like
effects in the motor cortex. INTERPRETATION: Single-pulse DBS modulates cortical
excitability and plasticity at specific time intervals. These effects may be
related to the mechanism of action of DBS. Combination of DBS with cortical
stimulation with appropriate timing has therapeutic potential and could be
explored in the future as a method to enhance the effects of neuromodulation for
neurological and psychiatric diseases. Ann Neurol 2018;83:352-362.
PMID- 29369402
TI - Impact of a new simplified disability scoring system for adult patients with
localized scleroderma.
AB - Localized scleroderma (LoS) involves dermal but not internal inflammation and
fibrosis. Cosmetic changes often impact quality of life (QOL), however,
impairment of activities of daily living (ADL) in LoS patients has not been
investigated. To determine what factor(s) are associated with ADL in adult
patients with LoS, we performed a retrospective observational study in 177
Japanese adult LoS patients using a novel LoS disability score based on Barthel's
indices of ADL: feeding, bathing, grooming, dressing, bowels, bladder, toilet
use, transfers, mobility and stairs. LoS disability scores increased in
proportion to the number of affected body parts but were not correlated to age
and duration of illness. The presence of leg lesions significantly impaired ADL
of LoS patients compared with lesions on other body parts. Patients treated with
systemic medications, who tended to have multiple lesions, presented higher LoS
disability scores than those without systemic treatments. Our study proposes that
physicians evaluate ADL, not only QOL, in LoS patients. Our findings using LoS
disability scoring indicate that multiple affected body parts and leg lesions are
risk factors for ADL impairment.
PMID- 29369403
TI - #dermpathJC: The first online dermatopathology Twitter journal club.
PMID- 29369404
TI - A novel mutation in the transmembrane 6 domain of GABBR2 leads to a Rett-like
phenotype.
PMID- 29369405
TI - Targeting insulin-like growth factor 2 mRNA-binding protein 1 (IGF2BP1) in
metastatic melanoma to increase efficacy of BRAFV600E inhibitors.
AB - Melanoma is one of the deadliest forms of skin cancer. Although BRAF inhibitors
significantly enhance survival of metastatic melanoma patients, most patients
relapse after less than a year of treatment. We previously reported that mRNA
binding protein Insulin-like growth factor 2 mRNA-binding protein 1 (IGF2BP1) is
overexpressed in metastatic melanoma and that expression of IGF2BP1 confers
resistance to chemotherapeutic agents. Here we demonstrate that IGF2BP1 plays an
important role in the sensitivity of melanoma to targeted therapy. Inhibition of
IGF2BP1 enhances the effects of BRAF-inhibitor and BRAF-MEK inhibitors in
BRAFV600E melanoma. Also, knockdown of IGF2BP1 alone is sufficient to reduce
tumorigenic characteristics in vemurafenib-resistant melanoma. These findings
suggest that IGF2BP1 can be a novel therapeutic target for melanoma.
PMID- 29369406
TI - Effect of increased mechanical knee joint loading during running on the serum
concentration of cartilage oligomeric matrix protein (COMP).
AB - The purpose of the study was to investigate the effect of an increase in
mechanical knee joint loading during running on the serum COMP level. On two
different test days, 20 healthy men ran with knee orthoses for 30 min on a
treadmill (v = 2.2 m/s). On day 1, the orthoses were passive, whereas on day 2
they were pneumatically driven (active) and thus increased the external knee
flexion moments (+30.9 Nm) during stance phase. Lower-limb mechanics and serum
COMP levels (baseline; 0, 0.5, 1, 2 h post running) were analyzed. COMP levels
increased immediately after running with passive (+35%; pre: 7.5 U/l, 95%CI: 6.4,
8.7, post: 9.8 U/l, 95%CI: 8.8, 10.8, p < 0.001) and active orthoses (+45%; pre:
7.6 U/l; 95%CI: 6.4, 8.8, post: 10.3 U/l, 95%CI: 9.2, 11.5, p < 0.001), but they
did not differ between interventions. While running with active orthoses, greater
ankle dorsiflexion angles, knee flexion angles, and moments occurred (p < 0.05).
Comparing both interventions, the Delta COMP pre-post, meaning the difference
(Delta) between running with active and passive orthoses in pre to post COMP
level change (=level after (post) running minus level before (pre) running),
correlated negatively with Delta COMP baseline (difference between the baseline
COMP level before running with active and passive orthoses, r = -0.616; p =
0.004), and with a positive tendence with the Delta maximum knee flexion (r =
0.388; p = 0.091). Therefore, changes in COMP concentration after physical
activity seem to be highly influenced by the COMP baseline level. In addition,
correlation analysis indicates that modifications in knee joint kinematics have a
greater effect on cartilage metabolism than an increase in joint moments. (c)
2018 Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop
Res 36:1937-1946, 2018.
PMID- 29369408
TI - Exploring the functional impact of mutational drift in LRRK2 gene and
identification of specific inhibitors for the treatment of Parkinson disease.
AB - Parkinson's disease (PD) is a disorder of the central nervous system that is
caused due to the death of the dopaminergic neurons in the region of the brain
called substantia nigra. Mutations in LRRK2 genes are associated with disease
condition and it's been reported as crucial factor for drug resistance.
Identification of deleterious mutations and studying the structural and
functional impact of such mutations may lead to the identification of potential
selective inhibitors. In this study, we analyzed 52 PD associated mutations,
among that 20 were identified as highly deleterious. The deleterious mutations
G2019S and I2020T in the kinase domain were playing a key role in causing
resistance to drug levedopa. Molecular docking analyses have been performed to
understand the binding affinity of levodapa with LRRK2 in wild and mutant
condition. Molecular docking results show that levedopa binds differentially and
obtained less number of hydrogen bonds in compared with wild type LRRK2. In
addition, molecular dynamics simulations were performed to study the efficacy of
docked complexes and it was observed that the efficacy of the mutant complexes
(G2019S-Levodopa and I2020T-Levodopa) affected in the presence of mutation.
Finally, through virtual screening approach specific inhibitors SCHEMBL6473053
and SCHEMBL1278779 have been identified that could potentially inhibit LLRK2
mutants G2019S and I2020T respectively. Over all this computational investigation
correlates the impact of genotypic modulation in structure and function of drug
target which enhanced in the identification of precision medicine to treat PD.
PMID- 29369407
TI - Characterization of D-maltose as a T2 -exchange contrast agent for dynamic
contrast-enhanced MRI.
AB - PURPOSE: We sought to investigate the potential of D-maltose, D-sorbitol, and D
mannitol as T2 exchange magnetic resonance imaging (MRI) contrast agents. We also
sought to compare the in vivo pharmacokinetics of D-maltose with D-glucose with
dynamic contrast enhancement (DCE) MRI. METHODS: T1 and T2 relaxation time
constants of the saccharides were measured using eight pH values and nine
concentrations. The effect of echo spacing in a multiecho acquisition sequence
used for the T2 measurement was evaluated for all samples. Finally, performances
of D-maltose and D-glucose during T2 -weighted DCE-MRI were compared in vivo.
RESULTS: Estimated T2 relaxivities (r2 ) of D-glucose and D-maltose were highly
and nonlinearly dependent on pH and echo spacing, reaching their maximum at pH =
7.0 (~0.08 mM-1 s-1 ). The r2 values of D-sorbitol and D-mannitol were estimated
to be ~0.02 mM-1 s-1 and were invariant to pH and echo spacing for pH <=7.0. The
change in T2 in tumor and muscle tissues remained constant after administration
of D-maltose, whereas the change in T2 decreased in tumor and muscle after
administration of D-glucose. Therefore, D-maltose has a longer time window for T2
-weighted DCE-MRI in tumors. CONCLUSION: We have demonstrated that D-maltose can
be used as a T2 exchange MRI contrast agent. The larger, sustained T2 -weighted
contrast from D-maltose relative to D-glucose has practical advantages for tumor
diagnoses during T2 -weighted DCE-MRI. Magn Reson Med 80:1158-1164, 2018. (c)
2018 International Society for Magnetic Resonance in Medicine.
PMID- 29369409
TI - Parkinson disease and the risk of epileptic seizures.
AB - OBJECTIVE: To assess the association between incident Parkinson disease (PD) and
subsequent incident epileptic seizures. METHODS: We conducted a retrospective
cohort study with a nested case-control analysis using data from the U.K.
Clinical Practice Research Datalink. We identified patients aged >=40 years with
an incident diagnosis of PD between 1995 and 2016 and a matched comparison group
of PD-free individuals. We calculated crude incidence rates (IRs) with 95%
confidence intervals (CIs) of epileptic seizures in PD patients and the PD-free
comparison group, and corresponding crude incidence rate ratios (IRRs). In the
nested case-control analysis, we calculated adjusted odds ratios (adj. ORs) of
incident PD among cases with incident epileptic seizures and seizure-free
controls overall and stratified by various seizure-provoking comorbidities.
RESULTS: Among 23,086 incident PD patients and 92,343 PD-free individuals, we
identified 898 patients with incident epileptic seizures. The crude IR of
epileptic seizures in PD patients was 266.7/100,000 person-years (95% CI = 235.6
297.7), and in PD-free individuals it was 112.4/100,000 person-years (95% CI =
103.5-121.3; IRR = 2.37, 95% CI = 2.06-2.73). The adj. OR of epileptic seizures
was 1.68 (95% CI = 1.43-1.98) in PD patients compared with PD-free individuals.
PD patients with comorbid brain disorders (adj. OR = 12.36, 95% CI = 8.74-17.48)
or with > 1 seizure-provoking comorbidity (adj. OR = 13.24, 95% CI = 10.15-17.25)
were at the highest risk of epileptic seizures compared with PD-free individuals
with no seizure-provoking comorbidities. INTERPRETATION: This study suggests that
incident PD is associated with an increased risk of incident epileptic seizures.
Ann Neurol 2018;83:363-374.
PMID- 29369410
TI - Protective effects of microRNA-330 on amyloid beta-protein production, oxidative
stress, and mitochondrial dysfunction in Alzheimer's disease by targeting VAV1
via the MAPK signaling pathway.
AB - This study aims to explore the effect of miR-330 targeting VAV1 on amyloid beta
protein (Abeta) production, oxidative stress (OS), and mitochondrial dysfunction
in Alzheimer's disease (AD) mice through the MAPK signaling pathway. Putative
targeted gene of miR-330 was performed by a miRNA target prediction website and
dual-luciferase reporter gene assay. AD mouse model was successfully established.
Fourteen C57 mice were randomized into AD and control groups. The positive
protein expression rate of VAV1 was measured by immunohistochemistry. Neuron
cells were assigned into control, blank, negative control (NC), miR-330 mimics,
miR-330 inhibitors, siRNA-VAV1, and miR-330 inhibitors + siRNA-VAV1 groups.
Expression of miR-330, VAV1, ERK1, JNK1, P38MAPK, Abeta, COX, and lipoprotein
receptor-related protein-1 (LRP-1) were determined using RT-qPCR and Western
blotting. Colorimetry was applied to measure the levels of OS parameters of
superoxide dismutase (SOD) and malondialdehyde (MDA). Abeta production in brain
tissue was detected using ELISA, while that in neuron cell was measured by
radioimmunoassay. MiR-330 was down-regulated in neuron cells of AD mice and VAV1
was negatively regulated by miR-330. Compared with the control group, the
positive protein expression rate of VAV1 was significantly elevated in the AD
group. Overexpression of miR-330 decreased the expression of VAV1, ERK1, JNK1,
P38MAPK, and Abeta, but increased the expression of COX and LRP-1. AD mice
revealed elevated Abeta production and MDA with decreased SOD level. The result
indicates that overexpressed miR-330 targeting VAV1 through the MAPK signaling
pathway reduces Abeta production and alleviates OS and mitochondrial dysfunction
in AD.
PMID- 29369411
TI - Safety and efficacy of epicutaneous immunotherapy for food allergy.
AB - Food allergy is increasingly common in children, affecting about 4%-8%. The
mainstays of management remain allergen avoidance and emergency preparedness to
treat allergic reactions with emergency medications. Unfortunately, these
approaches are unsatisfactory for many patients and their families as the
restrictions, constant vigilance, and unpredictable severity of allergic
reactions negatively impact quality of life. In recent decades, there has been
significant interest in developing treatments for food allergy that lead to
desensitization to increase thresholds for triggering allergic reactions and
decrease the risk of reacting to allergen-contaminated food products.
Epicutaneous immunotherapy (EPIT) is a novel therapy that is currently under
investigation, delivering allergen via repeated applications to the skin and
targeting antigen-presenting cells in the superficial skin layers. Murine models
have demonstrated that allergen uptake is an active process by skin dendritic
cells with subsequent migration to draining lymph nodes. Allergen exposure to the
non-vascularized epidermis limits systemic absorption, contributing to the high
safety profile. Results from murine experiments showed that EPIT has comparable
efficacy as subcutaneous immunotherapy in terms of challenge outcomes, airway
hyper-responsiveness, and immunologic parameters. Several clinical trials of EPIT
have recently been completed or are ongoing. Results support the high safety and
tolerability of this approach. Efficacy data suggest that the change in threshold
eliciting dose following 1 year of therapy is less than that seen compared to
high-dose (2-4 g peanut protein) oral immunotherapy, but more prolonged treatment
with EPIT appears to lead to increasing desensitization. Additional data from
larger-scale studies should provide a more robust assessment of safety and
efficacy of EPIT.
PMID- 29369412
TI - Dilution destabilizes engineered ligand-coated nanoparticles in aqueous
suspensions.
AB - It is commonly true that a diluted colloidal suspension is more stable over time
than a concentrated one because dilution reduces collision rates of the particles
and therefore delays the formation of aggregates. However, this generalization
does not apply for some engineered ligand-coated nanoparticles (NPs). We observed
the opposite relationship between stability and concentration of NPs. We tested 4
different types of NPs: CdSe-11-mercaptoundecanoic acid, CdTe-polyelectrolytes,
Ag-citrate, and Ag-polyvinylpyrrolidone. The results showed that dilution alone
induced aggregation and subsequent sedimentation of the NPs that were originally
monodispersed at very high concentrations. Increased dilution caused NPs to
progressively become unstable in the suspensions. The extent of the dilution
impact on the stability of NPs is different for different types of NPs. We
hypothesize that the unavoidable decrease in free ligand concentration in the
aqueous phase following dilution causes detachment of ligands from the suspended
NP cores. The ligands attached to NP core surfaces must generally approach
exchange equilibrium with free ligands in the aqueous phase; therefore, ligand
detachment and destabilization are expected consequences of dilution. More
studies are necessary to test this hypothesis. Because the stability of NPs
determines their physicochemical and kinetic behavior including toxicity,
dilution-induced instability needs to be understood to realistically predict the
behavior of engineered ligand-coated NPs in aqueous systems. Environ Toxicol Chem
2018;37:1301-1308. (c) 2018 SETAC.
PMID- 29369413
TI - Comments on Schocker et al.
PMID- 29369414
TI - Molecular sensitization patterns and influence of molecular diagnosis in
immunotherapy prescription in children sensitized to both grass and olive pollen.
AB - BACKGROUND: The overlapping grass and olive pollen seasons in Spain and the
phenomenon of cross-reactivity can make it difficult to determine the true
causative agent of seasonal allergic rhinitis when only skin prick tests with
whole extracts are used. The aim of the GRAMOLE study was to determine
sensitization patterns to the major grass and olive pollen allergens detected
using specific recombinant IgE and to explore how this knowledge affected
physicians' choice of allergen-specific immunotherapy. METHODS: Epidemiological,
observational, multicenter, cross-sectional study. Results from children under 18
years of age diagnosed with seasonal allergic rhinitis by positive skin prick
tests to olive and grass pollen were analyzed. Specific IgE to Phl p 1+5, Ole e
1, and Phl p 7+12 was determined. Investigators specified the optimal composition
of allergen immunotherapy before and after knowing the results of the molecular
diagnosis. RESULTS: A total of 281 patients with a mean age of 13.4 years were
included. Double sensitization to both major allergens was found in vitro in 76%
of children for an IgE cutoff point of 0.35 kU/L. When the molecular diagnosis
results were known, specialists changed the composition of the prescribed
immunotherapy in 52.87% of cases. CONCLUSIONS: Double sensitization to grass and
olive pollen is common in Spain and also occurs in the pediatric population.
Molecular diagnosis using specific IgE may help improve immunotherapy selection
in polysensitized patients.
PMID- 29369416
TI - Complete tear of the lateral meniscus posterior root is associated with meniscal
extrusion in anterior cruciate ligament deficient knees.
AB - : This study aimed to evaluate the relationship between preoperative lateral
meniscal extrusion (LME) and arthroscopic findings of lateral meniscus posterior
root tear (LMPRT) in knees with anterior cruciate ligament (ACL) tear. Thirty
five knees that had LMPRTs with concomitant ACL tears on arthroscopy were
evaluated. Patients were divided into two groups, partial and complete root
tears, via arthroscopic findings at the time of ACL reconstruction. For
comparison, we added two groups, using the same database; 20 normal knees (normal
group) and 20 ACL-injured knees without LM injury (intact LM group). We
retrospectively measured preoperative LMEs using magnetic resonance imaging
(MRI). Twenty-three knees had partial LMPRTs. Complete LMPRTs were observed in 12
knees. The average LME was -0.1 +/- 0.4 mm in the normal group, 0.2 +/- 0.5 mm in
the intact LM group, 0.4 +/- 0.8 mm in the partial LMPRT group, and 2.0 +/- 0.6
mm in the complete LMPRT group. A significant difference in preoperative LMEs was
observed between the complete LMPRT group and the other groups (p < 0.001). The
receiver operating curve analysis, which distinguishes a partial tear from a
complete tear, identified an optimal cut-off point of 1.1 mm for preoperative
LME. This LME cut-off had a sensitivity of 100% and specificity of 83% for
complete LMPRT. We found that preoperative LMEs were larger in complete LMPRTs
associated with ACL injuries than in partial LMPRTs. Our results suggest that
preoperative MRI-detected LME may be a useful indicator for estimating LMPRT
severity in ACL-injured knees. LEVEL OF EVIDENCE: Retrospective comparative study
level IV. (c) 2018 Orthopaedic Research Society. Published by Wiley Periodicals,
Inc. J Orthop Res 36:1894-1900, 2018.
PMID- 29369415
TI - Cross-vendor harmonization of T2 -relaxation-under-spin-tagging (TRUST) MRI for
the assessment of cerebral venous oxygenation.
AB - PURPOSE: Cerebral venous oxygenation (Yv ) is an important physiological
parameter and has potential clinical application in many brain diseases. T2
relaxation-under-spin-tagging (TRUST) is a commonly used MRI method to measure Yv
. Harmonization of this technique across MRI vendors is important for
dissemination and multicenter studies of brain oxygenation and metabolism as a
disease biomarker. METHODS: TRUST pulse sequence components and imaging
parameters were carefully matched between two major MRI vendors, Philips and
Siemens. Each subject (N = 10) was scanned on both scanners within a 2.5-h
period. On each scanner, the subject was scanned in two sessions to assess
intersession reproducibility. A hyperoxia challenge was also included in both
sessions and on both scanners to evaluate the sensitivity of the technique to Yv
changes. Measured Yv values, confidence interval of Yv estimates ( epsilonYv), as
well as intrasession and intersession coefficient of variation (CoV) of Yv , were
compared between the two scanners. RESULTS: Yv measured on the two vendors were
highly compatible and strongly correlated (R2 = 0.957). Yv changes associated
with hyperoxia challenge were significant on both scanners (P < 0.001) and were
also correlated across scanners (P = 0.007). Intrasession and intersession CoV of
measured Yv were less than 3% and showed no difference between scanners.
epsilonYv were less than 1% on both scanners and showed no difference between
scanners when echo times were matched on the two scanners. CONCLUSION: This work
suggests that harmonized TRUST MRI can yield highly compatible Yv measurements
across different vendors. Magn Reson Med 80:1125-1131, 2018. (c) 2018
International Society for Magnetic Resonance in Medicine.
PMID- 29369417
TI - Characterization of NlHox3, an essential gene for embryonic development in
Nilaparvata lugens.
AB - Hox genes encode transcriptional regulatory proteins that control axial
patterning in all bilaterians. The brown planthopper (BPH), Nilaparvata lugens
(Hemiptera: Delphacidae), is a destructive insect pest of rice plants in Asian
countries. During analysis of the N. lugens transcriptome, we identified a Hox3
like gene (NlHox3) that was highly and specifically expressed in the embryonic
stage. We performed functional analysis on the gene to identify its roles in
embryonic development and its potential use as a target in RNA interference
(RNAi) based pest control. The sequence analysis showed that NlHox3 was
homologous to the Hox3 gene and was most closely related with zen of Drosophila.
There were no significant differences in oviposition between the treated and
control females after injecting double-stranded RNA of NlHox3 (dsNlHox3) into
newly emerged female adult BPHs; however, there was a significant difference in
the hatchability of those eggs laid, which no egg from the treated group hatched
normally. Injecting female adult BPHs with dsNlHox3 led to necrosis of these
offspring embryos, with eye reversal and undeveloped organs, suggesting that
NlHox3 was an essential gene for embryonic development and might be a potential
target for RNAi-based control of this insect pest.
PMID- 29369418
TI - Next generation programming.
PMID- 29369419
TI - The association between serum testosterone and mortality among elderly men on
hemodialysis.
AB - OBJECTIVES: This study examines the associations between total testosterone
levels and dialysis mortality. METHODS: Elderly men who initiate hemodialysis in
Taoyuan General Hospital from January 2012 to June 2017 were enrolled. We
reviewed clinical characteristics and biochemical data from start of dialysis and
followed over a 5-year period after dialysis. Body composition parameters were
assessed 3-6 months after dialysis. Skeletal muscle mass index (SMMI) was defined
by skeletal muscle mass divided by squared height. We defined those with lowest
tertile of testosterone values as low testosterone group. Adjusted hazard ratios
(aHRs) and 95% confidence interval (95% CI) for mortality and cumulative survival
curves were evaluated by Cox hazards model and Kaplan-Meier method. The
discriminative power of SMMI and testosterone levels was calculated according to
the area under the curve and the receiver operating characteristic curve (AUROC).
RESULTS: From a total of 137 elderly hemodialysis patients, the range of lowest,
middle, and highest tertile of testosterone values was <6.25 nmol/L, 6.25-10.5
nmol/L, and >10.5 nmol/L. After multivariate adjustment other than SMMI, total
testosterone levels at baseline were a significant predictor for mortality
aHR(95% CI): 0.79 (0.70-0.91). The unadjusted and adjusted c-statistics of SMMI
vs testosterone values to predict overall were 770 (0.688-0.852) vs 0.779 (0.691
0.866) and 855 (0.812-0.886) vs 0.812 (0.744-0.856) (Ps < .05), whereas the
capacity of c-statistics was similar (chi2 = 0.143 and 2.709, Ps > .05).
CONCLUSIONS: Total testosterone value was a predictor for mortality. It was
noninferior to SMMI in predicting dialysis mortality.
PMID- 29369420
TI - ATM and p53 combined analysis predicts survival in glioblastoma multiforme
patients: A clinicopathologic study.
AB - Glioblastoma is one of the most malignant cancers, with a distinguishing dismal
prognosis: surgery followed by chemo- and radiotherapy represents the current
standard of care, and chemo- and radioresistance underlie disease recurrence and
short overall survival of patients suffering from this malignancy. ATM is a
kinase activated by autophosphorylation upon DNA doublestrand breaks arising from
errors during replication, byproducts of metabolism, chemotherapy or ionizing
radiations; TP53 is one of the most popular tumor suppressor, with a preeminent
role in DNA damage response and repair. To study the effects of the
immunohistochemical expression of p-ATM and p53 in glioblastoma patients, 21
cases were retrospectively examined. In normal brain tissue, p-ATM was expressed
only in neurons; conversely, in tumors cells, the protein showed a variable
cytoplasmic expression (score: +,++,+++), with being completely undetectable in
three cases. Statistical analysis revealed that high p-ATM score (++/+++)
strongly correlated to shorter survival (P = 0.022). No difference in overall
survival was registered between p53 normally expressed (NE) and overexpressed
(OE) glioblastoma patients (P = 0.669). Survival analysis performed on the
results from combined assessment of the two proteins showed that patients with NE
p53 /low pATM score had longer overall survival than the NE p53/ high pATM score
counterpart. Cox-regression analysis confirmed this finding (HR = 0.025; CI 95% =
0.002-0.284; P = 0.003). Our study outlined the immunohistochemical expression of
p-ATM/p53 in glioblastomas and provided data on their possible
prognostic/predictive of response role. A "non-oncogene addiction" to ATM for
NEp53 glioblastoma could be postulated, strengthening the rationale for
development of ATM inhibiting drugs.
PMID- 29369421
TI - Genetic variation in IL28B (IFNL3) and response to interferon-alpha treatment in
myeloproliferative neoplasms.
AB - OBJECTIVE: In myeloproliferative neoplasms (MPN), interferon-alpha (IFN-alpha) is
an effective treatment with disease-modifying properties but currently with no
clear predictors of treatment outcome. Recent genomewide association studies in
chronic hepatitis C have found a strong influence of genetic polymorphism near
the IL28B (IFNL3) gene in response to IFN-alpha treatment. In this study, we
sought to evaluate the prognostic impact of IL28B rs12979860, rs8099917, and
rs12980275 on IFN-alpha treatment response in myeloproliferative neoplasms.
METHOD: We retrospectively evaluated 100 patients with MPN treated with IFN
alpha. The hematologic treatment response on IFN-alpha was compared between
patients and correlated with host genetic variations in IL28B. The genotypes of
IL28B were determined by allelic discrimination assays. RESULTS: The CC genotype
of rs12979860 was found significantly associated with hematologic response in
polycythemia vera (PV) with a complete response (CR) in 79% (CC) compared to 48%
(non-CC), (P = .036). No association between the genotypes and treatment response
on hydroxyurea was found. CONCLUSION: These results imply an effect of IL28B
genotype on the outcome of IFN-alpha treatment in MPN.
PMID- 29369422
TI - Cardiac-triggered pseudo-continuous arterial-spin-labeling: A cost-effective
scheme to further enhance the reliability of arterial-spin-labeling MRI.
AB - PURPOSE: Arterial-spin-labeling (ASL) magnetic resonance imaging (MRI) is
intrinsically a low signal-to-noise ratio (SNR) technique. This study aims to
enhance its sensitivity by quantifying physiological noise attributed to cardiac
pulsation and devising an improved sequence, cardiac-triggered ASL, to minimize
this noise. METHODS: A total of 16 healthy subjects were studied on a 3 Tesla MRI
system. The influence of cardiac pulsation on pseudo-continuous ASL (pCASL)
signal was first investigated by performing a regular pCASL sequence while the
cardiac phase of the image acquisition was recorded by a pulse oximeter. We then
designed a new sequence, cardiac-triggered pCASL, to align the cardiac phases of
the control and labeled scans. The performance of the new sequence was evaluated
in the context of single-shot 3D gradient-and-spin-echo acquisition, multishot 3D
spiral acquisition, and hypercapnia-induced cerebral blood flow (CBF) changes.
RESULTS: In regular pCASL, the signal intensities of both control and labeled
images were strongly modulated by the cardiac phase. In single-shot acquisitions,
this results in signal instability in regions near large vessels. In segmented
acquisitions, it results in ghosting artifacts in the image and, furthermore, the
signal fluctuation is smeared along the segmentation direction to affect more
brain regions. Cardiac-triggered pCASL enhanced the temporal SNR by 94% and 28%
in single-shot and segmented 3D acquisition, respectively. When applied to detect
CBF changes, the triggered sequence revealed a greater statistical power in terms
of both the number of significant voxels and t-score histograms. CONCLUSION:
Cardiac-triggered pCASL represents a potential scheme to enhance the reliability
of ASL signal. Magn Reson Med 80:969-975, 2018. (c) 2018 International Society
for Magnetic Resonance in Medicine.
PMID- 29369423
TI - Interstitial Mo-Assisted Photovoltaic Effect in Multilayer MoSe2
Phototransistors.
AB - Thin-film transistors (TFTs) based on multilayer molybdenum diselenide (MoSe2 )
synthesized by modified atmospheric pressure chemical vapor deposition (APCVD)
exhibit outstanding photoresponsivity (103.1 A W-1 ), while it is generally
believed that optical response of multilayer transition metal dichalcogenides
(TMDs) is significantly limited due to their indirect bandgap and inefficient
photoexcitation process. Here, the fundamental origin of such a high
photoresponsivity in the synthesized multilayer MoSe2 TFTs is sought. A unique
structural characteristic of the APCVD-grown MoSe2 is observed, in which
interstitial Mo atoms exist between basal planes, unlike usual 2H phase TMDs.
Density functional theory calculations and photoinduced transfer characteristics
reveal that such interstitial Mo atoms form photoreactive electronic states in
the bandgap. Models indicate that huge photoamplification is attributed to
trapped holes in subgap states, resulting in a significant photovoltaic effect.
In this study, the fundamental origin of high responsivity with synthetic MoSe2
phototransistors is identified, suggesting a novel route to high-performance,
multifunctional 2D material devices for future wearable sensor applications.
PMID- 29369424
TI - Non-contrast enhanced 4D intracranial MR angiography based on pseudo-continuous
arterial spin labeling with the keyhole and view-sharing technique.
AB - PURPOSE: 4D dynamic MR angiography (4D-MRA) using pseudo-continuous arterial spin
labeling (pCASL), combined with Keyhole and View-sharing (4D-PACK) for scan
acceleration, is introduced. Its validity for arterial inflow dynamics
visualization was investigated through comparison with 4D-pCASL and contrast
inherent inflow enhanced multiphase angiography (CINEMA). METHODS: Six healthy
volunteers were included in the study. The arterial transit time (ATT) in 4D-PACK
was measured at multiple regions in middle cerebral artery (MCA), and Pearson's
correlation coefficient with ATT in 4D-pCASL was calculated. The contrast-to
noise ratio (CNR) in 4D-PACK was measured in four MCA segments and compared with
that in 4D-pCASL and CINEMA. Arterial visualization in 4D-PACK was assessed
qualitatively in patients with moyamoya disease and arteriovenous malformation by
comparing with CINEMA. RESULTS: 4D-PACK achieved a 36% scan time reduction
compared with 4D-pCASL. The correlation coefficient for ATT measured by 4D-pCASL
and 4D-PACK was greater than 0.96. The CNR was significantly higher using 4D-PACK
compared with CINEMA in the M4 segment (P < 0.01). In patient examinations, the
flow in the collateral artery or draining vein was better visualized in 4D-PACK
compared with CINEMA. CONCLUSION: 4D-PACK accelerates 4D-pCASL, shows similar
inflow dynamics as 4D-pCASL and shows better peripheral visualization compared
with CINEMA. Magn Reson Med 80:719-725, 2018. (c) 2018 International Society for
Magnetic Resonance in Medicine.
PMID- 29369425
TI - Roles of Amphipathicity and Hydrophobicity in the Micelle-Driven Structural
Switch of a 14-mer Peptide Core from a Choline-Binding Repeat.
AB - Choline-binding repeats (CBRs) are ubiquitous sequences with a beta-hairpin core
that are found in the surface proteins of several microorganisms such as S.
pneumoniae (pneumococcus). Previous studies on a 14-mer CBR sequence derived from
the pneumoccal LytA autolysin (LytA239-252 peptide) have demonstrated a switch
behaviour for this peptide, so that it acquires a stable, native-like beta
hairpin conformation in aqueous solution but is reversibly transformed into an
amphipathic alpha-helix in the presence of detergent micelles. With the aim of
understanding the factors responsible for this unusual beta-hairpin to alpha
helix transition, and to specifically assess the role of peptide hydrophobicity
and helical amphipathicity in the process, we designed a series of LytA239-252
variants affecting these two parameters and studied their interaction with
dodecylphosphocholine (DPC) micelles by solution NMR, circular dichroism and
fluorescence spectroscopies. Our results indicate that stabilising cross-strand
interactions become essential for beta-hairpin stability in the absence of
optimal turn sequences. Moreover, both amphipathicity and hydrophobicity display
comparable importance for helix stabilisation of CBR-derived peptides in
micelles, indicating that these sequences represent a novel class of
micelle/membrane-interacting peptides.
PMID- 29369426
TI - Improving the Stability of Metal Halide Perovskite Materials and Light-Emitting
Diodes.
AB - Metal halide perovskites (MHPs) have numerous advantages as light emitters such
as high photoluminescence quantum efficiency with a direct bandgap, very narrow
emission linewidth, high charge-carrier mobility, low energetic disorder,
solution processability, simple color tuning, and low material cost. Based on
these advantages, MHPs have recently shown unprecedented radical progress
(maximum current efficiency from 0.3 to 42.9 cd A-1 ) in the field of light
emitting diodes. However, perovskite light-emitting diodes (PeLEDs) suffer from
intrinsic instability of MHP materials and instability arising from the operation
of the PeLEDs. Recently, many researchers have devoted efforts to overcome these
instabilities. Here, the origins of the instability in PeLEDs are reviewed by
categorizing it into two types: instability of (i) the MHP materials and (ii) the
constituent layers and interfaces in PeLED devices. Then, the strategies to
improve the stability of MHP materials and PeLEDs are critically reviewed, such
as A-site cation engineering, Ruddlesden-Popper phase, suppression of ion
migration with additives and blocking layers, fabrication of uniform bulk
polycrystalline MHP layers, and fabrication of stable MHP nanoparticles. Based on
this review of recent advances, future research directions and an outlook of
PeLEDs for display applications are suggested.
PMID- 29369427
TI - Pioglitazone/metformin adduct regulates insulin secretion and inhibits high
glucose-induced apoptosis via p21-p53-MDM2 signaling in INS-1 cells.
AB - Pioglitazone/metformin adduct is a novel compound synthesized from pioglitazone
and metformin combined at a molar mass ratio of 1:1. The aim of this study was to
investigate the effects of pioglitazone/metformin adduct on high glucose-induced
insulin secretion and apoptosis in INS-1 cells. Western blot and CCK8 analyses
showed that the death rate of INS-1 cells increased in response to glucose
treatment in a concentration-dependent manner. ELISA assays and Western blot
analyses showed that insulin secretion peaked following treatment with glucose
concentration at 33.33 mM. Treatment of INS-1 cells with 1 MUM
pioglitazone/metformin adduct in the presence of 33.33 mM glucose greatly
improveded the levels of insulin and apoptosis rates compared to those of the
control group. Analysis of mechanism underlying these effects revealed the
involvement of the p21-p53-MDM2 signaling pathway. Our results indicate that
pioglitazone/metformin adduct is superior to pioglitazone and/or metformin in
regulating high glucose-induced insulin secretion and apoptosis in INS-1 cells.
PMID- 29369428
TI - Chasing N-acetyl-L-aspartate, a shiny NMR object in the brain.
PMID- 29369429
TI - Prebiotic Chemistry of HCN Tetramerization by Automated Reaction Search.
AB - HCN oligomerization is considered to be one of the important pathways in chemical
evolution. Nucleobases, aminoacids, and many other complex organic molecules can
evolve through this pathway. We report an explorative study based on an automated
reaction search method that avoids the cognitive bias present when searching
chemical reaction space. We discuss the chemical space of the HCN dimer in
detail, and the important trimers and tetramers are discussed briefly. A
component-wise molecular-fingerprint-based methodology is proposed to identify
molecular similarity. We present four different thermal routes to cis/trans-2,3
diaminomaleonitrile and 4-amino-1H-imidazole-5-carbonitrile, which are important
intermediates in prebiotic chemistry.
PMID- 29369430
TI - Papain-treated panels are a simple method for the identification of
alloantibodies in multiple myeloma patients treated with anti-CD38-based
therapies.
AB - OBJECTIVES: To report our 2 years of experience navigating the interference of
anti-CD38 monoclonal antibodies (MAs) in 33 patients and describe papain-treated
panels as a complementary method to dithiothreitol (DTT). BACKGROUND: Novel anti
CD38 MAs are now approved or undergoing clinical trials to evaluate their
activity in patients with multiple myeloma. A concern with the use of these drugs
is that they interfere with blood bank tests in a group of patients who often
require blood transfusions. METHODS: Clinical data and whole blood samples were
collected from patients receiving daratumumab or isatuximab. Routine blood bank
serological tests were performed. RESULTS: A total of 9.1% of patients presented
with alloantibodies prior to treatment. All patients exhibited nonspecific
reactivity in indirect antiglobulin tests, and 26% had positive direct
antiglobulin tests after beginning treatment. This interference disappeared in
all patients after discontinuing treatment. Papain panels avoided this reactivity
and allowed us to identify alloantibodies. Phenotyped blood units were
transfused, and no patient suffered any transfusion-related complications.
CONCLUSION: Anti-CD38 MAs produce nonspecific interference in blood bank tests.
This interference can be overcome by various methods, including DTT or papain
treatment as proposed here. These methods have limitations that can be resolved
using phenotyped blood units.
PMID- 29369432
TI - Corrigendum for: Do bilinguals automatically activate their native language when
they are not using it?
PMID- 29369431
TI - Inhibitor-Directed Spin Labelling-A High Precision and Minimally Invasive
Technique to Study the Conformation of Proteins in Solution.
AB - Pulsed electron-electron double resonance spectroscopy (known as PELDOR or DEER)
has recently become a very popular tool in structural biology. The technique can
be used to accurately measure distance distributions within macromolecules or
macromolecular complexes, and has become a standard method to validate structural
models and to study the conformational flexibility of macromolecules. It can be
applied in solution, in lipid environments or even in cells. Because most
biological macromolecules are diamagnetic, they are normally invisible for PELDOR
spectroscopy. To render a particular target molecule accessible for PELDOR, it
can be engineered to contain only one or two surface-exposed cysteine residues,
which can be efficiently spin-labelled using thiol-reactive nitroxide compounds.
This method has been coined "site-directed spin labelling" (SDSL) and is normally
straight-forward. But, SDSL can be very challenging for proteins with many native
cysteines, or even a single functionally or structurally important cysteine
residue. For such cases, alternative spin labelling techniques are needed. Here
we describe the concept of "inhibitor-directed spin labelling" (IDSL) as an
approach to spin label suitable cysteine-rich proteins in a site-directed and
highly specific manner by employing bespoke spin-labelled inhibitors. Advantages
and disadvantages of IDSL are discussed.
PMID- 29369433
TI - Comparing the fragmentation reactions of protonated cyclic indolyl alpha-amino
esters in quadrupole/orbitrap and quadrupole time-of-flight mass spectrometers.
AB - RATIONALE: The comparative study of higher-energy collisional dissociation (HCD)
and collision-induced dissociation (CID) mechanisms for protonated cyclic indolyl
alpha-amino esters in quadrupole/orbitrap (Q/Orbitrap) and quadrupole time-of
flight (QTOF) mass spectrometers, respectively, is helpful to study the
structures and properties of biologically active indole derivatives using tandem
mass spectrometry (MS/MS) technology. METHODS: HCD and CID experiments were
carried out using electrospray ionization Q/Orbitrap MS and QTOFMS in positive
ion mode, respectively. Only the labile hydrogens were exchanged with deuterium
in hydrogen/deuterium exchange (HDX) experiments and only the aromatic indole C-H
hydrogens were substituted with deuterium in regiospecific hydrogen-deuterium
labeling experiments. Theoretical calculations were carried out using the density
functional theory (DFT) method at the B3LYP level with the 6-311G(d,p) basis set
in the Gaussian 03 package of programs. RESULTS: In Q/Orbitrap MS/MS, when the
added proton on the N8 position of protonated cyclic indolyl alpha-amino esters
migrated in a stepwise fashion to the C3 position via two sequential 1,4-H
shifts, an ion-neutral complex INC1 of [protonated cyclic N-sulfonyl ketimino
esters/indoles] was formed by a charge-directed heterolytic cleavage of the C3
C10 bond, while an ion-neutral complex INC3 of [cyclic N-sulfonyl ketimino
esters/protonated indoles] was formed when another labile hydrogen on the N8
position successively migrated to the C4 position. Direct decomposition of INC1
and INC3 resulted in protonated cyclic N-sulfonyl ketimino esters and protonated
indoles, respectively, while proton transfer led to protonated indoles and
protonated cyclic N-sulfonyl ketimino esters. The HDX reaction with residual
water in the HCD cell was also observed. In QTOF-MS/MS, protonated cyclic N
sulfonyl ketimino esters and protonated indoles resulted from direct
decomposition of INC1 and INC3 , respectively, rather than proton transfer.
CONCLUSIONS: Due to the specific construction of the Q/Orbitrap and QTOF mass
spectrometers, different fragmentation mechanisms medicated by ion-neutral
complexes of protonated cyclic indolyl alpha-amino esters were proposed. This
study is desirable for qualitative and quantitive investigation of indole
derivatives using MS/MS technology.
PMID- 29369434
TI - Lipopolysaccharide-induced maternal inflammation induces direct placental injury
without alteration in placental blood flow and induces a secondary fetal
intestinal injury that persists into adulthood.
AB - PROBLEM: Premature birth complicates 10%-12% of deliveries. Infection and
inflammation are the most common etiologies and are associated with increased
offspring morbidity and mortality. We hypothesize that lipopolysaccharide (LPS)
induced maternal inflammation causes direct placenta injury and subsequent injury
to the fetal intestine. METHOD OF STUDY: Pregnant C57Bl6 mice were injected
intraperitoneally on day 15.5 with 100 MUg/kg LPS or saline. Maternal serum,
amniotic fluid, placental samples, and ileal samples of offspring were obtained
assessed for inflammation and/or injury. Maternal placental ultrasounds were
performed. Placental DNA was isolated for microbiome analysis. RESULTS: Maternal
injection with LPS caused elevated IL-1beta, IL-10, IL-6, KC-GRO, and TNF.
Placental tissue showed increased IL-1beta, IL-6, and KC-GRO and decreased IL-10,
but no changes were observed in amniotic fluid. Placental histology demonstrated
LPS-induced increases in mineralization and necrosis, but no difference in
placental blood flow. Most placentas had no detectable microbiome. Exposure to
maternal LPS induced significant injury to the ilea of the offspring. CONCLUSION:
Lipopolysaccharide causes a maternal inflammatory response that is mirrored in
the placenta. Placental histology demonstrates structural changes; however,
placental blood flow is preserved. LPS also induces an indirect intestinal injury
in the offspring that lasts beyond the neonatal period.
PMID- 29369436
TI - Sphingomyelin in microdomains of the plasma membrane regulates amino acid
stimulated mTOR signal activation.
AB - Sphingomyelin (SM) is required for cells to proliferate, but the reason is not
fully understood. In order to asses this question, we employed a cell line, ZS,
which lacks both SMS1 and SMS2, isolated from mouse embryonic fibroblasts in SMS1
and 2 double knockout mouse, and SMS1 or SMS2 re-expressing cells, ZS/SMS1 or
ZS/SMS2, respectively. We investigated regulation of SM in activating the
mammalian target of rapamycin (mTOR) signal induced by essential amino acids
(EAA), using these cells. EAA-stimulated mTOR signal was more activated in
ZS/SMS1 and ZS/SMS2 cells than in controls. Treatment with methyl-b-cyclodextrin
dramatically inhibited the activation. Interestingly, we found that the
expression of CD98, LAT-1 and ASCT-2, amino acid transporters concerned with mTOR
activation, was down-regulated in ZS cells. Transporters localized in
microdomains and formed a functional complex. Our results indicate that SM affect
proliferation through the transport of amino acids via SM-enriched microdomains.
PMID- 29369435
TI - Design of Protein-Coated Carbon Nanotubes Loaded with Hydrophobic Drugs through
Sacrificial Templating of Mesoporous Silica Shells.
AB - One key challenge in the fields of nanomedicine and tissue engineering is the
design of theranostic nanoplatforms able to monitor their therapeutic effect by
imaging. Among current developed nano-objects, carbon nanotubes (CNTs) were found
suitable to combine imaging, photothermal therapy, and to be loaded with
hydrophobic drugs. However, a main problem is their resulting low hydrophilicity.
To face this problem, an innovative method is developed here, which consists in
loading the surface of carbon nanotubes (CNTs) with drugs followed by a protein
coating around them. The originality of this method relies on first covering CNTs
with a sacrificial template mesoporous silica (MS) shell grafted with
isobutyramide (IBAM) binders on which a protein nanofilm is strongly adhered
through IBAM-mediated physical cross-linking. This concept is first demonstrated
without drugs, and is further improved with the suitable loading of hydrophobic
drugs, curcumin (CUR) and camptothecin (CPT), which are retained between the CNTs
and human serum albumin (HSA) layer. Such novel nanocomposites with favorable
photothermal properties are very promising for theranostic systems, drug
delivery, and phototherapy applications.
PMID- 29369437
TI - Best practice in critical care: anaemia in acute and critical illness.
AB - Anaemia is common in critical illness, and standard treatment is red blood cell
(RBC) transfusion, typically using a restrictive transfusion threshold of 70 g L
1 . However, there are subgroups of patients in whom it is biologically plausible
that a higher transfusion threshold may be beneficial, namely, acute sepsis,
traumatic brain injury and coexisting cardiovascular disease. In this review
article, we will discuss the pathophysiology of anaemia, as well as its
prevalence and time course. We will explore the limitations of using haemoglobin
concentration as a surrogate for oxygen delivery and the concept of the critical
haemoglobin concentration. We will then discuss transfusion thresholds for the
general intensive care unit (ICU) population and specific subgroups.
PMID- 29369438
TI - Asymmetric Catalytic Double Michael Additions for the Synthesis of
Spirooxindoles.
AB - Asymmetric cascade double Michael additions to construct 2'-substituted 3,3'
spirooxindoles by using a chiral guanidine organocatalyst has been developed. A
series of spirooxindole derivatives containing dihydrofuran or pyrrolidine
subunits were obtained with good to excellent diastereo- and
enantioselectivities. The method showed great tolerance of a number of aromatic
and aliphatic alkynones. The strategy gave access to the asymmetric synthesis of
(-)-salacin for the first time.
PMID- 29369439
TI - Cabazitaxel inhibits proliferation and potentiates the radiation response of
U87MG glioblastoma cells.
AB - Cabazitaxel is a second-generation semisynthetic taxane. The recognized anti
neoplastic effect of Cabazitaxel is cell cycle perturbation by inducing arrest at
G2/M. Since glioblastoma tumors have a relatively high expression of P-gp, it is
encouraging to find a treatment that is effective against these tumors. This
study was conducted to examine the radiosensitizing potential of Cabazitaxel
against U87MG cells. In order to evaluate the effect of Cabazitaxel, cells were
treated with different concentrations of the drug at different time intervals and
then cytotoxicity and cell cycle were assessed using MTT and flow cytometry
assays, respectively. Annexin/PI and real-time polymerase chain reaction (PCR)
assays were used to evaluate the extent of apoptosis. Cabazitaxel exerted a
consistent G2/M arrest and resulted in a concentration- and time-dependent
toxicity. Cabazitaxel enhanced the cytotoxicity response of U87MG cells to
radiation. Apoptosis increased following Cabazitaxel-IR administration. At the
same time, these results were further supported by apoptotic genes regulation.
This study provides the first preclinical evidence supporting that Cabazitaxel
can render U87MG cells more susceptible to the cytotoxicity of radiation and
could potentially be administered in combination modalities as a promising cell
cycle-specific radiosensitizer for the future steps of in vivo evaluation.
PMID- 29369440
TI - Synthesis and Properties of Triaminocyclopropenium Cation Based Ionic Liquids as
Hypergolic Fluids.
AB - A novel family of hydrophobic triaminocyclopropenium cation based ionic liquids
have been synthesized, and their structures and physicochemical properties
characterized by NMR and IR spectroscopy, elemental analysis, differential
scanning calorimetry, and hypergolic tests. The experimental results showed that
all of these ionic liquids exhibited the expected hypergolic reactivity with the
oxidizer white fuming nitric acid. Among them, the hypergolic ionic liquid based
on the cyanoimidazolylborohydride anion showed excellent integrated properties,
including high decomposition temperature (194 degrees C), high density (0.95 g
cm-3 ), moderate viscosity (44 MPa s), ultrafast ignition delay time (6 ms), and
high specific impulse (301.9 s); this demonstrates its potential as an
environmentally friendly alternative to toxic hydrazine derivatives.
PMID- 29369441
TI - Repeat ablation or medical management alone for recurrent arrhythmias after
ablation of persistent atrial fibrillation.
AB - INTRODUCTION: Management of persistent atrial fibrillation (PersAF) remains
challenging, and many patients are left on medical therapy after a failed first
ablation. In patients with recurrent symptomatic arrhythmias after PersAF
ablation, we aimed to compare outcomes of repeat ablation and medical therapy
versus medical therapy alone. METHODS AND RESULTS: All 682 consecutive patients
with recurrent symptomatic arrhythmia after a first ablation for PersAF at our
institution (2005-2012) were included. Repeat ablation with continuation of
medical therapy was performed in 364 patients (Group 1) and 318 were only
medically managed (Group 2). The outcome of interest was freedom from arrhythmia
recurrence beyond a 3-month blanking period. Separate analyses were performed to
assess this endpoint totally off antiarrhythmics (primary endpoint) or
alternatively with/without use of antiarrhythmics (secondary endpoint). Over a
median follow-up of 26 months, 41.5% of Group 1 patients met the primary endpoint
and remained free from arrhythmia recurrence off antiarrhythmics (vs. 14.5% in
Group 2, P < 0.0001). At last follow-up, antiarrhythmics continued to be required
for rhythm control in 40.1% and 46.2% of patients in Groups 1 and 2, respectively
(P < 0.0001). The secondary endpoint was met in 60.2% versus 32.1% of patients in
Groups 1 and 2, respectively (P < 0.0001). In multivariable Cox analyses, repeat
ablation was associated with significant reduction in arrhythmia recurrences
compared to medical therapy alone (HR 0.48, 95% CI 0.35-0.65, P < 0.0001).
CONCLUSION: In patients with recurrent symptomatic arrhythmia after ablation of
PersAF, repeat ablation was associated with significant reduction in arrhythmia
recurrences compared to routine medical therapy alone.
PMID- 29369442
TI - Negative nucleotide ions as sensitive probes for energy specificity in collision
induced fragmentation in mass spectrometry.
AB - RATIONALE: The most commonly used fragmentation methods in tandem mass
spectrometry (MS/MS) are collision-induced dissociation (CID) and higher energy
collisional dissociation (HCD). While in CID the preselected ions in the trap are
resonantly (and m/z exclusively) excited, in HCD the entire m/z range experiences
the dissociative acceleration. The different excitation is reflected in different
fragment distributions. METHODS: As a test-bed for particularly pronounced
fragmentation specificity, here MS/MS experiments on several 4-mer
oligonucleotides were conducted employing both collision methods and the results
were thoroughly compared. Oligonucleotides are shown to be sensitive probes to
subtle changes, especially in the negative ion mode. A detailed analysis of these
differences reveals insight into the dissociation mechanics. RESULTS: The
differences are represented in heat-maps, which allow for a direct visual
inspection of large amounts of data. In these false colour representations the,
sometimes subtle, changes in the individual dissociation product distributions
become distinct. Another advantage of these graphic plots can be found in the
formation of systematic patterns. These patterns reflect trends in dissociation
specificity which allow for the formulation of general rules in fragmentation
behavior. CONCLUSIONS: Instruments equipped with two different excitation schemes
for MS/MS are today widely available. Nonetheless, direct comparisons between the
individual results are scarcely made. Such comparative studies bear a powerful
analytical potential to elucidate fragmentation reaction mechanism.
PMID- 29369443
TI - Carbon-Dots-Based Lab-On-a-Nanoparticle Approach for the Detection and
Differentiation of Antibiotics.
AB - Fluorescent carbon dots (CDs) have received considerable attention in recent
years due to their superior optical properties. To take further advantages of
these unique features, herein, a CDs-based "lab-on-a-nanoparticle" approach for
the detection and discrimination of antibiotics is developed. The sensing
platform was designed based on the different channel's fluorescence recoveries or
further quenching of the full-color emissive CDs (F-CDs) and metal ion ensembles
upon the addition of antibiotics. The F-CDs exhibited unusually comparable
emission intensity nearly across the entire visible spectrum even as the
excitation wavelength is shifted, making it very suitable for the construction of
multi-channel sensing systems. The sensing platform was fabricated on the basis
of the competing interaction of metal ions with the F-CDs and antibiotics. Three
metal ions (i.e., Cu2+ , Ce3+ and Eu3+ ) can efficiently quench the fluorescence
of the F-CDs. Upon the addition of antibiotics, the fluorescent intensities
either recovered at different emission wavelengths or were further quenched to
various degrees. The fluorescence response patterns at different emission
wavelength were characteristic for each antibiotic and can be quantitatively
differentiated by standard statistical methods (e.g., hierarchical clustering
analysis and principal component analysis). Moreover, as an example, the proposed
method was applied for quantitative detection of oxytetracycline with a limit of
detection to be 0.06 MUm. Finally, the sensing system was successfully employed
for residual antibiotics detection and identification in real food samples.
PMID- 29369444
TI - Exploring the Effect of Polypyridyl Ligands on the Anticancer Activity of
Phosphorescent Iridium(III) Complexes: From Proteosynthesis Inhibitors to
Photodynamic Therapy Agents.
AB - A series of five kinetically inert bis-cyclometalated IrIII complexes of general
formula [Ir(C^N)2 (N^N)][PF6 ] [C^N=2-phenyl-1-[4-(trifluoromethyl)benzyl]-1H
benzo[d]imidazol-kappaN,C; N^N=1,10-phenanthroline (phen, 1), dipyrido[3,2
d:2',3'-f]quinoxaline (dpq, 2), dipyrido[3,2-a:2',3'-c]phenazine (dppz, 3),
benzo[i]dipyrido[3,2-a:2',3'-c]phenazine (dppn, 4), and dipyrido[3,2-a:2',3'
c]phenazine-10,11-imidazolone (dppz-izdo, 5)] were designed and synthesized to
explore the effect of the degree of pi conjugation of the polypyridyl ligand on
their toxicity in cancer cells. We show that less-lipophilic complexes 1 and 2
exhibit the highest toxicity [sub-micromolar inhibitory concentration (IC50 )
values] in A2780, HeLa, and MCF-7 cancer cells, and they are markedly more
efficient than clinically used platinum drugs. It is noteworthy that the
investigated Ir agents display the capability to overcome acquired and inherent
resistance to conventional cisplatin (in A2780cisR and MCF-7 cells,
respectively). We demonstrate that the Ir complexes, unlike clinically used
platinum antitumor drugs, do not kill cells through DNA-damage response. Rather,
they kill cells by inhibiting protein translation by targeting preferentially the
endoplasmic reticulum. Our findings also reveal that the toxic effect of the Ir
complexes can be significantly potentiated by irradiation with visible light (by
more than two orders of magnitude). The photopotentiation of the investigated Ir
complexes can be attributed to a marked increase (~10-30-fold) in intracellular
reactive oxygen species. Collectively, these data highlight the functional
diversity of antitumor metal-based drugs and the usefulness of a mechanism-based
rationale for selecting candidate agents that are effective against
chemoresistant tumors for further preclinical testing.
PMID- 29369445
TI - Selected maternal, fetal and placental trace element and heavy metal and maternal
vitamin levels in preterm deliveries with or without preterm premature rupture of
membranes.
AB - AIM: To compare maternal, fetal and placental trace element (magnesium, zinc and
copper) and heavy metal (cadmium and lead) and maternal vitamin (retinol, alpha
[alpha]-tocopherol, vitamin D3 , 25-hydroxyvitamin D3 and 1,25-dihydroxyvitamin
D3 ) levels in preterm deliveries with and without preterm premature rupture of
membranes (PPROM). METHODS: Sixty-eight patients giving birth preterm were
grouped into preterm deliveries with PPROM (n = 35) and without PPROM (n = 33).
Following delivery, maternal and umbilical cord blood sera and placental tissue
samples were obtained. While magnesium, zinc, copper, cadmium and lead levels
were measured in all samples, the levels of retinol, alpha-tocopherol, vitamin D3
, 25-hydroxyvitamin D3 and 1,25-dihydroxyvitamin D3 were measured only in
maternal serum. RESULTS: While magnesium level in maternal serum and zinc levels
in both maternal and umbilical cord sera were lower, placental magnesium level
was higher in preterm deliveries with PPROM (P < 0.01). Umbilical cord serum
magnesium, placental tissue zinc and maternal and umbilical cord sera and
placental tissue copper, cadmium, and lead levels did not differ between the
groups (P > 0.05). In preterm deliveries with PPROM, 25-hydroxyvitamin D3 and
retinol levels were higher, while vitamin D3 and 1,25-dihydroxyvitamin D3 levels
were lower in maternal serum (P < 0.05). Maternal serum alpha-tocopherol levels
were similar between the groups. CONCLUSION: Compared to spontaneous preterm
births, PPROM is associated with low maternal serum together with high placental
tissue magnesium and low maternal and umbilical cord sera zinc levels. Higher
retinol and 25-hydroxyvitamin D3 and lower vitamin D3 and 1,25-dihydroxyvitamin
D3 maternal serum levels are also evident in these patients.
PMID- 29369446
TI - Objective assessment of induced acute pain in neonatology with the Newborn Infant
Parasympathetic Evaluation index.
AB - BACKGROUND: Objective tools are needed to improve pain assessment in newborns.
The aim of this study was to assess the correlation between the Newborn Infant
Parasympathetic Evaluation (NIPE) index and two pain scales during a painful
procedure in premature infants. METHOD: Each baby born at least at 26 weeks of
gestational age (GA) undergoing a planned painful procedure in the Neonatal
Intensive Care Unit (NICU) was eligible. NIPE index, heart rate variability (HRV)
indices and Neonatal Acute Pain scale (DAN) were recorded across three periods:
the first at rest 5 min before the painful procedure (T1), the second during it
(T2) and the third 3 min after the end of it (T3). The Premature Infant Pain
Profile-Revised (PIPP-R) pain scale was recorded at T2. RESULTS: Sixty-four
recordings were performed in 29 preterm infants (mean GA = 29.9 +/- 4.2 weeks).
Twenty-eight tachograms were coupled to NIPE for analysis. We did not find a
correlation between the NIPE index and DAN and PIPP-R at the pain time T2.
Between T1 and T2, heart rate was higher (159 +/- 16 vs. 169 +/- 12, p < 0.001).
Considering the linear HRV indices, we did not observe a modification in
parasympathetic or sympathetic activity, while for the nonlinear HRV indices (H
exponent, Approximate and conditional Entropy), a significant change towards a
loss of physiological chaotic cardiac behaviour was detected. CONCLUSIONS: The
NIPE index seems to be not reliable to assess acute pain in the preterm infant,
but other HRV indices could be explored as additional tools next to pain scales
in NICUs. SIGNIFICANCE: The NIPE monitor was developed for objective pain
assessment in neonates based on HFnu variations, but it does not seem reliable
enough for assessing acute pain in real time in preterm neonates. Pain assessment
in preterm babies still relies on pain scales.
PMID- 29369448
TI - Prevalence of axis II comorbidities in bipolar disorder: relationship to mood
state.
AB - OBJECTIVES: A high incidence of Axis II personality disorders is described in
patients with bipolar disorder; however, their relationship to mood state remains
uncertain. METHODS: A total of 966 outpatients with bipolar disorder gave
informed consent and filled out the Personality Disorder Questionnaire, 4th
edition (PDQ4) and a questionnaire on demographics and course of illness prior to
Bipolar Treatment Outcome Network entry at average age 41 years. Patients were
rated at each visit for depression on the Inventory of Depressive Symptoms
Clinician version (IDS-C) and for mania on the Young Mania Rating Scale (YMRS).
In a subgroup, the PDQ4 was retaken during periods of depression and euthymia.
RESULTS: Patients met criteria for most personality disorders at a much higher
rate when they took the PDQ4 while depressed compared to while euthymic, and
scores were significantly related to the severity of depression (IDS) and of
mania (YMRS) assessed within 2 weeks of taking the PDQ. Even when euthymic, more
than quarter to half of the patients met criteria for a cluster A, B or C
personality disorder. CONCLUSIONS: A wide range of personality disorders occur in
bipolar patients, but are highly dependent on filling out the form while
depressed compared to while euthymic. How this relates to having a personality
disorder assessed using a structured clinical interview remains to be tested.
However, higher PDQ4 scores are related to an earlier age of onset of bipolar
disorder and other factors portending a more difficult course of bipolar
disorder, and the optimal treatment of these patients remains to be illuminated.
PMID- 29369449
TI - Biomarkers for acute kidney injury in decompensated cirrhosis: A Prospective
Study.
AB - BACKGROUND: Acute kidney injury (AKI) is a frequent complication in cirrhotic
patients. As serum creatinine is a poor marker of renal function in this
population, we aimed to study the utility of several biomarkers in this context.
METHODS: A prospective study was conducted in hospitalized patients with
decompensated cirrhosis. Serum creatinine (SCr), Cystatin C (CystC), NGAL and
urinary NGAL, KIM-1, protein, albumin and sodium were measured on three separate
occasions. Renal resistive index (RRI) was obtained. We analyzed the value of
these biomarkers to determine the presence of AKI, its etiology [prerenal, acute
tubular necrosis (ATN), or hepatorenal (HRS)], its severity and a composite
clinical outcome at 30 days (death, dialysis and intensive care admission).
RESULTS: We included 105 patients, of which 55 had AKI. SCr, CystC, NGAL (plasma
and urinary), urinary sodium and RRI at inclusion were independently associated
with the presence of AKI. SCr, CystC and plasma NGAL were able to predict the
subsequent development of AKI. Pre-renal state showed lower levels of SCr, NGAL
(plasma and urinary) and RRI. ATN patients had high levels of NGAL (plasma and
urinary) as well as urinary protein and sodium. HRS patients presented an
intermediate pattern. All biomarkers paralleled the severity of AKI. SCr, CystC
and plasma NGAL predicted the development of the composite clinical outcome with
the same performance as the MELD score. CONCLUSIONS: In patients with
decompensated cirrhosis, early measurement of renal biomarkers provides valuable
information on AKI etiology. It could also improve AKI diagnosis and prognosis.
PMID- 29369450
TI - Pain and sensitization after total knee replacement or nonsurgical treatment in
patients with knee osteoarthritis: Identifying potential predictors of outcome at
12 months.
AB - BACKGROUND: This study is a secondary analysis of 12-month follow-ups from two
parallel, randomized controlled trials (RCT) in painful knee osteoarthritis
patients. RCT1: Total knee replacement (TKR) followed by nonsurgical treatment
compared with nonsurgical treatment. RCT2: Nonsurgical treatment compared with
usual care. The aims were to investigate (1) possible predictors of treatment
outcome after TKR and nonsurgical interventions at 12 months, (2) associations
between pain intensity and pressure pain thresholds (PPTs) (pain sensitization)
at baseline and after 12 months and (3) possible gender differences. METHOD: Each
RCT included 100 patients. Pain intensities, PPTs and number of painful sites
were assessed at baseline and after 12 months. RESULTS: In all groups, pain
improved and pain sensitization decreased. In RCT1, the TKR group had the
greatest improvements in pain. In RCT2 the nonsurgical group had the greatest
improvement, with no between-group differences in PPTs. Lower PPTs at baseline
predicted higher pain after TKR. Baseline pain intensity and PPT levels were
associated with the number of painful sites. Subjects with the highest pain and
lowest PPTs at baseline showed the largest relative improvement in pain and
sensitization but were still experiencing highest absolute pain and lowest PPTs
after 12 months (combined cohorts). CONCLUSION: Low PPTs at baseline predicted
worse pain outcome after TKR, but did not predict outcome after nonsurgical
interventions. The number of painful sites was weakly associated with pain and
PPTs, and the higher pain/lower PPTs, the higher pain/lower PPTs at 12 months
with females showing the lowest PPT values. SIGNIFICANCE: Human experimental pain
assessment was used to assess the degree of pain sensitization in patients with
painful knee osteoarthritis. High sensitization before TKR predicted worse
outcome. Outcome after nonsurgical interventions could not be predicted.
PMID- 29369451
TI - Feasibility of single-shot multi-level multi-angle diffusion tensor imaging of
the human cervical spinal cord at 7T.
AB - PURPOSE: Diffusion tensor imaging (DTI), which is frequently used to characterize
microstructure impairments in many spinal cord diseases at clinical fields, may
benefit from 7T investigations. Yet, it presents specific technical challenges,
such as increased magnetic susceptibility-induced image distortions. METHODS:
Eight healthy volunteers were scanned at 7T using a prototype diffusion multi
slice multi-angle (MSMA) single-shot spin-echo echo planar imaging (EPI) sequence
developed to explore the whole cervical spinal cord while limiting the partial
volume effects related to the cord curvature. To mitigate the increased
susceptibility-induced distortions encountered at 7T, a reverse phase-encoding
strategy was also used. Images acquired from C1-to-C7 were registered to the
AMU40 template to automatically extract DTI metrics in gray matter/white matter
regions of interest. Effects of B1+ inhomogeneities on the DTI metrics and
repeatability of the measurements were also investigated. Lastly, a DTI
acquisition with a 400-um in-plane resolution was acquired on one volunteer to
push forward 7T potentialities. RESULTS: The MSMA sequence allowed accessing to
high-resolution axial diffusion images sampling the whole cord within a single
acquisition. DTI metrics were found in agreement with literature at lower field,
stable along a 50-120% relative B1+ variation range, with a mean inter-scan
coefficient of variation of 8%. The two--fold spatial-resolution increase of the
additional DTI acquisition enabled main white matter tracts visualization on a
single-subject basis. CONCLUSION: Although C7-level imaging needs some
improvement, this preliminary study shows that transverse 7T DTI of the whole
cervical spinal cord is feasible, laying the groundwork for improved multi
parametric MR investigations and microstructure characterization of the spinal
cord. Magn Reson Med 80:947-957, 2018. (c) 2018 International Society for
Magnetic Resonance in Medicine.
PMID- 29369447
TI - Critical reappraisal of mechanistic links of copy number variants to dimensional
constructs of neuropsychiatric disorders in mouse models.
AB - Copy number variants are deletions and duplications of a few thousand to million
base pairs and are associated with extraordinarily high levels of autism spectrum
disorder, schizophrenia, intellectual disability, or attention-deficit
hyperactivity disorder. The unprecedented levels of robust and reproducible
penetrance of copy number variants make them one of the most promising and
reliable entry points to delve into the mechanistic bases of many mental
disorders. However, the precise mechanistic bases of these associations still
remain elusive in humans due to the many genes encoded in each copy number
variant and the diverse associated phenotypic features. Genetically engineered
mice have provided a technical means to ascertain precise genetic mechanisms of
association between copy number variants and dimensional aspects of mental
illnesses. Molecular, cellular, and neuronal phenotypes can be detected as
potential mechanistic substrates for various behavioral constructs of mental
illnesses. However, mouse models come with many technical pitfalls. Genetic
background is not well controlled in many mouse models, leading to rather obvious
interpretative issues. Dose alterations of many copy number variants and single
genes within copy number variants result in some molecular, cellular, and
neuronal phenotypes without a behavioral phenotype or with a behavioral phenotype
opposite to what is seen in humans. In this review, I discuss technical and
interpretative pitfalls of mouse models of copy number variants and highlight
well-controlled studies to suggest potential neuronal mechanisms of dimensional
aspects of mental illnesses. Mouse models of copy number variants represent
toeholds to achieve a better understanding of the mechanistic bases of dimensions
of neuropsychiatric disorders and thus for development of mechanism-based
therapeutic options in humans.
PMID- 29369452
TI - Accuracy of Computed Tomography in Diagnosis of Intra-abdominal Injuries in
Stable Patients With Anterior Abdominal Stab Wounds: A Systematic Review and Meta
analysis.
AB - BACKGROUND: Workup for patients presenting to the emergency department (ED)
following an anterior abdominal stab wound (AASW) has been debated since the
1960s. Experts agree that patients with peritonitis, evisceration, or hemodynamic
instability should undergo immediate laparotomy (LAP); however, workup of stable,
asymptomatic or nonperitoneal patients is not clearly defined. OBJECTIVES: The
objective was to evaluate the accuracy of computed tomography of abdomen and
pelvis (CTAP) for diagnosis of intraabdominal injuries requiring therapeutic
laparotomy (THER-LAP) in ED patients with AASW. Is a negative CT scan without a
period of observation sufficient to safely discharge a hemodynamically stable,
asymptomatic AASW patient? METHODS: We searched PubMed, Embase, and Scopus from
their inception until May 2017 for studies on ED patients with AASW. We defined
the reference standard test as LAP for patients who were managed surgically and
inpatient observation in those who were managed nonoperatively. In those who
underwent LAP, THER-LAP was considered as disease positive. We used the Quality
Assessment Tool for Diagnostic Accuracy Studies (QUADAS-2) to evaluate the risk
of bias and assess the applicability of the included studies. We attempted to
compute the pooled sensitivity, specificity, positive likelihood ratio (LR+), and
negative likelihood ratio (LR-) using a random-effects model with MetaDiSc
software and calculate testing and treatment thresholds for CT scan applying the
Pauker and Kassirer model. RESULTS: Seven studies were included encompassing 575
patients. The weighted prevalence of THER-LAP was 34.3% (95% confidence interval
[CI] = 30.5%-38.2%). Studies had variable quality and the inclusion criteria were
not uniform. The operating characteristics of CT scan were as follows:
sensitivity = 50% to 100%, specificity = 39% to 97%, LR+ = 1.0 to 15.7, and LR- =
0.07 to 1.0. The high heterogeneity (I2 > 75%) of the operating characteristics
of CT scan prevented pooling of the data and therefore the testing and treatment
thresholds could not be estimated. DISCUSSION: The articles revealed a high
prevalence (8.7%, 95% CI = 6.1%-12.2%) of injuries requiring THER-LAP in patients
with a negative CT scan and almost half (47%, 95% CI = 30%-64%) of those injuries
involved the small bowel. CONCLUSIONS: In stable AASW patients, a negative CT
scan alone without an observation period is inadequate to exclude significant
intraabdominal injuries.
PMID- 29369454
TI - Comparative study of Helicobacter pylori eradication rates of concomitant therapy
vs modified quadruple therapy comprising proton-pump inhibitor, bismuth,
amoxicillin, and metronidazole in Korea.
AB - BACKGROUND: The standard triple Helicobacter pylori regimen now shows
unacceptably low treatment success in Korea. Administration of the concomitant
therapy for 10 days, which has a high cure rate, is recommended as an alternative
first-line treatment in areas of high clarithromycin resistance including Korea.
Recently, modified bismuth-containing quadruple therapy with amoxicillin (PAM-B
therapy) showed excellent results, regardless of dual clarithromycin and
metronidazole resistance. This study compared the concomitant therapy with PAM-B
therapy as a first-line treatment for H. pylori infection. METHOD: Subjects
infected with H. pylori and naive to treatment were performed a head-to-head
comparison between 10-day concomitant therapy [rabeprazole 20 mg, amoxicillin 1
g, clarithromycin 500 mg, and metronidazole 500 mg twice daily] and 14-day PAM-B
therapy [rabeprazole 20 mg, amoxicillin 1 g, metronidazole 750 mg, and
tripotassium dicitrato bismuthate 600 mg (elemental bismuth 240 mg) twice daily].
Six weeks after treatment, H. pylori eradication was assessed. RESULTS: Two
hundred and seventy subjects were randomized. Both regimens achieved high cure
rates: 83.0% (112/135) and 88.1% (119/135) by the intention-to-treat analysis and
95.5% (106/111) and 96.6% (114/118) by the per-protocol analysis, respectively.
The intention-to-treat and per-protocol analyses revealed no statistically
significant difference in the eradication rate (P = .299 and P = .743,
respectively). Rates of adverse events were similar between groups (25.2% vs
23.0%, P -value: .776) Adverse events, which resulted in poor compliance,
occurred in six patients of each group, but there were no serious complications.
CONCLUSIONS: PAM-B therapy is as effective as concomitant therapy for eradicating
H. pylori with comparative safety. PAM-B therapy is regarded as a promising
alternative to standard triple therapy for a first-line eradication in Korea.
PMID- 29369455
TI - Development and validation of the Cholinergic Urticaria Quality-of-Life
Questionnaire (CholU-QoL).
AB - BACKGROUND: Cholinergic urticaria (CholU), a common form of chronic inducible
urticaria, is characterized by itchy weals that occur in response to physical
exercise or passive warming. CholU patients frequently exhibit a high burden of
disease. As of yet, no specific instrument is available to assess their disease
related quality-of-life (QoL) impairment. OBJECTIVE: The aim of this study was to
develop and validate the first disease-specific QoL instrument for CholU
patients, the Cholinergic Urticaria Quality-of-Life Questionnaire (CholU-QoL).
METHODS: Using a combined approach of the literature search, semistructured
patient interviews and expert opinion, we developed 96 potential CholU-QoL items.
Subsequent item selection was performed by means of impact analysis complemented
by an expert review for face validity. The resulting final CholU-QoL was then
tested for levels of validity, reliability and influence factors in 88 CholU
patients. In parallel, an US American-Canadian English version of the CholU-QoL
was developed. RESULTS: The final 28-item CholU-QoL was found to have a 5-domain
structure ("symptoms," "functional life," "social interaction," "therapy,"
"emotions") with excellent internal consistency. The CholU-QoL also showed a
valid total score, and good levels of convergent validity, known-groups validity,
as well as test-retest reliability. Multiple regression analysis found no
significant drivers of the CholU-QoL total score. CONCLUSIONS AND CLINICAL
RELEVANCE: The CholU-QoL is the first disease-specific QoL instrument for CholU
and also the first specific QoL measure in the field of chronic inducible
urticarias. It may serve as a valuable tool for clinical trials and improve
routine patient management.
PMID- 29369456
TI - Assessment of efficacy and safety of eslicarbazepine acetate for the treatment of
trigeminal neuralgia.
AB - BACKGROUND: Antiepileptic drugs are the first-line treatment for trigeminal
neuralgia (TN). Carbamazepine and oxcarbazepine are the most studied with well
known efficacy. Eslicarbazepine acetate is a third-generation antiepileptic drug
that has not previously been evaluated for the treatment of TN. We aim to assess
the efficacy, tolerability and safety of eslicarbazepine for TN. DESIGN AND
METHODS: Retrospective, open-label, multicentric, intention-to-treat study. We
included patients older than 18 years who met the ICHD-3 beta diagnostic criteria
for TN. We evaluated the variation of intensity and frequency of pain paroxysms
before and after treatment with eslicarbazepine. Secondary objectives assessed
were tolerability and safety of eslicarbazepine. RESULTS: Eighteen patients were
included, 15 women, mean age 65.2 years old, mean follow-up 21.1 months. The mean
number of drugs tested before eslicarbazepine was 2; 10 patients used
eslicarbazepine as monotherapy. After the treatment with ESL, the median of pain
intensity improved from 9.5 to 2.5 (p < 0.001) and the median of pain paroxysms
frequency improved from 70 episodes per week to 0.37 (p < 0.001). Responder rate
was 88.9%; 44.4% became asymptomatic after treatment. Sixty-one per cent of
patients presented some adverse event; four patients discontinued eslicarbazepine
for this reason. Despite this, 16 patients (88.9%) noticed a good subjective
tolerance to eslicarbazepine. The retention rate at 6 months was 77.8% and at 12
months 61.1%. CONCLUSIONS: Our study supports the hypothesis that eslicarbazepine
acetate is an effective, safe and well-tolerated treatment for the treatment of
TN. Further studies are warranted to corroborate these results. SIGNIFICANCE:
Eslicarbazepine acetate has shown to be an effective, safe and well-tolerated
drug for TN. This is the first study that evaluated the efficacy of this drug on
TN in humans.
PMID- 29369457
TI - The economic burden of epilepsy in Bhutan.
AB - OBJECTIVE: To assess the economic impact of epilepsy in Bhutan, a lower-middle
income country with a universal health care system, but with limited access to
neurological care. METHODS: A cross-sectional survey was conducted of patients
with epilepsy at the Jigme Dorji Wangchuk National Referral Hospital from January
to August 2016. Data were collected on clinical features, cost of care, impact of
epilepsy on school or work and household economic status of participants and
matched comparisons (a sibling or neighbour from a household without epilepsy).
RESULTS: A total of 172 individuals were included in the study (130 adults and 42
children). One-third of adults and 20 (48%) children had seizures at least once
per month. Mean direct out-of-pocket cost for epilepsy care was 6054 Bhutanese
Ngultrum (BTN; 91 USD) per year, of which transportation formed the greatest
portion (53%). Direct costs of epilepsy were an average of 3.2% of annual
household income. Adults missed 6.8 (standard deviation [SD]: 9.0) days of work
or school per year on average, and children missed 18.6 (SD: 34.7) days of
school. Among adults, 23 (18%) abandoned employment or school because of
epilepsy; seven children (18%) stopped school because of epilepsy. Households
with a person with epilepsy had a lower monthly per-person income (6434 BTN) than
comparison households without epilepsy (8892 BTN; P = 0.027). CONCLUSIONS: In
Bhutan, despite universal health care services, households of people with
epilepsy face a significant economic burden. With many adults and children unable
to attend school or work, epilepsy causes a major disruption to individuals'
livelihoods.
PMID- 29369458
TI - Clinical reactivity of celery cultivars in allergic patients: Role of Api g 1.
AB - BACKGROUND: Celery (Apium graveolens L.) is a vegetable consumed world-wide.
Celery stalks and celeriac roots are often ingredients in convenient food
products like spice blends and soups. OBJECTIVE: In this study, we examined the
allergenicity of distinct celeriac cultivars. METHODS: Sixteen celery-allergic
patients were identified using a double-blind, placebo-controlled food challenge.
Ten different celeriac cultivars were used for skin prick testing in the
patients. Two cultivars were further applied for oral food challenges; their
protein composition was analysed by immunoblotting, and contents of major
allergen Api g 1 were quantified. RESULTS: From the 10 investigated celeriac
cultivars, two cultivars elicited significantly different skin reactivity
("Anita": 5.0 [2.0-12.0] mm vs "Prinz": 7.0 [3.0-9.5] mm; P = .047). Moreover,
"Anita" induced fewer symptoms after a controlled oral-celeriac challenge in 14
patient (P < .001). The protein profiles on 2DE protein gels showed distinct
protein patterns and higher protein amounts of Api g 1 in "Prinz" than in
"Anita." CONCLUSIONS AND CLINICAL RELEVANCE: Taken together, the data from this
study suggest that cultivar Anita is better tolerated in celery-allergic patients
than "Prinz." Differences in the protein expression profile between the
cultivars, particularly the different content of Api g 1, could cause the
different allergenicity.
PMID- 29369459
TI - Breeding implications of drought stress under future climate for upland rice in
Brazil.
AB - Rice is the most important food crop in the developing world. For rice production
systems to address the challenges of increasing demand and climate change,
potential and on-farm yield increases must be increased. Breeding is one of the
main strategies toward such aim. Here, we hypothesize that climatic and
atmospheric changes for the upland rice growing period in central Brazil are
likely to alter environment groupings and drought stress patterns by 2050,
leading to changing breeding targets during the 21st century. As a result of
changes in drought stress frequency and intensity, we found reductions in
productivity in the range of 200-600 kg/ha (up to 20%) and reductions in yield
stability throughout virtually the entire upland rice growing area (except for
the southeast). In the face of these changes, our crop simulation analysis
suggests that the current strategy of the breeding program, which aims at
achieving wide adaptation, should be adjusted. Based on the results for current
and future climates, a weighted selection strategy for the three environmental
groups that characterize the region is suggested. For the highly favorable
environment (HFE, 36%-41% growing area, depending on RCP), selection should be
done under both stress-free and terminal stress conditions; for the favorable
environment (FE, 27%-40%), selection should aim at testing under reproductive and
terminal stress, and for the least favorable environment (LFE, 23%-27%),
selection should be conducted for response to reproductive stress only and for
the joint occurrence of reproductive and terminal stress. Even though there are
differences in timing, it is noteworthy that stress levels are similar across
environments, with 40%-60% of crop water demand unsatisfied. Efficient crop
improvement targeted toward adaptive traits for drought tolerance will enhance
upland rice crop system resilience under climate change.
PMID- 29369460
TI - Fluoride-Catalyzed Esterification of Amides.
AB - In recent years, it has been demonstrated that amide carbon-nitrogen bonds can be
activated and selectively cleaved using transition metal catalysts. However,
these methodologies have been restricted to specific amides; a one-to-one
relationship exists between the catalytic system and the amides and also uses
large amounts of transition-metal catalysts and ligands. Hence, we now report a
general strategy for esterification of common amides using fluoride as a
catalyst. This method shows high functional group tolerance, and notably it
requires only a slight excess of the alcohol nucleophile, which is a rare case in
transition-metal-free amide transformations. Moreover, this approach may provide
a new understanding for further studies on esterification of amides and is
expected to stimulate the development of alternative methods for direct
functionalization of amides.
PMID- 29369462
TI - Base-Free Generation of Organic Electron Donors from Air-Stable Precursors.
AB - Organic electron donors (OEDs) are powerful reducing agents recognized for their
potential in the reduction of challenging substrates and in original
applications. Nonetheless, their low stability in atmospheric oxygen or over time
complicates their manipulation and storage. To overcome these constraints and
enhance OED practicality, new air- and moisture-stable aminopyridinium
carboxylate and carbonate precursors were synthesized and thermally activated to
generate the potent electron donor in situ. Carboxylate adducts proved to be
excellent latent OED systems, enabling the facile and efficient reduction of
challenging substrates. Their reduction properties were correlated to their
structural characteristics by thermogravimetric and spectroscopic analysis.
PMID- 29369461
TI - Modulation of AKR1C2 by curcumin decreases testosterone production in prostate
cancer.
AB - Intratumoral androgen biosynthesis has been recognized as an essential factor of
castration-resistant prostate cancer. The present study investigated the effects
of curcumin on the inhibition of intracrine androgen synthesis in prostate
cancer. Human prostate cancer cell lines, LNCaP and 22Rv1 cells were incubated
with or without curcumin after which cell proliferation was measured at 0, 24, 48
and 72 hours, respectively. Prostate tissues from the transgenic adenocarcinoma
of the mouse prostate (TRAMP) model were obtained after 1-month oral
administration of 200 mg/kg/d curcumin. Testosterone and dihydrotestosterone
concentrations in LNCaP prostate cancer cells were determined through LC-MS/MS
assay. Curcumin inhibited cell proliferation and induced apoptosis of prostate
cancer cells in a dose-dependent manner. Curcumin decreased the expression of
steroidogenic acute regulatory proteins, CYP11A1 and HSD3B2 in prostate cancer
cell lines, supporting the decrease of testosterone production. After 1-month
oral administration of curcumin, Aldo-Keto reductase 1C2 (AKR1C2) expression was
elevated. Simultaneously, decreased testosterone levels in the prostate tissues
were observed in the TRAMP mice. Meanwhile, curcumin treatments considerably
increased the expression of AKR1C2 in prostate cancer cell lines, supporting the
decrease of dihydrotestosterone. Taken together, these results suggest that
curcumin's natural bioactive compounds could have potent anticancer properties
due to suppression of androgen production, and this could have therapeutic
effects on prostate cancer.
PMID- 29369464
TI - Genome Mining of the Lipopeptide Biosynthesis of Paenibacillus polymyxa E681 in
Combination with Mass Spectrometry: Discovery of the Lipoheptapeptide
Paenilipoheptin.
AB - Paenibacillus polymyxa strains are qualified for agro-biotechnological uses such
as plant growth promotion and for biocontrol strategies against deleterious
phytopathogenic competitors in the soil depending on their attractive arsenal of
bioactive compounds. Moreover, they are potent producers of antibiotics for
medical applications. To identify new products of such organisms, genome mining
strategies in combination with mass spectrometry are the methods of choice.
Herein, we performed such studies with the Paenibacillus strain E681.
Bioinformatic evaluation of its genome sequence revealed four gene clusters A-D
encoding nonribosomal peptide synthetases (NRPSs). Accordingly, four lipopeptide
families were detected by matrix-assisted laser desorption/ionization time-of
flight mass spectrometry (MALDI-TOF MS). Clusters A and D codify the well known
fusaricidins and polymyxins. A yet-unknown lipoheptapeptide was discovered and
structurally characterized by de novo sequencing by using MALDI-LIFT-TOF/TOF MS.
It was designated as paenilipoheptin. From structure predictions we infer that
the production of this agent is encoded by gene cluster C. Gene cluster B encodes
the synthesis of tridecaptins, a family of open-chain lipotridecapeptides. Strain
E681 produces new subspecies of such compounds (tridecaptins E) showing
variations both in their fatty-acid part as well as in their peptide part.
PMID- 29369465
TI - Bevacizumab and Bleomycin combination for treatment of orbital lymphatico-venous
malformation recalcitrant to sclerosing therapy alone.
PMID- 29369463
TI - Evaluation of the accuracy and clinical practicality of a calculation system for
patient positional displacement in carbon ion radiotherapy at five sites.
AB - PURPOSE: We developed a system for calculating patient positional displacement
between digital radiography images (DRs) and digitally reconstructed radiography
images (DRRs) to reduce patient radiation exposure, minimize individual
differences between radiological technologists in patient positioning, and
decrease positioning time. The accuracy of this system at five sites was
evaluated with clinical data from cancer patients. The dependence of calculation
accuracy on the size of the region of interest (ROI) and initial position was
evaluated for clinical use. METHODS: For a preliminary verification, treatment
planning and positioning data from eight setup patterns using a head and neck
phantom were evaluated. Following this, data from 50 patients with prostate,
lung, head and neck, liver, or pancreatic cancer (n = 10 each) were evaluated.
Root mean square errors (RMSEs) between the results calculated by our system and
the reference positions were assessed. The reference positions were manually
determined by two radiological technologists to best-matching positions with
orthogonal DRs and DRRs in six axial directions. The ROI size dependence was
evaluated by comparing RMSEs for three different ROI sizes. Additionally,
dependence on initial position parameters was evaluated by comparing RMSEs for
four position patterns. RESULTS: For the phantom study, the average (+/- standard
deviation) translation error was 0.17 +/- 0.05, rotation error was 0.17 +/- 0.07,
and DeltaD was 0.14 +/- 0.05. Using the optimal ROI size for each patient site,
all cases of prostate, lung, and head and neck cancer with initial position
parameters of 10 mm or under were acceptable in our tolerance. However, only four
liver cancer cases and three pancreatic cancer cases were acceptable, because of
low-reproducibility regions in the ROIs. CONCLUSION: Our system has clinical
practicality for prostate, lung, and head and neck cancer cases. Additionally,
our findings suggest ROI size dependence in some cases.
PMID- 29369466
TI - Luminescent thermometer based on Eu3+ /Tb3+ -organic-functionalized mesoporous
silica.
AB - In this work we investigate a mesoporous silica (MS) decorated with dipyridyl
pyridazine (dppz) ligands and further grafted with a mixture of Eu3+ /Tb3+ ions
(28.45%:71.55%), which was investigated as a potential thermometer in the 10-360
K temperature range. The MS material was prepared employing a hetero Diels-Alder
reaction: 3,6-di(2-pyridyl)-1,2,4,5-tetrazine was reacted with the double bonds
of vinyl-silica (vSilica) followed by an oxidation procedure. We explore using
the dppz-vSilica material to obtain visible emitting luminescent materials and
for obtaining a luminescent thermometer when grafted with Eu3+ /Tb3+ ions. For
the dppz-vSilica@Eu,Tb material absolute sensitivity Sa of 0.011 K-1 (210 K) and
relative sensitivity Sr of 1.32 %K-1 (260 K) were calculated showing good sensing
capability of the material. Upon temperature change from 10 K to 360 K the
emission color of the material changed gradually from yellow to red.
PMID- 29369468
TI - Temporally stable frequency mapping using continuous wavelet transform analysis
in patients with persistent atrial fibrillation.
AB - INTRODUCTION: Dominant frequency (DF) derived from fast Fourier transform (FFT)
analysis has failed to guide atrial fibrillation (AF) ablation since it cannot
guarantee temporal stability. Continuous wavelet transform (CWT) analysis is
another frequency analysis that can show the temporal stability of a frequency.
METHODS AND RESULTS: Forty-four consecutive patients with persistent AF (PeAF)
underwent pulmonary vein (PV) isolation (PVI) as the first-time catheter
ablation. The PVs and left atrium were mapped and electrograms (EGMs) were
recorded for 30 seconds at each site. Pseudo-frequency (PF) and coefficient of
variation (CV) were calculated by CWT analysis. A PF with CV <= 10 was defined as
a temporally stable PF (sPF). DF was also calculated by traditional FFT analysis
from the first 5 seconds of the recorded EGMs. The highest sPF was shown inside
the PVs in 20 patients (PV group), and at the non-PV sites in 24 patients (non-PV
group). During the follow-up period of 15.3 +/- 4.4 months, the ablation success
rate in the PV group was significantly higher than that in the non-PV group (90%
vs. 62%, P = 0.023). The location of the highest DF did not have a significant
effect on ablation success rate between inside the PVs and at the non-PV sites.
CONCLUSION: PVI results for PeAF were significantly worse for patients with
highest sPF at the non-PV sites compared to patients with highest sPF sites
inside the PVs. CWT analysis during AF could be used to verify whether PVI alone
is sufficient for the first-time catheter ablation in patients with PeAF.
PMID- 29369467
TI - Understanding hepatitis C intervention success-Qualitative findings from the
HepCATT study.
AB - The United Kingdom has committed to eliminating viral hepatitis as a public
health threat. Innovative interventions for marginalized populations are required
to realize this goal. In 2016, the HepCATT study team implemented a complex
hepatitis C (HCV) intervention in three English drug treatment services, with
five controls. We report qualitative study findings from two intervention sites
to explore intervention success and transferability potential. The intervention
comprised multiple components, including a nurse facilitator, peer support and
education initiatives. Qualitative data were generated at baseline (2014) and
post-intervention (2016) at two sites through in-depth interviews, focus groups
and observations. The 96 participants comprised drug service and intervention
providers and clients with an injecting history. Data were triangulated and
thematically analysed. Client engagement with a HCV treatment service rose from
16 at baseline to 147 in 2016. There was no comparable increase at the five
control sites. Baseline testing and treatment barriers included the following:
limited HCV knowledge; fear of diagnosis and treatment; precarious living
circumstances and service-specific obstacles. Treatment engagement was aided by
intervention timeliness; improved communication structures; personalized care;
streamlined testing and treatment pathways; peer support. Multiple interrelated
components influenced the increased levels of treatment engagement documented in
HepCATT. The nurse facilitator, involved in implementation and innovation, was
key to intervention success. Baseline barriers correspond with international
literature-indicating transferability potential. Control data indicate that
biomedical innovation alone is not sufficient to increase engagement among the
most marginalized. Sustainable resourcing of community services is crucial to
effect change.
PMID- 29369469
TI - Crassulacean acid metabolism in the Basellaceae (Caryophyllales).
AB - C4 and crassulacean acid metabolism (CAM) have evolved in the order
Caryophyllales many times but neither C4 nor CAM have been recorded for the
Basellaceae, a small family in the CAM-rich sub-order Portulacineae. 24 h gas
exchange and day-night changes in titratable acidity were measured in leaves of
Anredera baselloides exposed to wet-dry-wet cycles. While net CO2 uptake was
restricted to the light period in well-watered plants, net CO2 fixation in the
dark, accompanied by significant nocturnal increases in leaf acidity, developed
in droughted plants. Plants reverted to solely C3 photosynthesis upon rewatering.
The reversible induction of nocturnal net CO2 uptake by drought stress indicates
that this species is able to exhibit CAM in a facultative manner. This is the
first report of CAM in a member of the Basellaceae.
PMID- 29369470
TI - Hypothermic oxygenated machine perfusion reduces bile duct reperfusion injury
after transplantation of donation after circulatory death livers.
AB - Dual hypothermic oxygenated machine perfusion (DHOPE) of the liver has been
advocated as a method to reduce ischemia/reperfusion injury (IRI). This study
aimed to determine whether DHOPE reduces IRI of the bile ducts in donation after
circulatory death (DCD) liver transplantation. In a recently performed phase 1
trial, 10 DCD livers were preserved with DHOPE after static cold storage (SCS;
www.trialregister.nl NTR4493). Bile duct biopsies were obtained at the end of SCS
(before DHOPE; baseline) and after graft reperfusion in the recipient.
Histological severity of biliary injury was graded according to an established
semiquantitative grading system. Twenty liver transplantations using DCD livers
not preserved with DHOPE served as controls. Baseline characteristics and the
degree of bile duct injury at baseline (end of SCS) were similar between both
groups. In controls, the degree of stroma necrosis (P = 0.002) and injury of the
deep peribiliary glands (PBG; P = 0.02) increased after reperfusion compared with
baseline. In contrast, in DHOPE-preserved livers, the degree of bile duct injury
did not increase after reperfusion. Moreover, there was less injury of deep PBG
(P = 0.04) after reperfusion in the DHOPE group compared with controls. In
conclusion, this study suggests that DHOPE reduces IRI of bile ducts after DCD
liver transplantation. Liver Transplantation 24 655-664 2018 AASLD.
PMID- 29369471
TI - Management of pregnancy complicated with intracranial arteriovenous malformation.
AB - AIM: To clarify the perinatal outcomes in pregnancy complicated with intracranial
arteriovenous malformation (i-AVM). METHODS: A retrospective study was performed
in 36 pregnancies complicated by i-AVM from 1981 to 2013 at one institution.
RESULTS: In total, 6 women miscarried, and 30 had live births. The median (range)
gestational age at delivery was 38 (24-40) weeks; 11 cases experienced initial i
AVM rupture during pregnancy (first, second and third trimester: 18%, 64% and
18%, respectively). At onset, 4 cases had a Glasgow Coma Scale <=10, 10 cases
needed emergency maternal transport, 4 underwent neurosurgery with the fetus in
utero and 4 had termination of pregnancy in the second trimester for emergent
treatment for i-AVM. Two cases delivered vaginally. Another 25 cases had already
been diagnosed as i-AVM at conception. Of these, as an indication for epidural
birth, 18 cases had either residual lesion of i-AVM or neurological symptoms,
although 18 cases had received treatments of i-AVM before conception. Without
rupture of i-AVM and worsening of symptoms, 15 cases succeeded in epidural birth.
One case was delivered by cesarean section for residual i-AVM with indication of
treatment. Another case who had refused treatment of i-AVM experienced rupture of
i-AVM 1 year after delivery. CONCLUSION: Most of the cases with residual i-AVM
lesion and neurological symptoms could deliver vaginally without worsening of
symptoms. However, pregnancy with i-AVM can be complicated by rupture of i-AVM.
In cases with a residual lesion with indication of treatment and rupture of i-AVM
during pregnancy, meticulous care is required during pregnancy and after
delivery.
PMID- 29369472
TI - Evaluation of autophagy induction on HEV 239 vaccine immune response in a mouse
model.
AB - Hepatitis E virus (HEV) infection remains a serious threat to life and
productivity in developing world. Vaccine seems to be an effective, safe, and
affordable approach to address HEV disease burden. The HEV genome consists of
three open reading frames (ORFs). Of these, ORF2 encodes a single structural
protein (pORF2) for the HEV capsid which has been studied extensively as vaccine
candidates. Recently, it has been recognized that autophagy plays an important
role in innate and adaptive immunity defense against intracellular pathogens.
This mechanism could therefore promote a protective immune response by inducing
CD4+ and CD8+ T cells. In this study, HEV 239 and Beclin1 proteins were expressed
in prokaryotic host cell [Escherichia coli (BL21)]. HEV 239 protein with
different formulations (+Alum, +Beclin1, and +Alum-Beclin1) were used as
candidate vaccines and administrated subcutaneously in BALB/c mice on 0, 14, and
28 days. Finally, elicited cellular and humoral immunity were evaluated. Taken
together, although our results indicated that mice immunized with HEV 239 protein
formulated with Alum, Beclin1, and Alum + Beclin1 displayed humoral and cellular
response that was not significant in comparison with each other (P > 0.05);
whereas they were significant while compared with control groups (P < 0.05). A
comprehensive understanding of the intricate interplay between autophagy and
immune response remains to be unraveled. Further study will clear the detailed
impact of autophagy manipulation to enhance vaccine efficacy and boost the immune
responses against the disease. (c) 2018 IUBMB Life, 70(3):207-214, 2018.
PMID- 29369473
TI - Comparative pharmacology and toxicology of tramadol and tapentadol.
AB - : Moderate-to-severe pain represents a heavy burden in patients' quality of life,
and ultimately in the society and in healthcare costs. The aim of this review was
to summarize data on tramadol and tapentadol adverse effects, toxicity, potential
advantages and limitations according to the context of clinical use. We compared
data on the pharmacological and toxicological profiles of tramadol and
tapentadol, after an extensive literature search in the US National Library of
Medicine (PubMed). Tramadol is a prodrug that acts through noradrenaline and
serotonin reuptake inhibition, with a weak opioid component added by its
metabolite O-desmethyltramadol. Tapentadol does not require metabolic activation
and acts mainly through noradrenaline reuptake inhibition and has a strong opioid
activity. Such features confer tapentadol potential advantages, namely lower
serotonergic, dependence and abuse potential, more linear pharmacokinetics,
greater gastrointestinal tolerability and applicability in the treatment of
chronic and neuropathic pain. Although more studies are needed to provide clear
guidance on the opioid of choice, tapentadol shows some advantages, as it does
not require CYP450 system activation and has minimal serotonergic effects. In
addition, it leads to less side effects and lower abuse liability. However, in
vivo and in vitro studies have shown that tramadol and tapentadol cause similar
toxicological damage. In this context, it is important to underline that the
choice of opioid should be individually balanced and a tailored decision, based
on previous experience and on the patient's profile, type of pain and context of
treatment. SIGNIFICANCE: This review underlines the need for a careful
prescription of tramadol and tapentadol. Although both are widely prescribed
synthetic opioid analgesics, their toxic effects and potential dependence are not
completely understood yet. In particular, concerning tapentadol, further research
is needed to better assess its toxic effects.
PMID- 29369474
TI - Reversible Dihydrogen Activation and Hydride Transfer by a Uranium Nitride
Complex.
AB - Cleavage of dihydrogen is an important step in the industrial and enzymatic
transformation of N2 into ammonia. The reversible cleavage of dihydrogen was
achieved under mild conditions (room temperature and 1 atmosphere of H2 ) by the
molecular uranium nitride complex, [Cs{U(OSi(Ot Bu)3 )3 }2 (MU-N)] 1, leading to
a rare hydride-imide bridged diuranium(IV) complex, [Cs{U(OSi(Ot Bu)3 )3 }2 (MU
H)(MU-NH)], 2 that slowly releases H2 under vacuum. This complex is highly
reactive and quickly transfers hydride to acetonitrile and carbon dioxide at room
temperature, affording the ketimide- and formate-bridged UIV species [Cs{U(OSi(Ot
Bu)3 )3 }2 (MU-NH)(MU-CH3 CHN)], 3 and [Cs{U(OSi(Ot Bu)3 )3 }2 (MU-HCOO)(MU
NHCOO)], 4.
PMID- 29369475
TI - Do fertile women have an inferior treatment for high-grade precancerous lesions?
AB - AIM: To investigate whether a concern for future pregnancy is reflected in the
extent of large loop excision of the transformation zone (LLETZ) and to assess
the clinical outcome. METHODS: We reviewed the medical records of 275 women who
underwent LLETZ for precancerous lesions in cervix. We divided them into two
groups according to pregnancy possibility in the future: nonpotential versus
potential group. The potential group was arbitrarily defined as women who met one
of the following: (i) regardless of marital status, younger than 41 years with
less than two parities and (ii) regardless of parity, younger than 46 years and
unmarried. After propensity score matching (1:1, 44 women in each group), we
compared the extent of LLETZ with respect to short-term recurrence. RESULTS:
After LLETZ, similar percentages of patients were finally diagnosed with >=CIN3
(cervical intraepithelial neoplasia 3) in the two groups (27 [61.4%] vs 32
[72.7%], P = 0.257). Notably, the largest transverse diameter of LLETZ specimen
was significantly larger in the nonpotential group (2.74 +/- 1.06 vs 2.37 +/-
0.62 cm, P = 0.047). There were more women with exocervical resection margin
involvement in the potential group than in the nonpotential group (14 [31.8%] vs
6 [13.6%], P = 0.042). However, there was no significant difference in the
incidence of short-term recurrence >=HSIL (high-grade squamous intraepithelial
lesion) within 24 months after LLETZ between the two groups (1 [2.3%] vs 1
[2.3%], P > 0.999). CONCLUSION: Pregnancy possibility in the future may affect
the extent of LLETZ, as assessed by the largest transverse diameter obtained.
This finding may be associated with increased resection margin involvement in
women with future pregnancy possibility.
PMID- 29369476
TI - Platelets contribute to the initiation of colitis-associated cancer by promoting
immunosuppression.
AB - : Essentials Inflammation plays a key role in the development of colorectal
cancer. Understanding mechanisms of cancer initiation might reveal new anticancer
preventive strategy. Hyperactive platelets promote tumor formation by fostering
immune evasion of cancer. Platelet inhibition by clopidogrel prevents
carcinogenesis by restoring antitumor immunity. SUMMARY: Background Clinical and
experimental evidence support a role for inflammation in the development of
colorectal cancer, although the mechanisms are not fully understood. Beyond
thrombosis and hemostasis, platelets are key actors in inflammation; they have
also been shown to be involved in cancer. However, whether platelets participate
in the link between inflammation and cancer is unknown. Objective To investigate
the contribution of platelets and platelet-derived proteins to inflammation
elicited colorectal tumor development. Methods We used a clinically relevant
mouse model of colitis-associated cancer. Platelet secretion and platelet
reactivity to thrombin were assessed at each stage of carcinogenesis. We
conducted an unbiased proteomic analysis of releasates of platelets isolated at
the pretumoral stage to identify soluble factors that might act on tumor
development. Plasma levels of the identified proteins were measured during the
course of carcinogenesis. We then treated the mice with clopidogrel to
efficiently inhibit platelet release reaction. Results At the pretumoral stage,
hyperactive platelets constituted a major source of circulating protumoral serum
amyloid A (SAA) proteins. Clopidogrel prevented the early elevation of the plasma
SAA protein level, decreased colitis severity, and delayed the formation of
dysplastic lesions and adenocarcinoma. Platelet inhibition hindered the expansion
and function of immunosuppressive myeloid cells, as well as their infiltration
into tumors, but increased the number of tissue CD8+ T cells. Platelets and
releasates of platelets from mice with cancer were both able to polarize myeloid
cells towards an immunosuppressive phenotype. Conclusions Thus, platelets promote
the initiation of colitis-associated cancer by enhancing myeloid cell-dependent
immunosuppression. Antiplatelet agents may help to prevent inflammation-elicited
carcinogenesis by restoring antitumor immunity.
PMID- 29369477
TI - Retinopathy of prematurity: the high cost of screening regional and remote
infants.
AB - IMPORTANCE: Demand for retinopathy of prematurity (ROP) screening is increasing
for infants born at rural and regional hospitals where the service is not
generally available. The health system cost for screening regional/remote infants
has not been reported. BACKGROUND: The objective of this study is to evaluate the
cost of ROP screening at a large centralized tertiary neonatal service for
infants from regional/rural hospitals. DESIGN: This is a retrospective study to
establish the cost of transferring regional/rural infants to the Royal Brisbane
and Women's Hospital for ROP screening over a 28-month period. PARTICIPANTS: A
total of 131 infants were included in this study. METHODS: Individual infant
costs were calculated from analysis of clinical and administrative records. MAIN
OUTCOME MEASURES: Economic cost of ROP screening for all transfers from
regional/rural hospitals to Royal Brisbane and Women's Hospital. RESULTS: The
average economic cost of ROP screening for this cohort was AUD$5110 per infant
screened and the total cost was AUD$669 413. The average cost per infant screened
was highest for infants from a regional centre with a population of 75 000
(AUD$14 856 per child), which was also geographically furthest from Brisbane. No
infant in this cohort transferred from a regional nursery reached criteria for
intervention for ROP by standard guidelines. CONCLUSIONS AND RELEVANCE: Health
system costs for ROP screening of remote infants at a centralized hospital are
high. Alternative strategies using telemedicine can now be compared with
centralized screening.
PMID- 29369478
TI - Pregnancy-Associated Stroke.
AB - Cerebrovascular accident, or stroke, is the fourth leading cause of death for all
women and the eighth leading cause of pregnancy-associated death. The physiologic
changes of pregnancy increase the risk of cerebrovascular accident for women.
With current incidence rates, a facility with 3300 births per year can anticipate
caring for one woman with a pregnancy-related stroke at least every 2 years. All
maternity care providers must be able to assess women experiencing stroke-like
symptoms and initiate timely care to mitigate brain tissue damage, decrease long
term morbidity, and prevent mortality. The 2 main types of stroke, ischemic and
hemorrhagic, have similar presenting symptoms but very different pathophysiology
and treatment. This article reviews assessment and initial treatment of pregnant
and postpartum women experiencing stroke and provides guidance for subsequent
maternity and primary care to assist front-line perinatal care providers who may
be the first to treat affected women or may resume primary care after diagnosis.
PMID- 29369479
TI - Chitosan-Based Thermo/pH Double Sensitive Hydrogel for Controlled Drug Delivery.
AB - A series of thermo/pH sensitive N-succinyl hydroxybutyl chitosan (NSHBC)
hydrogels with different substitution degrees of succinyl are prepared for drug
delivery. Rheology analysis shows that the gelation temperature of NSHBC
hydrogels is 3.8 degrees C higher than that of hydroxybutyl chitosan (HBC)
hydrogels. A model drug bovine serum albumin (BSA) is successfully loaded and
released. NSHBC hydrogels show excellent pH sensitivity drug release behaviors.
After incubation for 24 h, 93.7% of BSA is released from NSHBC hydrogels in
phosphate buffer saline (PBS) (pH 7.4), which is significantly greater than that
of 24.6% at pH 3.0. In contrast, the release rate of BSA from HBC is about 70.0%
at pH 3.0 and 7.4. Thus, these novel hydrogels have the prominent merits of high
adaptability to soluble drugs and pH sensitivity triggered release, indicating
that NSHBC hydrogels have promising applications in oral drug delivery.
PMID- 29369480
TI - Retrospective analysis of HDFN due to ABO incompatibility in a single institution
over 6 years.
AB - OBJECTIVES: To study the rate of ABO haemolytic anaemia of fetus and newborn
(HDFN) in one institution over 6 years. BACKGROUND: ABO major incompatibility
between mothers and their newborns occurs in about 10% of births. So, mothers
with an O blood group may form IgG-class antibodies against A and B antigens,
which could pass across the placenta and lead to a variable degree of HDFN in the
newborn. METHODS: At our institution, we have reviewed data regarding ABO-based
HDFN in the last 6 years. RESULTS: We found that, in 28 089 deliveries, an ABO
major incompatibility between mothers and newborns occurs in 11% of cases, with
72% of O/A and 28% of O/B incompatibility. In turn, 23% of these newborns had an
eluate-confirmed positive direct antiglobulin test [DAT; 74% (511) were due to
anti-A and 26% (179) to anti-B], with 1.0% requiring invasive treatments
(exchange transfusion or intravenous immunoglobulin). Overall, 2.5% of the total
newborns had a positive DAT for an anti-A or anti-B antibody, and 0.11% required
invasive treatment in addition to phototherapy for their HDFN. CONCLUSIONS:
Serological ABO HDFN is a relatively frequent event when an O-A/O-B
incompatibility between mothers and their newborn occurs and, in most cases,
translates into a self-limiting disease, with a small number of newborns
requiring invasive treatments. The DAT test, although not predictive of disease
severity, appears to be a useful tool to monitor babies born from O-A/O-B
incompatible pregnancies and to identify those who may require treatment.
PMID- 29369481
TI - RBBP6 increases radioresistance and serves as a therapeutic target for
preoperative radiotherapy in colorectal cancer.
AB - Radiotherapy (RT) can be used as preoperative treatment to downstage initially
unresectable locally rectal carcinoma, but radioresistance and recurrence remain
significant problems. Retinoblastoma binding protein 6 (RBBP6) has been
implicated in the regulation of cell cycle, apoptosis and chemoresistance both in
vitro and in vivo. The present study investigated whether the inhibition of RBBP6
expression would improve radiosensitivity in human colorectal cancer cells. After
SW620 and HT29 cells were exposed to radiation, the levels of RBBP6 mRNA and
protein increased over time in both cells. Moreover, a significant reduction in
clonogenic survival and a decrease in cell viability in parallel with an obvious
increase in cell apoptosis were demonstrated in irradiated RBBP6-knockdown cells.
Transfection with RBBP6 shRNA improved the levels of G2-M phase arrest, which
blocked the cells in a more radiosensitive period of the cell cycle. These
observations indicated that cell cycle and apoptosis mechanisms may be connected
with tumor cell survival following radiotherapy. In vivo, the tumor growth rate
of nude mice in the RBBP6-knockdown group was significantly slower than that in
other groups. These results indicated that RBBP6 overexpression could resist
colorectal cancer cells against radiation by regulating cell cycle and apoptosis
pathways, and inhibition of RBBP6 could enhance radiosensitivity of human
colorectal cancer.
PMID- 29369482
TI - Norcantharidin, a clinical used chemotherapeutic agent, acts as a powerful
inhibitor by interfering with fibrinogen-integrin alphaIIb beta3 binding in human
platelets.
AB - During platelet activation, fibrinogen binds to its specific platelet receptor,
integrin alphaIIb beta3 , thus completing the final common pathway for platelet
aggregation. Norcantharidin (NCTD) is a promising anticancer agent in China from
medicinal insect blister beetle. In this study, we provided the evidence to
demonstrate NCTD (0.1-1.0 MUM) possesses very powerful antiplatelet activity in
human platelets; nevertheless, it had no effects on surface P-selectin expression
and only slight inhibition on ATP-release reaction in activated platelets.
Moreover, NCTD markedly hindered integrin alphaIIb beta3 activation by
interfering with the binding of FITC-labelled PAC-1. It also markedly reduced the
number of adherent platelets and the single platelet spreading area on
immobilized fibrinogen as well as clot retraction. Additionally, NCTD attenuated
phosphorylation of proteins such as integrin beta3 , Src and FAK in platelets
spreading on immobilized fibrinogen. These results indicate that NCTD restricts
integrin alphaIIb beta3 -mediated outside-in signalling in human platelets.
Besides, NCTD substantially prolonged the closure time in human whole blood and
increased the occlusion time of thrombotic platelet plug formation and prolonged
the bleeding time in mice. In conclusion, NCTD has dual activities, it can be a
chemotherapeutic agent for cancer treatment, and the other side it possesses
powerful antiplatelet activity for treating thromboembolic disorders.
PMID- 29369483
TI - Eudesmanolides and Other Constituents from the Flowers of Wedelia trilobata.
AB - Two eudesmane sesquiterpene lactones, wedetrilides B (1) and C (2), along with
five known analogues (3 - 8), an ent-kaurane diterpenoid (9), a steroid (10), as
well as cinnamic acid derivatives (11 - 13), were isolated from the flowers of
Wedelia trilobata. Their structures were elucidated on the basis of extensive
spectroscopic analyses and by comparison of their NMR data with those of related
compounds. Furthermore, the structures of 1 and 3 - 5 were confirmed by X-ray
single-crystal diffraction analyses. Compounds 4 and 5 exhibited weak cytotoxic
activities against the MCF-7, HeLa, and A549 cell lines. Compounds 3 - 5 were
also evaluated for their inhibitory effects against HIV lytic replication.
PMID- 29369484
TI - Characteristics and management of ganglioneuroma and ganglioneuroblastoma
intermixed in children and adolescents.
AB - BACKGROUND: Ganglioneuromas (GNs) usually demonstrate favorable histological and
clinical features. Surgery is often performed due to clinical symptoms and/or
theoretical concerns that GN may transform into neuroblastoma (NB); however,
several studies have identified significant GN-surgical morbidities. OBJECTIVES:
We compared the natural history, biological and clinical features of GN and
ganglioneuroblastoma-intermixed (GNB-I) managed by surgery or observation to
inform management and surveillance. PROCEDURES: This retrospective study includes
patients (n = 67) with histological diagnosis of GN (50/67) and GNB-I (17/67) at
the Hospital for Sick Children between 1990 and 2014. Clinical, pathological
features, tumor dimensions, and management were recorded. RESULTS: Median age and
maximal tumor diameter were 6 years (1.3-17.8) and 6.3 cm (1.4-16.9),
respectively. Of the 67 patients, 46 (69%) had upfront surgery and 21 (31%) were
observed. Of the 21 observed patients 4 later underwent resection. There were
post-operative complications in 15 of the 50 (30%) surgical patients. The
presence of imaging-defined risk factors correlated with complications (P =
0.005). Observed patients were older (median 8.4 vs. 5.3 years) and diagnosed
more recently. Median growth was 0.3 cm/year and 6 of 21 had progressive disease
(PD). At median follow-up of 2.2 years (0.2-14.3), all patients were alive and
for those with evaluable imaging there were 27 complete and 10 partial responses,
19 stable and 6 PD. Pathology classification changed at resection for three
cases, but no GN was reclassified to NB. CONCLUSIONS: GN and GNB-I have a slow
growth rate and resection can be associated with significant morbidity. Watch and
wait approaches should be considered for some GN and GNB-I.
PMID- 29369485
TI - Sources of parental hope in pediatric oncology.
AB - BACKGROUND: Hope is a multidimensional concept that is important for all parents
of children with cancer. However, most work has focused on advanced cancer and
poor prognoses. We examined hopes of all parents of children with cancer
longitudinally during the first year of treatment. PROCEDURE: Prospective,
longitudinal, questionnaire-based cohort study of parents and physicians of
children with cancer at two academic pediatric hospitals. Parents reported on
general sense of hopefulness and specific hopes at time of diagnosis (N = 374); a
subset of parents (N = 164) were followed longitudinally at 4 and 12 months.
RESULTS: Fifty-five percent of parents (N = 206/374) reported being extremely
hopeful in general at baseline. Hopefulness did not significantly change over
time, and most parents (51-58%) reported being extremely hopeful regardless of
prognosis (P = 0.66). Most parents (N = 327/356) considered hope for cure to be
an extremely important source of hope; most also reported hope that the child
would feel loved (N = 328/356), that the child would have the best possible
quality of life (N = 316/356), and that they would always do all they could for
the child (N = 300/356). Hope for cure was slightly lower among parents of
children with less than a 50% chance of cure at baseline (N = 53/63) when
compared to those with better prognoses (moderately likely cure, N = 76/78; very
likely cure, N = 198/215) (P = 0.02). CONCLUSIONS: Many hopes contribute to
parental hopefulness, not just hope for cure. This hopefulness persists over
time, even when the prognosis is poor. Clinicians should focus on supporting the
myriad hopes that contribute to overall hopefulness.
PMID- 29369486
TI - Utilization trends and safety of intravenous iron replacement in pediatric
specialty care: A large retrospective cohort study.
AB - BACKGROUND: Iron deficiency is a common and clinically diverse hematologic
disorder in childhood for which oral iron is often an infeasible or ineffective
treatment option. Intravenous (IV) iron can be an efficient and highly successful
means of iron replacement but its use has not been well-characterized on a large
scale in pediatrics. PROCEDURE: All IV iron doses administered to patients for
iron replacement therapy at a tertiary pediatric hospital from January 2010
through October 2016 were evaluated. Analyses included patient demographics,
underlying medical conditions, and detailed information for each dose. Individual
chart review was performed to identify infusion-related reactions. Nephrology
patients as well as those patients 21 years or older at the time of the first
infusion were excluded. RESULTS: A total of 1,088 doses of IV iron administered
to 194 patients met inclusion criteria. A wide variety of specialties prescribed
IV iron, with gastroenterology, hematology, and hospital medicine being the
highest users in this cohort. A majority of patients (68%) required multiple
infusions and dosing was highly variable, ranging from 1.3-1,030 mg per infusion.
Premedication use was infrequent (10.3% of doses) and no severe infusion
associated reactions occurred. CONCLUSIONS: IV iron is commonly prescribed by
certain pediatric specialties but there is little standardization in the
indications, formulations, or dosing. These data suggest that IV iron should be
considered a safe alternative for iron deficiency treatment in pediatrics when
oral iron is either unsuccessful or contraindicated.
PMID- 29369487
TI - An update on adjunctive treatment options for bipolar disorder.
AB - OBJECTIVES: Bipolar disorder is a complex illness often requiring combinations of
therapies to successfully treat symptoms. In recent years, there have been
significant advancements in a number of therapies for bipolar disorder. It is
therefore timely to provide an overview of current adjunctive therapeutic options
to help treating clinicians to inform their patients and work towards optimal
outcomes. METHODS: Publications were identified from PubMed searches on bipolar
disorder and pharmacotherapy, nutraceuticals, hormone therapy, psychoeducation,
interpersonal and social rhythm therapy, cognitive remediation, mindfulness, e
Health and brain stimulation techniques. Relevant articles in these areas were
selected for further review. This paper provides a narrative review of adjunctive
treatment options and is not a systematic review of the literature. RESULTS: A
number of pharmacotherapeutic, psychological and neuromodulation treatment
options are available. These have varying efficacy but all have shown benefit to
people with bipolar disorder. Due to the complex nature of treating the disorder,
combination treatments are often required. Adjunctive treatments to traditional
pharmacological and psychological therapies are proving useful in closing the gap
between initial symptom remission and full functional recovery. CONCLUSIONS:
Given that response to monotherapy is often inadequate, combination regimens for
bipolar disorder are typical. Correspondingly, psychiatric research is working
towards a better understanding of the disorder's underlying biology. Therefore,
treatment options are changing and adjunctive therapies are being increasingly
recognized as providing significant tools to improve patient outcomes. Towards
this end, this paper provides an overview of novel treatments that may improve
clinical outcomes for people with bipolar disorder.
PMID- 29369489
TI - Reply to: Comment on: Successful use of nitrous oxide during lumbar punctures: A
call for nitrous oxide in pediatric oncology clinics.
PMID- 29369488
TI - Transient elastography for non-invasive evaluation of post-transplant liver graft
fibrosis in children.
AB - As graft survival in pediatric LT is often affected by progressive fibrosis,
numerous centers carry out protocol liver biopsies. Follow-up biopsy protocols
differ from center to center, but all biopsies are progressively spaced out, as
time from transplant increases. Therefore, there is a need for non-invasive
techniques to evaluate graft fibrosis progression in those children who have no
clinical or serological signs of liver damage. Indirect markers, such as the
APRI, should be relied on with caution because their sensitivity in predicting
fibrosis can be strongly influenced by the etiology of liver disease, severity of
fibrosis, and patient age. A valid alternative could be TE, a non-invasive
technique already validated in adults, which estimates the stiffness of the
cylindrical volume of liver tissue, 100-fold the size of a standard needle biopsy
sample. The aims of this study were to evaluate the reliability of TE in children
after LT and to compare both the TE and the APRI index results with the
histological scores of fibrosis on liver biopsies. A total of 36 pediatric LT
recipients were studied. All patients underwent both TE and biopsy within a year
(median interval -0.012 months) at an interval from LT of 0.36 to 19.47 years
(median 3.02 years). Fibrosis was assessed on the biopsy specimens at histology
and staged according to METAVIR. There was a statistically significant
correlation between TE stiffness values and METAVIR scores (P = .005). The
diagnostic accuracy of TE for the diagnosis of significant fibrosis (F >= 2) was
measured as the area under the curve (AUROC = 0.865), and it demonstrated that
the method had a good diagnostic performance. APRI was not so accurate in
assessing graft fibrosis when compared to METAVIR (AUROC = 0.592). A liver
stiffness cutoff value of 5.6 kPa at TE was identified as the best predictor for
a significant graft fibrosis (METAVIR F >= 2) on liver biopsy, with a 75%
sensitivity, a 95.8% specificity, a 90% positive predictive value, and an 88.5%
negative predictive value. These data suggest that TE may represent a non
invasive, reliable tool for the assessment of graft fibrosis in the follow-up of
LT children, alerting the clinicians to the indication for a liver biopsy, with
the aim of reducing the number of protocol liver biopsies.
PMID- 29369490
TI - Neural crest emigration: From start to stop.
AB - Within the dynamic context of a developing embryo, the multicellular patterns
formed are extraordinarily precise. Through cell-cell communication, neighboring
progenitors coordinate their activities, sequentially generating distinct
tissues. The development of the dorsal neural tube remarkably illustrates this
principle. It first generates neural crest (NC) cells, precursors of most of the
peripheral nervous system, and then becomes the roof plate (RP) of the central
nervous system. While the molecular network regulating emigration of NC
progenitors has been extensively studied, the mechanisms by which dorsal neural
tube precursors transit from an initial NC fate to a definitive RP identity
remain widely open to investigation. Critical differences exist between
premigratory NC and RP cells. Whereas the former extensively proliferate and
undergo an epithelial-to-mesenchymal transition that generates cellular
migrations, the latter progressively exit the cell cycle and regain epithelial
traits including apico-basal polarity and regeneration of a laminin-containing
basement membrane. To understand this transition, the nature of the cross-talk
between these two sequentially forming progenitor subsets should be unraveled,
including the identity and mode of action of signals that, on the one hand,
induce the arrest of NC emigration, and, on the other hand, promote formation of
a definitive RP.
PMID- 29369491
TI - Glucose-6-phosphate dehydrogenase deficiency in internationally adopted children.
AB - There are conflicting guidelines about screening of internationally adopted
children for glucose-6-phosphate dehydrogenase (G6PD) deficiency, a common
genetic disorder. In a multi-ethnic population of 2,169 internationally adopted
children, we found that the prevalence of G6PD deficiency was 1.6% overall and
2.2% in males. Prevalence differed by country or region of origin, ranging from 0
to 13% overall and 0 to 22% in males. The prevalence in females was 1%. A
diagnosis of G6PD deficiency informs the treatment of malaria and enables
education and counseling to prevent morbidity and mortality from G6PD deficiency.
Screening for G6PD deficiency should be strongly considered for internationally
adopted children.
PMID- 29369492
TI - Biological Activity of an Injectable Biphasic Calcium Phosphate/PMMA Bone Cement
for Induced Osteogensis in Rabbit Model.
AB - Polymethylmethacrylate (PMMA) bone cement is widely used in repair of vertebral
fracture because of its good biomechanical properties and fast curing. However,
the bioinertness of PMMA cement may cause interfacial loosening, fatigue,
fracture, and ultimate failure. In this study, biphasic calcium phosphate (BCP)
is introduced into PMMA cement to prepare an injectable composite bone cement
(BCPx /PMMA) and the content of BCP is optimized to achieve appropriate rate of
absorption that matches the bone regeneration. The compressive strength of BCPx
/PMMA bone cement is found to comply with the International Standardization
Organization standard 5833, and can promote biomineralization as well as
adhesion, proliferation, and osteogenic differentiation of Sprague-Dawley rat
bone marrow mesenchymal stem cells in vitro. Furthermore, in vivo test performed
on a rabbit radius defect model demonstrates that the presence of BCP can
significantly improve the osteogenic efficacy of PMMA cement. Therefore, it is
anticipated that BCPx /PMMA bone cement, as a promising injectable biomaterial,
is of great potential in bone tissue regeneration.
PMID- 29369493
TI - Injecting without pressing a button: An exploratory study of a shield-triggered
injection mechanism.
AB - AIMS: To evaluate the injection success and user perception of a shield-triggered
pen-injector mechanism. METHODS: The trial (ClinicalTrials.gov NCT02627287) was
an exploratory, two-centre, one-visit, open-label, randomized controlled trial
conducted in Germany in 150 injection-experienced individuals with type 1 or type
2 diabetes. Participants self-administered subcutaneous injections of a placebo
solution using a prototype shield-triggered pen-injector, DV3316 (Novo Nordisk,
Bagsvaerd, Denmark), and FlexPen (Novo Nordisk, Bagsvaerd, Denmark). Injection
success was evaluated on a yes/no basis by the investigator. Participant
confidence, leakage of fluid and pain were evaluated after each injection. Pain
and device experience were assessed after completion of all injections with each
pen-injector. Overall preference was assessed after completion of all injections
with both pen-injectors. RESULTS: Injection success was high with both pen
injectors (97.0%, DV3316 vs 99.7%, FlexPen). Participant confidence in dose
delivery was similar for the two devices (88% of injections with DV3316 vs 81%
with FlexPen were scored as "extremely confident"). The median injection pain
score on a visual analogue scale (0-100) was 3 with DV3316 vs 4 with FlexPen
after each injection, and 4 with DV3316 vs 5 with FlexPen after all injections
with each device. After all injections were completed, 55% of participants
reported an overall preference for DV3316 vs 21% for FlexPen. CONCLUSION: This
study demonstrates that injection-experienced individuals can achieve a high
injection success rate with a shield-triggered pen-injector, with similar patient
confidence and injection pain compared with FlexPen.
PMID- 29369494
TI - Comparisons of diabetic retinopathy events associated with glucose-lowering drugs
in patients with type 2 diabetes mellitus: A network meta-analysis.
AB - AIM: To assess the comparative effects of glucose-lowering drugs (GLDs) on the
risk of diabetic retinopathy (DR) in patients with type 2 diabetes mellitus
(T2DM). METHODS: We systematically searched Cochrane Central Register of
Controlled Trials, PUBMED and EMBASE from inception to January 17, 2017 to
identify randomized controlled trials (RCTs) that reported DR events among T2DM
patients receiving any GLD. Random-effects pairwise and network meta-analyses
were performed to calculate odds ratios (ORs) with 95% confidence intervals
(CIs). RESULTS: A total of 37 independent RCTs with 1806 DR events among 100 928
patients with T2DM were included. The mean duration of diabetes was 8.7 years and
mean baseline HbA1c was 8.2% (SD, 0.5%). Our network meta-analysis found that DPP
4i (OR, 1.20; 95% CI, 0.87-1.65), GLP-1RA (OR, 1.19; 95% CI, 0.94-1.52) and SGLT2
inhibitors (OR, 0.79; 95% CI, 0.49-1.28) were not associated with a higher risk
of DR than placebo; however, a significantly increased risk of DR was associated
with DPP-4i in the pairwise meta-analysis (OR, 1.27; 95% CI, 1.05-1.53).
Sulfonylureas, on the other hand, were associated with a significantly increased
risk of DR compared to placebo (OR, 1.67; 95% CI, 1.01-2.76). CONCLUSIONS:
Current evidence indicates that the association between DPP-4i, GLP-1RA or SGLT2
inhibitors and risk of DR remains uncertain in patients with T2DM. Some evidence
suggests that sulfonylureas may be associated with increased risk of DR. However,
given that DR events were not systematically assessed, these effects should be
explored further in large-scale, well-designed studies.
PMID- 29369495
TI - Pentachloropseudilin Inhibits Transforming Growth Factor-beta (TGF-beta) Activity
by Accelerating Cell-Surface Type II TGF-beta Receptor Turnover in Target Cells.
AB - Pentachloropseudilin (PClP) is a chlorinated phenylpyrrole compound that was
first isolated from Actinoplanes (ATCC33002), and its structure has been
confirmed by chemical synthesis. PClP shows broad antimicrobial activity against
Gram-negative and Gram-positive bacteria, protozoa, fungi, and yeast. In
mammalian cells, PClP is known to act as a reversible and allosteric inhibitor of
myosin 1c (Myo1c). Herein, we report that PCIP is a potent inhibitor of
transforming growth factor-beta (TGF-beta)-stimulated signaling. PCIP inhibits
TGF-beta-stimulated Smad2/3 phosphorylation and plasminogen activator inhibitor-1
(PAI-1) promoter activation with an IC50 of 0.1 MUm in target cells (A549, HepG2,
and Mv1Lu cells). In addition, PCIP attenuates TGF-beta-stimulated expression of
vimentin, N-cadherin, and fibronectin and, thus, blocks TGF-beta-induced
epithelial to mesenchymal transition (EMT) in these cells. Furthermore, cell
surface labeling and immunoblot analysis indicates that PCIP suppresses TGF-beta
stimulated cellular responses by attenuating cell-surface expression of the type
II TGF-beta receptor through accelerating caveolae-mediated internalization
followed by primarily lysosome-dependent degradation of the receptor, as
demonstrated by sucrose density gradient analysis and immune fluorescence
staining.
PMID- 29369496
TI - Photo-Reconfigurable Azopolymer Etch Mask: Photofluidization-Driven
Reconfiguration and Edge Rectangularization.
AB - Directional photofluidization of azobenzene materials has provided unprecedented
opportunities for the structural reconfiguration of circular holes, line gaps,
ellipsoidal holes, and nanofunnel-shaped micro/nanoarchitectures. However, all
the reconfigured structures have a parabolic or round wall due to the tendency of
the photofluidized azobenezene materials to minimize the surface area, which
limits their use as a reconfigurable etch-mask for the lithography process. In
this work, a simple method is presented that can change the round walls of
azopolymer architectures into rectangular walls, which is named
rectangularization. By irradiating far-field light on reconfigured azopolymer in
a conformal contact with a flat polydimethylsiloxane (PDMS) film, the round wall
transforms to a rectangular one because the azopolymer adheres along the PDMS
surface while being photofluidized. As a result, the rectangularization process
creates a variety of structural features and sizes ranging from a few micrometers
to 150 nm having a rectangular wall. By exploiting the rectangularization
process, the concept of a photo-reconfigurable etch mask is achieved, which
transfers the mask patterns to a silicon pattern with a high structural fidelity
and imparts a considerable flexibility to the lithography process.
PMID- 29369497
TI - Pharmacogenetics of Risperidone-Induced Insulin Resistance in Children and
Adolescents with Autism Spectrum Disorder.
AB - The purpose of this study was to explore the association of genetic polymorphism
of genes related to pharmacokinetics or pharmacodynamics with insulin resistance
in children and adolescents with autism spectrum disorder (ASD) and treated with
risperidone. All 89 subjects underwent measurement of fasting blood glucose and
insulin levels, body-weight and height. Genotyping was performed by TaqMan real
time polymerase chain reaction (PCR) (pharmacokinetics genes: cytochrome P450 2D6
(CYP2D6) *4 (rs3892097), *5 (gene deletion), *10 (rs1065852) and *41
(rs28371725), ATP-binding cassette transporter B1 (ABCB1) 2677 G>T/A (rs2032582)
and 3435C>T (rs1045642) and pharmacodynamics genes: dopamine receptor D2 (DRD2)
Tag-SNP (C>T) (rs4436578), DRD2 Tag1A (C>T) (rs1800497), leptin gene (LEP)
2548G>A (rs7799039), ghrelin gene (GHRL) -604G>A (rs27647) and brain-derived
neurotrophic factor (BDNF) 196G>A (rs6265)). Drug levels were analysed by liquid
chromatography-tandem mass spectrometry (LC-MS/MS). The results revealed that 5
(5.62%) patients presented with hyperglycaemia. Insulin resistance was detected
in 15 (16.85%) patients. Insulin resistance was associated with LEP 2548 G>A and
BDNF 196 G>A polymorphism (p = 0.051 and p = 0.03). There was no association of
pharmacokinetic gene polymorphisms (CYP2D6 and ABCB1) and risperidone levels with
insulin resistance. Multiple regression analysis indicated that BDNF 196 G>A
polymorphism was significantly associated with insulin resistance (p = 0.025).
This finding suggested that BDNF 196 G>A polymorphism may be a genetic marker for
predicting insulin resistance before initiating treatment in patients treated
with risperidone. Because of the small sample size, further studies are needed to
confirm these results.
PMID- 29369498
TI - Palladium-Decorated Silicon Nanomesh Fabricated by Nanosphere Lithography for
High Performance, Room Temperature Hydrogen Sensing.
AB - A hydrogen (H2 ) gas sensor based on a silicon (Si) nanomesh structure decorated
with palladium (Pd) nanoparticles is fabricated via polystyrene nanosphere
lithography and top-down fabrication processes. The gas sensor shows dramatically
improved H2 gas sensitivity compared with an Si thin film sensor without
nanopatterns. Furthermore, a buffered oxide etchant treatment of the Si nanomesh
structure results in an additional performance improvement. The final sensor
device shows fast H2 response and high selectivity to H2 gas among other gases.
The sensing performance is stable and shows repeatable responses in both dry and
high humidity ambient environments. The sensor also shows high stability without
noticeable performance degradation after one month. This approach allows the
facile fabrication of high performance H2 sensors via a cost-effective,
complementary metal-oxide-semiconductor (CMOS) compatible, and scalable
nanopatterning method.
PMID- 29369499
TI - Inducibly decreased MITF levels do not affect proliferation and phenotype
switching but reduce differentiation of melanoma cells.
AB - Melanoma arises from neural crest-derived melanocytes which reside mostly in the
skin in an adult organism. Epithelial-mesenchymal transition (EMT) is a
tumorigenic programme through which cells acquire mesenchymal, more pro-oncogenic
phenotype. The reversible phenotype switching is an event still not completely
understood in melanoma. The EMT features and increased invasiveness are
associated with lower levels of the pivotal lineage identity maintaining and
melanoma-specific transcription factor MITF (microphthalmia-associated
transcription factor), whereas increased proliferation is linked to higher MITF
levels. However, the precise role of MITF in phenotype switching is still loosely
characterized. To exclude the changes occurring upstream of MITF during MITF
regulation in vivo, we employed a model whereby MITF expression was inducibly
regulated by shRNA in melanoma cell lines. We found that the decrease in MITF
caused only moderate attenuation of proliferation of the whole cell line
population. Proliferation was decreased in five of 15 isolated clones, in three
of them profoundly. Reduction in MITF levels alone did not generally produce EMT
like characteristics. The stem cell marker levels also did not change
appreciably, only a sharp increase in SOX2 accompanied MITF down-regulation.
Oppositely, the downstream differentiation markers and the MITF transcriptional
targets melastatin and tyrosinase were profoundly decreased, as well as the
downstream target livin. Surprisingly, after the MITF decline, invasiveness was
not appreciably affected, independently of proliferation. The results suggest
that low levels of MITF may still maintain relatively high proliferation and
might reflect, rather than cause, the EMT-like changes occurring in melanoma.
PMID- 29369500
TI - Insights from Proteomic Studies into Plant Somatic Embryogenesis.
AB - Somatic embryogenesis is a biotechnological approach mainly used for the clonal
propagation of different plants worldwide. In somatic embryogenesis, embryos
arise from somatic cells under appropriate culture conditions. This plasticity in
plants is a demonstration of true cellular totipotency and is the best approach
among the genetic transformation protocols used for plant regeneration. Despite
the importance of somatic embryogenesis, knowledge regarding the control of the
somatic embryogenesis process is limited. Therefore, the elucidation of both the
biochemical and molecular processes is important for understanding the mechanisms
by which a single somatic cell becomes a whole plant. Modern proteomic techniques
rely on an alternative method for the identification and quantification of
proteins with different abundances in embryogenic cell cultures or somatic
embryos and enable the identification of specific proteins related to somatic
embryogenesis development. This review focuses on somatic embryogenesis studies
that use gel-free shotgun proteomic analyses to categorize proteins that could
enhance our understanding of particular aspects of the somatic embryogenesis
process and identify possible targets for future studies.
PMID- 29369501
TI - Targeted therapy for infants with diencephalic syndrome: A case report and review
of management strategies.
AB - Young children with emaciation caused by a hypothalamic glioma are considered to
have diencephalic syndrome (DS), which is often poorly controlled with
conventional treatment. We describe an infant with DS whose tumor progressed
following chemotherapy. Biopsy was performed for molecular testing and
demonstrated a BRAF fusion. Treatment with the MEK inhibitor trametinib for 18
months resulted in reduction of tumor size, normalization of his weight curve,
and marked neurodevelopmental improvement. Our results build on earlier reports
of using targeted agents for low-grade glioma, and we review the evolving
management strategy for such patients in the era of precision medicine.
PMID- 29369503
TI - Magnetic resonance elastography of liver and spleen: Methods and applications.
AB - The viscoelastic properties of the liver and spleen can be assessed with magnetic
resonance elastography (MRE). Several actuators, MRI acquisition sequences and
reconstruction algorithms have been proposed for this purpose. Reproducible
results are obtained, especially when the examination is performed in standard
conditions with the patient fasting. Accurate staging of liver fibrosis can be
obtained by measuring liver stiffness or elasticity with MRE. Moreover, emerging
evidence shows that assessing the tissue viscous parameters with MRE is useful
for characterizing liver inflammation, non-alcoholic steatohepatitis, hepatic
congestion, portal hypertension, and hepatic tumors. Further advances such as
multifrequency acquisitions and compression-sensitive MRE may provide novel
quantitative markers of hepatic and splenic mechanical properties that may
improve the diagnosis of hepatic and splenic diseases.
PMID- 29369502
TI - SNCA, a novel biomarker for Group 4 medulloblastomas, can inhibit tumor invasion
and induce apoptosis.
AB - Medulloblastoma (MB) is the most common malignant brain tumor in childhood. It
contains at least four distinct molecular subgroups. The aim of this study is to
explore novel diagnostic and potential therapeutic markers within each subgroup
of MB, in particular within Group 4, the largest subgroup, to facilitate
diagnosis together with gene therapy. One hundred and six MB samples were
examined. Tumor subtype was evaluated with the NanoString assay. Several novel
tumor related genes were shown to have high subgroup sensitivity and specificity,
including PDGFRA, FGFR1, and ALK in the WNT group, CCND1 in the SHH group, and
alpha-synuclein (SNCA) in Group 4. Knockdown and overexpression assays of SNCA
revealed the ability of this gene to inhibit tumor invasion and induce apoptosis.
Methylation-specific PCR and pyrosequencing analysis showed that epigenetic
mechanisms, rather than DNA hypermethylation, might play the key role in the
regulation of SNCA expression in MB tumors. In conclusion, we identify SNCA as a
novel diagnostic biomarker for Group 4 MB. Some other subgroup signature genes
have also been found as candidate therapeutic targets for this tumor.
PMID- 29369505
TI - Age-related change and sex difference over 60s in disc-fovea angle in Japanese
population: the Nagahama Study.
AB - PURPOSE: To analyse the disc-fovea angle (DFA) by age group and to compare sex
differences in each age group in a large cohort population. METHODS: This
community-based cross-sectional cohort study included 9682 eyes of 9682
volunteers (aged 30-75 years). We measured the DFA, which is the angle between a
horizontal line and a line connecting the fovea with the centroid of an optic
disc on fundus photographs of the right eye. We manually marked the fovea and
surrounded the optic disc. The centroid of an optic disc and the DFA was
automatically calculated using originally developed software. We compared the DFA
between age groups in 10-year increments and investigated sex differences of DFA
in each age group. RESULTS: Overall mean DFA was 6.32 +/- 3.53 degrees . The DFA
of older subjects was significantly larger than that of younger subjects (p <
0.001). The DFA of women was larger than that of men in their 60s and 70s (p <
0.001 for both), but not in subjects in their 30s, 40s and 50s. CONCLUSION:
Larger DFA in women than in men in their 60s and 70s suggests the possibility
that age-related excyclo-shift occurs more easily in postmenopausal women
compared to men of the same age.
PMID- 29369504
TI - Analyzing multiple pesticides in tobacco leaf using gas chromatography with
quadrupole time-of-flight mass spectrometry.
AB - A method combining gas chromatography with quadrupole time-of-flight mass
spectrometry has been developed for the simultaneous analysis of multiple
pesticide residues in tobacco leaf. The retention index and high accurate masses
of ions from the first-stage and the second-stage mass spectra of each pesticide
were collected for qualitation and quantification. A total of 115 pesticides were
evaluated. The extract from organic tobacco leaf was used as a model matrix. The
limit of detection was <10 ng/mL, and the limit of quantification was in the
range of 1-20 ng/mL for 95% of the tested pesticides. The correlation
coefficients were >0.9900 for all tested pesticides. At three concentrations (10,
50, and 100 ng/mL), most compounds presented satisfactory recoveries ranging from
70 to 120% and good precision <20%. Finally, three tobacco leaf samples collected
from a local market were analyzed. A total of three pesticides were found,
including dimethachlon, triadimenol, and flumetralin. Each pesticide was
confirmed by the presence of three ions at the expected retention index and mass.
In conclusion, gas chromatography with quadrupole time-of-flight mass
spectrometry appears to be one of the most efficient tools for the analysis of
pesticide residues in tobacco leaf.
PMID- 29369506
TI - Diabetic retinopathy in type 1 diabetes patients in Western Norway.
AB - PURPOSE: To describe the prevalence of diabetic retinopathy (DR), associated risk
factors and health-related quality of life (HRQoL) in a population-based cohort
of patients with type 1 diabetes mellitus (DM1). METHODS: All patients underwent
a general and ophthalmic examination including seven-field stereo fundus
photography for grading of DR and diabetic macular oedema (DMO). Kaplan-Meier
survival analyses were performed to evaluate disease progression in relation to
diabetes duration, age and year of diabetes onset. HRQoL and its association with
DR were assessed by the Medical Outcomes Study Short Form 36 (SF-36)
questionnaire. RESULTS: A total of 237 DM1 patients were included. Mean age at
inclusion was 34 years (range, 4-75 years), and mean diabetes duration was 19
years (range, 5 months-63 years). A total of 145 patients (61%) had DR. Sixty-two
patients (26%) had mild, 39 (16%) moderate and 13 (5%) severe nonproliferative
DR, while 31 (13%) had proliferative DR. The prevalence of DMO was 8%. The most
important risk factors predicting severity of DR were diabetes duration (p <
0.0001) and HbA1c level (p < 0.0001). Neuropathy (p = 0.006), nephropathy (p =
0.004) and male gender (p = 0.02) were also significant predictors of DR.
Compared to normative SF-36 data, there was a linear trend of decreasing HRQoL
with increasing severity of DR that was statistically significant for the four
physical dimension scores. CONCLUSION: The prevalence of DR in DM1 was largely
within the range of previous reports. Diabetes duration, HbA1c level, neuropathy,
nephropathy and male gender were all significant predictors of DR severity. The
patients with more severe DR had lower HRQoL.
PMID- 29369507
TI - Why near-peer teaching should be in the Teaching Excellence Framework assessment.
PMID- 29369508
TI - Age-related changes in with-the-rule and oblique corneal astigmatism.
AB - PURPOSE: To describe the age-related changes in with-the-rule (WTR) and oblique
keratometric astigmatism (KA), posterior corneal astigmatism (PCA) and total
corneal astigmatism (TCA). METHODS: We used a Pentacam HR (high-resolution)
rotating Scheimpflug camera to determine the KA, PCA and TCA in the right eyes of
710 patients, aged from 20 to 88 years. The age-related changes along the
vertical, horizontal and oblique meridians were analyzed with Naeser's polar
value method in a cross-sectional study. RESULTS: In the whole group, all
meridional astigmatic powers and polar values were stable in the age groups from
20 to 49 years, followed by a 1.0 dioptre (D) against-the-rule (ATR) change in KA
and TCA, and a 0.12 D reduction in against-the-rule PCA. A nasal rotation of the
steep meridian in KA and TCA was noted in the 70-88 years old. The PCA averaged
approximately 0.25 D ATR in all age groups. Females displayed the same early
astigmatic stability as in the whole group, while male eyes demonstrated a linear
decay from 1.5 D WTR at 20 years to 0.5 D ATR astigmatism for the oldest
patients. CONCLUSION: Corneal astigmatism is stable until the age of 50 years;
thereafter both keratometric and total corneal astigmatism show a 0.25 D ATR
change per 10 years. The average 0.25 D ATR PCA compensates the predominant
keratometric WTR astigmatism in the younger patients and increases the TCA in the
elderly with keratometric ATR astigmatism. The gender-based differences in age
related astigmatism require further studies.
PMID- 29369509
TI - Insights into the Effect of Structural Heterogeneity in Carbonized Electrospun
Fibrous Mats for Flow Battery Electrodes by X-Ray Tomography.
AB - Electrospun custom made flow battery electrodes are imaged in 3D using X-ray
computed tomography. A variety of computational methods and simulations are
applied to the images to determine properties including the porosity, fiber size,
and pore size distributions as well as the material permeability and flow
distributions. The simulations are performed on materials before and after
carbonization to determine the effect it has in the internal microstructure and
material properties. It is found that the deposited fiber size is constantly
changing throughout the electrospinning process. The results also show that the
surfaces of the fibrous material are the most severely altered during
carbonization and that the rest of the material remained intact. Pressure driven
flow is modeled using the lattice Boltzmann method and excellent agreement with
experimental results is found. The simulations coupled with the material analysis
also demonstrate the highly heterogeneous nature of the flow. Most of the flow is
concentrated to regions with high porosity while regions with low porosity shield
other pores and starve them of flow. The importance of imaging these materials in
3D is highlighted throughout.
PMID- 29369510
TI - Development and evaluation of brochures for fall prevention education created to
empower nursing home residents and family members.
AB - AIMS AND OBJECTIVES: In this study, we describe the development of evidence- and
theory-based fall prevention educational material and its evaluation from the
users' perspectives. BACKGROUND: To reduce risk factors for falling in nursing
homes, nursing staff must enact multifactorial fall prevention intervention
programmes. A core component of these programmes is to educate residents and
their family members, both verbally and in a written form. However, users can
only benefit from educational material if it is based on current scientific
evidence, easy to understand and process and customised. DESIGN: We followed a
structured procedure during the development process, while considering various
aspect of quality. To assess the understandability and usefulness of the
resulting educational materials, we conducted a qualitative content analysis
study. METHODS: The educational materials development process incorporated
several iterative steps including a systematic literature search and the
application of frameworks for designing and writing the materials. To evaluate
the material, we performed six focus group discussions separately with residents,
family members and nursing staff from two nursing homes (total of 32
participants). RESULTS: Residents' brochures included clear information on
avoiding external risks as well as coping strategies after a fall event. Family
members' brochures were more comprehensive, including both concrete tips and
outlining the advantages and disadvantages of interventions. Residents and family
members had no difficulties understanding the material and tried to apply the
content to their individual situations. Nursing staff commented on some
ambiguities and incongruities relating to current nursing care practice.
CONCLUSIONS: By involving users in the development of evidence-based educational
materials, nursing staff can achieve a high acceptance rate for the materials and
motivate users to address the topic. IMPLICATIONS FOR PRACTICE: The involvement
of users is essential for developing educational material that meets users'
needs. Educational material should be used as part of an overall strategy to
educate residents and family members in nursing homes.
PMID- 29369511
TI - Preparation of iron-based MIL-101 functionalized polydopamine@Fe3 O4 magnetic
composites for extracting sulfonylurea herbicides from environmental water and
vegetable samples.
AB - Here, we describe a simple one-pot solvothermal method for synthesizing MIL
101(Fe)@polydopamine@Fe3 O4 composites from polydopamine-modified Fe3 O4
particles. The composite was used as a magnetic adsorbent to rapidly extract
sulfonylurea herbicides. The herbicides were then analyzed by high-performance
liquid chromatography. The best possible extraction efficiencies were achieved by
optimizing the most important extraction parameters, including desorption
conditions, extraction time, adsorbent dose, salt concentration, and the pH of
the solution. Good linearity was found (correlation coefficients >0.9991) over
the herbicide concentration range 1-150 MUg/L using the optimal conditions. The
limits of detection (the concentrations giving signal/noise ratios of 3) were
low, at 0.12-0.34 MUg/L, and repeatability was good (the relative standard
deviations were <4.8%, n = 6). The method was used successfully to determine four
sulfonylurea herbicides in environmental water and vegetable samples, giving
satisfactory recoveries of 87.1-108.9%. The extraction efficiency achieved using
MIL-101(Fe)@polydopamine@Fe3 O4 was compared with the extraction efficiencies
achieved using other magnetic composites (polydopamine@Fe3 O4 , Hong Kong
University of Science and Technology (HKUST)-1@polydopamine@Fe3 O4 , and MIL
100(Fe)@polydopamine@Fe3 O4 ). The results showed that the magnetic MIL
101(Fe)@polydopamine@Fe3 O4 composites have great potential for the extraction of
trace sulfonylurea herbicides from various sample types.
PMID- 29369512
TI - A new fluorescent chemosensor for cadmium(II) based on a pyrene-appended
piperidone derivative and its beta-cyclodextrin complex.
AB - We report, in this article, a piperidin-4-one derivative carrying pyrenyl
fluorescent reporter groups which acts as a Cd2+ ion sensor. The compound is
synthesized and characterized using IR and NMR spectral techniques. The compound
forms an inclusion complex with beta-cyclodextrin. It selectively binds to Cd2+
ions in water and aqueous beta-cyclodextrin media. The stoichiometry of the host
guest complex of the compound with beta-cyclodextrin is 1:2. The ligand-metal ion
binding stoichiometry is 1:1 both in water and in beta-cyclodextrin. The linear
concentration range of detection of the metal ion is reported. Cyclodextrin
complex formation does not affect the metal ion selectivity of the compound.
PMID- 29369513
TI - Integrating Doulas Into First-Trimester Abortion Care: Physician, Clinic Staff,
and Doula Experiences.
AB - INTRODUCTION: Balancing the need to provide individual support for patients and
the need for an efficient clinic can be challenging in the abortion setting. This
study explores physician, staff, and specially trained abortion doula
perspectives on doula support, one approach to patient support. METHODS: We
conducted separate focus groups with physicians, staff members, and doulas from a
high-volume, first-trimester aspiration abortion clinic with a newly established
volunteer abortion doula program. Focus groups explored 1) abortion doula
training, 2) program implementation, 3) program benefits, and 4) opportunities
for improvement. Interviews were transcribed and computer-assisted content
analysis was performed; salient findings are presented. RESULTS: Five physicians,
5 staff members, and 4 abortion doulas participated in separate focus group
discussions. Doulas drew on both their prior personal skills and experiences in
addition to their abortion doula training to provide women with support at the
time of abortion. Having doulas in the clinic to assist with women's emotional
needs allowed physicians and staff to focus on technical aspects of the
procedure. In turn, both physicians and staff believed that introducing doulas
resulted in more patient-centered care. Although staff did not experience
challenges to integrating doulas, physicians and doulas experienced initial
challenges in incorporating doula support into the clinical flow. Staff and
doulas reported exchanging skills and techniques that they subsequently used in
their interactions with patients. DISCUSSION: Physicians, clinic staff, and
doulas perceive abortion doula support as an approach to provide more patient
centered care in a high-volume aspiration abortion clinic.
PMID- 29369514
TI - A workshop to promote interprofessional care.
AB - BACKGROUND: Paediatric incontinence has traditionally been managed through a
discipline-specific approach by doctors, nurses, physiotherapists and
psychologists. We evaluated a workshop aiming to increase health professionals'
knowledge, confidence and willingness to involve other health professionals when
managing paediatric incontinence. METHODS: Our 1-day workshop focused on
paediatric bedwetting, daytime incontinence, faecal incontinence and neurogenic
bladder. Attendees completed surveys before and after the workshop, and 6 months
later. Differences between health professionals and changes in attitudes,
knowledge, confidence and experience before and after the workshop were analysed
using descriptive analysis. Qualitative data analysis was undertaken using
content analysis. RESULTS: The workshop was attended by 77 clinicians (41
doctors, 19 allied health professionals and 17 nurses). Over two-thirds of
respondents acknowledged having average or above average knowledge of and/or
confidence in managing bedwetting, daytime urinary incontinence (DUI) and faecal
incontinence, with lower baseline knowledge and confidence for neurogenic
bladder. Participants who completed the initial and final surveys reported
increased knowledge, improved ability to manage patients, better appreciation of
the contribution of other disciplines and willingness to refer patients to other
disciplines. Of those who saw patients with incontinence, most reported that the
workshop had improved their management: 33/35 for bedwetting; 31/35 for DUI;
21/29 for faecal incontinence; and 16/19 for neurogenic bladder. Paediatric
incontinence has traditionally been managed through a discipline-specific
approach by doctors, nurses, physiotherapists and psychologists DISCUSSION: This
study demonstrates that an interprofessional workshop conducted by clinicians
from different health professional backgrounds increases clinicians' awareness of
the roles of other disciplines and promotes the development of an
interdisciplinary approach or multiprofessional collaboration, enabling a more
effective, patient-centred, collaborative care model.
PMID- 29369515
TI - Reirradiation in patients with diffuse intrinsic pontine gliomas: The Canadian
experience.
AB - OBJECTIVE: Clinical trials have failed to demonstrate a survival benefit of
adjuvant chemotherapy in diffuse intrinsic pontine gliomas (DIPG). Radiation
therapy (RT) is the only effective treatment thus far and reirradiation (rRT) has
become an option at the time of progression. The aim of this study was to review
the Canadian experience of DIPG rRT with a focus on the safety and possible
efficacy of this approach. METHOD: We retrospectively reviewed the demographic,
clinical, and RT data of patients with DIPG treated in Canada with rRT. RESULTS:
Since January 2011, we identified 16 patients with progressive DIPG who received
rRT. Median time from diagnosis to progression was 10.5 months (range, 4-37
months). rRT was given focally in 14 patients at a dose ranging from 21.6 to 36
Gy. rRT was well tolerated by all children but one. All but three patients showed
neurological improvement. With a median follow-up from original diagnosis of 19.2
months, all patients died, with a median time from rRT to death of 6.48 months
(range, 3.83-13.26 months). When compared to a historic cohort of 46 consecutive
patients, the median time from progression to death was 92 days in the non
reirradiated patients versus 218 days in the reirradiated ones (P = 0.0001).
CONCLUSION: In this limited experience, rRT was safe and feasible in patients
with progressive DIPG, providing neurological improvement and a prolonged life
span in most patients. Prospective Canadian rRT protocols are ongoing to further
assess the benefit of this approach, including quality of life assessment.
PMID- 29369516
TI - Prevalence of optical coherence tomography detected vitreomacular interface
disorders: The Maastricht Study.
AB - PURPOSE: To calculate the prevalence of all vitreomacular interface (VMI)
disorders and stratify according to age, sex and (pre)diabetes status. METHODS:
The presence of VMI disorders was assessed in 2660 participants aged between 40
and 75 years from The Maastricht Study who had a gradable macular spectral-domain
optical coherence tomography (SD-OCT) volume scan in at least one eye [mean 59.7
+/- 8.2 years, 50.2% men, 1531 normal glucose metabolism (NGM), 401 prediabetes,
728 type 2 diabetes (DM2, oversampled)]. A stratified and multivariable logistic
regression analysis was used. RESULTS: The prevalence of the different VMI
disorders for individuals with NGM, prediabetes and DM2 was, respectively, 5.7%,
6% and 6.7% for epiretinal membranes; 6%, 9.6% and 6.8% for vitreomacular
traction; 1.1%, 0.7% and 0.3% for lamellar macular holes; 0.1%, 0% and 0% for
pseudoholes; 1.1%, 1.9% and 5.5% for macular cysts. None of the participants was
diagnosed with a macular hole. The prevalence of epiretinal membranes,
vitreomacular traction and macular cysts was higher with age (p < 0.001).
Vitreomacular traction and lamellar macular holes were more frequent in women (p
< 0.01). DM2 is positively associated [OR = 3.9 (95% CI 2.11-7.22, p < 0.001)]
with macular cysts and negatively associated with lamellar macular holes [OR =
0.2 (95% CI 0.04-0.9, p = 0.036)] after adjustment for age and sex. The
calculated prevalence of VMI disorders was 15.9%. CONCLUSIONS: The calculated
prevalence of VMI disorders in individuals aged between 40 and 75 years is 15.9%.
The prevalence depends on age, sex and glucose metabolism status for several
types of VMI disorders.
PMID- 29369517
TI - Causes and timing of end-stage renal disease after living kidney donation.
AB - End-stage renal disease (ESRD) is a risk after kidney donation. We sought, in a
large cohort of kidney donors, to determine the causes of donor ESRD, the
interval from donation to ESRD, the role of the donor/recipient relationship, and
the trajectory of the estimated GFR (eGFR) from donation to ESRD. From 1/1/1963
thru 12/31/2015, 4030 individuals underwent living donor nephrectomy at our
center, as well as ascertainment of ESRD status. Of these, 39 developed ESRD
(mean age +/- standard deviation [SD] at ESRD, 62.4 +/- 14.1 years; mean interval
between donation and ESRD, 27.1 +/- 9.8 years). Donors developing ESRD were more
likely to be male, as well as smokers, and younger at donation, and to have
donated to a first-degree relative. Of donors with a known cause of ESRD (n =
25), 48% was due to diabetes and/or hypertension; only 2 from a disease that
would have affected 1 kidney (cancer). Of those 25 with an ascertainable ESRD
cause, 4 shared a similar etiology of ESRD with their recipient. Almost
universally, thechange of eGFR over time was stable, until new-onset disease
(kidney or systemic). Knowledge of factors contributing to ESRD after living
kidney donation can improve donor selection and counseling, as well as long-term
postdonation care.
PMID- 29369518
TI - Asymptomatic neurotrophic keratitis in carotid-cavernous fistulae.
PMID- 29369519
TI - Molecular Farming in Barley: Development of a Novel Production Platform to
Produce Human Antimicrobial Peptide LL-37.
AB - The peptide LL-37, a component of the human innate immune system, represents a
promising drug candidate. In particular, the development of low-cost production
platform technology is a critical bottleneck in its use in medicine. In the
present study, a viable approach for the LL-37 production in transgenic barley is
developed. First, comparative analyses of the effects of different fused peptide
epitope tags applicable for accumulation and purification on LL-37 production
yield are performed using transient expression in tobacco leaves. Following the
selection of the most yielding fusion peptide strategies, eight different
constructs for the expression of codon optimized chimeric LL-37 genes in
transgenic barley plants are created. The expression of individual constructs is
driven either by an endosperm-specific promoter of the barley B1 hordein gene or
by the maize ubiquitin promoter. The transgenes are stably integrated into the
barley genome and inherited in the subsequent generation. All transgenic lines
show normal phenotypes and are fertile. LL-37 accumulated in the barley seeds up
to 0.55 mg per 1 kg of grain. The fused epitope tags are cleaved off by the use
of enterokinase. Furthermore, in planta produced LL-37 including the fused
versions is biologically active.
PMID- 29369520
TI - The review of refractive studies of nonadults in Poland.
PMID- 29369521
TI - Brief Challenges on Medicinal Plants: An Eye-Opening Look at Ageing-Related
Disorders.
AB - Several studies have reported that nature-derived antioxidants may prevent free
radicals over-production and therefore control the onset and prevent the
exacerbation of different kinds of diseases caused by oxidative stress and redox
derived stressors, including ageing, fundamentally by suppressing the oxidative
by-products-mediated degradation. Naturally derived antioxidants exert their anti
ageing action via a panoply of signalling systems, many of which engaging
reactive oxygen and nitrogen species scavenging, with the Nrf2/Keap1-ARE system
and improving the many survival genes and functions (such as the pathway
mTOR/Foxo/SIRT1) able to slow cellular senescence. Most of the research in this
field has evaluated the regulative effects and even pathways of herbal extracts
with antioxidant property in the ageing process, and various age-related
disorders such as cardiovascular disease, ischaemia-reperfusion injury, coronary
and myocardial circulatory perfusion, peripheral vascular resistance, and even
neurodegenerative disorders are prevented plant phytochemicals often via their
antioxidant potential. A much more complex ability to interact with survival
functions makes these compounds successfully active in preventing ageing-related
disorders. This report aimed to discuss in more detail some selected medicinal
plants including Allium sativum, Aloe vera, Crataegus spp., Cynara scolymus,
Eleutherococcus senticosus, Ginkgo biloba, Hippophae rhamnoides, Panax ginseng,
Rosmarinus officinalis, Schizandra chinensis, Vitis vinifera and seaweeds in the
prevention of ageing-related pathologies. A systematic overview of the relevant
information in the antioxidant function of the many herbal products reviewed here
for the control of the ageing process is proposed, to provide a new horizon on
the design of anti-ageing herbal medicines.
PMID- 29369522
TI - Uncovering cell type-specific complexities of gene expression and RNA metabolism
by TU-tagging and EC-tagging.
AB - Cell type-specific transcription is a key determinant of cell fate and function.
An ongoing challenge in biology is to develop robust and stringent biochemical
methods to explore gene expression with cell type specificity. This challenge has
become even greater as researchers attempt to apply high-throughput RNA analysis
methods under in vivo conditions. TU-tagging and EC-tagging are in vivo
biosynthetic RNA tagging techniques that allow spatial and temporal specificity
in RNA purification. Spatial specificity is achieved through targeted expression
of pyrimidine salvage enzymes (uracil phosphoribosyltransferase and cytosine
deaminase) and temporal specificity is achieved by controlling exposure to
bioorthogonal substrates of these enzymes (4-thiouracil and 5-ethynylcytosine).
Tagged RNAs can be purified from total RNA extracted from an animal or tissue and
used in transcriptome profiling analyses. In addition to identifying cell type
specific mRNA profiles, these techniques are applicable to noncoding RNAs and can
be used to measure RNA transcription and decay. Potential applications of TU
tagging and EC-tagging also include fluorescent RNA imaging and selective
definition of RNA-protein interactions. TU-tagging and EC-tagging hold great
promise for supporting research at the intersection of RNA biology and
developmental biology. This article is categorized under: Technologies > Analysis
of the Transcriptome.
PMID- 29369523
TI - Junction-Controlled Topological Polymerization.
AB - Methodology that enables the controlled synthesis of linear and branched polymers
from an identical monomer will be a novel pathway for polymer synthesis and
processing. Herein we first describe the control of one or both of the C(3)-C(3')
and C(6)-C(6') coupling reactions of carbazolyl. In a second approach, an
identical monomer containing two carbazolyls is polymerized using chemical and
electrochemical oxidizers, leading to topologically controllable growth of linear
polymers in weak oxidizer or of cross-linked polymer chains in strong oxidizer,
with satisfactory long chain propagation of step growth polymerization (Mn
=6.0*104 g mol-1 , Mw /Mn =2.3). This very simple polymerization with cheap
reagents and low levels of waste has provided a flexible pathway for synthesis
and processing of polymers.
PMID- 29369524
TI - OPP Labeling Enables Total Protein Synthesis Quantification in CHO Production
Cell Lines at the Single-Cell Level.
AB - Accurate measurement of global and specific protein synthesis rates is becoming
increasingly important, especially in the context of biotechnological
applications such as process modeling or selection of production cell clones.
While quantification of total protein translation across whole cell populations
is easily achieved, methods that are capable of tracking population dynamics at
the single-cell level are still lacking. To address this need, we apply O
propargyl-puromycin (OPP) labeling to assess total protein synthesis in single
recombinant Chinese hamster ovary (CHO) cells by flow cytometry. Thereby we
demonstrate that global protein translation rates slightly increase with
progression through the cell cycle during exponential growth. Stable CHO cell
lines producing recombinant protein display similar levels of total protein
synthesis as their parental CHO host cell line. Global protein translation does
not correlate with intracellular product content of three model proteins, but the
host cell line with high transient productivity has a higher OPP signal. This
indicates that production cell lines with increased overall protein synthesis
capacity can be identified by our method at the single-cell level. In conclusion,
OPP-labeling allows rapid and reproducible assessment of global protein synthesis
in single CHO cells, and can be multiplexed with DNA staining or any type of
immunolabeling of specific proteins or markers for organelles.
PMID- 29369525
TI - Biologic mechanisms and clinical consequences of pregnancy alloimmunization.
AB - Alloimmunization occurs during pregnancy when tissue antigens derived from the
fetus and/or placenta prime maternal immune cells to divide and differentiate.
For many women, the result of pregnancy alloimmunization is the formation of anti
HLA antibody that can endure for decades and preclude transplantation by limiting
donor compatibility. Pregnancy alloimmunization may also generate memory B cells
that can rapidly produce anti-HLA antibody after transplantation as well as
pathogenic memory T cells, which pose a threat to graft survival. However,
emerging data suggest that pregnancy also programs the differentiation of
anergic, dysfunctional, and regulatory T cell populations, which may not mediate
accelerated graft rejection. Hence, some of the immune mechanisms responsible for
maternal immunologic tolerance of the fetus may persist into postpartum life and
affect the response to an allograft. This review discusses these emerging data as
well as the persistent knowledge gaps that affect women at multiple stages of
their transplant care.
PMID- 29369526
TI - Generative models for clinical applications in computational psychiatry.
AB - Despite the success of modern neuroimaging techniques in furthering our
understanding of cognitive and pathophysiological processes, translation of these
advances into clinically relevant tools has been virtually absent until now.
Neuromodeling represents a powerful framework for overcoming this translational
deadlock, and the development of computational models to solve clinical problems
has become a major scientific goal over the last decade, as reflected by the
emergence of clinically oriented neuromodeling fields like Computational
Psychiatry, Computational Neurology, and Computational Psychosomatics. Generative
models of brain physiology and connectivity in the human brain play a key role in
this endeavor, striving for computational assays that can be applied to
neuroimaging data from individual patients for differential diagnosis and
treatment prediction. In this review, we focus on dynamic causal modeling (DCM)
and its use for Computational Psychiatry. DCM is a widely used generative
modeling framework for functional magnetic resonance imaging (fMRI) and magneto
/electroencephalography (M/EEG) data. This article reviews the basic concepts of
DCM, revisits examples where it has proven valuable for addressing clinically
relevant questions, and critically discusses methodological challenges and recent
methodological advances. We conclude this review with a more general discussion
of the promises and pitfalls of generative models in Computational Psychiatry and
highlight the path that lies ahead of us. This article is categorized under:
Neuroscience > Computation Neuroscience > Clinical Neuroscience.
PMID- 29369527
TI - Anti-inflammatory medication following cataract surgery: a randomized trial
between preservative-free dexamethasone, diclofenac and their combination.
AB - PURPOSE: To examine the anti-inflammatory efficacy and tolerance between
preservative-free dexamethasone (DEX) and diclofenac (DICL) eye drops, and their
combination following cataract surgery. METHODS: A randomized, double-blind,
prospective single-centre study with 189 eyes of 180 patients undergoing routine
cataract surgery. Laser flare meter measurement and spectral-domain optical
coherence tomography imaging were conducted before surgery and at the 28-day
postoperative visit. Clinical characteristics, surgical parameters and assessment
of postoperative symptoms were recorded. RESULTS: Preoperative flare was 9.0 +/-
0.6 pu/ms and central retinal thickness (CRT) 269.6 +/- 1.9 MUm (mean +/- SEM).
On day 28, flare was 22.1 +/- 2.9 pu/ms for DEX, 17.4 +/- 2.5 pu/ms for DICL and
13.0 +/- 1.6 pu/ms (p < 0.05) for their combination. Central retinal thickness
(CRT) increase was 31.5 +/- 8.8 MUm for DEX, 6.0 +/- 0.8 MUm (p = 0.001) for
DICL, and 3.5 +/- 0.5 MUm (p < 0.001) for their combination. The incidence of
ocular symptoms related to the eye drops was 11% for DEX, 37% for DICL and 34%
for their combination (p < 0.001). Clinically significant pseudophakic cystoid
macular oedema (PCME) was observed in seven eyes which were all treated with DEX
(p < 0.001). CONCLUSION: Diclofenac (DICL), as well as the combination of DEX and
DICL, were superior to DEX monotherapy in minimizing CRT change and the incidence
of PCME. Combination medication showed no added value compared to DICL
monotherapy in uneventful cataract surgery.
PMID- 29369529
TI - Diabetes and branched-chain amino acids: What is the link?
AB - Branched-chain amino acids (BCAA) have increasingly been studied as playing a
role in diabetes, with the PubMed search string "diabetes" AND "branched chain
amino acids" showing particular growth in studies of the topic over the past
decade (Fig. ). In the Young Finn's Study, BCAA and, to a lesser extent, the
aromatic amino acids phenylalanine and tyrosine were associated with insulin
resistance (IR) in men but not in women, whereas the gluconeogenic amino acids
alanine, glutamine, or glycine, and several other amino acids (i.e. histidine,
arginine, and tryptophan) did not show an association with IR. Obesity may track
more strongly than metabolic syndrome and diabetes with elevated BCAA. In a study
of 1302 people aged 40-79; higher levels of BCAA tracked with older age, male
sex, and metabolic syndrome, as well as with obesity, cardiovascular risk,
dyslipidemia, hypertension, and uric acid. Medium- and long-chain acylcarnitines,
by-products of mitochondrial catabolism of BCAAs, as well as branched-chain keto
acids and the BCAA themselves distinguished obese people having versus not having
features of IR, and in a study of 898 patients with essential hypertension, the
BCAA and tyrosine and phenylalanine were associated with metabolic syndrome and
impaired fasting glucose. In a meta-analysis of three genome-wide association
studies, elevations in BCAA and, to a lesser extent, in alanine tracked with IR,
whereas higher levels of glutamine and glycine were associated with lesser
likelihood of IR. Given these associations with IR, it is not surprising that a
number of studies have shown higher BCAA levels in people with and prior to
development of type 2 diabetes (T2D), although this has particularly been shown
in Caucasian and Asian ethnic groups while not appearing to occur in African
Americans. Similarly, higher BCAA levels track with cardiovascular disease.
[Figure: see text] The metabolism of BCAA involves two processes: (i) a
reversible process catalysed by a branched-chain aminotransferase (BCAT), either
cytosolic or mitochondrial, requiring pyridoxal to function as an amino group
carrier, by which the BCAA with 2-ketoglutarate produce a branched-chain keto
acid plus glutamate; and (ii) the irreversible mitochondrial process catalysed by
branched-chain keto acid dehydrogenase (BCKDH) leading to formation of acetyl
coenzyme A (CoA), propionyl-CoA, and 2-methylbutyryl-CoA from leucine, valine,
and isoleucine, respectively, which enter the tricarboxylic acid (Krebs) cycle as
acetyl-CoA, propionyl-CoA, and 2-methylbutyryl-CoA, respectively, leading to ATP
formation. The BCAA stimulate secretion of both insulin and glucagon and, when
given orally, of both glucagon-like peptide-1 (GLP-1) and glucose-dependent
insulinotropic polypeptide (GIP), with oral administration leading to greater and
more prolonged insulin and glucagon secretion. Insulin may particularly reduce
BCAA turnover to a greater extent than that of other amino acids, and decreases
the appearance and increases the uptake of amino acids. However, older studies of
the effect of glucose or insulin on BCAA concentrations and rates of leucine
appearance and oxidation showed no reduction in T2D, although the higher baseline
levels of BCAA in obesity have long been recognized. Impaired function of BCAT
and BCKDH has been posited, either as a primary genetic abnormality or due to
effects of elevated fatty acids, proinflammatory cytokines, or insulin levels
with consequent accumulation of branched-chain keto acids and metabolites such as
diacylglycerol and ceramide, potentially contributing to the development of
further insulin resistance, and decreased skeletal muscle BCAT and BCKDH
expression has been shown in people with diabetes, supporting this concept. A
Mendelian randomization study used measured variation in genes involved in BCAA
metabolism to test the hypothesis of a causal effect of modifiable exposure on
IR, showing that variants in protein phosphatase, Mg2+ /Mn2+ dependent 1K
(PPM1K), a gene encoding the mitochondrial phosphatase activating the BCKDH
complex, are associated with T2D, but another such study suggested that genetic
variations associated with IR are causally related to higher BCAA levels. Another
hypothesis involves the mammalian target of rapamycin complex 1 (mTORC1), which
is activated by BCAA, as well as by insulin and glucose via cellular ATP
availability. If this is the relevant pathway, BCAA overload may cause insulin
resistance by activation of mammalian target of rapamycin (mTOR), as well as by
leading to increases in acylcarnitines, with mTOR seen in this scenario as a
central signal of cross-talk between the BCAA and insulin. At this point, whether
whole-body or tissue-specific BCAA metabolism is increased or decreased in states
of insulin-resistant obesity and T2D is uncertain. Insulin action in the
hypothalamus induces but overfeeding decreases hepatic BCKDH, leading to the
concept that hypothalamic insulin resistance impairs BCAA metabolism in obesity
and diabetes, so that plasma BCAAs may be markers of hypothalamic insulin action
rather than direct mediators of changes in IR. A way to address this may be to
understand the effects of changes in diet and other interventions on BCAA, as
well as on IR and T2D. In an animal model, lowering dietary BCAA increased energy
expenditure and improved insulin sensitivity. Two large human population studies
showed an association of estimated dietary BCAA intake with T2D risk, although
another population study showed higher dietary BCAA to be associated with lower
T2D risk. Ethnic differences, reflecting underlying differences in genetic
variants, may be responsible for such differences. In the study of Asghari et al.
in the current issue of the Journal of Diabetes, BCAA intake was associated with
the development of subsequent IR. Studies of bariatric surgery suggest lower
basal and post-insulin infusion BCAA levels are associated with greater insulin
sensitivity, with reductions in BCAA not seen with weight loss per se with
gastric band procedures, but occurring after Roux-en-Y gastric bypass, an
intervention that may have metabolic benefits over and above those from reduction
in body weight. The gut microbiota may be important for the supply of the BCAA to
mammalian hosts, either by de novo biosynthesis or by modifying nutrient
absorption. A final fascinating preliminary set of observations is that of the
effects of empagliflozin on metabolomics; evidence of increased Krebs cycle
activation and of higher levels of BCAA metabolites, such as acylcarnitines,
suggests that sodium-glucose cotransporter 2 (SGLT2) inhibition may, to some
extent, involve BCAA metabolism. Certainly, we do not yet have a full
understanding of these complex associations. However, the suggestion of multiple
roles of BCAA in the development of IR promises to be important and to lead to
the development of novel effective T2D therapies.
PMID- 29369528
TI - Chromatin modifications in metabolic disease: Potential mediators of long-term
disease risk.
AB - Metabolic diseases such as obesity and diabetes are complex diseases resulting
from multiple genetic and environmental factors, such as diet and activity
levels. These factors are well known contributors to the development of metabolic
diseases. One manner by which environmental factors can influence metabolic
disease progression is through modifications to chromatin. These modifications
can lead to altered gene regulatory programs, which alters disease risk.
Furthermore, there is evidence that parents exposed to environmental factors can
influence the metabolic health of offspring, especially if exposures are during
intrauterine growth periods. In this review, we outline the evidence that
chromatin modifications are associated with metabolic diseases, including
diabetes and obesity. We also consider evidence that these chromatin
modifications can lead to long-term disease risk and contribute to disease risk
for future generations. This article is categorized under: Biological Mechanisms
> Metabolism Developmental Biology > Developmental Processes in Health and
Disease Physiology > Organismal Responses to Environment.
PMID- 29369530
TI - Ophthalmological data on 4.5- to 7-year-old Danish children.
AB - PURPOSE: To report normative data on visual acuity (VA) for near and distance,
refraction, ocular alignment, ocular motility and stereo acuity in a cohort of
children aged 4.5-7 years. METHODS: A total of 950 children with normal
development from the municipality of Roskilde, Denmark, were invited to
participate in an ophthalmic cross-sectional population-based survey, of which
445 children in the age of 54-87 months participated with a complete ocular
examination including cycloplegia. Visual acuity (VA) was tested using Kay
Picture charts and notified in logMAR units. RESULTS: Mean distance VA was 0.010
logMAR [standard deviation (SD): 0.048] in right eye, and near VA in right eye
was 0.012 logMAR (SD: 0.054), with a nonsignificant difference between right and
left eye in both parameters (paired t-test, p = 0.15 and p = 0.08, respectively).
Amblyopia was found in 12 children (2.7%), mainly related to refractive errors.
The mean refractive error of right eye was +1.75 D, and significant hyperopia
(greater than +3.0 D) was found in 7.9%. No myopia (greater than or equal to -0.5
D) was found, astigmatism (>1 D) was seen in 4.3%. Manifest strabismus was
present in 1.6%, and heterophoria in 4.0%. Reduced or absent stereo acuity was
present in 35 children (7.9%) and was related to a reduced VA, refractive error
and strabismus. CONCLUSION: Ophthalmic data of 445 normally developed children
are presented, supplementing previous reported normative data in children. We
found a relatively high prevalence of amblyopia and of hyperopia, while myopia
was not found, confirming previous studies that myopia is not developing at early
age in Danish children.
PMID- 29369531
TI - Enterohaemorrhagic and other Shiga toxin-producing Escherichia coli (STEC): Where
are we now regarding diagnostics and control strategies?
AB - Escherichia coli comprises a highly diverse group of Gram-negative bacteria and
is a common member of the intestinal microflora of humans and animals. Generally,
such colonization is asymptomatic; however, some E. coli strains have evolved to
become pathogenic and thus cause clinical disease in susceptible hosts. One
pathotype, the Shiga toxigenic E. coli (STEC) comprising strains expressing a
Shiga-like toxin is an important foodborne pathogen. A subset of STEC are the
enterohaemorrhagic E. coli (EHEC), which can cause serious human disease,
including haemolytic uraemic syndrome (HUS). The diagnosis of EHEC infections and
the surveillance of STEC in the food chain and the environment require accurate,
cost-effective and timely tests. In this review, we describe and evaluate tests
now in routine use, as well as upcoming test technologies for pathogen detection,
including loop-mediated isothermal amplification (LAMP) and whole-genome
sequencing (WGS). We have considered the need for improved diagnostic tools in
current strategies for the control and prevention of these pathogens in humans,
the food chain and the environment. We conclude that although significant
progress has been made, STEC still remains an important zoonotic issue worldwide.
Substantial reductions in the public health burden due to this infection will
require a multipronged approach, including ongoing surveillance with high
resolution diagnostic techniques currently being developed and integrated into
the routine investigations of public health laboratories. However, additional
research requirements may be needed before such high-resolution diagnostic tools
can be used to enable the development of appropriate interventions, such as
vaccines and decontamination strategies.
PMID- 29369532
TI - Increased endothelin-1-mediated vasoconstriction after organ culture in rat and
pig ocular arteries can be suppressed with MEK/ERK1/2 inhibitors.
AB - PURPOSE: Even though retinal vascular changes following ischaemia have been
poorly understood, the upregulation of vasoconstrictive endothelin-1 (ET-1)
receptors (ETA /ETB ) following global cerebral ischaemia has been described. The
aim of this study was to investigate whether or not the MEK/ERK1/2 pathway is
involved in the observed upregulation and whether specific MEK/ERK1/2 inhibitors
U0126 and trametinib can prevent it. METHODS: The aim was also to localize ETA
and ETB receptors using immunohistochemistry in both fresh rat ophthalmic
arteries and after 24-hr organ culture and study the receptors functionally using
myography. Pig retinal arteries also underwent 24-hr organ culture to validate
similar responses across species and the retinal vasculature. RESULTS: Results
showed that following organ culture there is a significant increase in ET-1
mediated vasoconstriction, in particular via the ETB receptor. Furthermore,
immunohistochemistry revealed a clear increase in pERK in the smooth muscle cells
of rat ophthalmic artery. U0126 and trametinib were successful in attenuating the
functional vasoconstriction in both rat and pig, as well as restoring
immunofluorescence of pERK to fresh levels and counteracting ETB expression in
the smooth muscle cells of the rat ophthalmic artery. CONCLUSION: This is the
first study to show that the MEK/ERK1/2 pathway in responsible for the increase
in functional vasoconstriction via ET-1 receptor in rat ophthalmic and pig
retinal arteries. Furthermore, this study is the first to suggest a way of
inhibiting and preventing such an increase. With these results, we suggest a
novel approach in retinal ischaemia therapy.
PMID- 29369533
TI - Slowly progressive unilateral retinal pigment epithelium dysgenesis leading to
severe visual impairment.
PMID- 29369534
TI - Autoimmunity in uveitis.
AB - PURPOSE: Recent insights into the pathogenesis of immune-mediated diseases
proposed a new classification, which includes autoimmune and auto-inflammatory
diseases. The prevalence of specific autoimmune and auto-inflammatory diseases in
uveitis and/or scleritis is not yet known. In this study, we examine the presence
of systemic immune-mediated diseases in patients with uveitis and/or scleritis
and put a special emphasis on autoimmune disorders by reporting on their clinical
manifestations and visual prognosis. METHODS: In this retrospective study, we
reviewed data of 1327 patients presenting with uveitis and/or scleritis between
January 2010 and July 2016 at the Erasmus Medical Center, Rotterdam, the
Netherlands. All patients with noninfectious uveitis and/or scleritis were
classified according to novel criteria for immune-mediated diseases. Various
clinical data, including visual acuity (VA), of patients with uveitis of
autoimmune origin were registered during 5-year follow-up. RESULTS: The origin of
uveitis was in 5% (62/1327) autoimmune, in 15% (197/1327) auto-inflammatory and
in 14% (180/1327) mixed autoimmune/auto-inflammatory. Patients with classical
autoimmune connective tissue disease (N = 17) suffered mostly from rheumatoid
arthritis and granulomatosis with polyangiitis and exhibited predominantly
scleritis (53%). After 5 years of follow-up, none of the eyes of these patients
developed legal blindness (VA of <0.1). The VA in patients with uveitis
associated with autoimmune neuro-ophthalmological diseases (multiple sclerosis
and neuromyelitis optica; N = 27) remained stable over time. CONCLUSION: Uveitis
and scleritis of autoimmune origin were observed in 5% of the total series. The
term autoimmune uveitis should not be used as a synonym for intraocular
inflammation of noninfectious origin.
PMID- 29369535
TI - Long-term uveal and capsular biocompatibility of a novel modular intraocular lens
system.
AB - BACKGROUND: We evaluated the long-term biocompatibility and safety of a new
modular posterior chamber intraocular lens (IOL) system in rabbit eyes. METHODS:
Seven New Zealand rabbits underwent bilateral phacoemulsification with placement
of the modular IOL in OD and a control IOL (SA60AT) in OS. Slit-lamp examinations
were performed at postoperative weeks 1, 2, 3, 4, 6 and 8 and months 3 and 6. All
rabbits were then sacrificed and eyes enucleated. The eyes were examined grossly
from the Miyake-Apple view. Selected IOLs were explanted and underwent surface
staining for implant cytology. All globes were then sectioned and processed for
histopathological examination. RESULTS: The modular IOL remained stable in all
seven rabbits through the entire follow-up period. Biocompatibility was better
than the control lens at six months postoperatively, on account of less extensive
synechiae formation as assessed by slit-lamp examination. At the six-week
examination, posterior capsule opacification (PCO) was significantly better in
the test group (scored as 1.71 +/- 0.8 versus 3.28 +/- 0.48 in the control group,
p = 0.0008). On gross examination with the Miyake-Apple view, Soemmering's ring
formation was significantly better in the test group, (5.14 +/- 1.57 versus 10.85
+/- 1.95 in the control group, p = 0.002). CONCLUSION: Previous studies showed
that explantation/exchange of the modular system optic was safer and easier than
with a standard IOL. The new modular IOL system has demonstrated better long-term
biocompatibility compared to the control lens at six months postoperatively in
the rabbit model.
PMID- 29369536
TI - Systems biology of embryonic development: Prospects for a complete understanding
of the Caenorhabditis elegans embryo.
AB - The convergence of developmental biology and modern genomics tools brings the
potential for a comprehensive understanding of developmental systems. This is
especially true for the Caenorhabditis elegans embryo because its small size,
invariant developmental lineage, and powerful genetic and genomic tools provide
the prospect of a cellular resolution understanding of messenger RNA (mRNA)
expression and regulation across the organism. We describe here how a systems
biology framework might allow large-scale determination of the embryonic
regulatory relationships encoded in the C. elegans genome. This framework
consists of two broad steps: (a) defining the "parts list"-all genes expressed in
all cells at each time during development and (b) iterative steps of
computational modeling and refinement of these models by experimental
perturbation. Substantial progress has been made towards defining the parts list
through imaging methods such as large-scale green fluorescent protein (GFP)
reporter analysis. Imaging results are now being augmented by high-resolution
transcriptome methods such as single-cell RNA sequencing, and it is likely the
complete expression patterns of all genes across the embryo will be known within
the next few years. In contrast, the modeling and perturbation experiments
performed so far have focused largely on individual cell types or genes, and
improved methods will be needed to expand them to the full genome and organism.
This emerging comprehensive map of embryonic expression and regulatory function
will provide a powerful resource for developmental biologists, and would also
allow scientists to ask questions not accessible without a comprehensive picture.
This article is categorized under: Invertebrate Organogenesis > Worms
Technologies > Analysis of the Transcriptome Gene Expression and Transcriptional
Hierarchies > Gene Networks and Genomics.
PMID- 29369537
TI - Evaluation of an individualized dose titration regimen of patiromer to prevent
hyperkalaemia in patients with heart failure and chronic kidney disease.
AB - AIMS: Hyperkalaemia risk precludes optimal renin-angiotensin-aldosterone system
inhibitor use in patients with heart failure (HF), particularly those with
chronic kidney disease (CKD). Patiromer is a sodium-free, non-absorbed potassium
(K+ )-binding polymer approved for the treatment of hyperkalaemia. In PEARL-HF,
patiromer 25.2 g (fixed dose) prevented hyperkalaemia in HF patients with or
without CKD initiating spironolactone. The current study evaluated the
effectiveness of a lower starting dose of patiromer (16.4 g/day) followed by
individualized titration in preventing hyperkalaemia and hypokalaemia when
initiating spironolactone. METHODS AND RESULTS: This open-label 8-week study
enrolled 63 patients with CKD, serum K+ 4.3-5.1 mEq/L, and chronic HF, who, based
on investigator opinion, should receive spironolactone. Eligible patients started
spironolactone 25 mg/day and patiromer 16.8 g/day (divided into two doses), with
patiromer titrated to maintain serum K+ 4.0-5.1 mEq/L. Mean (standard deviation)
serum K+ was 4.78 (0.51) mEq/L at baseline; weekly values were 4.48-4.70 mEq/L
during treatment. Serum K+ of 3.5-5.5 mEq/L at the end of study treatment
(primary endpoint) was achieved by 57 (90.5%) patients; 53 (84.1%) had serum K+
4.0-5.1 mEq/L. One patient (1.6%) developed hypokalaemia, and two patients (3.2%)
developed hypomagnesaemia. Spironolactone was increased to 50 mg/day in all
patients; 43 (68%) patients required one or more patiromer dose titration.
Adverse events (AEs) occurred in 36 (57.1%) patients, with a low rate of
discontinuations [four (6.3%) patients]. The most common AE was mild to moderate
abdominal discomfort [four (6.3%) patients]. CONCLUSIONS: In this open-label
study, patiromer 16.8 g/day followed by individualized titration maintained serum
K+ within the target range in the majority of patients with HF and CKD, all of
whom were uptitrated to spironolactone 50 mg/day, patiromer was well tolerated,
with a low incidence of hyperkalaemia, hypokalaemia, and hypomagnesaemia.
PMID- 29369539
TI - Well-Controlled Cell-Trapping Systems for Investigating Heterogeneous Cell-Cell
Interactions.
AB - Microfluidic systems have been developed for patterning single cells to study
cell-cell interactions. However, patterning multiple types of cells to understand
heterogeneous cell-cell interactions remains difficult. Here, it is aimed to
develop a cell-trapping device to assemble multiple types of cells in the well
controlled order and morphology. This device mainly comprises a parylene sheet
for assembling cells and a microcomb for controlling the cell-trapping area. The
cell-trapping area is controlled by moving the parylene sheet on an SU-8
microcomb using tweezers. Gentle downward flow is used as a driving force for the
cell-trapping. The assembly of cells on a parylene sheet with round and line
shaped apertures is demonstrated. The cell-cell contacts of the trapped cells are
then investigated by direct cell-cell transfer of calcein via connexin nanopores.
Finally, using the device with a system for controlling the cell-trapping area,
three different types of cells in the well-controlled order are assembled. The
correct cell order rate obtained using the device is 27.9%, which is higher than
that obtained without the sliding parylene system (0.74%). Furthermore, the
occurrence of cell-cell contact between the three cell types assembled is
verified. This cell-patterning device will be a useful tool for investigating
heterogeneous cell-cell interactions.
PMID- 29369538
TI - Long-term uveal melanoma survivors: measuring their quality of life.
AB - PURPOSE: Patients with uveal melanoma (UM) undergo lifelong follow-up as
metastases can occur more than 20 years after diagnosis. Little is known about
the quality of life (QoL) of UM survivors over such an extended period. To
investigate their QoL, we used various estimating factors. METHODS: A cohort of
patients diagnosed and treated for UM with regularly scheduled follow-up visits
was asked to fill in a European Organization for Research and Treatment of Cancer
(EORTC) questionnaire comprised of the EORTC QLQ-C30 and EORTC QLQ-OPT30 modules.
An additional open question examined other changes in the patients' lifestyle
since diagnosis. Independent demographic and medical data were collected from
patient records. RESULTS: Two hundred and thirty-two of 294 patients agreed to
complete the questionnaire. General QoL correlated highly with the eye-related
QoL. Statistically significant higher QoL was associated with tumours not
involving the ciliary body, and with better best-corrected visual acuity (BCVA).
A subgroup of 39% of the patients reported severe disability affecting eye
related tasks. Thirty-three per cent were highly concerned about various aspects
of their future health. Patients who underwent enucleation reported lower eye
related QoL and described problems related to body image in response to the open
question. CONCLUSION: General QoL of UM patients is only slightly affected by
their malignancy. However, body image and psychosocial adjustment are major
issues involved in evaluating QoL. Continuous long-term psychosocial treatment is
needed from the time of diagnosis in a subgroup of patients suffering from eye
related disabilities.
PMID- 29369540
TI - Electron microscopic findings of an explanted retropupillary Artisan lens 3 years
after implantation.
PMID- 29369541
TI - Hierarchical Design of Tissue Regenerative Constructs.
AB - The worldwide shortage of organs fosters significant advancements in regenerative
therapies. Tissue engineering and regeneration aim to supply or repair organs or
tissues by combining material scaffolds, biochemical signals, and cells. The
greatest challenge entails the creation of a suitable implantable or injectable
3D macroenvironment and microenvironment to allow for ex vivo or in vivo cell
induced tissue formation. This review gives an overview of the essential
components of tissue regenerating scaffolds, ranging from the molecular to the
macroscopic scale in a hierarchical manner. Further, this review elaborates about
recent pivotal technologies, such as photopatterning, electrospinning, 3D
bioprinting, or the assembly of micrometer-scale building blocks, which enable
the incorporation of local heterogeneities, similar to most native extracellular
matrices. These methods are applied to mimic a vast number of different tissues,
including cartilage, bone, nerves, muscle, heart, and blood vessels. Despite the
tremendous progress that has been made in the last decade, it remains a hurdle to
build biomaterial constructs in vitro or in vivo with a native-like structure and
architecture, including spatiotemporal control of biofunctional domains and
mechanical properties. New chemistries and assembly methods in water will be
crucial to develop therapies that are clinically translatable and can evolve into
organized and functional tissues.
PMID- 29369542
TI - Identification of Sox6 as a regulator of pancreatic cancer development.
AB - Pancreatic cancer (PC) is an aggressive malignancy associated with a poor
prognosis and low responsiveness to chemotherapy and radiotherapy. Most patients
with PC have metastatic disease at diagnosis, which partly accounts for the high
mortality from this disease. Here, we explored the role of the transcription
factor sex-determining region Y-box (Sox) 6 in the invasiveness of PC cells. We
showed that Sox6 is down-regulated in patients with PC in association with
metastatic disease. Sox6 overexpression suppressed PC cell proliferation and
migration in vitro and tumour growth and liver metastasis in vivo. Sox6 inhibited
epithelial-mesenchymal transition (EMT), and Akt signalling. Sox6 was shown to
interact with the promoter of Twist1, a helix-loop-helix transcription factor
involved in the induction of EMT, and to modulate the expression of Twist1 by
recruiting histone deacetylase 1 to the promoter of the Twist1 gene. Twist1
overexpression reversed the effect of Sox6 on inhibiting EMT, confirming that the
effect of Sox6 on suppressing tumour invasiveness is mediated by the modulation
of Twist1 expression. These results suggest a novel mechanism underlying the
aggressive behaviour of PC cells and identify potential therapeutic targets for
the treatment of PC.
PMID- 29369543
TI - Intravitreal diclofenac for treatment of refractory uveitis-associated cystoid
macular oedema: A before and after clinical study.
AB - BACKGROUND: Cystoid macular oedema (CME) is one of the important complications of
uveitis leading to irreversible blindness. Currently, there is no gold standard
treatment for this condition. The aim of this study was to investigate the
effects of single intravitreal diclofenac (IVD) injection on short-term outcome
of refractory uveitis-associated CME. METHODS: This was a prospective clinical
study on 16 consecutive patients (16 eyes) with refractory uveitis-associated
CME. Intravitreal injection of 500 MUg/0.1 ml of diclofenac sodium was given to
the patients. The clinical outcomes considered were best-corrected visual acuity
(BCVA) change and central macular thickness (CMT) changes in the pre- and
postinjection periods. Follow-up examinations were performed at 1 and 4 weeks
after the injection. RESULTS: Age of the patients varied from 18 to 59 years
(mean +/- SD: 39.5 +/- 13.2 years). Ten patients (62.5%) were females.
Statistically significant differences were found in the decrease of mean BCVA
(logMAR) (p = 0.043) and mean CMT (p = 0.003) during the study period. There was
no significant difference in the intraocular pressure (IOP) increase at the end
of the study (p = 0.94). CONCLUSION: Intravitreal diclofenac (IVD) may be a
promising treatment for refractory uveitis-associated CME. Further clinical
trials with a larger sample size should be conducted to confirm these findings
and compare them with other treatments.
PMID- 29369544
TI - Turkish Adaptation of Dentine Hypersensitivity Experience Questionnaire (DHEQ).
AB - OBJECTIVE: The Dentine Hypersensitivity Experience Questionnaire (DHEQ) is a
valid and reliable instrument for oral health-related quality of life (OHRQoL)
studies. This study aimed to assess the Turkish version of the DHEQ and determine
the effects of degree of DH, sex, and age on OHRQoL. MATERIALS: The study
participants were 251 DH patients (age 18-78 years; 68.5% female) who completed
the Turkish version of the DHEQ. The reliability of the instrument was assessed
in terms of internal consistency, using item-total correlations and Cronbach's
alpha and test-retest reliability using intra-class correlation coefficients
(ICCs) among 51 patients who repeated the DHEQ following a 2-week interval.
Construct validity was determined based on exploratory factor analysis (EFA).
Convergent validity was tested through correlating DHEQ total and subscale scores
with the global rating of oral health and effect on life overall. Discriminative
validity was tested by comparing the total and subscale scores against the degree
of sensitivity. RESULTS: Patients with more severe hypersensitivity showed higher
DHEQ scores and greater OHRQoL impairment. Female and older (?40 years) patients
had significantly greater OHRQoL impairment. Cronbach's alpha exceeded 0.70,
indicating good internal consistency reliability. The ICC values measured were
?0.60 for the overall scale and each subscale of the DHEQ, signifying good to
excellent test-retest reliability. CONCLUSION: The results suggested that the
Turkish version of the DHEQ is appropriate for assessing the OHRQoL among people
with dentine hypersensitivity.
PMID- 29369545
TI - Illness perceptions amongst individuals with dental caries.
AB - OBJECTIVE: To assess individuals' perception of dental caries, in order to
explain how illness representations might influence their coping with the
disease. METHODS: Cross-sectional questionnaire study. PARTICIPANTS: 520
consecutive patients (aged >=18 years) of the General Dentistry Clinic at
Universidad Cooperativa de Colombia, Pasto, Colombia who had experienced dental
caries. MAIN OUTCOME MEASURES: Illness perception of dental caries was assessed
using the Illness Perception Questionnaire Revised (IPQ-R). RESULTS: The most
frequent self-reported symptoms associated with dental caries were "toothache"
(56.2%), "tooth sensitivity" (53.8%) and "mild to sharp pain when eating or
drinking" (51.2%). The dimensions of illness perception were related to socio
economic status (SES). Symptoms of "loose or separating teeth" and "pus in your
tooth" were associated with dental caries by low SES participants, while
"bleeding while brushing, flossing or eating hard food" and "mild to sharp pain
when eating or drinking something sweet, hot or cold" were related more to higher
SES. CONCLUSIONS: Perceptions of caries were related to socioeconomic status.
Interventions to promote health literacy in order to improve the capacity to
obtain, process and understand basic oral health information could increase an
early detection of caries.
PMID- 29369546
TI - The use of cost-utility analysis for the evaluation of caries prevention: an
exploratory case study of two community-based public health interventions in a
high-risk population in the UK.
AB - BACKGROUND: Economic evaluations are important tools for decision makers to
determine the best allocation of resources in a healthcare system. This study
explored the use of economic evaluation in oral health promotion. METHODS: A
literature review identified oral health promotion programmes that measured both
the health impact and costs of oral health interventions. A decision analysis
model was constructed to examine the cost utility of preventing dental caries in
5 and 12-year-old children via tooth brushing schemes and fluoride varnish
programmes. The costs per child that would be justified according to the National
Institute for Health and Care Excellence's threshold of L20,000 per QALY were
calculated. RESULTS: The analysis showed that NICE would consider that the
expenditure of L55 per child on supervised tooth brushing, or L100 per child on
fluoride varnish application would give sufficient health benefits to be
justified according to their threshold. CONCLUSIONS: Greater attention needs to
be paid to the collection of robust data on costs for oral health promotion.
Dental researchers also urgently need to collect outcome data in a form that can
be translated into a Quality of Life measure, so that the true cost effectiveness
and value for money achieved through the prevention of dental disease can be
recognised and compared to other allocations of resource.
PMID- 29369547
TI - Recall intervals and time used for examination and prevention by dentists in
child dental care in Denmark, Iceland, Norway and Sweden in 1996 and 2014.
AB - OBJECTIVE: The purpose of the present study was to explore intervals between
regular dental examination and the time dentists spent for examination and
preventive dental care of children in 1996 and 2014. PARTICIPANTS AND METHODS: In
Denmark, Norway and Sweden, random samples of dentists working with children were
included, while in Iceland all dentists were mailed questionnaires. Complete
information was provided by 1082 of 1834 dentists (64%) in 1996 and 1366 of 2334
dentists (59%) in 2014. Results were assessed using chi-square and analysis of
variance with post-hoc tests. RESULTS: Some trends were consistent in all
countries, but considerable differences in routines between the countries
persisted during the period. The most used and maximum planned recall intervals
were on average 14.8 (sd 4.8) and 18.5 (sd 4.6) months in 2014, respectively 3.1
and 3.5 months longer than in 1996 (p?0.05). In 2014 dentists used ample time
delivering preventive care to children. Dentists reported spending significantly
more time providing preventive care for caries risk children than for other
children both in 1996 and 2014. Concurrent with extended intervals, dentists
reported spending longer performing routine examinations in three of the four
countries in 2014 than in 1996. CONCLUSIONS: This study of trends in dental care
delivered by dentists during recent decades showed moves towards extended recall
intervals and preventive care individualized according to caries risk. In
addition, extending intervals could necessitate more time for a routine dental
examination.
PMID- 29369548
TI - [Association of gene polymorphisms of matrix metalloproteinases with reproductive
losses in the first trimester of pregnancy].
AB - In the present study, the frequencies of genotypes and alleles of candidate genes
with respect to polymorphisms associated with increased pregnancy loss in the
first trimester of pregnancy, including MMP1-1607insG, MMP9 A-8202G, and TIMP1
S536T, were reported. The frequency of homozygotes for allele MMP9 A-8202 was
increased by a factor of two among women with miscarriage in the first trimester
compared to the control. Significant models of interaction of genes MMPs and
TIMP1 were revealed. The genotypes of genes MMP1 (rs1799750), MMP9 (rs11697325),
and TIMP1 (rs11551797) increasing the risk of pregnancy loss in the first
trimester were determined.
PMID- 29369549
TI - [Association of polymorphic markers of chemokine genes, their receptors, and CD14
gene with coronary atherosclerosis].
AB - Atherosclerosis represents an inflammatory response to the disturbance of the
endothelial layer in the arterial bloodstream. In the present study, an analysis
of associations of polymorphic markers for the genes controlling synthesis of
proteins involved in atherosclerosis pathogenesis in coronary atherosclerosis
(CA) patients (217 subjects) and in a control group (250 subjects) was conducted.
The following genes were examined: rs991804 (CCL2 gene), rs1126579 (CXCR2 gene),
rs4074 (CXCL1 gene), rs4073 (CXCL8 gene), rs333 (CCR5 gene), rs2471859 (CXCR4
gene), rs1801157 (CXCL12 gene), and rs2569190 (CD14 gene). Using the Monte Carlo
and Markov chain (APSampler) method, allele/genotype combinations associated with
both low and high CA risk were revealed. The most important findings included the
following: CXCR4*T/T + CCL2*C + CCR5*I/I (P perm = 1 * 10-6, OR = 0.44, 95% CI
0.3-0.63), CXCR2*C + CD14*C + CXCL12*G + CCL2*C + CCR5*D (P perm = 4 * 10-6, OR =
5.78, 95% CI 2.34-14.28), CD14*C + CCL2*C/C + CCR5*D (P perm = 6.3 * 10-6, OR =
5.81, 95% CI 2.17-15.56), CXCL8*A + CXCR2*C + CD14*T + CXCR4*C (P perm = 0.01, OR
= 3.21, 95% CI 1.63-6.31).
PMID- 29369550
TI - [Manifestation of multimodality in a simple ecological-genetic model of
population evolution].
AB - An investigation of the nature of dynamics of the population size and genetic
structure is carried out for a homogeneous ecologically limited population
influenced by density-dependent r-K selection in a single diallelic genetic
locus. The detailed study of the results of basic types of natural selection is
carried out with additional consideration of the influence of initial conditions.
It is shown that coexistence of several different asymptotic dynamic modes (with
their own attraction basins) is possible in numerous enough parametric domains
which are meaningful biologically.
PMID- 29369551
TI - A Smart Detection System Based on Specific Magnetic and Rolling Cycle
Amplification Signal-Amplified Dual-Aptamers to Accurately Monitor Minimal
Residual Diseases in Patients with T-ALL.
AB - It is a major clinical challenge for clinicians how to early find out minimal
residual diseases (MRD) of leukemia. Here, we developed a smart detection system
for MRD involving magnetic aptamer sgc8 probe (M-sgc8 probe) to capture CEM cells
and rolling cycle amplification probe (RCA-sgc8 probe) to initiate RCA, producing
a single-stranded tandem repeated copy of the circular template. The DNA products
were hybridized with molecular beacon to generate the amplified fluorescence
signal. An in vitro model to mimic MRD was established to evaluate the
sensitivity of the smart detection system. The smart detection system was used to
detect MRD in patients with T-ALL peri-chemotherapy, which could not only
specifically captured T-ALL cells, but also significantly amplified fluorescence
signals on them. The sensitivity was 1/20,000. These results indicate that the
smart detection system with high specificity and sensitivity could more
efficiently monitor the progress of T-ALL peri-chemotherapy.
PMID- 29369552
TI - [Association of variable rs1801282 locus of PPARG2 gene with diabetic
nephropathy].
AB - The association of the variable rs1801282 locus of the PPARG2 gene (peroxisome
proliferator-activated receptor gamma) with type 2 diabetes mellitus and its
complications was analyzed in inhabitants of the Republic of Bashkortostan. The
genotype frequencies of the variable rs1801282 locus of the PPARG2 gene did not
significantly differ in groups of healthy persons and patients with type 2
diabetes in all three considered inheritance models (codominant, dominant, and
recessive). At the same time, it was demonstrated that the risk of one of the
diabetic complications, i.e., diabetic nephropathy, was associated with the
variable rs1801282 locus of the PPARG2 gene. Diabetic nephropathy was more common
in patients with the C/C genotype (62.7%) compared to the C/G and G/G genotypes
(37.5%), P = 0.036. The G allele is protective in regard to diabetic nephropathy
(OR = 0.36) in patients with type 2 diabetes mellitus.
PMID- 29369553
TI - [Inheritance of longitudinal white shell bands in the snail Littorina obtusata
(Gastropoda, Prosobranchia)].
AB - The hypothesis on monogenic inheritance of white longitudinal shell bands in the
snail Littorina obtusata was tested. The data showed that a single gene with two
alleles was responsible for the presence of the bands on the snail shell. The
presence of bands was the dominant character.
PMID- 29369554
TI - [Erratum to: "A comparative analysis of methylation status of tumor suppressor
genes in paired biopsy and serum samples from cervical cancer patients among
North Indian population"].
PMID- 29369555
TI - [Breeding of the Russian sable: Stages of industrial domestication and genetic
variability].
AB - Creating farms for sable breeding was associated with the commercial destruction
of natural populations and, consequently, the overall decline in the species
number. The gene pool of the first farm-bred sable population in Russia,
established in the vicinity of Moscow ("Pushkinskiy" fur farm), was formed by
crossing of animals removed from nine natural populations. In the first eight
years of farm operation, approximately one thousand animals were used for sable
breeding; some of these animals were able to adapt to the farm management and,
subsequently, to the selection for a number of quantitative traits in the period
of industrial domestication. It took about ten years for breeders to work out the
breeding and selection technologies, which became successfully employed in the
established affiliated sable breeding farms. The main achievement in sable
breeding over the 85-year historical period of breeding in Russia is the creation
of two unique breeds, black sable (1969) and Saltykovskaya 1 (2007). In general,
industrial domestication in fur farming and the subsequent breeding works made
the fur of many species (mink, fox, Arctic fox) obtained from natural populations
uncompetitive, which undoubtedly reduced the hunting interest in the animals
living in the wild. Consequently, hunting for fur-bearing animals of most species
decreased and has only local importance. Owing to the specific features of sable
biology, the fur of farm-bred animals cannot yet completely replace the furs
obtained by hunting; however, the farm-bred sable population is constantly
growing. This review presents the results of the analysis of the level of genetic
variability in natural and farm populations at nuclear and mitochondrial loci.
The comparative analysis makes it possible to estimate the loss of genetic
diversity upon the species adaptation to the new conditions of existence.
PMID- 29369556
TI - [Analysis of complete sequence of cryptic plasmid pTP33 from Yersinia pestis
isolated in Tuva natural focus of plague].
AB - This paper studies a full nucleotide sequence of cryptic plasmid pTP33, which was
isolated from the typical plague strain of the Tuvinian natural focus, Yersinia
pestis I-2638. Sequencing was carried out using the 454 GS Junior platform
(Roche). In analysis using the software package GS De Novo Assembler v. 2.7
(Roche) and the algorithm Newbler v. 2.7, 1855 nucleotide reads, which contained
1101246 nucleotides, were assembled to a contig of 33 978 bp. The GC content of
the obtained nucleotide sequence was 50.25%. During annotation, we found 56 open
reading frames. Homologs of the predicted reading frames were sought in the BLAST
databases. We detected 22 reading frames coding hypothetical proteins, 23 frames
coding phagerelated proteins, and 11 frames coding proteins with known functions,
including toxin-antitoxin system YefM-YoeB, nucleic acids and polysaccharides
metabolism proteins (exopolysaccharide production protein ExoZ,
exodeoxyribonuclease VIII), and replication proteins (ParA). Some predicted pTP33
proteins were found to be homologs (from 45 to 75%) with sequences of phage
related proteins of certain microorganisms-endosymbionts of insects (Sodalis
glossinidius) and endosymbionts of entomopathogenic nematodes (Photorhabdus
luminescens, P. asymbiotica, Xenorhabdus bovienii).
PMID- 29369557
TI - [Lactobacillus fermentum 90 TC-4 taxonomic status confirmation using whole genome
sequencing and MALDI TOF mass spectrum].
AB - With the use of whole genome sequencing, the taxonomic status of Lactobacillus
fermentum 90 TC-4 strain from Russian collections were studied. Complex analysis
of phenotypical and genetic properties was conducted using phenotypic and
molecular genetic methods. The main characteristics of the genome and biochemical
activity profile of the strain were determined. A comparative analysis of the
mass spectrum of ribosomal proteins of the strain, its biochemical properties, a
fragment of 16S rRNA gene sequencing, and the entire genome revealed that the
present strain belongs to the species L. fermentum, confirming its taxonomic
status in accordance with modern taxonomy.
PMID- 29369558
TI - [Genome structure and origin of nontoxigenic strains of Vibrio cholerae of El Tor
biovar with different epidemiological significance].
AB - Intraspecies genetic differentiation of nontoxigenic strains of Vibrio cholerae
of El Tor biovar containing one of the key pathogenicity genes, tcpA, is studied
along with the phylogenetic relationships between these strains and toxigenic
isolates. Comparative analysis of the whole genome nucleotide sequences
demonstrates for the first time that ctxA - tcpA + strains vary considerably and
can be clustered into two separate groups, the CTXphi-RS1phi +VPI+VSP+/CTXphi
RS1phi-VPI+VSP+ isolates and the CTXphi-RS1phi-VPI+VSP- isolates, differing in
their epidemiological significance. In the course of model experiments, it is
established that nontoxigenic potentially epidemic CTXphi-RS1phi +VPI+VSP+/CTXphi
RS1phi-VPI+VSP+ isolates are derivatives of toxigenic strains. The results of
whole genome SNP analysis of 35 Vibrio cholerae strains confirm these data and
indicate genetic remoteness of nontoxigenic CTXphi-RS1phi-VPI+VSP- strains both
from the potentially epidemic strains and from the toxigenic isolates. It is
found that the genomes of the CTXphi-RS1phi-VPI+VSP- strains contain unique SNPs
which are characteristic of them alone. The new data on the structure of the
genome of nontoxigenic strains with different epidemiological significance may be
further used for their genetic differentiation.
PMID- 29369559
TI - [Disequilibrium distribution of genotypes in the pairs of isozyme loci in the
pine populations of the forest-steppe regions of Siberia].
AB - We performed an analysis of linkage disequilibrium of alleles of 15 allozyme loci
in 35 populations of Scots pine in the Siberian part of the range between 50
degrees and 56 degrees N and 79 degrees and 107 degrees E. We found a
significant deviation in the distribution of genotypes of 1-9 pairs of loci in
the investigated samples. We established correlations between frequencies of
certain pairs of linked loci with ecological conditions and geographical
coordinates of habitats of the pine populations. We present the results of
comparative analysis of the differences in certain morphological features of
trees with significantly predominant genotypes for pairs of linked loci in three
isolated populations: in the south of Krasnoyarsk krai, Khakassia, and Tuva.
PMID- 29369560
TI - [Expression of plant antimicrobial peptide pro-SmAMP2 gene increases resistance
of transgenic potato plants to Alternaria and Fusarium pathogens].
AB - The chickweed (Stellaria media L.) pro-SmAMP2 gene encodes the hevein-like
peptides that have in vitro antimicrobial activity against certain harmful
microorganisms. These peptides play an important role in protecting the chickweed
plants from infection, and the pro-SmAMP2 gene was previously used to protect
transgenic tobacco and Arabidopsis plants from phytopathogens. In this study, the
pro-SmAMP2 gene under control of viral CaMV35S promoter or under control of its
own pro-SmAMP2 promoter was transformed into cultivated potato plants of two
cultivars, differing in the resistance to Alternaria: Yubiley Zhukova (resistant)
and Skoroplodny (susceptible). With the help of quantitative real-time PCR, it
was demonstrated that transgenic potato plants expressed the pro-SmAMP2 gene
under control of both promoters at the level comparable to or exceeding the level
of the potato actin gene. Assessment of the immune status of the transformants
demonstrated that expression of antimicrobial peptide pro-SmAMP2 gene was able to
increase the resistance to a complex of Alternaria sp. and Fusarium sp.
phytopathogens only in potato plants of the Yubiley Zhukova cultivar. The
possible role of the pro-SmAMP2 products in protecting potatoes from Alternaria
sp. and Fusarium sp. is discussed.
PMID- 29369561
TI - [Nuclear mtDNA pseudogenes as a source of new variants of the mtDNA cytochrome b
haplotypes: A case study of Siberian rubythroat Luscinia calliope (Muscicapidae,
Aves)].
AB - Sequence polymorphism of the mitochondrial DNA cytochrome b gene fragment was
analyzed in 21 specimens of subspecies Luscinia calliope calliope (Pallas, 1776)
and two specimens of L. c. anadyrensis (Portenko, 1939). On sequence
chromatograms, in 19 specimens of L. c. calliope, double peaks of heteroplasmy
type in the taxon-specific positions were revealed. Moreover, two clone variants
were identified. The first variant was the calliope mitochondrial cyt b gene and
the second was the nuclear cyt b pseudogene, similar to the mitochondrial
haplotype anadyrensis-camtschatkensis. In L. c. anadyrensis, four clone variants,
represented by the mitochondrial calliope and anadyrensis-camtschatkensis cyt b
genes and nuclear calliope and sachalinensis cyt b pseudogenes, were identified.
Some nuclear cyt b pseudogenes were highly similar (98-99%) to the mitochondrial
genes of the subspecies L. c. anadyrensis, L. c. camtschatkensis, and L. c.
sachalinensis. In the same time, the majority of nuclear pseudogene sequences
were characterized by a high level of polymorphism, caused by nonsynonymous
substitutions (up to five substitutions per sequence), the presence of indels in
some of the clones, and TAA and TGA stop codons. In our opinion, the
mitochondrial haplotypes anadyrensis-camtschatkensis and sachalinensis occurred
as a result of intergenomic homologous recombination. This finding provides a new
insight into the colonization history of the northeastern part of the range by L.
calliope, according to which populating the territory of Chukotka, Kamchatka, and
Sakhalin took place at different times and along the independent pathways.
PMID- 29369562
TI - [Characterization of the Russian beef cattle breed gene pools using inter simple
sequence repeat DNA analysis (ISSR analysis)].
AB - The gene pools of beef cattle breeds bred in Russia were characterized on the
basis of inter simple sequence repeat DNA analysis (ISSR analysis). Samples of
Aberdeen Angus, Kalmyk, and Kazakh Whitehead breeds from Russia, as well as of
Hereford breed, hybrids of Kazakh Whitehead and Hereford breeds, and Kazakh
Whitehead breed from the Republic of Kazakhstan, were examined. In the examined
breeds, 27 AG-ISSR fragments were identified, 25 of which were polymorphic. The
examined breeds were different both in the fragment profiles (the
presence/absence of individual ISSR fragments) and in their frequencies. It was
demonstrated that the hybrid animals lacked some ISSR fragments that were present
with high frequencies in parental forms, suggesting considerable genome
rearrangement in the hybrid animals (at the regions of microsatellite
localization) in crossings of the individuals from different breeds. The level of
genetic diversity in Russian beef breeds was consistent with the values typical
of farmed populations (breeds). The genetic diversity parameters assessed by
applying Nei's gene diversity index and the Shannon index varied from 0.0218 to
0.0605 and from 0.0225 to 0.0819, respectively. The highest Shannon index value
was detected in the Kalmyk breed (0.0837) and Kazakh Whitehead breed from Russia
(0.0819), and the highest level of Nei's gene diversity index was found in the
Kalmyk breed (0.0562) and in both populations of the Kazakh Whitehead breed
(0.0509 and 0.0605). The high level of genetic similarity (according to Nei) was
revealed between Russian beef cattle breeds and Hereford cattle: 0.839 (for the
Kazakh Whitehead breed from Russia) and 0.769 (for the Kalmyk breed).
PMID- 29369563
TI - Mathematical models in genetics.
AB - In this study, we present some of the basic ideas of population genetics. The
founders of population genetics are R.A. Fisher, S. Wright, and J. B.S. Haldane.
They, not only developed almost all the basic theory associated with genetics,
but they also initiated multiple experiments in support of their theories. One of
the first significant insights, which are a result of the Hardy-Weinberg law, is
Mendelian inheritance preserves genetic variation on which the natural selection
acts. We will limit to simple models formulated in terms of differential
equations. Some of those differential equations are nonlinear and thus emphasize
issues such as the stability of the fixed points and time scales on which those
equations operate. First, we consider the classic case when selection acts on
diploid locus at which wu can get arbitrary number of alleles. Then, we consider
summaries that include recombination and selection at multiple loci. Also, we
discuss the evolution of quantitative traits. In this case, the theory is
formulated in respect of directly measurable quantities. Special cases of this
theory have been successfully used for many decades in plants and animals
breeding.
PMID- 29369564
TI - [Genetic determinants of resistance of hospital-associated strains of Klebsiella
pneumoniae to beta-lactam antibiotics isolated in neonates].
AB - According to the results of analysis of whole genome sequencing, the presence of
genes having resistance to beta-lactam antibiotics in hospital-associated strains
of Klebsiella pneumoniae was studied. The strains were isolated from neonatal
intensive care units. The data obtained were compared with the results of
antimicrobial susceptibility testing of isolated microorganisms. Among other
strains resistant to cephalosporins, the dominance of genes of CTX-M-type
extended-spectrum beta-lactamases was shown. It was revealed that one of eight
strains phenotypically resistant and moderately resistant to carbapenems have the
blaOXA-48 carbapenemase gene.
PMID- 29369565
TI - [Mutational landscape of prostate tumors revealed by whole-exome sequencing].
AB - The results of the whole-exome DNA sequencing of eight prostate adenocarcinoma
patients are presented. DNA was isolated from the peripheral blood as well as
healthy and tumor prostate tissue from each patient. Bioinformatics analysis was
conducted and the most significant mutations in prostate cancer patients were
revealed. The obtained data could be important for understanding of the molecular
mechanisms of prostate cancer pathogenesis and facilitate development of new
approaches for treatment of the disease.
PMID- 29369566
TI - [Estimation of association of CNTN6 copy number variation with idiopathic
intellectual disability].
AB - Analysis of the prevalence of copy number variations of the CNTN6 gene, recently
selected as a new candidate gene for intellectual disorders, was performed. Real
time PCR did not detect any change in the number of CNTN6 gene copies in a group
of 200 patients with impaired intellectual development. However, taking into
account our data from the previous aCGH analysis and published data, the overall
frequency of microdeletions and microduplications of CNTN6 was estimated as 1:
265 (0.4%). The common phenotypic features of 40 patients with microdeletions and
microduplications of CNTN6 appeared to be the autism spectrum disorders,
developmental delay, intellectual disability, seizures, cognitive impairment,
cardiological defects, and behavioral problems.
PMID- 29369581
TI - [Induction of transcription through the scs insulator leads to abnormal
development of Drosophila melanogaster].
AB - A regulatory element named scs is one of the first insulators discovered in
Drosophila, which was found on the boundary of the hsp70 domain. The 993-bp scs
insulator contains two promoters at the ends and two polyadenylation signals
located in the same orientation in the central part of the insulator. In the
Drosophila transgenic lines, induction of a strong transcription through the scs
insulator only in the direction that coincides with the direction of the two
polyadenylation sites activity results in multiple phenotypic defects of the
Drosophila development and embryonic lethality. A similar effect was not observed
upon testing of other known Drosophila insulators.
PMID- 29369582
TI - [Occurrence of islands in genomes of Sinorhizobium meliloti native isolates].
AB - Genomes of 184 Sinorhizobium meliloti native isolates were studied to test the
occurence of islands Sme21T, Sme19T, and Sme80S previously described in the model
strain Rm1021. This analysis was conducted using PCR methodology involving
specific primers. It was demonstrated that, in the examined geographically
distinct populations of S. meliloti from the Northern Caucasus (NCG) and the Aral
Sea region (PAG), the strains containing genomic islands were observed with
similar frequency (0.55 and 0.57, respectively). Island Sme80S, denoted as an
island of "environmental adaptivity," was identified predominantly (frequency of
0.38) in genomes of strains which exhibited a lower level of salt tolerance and
was isolated in PAG, a modern center of introgressive hybridization of alfalfa
subjected to salinity. Island Sme21T designated as "ancestral" was observed in
genomes of strains isolated in NCG, the primary center of host-plant
biodiversity, 10-fold more often than in strains from PAG. An island Sme19T,
which predominantly carries genes encoding transposases, was observed in genomes
of strains in both populations with average frequency of 0.10. The analysis of
linkage disequilibrium (LD) based on the assessment of probability for detection
of different islands combinations in genomes revealed an independent inheritance
of islands in salt-sensitive strains of various geographic origin. In contrast,
the absence of this trend was noted in the majority of the examined combinations
of salt-tolerant strains. It was concluded that the structure of chromosome in
PAG strains which predominantly possessed a salt-sensitive phenotype was
subjected to active recombinant processes, which could predetermine the intensity
of microevolutionary processes in bacterial populations and facilitate an
adaptation of bacteria in adverse environmental effect.
PMID- 29369583
TI - [Genetic polymorphism of Tulipa gesneriana L. evaluated on the basis of the ISSR
marking data].
AB - Using the method of ISSR analysis, the genetic diversity of 18 natural
populations of Tulipa gesneriana L. from the north of the Lower Volga region was
examined. The ten ISSR primers used in the study provided identification of 102
PCR fragments, of which 50 were polymorphic (49.0%). According to the proportion
of polymorphic markers, two population groups were distinguished: (1) the
populations in which the proportion of polymorphic markers ranged from 0.35 to
0.41; (2) the populations in which the proportion of polymorphic markers ranged
from 0.64 to 0.85. UPGMA clustering analysis provided subdivision of the sample
into two large clusters. The unrooted tree constructed using the Neighbor Joining
algorithm had similar topology. The first cluster included slightly variable
populations and the second cluster included highly variable populations. The
AMOVA analysis showed statistically significant differences (F CT = 0.430; p =
0.000) between the two groups. Local populations are considerably genetically
differentiated from each other (F ST = 0.632) and have almost no links via modern
gene flow, as evidenced by the results of the Mantel test (r =-0.118; p = 0.819).
It is suggested that the degree of genetic similarities and differences between
the populations depends on the time and the species dispersal patterns on these
territories.
PMID- 29369584
TI - [Allelic diversity of hordein-coding loci Hrd A and Hrd B in cultivated (Hordeum
vulgare L.) and wild (H. spontaneum C. Koch) barley from Iran (as a part of the
Fertile Crescent)].
AB - Polymorphism of hordeins encoded by the Hrd A and Hrd B loci was studied using
starch gel electrophoresis in 63 landraces of cultivated barley (H. vulgare) and
43 accessions of wild barley (H. spontaneum) from Iran. We identified 35 and 32
Hrd A alleles of H. vulgare and H. spontaneum. The number of Hrd B alleles in
these species was 55 and 48, respectively. The frequencies of alleles in the Hrd
A and Hrd B loci in cultivated barley varied within the range of 0.0016-0.2816
(Hrd A) and 0.0016-0.1221 (Hrd B). The allelic frequencies in wild barley ranged
within 0.0077-0.2170 and 0.0077-0.0815, respectively. Iranian accessions of H.
spontaneum and H. vulgare shared some alleles; the number of common alleles was
seven for the Hrd A locus and five for the Hrd B locus. These alleles were
detected mainly in accessions of wild barley heterozygous or heterogenic for
hordein-coding loci. We concluded that the regions close to Zagros mountain range
cannot be considered as a barley domestication center. At the same time, Iranian
H. spontaneum could have donated some hordein-coding loci to H. vulgare as a
result of introgressions occurring because of spontaneous hybridization over the
course of the spread of cultivated barley.
PMID- 29369585
TI - [The recovering of breeding achievements of Populus * leningradensis bogd. and
Populus * newensis bogd. Based on microsatellite analysis].
AB - The genotyping of 75 trees from poplar plantations in St. Petersburg and
Leningrad oblast was conducted with microsatellite markers to identify the elite
clonal varieties developed by P.L. Bogdanov in the period of 1938-1965. The
information about the varieties was lost. The authentic herbarium specimens of
poplar clonal varieties preserved at the St. Petersburg State Forest Technical
University were used as reference genotypes. According to the results of DNA
fingerprinting, we identified the clonal plantations of Populus * newesis Bogd.
and Populus * leningradensis Bogd. from the Kartashevskii forest district and the
arboretum of the St. Petersburg State Forest Technical University. The identified
elite poplar hybrids have a higher frost resistant and a higher growth rate. They
are recommended for plantation cultivation in the northwest of Russia.
PMID- 29369586
TI - Emergency department management of patients with thermal burns.
AB - Thermal burn injuries are a significant cause of morbidity and mortality
worldwide. In addition to treatment of the burns, emergency clinicians must
assess for inhalation injury, exposure to toxic gases, and related traumatic
injuries. Priorities for emergency resuscitation include stabilization of airway
and breathing, intravenous fluid administration, pain control, and local wound
care. Special populations, including children and pregnant women, require
additional treatment considerations. Referral to specialized burn care for select
patients is necessary to improve long-term outcomes. This article reviews thermal
burn classification and evidence-based treatment strategies.
PMID- 29369587
TI - [New single nucleotide polymorphisms of androgen receptor gene (AR) in the
Russian breed of Dzhalginsky Merino sheep].
AB - This paper investigates the structure of androgen receptor gene (AR) in the
Russian breed of Dzhalginsky Merino sheep. Polymorphisms of the gene were
detected using NimbleGen sequencing technology (Roche, United States). Eight
single nucleotide polymorphisms (SNPs) and two insertions were detected. Five of
these SNPs (c.335T>G, c.339G>A, c.342T>C, c.2491-327T>A, and c.2491-325A>T) and
both insertions were identified for the first time. Three SNPs and the insertions
are located in the coding part of exon. Insertion c.336_337 is found in most of
the animals of this breed and can be used as a genomic marker of the breed.
Animals with mutant variant of SNP c.1496+15T>C have significantly lower live
weight and body size compared with the wild type genotype. This SNP can be used
as a genetic marker of meat production in marker-assisted selection.
PMID- 29369588
TI - [Seven genes of mitochondrial genome enabling differentiation of honeybee
subspecies Apis mellifera].
AB - On the basis of comparative sequence analysis of 12 honeybee mitochondrial genes,
seven genes enabling us to differentiate honeybees subspecies of the A, M, C, O
evolutionary lineages were found. Applying comparative sequence analysis of ND2
gene mtDNA as an example on a statistically valid sample size, we showed a high
level of differentiating ability of this gene and assumed that each of these
seven genes probably can be used for differentiation of the subspecies within
four evolutionary lineages.
PMID- 29369589
TI - [Alu insertion-deletion polymorphism of COL13A1 and LAMA2 genes: The analysis of
association with longevity].
AB - The distribution of allele and genotype frequencies of Alu(I/D) polymorphic sites
in the COL13A1 and LAMA2 genes coding extracellular matrix protein subunits was
characterized in an ethnically homogeneous group (Tatars from the Republic of
Bashkortostan, Russia). It was established that the frequency of individuals with
the COL13A1*D/*D genotype was higher in the senile age period. The LAMA2*I/*D
genotype was predisposing to longevity among women. According to the observed
results, the frequency of the LAMA2*I/*D genotype was increased in senile
individuals older than 90 years. The observed associations can be explained on
the basis of the contemporary view by the importance of Alu elements in gene
expression regulation at transcriptional and post-transcriptional levels, the
involvement of collagen and laminin in maintaining the structure and function of
the extracellular matrix, and the relationship between the extracellular matrix
state, pathological changes and aging.
PMID- 29369590
TI - [Population frequency and age of mutation G5741->A in gene NBAS which is a cause
of SOPH syndrome in Sakha (Yakutia) Republic].
AB - SOPH syndrome (Short stature with Optic nerve atrophy and Pelger-Huet anomaly
syndrome, OMIM#614800) is an autosomal recessive hereditary disease characterized
by the following main clinical symptoms: postnatal hypoplasia, proportionately
short stature, facial dysmorphism, micromelia of feet and hands, limp and loose
skin, optic nerve atrophy, and Pelger-Huet anomaly of neutrophils. For the first
time, this disease was described in Yakuts. The molecular-genetic study showed
that its cause in Yakuts is mutation G5741->A in gene NBAS. On the basis of
disequilibrium analysis for linkage of ten microsatellite markers flanking the
NBAS gene with the disease, the haplotype of the founder chromosome was
determined. The age of the mutation in Yakutia was estimated to be about 804 +/-
140 years. The frequency of heterozygous carriers of mutation G5741->A (R1914H)
in gene NBAS was found, which averaged 13 per 1000 healthy Yakuts.
PMID- 29369591
TI - Acute gastroenteritis: evidence-based management of pediatric patients.
AB - Although most cases of acute gastroenteritis require minimal medical
intervention, severe dehydration and hypoglycemia may develop in cases of
prolonged vomiting and diarrhea. The mainstay of treatment for mild-to-moderately
dehydrated patients with acute gastroenteritis should be oral rehydration
solution. Antiemetics allow for improved tolerance of oral rehydration solution,
and, when used appropriately, can decrease the need for intravenous fluids and
hospitalization. This issue reviews the common etiologies of acute
gastroenteritis, discusses more-severe conditions that should be considered in
the differential diagnosis, and provides evidence-based recommendations for
management of acute gastroenteritis in patients with mild-to-moderate
dehydration, severe dehydration, and hypoglycemia.
PMID- 29369592
TI - [Functional linear models for region-based association analysis].
AB - Regional association analysis is one of the most powerful tools for gene mapping
because instead analysis of individual variants it simultaneously considers all
variants in the region. Recent development of the models for regional association
analysis involves functional data analysis approach. In the framework of this
approach, genotypes of variants within region as well as their effects are
described by continuous functions. Such approach allows us to use information
about both linkage and linkage disequilibrium and reduce the influence of noise
and/or observation errors. Here we define a functional linear mixed model to test
association on independent and structured samples. We demonstrate how to test
fixed and random effects of a set of genetic variants in the region on
quantitative trait. Estimation of statistical properties of new methods shows
that type I errors are in accordance with declared values and power is high
especially for models with fixed effects of genotypes. We suppose that new
functional regression linear models facilitate identification of rare genetic
variants controlling complex human and animal traits. New methods are implemented
in computer software FREGAT which is available for free download at
http://mga.bionet.nsc.ru/soft/FREGAT/.
PMID- 29369593
TI - [Escherichia coli ydiO and ydiQRST genes encode components of acyl-CoA
dehydrogenase complex of anaerobic fatty acid beta-oxidation pathway].
AB - Escherichia coli open reading frames ydiO and ydiQRST were identified as genes
encoding components of the acyl-CoA dehydrogenase complex of anaerobic fatty acid
beta-oxidation. Individual or concomitant inactivation of fadE gene, encoding
known aerobic acyl-CoA dehydrogenase, and ydiO and/or ydiQRST genes did not
affect cellular growth on glucose as a sole carbon source. Aerobic growth on
sodium oleate was observed only for the cells with intact fadE gene. With an
alternative electron acceptor, the cells possessing intact fadE gene demonstrated
anaerobic growth on sodium oleate irrespective of the presence or absence of ydiO
and ydiQRST genes. For the fadE-deficient mutants, anaerobic growth on sodium
oleate was observed only for cells with intact ydiO and ydiQRST genes, while the
fadE/ydiO and fadE/ydiQRST mutants failed to grow under the similar conditions.
PMID- 29369595
TI - Insight in schizophrenia - a double-edged sword?
AB - Lack of insight is defined as a loss of ability to distinguish that one's unusual
and unreal experiences should be the symptoms of the psychiatric disorder
requiring treatment. Lack of insight may be considered as a core symptom of
schizophrenia. The concept of insight has been regarded for a long time as
necessary for treatment, which improves adherence and makes a better prognosis.
Increased insight in schizophrenia has been associated not only with benefits,
but also bring trouble in the form of self-stigma, low self-esteem, reduced
patient's hope, diminished quality of life, and increased suicidality. Therefore,
insight should be managed with sensitive monitoring of the risk factors, and be
gradual, carefully supported by the encouragement of hope and confidence to
managing everyday life.
PMID- 29369596
TI - Melatonin with adenosine solubilized in water and stabilized with glycine for
oncological treatment - technical preparation, effectivity and clinical findings.
AB - Melatonin has shown the potential to inhibit growth of different tumors, both in
vitro and in vivo. There is clear evidence that the administration of melatonin
alone or in combination with chemo and radiotherapy in cancer patients with
advanced solid tumors has been associated with improved outcomes of tumor
regression and survival. Moreover, chemotherapy has been shown to be better
tolerated in patients treated with melatonin. However, there are different ways
of preparation and administration of melatonin to the patient. This review
article aims to offer the insight into the preparation, biological features and
clinical findings in its use in cancer patients. Melatonin (MLT) can only be
solubilized in water at 40-45 degrees C; at other temperatures it can only be
solubilized in alcohol. It is absorbed in the human body complexed with adenosine
by a hydrogen bond. It acts on two common denominators: proliferation and
differentiation; in addition to anticancer homeostasis, MLT has a documented
antidegenerative and immunomodulatory role. It also plays an important role in
limiting oxidative stress, affecting blood and bone marrow constituent ratio,
leukocyte formula regulation, hemoglobin synthesis, platelet genesis, aggregation
and in erythrocyte resistance. Despite of all these important roles, most well
known features are probably the least important ones, such as sleep and
wakefulness regulation and its effect on jet lag. In the preparation formulated
by Prof. Di Bella, melatonin with adenosine at a ratio of 1:4, stabilized with
30% of glycine (MLT-DBM), has been used since 1994 in many patients with various
indications and positive therapeutic responses and a total absence of toxicity.
This method can be a good alternative to commercially produced preparations, as
it was scientifically proved and published worldwide at conferences and in
various medical journals.
PMID- 29369597
TI - How long does the pharmacokinetic interaction between carbamazepine and
quetiapine last after carbamazepine withdrawal?
AB - OBJECTIVES: Carbamazepine and quetiapine are drugs that are used as mood
stabilizers in the treatment of bipolar disorders. A series of studies has shown
that concurrent use of carbamazepine decreases quetiapine serum level due to
induction of CYP3A enzymes by carbamazepine. METHODS: In a 30-year-old bipolar
patient with mania treated with quetiapine 1200 mg and carbamazepine 900 mg per
day, we measured quetiapine serum level before and after carbamazepine
withdrawal. RESULTS: No serum quetiapine was detected during concurrent use of
carbamazepine and was lower than the therapeutic range almost 2 weeks after
carbamazepine withdrawal. The patient suffered from sedation when her serum level
of quetiapine was 181 ng/ml and because she was quiet we started slowly to
decrease to a quetiapine dose of 600 mg. Her serum level (45 ng/ml) was again
below therapeutic levels after 3 weeks of carbamazepine withdrawal. CONCLUSION:
We hypothesize that induction of CYP3A lasts even after carbamazepine withdrawal.
Our hypothesis was confirmed during the next treatment of mania. The patient had
been off carbamazepine for 1 year and her serum level was four times higher (210
ng/ml) on 600 mg of quetiapine than 3 weeks after carbamazepine withdrawal. The
influence of carbamazepine on CYP3A enzymes lasted at least 3 weeks after
carbamazepine withdrawal which is in accordance with CYP3A de-induction lasting 3
weeks. This could be important information for psychiatrists to know that in some
patients it is better to use a minimum washout period of 3 weeks for
carbamazepine before new treatment with quetiapine.
PMID- 29369598
TI - A case of hereditary thrombophilia in a Chinese Han patient with both
antithrombin deficiency and Factor V Leiden: A case report and literature review.
AB - Hereditary thrombophilia is a blood coagulation disorder that increases the risk
of venous thromboembolism, due to several genetic risk factors. Factor V
Leiden(FVL) is the most common contributing factor to thrombophilia in the
Caucasian population but very rare in Asian population and concurrent occurrence
of antithrombin(AT) deficiency and FVL in Chinese Han population is even more
rare. We report the case of a 22-year-old female who experienced recurrent
intracranial venous thromboses, furthermore, color Doppler ultrasound showed
multiple extracranial thromboses. Thrombophilia was suspected and screening tests
indicated decreased AT activity and activated protein C sensitivity ratio, then
further sequencing analysis identified missense mutations in SERPINC1 and F5. The
patient's condition slightly improved after treatment with low molecular heparin
during hospitalization followed by oral warfarin after discharge. The present
report highlights a very rare case of thrombophilia with concurrent occurrence of
AT deficiency and FVL in a Chinese Han patient, and our findings suggest that
genetic testing is a reliable approach for identifying different risk factors.
PMID- 29369599
TI - Application of physiotherapy in persons at risk of metabolic syndrome
development.
AB - Problems the locomotor activity and nutritional provisions in the treatment of
obesity or metabolic syndrome are currently widely discussed. Based on prevailing
experience, the attention in the field of locomotor and fitness activities is
rather general, i.e. is mainly focused on the development of the general body
fitness and reduction fitness programmes. However, no long-term effects of the
locomotor therapy can be expected without appropriate and particularly targeted
physiotherapy with a thorough kinesiological examination. Case report described
here monitored the course of a three-month physiotherapeutic intervention in
person having enhanced values of the waist circumference in accordance with
criteria of the metabolic syndrome, who previously attended a programme of diet
provisions without meeting with success. In this case, positive results of
reductions in the waist circumference and further dimensions were achieved in
spite of the fact that in the course of the physiotherapy no arrangements of
nutritional habits have been introduced.
PMID- 29369600
TI - Postoperative hypoparathyroidism in patients after total thyroidectomy -
retrospective analysis.
AB - OBJECTIVES: Hypoparathyroidism is the most frequent complication of
thyroidectomy. The incidence rates of temporary and permanent postoperative
hypoparathyroidism vary from 7 to more than 60% and from 0 to 9%, respectively.
DESIGN: The aim of the study has been to evaluate the incidence of
hypoparathyroidism and clinical manifestations of hypocalcaemia after total
thyroidectomy, as well as assess factors that affect the frequency of the
symptomatic hypocalcaemia, and benefits resulting from the measurement of
parathyroid hormone (PTH) concentration on the first day after thyroidectomy.
SETTING: The studied group consisted of 330 patients after total thyroidectomy,
while the control group consisted of 86 patients who underwent total resection of
one lobe only or subtotal thyroidectomy. RESULTS: Based on the measurements of
serum PTH concentration on the first day after total thyroidectomy, postoperative
hypoparathyroidism was diagnosed in 48% of patients. After total thyroidectomy,
the frequency of clinical symptoms of hypocalcaemia was twice less than the
incidence of hypoparathyroidism confirmed by biochemical testing. Total
thyroidectomy occurred to be an independent factor of the increased risk of
postoperative hypoparathyroidism. This risk was even higher in the cases widened
by lymphadenectomy, and among patients with Graves' disease. In the group of
patients with decreased serum PTH concentration the occurrence of clinical
symptoms of hypocalcaemia significantly depended on serum PTH concentration -
patients with lower PTH levels reported paresthesias more frequently.
CONCLUSIONS: Serum PTH levels below 5 pg/ml seems to be a good prognostic factor
of the occurrence of hypocalcaemia symptoms. The information about low PTH
concentration allows to start the pharmacotherapy faster and avoid clinical
manifestation of hypocalcaemia.
PMID- 29369601
TI - Study of locomotion, rearing and grooming activity after single and/or
concomitant lesions of central and peripheral nervous system in rats.
AB - OBJECTIVE: Locomotion, rearing and grooming represent different forms of
behaviour and motor activity in rats. In this study, changes in these activities
were analysed in relation to impaired function of the nervous system by single
and/or concomitant lesions representing an experimental model of the dual
diagnosis. METHODS: 32 rats were divided into 4 groups of 8 rats: intact rats,
rats with single lesion of peripheral nervous system (PNS) - Marcaine neuropathy,
rats with single CNS lesion - cellular brain edema induced by water intoxication,
and the concomitant lesions (combination of CNS and PNS lesion in one rat). Water
intoxication was performed in a standard way by fractionated hyperhydration. The
average time spent by locomotion, rearing and grooming was registered and
analyzed using an open field test. RESULTS: All activities of the rats after
water intoxication became inhibited due to the generally suppressive effect of
brain edema. Lesion of PNS reduced activity in locomotion only, because for
rearing and grooming activities, the function of the forelimb is not dominant.
Combination of lesions (dual diagnosis) reduced locomotion and rearing activity
more than single lesions, and enhances the stressogenic effect, which was
manifested by a long periods of grooming. CONCLUSION: Results of our study
confirmed the physiological and pathophysiological differences in the movement
stereotype between locomotion, rearing and grooming caused by the characteristics
and algorithms of the movements, which are inborn to rats - the dominant role of
the forelimbs in locomotion, the dominant exploratory activity in rearing, and
the precise syntactic movement pattern in grooming.
PMID- 29369602
TI - Evaluation of the amoxicillin concentrations in amniotic fluid, placenta,
umbilical cord blood and maternal serum two hours after oral administration.
AB - OBJECTIVES: Amoxicillin is a broad-spectrum beta-lactam antibiotic. Due to its
low toxicity, it is commonly used in obstetrics. The objective of this study was
to assess amoxicillin concentrations in amniotic fluid, umbilical blood, placenta
and maternal serum two hours following oral administration among pregnant women
at term and to assess obstetric and non-obstetric factors that might affect
amoxicillin's penetration of these tissues. MATERIALS AND METHODS: A total of 30
full-term pregnant women who qualified for elective Caesarean delivery were
included in the study. Amoxicillin at a dose of 500 mg was administered prior to
surgery. Amoxicillin levels were determined by diffusion microbial assay.
RESULTS: The maternal serum, placental, umbilical blood and amniotic fluid levels
of amoxicillin two hours after oral administration were 2.18+/-1.30 ug/g, 1.00+/
0.71 ug/g, 1.00+/-0.73 ug/g, and 0.67+/-0.59 ug/g, respectively (Table 2).
Maternal serum levels of amoxicillin were significantly higher compared to other
tissues (p<0.05). CONCLUSION: If the target tissues for the use of antibiotic
drugs in pregnant patients are the fetus and/or the placenta, the drug should be
administered in a higher-than-standard dose than that used to treat infections in
non-pregnant patients. Considering that there is a maximum absorbable dose
following oral administration, intravenous administration should be considered to
prevent failure of antibiotic treatment. A higher dose of amoxicillin should be
considered in obese mothers.
PMID- 29369604
TI - Calculated decisions: Parkland formula for burns
PMID- 29369603
TI - Circadian blood pressure profiles and ambulatory arterial stiffness index in
children and adolescents with congenital adrenal hyperplasia due to 21
hydroxylase deficiency in relation to their genotypes.
AB - OBJECTIVE: Lifelong steroid therapy and exposure to adrenal androgen excess in 21
hydroxylase deficient (21-OHD) congenital adrenal hyperplasia (CAH) children and
adolescents may modify circadian blood pressure profile and result in vascular
complications. The objective of the study was to evaluate vascular abnormalities
in 21-OHD children and adolescents in relation to their genotypes. DESIGN: A
cross-sectional study conducted at a tertiary referral center. PATIENTS: Seventy
patients with 21-OHD CAH (27 boys), aged from 3 to 17.9 years: 9 with nonclassic
CAH, 61 with classic CAH: 10 with simple virilising (SV) and 51 with salt wasting
CAH (13-Del/Del, 8-Del/I2G, 7-I2G/I2G and 23-other genotypes). MAIN OUTCOMES
MEASURES: The assessment of systolic and diastolic BP (SBP, DBP) loads, night
dip% and arterial ambulatory stiffness index (AASI) in 21-OHD CAH patients.
PMID- 29369605
TI - [The search for new candidate genes involved in ovarian cancer pathogenesis by
exome sequencing].
AB - Ovarian cancer is one of the most insidious of tumors among gynecological cancers
in the world. BRCA1 and BRCA2 mutations are associated with high risk of ovarian
cancer; however, they are causative only in a fraction of cases. The search for
new genes would expand our understanding of the mechanisms underlying malignant
ovarian tumors and could help to develop new methods of early diagnosis and
treatment of the disease. The present study involved exome sequencing of eight
DNA samples extracted from the blood of ovarian cancer patients. As a result of
the study, 53057 modifications in one sample were identified on average. Of them,
222 nucleotide sequence modifications in DNA located in exons and splice sites of
203 genes were selected. On the basis of the function of these genes in the cell
and their involvement in carcinogenesis, 40 novel candidate genes were selected.
These genes are involved in cell cycle control, DNA repair, apoptosis, regulation
of cell invasion, proliferation and growth, transcription, and also immune
response and might be involved in development of ovarian cancer.
PMID- 29369606
TI - [Chromosomal localization of aromatic alcohol dehydrogenase fast-migrating
isoenzyme Aadh1F (CAD1-F) gene in Triticum aestivum L. bread wheat].
AB - Differences in isoenzyme pattern of aromatic alcohol dehydrogenase, NADP-AADH or
CAD, were found in the Triticum aestivum L. winter bread wheat cultivars by the
method of electrophoresis in the starch gel. A standard three-component spectrum
is present in the cv. Zitnica (former Yugoslavia); additional fact-migrating
isoenzymes appear in the cv. Novosibirskaya 9 (Institute of Cytology and
Genetics, Siberian Branch, Russian Academy of Sciences, Russia). The presence of
fast-migrating CAD isoenzymes is designated as FF phenotype; their absence, as 00
phenotype. Hybridological analysis was carried out; the excess of "null"
genotypes was found in F2 progenies. Hybridization with nulli-tetrasomic lines of
the chromosomes of the fifth homeologous group was conducted for the gene
localization. The segregation analysis demonstrated the most probable
localization of the CAD1-F gene in the chromosome 5A. The plants with FF and 00
genotypes differed in a number of chemical and anatomical traits, as well as in
grain productivity. The results obtained are discussed in connection with the
function of this enzyme in the wheat plant tissues.
PMID- 29369608
TI - Nanostructured Electrochemical Biosensors for Label-Free Detection of Water- and
Food-Borne Pathogens.
AB - The emergence of nanostructured materials has opened new horizons in the
development of next generation biosensors. Being able to control the design of
the electrode interface at the nanoscale combined with the intrinsic
characteristics of the nanomaterials engenders novel biosensing platforms with
improved capabilities. The purpose of this review is to provide a comprehensive
and critical overview of the latest trends in emerging nanostructured
electrochemical biosensors. A detailed description and discussion of recent
approaches to construct label-free electrochemical nanostructured electrodes is
given with special focus on pathogen detection for environmental monitoring and
food safety. This includes the use of nanoscale materials such as nanotubes,
nanowires, nanoparticles, and nanosheets as well as porous nanostructured
materials including nanoporous anodic alumina, mesoporous silica, porous silicon,
and polystyrene nanochannels. These platforms may pave the way toward the
development of point-of-care portable electronic devices for applications ranging
from environmental analysis to biomedical diagnostics.
PMID- 29369609
TI - Silk Fibroin Separators: A Step Toward Lithium-Ion Batteries with Enhanced
Sustainability.
AB - Battery separators based on silk fibroin (SF) have been prepared aiming at
improving the environmental issues of lithium-ion batteries. SF materials with
three different morphologies were produced: membrane films (SF-F), sponges
prepared by lyophilization (SF-L), and electrospun membranes (SF-E). The latter
materials presented a suitable porous three-dimensional microstructure and were
soaked with a 1 M LiPF6 electrolyte. The ionic conductivities for SF-L and SF-E
were 1.00 and 0.32 mS cm-1 at 20 degrees C, respectively. A correlation between
the fraction of beta-sheet conformations and the ionic conductivity was observed.
The electrochemical performance of the SF-based materials was evaluated by
incorporating them in cathodic half-cells with C-LiFePO4. The discharge
capacities of SF-L and SF-E were 126 and 108 mA h g-1, respectively, at the C/2
rate and 99 and 54 mA h g-1, respectively, at the 2C-rate. Furthermore, the
capacity retention and capacity fade of the SF-L membrane after 50 cycles at the
2C-rate were 72 and 5%, respectively. These electrochemical results show that a
high percentage of beta-sheet conformations were of prime importance to guarantee
excellent cycling performance. This work demonstrates that SF-based membranes are
appropriate separators for the production of environmentally friendlier lithium
ion batteries.
PMID- 29369610
TI - Conductive Nature of Grain Boundaries in Nanocrystalline Stabilized Bi2O3 Thin
Film Electrolyte.
AB - Stabilized Bi2O3 has gained a considerable amount of attention as a solid
electrolyte material for low-temperature solid oxide fuel cells due to its
superior oxygen-ion conductivity at the temperature of relevance (<=500 degrees
C). Despite many research efforts to measure the transport properties of
stabilized Bi2O3, the effects of grain boundaries on the electrical conductivity
have rarely been reported and their results are even controversial. Here, we
attempt quantitatively to assess the grain boundary contribution out of the total
ionic conductivity at elevated temperatures (350-500 degrees C) by fabricating
epitaxial and nano-polycrystalline thin films of yttrium-stabilized Bi2O3.
Surprisingly, both epitaxial and polycrystalline films show nearly identical
levels of ionic conductivity, as measured by alternating current impedance
spectroscopy and this is the case despite the fact that the polyfilm possesses
nanosized columnar grains and thus an extremely high density of the grain
boundaries. The highly conductive nature of grain boundaries in stabilized Bi2O3
is discussed in terms of the clean and chemically uniform grain boundary without
segregates, and the implications for device application are suggested.
PMID- 29369611
TI - Local Plasmon Engineering in Doped Graphene.
AB - Single-atom B or N substitutional doping in single-layer suspended graphene,
realized by low-energy ion implantation, is shown to induce a dampening or
enhancement of the characteristic interband pi plasmon of graphene through a high
resolution electron energy loss spectroscopy study using scanning transmission
electron microscopy. A relative 16% decrease or 20% increase in the pi plasmon
quality factor is attributed to the presence of a single substitutional B or N
atom dopant, respectively. This modification is in both cases shown to be
relatively localized, with data suggesting the plasmonic response tailoring can
no longer be detected within experimental uncertainties beyond a distance of
approximately 1 nm from the dopant. Ab initio calculations confirm the trends
observed experimentally. Our results directly confirm the possibility of
tailoring the plasmonic properties of graphene in the ultraviolet waveband at the
atomic scale, a crucial step in the quest for utilizing graphene's properties
toward the development of plasmonic and optoelectronic devices operating at
ultraviolet frequencies.
PMID- 29369612
TI - Discovery of Small Molecule Therapeutics for Treatment of Chronic HBV Infection.
AB - The chronic infection of hepatitis B virus (HBV) inflicts 250 million people
worldwide representing a major public health threat. A significant subpopulation
of patients eventually develop cirrhosis and hepatocellular carcinoma (HCC).
Unfortunately, none of the current standard therapies for chronic hepatitis B
(CHB) result in a satisfactory clinical cure rate. Driven by a highly unmet
medical need, multiple pharmaceutical companies and research institutions have
been engaged in drug discovery and development to improve the CHB functional cure
rate, defined by sustainable viral suppression and HBsAg clearance after a finite
treatment. This Review summarizes the recent advances in the discovery and
development of novel anti-HBV small molecules. It is believed that an improved
CHB functional cure rate may be accomplished via the combination of molecules
with distinct MoAs. Thus, certain molecules may evolve into key components of a
suitable combination therapy leading to superior outcome of clinical efficacy in
the future.
PMID- 29369613
TI - Direct Imprinting of Scalable, High-Performance Woodpile Electrodes for Three
Dimensional Lithium-Ion Nanobatteries.
AB - The trend of device downscaling drives a corresponding need for power source
miniaturization. Though numerous microfabrication methods lead to successful
creation of submillimeter-scale electrodes, scalable approaches that provide cost
effective nanoscale resolution for energy storage devices such as on-chip
batteries remain elusive. Here, we report nanoimprint lithography (NIL) as a
direct patterning technique to fabricate high-performance TiO2 nanoelectrode
arrays for lithium-ion batteries (LIBs) over relatively large areas. The critical
electrode dimension is below 200 nm, which enables the structure to possess
favorable rate capability even under discharging current densities as high as
5000 mA g-1. In addition, by sequential imprinting, electrodes with three
dimensional (3D) woodpile architecture were readily made in a "stack-up" manner.
The height of architecture can be easily controlled by the number of stacked
layers while maintaining nearly constant surface-to-volume ratios. The result is
a proportional increase of areal capacity with the number of layers. The
structure-processing combination leads to efficient use of the material, and the
resultant specific capacity (250.9 mAh g-1) is among the highest reported. This
work provides a simple yet effective strategy to fabricate nanobatteries and can
be potentially extended to other electroactive materials.
PMID- 29369614
TI - Polymer-Brush-Templated Three-Dimensional Molybdenum Sulfide Catalyst for
Hydrogen Evolution.
AB - Earth-abundant hydrogen evolution catalysts are essential for high-efficiency
solar-driven water splitting. Although a significant amount of studies have been
dedicated to the development of new catalytic materials, the microscopic assembly
of these materials has not been widely investigated. Here, we describe an
approach to control the three-dimensional (3D) assembly of amorphous molybdenum
sulfide using polymer brushes as a template. To this end, poly(dimethylaminoethyl
methacrylate) brushes were grown from highly oriented pyrolytic graphite. These
cationic polymer films bind anionic MoS42- through an anion-exchange reaction. In
a final oxidation step, the polymer-bound MoS42- is converted into the amorphous
MoSx catalyst. The flexibility of the assembly design allowed systematic
optimization of the 3D catalyst. The best system exhibited turnover frequencies
up to 1.3 and 4.9 s-1 at overpotentials of 200 and 250 mV, respectively. This
turnover frequency stands out among various molybdenum sulfide catalysts. The
work demonstrates a novel strategy to control the assembly of hydrogen evolution
reaction catalysts.
PMID- 29369615
TI - Nanoparticulate Dielectric Overlayer for Enhanced Electric Fields in a Capacitive
Deionization Device.
AB - The magnitude and distribution of the electric field between two conducting
electrodes of a capacitive deionization (CDI) device plays an important role in
governing the desalting capacity. A dielectric coating on these electrodes can
polarize under an applied potential to modulate the net electric field and hence
the salt adsorption capacity of the device. Using finite element models, we show
the extent and nature of electric field modulation, associated with changes in
the size, thickness, and permittivity of commonly used nanostructured dielectric
coatings such as zinc oxide (ZnO) and titanium dioxide (TiO2). Experimental data
pertaining to the simulation are obtained by coating activated carbon cloth (ACC)
with nanoparticles of ZnO and TiO2 and using them as electrodes in a CDI device.
The dielectric-coated electrodes displayed faster desalting kinetics of 1.7 and
1.55 mg g-1 min-1 and higher unsaturated specific salt adsorption capacities of
5.72 and 5.3 mg g-1 for ZnO and TiO2, respectively. In contrast, uncoated ACC had
a salt adsorption rate and capacity of 1.05 mg g-1 min-1 and 3.95 mg g-1,
respectively. The desalting data is analyzed with respect to the electrical
parameters of the electrodes extracted from cyclic voltammetry and impedance
measurements. Additionally, the obtained results are correlated with the
simulation data to ascertain the governing principles for the changes observed
and advances that can be achieved through dielectric-based electrode
modifications for enhancing the CDI device performance.
PMID- 29369616
TI - Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.
AB - Layered atomic-layer-deposited and forming-gas-annealed TiO2/Al2O3 dielectric
stacks, with the Al2O3 layer interposed between the TiO2 and a p-type germanium
substrate, are found to exhibit a significant interface charge dipole that causes
a ~-0.2 V shift of the flat-band voltage and suppresses the leakage current
density for gate injection of electrons. These effects can be eliminated by the
formation of a trilayer dielectric stack, consistent with the cancellation of one
TiO2/Al2O3 interface dipole by the addition of another dipole of opposite sign.
Density functional theory calculations indicate that the observed interface
dependent properties of TiO2/Al2O3 dielectric stacks are consistent in sign and
magnitude with the predicted behavior of AlTi and TiAl point-defect dipoles
produced by local intermixing of the Al2O3/TiO2 layers across the interface.
Evidence for such intermixing is found in both electrical and physical
characterization of the gate stacks.
PMID- 29369617
TI - Direct Observation of Semiconductor-Metal Phase Transition in Bilayer Tungsten
Diselenide Induced by Potassium Surface Functionalization.
AB - Structures determine properties of materials, and controllable phase transitions
are, therefore, highly desirable for exploring exotic physics and fabricating
devices. We report a direct observation of a controllable semiconductor-metal
phase transition in bilayer tungsten diselenide (WSe2) with potassium (K) surface
functionalization. Through the integration of in situ field-effect transistors, X
ray photoelectron spectroscopy, ultraviolet photoelectron spectroscopy
measurements, and first-principles calculations, we identify that the electron
doping from K adatoms drives bilayer WSe2 from a 2H phase semiconductor to a 1T'
phase metal. The phase transition mechanism is satisfactorily explained by the
electronic structures and energy alignment of the 2H and 1T' phases. This
explanation can be generally applied to understand doping-induced phase
transitions in two-dimensional (2D) structures. Finally, the associated dramatic
changes of electronic structures and electrical conductance make this
controllable semiconductor-metal phase transition of interest for 2D
semiconductor-based electronic and optoelectronic devices.
PMID- 29369618
TI - Microwave Spectra, Structure, and the Aromatic Character of 1-Chloroborepin.
AB - High resolution microwave spectra for the somewhat unstable compound 1
chloroborepin were measured in the 5-10 GHz range using a pulsed beam Fourier
transform microwave spectrometer. Transitions were assigned and measured for
three isotopologues, which include the most abundant isotopologue, 11B35Cl, and
the less abundant 10B35Cl and 11B37Cl isotopologues. The molecular parameters
(MHz) determined for the 11B35Cl isotopologue are A = 3490.905(35), B =
1159.38520(79), C = 870.59492(56), 1.5chiaa (11B) = -0.220(22), 0.25(chibb -
chicc) (11B) = -1.5300(99), 1.5chiaa (35Cl) = -54.572(33), and 0.25(chibb -
chicc) (35Cl) = 4.7740(79). The inertial defect is calculated to be Delta =
0.174 amu A2 from the experimental rotational constants, indicating a planar
structure with some out of plane vibrational motion. An extended Townes-Dailey
analysis was performed on the 11B and 35Cl nuclei to determine the electron
occupations in the valence hybridized orbitals using the experimental quadrupole
coupling strengths. From the analysis it was determined that Cl is sharing some
electron density with the empty p-orbital on B. The B-Cl bond length determined
from the data is 1.798(1) A, and the B-C bond lengths are 1.533(10) A. The
structural parameters and electronic structure properties of 1-chloroborepin are
consistent with an aromatic boron-containing molecule.
PMID- 29369619
TI - Exosomes-Small Players, Big Sound.
AB - Incipiently named extracellular vesicles, exosomes are forming now a separate
class of cellular mediators with important functions in physiological and
pathological states. Their ability to transfer information between cells through
encapsulation of proteins, nucleic acids and lipids for the preservation of the
homeostatic equilibrium is translated also in pathological conditions. The
recipient cells react to the reception of foreign molecules adjusting their
molecular state according to the enclosed message. Cancer cells, in order to
influence the microenvironment and facilitate the malignant expanding, exploit
this intercellular trafficking. Immune cells are also producing exosomes that
ensure the transportation of immune mediators and signaling molecules between
cells. Current experimental attempts are concentrated on the adjustment of
exosomes level for therapeutic purposes, enrolment of these vesicles as diagnosis
or prognosis tools and also exosomes' use as drug delivery vehicles or immune
stimulatory agents.
PMID- 29369620
TI - Indium- and Zinc-Mediated Acyloxyallylation of Protected and Unprotected
Aldotetroses-Revealing a Pronounced Diastereodivergence and a Fundamental
Difference in the Performance of the Mediating Metal.
AB - The acyloxyallylation of unprotected aldoses was first demonstrated more than a
decade ago as a potentially elegant two-carbon homologation of reducing sugars
(upon ozonolysis); however, its application in real case syntheses remained
scarce. Following up on such a successful showcase and to answer several pending
questions about this attractive transformation, we engaged in an in depth
methodological reinvestigation. The epimeric tetroses l-erythrose and d-threose
in unprotected and protected form were successfully applied to the indium and
also zinc-mediated acyloxyallylation, with the latter being a first for an
unprotected sugar. The investigation largely benefited from the choice of these
more exotic starting materials as it allowed unambiguous
identification/quantification of the hexose-products which are available as
authentic reference materials. The observed diastereoselectivities indicate a
strong substrate control (stereochemistry at O2), and the influence of the
reagent's structure on the selectivity was investigated in great detail. A strong
facial diastereodivergence between related protected and unprotected structures
was demonstrated and an unexpected, pronounced principle difference in
performance between indium and zinc was revealed.
PMID- 29369621
TI - Robust Chemiresistive Sensor for Continuous Monitoring of Free Chlorine Using
Graphene-like Carbon.
AB - Free chlorine is widely used in industry as a bleaching and oxidizing agent. Its
concentration is tightly monitored to avoid environmental contamination and
deleterious human health effects. Here, we demonstrate a solid state
chemiresistive sensor using graphene like carbon (GLC) to detect free chlorine in
water. A 15-20 nm thick GLC layer on a PET substrate was modified with a redox
active aniline oligomer (phenyl-capped aniline tetramer, PCAT) to increase
sensitivity, improve selectivity, and impart fouling resistance. Both the bare
GLC sensor and the PCAT-modified GLC sensor can detect free chlorine continuously
and, unlike previous chemiresistive sensors, do not require a reset. The PCAT
modified sensor showed a linear response with a slope of 13.89 (mg/L)-1 to free
chlorine concentrations between 0.2 and 0.8 mg/L which is relevant for free
chlorine monitoring for drinking water and wastewater applications. The PCAT
modified GLC sensors were found to be selective and showed less than 0.5% change
in current in response to species such as nitrates, phosphates and sulfates in
water. They also were resistant to fouling from organic material and showed only
a 2% loss in signal. Tap water samples from residential area were tested using
this sensor which showed good agreement with standard colorimetric measurement
methods. The GLC and PCAT-GLC sensors show high sensitivity and excellent
selectivity to free chlorine and can be used for continuous automated monitoring
of free chlorine.
PMID- 29369622
TI - Modulation of the Electrochemical Reactivity of Solubilized Redox Active Polymers
via Polyelectrolyte Dynamics.
AB - Redox active polymers (RAPs) are electrochemically versatile materials that find
key applications in energy storage, sensing, and surface modification. In spite
of the ubiquity of RAP-modified electrodes, a critical knowledge gap exists in
the understanding of the electrochemistry of soluble RAPs and their relation to
polyelectrolyte dynamics. Here, we explore for the first time the intersection
between polyelectrolyte behavior and the electrochemical response that highly
soluble and highly substituted RAPs with viologen, ferrocene, and nitrostyrene
moieties elicit at electrodes. This comprehensive study of RAP electrolytes over
several orders of magnitude in concentration and ionic strength reveals distinct
signatures consistent with surface confined, colloidal, and bulk-like
electrochemical behavior. These differences emerge across polyelectrolyte packing
regimes and are strongly modulated by changes in RAP coil size and electrostatic
interactions with the electrode. We found that, unlike monomer motifs, simple
changes in the ionic strength caused variations over 1 order of magnitude in the
current measured at the electrode. In addition, the thermodynamics of adsorbed
RAP films were also affected, giving rise to standard reduction potential shifts
leading to redox kinetic effects as a result of the mediating nature of the RAP
film in equilibrium with the solution. Full electrochemical characterization via
transient and steady-state techniques, including the use of ultramicroelectrodes
and the rotating disk electrode, were correlated to dynamic light scattering,
ellipsometry, and viscometric analysis. These methods helped elucidate the
relationship between electrochemical behavior and RAP coil size, film thickness,
and polyelectrolyte packing regime. This study underscores the role of
electrostatics in modulating the reactivity of redox polyelectrolytes.
PMID- 29369623
TI - Linking 1D Transition-Metal Coordination Polymers and Different Inorganic Boron
Oxides To Construct a Series of 3D Inorganic-Organic Hybrid Borates.
AB - Three inorganic-organic hybrid borates, M(1,4-dab)[B5O7(OH)3] [M = Zn (1), Cd
(2), 1,4-dab = 1,4-diaminobutane)] and Co(1,3-dap)[B4O7] (3, 1,3-dap = 1,3
diaminopropane), which integrated characteristics of 1D coordination polymers and
1D/3D inorganic boron oxides have been obtained under solvothermal conditions.
Compounds 1 and 2 are isostructural and crystallize in a centrosymmetric space
group P21/c; the 3D achiral structures of 1 and 2 consist of the nonhelical Zn/Cd
1,4-dap coordination polymers and 1D B-O chains. Compound 3 crystallizes in a
chiral space group P43212; the helical Co-1,3-dap coordination polymer chains are
entrained within a 3D B-O network and finally form the chiral framework.
Compounds 1-3 represent good examples of using coordination polymers to construct
mixed-motif inorganic-organic hybrid borates. Compounds 1 and 2 display blue
luminescence when excited with UV light.
PMID- 29369624
TI - Heterogeneous Amyloid beta-Sheet Polymorphs Identified on Hydrogen Bond Promoting
Surfaces Using 2D SFG Spectroscopy.
AB - Two-dimensional sum-frequency generation spectroscopy (2D SFG) is used to study
the structures of the pentapeptide FGAIL on hydrogen bond promoting surfaces.
FGAIL is the most amyloidogenic portion of the human islet amyloid polypeptide
(hIAPP or amylin). In the presence of a pure gold surface, FGAIL does not form
ordered structures. When the gold is coated with a self-assembled monolayer of
mercaptobenzoic acid (MBA), 2D SFG spectra reveal features associated with beta
sheets. Also observed are cross peaks between the FGAIL peptides and the
carboxylic acid groups of the MBA monolayer, indicating that the peptides are in
close contact with the surface headgroups. In the second set of samples, FGAIL
peptides chemically ligated to the MBA monolayer also exhibited beta-sheet
features but with a much simpler spectrum. From simulations of the experiments,
we conclude that the hydrogen bond promoting surface catalyzes the formation of
both parallel and antiparallel beta-sheet structures with several different
orientations. When ligated, parallel sheets with only a single orientation are
the primary structure. Thus, this hydrogen bond promoting surface creates a
heterogeneous distribution of polymorph structures, consistent with a
concentration effect that allows nucleation of many different amyloid seeding
structures. A single well-defined seed favors one polymorph over the others,
showing that the concentrating influence of a membrane can be counterbalanced by
factors that favor directed fiber growth. These experiments lay the foundation
for the measurement and interpretation of beta-sheet structures with heterodyne
detected 2D SFG spectroscopy. The results of this model system suggest that a
heterogeneous distribution of polymorphs found in nature are an indication of
nonselective amyloid aggregation whereas a narrow distribution of polymorph
structures is consistent with a specific protein or lipid interaction that
directs fiber growth.
PMID- 29369625
TI - High-Throughput Assessment of Structural Continuity in Biologics.
AB - We demonstrate a high-throughput chemoprinting platform that confirms the
consistency in the higher-order structure of protein biologics and is sensitive
enough to detect single-point mutations. This method addresses the quality and
consistency of the tertiary and quaternary structure of biologic drug products,
which is arguably the most important, yet rarely examined, parameter. The method
described uses specific small-molecule ligands as molecular probes to assess
protein structure. Each library of probe molecules provides a "fingerprint" when
taken holistically. After proof-of-concept experiments involving enzymes and
antibodies, we were able to detect minor conformational perturbations between
four 48 kDa protein mutants that only differ by one amino acid residue.
PMID- 29369626
TI - Unusually Short-Lived Solvent-Dependent Excited State in a Half-Sandwich Ru(II)
Complex Induced by Low-Lying 3MC States.
AB - A ruthenium complex with a half-sandwich geometry ([(p
cymene)Ru(Cl)(curcuminoid)]) was synthesized, characterized, and investigated
regarding its ultrafast photophysics. These photophysical investigations of the
complex revealed a weak and short-lived emission from the initially populated
1MLCT state and solvent-dependent photoinduced dynamics, where the secondarily
populated 3MC state is stabilized by nonpolar solvents. Overall the decay of the
3dd-MC state to the ground state is completed within picoseconds. This short
excited-state lifetime is in stark contrast to the typically observed long-lived
3MLCT states with lifetimes of nanoseconds or microseconds in unstrained,
octahedral ruthenium complexes but is in good agreement with the findings for
distorted octahedral complexes. This is pointing to the half-sandwich geometry as
a new and easy approach to study these otherwise often concealed dd states.
PMID- 29369627
TI - Polyoxometalate-Assisted, One-Pot Synthesis of a
Pentakis[(triphenylphosphane)gold]ammonium(2+) Cation Containing Regular Trigonal
Bipyramidal Geometries of Five Bonds to Nitrogen.
AB - Novel intercluster compounds consisting of
pentakis[(triphenylphosphane)gold]ammonium(2+) cation (1) and Keggin
polyoxometalate (POM) anions, i.e., {[Au(PPh3)]5(MU5-N)}3[alpha-PM12O40]2 (1-PW
for M = W; 1-PMo for M = Mo), were synthesized in 30-36% yield by one-pot
reaction of the protonic acid form of the Keggin POMs, H3[alpha-PM12O40].nH2O (n
= 13 for M = W; n = 15 for M = Mo) with monomeric (triphenylphosphane)gold(I)
carboxylate [Au(RS-pyrrld)(PPh3)] [RS-Hpyrrld = (RS)-2-pyrrolidone-5-carboxylic
acid] in the presence of aqueous NH3 at a molar ratio of 2:15:x (x = 3 for 1-PW;
x = 7.5 for 1-PMo). These compounds resulted from the nitrogen-centered
phosphanegold(I) clusterization of in situ generated monomeric phosphanegold(I)
units, [Au(PPh3)]+ or [Au(L)(PPh3)]+ (L = NH3 or solvent), during the carboxylate
elimination of [Au(RS-pyrrld)(PPh3)] in the presence of the Keggin POMs and
aqueous NH3. The products 1-PW and 1-PMo were characterized by elemental
analysis, Fourier transform infrared, thermogravimetric and differential thermal
analyses (TGA/DTA), X-ray crystallography, and solid-state cross-polarization
magic-angle-spinning (CPMAS) (31P and 15N) and solution (31P{1H} and 1H) NMR
spectroscopy. The lattice contained three independent {[Au(PPh3)]5(MU5-N)}2+
cations, of which two took regular trigonal-bipyramidal (TBP) geometries and the
third took a distorted, square-pyramidal (SP) geometry. These geometries are in
contrast to those reported by Schmidbaur's group for {[Au(PPh3)]5(MU5-N)}2+
cations as BF4 salts. Density functional theory and ONIOM calculations for
{[(L3P)Au]nN}(n-3)+ (L = H or Ph; n = 4-6) showed that the pentacoordinate
cluster is energetically most stable and its TBP structure is only 1.6 kcal mol-1
more stable than its SP structure, in accordance with the experimental facts.
PMID- 29369628
TI - Green-Light-Sensitive BODIPY Photoprotecting Groups for Amines.
AB - We describe a series of easily accessible, visible-light-sensitive (lambda > 500
nm) BODIPY (boron-dipyrromethene)-based photoprotecting groups (PPGs) for primary
and secondary amines, based on a carbamate linker. The caged compounds are stable
under aqueous conditions for 24 h and can be efficiently uncaged in vitro with
visible light (lambda = 530 nm). These properties allow efficient
photodeprotection of amines, rendering these novel PPGs potentially suitable for
various applications, including the delivery of caged drugs and their remote
activation.
PMID- 29369629
TI - Immolation of p-Aminobenzyl Ether Linker and Payload Potency and Stability
Determine the Cell-Killing Activity of Antibody-Drug Conjugates with Phenol
Containing Payloads.
AB - The valine-citrulline (Val-Cit) dipeptide and p-aminobenzyl (PAB) spacer have
been commonly used as a cleavable self-immolating linker in ADC design including
in the clinically approved ADC, brentuximab vedotin (Adcetris). When the same
linker was used to connect to the phenol of the cyclopropabenzindolone (CBI)
(P1), the resulting ADC1 showed loss of potency in CD22 target-expressing cancer
cell lines (e.g., BJAB, WSU-DLCL2). In comparison, the conjugate (ADC2) of a
cyclopropapyrroloindolone (CPI) (P2) was potent despite the two corresponding
free drugs having similar picomolar cell-killing activity. Although the
corresponding spirocyclization products of P1 and P2, responsible for DNA
alkylation, are a prominent component in buffer, the linker immolation was slow
when the PAB was connected as an ether (PABE) to the phenol in P1 compared to
that in P2. Additional immolation studies with two other PABE-linked substituted
phenol compounds showed that electron-withdrawing groups accelerated the
immolation to release an acidic phenol-containing payload (to delocalize the
negative charge on the anticipated anionic phenol oxygen during immolation). In
contrast, efficient immolation of LD4 did not result in an active ADC4 because
the payload (P4) had a low potency to kill cells. In addition, nonimmolation of
LD5 did not affect the cell-killing potency of its ADC5 since immolation is not
required for DNA alkylation by the center-linked pyrrolobenzodiazepine.
Therefore, careful evaluation needs to be conducted when the Val-Cit-PAB linker
is used to connect antibodies to a phenol-containing drug as the linker
immolation, as well as payload potency and stability, affects the cell-killing
activity of an ADC.
PMID- 29369630
TI - Sterols in Infant Formulas: A Bioaccessibility Study.
AB - The design of infant formulas (IFs) seeks to resemble human milk (HM) composition
and functionality. The fat sources used usually comprise vegetable oil blends to
mimic the fatty acid composition of HM and introduce changes in the animal/plant
sterol ratio. In contrast, the use of milk fat globule membrane (MFGM)-rich
ingredients could improve this aspect by increasing the ratio. The present study
evaluates the bioaccessibility (BA) of sterols (cholesterol, desmosterol,
brassicasterol, campesterol, stigmasterol, and beta-sitosterol) in three IFs
(with or without MFGM) using an in vitro digestion method simulating infant
conditions. Analytical parameters confirmed the suitability of the method for all
of these sterols. Results showed the presence of MFGM to increase cholesterol
content (6-7 vs 2 mg/100 mL), this being the most bioaccessible sterol in the
IFs. Although the BA of cholesterol was reduced in MFGM-enriched IF (65.6-80.4%
vs 99.7%), the intake of bioaccessible cholesterol from these IFs was higher.
PMID- 29369631
TI - Comparison of Interfacial Electron Transfer Efficiency in [Fe(ctpy)2]2+-TiO2 and
[Fe(cCNC)2]2+-TiO2 Assemblies: Importance of Conformational Sampling.
AB - Fe(II)-polypyridines have limited applications as chromophores in dye-sensitized
solar cells due to the short lifetimes (~100 fs) of their photoactive metal-to
ligand charge transfer (MLCT) states formed upon photoexcitation. Recently, a 100
fold increase in the MLCT lifetime was observed in a [Fe(CNC)2]2+ complex (CNC =
2,6-bis(3-methylimidazole-1-ylidine)pyridine) which has strong sigma-donating N
heterocyclic carbene ligand in comparison to the weaker field parent [Fe(tpy)2]2+
complex (tpy = 2,2':6',2"-terpyridine). This study utilizes density functional
theory (DFT), time-dependent DFT, and quantum dynamics simulations to investigate
the interfacial electron transfer (IET) in [Fe(cCNC)2]2+ (cCNC = 4'-carboxy-2,6
bis(3-methylimidazole-1-ylidine)pyridine) and [Fe(ctpy)2]2+ (ctpy = 4'-carboxy
2,2':6',2"-terpyridine) sensitized TiO2. Our results suggest that the replacement
of tpy by CNC ligand does not significantly speed up the IET kinetics in the
[Fe(cCNC)2]2+-TiO2 assembly in comparison to the [Fe(ctpy)2]2+-TiO2 analogue. The
high IET efficiency in the [Fe(cCNC)2]2+-TiO2 assemblies is therefore due to
longer lifetime of [Fe(cCNC)2]2+ photoactive 3MLCT states rather than faster
electron injection kinetics. It was also found that the inclusion of
conformational sampling in the computational model is important for proper
description of the IET processes in these systems, as the models relying on the
use of only fully optimized structures may yield misleading results. The
simulations presented in this work also illustrate various pitfalls of utilizing
properties such as electronic coupling, number of available acceptor states, and
driving force, as well as calculations based on Fermi's golden rule framework, to
reach conclusions on the IET efficiency in dye-semiconductor systems.
PMID- 29369632
TI - Magnetic-Field-Dependent Lifetimes of Hyperpolarized 13C Spins at Cryogenic
Temperature.
AB - Using a home-built cryogen-free dynamic nuclear polarization (DNP) system with a
variable magnetic field capability, 13C spin-lattice T1 relaxation times of
hyperpolarized [1-13C] carboxylates (sodium acetate, glycine, sodium pyruvate,
and pyruvic acid) doped with trityl OX063 free radical were systematically
measured for the first time at different field strengths up to 9 T at T = 1.8 K.
Our data reveal that the 13C T1 values of these frozen hyperpolarized 13C samples
vary drastically with the applied magnetic field B according to an apparent
empirical power-law dependence (13C T1 ? Balpha, 2.3 < alpha < 3.1), with
relaxation values ranging from a few hundred seconds at 1 T to over 200,000 s at
fields close to 9 T. This low temperature relaxation behavior can be ascribed
approximately to a model that accounts for the combined effect of 13C-1H
intramolecular dipolar interaction and the relaxation contribution from the
paramagnetic impurities present in the DNP sample. Since the lifetime or T1
storage of the hyperpolarized state is intimately linked to DNP efficiency, these
13C relaxation data at cryogenic temperature have important theoretical and
experimental implications as the DNP of 13C-labeled biomolecules is pushed to
higher magnetic fields.
PMID- 29369633
TI - In Situ Investigation of Defect-Free Copper Nanowire Growth.
AB - The fabrication and placement of high purity nanometals, such as one-dimensional
copper (Cu) nanowires, for interconnection in integrated devices have been among
the most important technological developments in recent years. Structural
stability and oxidation prevention have been the key issues, and the defect
control in Cu nanowire growth has been found to be important. Here, we report the
synthesis of defect-free single-crystalline Cu nanowires by controlling the
surface-assisted heterogeneous nucleation of Cu atomic layering on the graphite
like loop of an amorphous carbon (a-C) lacey film surface. Without a metal
catalyst or induced defects, the high quality Cu nanowires formed with high
aspect ratio and high growth rate of 578 nm/s. The dynamic study of the growth of
heterogeneous nanowires was conducted in situ with a high-resolution transmission
electron microscope. The study illuminates the new mechanism by heterogeneous
nucleation control and laying the groundwork for better understanding of
heterosurface-assisted nucleation of defect-free Cu nanowire on a-C lacey film.
PMID- 29369634
TI - Blue-Shifting Intramolecular Charge Transfer Emission by Nonlocal Effect of
Hyperbolic Metamaterials.
AB - Metallic nanostructures permit controlling various photophysical processes by
coupling photons with plasmonic oscillation of electrons confined in the tailored
nanostructures. One example is hyperbolic metamaterial (HMM) leading to an
enhanced spontaneous emission rate of emitters located nearby. Noting that
emission in organic molecules is from either pi-pi* or intramolecular charge
transfer (ICT) states, we address here how HMM modifies ICT emission spectral
features by comparing them with a spectral shift dependent on the local polarity
of the medium. The 7.0 nm blue shift is observed in ICT emission from 4
dicyanomethylene-2-methyl-6-(p-dimethylaminostyryl)-4H-pyran dispersed into a
polymer matrix prepared on HMM multilayered structure, while no spectral shift is
observed in pi-pi* emission from perylene diimide. In the frame of the Lippert
Mataga formalism, the blue shift is explained by the HMM nonlocal effects
resulting from 8% decrease in refractive index and 18% reduction in dielectric
permittivity. This phenomenon was also shown in a hemicurcuminoid borondifluoride
dye yielding 15.0 nm blue shift. Such a capability of spectral shift control in
films by HMM structure opens new prospects for engineering organic light-emitting
devices.
PMID- 29369635
TI - Unusual Indirect Nuclear Spin-Spin Exchange Coupling through Solvated Electron.
AB - Solvated electrons have been found to exist in various media which also exhibit
more intriguing properties such as superconductivity, nonlinear optical response,
and so on. However, how they affect the nuclear spin properties has not been
proven. In this work, we present the first detailed study on solvated-electron
triggered indirect nuclear spin-spin J-coupling using density functional theory
calculations. Taking 19F as a probe, we verify the presence of unusual J
couplings between two distant F atoms in HF-containing anionic clusters. These
couplings occur "through solvated electron", rather than through conventional
covalent bonds or space. Solvated electron can serve as an additional channel to
efficiently realize long-range J-coupling between far separated nuclei because of
its dispersivity and Rydberg character. The coupling magnitude strongly depends
on the unique distribution of solvated electron and its second-order interaction
with solvating HF units. This work provides novel insights into the mediating
roles of electrons, possibly opening up potential applications based on weakly
bound electrons.
PMID- 29369636
TI - Mass Spectrometry Using Nanomechanical Systems: Beyond the Point-Mass
Approximation.
AB - The mass measurement of single molecules, in real time, is performed routinely
using resonant nanomechanical devices. This approach models the molecules as
point particles. A recent development now allows the spatial extent (and, indeed,
image) of the adsorbate to be characterized using multimode measurements ( Hanay
, M. S. , Nature Nanotechnol. , 10 , 2015 , pp 339 - 344 ). This "inertial
imaging" capability is achieved through virtual re-engineering of the resonator's
vibrating modes, by linear superposition of their measured frequency shifts.
Here, we present a complementary and simplified methodology for the analysis of
these inertial imaging measurements that exhibits similar performance while
streamlining implementation. This development, together with the software that we
provide, enables the broad implementation of inertial imaging that opens the door
to a range of novel characterization studies of nanoscale adsorbates.
PMID- 29369638
TI - Signatures of Conformational Dynamics and Electrode-Molecule Interactions in the
Conductance Profile During Pulling of Single-Molecule Junctions.
AB - We demonstrate that conductance can act as a sensitive probe of conformational
dynamics and electrode-molecule interactions during the equilibrium and
nonequilibrium pulling of molecular junctions. To do so, we use a combination of
classical molecular dynamics simulations and Landauer electron transport
computations to investigate the conductance of a family of Au-alkanedithiol-Au
junctions as they are mechanically elongated. The simulations show an overall
decay of the conductance during pulling that is due to a decrease in the through
space electrode-molecule interactions, and that sensitivity depends on the
electrode geometry. In addition, characteristic kinks induced by level alignment
shifts (and to a lesser extent by quantum destructive interference) were also
observed superimposed to the overall decay during pulling simulations. The latter
effect depends on the variation of the molecular dihedral angles during pulling
and therefore offers an efficient solution to experimentally monitor
conformational dynamics at the single-molecule limit.
PMID- 29369637
TI - Dynamics of Zebrafish Heart Regeneration Using an HPLC-ESI-MS/MS Approach.
AB - Failure to properly repair damaged due to myocardial infarction is a major cause
of heart failure. In contrast with adult mammals, zebrafish hearts show
remarkable regenerative capabilities after substantial damage. To characterize
protein dynamics during heart regeneration, we employed an HPLC-ESI-MS/MS (mass
spectrometry) approach. Myocardium tissues were taken from sham-operated fish and
ventricle-resected sample at three different time points (2, 7, and 14 days);
dynamics of protein expression were analyzed by an ion-current-based quantitative
platform. More than 2000 protein groups were quantified in all 16 experiments.
Two hundred and nine heart-regeneration-related protein groups were quantified
and clustered into six time-course patterns. Functional analysis indicated that
multiple molecular function and metabolic pathways were involved in heart
regeneration. Interestingly, Ingenuity Pathway Analysis revealed that P53
signaling was inhibited during the heart regeneration, which was further verified
by real-time quantitative polymerase chain reaction (Q-PCR). In summary, we
applied systematic proteomics analysis on regenerating zebrafish heart, uncovered
the dynamics of regenerative genes expression and regulatory pathways, and
provided invaluable insight into design regenerative-based strategies in human
hearts.
PMID- 29369639
TI - Correlating Nanoscopic Energy Transfer and Far-Field Emission to Unravel Lasing
Dynamics in Plasmonic Nanocavity Arrays.
AB - Excited-state interactions between nanoscale cavities and photoactive molecules
are critical in plasmonic nanolasing, although the underlying details are less
resolved. This paper reports direct visualization of the energy-transfer dynamics
between two-dimensional arrays of plasmonic gold bowtie nanocavities and dye
molecules. Transient absorption microscopy measurements of single bowties within
the array surrounded by gain molecules showed fast excited-state quenching (2.6
+/- 1 ps) characteristic of individual nanocavities. Upon optical pumping at
powers above threshold, lasing action emerged depending on the spacing of the
array. By correlating ultrafast microscopy and far-field light emission
characteristics, we found that bowtie nanoparticles acted as isolated cavities
when the diffractive modes of the array did not couple to the plasmonic gap mode.
These results demonstrate how ultrafast microscopy can provide insight into
energy relaxation pathways and, specifically, how nanocavities in arrays can show
single-unit nanolaser properties.
PMID- 29369640
TI - Observation of Tunable Charged Exciton Polaritons in Hybrid Monolayer WS2
Plasmonic Nanoantenna System.
AB - Formation of dressed light-matter states in optical structures, manifested as
Rabi splitting of the eigen energies of a coupled system, is one of the key
effects in quantum optics. In pursuing this regime with semiconductors, light is
usually made to interact with excitons, electrically neutral quasiparticles of
semiconductors; meanwhile interactions with charged three-particle states,
trions, have received little attention. Here, we report on strong interaction
between localized surface plasmons in silver nanoprisms and excitons and trions
in monolayer tungsten disulfide (WS2). We show that the plasmon-exciton
interactions in this system can be efficiently tuned by controlling the charged
versus neutral exciton contribution to the coupling process. In particular, we
show that a stable trion state emerges and couples efficiently to the plasmon
resonance at low temperature by forming three bright intermixed plasmon-exciton
trion polariton states. Our findings open up a possibility to exploit
electrically charged polaritons at the single nanoparticle level.
PMID- 29369641
TI - Nonreciprocal Flat Optics with Silicon Metasurfaces.
AB - Metasurfaces enable almost complete control of light through ultrathin,
subwavelength surfaces by locally and abruptly altering the scattered phase. To
date, however, all metasurfaces obey time-reversal symmetry, meaning that forward
and backward traveling waves will trace identical paths when being reflected,
refracted, or diffracted. Here, we use full-field calculations to design a
passive metasurface for nonreciprocal transmission of both direct and anomalously
refracted near-infrared light over nanoscale optical path lengths. The
metasurface consists of a 100 nm-thick, periodically patterned Si slab. Owing to
the high-quality-factor resonances of the metasurface and the inherent Kerr
nonlinearities of Si, this structure acts as an optical diode for free-space
optical signals. This structure also exhibits nonreciprocal anomalous refraction
with appropriate patterning to form a phase gradient metasurface. Compared to
existing schemes for breaking time-reversal symmetry, our platform enables
subwavelength nonreciprocity for arbitrary free-space optical inputs and provides
a straightforward path to experimental realization. The concept is also
generalizable to other metasurface functions, providing a foundation for one-way
lensing and holography.
PMID- 29369642
TI - Copper-Catalyzed Fluoroolefination of Silyl Enol Ethers and Ketones toward the
Synthesis of beta-Fluoroenones.
AB - A general and facile synthetic method for beta-fluoroenones from silyl enol
ethers or ketones, with a copper-amine catalyst system, has been developed. The
reaction proceeded by a tandem process of difluoroalkylation-hydrolysis
dehydrofluorination. This method is characterized by high yields, excellent Z/E
ratios, a low-cost catalyst, and a broad substrate scope. The synthetic potential
of beta-fluoroenones has been demonstrated by the construction of various
complicated organofluorine molecules.
PMID- 29369643
TI - When reality is out of focus: Can people tell whether their beliefs and judgments
are correct or wrong?
AB - Can we tell whether our beliefs and judgments are correct or wrong? Results
across many domains indicate that people are skilled at discriminating between
correct and wrong answers, endorsing the former with greater confidence than the
latter. However, it has not been realized that because of people's adaptation to
reality, representative samples of items tend to favor the correct answer,
yielding object-level accuracy (OLA) that is considerably better than chance.
Across 16 experiments that used 2-alternative forced-choice items from several
domains, the confidence/accuracy (C/A) relationship was positive for items with
OLA >50%, but consistently negative across items with OLA <50%. A systematic
sampling of items that covered the full range of OLA (0-100%) yielded a U
function relating confidence to OLA. The results imply that the positive C/A
relationship that has been reported in many studies is an artifact of OLA being
better than chance rather than representing a general ability to discriminate
between correct and wrong responses. However, the results also support the
ecological approach, suggesting that confidence is based on a frugal, "bounded"
heuristic that has been specifically tailored to the ecological structure of the
natural environment. This heuristic is used despite the fact that for items with
OLA <50%, it yields confidence judgments that are counterdiagnostic of accuracy.
Our ability to tell between correct and wrong judgments is confined to the
probability structure of the world we live in. The results were discussed in
terms of the contrast between systematic design and representative design.
(PsycINFO Database Record
PMID- 29369644
TI - Moving beyond summary scores: Decomposing free recall performance to understand
episodic memory deficits in schizotypy.
AB - Negative symptom schizophrenia and negative schizotypy are associated with
deficits in episodic memory, which may reflect deficits in context processing.
However, studies that rely on summary performance measures such as mean accuracy
or latency are limited in the extent that they can examine processes underlying
memory impairment. The present study decomposed free recall performance by
examining serial position functions, first response probability, temporal
contiguity effect, cumulative recall functions, and interresponse times in high
positive schizotypy, high-negative schizotypy, and control groups. The negative
schizotypy group exhibited not only impaired overall free recall performance but
also a pattern of deficits consistent with impaired context processing on the
underlying measures. Specifically, the negative schizotypy group was less likely
than the other groups to initiate recall with the first item in the list,
suggesting impaired encoding or reinstatement of context, and also showed reduced
temporal contiguity compared with the other groups, suggesting diminished
temporal organization. The cumulative recall function indicated that the negative
schizotypy group experienced disruptions in both the sampling and recovery stages
of retrieval. Finally, the negative schizotypy group experienced greater slowing
between the responses during retrieval, consistent with the finding of reduced
temporal contiguity and indicating that it likely terminated memory search before
the remaining groups. The positive schizotypy and control groups did not differ
on any of the measures. The finding that context-processing deficits occur in
both subclinical negative schizotypy and negative symptom schizophrenia suggests
that they may represent core areas of impairment in the schizophrenia spectrum.
(PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29369645
TI - Biological motion sensitivity, but not interpersonal predictive coding is
impaired in schizophrenia.
AB - Social predictive coding is now a well-established phenomenon in healthy
individuals. It has been demonstrated that the communicative gestures of one
agent may be effectively used to predict the actions of other agents. Individuals
with schizophrenia often present social-cognitive deficits. The aim of this paper
is to examine interpersonal predictive coding in patients with schizophrenia.
Forty-six participants with schizophrenia and 40 healthy controls (HC) completed
a simultaneous masking detection task (Interpersonal Detection Task; IDT), during
which they observed point-light displays of two agents (A and B). During the
communicative condition, agent A performed a communicative gesture, to which
agent B responded accordingly. During the individual condition, agent A performed
a noncommunicative action instead of the communicative gesture. Upon completion
of the IDT, participants were asked to explicitly classify the actions of two
agents based on nonmasked videos of point-light dyads. In line with previous
findings, people with schizophrenia presented a reduced masking threshold for
biological motion displays, and were less able to correctly recognize the actions
of two agents during the explicit recognition task. However, observing the
communicative gesture of agent A enhanced the visual discrimination of agent B in
participants from all groups. This effect was observed despite the fact that SCZ
presented overall diminished sensitivity to biological motion during IDT.
Interpersonal predictive coding, which at least partially relies upon implicit
processes, may be less impaired in people with schizophrenia than explicit social
cognitive processes (e.g., theory of mind). (PsycINFO Database Record
PMID- 29369646
TI - Setting the alarm: Word emotional attributes require consolidation to be
operational.
AB - Demonstrations of emotional Stroop in conditioned made-up words are flawed
because of the lack of task ensuring similar word encoding across conditions.
Here, participants were trained on associations between made-up words (e.g.,
'drott') and pictures with an alarming or neutral content (e.g., 'a dead sheep'
vs. 'a munching cow') in a situation that required attention to both ends of each
association. To test whether word emotional attributes need to consolidate before
they can hijack attention, one set of associations was learned seven days before
the test, whereas the other set was learned either six hrs or immediately before
the test. The novel words' ability to evoke their emotional attributes was
assessed by using both Stroop and an auditory analogue called pause detection.
Matching words and pictures was harder for alarming associations. However,
similar learning rate and forgetting at seven days were observed for both types
of associations. Pause detection revealed no emotion effect for same-day (i.e.,
unconsolidated) associations, but robust interference for seven-day-old (i.e.,
consolidated) alarming associations. Attention capture was found in the emotional
Stroop as well, though only when trial n-1 referred to a same-day association.
This task also showed stronger response repetition priming (independently of
emotion) when trials n and n-1 both tapped into seven-day-old associations. Word
emotional attributes hence take between six hrs and seven days to be operational.
Moreover, age interactions between consecutive trials can be used to gauge
implicitly the indirect (relational) episodic associations that develop in the
meantime between the memories of individual items. (PsycINFO Database Record (c)
2018 APA, all rights reserved).
PMID- 29369647
TI - Health policy brief: Global mental health and the United Nations' sustainable
development goals.
AB - INTRODUCTION: Increased awareness of the importance of mental health for global
health has led to a number of new initiatives, including influential policy
instruments issued by the World Health Organization (WHO) and the United Nations
(UN). METHOD: This policy brief describes two WHO instruments, the Mental Health
Action Plan for 2013-2020 (World Health Organization, 2013) and the Mental Health
Atlas (World Health Organization, 2015), and presents a comparative analysis with
the Sustainable Development Goals (SDGs) of the UN's 2030 Agenda for Sustainable
Development (United Nations, 2015). RESULTS: The WHO's Action Plan calls for
several specific objectives and targets, with a focus on improving global mental
health governance and service coverage. In contrast, the UN's Sustainable
Development Goals include only one goal specific to mental health, with a single
indicator tracking suicide mortality rates. DISCUSSION: The discrepancy between
the WHO and UN frameworks suggests a need for increased policy coherence.
Improved global health governance can provide the basis for ensuring and
accelerating progress in global mental health. (PsycINFO Database Record
PMID- 29369648
TI - Achieving same-day access in integrated primary care.
AB - Same-day access to behavioral health services is a critical feature of integrated
primary care. Despite the benefits of same-day access, implementing and
sustaining this key feature has been a challenge for multiple health care
settings. Further, there is relatively little practical guidance on how to
implement this practice management feature. Diverse program design solutions for
same-day access are implemented in clinics across the Veterans Health
Administration. The authors identified innovative approaches, developed in local
facilities, with demonstrated success in same-day access that can be implemented
in any setting. The purpose of this article is to describe five approaches for
providing same-day access within integrated care. The authors discuss key
considerations (staffing, space, program maturity), potential challenges and
facilitators, and provide practical recommendations for implementation. (PsycINFO
Database Record
PMID- 29369649
TI - A review of published guidance for video recording in medical education.
AB - INTRODUCTION: Medical educators have used resident-patient video recording to
verify trainee competence in interpersonal and technical skills for 50 years.
Although numerous authors acknowledge that video recording can compromise patient
privacy and confidentiality, no summary of potential risks is available. METHOD:
A scoping review of the literature on resident-patient video recording in medical
education from the 1960s to the present was conducted. The review examined
publications that addressed ethical, policy, procedural, or legal issues
affecting patients' rights when video recording. RESULTS: Potential risks to the
rights of video recorded patients were organized into 6 categories: informed
consent policies, informed consent procedures, recorded medical errors, secondary
use of recordings, collateral patient information, and public trust issues. The
review revealed contradictory opinions on informed consent policies, inadequate
guidance for responding when medical errors are recorded, and conflicting
opinions about when recordings become part of the medical record. Many reviewed
publications are opinion-based, precede current confidentiality guidelines, or
rely on survey results. DISCUSSION: This review organizes potential threats to
patients' rights for those medical educators who use video recording technology.
The review reveals a need for broader consensus about video recording guidelines
and for research on video recording practices, especially given technological
advances in video equipment and the expansion of video technology in health care
settings. (PsycINFO Database Record
PMID- 29369650
TI - Parental attitudes following disclosure of sibling sexual abuse: A child advocacy
center intervention study.
AB - Sibling sexual abuse (SSA) represents a range of childhood sexual behaviors that
cannot be considered manifestations of age-appropriate curiosity. Despite being
the commonest and longest lasting form of sexual abuse within the family, SSA is
the least reported, treated, and researched. This qualitative study is based on a
sample of 60 mostly religious Jewish families referred to a child advocacy center
(CAC) in Jerusalem from 2010 to 2015. It examines parental attitudes to SSA and
their reconstruction, during and after their experience at the CAC. Analysis of
case summaries and documented conversations between child protection officers and
parents reveals 2 main initial parental attitudes after the disclosure SSA. The
first is the attitude that no sexual acts took place at all. The second is that
they did occur, with 3 different variations: the sexual acts as "not serious," as
a "rupture in the family's ideal narrative," and as "another tragic episode in
the family's tragic life story." Findings also suggest that the CAC intervention
is a turning point, leading most parents to reconstruct their initial attitudes
from "never happened" or "not serious" to "rupture in the family image" or to
"another negative event in the family." These findings underscore the need to
study the experiences of parents whose children were involved in SSA to inform
policy, treatment and research. This is critical, as interventions that are not
aligned with family attitudes and needs are known to exacerbate the family
crisis. (PsycINFO Database Record (c) 2018 APA, all rights reserved).
PMID- 29369651
TI - Trauma proliferation and stress generation (TPSG) dynamics and their implications
for clinical science.
AB - The current research and clinical focus on single traumas fails to assess
numerous important trauma dynamics including trauma proliferation. In this study,
2 trauma proliferation pathways were identified that utilize a developmentally
based trauma framework (DBTF). Data previously collected from 6 different
cultural groups (N = 2279; 2 mental health clinics in Egypt and the United
States, Native Americans, Palestinian adults in Gaza, and college students in
Poland and Egypt) were reanalyzed. The 6 studies utilized DBTF-based measures of
cumulative trauma and trauma types. Path analysis was used to test the trauma
proliferation model and PROCESS software was used to identify mediators and their
effect sizes. Results of the analyses indicated that attachment trauma and
collective identity trauma independently predicted (directly and through
mediators) personal identity trauma, role identity trauma, secondary trauma, and
survival trauma. The pattern of proliferation was configurally invariant across
the 6 groups and strictly invariant across genders. Implications for the
consideration of trauma global dynamics, such as trauma proliferation, are
discussed. (PsycINFO Database Record
PMID- 29369652
TI - Experiences of peer exclusion and victimization, cognitive functioning, and
depression among adolescent cancer survivors in South Korea.
AB - Adolescents who reenter school after treatment for cancer may face certain
challenges, such as social exclusion by their peers and difficulties in cognitive
functioning, due to the cancer treatment and its psychosocial sequelae. Such
challenges may have an impact on their mental health. This cross-sectional study
examined the impact of peer exclusion-victimization and cognitive functioning on
depression among adolescent survivors of childhood cancer. A total of 175
adolescent survivors of childhood cancer between the ages of 13 and 19 years
completed a self-reported questionnaire. Their mean age was 15.33 years (SD =
1.65), the mean time since diagnosis was 7.97 years (SD = 3.91), and 49.7%
experienced at least 1 kind of peer exclusion in school. Multiple regression
analysis was conducted to examine the effects of survivors' experiences related
to peer exclusion-victimization and cognitive functioning on depression,
controlling for demographic (age and gender) and cancer-related (cancer type,
time since diagnosis, recurrence) characteristics. The model with peer exclusion
victimization and cognitive functioning as predictors accounted for 27.9% of the
variance in depression. More experiences in peer exclusion-victimization (beta =
.200, p = .024) and lower cognitive functioning (beta = -.465, p < .001) were
associated with greater levels of depression. Understanding the impact of
survivors' experiences of peer exclusion-victimization and cognitive functioning
on their mental health will help professionals to provide appropriate counseling
services to moderate peer exclusion-victimization as well as resources for
academic performance for those cancer survivors at risk for depression. (PsycINFO
Database Record
PMID- 29369653
TI - Using beta coefficients to impute missing correlations in meta-analysis research:
Reasons for caution.
AB - Meta-analysis has become a well-accepted method for synthesizing empirical
research about a given phenomenon. Many meta-analyses focus on synthesizing
correlations across primary studies, but some primary studies do not report
correlations. Peterson and Brown (2005) suggested that researchers could use
standardized regression weights (i.e., beta coefficients) to impute missing
correlations. Indeed, their beta estimation procedures (BEPs) have been used in
meta-analyses in a wide variety of fields. In this study, the authors evaluated
the accuracy of BEPs in meta-analysis. We first examined how use of BEPs might
affect results from a published meta-analysis. We then developed a series of
Monte Carlo simulations that systematically compared the use of existing
correlations (that were not missing) to data sets that incorporated BEPs (that
impute missing correlations from corresponding beta coefficients). These
simulations estimated rho (mean population correlation) and SDrho (true standard
deviation) across a variety of meta-analytic conditions. Results from both the
existing meta-analysis and the Monte Carlo simulations revealed that BEPs were
associated with potentially large biases when estimating rho and even larger
biases when estimating SDrho. Using only existing correlations often
substantially outperformed use of BEPs and virtually never performed worse than
BEPs. Overall, the authors urge a return to the standard practice of using only
existing correlations in meta-analysis. (PsycINFO Database Record
PMID- 29369654
TI - Why and when hierarchy impacts team effectiveness: A meta-analytic integration.
AB - Hierarchy has the potential to both benefit and harm team effectiveness. In this
article, we meta-analytically investigate different explanations for why and when
hierarchy helps or hurts team effectiveness, drawing on results from 54 prior
studies (N = 13,914 teams). Our findings show that, on net, hierarchy negatively
impacts team effectiveness (performance: rho = -.08; viability: rho = -.11), and
that this effect is mediated by increased conflict-enabling states. Additionally,
we show that the negative relationship between hierarchy and team performance is
exacerbated by aspects of the team structure (i.e., membership instability, skill
differentiation) and the hierarchy itself (i.e., mutability), which make
hierarchical teams prone to conflict. The predictions regarding the positive
effect of hierarchy on team performance as mediated by coordination-enabling
processes, and the moderating roles of several aspects of team tasks (i.e.,
interdependence, complexity) and the hierarchy (i.e., form) were not supported,
with the exception that task ambiguity enhanced the positive effects of
hierarchy. Given that our findings largely support dysfunctional views on
hierarchy, future research is needed to understand when and why hierarchy may be
more likely to live up to its purported functional benefits. (PsycINFO Database
Record
PMID- 29369655
TI - Early parental loss and intimate relationships in adulthood: A nationwide study.
AB - Being able to form and maintain intimate relationships is an essential part of
development and the early loss of a parent may negatively affect this ability.
This study investigates the association between parental loss before the age of
18 years and the formation and dissolution of marriage and cohabitation
relationships in adulthood, in relation to factors that may help identify
potentially vulnerable subgroups of bereaved children, that is, sex of the
deceased parent, cause of death and child's age at the time of death. Using data
from national registries, we followed all children born in Denmark between 1970
and 1995 (n = 1,525,173) and used Poisson regression models to assess rate ratios
by gender for relationship formation and separation according to early parental
loss. We stratified the analyses by sex of the deceased parent, cause of death
and child's age at the time of death, and adjusted for the confounding effects of
parental income, education level, and psychiatric illness. We found that parental
loss was associated with a higher rate of relationship formation for young women,
but not young men, and higher rates of separation for both men and women. The
associations with separation were stronger for persons who lost a parent to
suicide than to other causes. The effects were relatively small, a possible
testimony to the resilience of developmental processes in most children. However,
as long-term relationships are associated with physical and psychological health,
interventions for bereaved children and families are important, especially in the
subgroup bereaved by suicide. (PsycINFO Database Record
PMID- 29369656
TI - Secure base script and psychological dysfunction in Japanese young adults in the
21st century: Using the Attachment Script Assessment.
AB - The universality of secure base construct, which suggests that one's use of an
attachment figure as a secure base from which to explore the environment is an
evolutionary outcome, is one of the core ideas of attachment theory. However,
this universality idea has been critiqued because exploration is not as valued in
Japanese culture as it is in Western cultures. Waters and Waters (2006)
hypothesized that one's experiences of secure base behaviors are stored as a
script in memory, and developed a narrative assessment called the Attachment
Script Assessment (ASA) to evaluate one's secure base script. This study examined
the validity of the ASA and the utility of secure base concept in Japanese
culture. A sample of Japanese young adults (N = 89; M = 23.46; SD = 3.20; 57% =
females) completed both the ASA and self-report questionnaires. The results
revealed that the ASA score was associated with two dimensions of self-report
questionnaires assessing parent-youth attachment relationships (trust and
communication). The ASA score was not related to Japanese cultural values (amae
acceptance, interdependent self-construal, and low independent self-construal).
However, a low ASA score was related to a psychological dysfunction in the
Japanese cultural context; hikikomori symptoms, which are defined as a desire to
remain in his or her own room and his or her understanding of this behavior in
other people. We concluded that since hikikomori can be interpreted as an extreme
inhibition of exploration, the association between low secure base script and
hikikomori symptoms suggests the utility of secure base construct in Japan.
(PsycINFO Database Record
PMID- 29369658
TI - Lesbian, Gay, and Transgender Veterans' Experiences in the Veterans Health
Administration: Positive Signs and Room for Improvement.
AB - This study explored the characteristics of lesbian, gay, and transgender veteran
users of Veterans Health Administration (VHA) services and nonusers, using a
national convenience sample. Participants responded to an online, anonymous
survey posted on LGBT websites and forwarded through personal contacts, using a
snow-ball sampling strategy, resulting in a final sample of 218. Most
participants were enrolled in VHA (n = 151). VHA users were older, more
ethnically diverse, had less income, and were less public about their sexual or
gender minority identity than nonusers. VHA users and nonusers did not differ on
depression, anxiety, alcohol use, or tobacco use; although VHA users had more
physical limitations and chronic medical conditions and lower health literacy
than nonusers. Most lesbian, gay, and transgender VHA users felt welcome at their
facility and comfortable disclosing their sexual orientation and gender identity
with their provider. Compared with earlier studies, the positive experiences of
lesbian, gay, and transgender VHA users in this study provide supportive evidence
that VHA staff training efforts to raise awareness and competency have been
successful. Additional efforts are needed to understand why transgender men feel
less welcome and comfortable disclosing their gender identity. (PsycINFO Database
Record
PMID- 29369657
TI - Facial expression predictions as drivers of social perception.
AB - Emerging perspectives in neuroscience indicate that the brain functions
predictively, constantly anticipating sensory input based on past experience.
According to these perspectives, prediction signals impact perception, guiding
and constraining experience. In a series of six behavioral experiments, we show
that predictions about facial expressions drive social perception, deeply
influencing how others are evaluated: individuals are judged as more likable and
trustworthy when their facial expressions are anticipated, even in the absence of
any conscious changes in felt affect. Moreover, the effect of predictions on
social judgments extends to both real-world situations where such judgments have
particularly high consequence (i.e., evaluating presidential candidates for an
upcoming election), as well as to more basic perceptual processes that may
underlie judgment (i.e., facilitated visual processing of expected expressions).
The implications of these findings, including relevance for cross-cultural
interactions, social stereotypes and mental illness, are discussed. (PsycINFO
Database Record
PMID- 29369659
TI - Behavioral Health Programs in Fire Service: Surveying Access and Preferences.
AB - Due to the stressful nature of the job, firefighters are likely to be at
increased risk for behavioral health problems. This study examined the access,
attitudes, and preferences of a large sample of professional firefighters (N =
2,156) toward behavioral health services using an online survey. Overall, 81% of
participants reported that they had access to behavioral health services through
their fire service department, although smaller departments were less likely to
offer such services. Despite available programs within fire service, firefighters
most commonly reported that they would seek outside help from their spouse/family
(67%) or private professional services (60%). Firefighters with fewer years in
service were more likely to go to a spouse/family member, coworker, or officer
for help, whereas those with more years were more likely to seek private
professional services. Few firefighters directly stated that stigma would prevent
them from using behavioral health services, yet a large percentage of
firefighters (68%) reported that they would not recommend these services to
colleagues, and stigma-related barriers were still among the most significant
reported. "Clinicians who understand firefighter work culture" was rated as one
of the most important components to a successful behavioral health program; a
lack thereof rated as one of the most significant barriers. Offered together,
these findings suggest that greater exploration of stigma in fire service is
warranted, and attention toward training culturally competent clinicians to work
with firefighters is needed. (PsycINFO Database Record
PMID- 29369660
TI - Posttraumatic Stress Disorder and Interpersonal Process in Homeless Veterans
Participating in a Peer Mentoring Intervention: Associations With Program
Benefit.
AB - Homelessness among veterans has dropped dramatically since the expansion of
services for homeless veterans in 2009, and now engaging homeless veterans in
existing programs will be important to continuing to make progress. While one
promising approach for engaging homeless veterans in care is involving peer
mentors in integrated services, posttraumatic stress disorder (PTSD) may diminish
the effects of peer mentorship. This mixed methods study examined how
interpersonal and emotional processes in homeless veterans with and without PTSD
impacted their capacity to engage in relationships with peer mentors. Four focus
groups of 5-8 homeless male veterans (N = 22) were drawn from a larger multisite
randomized trial. Qualitative analysis identified five primary themes:
disconnectedness; anger, hostility, or resentment; connecting with others;
positive view of self; and feeling like an outsider. Thematic comparisons between
participants with and without a self-reported PTSD diagnosis, and between those
who did and did not benefit from the peer mentor program, were validated by using
quantitative methods. Disconnectedness was associated with self-reported PTSD
diagnosis and with lack of program benefit; feeling like an outsider was
associated with program benefit. Results suggest that disruption to the capacity
to develop and maintain social bonds in PTSD may interfere with the capacity to
benefit from peer mentorship. Social rules and basic strategies for navigating
interpersonal relationships may differ somewhat within the homeless community and
outside of it; for veterans who feel disconnected from the domiciled community, a
formerly homeless veteran peer may serve as a critical "bridge" between the two
social worlds. (PsycINFO Database Record
PMID- 29369661
TI - Progressive taxation, income inequality, and happiness.
AB - Income inequality has become one of the more widely debated social issues today.
The current article explores the role of progressive taxation in income
inequality and happiness. Using historical data in the United States from 1962 to
2014, we found that income inequality was substantially smaller in years when the
income tax was more progressive (i.e., a higher tax rate for higher income
brackets), even when controlling for variables like stock market performance and
unemployment rate. Time lag analyses further showed that higher progressive
taxation predicted increasingly lower income inequality up to 5 years later. Data
from the General Social Survey (1972-2014; N = 59,599) with U.S. residents
(hereafter referred to as "Americans") showed that during years with higher
progressive taxation rates, less wealthy Americans-those in the lowest 40% of the
income distribution-tended to be happier, whereas the richest 20% were not
significantly less happy. Mediational analyses confirmed that the association of
progressive taxation with the happiness of less wealthy Americans can be
explained by lower income inequality in years with higher progressive taxation. A
separate sample of Americans polled online (N = 373) correctly predicted the
positive association between progressive taxation and the happiness of poorer
Americans but incorrectly expected a strong negative association between
progressive taxation and the happiness of richer Americans. (PsycINFO Database
Record
PMID- 29369662
TI - On the potential for iatrogenic effects of psychiatric crisis services: The
example of dialectical behavior therapy for adult women with borderline
personality disorder.
AB - OBJECTIVE: Although previous research has suggested that people with a history of
using psychiatric crisis services are at higher risk for suicide, it is unclear
whether this link is attributable to individual risk factors or iatrogenic
effects of service utilization. We examined this question by analyzing data from
a randomized controlled trial of dialectical behavior therapy (DBT), a treatment
for highly suicidal individuals in which patients took advantage of crisis
services less than those in the comparison condition. We hypothesized that crisis
service utilization during a treatment year, rather than pretreatment indicators
of suicide risk, would be associated with higher suicide risk after treatment,
and that DBT's treatment effects would be partially attributable to this
association. METHOD: Participants were 101 women (Mage = 29.3, 87% Caucasian)
with recent suicidal and self-injurious behaviors meeting Diagnostic and
Statistical Manual of Mental Disorders (4th ed.; DSM-IV; American Psychiatric
Association [APA], 1994) criteria for borderline personality disorder. We
examined relationships between suicidal ideation (using the Suicide Behaviors
Questionnaire; Linehan, 1981), number of suicide attempts (using the Suicide
Attempt Self-Injury Interview; Linehan, Comtois, Brown, Heard, & Wagner, 2006),
and number of psychiatric inpatient admissions and psychiatric emergency-room
(ER) visits (using the Treatment History Interview; Linehan & Heard, 1987) from
the years prior to, during, and following treatment. RESULTS: Treatment-year
psychiatric ER visits were the sole predictor of the number of follow-up year
suicide attempts. Treatment condition and pretreatment inpatient admissions
predicted treatment-year psychiatric ER visits. Finally, there was evidence that
DBT resulted in fewer suicide attempts at follow-up, in part because getting DBT
led to fewer psychiatric ER visits. CONCLUSION: In this population and context,
data suggest that crisis-service utilization conveys risk for suicide. DBT may
reduce suicide risk in part by reducing use of these services. (PsycINFO Database
Record
PMID- 29369663
TI - Preliminary efficacy of service dogs as a complementary treatment for
posttraumatic stress disorder in military members and veterans.
AB - OBJECTIVE: Psychiatric service dogs are an emerging complementary treatment for
military members and veterans with posttraumatic stress disorder (PTSD). Yet
despite anecdotal accounts of their value, there is a lack of empirical research
on their efficacy. The current proof-of-concept study assessed the effects of
this practice. METHOD: A nonrandomized efficacy trial was conducted with 141 post
9/11 military members and veterans with PTSD to compare usual care alone (n = 66)
with usual care plus a trained service dog (n = 75). The primary outcome was
longitudinal change on The PTSD Checklist (PCL; Weathers, Litz, Herman, Huska, &
Keane, 1993), including data points from a cross-sectional assessment and a
longitudinal record review. Secondary outcomes included cross-sectional
differences in depression, quality of life, and social and work functioning.
RESULTS: Mixed-model analyses revealed clinically significant reductions in PTSD
symptoms from baseline following the receipt of a service dog, but not while
receiving usual care alone. Though clinically meaningful, average reductions were
not below the diagnostic cutoff on the PCL. Regression analyses revealed
significant differences with medium to large effect sizes among those with
service dogs compared with those on the waitlist, including lower depression,
higher quality of life, and higher social functioning. There were no differences
in employment status, but there was lower absenteeism because of health among
those who were employed. CONCLUSION: The addition of trained service dogs to
usual care may confer clinically meaningful improvements in PTSD symptomology for
military members and veterans with PTSD, though it does not appear to be
associated with a loss of diagnosis. (PsycINFO Database Record
PMID- 29369664
TI - Follow-up of monotherapy remitters in the PReDICT study: Maintenance treatment
outcomes and clinical predictors of recurrence.
AB - OBJECTIVE: This study followed remitted patients from a randomized controlled
trial of adults with major depressive disorder (MDD). The aims were to describe
rates of recurrence and to evaluate 3 clinical predictor domains. METHOD: Ninety
four treatment-naive patients (50% female; Mage = 38.1 years; 48.9% White; 30.9%
Hispanic) with MDD who had remitted to 12-week monotherapy (escitalopram,
duloxetine, or cognitive behavior therapy [CBT]) participated in a 21-month
maintenance phase (i.e., continued medication or 3 possible CBT booster sessions
per year). Recurrence was assessed quarterly, and the clinical predictors were
the following: 2 measures of residual depressive symptoms, 1 measure of lifetime
depressive episodes, and 2 measures of baseline anxiety. Survival analysis models
evaluated recurrence rates, and regression models evaluated the predictors.
RESULTS: Among all patients, 15.5% experienced a recurrence, and the survival
distributions did not statistically differ among treatments. Residual depressive
symptoms on the Hamilton Depression Rating Scale at the end of monotherapy were
associated with increased risk for recurrence (hazard ratio = 1.31, 95%
confidence interval [CI: 1.02, 1.67], Wald chi2 = 4.41, p = .036), and not having
a comorbid anxiety disorder diagnosis at study baseline reduced the risk of
recurrence (hazard ratio = .31, 95% CI [.10, .94], Wald chi2 = 4.28, p = .039).
CONCLUSIONS: The study supported the benefits of maintenance treatment for
treatment-naive patients who remitted to initial monotherapy; nevertheless,
remitted patients with a comorbid anxiety disorder diagnosis at the beginning of
treatment or residual depressive symptoms after initial treatment were at risk
for poorer long-term outcomes. (PsycINFO Database Record
PMID- 29369666
TI - Dynamic longitudinal relations between binge eating symptoms and severity and
style of interpersonal problems.
AB - Despite wide recognition of the importance of interpersonal problems in binge
eating disorder (BED), the nature of this association remains unclear. Examining
the direction of this longitudinal relationship is necessary to clarify the role
that interpersonal problems play in the course of binge eating problems, and thus
to specify treatment targets and mechanisms. This study aimed to articulate the
bidirectional, longitudinal associations between BED and both the general
severity of interpersonal problems as well as warm and dominant interpersonal
styles. Severity and styles of interpersonal problems and BED symptoms were
measured at baseline, 12 weeks, 24 weeks, and 36 weeks in a sample of 107 women
in treatment for BED. Results from bivariate latent change score models indicated
that interpersonal problem severity and BED symptoms are associated
longitudinally but do not directly influence each other. The results indicated a
bidirectional interrelation between binge eating symptoms and dominance such that
less dominance predicted greater decreases in binge eating problems, and less
binge eating symptoms predicted greater increases in dominance. We also found
that binge eating symptoms positively predicted changes in warmth (i.e., less
binge eating symptoms predicted less increases or more decreases in warmth).
These findings highlight the importance of using dynamic models to examine
directionality and delineate the distinct roles of interpersonal severity and
styles in BED trajectories. (PsycINFO Database Record
PMID- 29369665
TI - Distress intolerance modulation of neurophysiological markers of cognitive
control during a complex go/no-go task.
AB - Distress intolerance (DI), a trait-like individual difference reflective of the
inability to endure aversive affective states, is relevant to multiple forms of
psychopathology, but its relations to theoretically relevant neurobiological
systems have received little attention. Altered cognitive control-related
neurobiology has been theorized to underlie individual differences in DI, but
little empirical work has been conducted. To test this hypothesis, baseline data
from a large community sample with elevated high levels of emotional
psychopathology and comorbidity was utilized (N = 256). Participants completed a
complex go/no-go task while EEG was recorded, and P2, N2, and P3 amplitudes were
measured. Based upon prior findings on the relations between these components and
response inhibition, a core cognitive control function, we hypothesized that DI
would predict reduced no-go N2 and P3 amplitude while controlling for current
anxious/depressive symptom severity (i.e., negative affect). Peak amplitudes from
the raw data and principal components analysis were used to quantify amplitude of
ERP components. Partially consistent with predictions, high DI was independently
associated with reduced no-go N2 peak amplitude in the raw ERP data, and was
significantly related to a frontal positivity factor in the N2 time window across
no-go and go trials. Contrary to predictions, no relations between DI and the P3
were found. Overall, results support the theorized relevance of cognitive control
linked neurobiology to individual differences in tolerance of distress over and
above distress severity itself, and suggest specific relations between DI and
alterations in early controlled attention/conflict-monitoring but not response
inhibition or response inhibition-related sequelae. (PsycINFO Database Record
PMID- 29369667
TI - Psychopathic traits are associated with reduced fixations to the eye region of
fearful faces.
AB - Impairments in processing fearful faces have been documented in both children and
adults with psychopathic traits, suggesting a potential mechanism by which
psychopathic individuals develop callous and manipulative interpersonal and
affective traits. Recently, research has demonstrated that psychopathic traits
are associated with reduced fixations to the eye regions of faces in samples of
children and community-dwelling adults, however this relationship has not yet
been established in an offender sample with high levels of psychopathy. In the
current study, we employed eye-tracking with paradigms involving the
identification and passive viewing of facial expressions of emotion,
respectively, in a sample of adult male criminal offenders (n = 108) to elucidate
the relationship between visual processing of fearful facial expressions and
interpersonal and affective psychopathic traits. We found that the interpersonal
affective traits of psychopathy were significantly related to fewer fixations to
the eyes of fear faces during the emotion recognition task. This association was
driven particularly by the interpersonal psychopathic traits (e.g.,
egocentricity, deceitfulness), whereas fear recognition accuracy was inversely
related to the affective psychopathic traits (e.g., callousness, lack of
empathy). These findings highlight potential mechanisms for the subset of the
interpersonal-affective traits exhibited by psychopathic individuals. (PsycINFO
Database Record
PMID- 29369669
TI - Social motivation in schizophrenia: The impact of oxytocin on vigor in the
context of social and nonsocial reinforcement.
AB - Experiential negative symptoms-including diminished motivation-have a profound
impact on functional outcomes in schizophrenia. Animal research suggests that
abnormalities in dopaminergic regulation can negatively impact effort exertion, a
translational model that has been applied to individuals with schizophrenia.
Paradigms that assess effort-based decision making, for example, suggest less
likelihood of choosing high effort tasks that are high in probability of success,
and this preference varies with negative symptoms and impaired functioning.
Although asociality is another well-documented component of experiential negative
symptoms, it is unclear whether diminished motivation for monetary reward extends
to the social domain. To test this question, the authors designed the Social
Vigor Task (SVT)-a measure of effort exertion in the context of live social
encouragement. They further examined the effect of oxytocin, a neuropeptide
implicated in social behavior, on vigor. Forty-two individuals with schizophrenia
and 43 healthy controls completed the SVT twice: once after intranasal
administration of saline placebo and again after oxytocin. Both groups showed
similar increases in vigor in response to social encouragement, suggesting effort
in the social context is spared in schizophrenia. Group differences in the effect
of social encouragement on vigor varied by point-based reward rate and trial
length. Oxytocin did not increase vigor during social encouragement in either
group. Within the schizophrenia group, clinician-rated passive social withdrawal,
but not active social avoidance, was negatively associated with vigor. Results
suggest that people with schizophrenia show normative levels of effort in the
context of social encouragement; low approach motivation, however, relates to
lower effort. (PsycINFO Database Record
PMID- 29369668
TI - Are there sex differences in the etiology of youth antisocial behavior?
AB - Sex differences in the etiology of youth antisocial behavior are an intuitively
appealing hypothesis given the consistently higher prevalence of antisocial
behavior in boys versus girls. Although a few early studies supported this
possibility, reporting stronger genetic influences in females and stronger
environmental influences in males, subsequent meta-analyses found that antisocial
behavior was equally heritable in males and females. Critically however, none of
the meta-analyses evaluated whether sex differences in etiology might be enhanced
in particular subpopulations or contexts. The current study sought to do just
this. We examined 1,030 child twin pairs from the Michigan State University Twin
Registry, half of whom were oversampled for neighborhood disadvantage, thereby
allowing us to meaningfully evaluate whether sex differences in etiology were
enhanced in disadvantaged contexts. We also directly evaluated the possibility of
sex differences in the etiology of teacher- versus maternal-informant reports of
antisocial behavior, evaluating each informant-report for possible sex
differences. Results were not consistent with differential effects of sex on
etiology in disadvantaged versus advantaged contexts, but did suggest moderation
by informant-report. Namely, genetic influences were stronger in girls, and
environmental influences were stronger in boys, when antisocial behavior was
assessed using teacher informant-reports, but not when assessed using maternal
informant-reports. Critically, these findings were confirmed when we reanalyzed
meta-analytic data from Burt (2009a) separately by informant. Such findings
suggest that, at least in school contexts, the etiology of antisocial behavior
does indeed vary across sex. Implications are discussed. (PsycINFO Database
Record
PMID- 29369670
TI - Atypical neural responding to hearing one's own name in adults with ASD.
AB - Diminished responding to hearing one's own name is one of the earliest and
strongest predictors of autism spectrum disorder (ASD). Here, we studied, for the
first time, the neural correlates of hearing one's own name in ASD. Based on
existing research, we hypothesized enhancement of late parietal positive activity
specifically for the own name in neurotypicals, and for this effect to be reduced
in adults with ASD. Source localization analyses were conducted to estimate group
differences in brain regions underlying this effect. Twenty-one adults with ASD,
and 21 age- and gender-matched neurotypicals were presented with 3 categories of
names (own name, close other, unknown other) as task-irrelevant deviant stimuli
in an auditory oddball paradigm while electroencephalogram was recorded. As
expected, late parietal positivity was observed specifically for own names in
neurotypicals, indicating enhanced attention to the own name. This preferential
effect was absent in the ASD group. This group difference was associated with
diminished activation in the right temporoparietal junction (rTPJ) in adults with
ASD. Further, a familiarity effect was found for N1 amplitude, with larger
amplitudes for familiar names (own name and close other). However, groups did not
differ for this effect. These findings provide evidence of atypical neural
responding to hearing one's own name in adults with ASD, suggesting a deficit in
self-other distinction associated with rTPJ dysfunction. (PsycINFO Database
Record
PMID- 29369671
TI - Three approaches to quantifying cigarette consumption: Data from nondaily
smokers.
AB - Quantifying cigarette consumption is fundamental to smoking research. We examine
the correspondence among 3 methods of capturing cigarette consumption in nondaily
smokers. In the study, 232 nondaily smokers recorded cigarette consumption over 2
weeks of ad libitum smoking (total = 3,303 days) using 3 methods: (a) interactive
voice response (IVR; calling an automated telephone line when they smoked), (b)
butts (storing and returning the butts of cigarettes smoked), and (c) time-line
follow-back (TLFB; retrospectively reporting daily consumption). Analyses
examined relationships among the measures (cigarettes per day and proportion of
days abstinent) for the average over 2 weeks and for each day. In averaged data,
the methods were highly correlated for both quantity smoked and percentage of
abstinent days (rs > .95); the average was very reliable (alpha = .99). All 3
methods showed similar, very strong relationships to urinary cotinine (rs > .70).
Estimates of the percentage of days abstinent differed modestly but significantly
by method (butts [32%] > TLFB [31%] > IVR [28%]). For individual days, there was
no significant difference in cigarettes per day by method, the 3 methods
correlated highly (rs = .75-.96), and agreement on abstinence was very high
(>=90%; kappas >= .95). In nondaily smokers reporting smoking by IVR, butts, and
TLFB, the resulting estimates of cigarette consumption and abstinence are highly
concordant and equivalent. The composite (average) of the 3 methods yields a
highly reliable estimate of cigarette-smoking behavior in this population. In
contrast to past studies, this study suggests these 3 methods are equivalent and
implies that any 1 can be used by nondaily smokers. (PsycINFO Database Record
PMID- 29369672
TI - Testing variability in response to a brief alcohol intervention: The role of self
regulation and the therapeutic relationship.
AB - The current study tests individual differences in response to a mandated brief
motivational intervention (BMI) for college student drinking. Participants
consisted of 99 (45% female) students who were referred for violating campus
alcohol policy. Within-subject analyses suggest that the BMI led to a significant
reduction in frequency of drinking and alcohol-related problems at the 1-month
follow-up, with a nonsignificant trend in reduction of quantity of drinking and
no difference in maximum level of drinking. However, there was a statistically
significant amount of variability in response to the BMI across frequency,
quantity, maximum, and alcohol-related problems. Individual differences in self
regulation and the strength of the therapeutic relationship were used to predict
variability in change associated with participating in the intervention. Lower
levels of self-regulation predicted more alcohol-related problems at 1 month
following the BMI. A stronger therapeutic relationship predicted lower frequency,
quantity, and maximum level of drinking at 1 month following the BMI. At the 12
month follow-up, there was a significant decay in the efficacy of the
intervention, with a statistically significant amount of variability across all
outcomes. Lower self-regulation was associated with more problems at 12 months.
Individual differences in the therapeutic relationship were not associated with
decay of efficacy between 1 and 12 months across any of the outcome variables.
Results are consistent with both etiological heterogeneity and common factors
models of the efficacy of psychotherapy, and extend these models to explaining
outcomes of brief alcohol interventions in students mandated to treatment.
(PsycINFO Database Record
PMID- 29369674
TI - Drinking to cope moderates the efficacy of changing veteran drinking norms as a
strategy for reducing drinking and alcohol-related problems among U.S. veterans.
AB - Heavy and problematic drinking is a concern among young adult military veterans.
Personalized normative feedback (PNF) interventions that target normative
misperceptions regarding drinking have been efficacious among young adults and
have recently begun to be implemented among veteran populations in an effort to
reduce heavy drinking. However, moderators of PNF intervention efficacy among
veterans are largely unexplored. This study is a secondary data analysis that
evaluated whether a PNF intervention would work better at reducing perceived
norms, drinking, and alcohol-related problems specifically for young adult
veterans who drink to cope with negative affect. Veterans of the United States
(U.S.) military (86% male; Mean age = 28.9 years, SD = 3.4) were randomly
assigned to receive either: 1) PNF comparing their drinking and perceived norms
to actual drinking rates for same sex veterans; or 2) feedback about same sex
veteran video game play (control condition). Seven hundred eighty-four
individuals completed baseline and 622 completed 1-month follow-up assessments
(79% follow-up), including measures of alcohol use, alcohol-related problems, and
drinking motives. Moderated mediation analyses indicated that changes in
normative misperceptions as a result of the intervention were more strongly
associated with reductions in drinking and problems among veterans scoring higher
on drinking to cope. These findings suggest that PNF may be an especially
efficacious brief intervention for veterans who drink for coping reasons.
(PsycINFO Database Record
PMID- 29369673
TI - A text message intervention to reduce 21st birthday alcohol consumption:
Evaluation of a two-group randomized controlled trial.
AB - Twenty-first birthdays are associated with extreme levels of heavy drinking and
alcohol-related harm. Effective preventive interventions that are acceptable to
young adults are needed. The current study tested the efficacy of a brief text
message intervention for reducing 21st birthday alcohol involvement designed to
correct perceived 21st birthday drinking norms and provide protective behavioral
strategies (PBS). We also examined potential moderators and mediators. College
students (n = 200) with an upcoming 21st birthday completed a baseline assessment
and were randomized to a text-message intervention or an assessment-only control
condition. For participants in the intervention group, Message 1 (sent one day
before the birthday celebration) focused on personalized normative feedback, and
Message 2 (sent day of the birthday celebration) discussed PBS to minimize risk.
Primary outcomes were assessed using responses to a follow-up assessment the day
after their birthday celebration (93% completion rate). Zero-inflated negative
binomial regression analyses did not reveal an overall intervention effect for
estimated Blood Alcohol Content (eBAC) or alcohol problems on the 21st birthday
celebration. In partial support of our hypothesis, there was an indirect effect
of perceived 21st birthday norms on 21st birthday eBAC. The intervention was
associated with reduced perceived norms, which was, in turn, related to a lower
eBAC. There was a 3-way interaction between drinks per week, anticipated eBAC,
and intervention condition for the count portion of actual eBAC such that the
intervention reduced eBAC among a high-risk subset of the sample. Future research
may benefit from further refining the personalized normative feedback (PNF)
component of 21st birthday interventions. (PsycINFO Database Record
PMID- 29369675
TI - Distance from public transportation and physical activity in Japanese older
adults: The moderating role of driving status.
AB - OBJECTIVE: Although previous studies have shown that good access to public
transportation is positively related with physical activity, the moderators of
this relationship have not been explored sufficiently in older adults. It is
possible that driving status could moderate this relationship. The present study
examined whether the objectively measured distance between public transportation
and the home was associated with physical activity levels, and whether this
association was moderated by driving status among Japanese older adults. METHOD:
In this cross-sectional study, participants (n = 2,878) completed questionnaires
and wore accelerometers for at least 7 days, to measure their average daily step
counts and minutes spent engaging in moderate-to-vigorous physical activity. Road
network distances between the home and the nearest bus stop or train station were
measured using geographic information systems. Driving status was assessed using
questionnaires. RESULTS: Multiple regression analyses stratified by driving
status revealed that, among nondrivers, living further away from public
transportation was associated with higher step counts (beta = 0.08, p < .001) and
moderate-to-vigorous physical activity (beta = 0.06, p = .029). Among drivers,
living closer to public transportation was significantly associated with higher
moderate-to-vigorous physical activity levels (beta = -0.05, p = .042).
CONCLUSION: Despite the small effect sizes, the direction of the association
between distance from public transportation and physical activity was different
for current drivers and nondrivers. These findings imply that good access to
public transportation does not positively relate with greater engagement in
physical activity among nondriving older adults. Shorter distances to public
transportation might reduce opportunities for engaging in physical activity for
them. (PsycINFO Database Record
PMID- 29369676
TI - Lifetime trauma, perceived control, and all-cause mortality: Results from the
Midlife in the United States Study.
AB - OBJECTIVE: To investigate whether lifetime-trauma exposure predicts all-cause
mortality and whether this association is mediated or moderated by perceived
control. METHOD: A sample of middle-aged and older adults (N = 4,961) who
participated in the second wave of the Midlife in the United States Study (MIDUS)
provided data. Lifetime trauma was operationalized using the reported number of
potentially traumatic experiences spanning childhood through adulthood. Both the
perceived constraints and mastery dimensions of perceived control were examined.
Cox regression models tested main effects and interactions of lifetime trauma
with mastery and constraints predicting 10-year mortality risk. RESULTS: There
was a significant main effect of lifetime trauma, b = .06, hazard ratio (HR) =
1.07, p = .032, and an interaction of trauma with mastery, b = -.08, p = .004. A
greater number of traumatic experiences was associated with increased mortality
risk at below-average levels of mastery, -1 SD; HR = 1.14, p < .001, but not at
above-average levels, +1 SD; HR = 0.97, p = .48. This interaction persisted after
further adjustment for health status, psychological, and behavioral covariates.
An association of constraints with elevated mortality risk, HR = 1.33, p = .008,
was attenuated in a fully adjusted model, HR = 1.06, p = .26. CONCLUSION: A
strong sense of mastery may buffer elevated mortality risk associated with
exposure to traumatic experiences. Findings extend evidence that mastery may
foster resilience to the adverse health effects of traumatic stressors, whereas
constraints may show stronger independent associations with health outcomes.
(PsycINFO Database Record
PMID- 29369677
TI - A prospective study of marital quality and body weight in midlife.
AB - OBJECTIVE: Few studies have gone beyond studying marital status to examine
effects of marital quality on body weight. This study examined the association of
marital quality with weight change and incident obesity in midlife. It
differentiated positive and negative components of marital quality considering
overall marital quality, marital support, and marital strain. METHOD: Data are
from 2,636 adults from the Midlife in the United States study who participated in
2 waves of data collection 10 years apart. Marital quality was self-reported.
Body weight was assessed with self-reported height and weight. Generalized
estimating equations examined primary associations also considering potential
confounders and mediating factors including sociodemographics, baseline health
conditions, and health behaviors. RESULTS: Overall marital quality was inversely
associated with weight gain (beta = -0.70, 95% confidence interval [CI] [-1.38,
0.01]). Marital support was inversely related to both weight gain (beta = -1.48,
95% CI [-2.80, -0.16]) and incident obesity (risk ratio = 0.79, 95% CI [0.65,
0.96]). Marital strain was not associated with either weight change or incident
obesity. The association between marital support and incident obesity remained
when marital strain was simultaneously included in the model. There was evidence
that the associations of marital support and marital strain with incident obesity
might differ by gender, and were evident only in men. CONCLUSION: This study
shows a supportive marital relationship is associated with healthier body weight
in midlife. It also indicates marital support may have effects over and beyond
the mere absence of marital strain. Findings suggest the potential utility of
involving spouses/partners in obesity prevention and treatment. (PsycINFO
Database Record
PMID- 29369678
TI - Daily meaning salience and physical activity in previously inactive exercise
initiates.
AB - OBJECTIVE: Individuals living with more experienced meaning, or meaning salience,
may be more likely to engage in health behaviors including physical activity.
This study examined daily meaning salience and physical activity in previously
inactive exercise initiates. METHOD: Inactive adults (N = 80, 78% female, Mage =
43 years, SD = 11 years) intending to increase physical activity participated in
a daily diary study. At baseline, participants completed self-report
questionnaires of demographics, global meaning and purpose, depressive symptoms,
and motivation. Fitness center attendance was extracted from the member database.
Participants self-reported meaning salience, positive mood, negative mood, and
physical activity (duration in minutes; intensity in ratings of perceived
exertion) daily for the first 28 days. Multilevel and multiple regression
analyses were used. RESULTS: Multilevel models revealed that controlling for
demographics, mood, and motivation, for every standard deviation increase in
meaning salience, participants were 44% more likely to visit the fitness center,
odds ratio (OR) = 1.44, 95% CI [1.24,1.68], p < .001. Further, increases in
meaning salience above average were positively related to physical activity
duration, beta = .19, p < .001, and intensity, beta = .13, p < .001. Global
meaning and purpose were not significantly related to physical activity duration,
physical activity intensity, or fitness center visits over the 28 days.
CONCLUSIONS: Results suggest that increased meaning salience, but not global
meaning or purpose, is associated with physical activity participation in
previously inactive exercise initiates, and may be a proximal predictor of
physical activity behavior. Future research should use ecological momentary
assessment (EMA) designs to examine the relationship within days and over longer
intervals. (PsycINFO Database Record
PMID- 29369679
TI - A meta-synthesis of studies of patients' experience of living with terminal
cancer.
AB - OBJECTIVE: The aim of this research was to produce a synthesis of
phenomenological studies of the experience of living with the awareness of having
terminal cancer to gain a more complete understanding of the parameters of this
experience. METHODS: This research used meta-synthesis as a method for
integrating the results of 23 phenomenological studies of the experience of
living with the awareness of having terminal cancer published between 2011 and
2016. RESULTS: The meta-synthesis generated 19 theme clusters that informed the
construction of four master themes: trauma, liminality, holding on to life, and
life as a cancer patient. Each master theme captures a distinct experiential
dimension of living with the awareness of having terminal cancer. Each dimension
brings with it significant and distinctive psychological challenges. CONCLUSION:
The results from the present meta-synthesis suggest that the experience of living
with the awareness of having terminal cancer is a multidimensional experience
that patients actively negotiate as they search for ways in which they can rise
to the psychological challenges associated with it. A better understanding of the
parameters of this experience can help health care professionals provide
appropriate support for this client group. (PsycINFO Database Record
PMID- 29369680
TI - Prospect theory reflects selective allocation of attention.
AB - There is a disconnect in the literature between analyses of risky choice based on
cumulative prospect theory (CPT) and work on predecisional information
processing. One likely reason is that for expectation models (e.g., CPT), it is
often assumed that people behaved only as if they conducted the computations
leading to the predicted choice and that the models are thus mute regarding
information processing. We suggest that key psychological constructs in CPT, such
as loss aversion and outcome and probability sensitivity, can be interpreted in
terms of attention allocation. In two experiments, we tested hypotheses about
specific links between CPT parameters and attentional regularities. Experiment 1
used process tracing to monitor participants' predecisional attention allocation
to outcome and probability information. As hypothesized, individual differences
in CPT's loss-aversion, outcome-sensitivity, and probability-sensitivity
parameters (estimated from participants' choices) were systematically associated
with individual differences in attention allocation to outcome and probability
information. For instance, loss aversion was associated with the relative
attention allocated to loss and gain outcomes, and a more strongly curved
weighting function was associated with less attention allocated to probabilities.
Experiment 2 manipulated participants' attention to losses or gains, causing
systematic differences in CPT's loss-aversion parameter. This result indicates
that attention allocation can to some extent cause choice regularities that are
captured by CPT. Our findings demonstrate an as-if model's capacity to reflect
characteristics of information processing. We suggest that the observed CPT
attention links can be harnessed to inform the development of process models of
risky choice. (PsycINFO Database Record
PMID- 29369681
TI - The importance of awareness for understanding language.
AB - Is consciousness required for high level cognitive processes, or can the
unconscious mind perform tasks that are as complex and difficult as, for example,
understanding a sentence? Recent work has argued that, yes, the unconscious mind
can: Sklar et al. (2012) found that sentences, masked from consciousness using
the technique of continuous flash suppression (CFS), broke into awareness more
rapidly when their meanings were more unusual or more emotionally negative, even
though processing the sentences' meaning required unconsciously combining each
word's meaning. This has motivated the important claim that consciousness plays
little-to-no functional role in high-level cognitive operations. Here, we aimed
to replicate and extend these findings, but instead, across 10 high-powered
studies, we found no evidence that the meaning of a phrase or word could be
understood without awareness. We did, however, consistently find evidence that
low-level perceptual features, such as sentence length and familiarity of
alphabet, could be processed unconsciously. Our null findings for sentence
processing are corroborated by a meta-analysis that aggregates our studies with
the prior literature. We offer a potential explanation for prior positive results
through a set of computational simulations, which show how the distributional
characteristics of this type of CFS data, in particular its skew and heavy tail,
can cause an elevated level of false positive results when common data exclusion
criteria are applied. Our findings thus have practical implication for analyzing
such data. More importantly, they suggest that consciousness may well be required
for high-level cognitive tasks such as understanding language. (PsycINFO Database
Record
PMID- 29369682
TI - Attention goes both ways: Shifting attention influences lexical decisions.
AB - Spatial components of concepts can influence the speed with which peripheral
targets are responded to (e.g., the word God speeds responses to targets
presented above fixation; devil speeds responses to targets presented below
fixation). The basic premise underlying these conceptual cueing effects is that
thinking of a spatial metaphor activates an internal spatial representation which
in turn influences the allocation of attention in the visual field. An important
step forward in understanding conceptual cues is determining whether the
underlying process is bidirectional: Do shifts of attention facilitate activation
of corresponding conceptual information? To test this, a peripheral cue was used
to induce shifts of attention to a peripheral location, and the effect of this
shift on concept processing was measured with a standard lexical-decision task in
which participants made word/nonword responses to a letter string presented at
fixation (Experiments 1 and 3), or with a modified lexical-decision task in which
participants made English/Dutch judgments of a word presented auditorily
(Experiment 2). If shifts of attention activate spatially compatible concepts,
then shifting attention to a peripheral location should speed lexical decisions
for spatially compatible concepts such that leftward shifts lead to faster
lexical decisions of left relative to right concepts (and likewise for rightward,
upward, and downward shifts). Our results support this prediction, suggesting
that behaviors in the visual field can influence the activation of internal
representations. (PsycINFO Database Record
PMID- 29369683
TI - Tool use changes the spatial extension of the magnetic touch illusion.
AB - Characterizing the brain mechanisms that allow humans to use tools to interact
with the environment is a major goal in neuroscience. It has been proposed that
handheld tools are incorporated into the multisensory representation of the body
and its surrounding (peripersonal) space, underlying our remarkable tool use
ability. One single-cell recording study in tool-using monkeys provided
qualitative support for this hypothesis, and the results from a vast number of
human studies employing different experimental paradigms have been ambiguous.
Here, we made use of the recently reported magnetic touch illusion-a perceptual
correlate of peripersonal space-to examine the effect of tool use on the
representation of visuotactile peripersonal space. The results showed that active
tool use leads to an extension of the "illusion volume" around the entire length
of a tool, which was significantly greater compared with a manual control task.
These findings support the notion that the multisensory representation of
peripersonal space is extended to incorporate handheld tools and provide a three
dimensional estimation of this remapping process. (PsycINFO Database Record
PMID- 29369685
TI - Characteristics of Two Lysis-Related Proteins from a Shewanella putrefaciens
Phage with High Lytic Activity and Wide Spectrum.
AB - Although Shewanella putrefaciens is the specific spoilage organism in most
seafood, only seven Shewanella phages have been sequenced and their endolysins
have not been reported until now. In this study, we cloned and expressed two
lysis-related proteins (Spp64 and Spp62) encoded by phage Spp001, the first
sequenced S. putrefaciens phage. Both recombinant proteins showed strong lytic
capability toward chilled S. putrefaciens Sp225 and presented a wider activity
spectrum compared with bacteriophage Spp001. The enzymatic activity of crude
Spp64, Spp62DeltaTD, and Spp62DeltaTD-GST can cause decreases of 0.691, 0.674,
and 0.685, respectively, as tested through the turbidity reduction assay.
Furthermore, purified enzyme Spp64 at concentrations of 537.5 and 4.20 MUg/mL was
enough to decrease the optical density of chilled S. putrefaciens by 0.881 and
0.492, respectively, within 15 min. The recombinant Spp64 has a peptidase
catalytic domain and exhibits high temperature resistance. Moreover, Spp64
displayed superior enzymatic activity in a range of pH values that matches
environmental conditions (pH between 5.0 and 10.0), which demonstrates that its
application in seafood is feasible. The present work is to our knowledge the
first report on lysis-related enzymes encoded in the Shewanella phage. Both
proteins presented extraordinary potential to control S. putrefaciens; we hope
that these proteins can be developed as novel antibacterial agents in further
research.
PMID- 29369684
TI - Respiratory Symptoms in Young Adults and Future Lung Disease. The CARDIA Lung
Study.
AB - RATIONALE: There are limited data on factors in young adulthood that predict
future lung disease. OBJECTIVES: To determine the relationship between
respiratory symptoms, loss of lung health, and incident respiratory disease in a
population-based study of young adults. METHODS: We examined prospective data
from 2,749 participants in the CARDIA (Coronary Artery Risk Development in Young
Adults) study who completed respiratory symptom questionnaires at baseline and 2
years later and repeated spirometry measurements over 30 years. MEASUREMENTS AND
MAIN RESULTS: Cough or phlegm, episodes of bronchitis, wheeze, shortness of
breath, and chest illnesses at both baseline and Year 2 were the main predictor
variables in models assessing decline in FEV1 and FVC from Year 5 to Year 30,
incident obstructive and restrictive lung physiology, and visual emphysema on
thoracic computed tomography scan. After adjustment for covariates, including
body mass index, asthma, and smoking, report of any symptom was associated with
2.71 ml/yr excess decline in FEV1 (P < 0.001) and -2.18 in FVC (P < 0.001) as
well as greater odds of incident (prebronchodilator) obstructive (odds ratio
[OR], 1.63; 95% confidence interval [CI], 1.24-2.14) and restrictive (OR, 1.40;
95% CI, 1.09-1.80) physiology. Cough-related symptoms (OR, 1.56; 95% CI, 1.13
2.16) were associated with greater odds of future emphysema. CONCLUSIONS:
Persistent respiratory symptoms in young adults are associated with accelerated
decline in lung function, incident obstructive and restrictive physiology, and
greater odds of future radiographic emphysema.
PMID- 29369686
TI - Heavy Metals in Spring and Bottled Drinking Waters of Sibylline Mountains
National Park (Central Italy).
AB - Heavy metal concentrations (cadmium, lead, and copper) in spring, tap, and
bottled waters of the Sibylline Mountains National Park (central Italy) were
investigated using square wave anodic stripping voltammetry from 2004 to 2011.
The mean (+/-SD) concentrations detected (1.3 +/- 0.4 ng L-1 cadmium, 14 +/- 6 ng
L-1 lead, and 0.16 +/- 0.10 MUg L-1 copper) were below the limits stipulated by
Italian and European legislation for drinking and natural mineral water. In the
three studied areas of the park (Mount Bove north, Mount Bove south, and springs
of River Nera) with very few exceptions, both mineral waters bottled in the area
and aqueduct waters from public fountains had approximately the same metal
concentrations as did the spring waters from which they were derived. Conversely,
substantially higher metal concentrations were found at some sites in private
houses, which may be due to release of metals from old metal pipes. At the time
of this study, waters of Sibylline Mountains National Park were of good quality,
and no influence of the bottling process on heavy metal concentrations was found.
PMID- 29369687
TI - A Limited Survey of Heavy Metal Concentrations in Fresh and Frozen Cuttlefish Ink
and Mantle Used As Food.
AB - Cuttlefish ink is consumed as a delicacy worldwide. The current study is the
first assessment of heavy metal concentrations in cuttlefish ink versus mantle
under different storage methods. A total of 212 samples (64 of fresh mantle, 42
of frozen mantle, 64 of fresh ink, and 42 of frozen ink) were analyzed for the
detection of the following heavy metals: arsenic (As), chromium (Cr), iron (Fe),
lead (Pb), mercury (Hg), and cadmium (Cd). The median As concentrations were 12.9
mg/kg for fresh mantle, 8.63 mg/kg for frozen mantle, 10.8 mg/kg for frozen ink,
and 0.41 mg/kg for fresh ink. The median Cr concentrations were 0.06 mg/kg for
fresh mantle and frozen ink, 0.03 mg/kg for frozen mantle, and below the limit of
quantification (LOQ) for fresh ink. The median Fe concentrations were 4.08 mg/kg
for frozen ink, 1.51 mg/kg for fresh mantle, 0.73 mg/kg for frozen mantle, and
below the LOQ for fresh ink. The median Pb concentrations of almost all samples
were below the LOQ; only two frozen ink, one fresh ink, one frozen mantle, and
one fresh mantle sample exceeded the limit stipulated by the European Union. The
Hg concentrations were statistically similar among the four categories of
samples; the median Hg concentrations were below the LOQ, and the maximum
concentrations were found in frozen ink, at 1.62 mg/kg. The median Cd
concentrations were 0.69 mg/kg for frozen ink and 0.11 mg/kg for frozen mantle,
fresh mantle and fresh ink concentrations were below the LOQ, and in 11.3% of the
tested samples, Cd concentrations were higher than the European Union limit. The
probability of samples having a Cd concentration above the legal limit was 35.75
times higher in frozen than in fresh products. Fresh ink had significantly lower
concentrations of As, Cr, Fe, and Cd, but the concentrations of Hg and Pb were
not significantly different from those of other products. Frozen ink had
significantly higher concentrations of Cd, Cr, and Fe, but concentrations of As
were lower than those in fresh mantle, pointing out a possible role for the
freezing process and for different fishing zones as risk factors for heavy metal
contamination.
PMID- 29369688
TI - Outbreak of Escherichia coli O157:H7 Infections Linked to Aged Raw Milk Gouda
Cheese, Canada, 2013.
AB - Between 12 July and 29 September 2013, 29 individuals in five Canadian provinces
became ill following infection with the same strain of Escherichia coli O157:H7
as defined by molecular typing results. Five case patients were hospitalized, and
one died. Twenty-six case patients (90%) reported eating Gouda cheese originating
from a dairy plant in British Columbia. All of the 22 case patients with
sufficient product details available reported consuming Gouda cheese made with
raw milk; this cheese had been produced between March and July 2013 and was aged
for a minimum of 60 days. The outbreak strain was isolated from the implicated
Gouda cheese, including one core sample obtained from an intact cheese wheel 83
days after production. The findings indicate that raw milk was the primary source
of the E. coli O157:H7, which persisted through production and the minimum 60-day
aging period. This outbreak is the third caused by E. coli O157:H7 traced to
Gouda cheese made with raw milk in North America. These findings provide further
evidence that a 60-day ripening period cannot ensure die-off of pathogens that
might be present in raw milk Gouda cheese after production and have triggered an
evaluation of processing conditions, physicochemical parameters, and options to
mitigate the risk of E. coli O157:H7 infection associated with raw milk Gouda
cheese produced in Canada.
PMID- 29369689
TI - Dynamic Predictive Model for Growth of Bacillus cereus from Spores in Cooked
Beans.
AB - Kinetic growth data for Bacillus cereus grown from spores were collected in
cooked beans under several isothermal conditions (10 to 49 degrees C). Samples
were inoculated with approximately 2 log CFU/g heat-shocked (80 degrees C for 10
min) spores and stored at isothermal temperatures. B. cereus populations were
determined at appropriate intervals by plating on mannitol-egg yolk-polymyxin
agar and incubating at 30 degrees C for 24 h. Data were fitted into Baranyi,
Huang, modified Gompertz, and three-phase linear primary growth models. All four
models were fitted to the experimental growth data collected at 13 to 46 degrees
C. Performances of these models were evaluated based on accuracy and bias
factors, the coefficient of determination ( R2), and the root mean square error.
Based on these criteria, the Baranyi model best described the growth data,
followed by the Huang, modified Gompertz, and three-phase linear models. The
maximum growth rates of each primary model were fitted as a function of
temperature using the modified Ratkowsky model. The high R2 values (0.95 to 0.98)
indicate that the modified Ratkowsky model can be used to describe the effect of
temperature on the growth rates for all four primary models. The acceptable
prediction zone (APZ) approach also was used for validation of the model with
observed data collected during single and two-step dynamic cooling temperature
protocols. When the predictions using the Baranyi model were compared with the
observed data using the APZ analysis, all 24 observations for the exponential
single rate cooling were within the APZ, which was set between -0.5 and 1 log
CFU/g; 26 of 28 predictions for the two-step cooling profiles also were within
the APZ limits. The developed dynamic model can be used to predict potential B.
cereus growth from spores in beans under various temperature conditions or during
extended chilling of cooked beans.
PMID- 29369690
TI - Antibiotic Resistance Profile of Commensal Escherichia coli Isolated from Broiler
Chickens in Qatar.
AB - Antibiotic resistance (AR) is a growing public health concern worldwide, and it
is a top health challenge in the 21st century. AR among Enterobacteriaceae is
rapidly increasing, especially in third-generation cephalosporins and
carbapenems. Further, strains carrying mobilized colistin resistance ( mcr) genes
1 and 2 have been isolated from humans, food-producing animals, and the
environment. The uncontrolled use of antibiotics in food-producing animals is a
major factor in the generation and spread of AR. No studies have been done to
evaluate AR in the veterinary sector of Qatar. This study aimed at establishing
primary baseline data for the prevalence of AR among food-producing animals in
Qatar. Fecal samples (172) were obtained from two broiler farms and one live bird
market in Qatar, and 90 commensal Escherichia coli bacteria were isolated and
subjected to susceptibility testing against 16 clinically relevant antibiotics by
using the E-test method. The results found that 81 (90%) of 90 isolates were
resistant to at least one antibiotic, 14 (15.5%) of 90 isolates were colistin
resistant, 2 (2.2%) of 90 isolates were extended-spectrum beta-lactamase
producers, and 2 (2.2%) of 90 isolates were multidrug resistant to four
antibiotic classes. Extended-spectrum beta-lactamase-producing E. coli and
colistin-resistant isolates were confirmed by using double-disc susceptibility
testing and PCR, respectively. Such a high prevalence of antibiotic-resistant E.
coli could be the result of a long application of antibiotic treatment, and it is
an indicator of the antibiotic load in food-producing animals in Qatar. Pathogens
carrying AR can be easily transmitted to humans through consumption of
undercooked food or noncompliance with hygiene practices, mandating prompt
development and implementation of a stewardship program to control and monitor
the use of antibiotics in the community and agriculture.
PMID- 29369691
TI - Accumulation of Polychlorinated Biphenyls in Mussels: A Proteomic Study.
AB - Polychlorinated biphenyls (PCBs) are environmental pollutants of industrial
origin that can contaminate food, mainly food of animal origin. Although
production of PCBs has been banned in many countries since the 1980s, they are
still present in the environment and are considered dangerous pollutants for
human health. In fact, they can bioaccumulate in living organisms such as marine
organisms because of their chemical and physical properties. New analytical
approaches are useful to monitor the presence of such contaminants in seafood
products and in the environment. In this work, we evaluate changes in protein
expression of Mytilus galloprovincialis (Lam.) experimentally exposed to a PCB
mixture and identify chemically specific protein expression signatures by using a
proteomic approach. In particular, we identify 21 proteins whose levels of
expression are sensibly modified after 3 weeks of exposure. The present work
shows that a proteomic approach can be a useful tool to study alterations of
protein expression in mussels exposed to PCBs and represents a first step toward
the development of screening protocols to be used for biomonitoring surveys of
fishery products.
PMID- 29369692
TI - Treating Hypertension During Breastfeeding.
PMID- 29369693
TI - Evaluation of a Method for Long-Term Cryopreservation of Fungal Strains.
AB - The conservation of microorganisms is essential for their in-depth study.
However, today's most widely used conservation methods, based on the use of
distilled water, soil, oils, or silica, do not guarantee the stability of fungal
cells, especially dermatophytes. This problem led us to evaluate the conservation
capacity of a cryogenic vials system containing glass beads covered in a
cryopreservant hypertonic solution as an alternative method of storage of fungal
cells at -80 degrees C. Up to 570 strains of fungi belonging to 27 different
species, isolated from clinical samples, were inoculated into cryotubes
containing 25 glass beads covered in a cryopreserving hypertonic solution.
Suspensions were mixed vigorously and the cryopreserving solution was discarded.
The tubes were frozen at -80 degrees C for a period of 42 months and
periodically, a glass bead was removed from each cryotube and inoculated onto
Sabouraud dextrose agar, and incubated at 30 degrees C for 7-14 days to evaluate
the number of colonies recovered, their purity, and phenotypic characteristics.
All yeast isolates were recovered, unlike 2 isolates (4.4%) of the mold group and
21 (10.7%) of the dermatophytes. Survival rates were close to 100% for yeasts and
molds, with expiration times being estimated for almost indefinite stocks, and
62% for dermatophytes, with an average expiration date of 25.5 years. The
phenotypic characteristics remained comparable to those of the strains before
storage. Conservation at -80 degrees C using cryogenic vials is a reliable and
efficient system for the conservation of fungal collections, and although the
behavior differs by groups, stratified survival data are obtained to avoid
extinction.
PMID- 29369695
TI - Integrin Heterodimers Expressed on the Surface of Porcine Spermatogonial Stem
Cells.
AB - To date, in vitro culture systems able to sufficiently expand the small
population of spermatogonial stem cells (SSCs), a tool for the development of
sperm-mediated gene transfer techniques in transgenic pigs, in the porcine
seminiferous tubule have not been reported. Therefore, as a step toward
engineering a noncellular niche to support the in vitro maintenance of porcine
SSC self-renewal, we investigated the types of integrin heterodimers that are
expressed and functional on their membrane. The alpha and beta integrin subunit
protein expressions were analyzed using immunocytochemistry and fluorescence
immunoassay, and the function of integrin heterodimers was confirmed by
attachment and antibody inhibition assays. The integrin subunits, alpha3, alpha4,
alpha5, alpha6, alpha8, alpha9, alphaV, and beta1, were identified on the surface
of them. Moreover, they showed significantly increased adhesion to fibronectin,
laminin, and vitronectin, and functional blocking of integrin alpha4beta1,
alpha6beta1, or alphaVbeta1 significantly inhibited adhesion to these molecules.
They showed significantly decreased adhesion to tenascin C and functional
blocking of integrin alpha5beta1 did not significantly inhibit adhesion to
fibronectin. Accordingly, we confirmed that the integrin heterodimers
alpha4beta1, alpha6beta1, and alphaVbeta1 actively function on the surface of
undifferentiated porcine SSCs, whereas alpha3, alpha5, alpha8, and alpha9 are
present in inactive forms.
PMID- 29369696
TI - Disease or adaptation: another look at the practice of medicine.
AB - The practice of medicine has changed considerably over the past few decades and
is now focusing more and more on early intervention strategies. As a result, we
tend to consider pre-symptomatic abnormalities, however small, already as a
potential target for treatment. In this viewpoint, we argue that we should put
more emphasis on pathophysiological thinking as many of the so-called early
abnormalities may, in fact, reflect adaptive mechanisms rather than disease. This
view should influence medical care and education, emphasizing the importance of
knowledge of pathophysiology.
PMID- 29369697
TI - A new era for autism research, and for our journal.
PMID- 29369698
TI - Through the Glass Ceiling.
PMID- 29369699
TI - Single Nucleotide Polymorphisms in CD40L Predict Endothelial Complications and
Mortality After Allogeneic Stem-Cell Transplantation.
AB - Purpose Endothelial vulnerability is a potential risk factor for complications
after allogeneic stem-cell transplantation (alloSCT). The CD40/CD40 ligand
(CD40L) axis contributes to inflammatory diseases and is upregulated in
endothelial cells upon activation, suggesting a role in alloSCT biology. Here, we
studied single nucleotide polymorphisms (SNPs) in the CD40L gene in recipients of
alloSCT. Patients and Methods Three CD40L SNPs (rs3092920, rs3092952, rs3092936)
were analyzed for association with transplant-associated thrombotic
microangiopathy, overall nonrelapse mortality (NRM), and NRM after acute graft
versus-host disease in 294 recipients of alloSCT without statin-based endothelial
prophylaxis (SEP). The significant genotype was then put into perspective with
established thrombomodulin ( THBD) gene polymorphisms. Findings were validated in
an independent cohort without SEP and in an additional 344 patients who received
SEP. Results The rs3092936 CC/CT genotype was associated with an increased risk
of transplant-associated thrombotic microangiopathy ( P = .001), overall NRM ( P
= .03), and NRM after acute graft-versus-host disease ( P = .01). The rs3092936
CC/CT genotype was largely mutually exclusive of high-risk THBD SNPs. Both CD40L
and THBD SNPs predicted adverse overall survival (OS) and overall NRM to a
similar extent in training cohort (OS, P = .04; NRM, P < .001) and validation
cohort (OS, P = .01; NRM, P = .001) without SEP. In contrast, SEP completely
abolished the influence of the high-risk CD40L and THBD SNPs ( P = .40).
Conclusion An increased risk of endothelial complications can be predicted before
alloSCT by genetic markers in the recipient's genome. The normalization of
mortality risks in patients treated with SEP suggests a way of overcoming the
negative effect of high-risk genotypes and warrants further clinical validation.
PMID- 29369700
TI - Reply to M.F. Chong et al.
PMID- 29369701
TI - Targeting DNA Repair in Prostate Cancer.
PMID- 29369702
TI - Thalidomide for Delayed Chemotherapy-Induced Nausea and Vomiting: Where Is Its
Place in Therapy?
PMID- 29369703
TI - Recent advances in extracorporeal life support as a bridge to lung
transplantation.
AB - INTRODUCTION: Invasive mechanical respiratory support in candidate bridging to
transplant (BTT) has become common practice in recent years. This usually
consists of mechanical ventilation, extracorporeal life support (ECLS) or a
combination of both techniques. Areas covered: This review covers epidemiology,
technical considerations, indications and outcome of ELCS as BTT. Published
literature was identified by searching the MEDLINE bibliographic database (1946
present) and appropriate papers were reviewed. In a retrospective analysis of the
period 2010-2016 (n = 92 cases of ECLS bridging, 62% ECLS only) at our
institution, bridging success was 73%, with 1-year survival among patients
surviving to transplant 78%, surpassing our previously published results between
2005-2009 (bridging success 58%, 1-year survival 58%, p = 0.002 and p = 0.02,
respectively). Expert commentary: While ECLS success has influenced lung
transplant selection criteria, bridging remains technically and ethically
challenging. Candidate selection and organ allocation are crucial to achieving
acceptable results.
PMID- 29369704
TI - Reply to H. Tilly et al.
PMID- 29369705
TI - Studies on the Influence of Long-Acting Insulin Analogs on Cancer Risk Should Be
Based on the New-User Design Only.
PMID- 29369706
TI - Reply to P. Autier et al.
PMID- 29369707
TI - Ataxia Telangiectasia-Mutated and Rad3-Related Inhibition and Topoisomerase I
Trapping Create a Synthetic Lethality in Cancer Cells.
PMID- 29369708
TI - Reduced left atrial myocardial deformation irrespective of cavity size: a
potential cause for atrial arrhythmia in hereditary transthyretin amyloidosis.
AB - BACKGROUND: Cardiac amyloidosis (CA) is a myocardial disease and commonly under
diagnosed condition. In CA patients, atrial fibrillation might occur in the
absence of left atrial (LA) enlargement. OBJECTIVES: The aim of this study is to
assess LA size and function, and its relationship with atrial arrhythmia in
patients with hereditary transthyretin amyloidosis (ATTR). METHODS: Forty-six
patients with confirmed ATTR amyloidosis on abdominal biopsy were studied.
Assessment with 2D echocardiography and 2D strain showed 31 patients had
increased LV wall thickness (LVWT) (septal thickness >12 mm), and 15 had normal
LVWT. In addition to conventional measurements, LV and LA global longitudinal
strain (GLS%) and strain rate (SR) were obtained. Western blot analysis was done
to assess fibril type. ATTR patients with increased LVWT were compared with 23
patients with hypertrophic cardiomyopathy (HCM) and 31 healthy controls. ATTR
amyloidosis patients also underwent 24 hour Holter monitoring to determine the
presence of atrial arrhythmia. RESULTS: Atrial deformation during atrial systole
was reduced in ATTR amyloidosis patients with increased LVWT independent of LA
size and in contrast to HCM. Twenty of the ATTR amyloidosis patients (54%) had
ECG evidence of significant atrial arrhythmic events. LA strain rate, during
atrial systole, was the only independent predictor of atrial arrhythmia (beta =
3.28, p = .012). CONCLUSION: In ATTR cardiomyopathy with increased LVWT, LA
myocardial function is abnormal, irrespective of atrial cavity size. Reduced LA
myocardial SR during atrial systole, irrespective of cavity volume, E/e' and LV
deformation, is also a strong predictor for atrial arrhythmic events.
PMID- 29369709
TI - Multi-Armed Droplets as Shape-Changing Protocells.
AB - Protocells are objects that mimic one or several functions of biological cells
and may be embodied as solid particles, lipid vesicles, or droplets. Our work is
based on using decanol droplets in an aqueous solution of sodium decanoate in the
presence of salt. A decanol droplet under such conditions bears many qualitative
similarities with living cells, such as the ability to move chemotactically,
divide and fuse, or change its shape. This article focuses on the description of
a shape-changing process induced by the evaporation of water from the decanoate
solution. Under these conditions, the droplets perform complex shape changes,
whereby the originally round decanol droplets grow into branching patterns and
mimic the growth of appendages in bacteria or axon growth of neuronal cells. We
report two outcomes: (i) the morphological changes are reversible, and (ii)
multiple protocells avoid contact between each other during the morphological
transformation. The importance of these morphological changes in the context of
artificial life are discussed.
PMID- 29369710
TI - Undecidability and Irreducibility Conditions for Open-Ended Evolution and
Emergence.
AB - Is undecidability a requirement for open-ended evolution (OEE)? Using methods
derived from algorithmic complexity theory, we propose robust computational
definitions of open-ended evolution and the adaptability of computable dynamical
systems. Within this framework, we show that decidability imposes absolute limits
on the stable growth of complexity in computable dynamical systems. Conversely,
systems that exhibit (strong) open-ended evolution must be undecidable,
establishing undecidability as a requirement for such systems. Complexity is
assessed in terms of three measures: sophistication, coarse sophistication, and
busy beaver logical depth. These three complexity measures assign low complexity
values to random (incompressible) objects. As time grows, the stated complexity
measures allow for the existence of complex states during the evolution of a
computable dynamical system. We show, however, that finding these states involves
undecidable computations. We conjecture that for similar complexity measures that
assign low complexity values, decidability imposes comparable limits on the
stable growth of complexity, and that such behavior is necessary for nontrivial
evolutionary systems. We show that the undecidability of adapted states imposes
novel and unpredictable behavior on the individuals or populations being modeled.
Such behavior is irreducible. Finally, we offer an example of a system, first
proposed by Chaitin, that exhibits strong OEE.
PMID- 29369711
TI - The Biological Foundations of Enactivism: A Report on a Workshop Held at
Artificial Life XV.
AB - This is a report on the Biological Foundations of Enactivism Workshop, which was
held as part of Artificial Life XV. The workshop aimed to revisit enactivism's
contributions to biology and to revitalize the discussion of autonomy with the
goal of grounding it in quantitative definitions based in observable phenomena.
This report summarizes some of the important issues addressed in the workshop's
talks and discussions, which include how to identify emergent individuals out of
an environmental background, what the roles of autonomy and normativity are in
biological theory, how new autonomous agents can spontaneously emerge at the
origins of life, and what science can say about subjective experience.
PMID- 29369712
TI - Report on the ISAL Special Session on ALife and Society, ALife XV, Cancun,
Mexico, 2016.
PMID- 29369713
TI - ALife and Society: Editorial Introduction to the Artificial Life Conference 2016
Special Issue.
PMID- 29369714
TI - Robustness and Contingent History: From Prisoner's Dilemma to Gaia Theory.
AB - In both social systems and ecosystems there is a need to resolve potential
conflicts between the interests of individuals and the collective interest of the
community. The collective interests need to survive the turbulent dynamics of
social and ecological interactions. To see how different systems with different
sets of interactions have different degrees of robustness, we need to look at
their different contingent histories. We analyze abstract artificial life models
of such systems, and note that some prominent examples rely on explicitly
ahistorical frameworks; we point out where analyses that ignore a contingent
historical context can be fatally flawed. The mathematical foundations of Gaia
theory are presented in a form whose very basic and general assumptions point to
wide applicability across complex dynamical systems. This highlights surprising
connections between robustness and accumulated contingent happenstance,
regardless of whether Darwinian evolution is or is not implicated. Real-life
studies highlight the role of history, and artificial life studies should do
likewise.
PMID- 29369715
TI - The Institutional Approach for Modeling the Evolution of Human Societies.
AB - Artificial life is concerned with understanding the dynamics of human societies.
A defining feature of any society is its institutions. However, defining exactly
what an institution is has proven difficult, with authors often talking past each
other. This article presents a dynamic model of institutions, which views them as
political game forms that generate the rules of a group's economic interactions.
Unlike most prior work, the framework presented here allows for the construction
of explicit models of the evolution of institutional rules. It takes account of
the fact that group members are likely to try to create rules that benefit
themselves. Following from this, it allows us to determine the conditions under
which self-interested individuals will create institutional rules that support
cooperation-for example, that prevent a tragedy of the commons. The article
finishes with an example of how a model of the evolution of institutional rewards
and punishments for promoting cooperation can be created. It is intended that
this framework will allow artificial life researchers to examine how human groups
can themselves create conditions for cooperation. This will help provide a better
understanding of historical human social evolution, and facilitate the resolution
of pressing societal social dilemmas.
PMID- 29369716
TI - Social Learning and Cultural Evolution in Artificial Life.
AB - We describe the questions and discussions raised at the First Workshop on Social
Learning and Cultural Evolution held at theArtificial Life Conference 2016 in
Cancun, Mexico in July 2016. The purpose of the workshop was to assemble
artificial life researchers interested in social learning and cultural evolution
into one group so that we could focus on recent work and interesting open
questions. Our discussion related to both the mechanisms of social learning and
cultural evolution and the consequences and influence of social learning and
cultural evolution on living systems. We present the contributions of our
workshop presenters and conclude with a discussion of the more important open
questions in this area.
PMID- 29369717
TI - Accelerating research on treatment and services for transition age youth and
adults on the autism spectrum.
PMID- 29369718
TI - Editorial 1/2018.
PMID- 29369720
TI - Confirmation of Echinococcus canadensis G8 and G10 in Idaho Gray Wolves ( Canis
lupus) and Cervids.
AB - We confirm the presence of Echinococcus canadensis genotypes G8 and G10 in gray
wolves ( Canis lupus) and cervids in Idaho, US. Our results demonstrated that
cystic echinococcosis remains a potential public health issue, indicating the
need for regular deworming of domestic dogs, who often act as potential bridge
hosts.
PMID- 29369721
TI - NEUROPATHOLOGIC FINDINGS IN CETACEANS STRANDED IN ITALY (2002-14).
AB - We summarized the neuropathologic findings in 60 cetaceans stranded along the
Italian coastline from 2002 to 2014. The following neuropathologic changes were
detected in 45% (27/60) of animals: nonsuppurative meningo-encephalitides (30%,
18/60), nonspecific lesions (12%, 7/60), suppurative encephalitis (2%, 1/60), and
neoplasm (2%, 1/60). No histologic lesions were found in 47% (28/60) of the
specimens. Five (8%, 5/60) samples were unsuitable for analysis. Analysis with
PCR detected Brucella spp., morbillivirus, and Toxoplasma gondii infection in
one, six, and seven individuals, respectively. Immunohistochemical analysis
confirmed positivity for morbillivirus and for T. gondii infection in three cases
each. No evidence of the scrapie-associated prion protein PrPSc was detected. Our
findings underscore the importance of an adequate surveillance system for
monitoring aquatic mammal pathologies and for protecting both animal and human
health.
PMID- 29369722
TI - The Occurrence of Some Nonblood Protozoan Parasites in Wild and Domestic Mammals
in South Africa.
AB - Relatively little is known about protozoan parasites in African animals. Here we
investigated the occurrence of protozoan parasites in mammals from South Africa.
Oocysts of protozoan parasites were detected in 13 of 56 (23%) fecal samples
using conventional microscopic examination methods. Cryptosporidium spp. and
Cystoisospora spp. were detected in eight (14%) and five (9%) samples,
respectively. Mixed parasitic infection of Cryptosporidium spp. and Cystoisospora
spp. was recorded in banded mongoose ( Mungos mungo). Cryptosporidium spp. was
detected for the first time in cheetah ( Acinonyx jubatus), spotted hyena (
Crocuta crocuta), and African polecat ( Ictonyx striatus). Antibodies to
Toxoplasma gondii and Neospora caninum were not detected by enzyme-linked
immunosorbent assay in any of 32 sera tested. We detected T. gondii by PCR in
tissues of five of 243 (2%) animals: domestic dog ( Canis lupus familiaris),
gerbil ( Gerbilliscus spp.), greater kudu ( Tragelaphus strepsiceros), honey
badger ( Mellivora capensis), and white-tailed mongoose ( Ichneumia albicauda).
Our isolation of T. gondii from white-tailed mongoose and honey badger was a
unique finding. All tissue samples were negative for N. caninum. The study
increases our knowledge on the occurrence of protozoan parasites in populations
of wild and domestic animals in South Africa.
PMID- 29369723
TI - CHLAMYDIA PSITTACI IN FERAL ROSY-FACED LOVEBIRDS ( AGAPORNIS ROSEICOLLIS) AND
OTHER BACKYARD BIRDS IN MARICOPA COUNTY, ARIZONA, USA.
AB - In 2013, a mortality event of nonnative, feral Rosy-faced Lovebirds ( Agapornis
roseicollis) in residential backyards in Maricopa County, Arizona, US was
attributed to infection with Chlamydia psittaci. In June 2014, additional
mortality occurred in the same region. Accordingly, in August 2014 we sampled
live lovebirds and sympatric bird species visiting backyard bird feeders to
determine the prevalence of DNA and the seroprevalence of antibodies to C.
psittaci using real-time PCR-based testing and elementary body agglutination,
respectively. Chlamydia psittaci DNA was present in conjunctival-choanal or
cloacal swabs in 93% (43/46) of lovebirds and 10% (14/142) of sympatric birds.
Antibodies to C. psittaci were detected in 76% (31/41) of lovebirds and 7%
(7/102) of sympatric birds. Among the sympatric birds, Rock Doves ( Columba
livia) had the highest prevalence of C. psittaci DNA (75%; 6/8) and
seroprevalence (25%; 2/8). Psittacine circovirus 1 DNA was also identified, using
real-time PCR-based testing, from the same swab samples in 69% (11/16) of species
sampled, with a prevalence of 80% (37/46) in lovebirds and 27% (38/142) in
sympatric species. The presence of either Rosy-faced Lovebirds or Rock Doves at
residential bird feeders may be cause for concern for epizootic and zoonotic
transmission of C. psittaci in this region.
PMID- 29369724
TI - Identification of Hemotropic Mycoplasmas in an Eastern Box Turtle ( Terrapene
carolina carolina) and a Yellow-bellied Slider ( Trachemys scripta scripta) from
North Carolina, USA.
AB - Mycoplasma spp. are known from several chelonian and other reptilian species. We
determined if turtles obtained by the Turtle Rescue Team at North Carolina State
University are carriers of hemotropic Mycoplasma or Bartonella spp. Spleen
samples were collected at necropsy during May through July, 2014 from 53 turtles
of seven species. All turtles were dead or were euthanized upon arrival due to
severe traumatic injuries, or they died shortly after beginning treatment. We
used PCR amplification for both bacterial genera; Bartonella spp. DNA was not
amplified. Based upon sequencing of the 16S rRNA subunit, one eastern box turtle
( Terrapene carolina carolina) and one yellow-bellied slider ( Trachemys scripta
scripta) were infected with Mycoplasma spp. that have genetic similarities to
strains that infect other animals.
PMID- 29369726
TI - Rare Osteochondroma Associated with Natural Mortality of a Wild White-Tailed Deer
( Odocoileus virginianus).
AB - Within a 6-mo period, a radio-collared, white-tailed deer ( Odocoileus
virginianus) doe from Delaware, US, developed a fatal head mass consistent with
osteochondroma. We suspected a retroviral etiology, but test results were
negative. Population implications were not suspected, but this case is concerning
as these tumors are not thought to cause mortality.
PMID- 29369725
TI - Use of Blood-soaked Cellulose Filter Paper for Measuring Carbon and Nitrogen
Stable Isotopes.
AB - We explored the use of filter paper soaked in whole blood for measuring carbon
(C) and nitrogen (N) stable isotopes, often used in feeding ecology or diet
studies, to better understand drivers of exposure to contaminants. Our results
showed no statistically or biologically relevant differences in C and N stable
isotope measures between our gold standard (whole blood with anticoagulant) and
eluates from processed, blood-soaked filter paper. Our data supported the
effectiveness of using filter paper for assessing C and N stable isotopes in
blood to address feeding ecology and other uses. The ease of sampling and
processing should allow blood-soaked filter paper to be used in sampling of live
(e.g., captured, stranded) and lethally taken (e.g., hunter-killed) wild
vertebrates.
PMID- 29369727
TI - Respiratory Mites ( Orthohalarachne diminuata) and beta-hemolytic Streptococci
Associated Bronchopneumonia Outbreak in South American Fur Seal Pups (
Arctocephalus australis).
AB - Although mites of the Orthohalarachne genus are common parasites of otariids,
their role as agents of disease and in causing population-level mortality is
unknown. In the austral summer of 2016, there was an increase in mortality among
South American fur seal ( Arctocephalus australis) pups at Guafo Island, Northern
Chilean Patagonia. Pups found dead or terminally ill had moderate to marked,
multifocal, mucopurulent bronchopneumonia associated with large numbers of
respiratory mites ( Orthohalarachne diminuata) and rare Gram-positive cocci. In
lung areas less affected by bronchopneumonia, acute interstitial pneumonia with
marked congestion and scant hemorrhage was evident. Bacteria from pups dying of
bronchopneumonia were isolated and identified as Streptococcus marimammalium and
Streptococcus canis. Respiratory mites obstructed airflow, disrupted airway
epithelial lining, and likely facilitated the proliferation of pathogenic beta
hemolytic streptococci, leading to severe bronchopneumonia and death of fur seal
pups. An abrupt increase in sea surface temperature in Guafo Island corresponded
to the timing of the bronchopneumonia outbreak. The potential role of
environmental factors in the fur seal pup mortality warrants further study.
PMID- 29369728
TI - Introduction and Establishment of Raccoon Rabies on Islands: Jekyll Island,
Georgia, USA as a Case Study.
AB - The introduction of rabies virus (RABV) to barrier islands, which are often
popular tourist destinations with resource-rich habitats and connectivity and
proximity to the mainland, is especially concerning because it can easily become
endemic due to factors like dense rabies-vector populations (e.g., raccoons [
Procyon lotor]), high inter- and intraspecies contact rates, and anthropogenic
activities such as supplemental feeding of feral cats ( Felis catus). In January
2013, a neurologic raccoon found on the Jekyll Island (JI), Georgia, US causeway
tested positive for rabies. Mortality investigations of 29 raccoons have been
conducted between December 2012-May 2017. The two most common diagnoses were RABV
( n=11) and canine distemper virus (CDV; n=8). Parvoviral enteritis was diagnosed
in four raccoons but no coinfections were diagnosed. There was no apparent
seasonality for rabies cases, but all CDV cases occurred in spring-fall. Most
(64%) rabies submissions came from residential or recreational use areas located
near feral cat feeding stations. Jekyll Island is a popular destination where
tourists engage in numerous outdoor activities which facilitate human-wildlife
interactions. Concerns regarding public and animal health highlight the
importance of rabies surveillance, prevention, and control on islands. This is
the first report of rabies on JI and emphasizes the importance of disease
investigations because the assumption that neurologic raccoons have CDV, an
endemic pathogen, can miss the establishment of novel pathogens such as RABV.
PMID- 29369729
TI - DEVELOPMENT AND VALIDATION OF QUANTITATIVE PCR ASSAYS TO MEASURE CYTOKINE
TRANSCRIPT LEVELS IN THE FLORIDA MANATEE ( TRICHECHUS MANATUS LATIROSTRIS).
AB - Cytokines have important roles in the mammalian response to viral and bacterial
infections, trauma, and wound healing. Because of early cytokine production after
physiologic stresses, the regulation of messenger RNA (mRNA) transcripts can be
used to assess immunologic responses before changes in protein production. To
detect and assess early immune changes in endangered Florida manatees (
Trichechus manatus latirostris), we developed and validated a panel of
quantitative PCR assays to measure mRNA transcription levels for the cytokines
interferon (IFN)-gamma; interleukin (IL)-2, -6, and -10; tumor necrosis factor
alpha; and the housekeeping genes glyceraldehyde-3-phosphate dehydrogenase
(GAPDH) and beta-actin (reference genes). Assays were successfully validated
using blood samples from free-ranging, apparently healthy manatees from the east
and west coasts of central Florida, US. No cytokine or housekeeping gene
transcription levels were significantly different among age classes or sexes.
However, the transcription levels for GAPDH, IL-2, IL-6, and IFN-gamma were
significantly higher ( P<0.05) in manatees from the east coast of Florida than
they were from those from the west coast. We found IL-10 and beta-actin to be
consistent between sites and identified beta-actin as a good candidate for use as
a reference gene in future studies. Our assays can aid in the investigation of
manatee immune response to physical trauma and novel or ongoing environmental
stressors.
PMID- 29369730
TI - Keeping Expectations in Check With Immune Checkpoint Inhibitors.
PMID- 29369731
TI - Web-Based Stress Management for Newly Diagnosed Patients With Cancer (STREAM): A
Randomized, Wait-List Controlled Intervention Study.
AB - Purpose Being diagnosed with cancer causes major psychological distress; however,
a majority of patients lack psychological support during this critical period.
Internet interventions help patients overcome many barriers to seeking face-to
face support and may thus close this gap. We assessed feasibility and efficacy of
Web-based stress management (STREAM [Stress-Aktiv-Mindern]) for newly diagnosed
patients with cancer. Patients and Methods In a randomized controlled trial,
patients with cancer who had started first-line treatment within the previous 12
weeks were randomly assigned to a therapist-guided Web-based intervention or a
wait-list (control), stratified according to distress level (>= 5 v < 5 on scale
of 0 to 10). Primary efficacy end point was quality of life after the
intervention (Functional Assessment of Chronic Illness Therapy-Fatigue).
Secondary end points included distress (Distress Thermometer) and anxiety or
depression (Hospital Anxiety and Depression Scale). Treatment effect was assessed
with analyses of covariance, adjusted for baseline distress. Results A total of
222 of 229 screened patients applied online for participation. Between September
2014 and November 2016, 129 newly diagnosed patients with cancer, including 92
women treated for breast cancer, were randomly assigned to the intervention (n =
65) or control (n = 64) group. Adherence was good, with 80.0% of patients using
>= six of eight modules. Psychologists spent 13.3 minutes per week (interquartile
range, 9.5-17.9 minutes per week) per patient for online guidance. After the
intervention, quality of life was significantly higher (Functional Assessment of
Chronic Illness Therapy-Fatigue: mean, 8.59 points; 95% CI, 2.45 to 14.73 points;
P = .007) and distress significantly lower (Distress Thermometer: mean, -0.85;
95% CI, -1.60 to -0.10; P = .03) in the intervention group as compared with the
control. Changes in anxiety or depression were not significant in the intention
to-treat population (Hospital Anxiety and Depression Scale: mean, -1.28; 95% CI,
3.02 to 0.45; P = .15). Quality of life increased in the control group with the
delayed intervention. Conclusion The Web-based stress management program STREAM
is feasible and effective in improving quality of life.
PMID- 29369732
TI - PAM50 Risk of Recurrence Score Predicts 10-Year Distant Recurrence in a
Comprehensive Danish Cohort of Postmenopausal Women Allocated to 5 Years of
Endocrine Therapy for Hormone Receptor-Positive Early Breast Cancer.
AB - Purpose The PAM50-based Prosigna risk of recurrence (ROR) score has been
validated in randomized clinical trials to predict 10-year distant recurrence
(DR). The value of Prosigna for predicting DR was examined in a comprehensive
nationwide Danish cohort consisting of postmenopausal women with hormone receptor
positive early breast cancer treated with 5 years of endocrine therapy alone.
Patients and Methods Using the population-based Danish Breast Cancer Cooperative
Group database, follow-up data were collected on all patients diagnosed from 2000
through 2003 who, by nationwide guidelines, were treated with endocrine therapy
for 5 years. Primary tumor blocks from 2,740 patients were tested with Prosigna
and, after determination of human epidermal growth factor receptor 2 (HER2)
status, data from 2,558 hormone receptor-positive/HER2-negative samples were
analyzed, including 1,395 node-positive patients. Fine and Gray models were
applied to determine the prognostic value of ROR for DR. Results Median follow-up
for recurrence was 9.2 years. Twenty-six percent of the node-positive patients
were classified as low ROR (n = 359) with a DR risk of 3.5% (95% confidence
interval [CI], 1.9% to 6.1%) versus a DR risk of 22.1% (95% CI, 18.6% to 25.8%)
at 10 years for patients classified as high ROR (n = 648). Node-negative patients
classified as low and high ROR had a risk of DR of 5.0% (95% CI, 2.9% to 8.0%)
and 17.8% (95% CI, 14.0% to 22.0%), respectively. Luminal B tumors (n = 947; DR
risk, 18.4% [95% CI: 15.7% to 21.3%]) had a significantly worse outcome than
luminal A tumors (n = 1,474,;DR risk, 7.6% [95% CI: 6.1% to 9.2%]; P < .001).
Conclusion Prosigna ROR score improved the prediction of outcome in this
nationwide Danish population. In a real-world setting, Prosigna can reliably
identify node-negative patients and a significant proportion of patients with one
to three positive nodes who can be spared treatment with adjuvant chemotherapy.
PMID- 29369733
TI - Clinical applications of ultra-high field magnetic resonance imaging in multiple
sclerosis.
AB - INTRODUCTION: Magnetic resonance imaging (MRI) is of paramount importance for the
early diagnosis of multiple sclerosis (MS) and MRI findings are part of the MS
diagnostic criteria. There is a growing interest in the use of ultra-high-field
strength -7 Tesla- (7T) MRI to investigate, in vivo, the pathological substrate
of the disease. Areas covered: An overview of 7T MRI applications in MS focusing
on increased sensitivity for lesion detection, specificity of the central vein
sign and better understanding of MS pathophysiology. Implications for disease
diagnosis, monitoring and treatment planning are discussed. Expert commentary: 7T
MRI provides increased signal-to-noise and contrast-to-noise-ratio that allow
higher spatial resolution and better detection of anatomical and pathological
features. The high spatial resolution reachable at 7T has been a game changer for
neuroimaging applications not only in MS but also in epilepsy, brain tumors,
dementia, and neuro-psychiatric disorders. Furthermore, the first 7T device has
recently been cleared for clinical use by the food and drug administration.
PMID- 29369734
TI - Call for Manuscripts for technology special issue.
PMID- 29369735
TI - Mental Health Staff Perceptions and Practice Regarding Self-Harm, Suicidality and
Help-Seeking in LGBTQ Youth: Findings from a Cross-Sectional Survey in the UK.
AB - Young people who identify as lesbian, gay, bisexual, transgender or queer (LGBTQ)
experience higher levels of suicidality compared to heterosexual or cisgender
peers, and face significant barriers accessing mental health services including
prejudice from staff. In a cross-sectional survey, mental health staff who
reported receiving LGBT awareness training were significantly more likely to
report in relation to working with LGBT youth that they routinely discussed
issues of sexuality and gender (chi2=8.782, df=2, p < 0.05); to feel that their
organisation supported them to work with this group (chi2=14.401, df=2, p <
0.001); and report that they had access to adequate skills training that
supported their work with suicidality and self-harm with this group (chi2=21.911,
df=2, p <0.001). There is a need to enhance the mental health workforce in LGBTQ
awareness, and these findings indicate that awareness training could impact
positively on practice.
PMID- 29369736
TI - Effect of Laparoscopic Surgery on Quality of Life in Ulcerative Colitis.
AB - AIM: To evaluate quality of life after surgery for ulcerative colitis (UC) the
gastroenterological and psychological conditions were examined. MATERIALS AND
METHODS: Between January 1, 2005 and March 1, 2016, surgery was performed for UC
in a total of 75 patients. Our examinations were performed in 58 cases. Quality
of life was examined with questionnaires. Functional Scoring System,
Gastrointestinal Quality of Life Index (GIQLI), and Short Inflammatory Bowel
Disease Questionnaire (SIBDQ) were used for testing gastroenterological
conditions; Spielberger's State-Trait Anxiety Questionnaire, Beck Depression
Inventory, and Brief Illness Perception Questionnaire (BIPQ) were performed to
consider psychological status. RESULTS: Trait anxiety and the incidence of
abdominal pain were significantly lower in patients having undergone laparoscopic
surgery. No difference was found between the minimally invasive and conventional
methods in the early complications. There were significantly more late
complications developing after 30 days in patients who had undergone open
surgery. Differences were found in personal control between patients with a stoma
and patients without a stoma. Patients with a stoma felt they had less control
over their disease. A significant correlation was found between the results of
the psychological and gastrointestinal questionnaires. CONCLUSIONS: Minimally
invasive technique provides a better long-term outcome for patients with UC,
fewer complications, and a more balanced emotional condition. Favorable
gastroenterological condition leads to better psychological status, which is
negatively influenced by stoma or complications.
PMID- 29369737
TI - Pharmacology of Serotonin Receptors Causing Contraction of Isolated Bovine
Posterior Ciliary Arteries: Role in Ocular Blood Flow.
AB - PURPOSE: To determine the serotonergic (5HT) receptor subtype mediating the
contraction of bovine posterior ciliary arteries (BPCAs) in vitro. METHODS:
Longitudinal isometric tension was measured in BPCA strips (4-5 mm) mounted in 25
mL organ baths containing oxygenated Krebs solution at 37 degrees C. Cumulative
contractile concentration-response (C-R) curves were generated for various 5-HT
agonists to assess their potencies and maximal degrees of contraction. Multiple
agonist C-R curves were also constructed in the presence and absence of receptor
selective antagonists to determine antagonist potencies using Schild plots.
RESULTS: Selective and nonselective agonists for 5-HT receptors elicited
concentration-dependent contractile responses in BPCAs with the following rank
order of potency: MK-212 > BW723C86 > alpha-methyl-5-HT >5-methoxy-alpha-5-methyl
5-HT >> R-DO1 > >5-HT >> cabergoline >> 5-methoxy-dimethyl-tryptamine >> 2-methyl
5-HT >> tryptamine. Interestingly, both 8-OH-DPAT (5HT1A agonist) and quipazine
(5HT3 agonist) did not elicit contractions in BPCAs. The contractions produced by
BW723C86 (5-HT2B agonist) were antagonized by 5-HT receptor blockers, RS-127445
(5-HT2B antagonist), and M-100907 (5-HT2A antagonist), yielding antagonist pA2
values of 7.5 +/- 0.12 (n = 4) and 6.2 +/- 0.17 (n = 4), respectively.
Furthermore, contractions elicited by MK-212 (5-HT2C agonist) was blocked by RS
102221 (5-HT2C antagonist), although noncompetitively. CONCLUSIONS: On the basis
of the pharmacological profile of selective agonists and antagonists, we conclude
that serotonin-induced contractions of the BPCA are mediated primarily by a
combination of 5HT2C and/or 5HT2B receptors. It appears that 5-HT1A and 5-HT3
receptors are not involved in the contractile action of BPCAs to serotonin.
PMID- 29369738
TI - Sex and nitric oxide bioavailability interact to modulate interstitial Po2 in
healthy rat skeletal muscle.
AB - Premenopausal women express reduced blood pressure and risk of cardiovascular
disease relative to age-matched men. This purportedly relates to elevated
estrogen levels increasing nitric oxide synthase (NOS) activity and NO-mediated
vasorelaxation. We tested the hypotheses that female rat skeletal muscle would:
1) evince a higher O2 delivery-to-utilization ratio (Qo2/Vo2) during
contractions; and 2) express greater modulation of Qo2/Vo2 with changes to NO
bioavailability compared with male rats. The spinotrapezius muscle of Sprague
Dawley rats (females = 8, males = 8) was surgically exposed and electrically
stimulated (180 s, 1 Hz, 6 V). OxyphorG4 was injected into the muscle and
phosphorescence quenching employed to determine the temporal profile of
interstitial Po2 (Po2is, determined by Qo2/Vo2). This was performed under three
conditions: control (CON), 300 uM sodium nitroprusside (SNP; NO donor), and 1.5
mM Nomega-nitro-l-arginine methyl ester (l-NAME; NOS blockade) superfusion. No
sex differences were found for the Po2is kinetics parameters in CON or l-NAME ( P
> 0.05), but females elicited a lower baseline following SNP (males 42 +/- 3 vs.
females 36 +/- 2 mmHg, P < 0.05). Females had a lower DeltaPo2is during
contractions following SNP (males 22 +/- 3 vs. females 17 +/- 2 mmHg, P < 0.05),
but there were no sex differences for the temporal response to contractions ( P >
0.05). The total NO effect (SNP minus l-NAME) on Po2is was not different between
sexes. However, the spread across both conditions was shifted to a lower absolute
range for females (reduced SNP baseline and greater reduction following l-NAME).
These data support that females have a greater reliance on basal NO
bioavailability and males have a greater responsiveness to exogenous NO and less
responsiveness to reduced endogenous NO. NEW & NOTEWORTHY Interstitial Po2
(Po2is; determined by O2 delivery-to-utilization matching) plays an important
role for O2 flux into skeletal muscle. We show that both sexes regulate Po2is at
similar levels at rest and during skeletal muscle contractions. However,
modulating NO bioavailability exposes sex differences in this regulation with
females potentially having a greater reliance on basal NO bioavailability and
males having a greater responsiveness to exogenous NO and less responsiveness to
reduced endogenous NO.
PMID- 29369740
TI - Exploring kinetic energy as a new marker of cardiac function in the single
ventricle circulation.
AB - Ventricular volumetric ejection fraction (VV EF) is often normal in patients with
single ventricle circulations despite them experiencing symptoms related to
circulatory failure. We sought to determine if kinetic energy (KE) could be a
better marker of ventricular performance. KE was prospectively quantified using
four-dimensional flow MRI in 41 patients with a single ventricle circulation
(aged 0.5-28 yr) and compared with 43 healthy volunteers (aged 1.5-62 yr) and 14
patients with left ventricular (LV) dysfunction (aged 28-79 yr). Intraventricular
end-diastolic blood was tracked through systole and divided into ejected and
residual blood components. Two ejection fraction (EF) metrics were devised based
on the KE of the ejected component over the total of both the ejected and
residual components using 1) instantaneous peak KE to assess KE EF or 2)
summating individual peak particle energy (PE) to assess PE EF. KE EF and PE EF
had a smaller range than VV EF in healthy subjects (97.9 +/- 0.8 vs. 97.3 +/- 0.8
vs. 60.1 +/- 5.2%). LV dysfunction caused a fall in KE EF ( P = 0.01) and PE EF (
P = 0.0001). VV EF in healthy LVs and single ventricle hearts was equivalent;
however, KE EF and PE EF were lower ( P < 0.001) with a wider range indicating a
spectrum of severity. Those reporting the greatest symptomatic impairment (New
York Heart Association II) had lower PE EF than asymptomatic subjects ( P =
0.0067). KE metrics are markers of healthy cardiac function. PE EF may be useful
in grading dysfunction. NEW & NOTEWORTHY Kinetic energy (KE) represents the
useful work of the heart in ejecting blood. This article details the utilization
of KE indexes to assess cardiac function in health and a variety of
pathophysiological conditions. KE ejection fraction and particle energy ejection
fraction (PE EF) showed a narrow range in health and a lower wider range in
disease representing a spectrum of severity. PE EF was altered by functional
status potentially offering the opportunity to grade dysfunction.
PMID- 29369739
TI - Organ-level right ventricular dysfunction with preserved Frank-Starling mechanism
in a mouse model of pulmonary arterial hypertension.
AB - Pulmonary arterial hypertension (PAH) is a rapidly fatal disease in which
mortality is due to right ventricular (RV) failure. It is unclear whether RV
dysfunction initiates at the organ level or the subcellular level or both. We
hypothesized that chronic pressure overload-induced RV dysfunction begins at the
organ level with preserved Frank-Starling mechanism in myocytes. To test this
hypothesis, we induced PAH with Sugen + hypoxia (HySu) in mice and measured RV
whole organ and subcellular functional changes by in vivo pressure-volume
measurements and in vitro trabeculae length-tension measurements, respectively,
at multiple time points for up to 56 days. We observed progressive changes in RV
function at the organ level: in contrast to early PAH (14-day HySu), in late PAH
(56-day HySu) ejection fraction and ventricular-vascular coupling were decreased.
At the subcellular level, direct measurements of myofilament contraction showed
that RV contractile force was similarly increased at any stage of PAH
development. Moreover, cross-bridge kinetics were not changed and length
dependence of force development (Frank-Starling relation) were not different from
baseline in any PAH group. Histological examinations confirmed increased
cardiomyocyte cross-sectional area and decreased von Willebrand factor expression
in RVs with PAH. In summary, RV dysfunction developed at the organ level with
preserved Frank-Starling mechanism in myofilaments, and these results provide
novel insight into the development of RV dysfunction, which is critical to
understanding the mechanisms of RV failure. NEW & NOTEWORTHY A multiscale
investigation of pulmonary artery pressure overload in mice showed time-dependent
organ-level right ventricular (RV) dysfunction with preserved Frank-Starling
relations in myofilaments. Our findings provide novel insight into the
development of RV dysfunction, which is critical to understanding mechanisms of
RV failure.
PMID- 29369741
TI - Reflex bronchoconstriction evoked by inhaled nicotine aerosol in guinea pigs:
role of the nicotinic acetylcholine receptor.
AB - Inhaled cigarette smoke stimulated vagal bronchopulmonary C fibers via an action
of nicotine on neuronal nicotinic acetylcholine receptor (nAChR). Recent studies
have reported that nicotine at high concentrations can also activate the
transient receptor potential ankyrin 1 receptor (TRPA1) expressed in these
sensory nerves. This study was performed to characterize the airway response to
inhaled nicotine aerosol and to investigate the relative roles of nAChR and TRPA1
in this response. Guinea pigs were anesthetized and mechanically ventilated; one
tidal volume of nicotine aerosol (2% solution) was diluted by an equal volume of
air and delivered directly into the lung via a tracheal cannula in a single
breath. Our results showed the following: 1) Inhalation of nicotine aerosol
triggered an immediate and pronounced bronchoconstriction; the increase in total
pulmonary resistance reached a peak of 588 +/- 205% (mean +/- SE) in 10-40 s,
which gradually returned to baseline after 1-5 min. 2) Pretreatment with either
atropine (iv) or mecamylamine (aerosol) almost completely abolished the nicotine
induced bronchoconstriction; the mecamylamine pretreatment did not block the
bronchoconstriction and bradycardia evoked by electrical stimulation of the
distal end of one sectioned vagus nerve, indicating its minimal systemic effects.
3) Pretreatment with HC-030031, a selective TRPA1 antagonist, abolished the
bronchoconstriction induced by allyl isothiocyanate, a selective TRPA1 agonist,
but did not attenuate the nicotine-evoked bronchoconstriction. In conclusion,
inhalation of a single breath of nicotine aerosol evoked acute
bronchoconstriction mediated through the cholinergic reflex pathway. This reflex
response was triggered by activation of nAChR, but not TRPA1, located in airway
sensory nerves. NEW & NOTEWORTHY Recent reports revealed that nicotine at high
concentration activated transient receptor potential ankyrin 1 receptor (TRPA1)
expressed in vagal bronchopulmonary sensory nerves. This study showed that
inhalation of a single breath of nicotine aerosol consistently evoked acute
bronchoconstriction that was mediated through the cholinergic reflex pathway and
triggered by activation of nicotinic acetylcholine receptor, but not TRPA1,
located in these nerves. This is new and important information considering the
recent rapid and alarming rise in the prevalence of e-cigarette use for nicotine
inhalation.
PMID- 29369742
TI - Cross-validation and out-of-sample testing of physical activity intensity
predictions with a wrist-worn accelerometer.
AB - Wrist-worn accelerometers are gaining popularity for measurement of physical
activity. However, few methods for predicting physical activity intensity from
wrist-worn accelerometer data have been tested on data not used to create the
methods (out-of-sample data). This study utilized two previously collected data
sets [Ball State University (BSU) and Michigan State University (MSU)] in which
participants wore a GENEActiv accelerometer on the left wrist while performing
sedentary, lifestyle, ambulatory, and exercise activities in simulated free
living settings. Activity intensity was determined via direct observation. Four
machine learning models (plus 2 combination methods) and six feature sets were
used to predict activity intensity (30-s intervals) with the accelerometer data.
Leave-one-out cross-validation and out-of-sample testing were performed to
evaluate accuracy in activity intensity prediction, and classification accuracies
were used to determine differences among feature sets and machine learning
models. In out-of-sample testing, the random forest model (77.3-78.5%) had higher
accuracy than other machine learning models (70.9-76.4%) and accuracy similar to
combination methods (77.0-77.9%). Feature sets utilizing frequency-domain
features had improved accuracy over other feature sets in leave-one-out cross
validation (92.6-92.8% vs. 87.8-91.9% in MSU data set; 79.3-80.2% vs. 76.7-78.4%
in BSU data set) but similar or worse accuracy in out-of-sample testing (74.0
77.4% vs. 74.1-79.1% in MSU data set; 76.1-77.0% vs. 75.5-77.3% in BSU data set).
All machine learning models outperformed the euclidean norm minus one/GGIR method
in out-of-sample testing (69.5-78.5% vs. 53.6-70.6%). From these results, we
recommend out-of-sample testing to confirm generalizability of machine learning
models. Additionally, random forest models and feature sets with only time-domain
features provided the best accuracy for activity intensity prediction from a
wrist-worn accelerometer. NEW & NOTEWORTHY This study includes in-sample and out
of-sample cross-validation of an alternate method for deriving meaningful
physical activity outcomes from accelerometer data collected with a wrist-worn
accelerometer. This method uses machine learning to directly predict activity
intensity. By so doing, this study provides a classification model that may avoid
high errors present with energy expenditure prediction while still allowing
researchers to assess adherence to physical activity guidelines.
PMID- 29369743
TI - Rate of Symptomatic Intracerebral Hemorrhage Related to Intravenous tPA
Administered Over Telestroke Within 4.5-Hour Window.
AB - BACKGROUND: Intravenous tissue plasminogen activator (tPA) remains the
cornerstone medical treatment for acute ischemic stroke. The establishment of
telestroke technology has allowed patients presenting to hospitals that lack
expert stroke care to be evaluated and receive tPA. The safety of tPA
administered through telestroke has been evaluated only when tPA is given within
the 3-h window of last known normal. The purpose of this study is to evaluate the
safety of tPA when administered through telestroke within a 4.5-h window.
METHODS: A retrospective analysis on the prospectively collected database for all
patients who received tPA at the Medical University of South Carolina
Comprehensive Stroke Center (MUSC) (hub), as well as the MUSC telestroke network
partner hospitals (spokes), was performed. Collected data included demographics,
baseline characteristics, time from last known well to tPA administration, and
symptomatic intracerebral hemorrhage (sICH) rates. Logistic regression was used
to examine the odds of a sICH in patients at spoke sites compared with the hub
controlling for patient stroke severity, gender, age, and race. RESULTS: A total
of 830 patients were identified. Median National Institute of Health Stroke Scale
was significantly higher among patients treated at the hub (9 vs. 8, p = 0.013),
and the hub treated a higher percentage of nonwhite patients (p = 0.039). sICH
occurred in 27 (4.8%) in the spoke group and 10 (3.8%) in the hub group (p =
0.523). Logistic regression results found no significant difference in the odds
of sICH if tPA is given in a spoke site. CONCLUSIONS: Our study shows similar
rates of sICH when intravenous tPA is administered at spokes through telestroke
network compared with the hub.
PMID- 29369745
TI - Lipid Nanoparticle Packaging Is an Effective and Nontoxic mRNA Delivery Platform
in Embryonic Zebrafish.
AB - Lipid nanoparticles (LNPs) are an attractive platform for the delivery of
therapeutic RNA molecules because LNPs are versatile, have been validated in
clinical trials, and are well tolerated. Here, we test whether LNPs can be used
to deliver a reporter green fluorescent protein (gfp) mRNA to different tissues
in zebrafish embryos. We show that LNP-packaged gfp mRNA can be delivered,
through injection, and taken up by cells in multiple tissues in zebrafish embryos
without any apparent detrimental effects on embryonic health or survival.
Zebrafish embryos injected with LNP-packaged gfp mRNA show subsequent GFP
expression in neural, vascular, cardiac, and skeletal muscle tissue, depending on
injection site. In contrast, comparable naked (nonpackaged) gfp mRNA injections
lead to little or no GFP expression. This study shows that LNPs can be used as an
mRNA delivery platform in zebrafish and thus provides a basis for testing the
therapeutic functions of LNP-packaged candidate mRNAs in the increasingly diverse
array of zebrafish disease models.
PMID- 29369744
TI - Changes to Extender, Cryoprotective Medium, and In Vitro Fertilization Improve
Zebrafish Sperm Cryopreservation.
AB - Sperm cryopreservation is a highly efficient method for preserving genetic
resources. It extends the reproductive period of males and significantly reduces
costs normally associated with maintenance of live animal colonies. However,
previous zebrafish (Danio rerio) cryopreservation methods have produced variable
outcomes and low post-thaw fertilization rates. To improve post-thaw
fertilization rates after cryopreservation, we developed a new extender and
cryoprotective medium (CPM), introduced quality assessment (QA), determined the
optimal cooling rate, and improved the post-thaw in vitro fertilization process.
We found that the hypertonic extender E400 preserved motility of sperm held on
ice for at least 6 h. We implemented QA by measuring sperm cell densities with a
NanoDrop spectrophotometer and sperm motility with computer-assisted sperm
analysis (CASA). We developed a CPM, RMMB, which contains raffinose, skim milk,
methanol, and bicine buffer. Post-thaw motility indicated that the optimal
cooling rate in two types of cryogenic vials was between 10 and 15 degrees C/min.
Test thaws from this method produced average motility of 20% +/- 13% and an
average post-thaw fertilization rate of 68% +/- 16%.
PMID- 29369746
TI - Re: Trends in the Prevalence of Severe Obesity and Bariatric Surgery Access: A
State-Level Analysis from 2011 to 2014(J Laparoendosc Adv Surg Tech A 2017;27:669
675).
PMID- 29369747
TI - Survival of Bacterial and Parasitic Pathogens from Zebrafish (Danio rerio) After
Cryopreservation and Thawing.
AB - Cryopreservation is a common method used to preserve the sperm of various animal
species, and it is widely used with zebrafish (Danio rerio). As with other
animals, there is a possibility of paternal pathogen transmission through sperm.
We evaluated the ability of five common and important pathogens of zebrafish to
survive cryopreservation as used with zebrafish sperm and freezing without
cryopreservant. We evaluated Mycobacterium chelonae, Mycobacterium marinum, and
Edwardsiella ictaluri, each originally isolated from zebrafish, eggs of
Pseuodocapillaria tomentosa, and spores of Pseudoloma neurophilia. Each
mycobacterial isolate showed relatively minimal reduction in survival after
freezing and thawing, particularly when subjected to cryopreservation. E.
ictaluri also showed survival after cryopreservation, but exhibited a several log
reduction after freezing at -80 degrees C without cryopreservant. With P.
neurophilia, two separate experiments conducted 3 years apart yielded very
similar results, showing some, but reduced, survival of spores by using three
different viability assays: SYTOX stain, Fungi-Fluor stain, and presence of a
spore vacuole. Eggs of P. tomentosa showed no survival based on larvation of eggs
when subjected to either freezing method. Given that four of the five pathogens
exhibited survival after cryopreservation, we recommend that sperm samples or
donor male zebrafish fish be tested for pathogens when sperm are to be stored by
using cryopreservation.
PMID- 29369748
TI - Modulation of Cortisol Responses to an Acute Stressor in Zebrafish Visually
Exposed to Heterospecific Fish During Development.
AB - Studies regarding predator-prey relationships have often focused on prey
assessment and the responses to predation risk, but few have verified the
relationship in the presence or absence of visual contact with a predator (e.g.,
tiger oscar, Astronotus ocellatus) or a nonpredator (e.g., goldfish, Carassius
auratus) during the developmental phase, which could alter several physiological
and neuroendocrine mechanisms in adulthood. Herein, we determined responses to
physical (chasing with a net) and biological stressors (visualization to
predator) in adult zebrafish raised in visual contact with a predator or
nonpredator fish. We demonstrated that adult naive zebrafish show a more intense
cortisol stress response than fish housed in visual contact with the stimulus
fish (predator or nonpredator) when larvae, and that this alteration is related
with movement specificity of the stimulus fish.
PMID- 29369749
TI - Calorie Labeling Promotes Dietary Self-Control by Shifting the Temporal Dynamics
of Health- and Taste-Attribute Integration in Overweight Individuals.
AB - Understanding why people make unhealthy food choices and how to promote healthier
choices is critical to prevent obesity. Unhealthy food choices may occur when
individuals fail to consider health attributes as quickly as taste attributes in
their decisions, and this bias may be modifiable by health-related external cues.
One hundred seventy-eight participants performed a mouse-tracking food-choice
task with and without calorie information. With the addition of calorie
information, participants made healthier choices. Without calorie information,
the initial integration of health attributes in overweight individuals' decisions
was about 230 ms delayed relative to the taste attributes, but calorie labeling
promoted healthier choices by speeding up the integration of health attributes
during a food-choice task. Our study suggests that obesogenic choices are related
to the relative speed with which taste and health attributes are integrated into
the decision process and that this bias is modifiable by external health-related
cues.
PMID- 29369750
TI - Opinion: Making Inactivated and Subunit-Based Vaccines Work.
AB - Empirically derived vaccines have in the past relied on the isolation and growth
of disease-causing microorganisms that are then inactivated or attenuated before
being administered. This is often done without prior knowledge of the mechanisms
involved in conferring protective immunity. Recent advances in scientific
technologies and in our knowledge of how protective immune responses are induced
enable us to rationally design novel and safer vaccination strategies. Such
advances have accelerated the development of inactivated whole-organism- and
subunit-based vaccines. In this review, we discuss ideal attributes and criteria
that need to be considered for the development of vaccines and some existing
vaccine platforms. We focus on inactivated vaccines against influenza virus and
ways by which vaccine efficacy can be improved with the use of adjuvants and Toll
like receptor-2 signaling.
PMID- 29369751
TI - Extent of BOLD Vascular Dysregulation Is Greater in Diffuse Gliomas without
Isocitrate Dehydrogenase 1 R132H Mutation.
AB - Purpose To determine the effect that R132H mutation status of diffuse glioma has
on extent of vascular dysregulation and extent of residual blood oxygen level
dependent (BOLD) abnormality after surgical resection. Materials and Methods This
study was an institutional review board-approved retrospective analysis of an
institutional database of patients, and informed consent was waived. From 2010 to
2017, 39 treatment-naive patients with diffuse glioma underwent preoperative echo
planar imaging and BOLD functional magnetic resonance imaging. BOLD vascular
dysregulation maps were made by identifying voxels with time series similar to
tumor and dissimilar to healthy brain. The spatial overlap between tumor and
vascular dysregulation was characterized by using the Dice coefficient, and areas
of BOLD abnormality outside the tumor margins were quantified as BOLD-only
fraction (BOF). Linear regression was used to assess effects of R132H status on
the Dice coefficient, BOF, and residual BOLD abnormality after surgical
resection. Results When compared with R132H wild-type (R132H-) gliomas, R132H
mutated (R132H+) gliomas showed greater spatial overlap between BOLD abnormality
and tumor (mean Dice coefficient, 0.659 +/- 0.02 [standard error] for R132H+ and
0.327 +/- 0.04 for R132H-; P < .001), less BOLD abnormality beyond the tumor
margin (mean BOF, 0.255 +/- 0.03 for R132H+ and 0.728 +/- 0.04 for R132H-; P <
.001), and less postoperative BOLD abnormality (residual fraction, 0.046 +/-
0.0047 for R132H+ and 0.397 +/- 0.045 for R132H-; P < .001). Receiver operating
characteristic curve analysis showed high sensitivity and specificity in the
discrimination of R132H+ tumors from R132H- tumors with calculation of both Dice
coefficient and BOF (area under the receiver operating characteristic curve,
0.967 and 0.977, respectively). Conclusion R132H mutation status is an important
variable affecting the extent of tumor-associated vascular dysregulation and the
residual vascular dysregulation after surgical resection. (c) RSNA, 2018 Online
supplemental material is available for this article.
PMID- 29369752
TI - Fluorine MR Imaging Monitoring of Tumor Inflammation after High-Intensity Focused
Ultrasound Ablation.
AB - Purpose To investigate whether high-intensity focused ultrasound (HIFU)-induced
macrophage infiltration could be longitudinally monitored with fluorine 19 (19F)
magnetic resonance (MR) imaging in a quantitative manner. Materials and Methods
BALB/c mice were subcutaneously inoculated with 4T1 cells and were separated into
three groups: untreated mice (control, n = 9), HIFU-treated mice (HIFU, n = 9),
and HIFU- and clodronate-treated mice (HIFU+Clod, n = 9). Immediately after HIFU
treatment, all mice were intravenously given perfluorocarbon (PFC) emulsion. MR
imaging examinations were performed 2, 4, 7, 10, and 14 days after HIFU
treatment. Two-way repeated measures analysis of variance was used to analyze the
changes in 19F signal over time and differences between groups. Histologic
examinations were performed to confirm in vivo data. Results Fluorine 19 signals
were detected at the rims of tumors and the peripheries of ablated lesions. Mean
19F signal in tumors was significantly higher in HIFU-treated mice than in
control mice up to day 4 (0.82 +/- 0.26 vs 0.42 +/- 0.17, P < .001). Fluorine 19
signals were higher in the HIFU+Clod group than in the control group from day 4
(0.82 +/- 0.23, P < .001) to day 14 (0.55 +/- 0.16 vs 0.28 +/- 0.06, P < .05).
Histologic examination revealed macrophage infiltration around ablated lesions.
Immunofluorescence staining confirmed PFC labeling of macrophages. Conclusion
Fluorine 19 MR imaging can longitudinally capture and quantify HIFU-induced
macrophage infiltration in preclinical tumor models. (c) RSNA, 2018 Online
supplemental material is available for this article.
PMID- 29369753
TI - The Incidental Splenic Mass at CT: Does It Need Further Work-up? An Observational
Study.
AB - Purpose To evaluate whether an incidentally noted splenic mass at abdominal
computed tomography (CT) requires further imaging work-up. Materials and Methods
In this institutional review board-approved HIPAA-compliant retrospective study,
a search of a CT database was performed for patients with splenic masses at CT
examinations of the abdomen and chest from 2002 to 2008. Patients were divided
into three groups: group 1, patients with a history of malignancy; group 2,
patients with symptoms such as weight loss, fever, or pain related to the left
upper quadrant and epigastrium; and group 3, patients with incidental findings.
Patients' CT scans, follow-up examinations, and electronic medical records were
reviewed. Final diagnoses of the causes of the masses were confirmed with imaging
follow-up (83.9%), clinical follow-up (13.7%), and pathologic examination (2.4%).
Results This study included 379 patients, 214 (56.5%) women and 165 (43.5%) men,
with a mean age +/- standard deviation of 59.3 years +/- 15.3 (range, 21-97
years). There were 145 (38.3%) patients in the malignancy group, 29 (7.6%)
patients in the symptomatic group, and 205 (54.1%) patients in the incidental
group. The incidence of malignant splenic masses was 49 of 145 (33.8%) in the
malignancy group, eight of 29 (27.6%) in the symptomatic group, and two of 205
(1.0%) in the incidental group (P < .0001). The incidental group consisted of new
diagnoses of lymphoma in one (50%) patient and metastases from ovarian carcinoma
in one (50%) patient. Malignant splenic masses in the incidental group were not
indeterminate, because synchronous tumors in other organs were diagnostic of
malignancy. Conclusion In an incidental splenic mass, the likelihood of
malignancy is very low (1.0%). Therefore, follow-up of incidental splenic masses
may not be indicated. (c) RSNA, 2018.
PMID- 29369754
TI - Bimodal Seasonality and Alternating Predominance of Norovirus GII.4 and Non
GII.4, Hong Kong, China, 2014-20171.
AB - We report emerging subtropical bimodal seasonality and alternating predominance
of norovirus GII.4 and non-GII.4 genotypes in Hong Kong. GII.4 predominated in
summer and autumn months and affected young children, whereas emergent non-GII.4
genotypes predominated in winter months and affected all age groups. This highly
dynamic epidemiology should inform vaccination strategies.
PMID- 29369755
TI - Implementing Key Drivers for Diabetes Self-Management Education and Support
Programs: Early Outcomes, Activities, Facilitators, and Barriers.
PMID- 29369756
TI - Coordinated Approaches to Strengthen State and Local Public Health Actions to
Prevent Obesity, Diabetes, and Heart Disease and Stroke.
PMID- 29369757
TI - Telemedicine in the Management of Type 1 Diabetes.
AB - BACKGROUND: Veterans with type 1 diabetes who live in rural Alabama and Georgia
face barriers to receiving specialty diabetes care because of a lack of
endocrinologists in the Central Alabama Veterans Health Care System. Telemedicine
is a promising solution to help increase access to needed health care. We
evaluated telemedicine's effectiveness in delivering endocrinology care from
Atlanta-based endocrinologists. METHODS: We conducted a retrospective chart
review of patients who were enrolled in the Atlanta VAMC Endocrinology Telehealth
Clinic from June 2014 to October 2016. Outcomes of interest were hemoglobin A1c
levels, changes in glycemic control, time savings for patients, cost savings for
the US Veterans Health Administration, appointment adherence rates, and patient
satisfaction with telehealth. RESULTS: Thirty-two patients with type 1 diabetes
received telehealth care and in general received the recommended processes of
diabetes care. Patients trended toward a decrease in mean hemoglobin A1c and
glucose variability and a nonsignificant increase in hypoglycemic episodes.
Patients saved 78 minutes of travel time (one way), and the VA saved $72.94 in
travel reimbursements per patient visit. Patients adhered to 88% of scheduled
telehealth appointments on average, and 100% of surveyed patients stated they
would recommend telehealth to other veterans. CONCLUSIONS: Specialty diabetes
care delivered via telemedicine was safe and was associated with time savings,
cost savings, high appointment adherence rates, and high patient satisfaction.
Our findings support growing evidence that telemedicine is an effective
alternative method of health care delivery.
PMID- 29369758
TI - Identifying Financially Sustainable Pricing Interventions to Promote Healthier
Beverage Purchases in Small Neighborhood Stores.
AB - INTRODUCTION: Residents of low-income communities often purchase sugar-sweetened
beverages (SSBs) at small, neighborhood "corner" stores. Lowering water prices
and increasing SSB prices are potentially complementary public health strategies
to promote more healthful beverage purchasing patterns in these stores.
Sustainability, however, depends on financial feasibility. Because in-store
pricing experiments are complex and require retailers to take business risks, we
used a simulation approach to identify profitable pricing combinations for corner
stores. METHODS: The analytic approach was based on inventory models, which are
suitable for modeling business operations. We used discrete-event simulation to
build inventory models that use data representing beverage inventory, wholesale
costs, changes in retail prices, and consumer demand for 2 corner stores in
Baltimore, Maryland. Model outputs yielded ranges for water and SSB prices that
increased water demand without loss of profit from combined water and SSB sales.
RESULTS: A 20% SSB price increase allowed lowering water prices by up to 20%
while maintaining profit and increased water demand by 9% and 14%, for stores
selling SSBs in 12-oz cans and 16- to 20-oz bottles, respectively. Without
changing water prices, profits could increase by 4% and 6%, respectively.
Sensitivity analysis showed that stores with a higher volume of SSB sales could
reduce water prices the most without loss of profit. CONCLUSION: Various
combinations of SSB and water prices could encourage water consumption while
maintaining or increasing store owners' profits. This model is a first step in
designing and implementing profitable pricing strategies in collaboration with
store owners.
PMID- 29369759
TI - Sub-County Life Expectancy: A Tool to Improve Community Health and Advance Health
Equity.
AB - Compared with people in other developed countries, Americans live shorter lives,
have more disease and disability, and lag on most population health measures.
Recent research suggests that this poor comparative performance is primarily
driven by profound local place-based disparities. Several initiatives
successfully used sub-county life expectancy estimates to identify geographic
disparities, generate widespread interest, and catalyze multisector actions. To
explore the feasibility of scaling these efforts, the Centers for Disease Control
and Prevention and the Council of State and Territorial Epidemiologists initiated
a multiphase project - the Sub-County Assessment of Life Expectancy. Phase I
participants reviewed the literature, assessed and identified appropriate tools,
calculated locally relevant estimates, and developed methodological guidance.
Phase I results suggest that most state and local health departments will be able
to calculate actionable sub-county life expectancy estimates despite varying
resources, expertise, and population sizes, densities, and geographies. To
accelerate widespread scaling, we describe several successful case examples,
identify user-friendly validated tools, and provide practical tips that resulted
from lessons learned.
PMID- 29369760
TI - Parity Could Use Some Fixing, But at Least It Won't Be Dismantled.
AB - Nonquantitative treatment limitations may be why care for mental health and
substance abuse disorders isn't keeping up with coverage gains.
PMID- 29369761
TI - Is CAR-T Really Putting Us On Road to Gene Therapy?
AB - Some say gene editing platforms like CRISPR are a truer version of gene therapy
because they are designed to home in on a particular genomic location.
PMID- 29369762
TI - Optum's Lisa Tseng Keep Entrepreneurial Ball Rolling.
AB - The 37-year-old has a title: executive vice president of Optum. But she wears
many hats, including running a division that sells affordable hearing aids.
PMID- 29369763
TI - Underused Weapon In the War on Addiction.
AB - Buprenorphine could save thousands more lives than it does-if it weren't for
legal barriers, a fear of disruptive patients, and insurance red tape. And it can
be prescribed in the primary care physician's office.
PMID- 29369764
TI - The Show-me State Shows the Way On 'Health Homes' for Mental Health.
AB - The medical home model for delivering health care is getting tested for people
with mental health problems. Missouri has been a pacesetter.
PMID- 29369765
TI - Shrinking the Psychiatrist Shortage.
AB - Demand for mental health services outstrips the supply of psychiatrists.
Aggravating the situation: Many psychiatrists don't take insurance. Nurse
practitioners and physician assistants are beginning to fill the gap.
PMID- 29369766
TI - Q&A: A Conversation with Patrick J. Kennedy. Parity Advocate Sees More Talk Than
Action.
AB - Insurers are playing "small ball" and not showing leadership, says the former
congressman. And some "spin-dry" inpatient providers are doing more harm than
good in combating the opioid epidemic. Meanwhile, Kennedy, who chronicled his own
harrowing mental health and addiction struggles in a 2015 memoir, says he has
been sober for more than six years.
PMID- 29369767
TI - Viewpoint: Stop Fooling Around Re: Population Health.
PMID- 29369768
TI - Biosimilars for Rheumatoid Arthritis: Don't Count Them Out Quite Yet.
AB - Because physicians and health plan members both value choice, the current weak
market for Inflectra and Renflexis could be a passing phase.
PMID- 29369769
TI - Who Pays To Care for the Seriously Ill? Maybe It's Insurance Companies.
AB - Palliative care would fill a need and could save health care dollars in the
process. But providers often need to patch together CPT codes to get paid for it.
PMID- 29369770
TI - Two New Drugs for Tardive Dyskinesia Hit the Market.
AB - Ingrezza and Austedo were approved last year. ICER calculations raise questions
about their price.
PMID- 29369771
TI - Interoperability of Electronic Health Records: A Physician-Driven Redesign.
AB - PURPOSE: Electronic health records (EHRs), now used by hundreds of thousands of
providers and encouraged by federal policy, have the potential to improve quality
and decrease costs in health care. But interoperability, although technically
feasible among different EHR systems, is the weak link in the chain of logic.
Interoperability is inhibited by poor understanding, by suboptimal
implementation, and at times by a disinclination to dilute market share or
patient base on the part of vendors or providers, respectively. The intent of
this project has been to develop a series of practicable recommendations that, if
followed by EHR vendors and users, can promote and enhance interoperability,
helping EHRs reach their potential. METHODOLOGY: A group of 11 physicians, one
nurse, and one health policy consultant, practicing from California to
Massachusetts, has developed a document titled "Feature and Function
Recommendations To Optimize Clinician Usability of Direct Interoperability To
Enhance Patient Care" that offers recommendations from the clinician point of
view. This report introduces some of these recommendations and suggests their
implications for policy and the "virtualization" of EHRs.CONCLUSION: Widespread
adoption of even a few of these recommendations by designers and vendors would
enable a major advance toward the "Triple Aim" of improving the patient
experience, improving the health of populations, and reducing per capita costs.
PMID- 29369772
TI - Polymorphisms in folate metabolism genes are associated with susceptibility to
presbycusis.
AB - AIM: Presbycusis or age related hearing loss is caused by several extrinsic and
intrinsic factors that damage the auditory system. Gene polymorphisms in folate
metabolism were found to play an important role in the etiology of presbycusis.
The present study aimed to investigate the role of 5,10-methylenetetrahydrofolate
reductase (MTHFR), methionine synthase (MTR) and thymidylate synthase (TYMS) gene
polymorphisms in the onset of presbycusis in a South Indian population. MAIN
METHODS: A total of 220 subjects confirmed with presbycusis along with 270 age
and sex matched healthy controls visiting MAA ENT Hospitals, Hyderabad, India
were enrolled for the study. Genotyping of MTHFR C677T (rs180133) and A1298C
(rs1801131), MTR A2756G (rs1805087), TSER (rs1801136) and TS1494indel6 bp
(rs16430) was carried out using PCR & PCR-RFLP methods. KEY FINDINGS: The 'TT'
genotype of MTHFR C677T and '152 bp/152 bp' genotype of TS1494indel6 bp showed
statistically significant risk for presbycusis while CC genotype of MTHFR A1298C,
'2R/2R' genotype of TSER at 3'UTR and 6 bp ins/6 bp ins of TYMS at 5'UTR were
found to be protective. The T-A-A haplotype combination of MTHFR C677T, MTHFR
A1298C and MTR A2756G as well as 3R- 152 bp of TYMS at 5'UTR and 3'UTR were also
found to contribute significant risk for the onset of presbycusis. Further, the
combination of SNP loci TSER: TS1494indel6 bp exhibited moderate linkage in
presbycusis. SIGNIFICANCE: The present pilot study identified the significant
association of gene variants of MTHFR and TYMS with presbycusis. These findings
aid in early diagnosis of hearing loss in the elderly population.
PMID- 29369773
TI - The Reticulum-Associated Protein RTN1A Specifically Identifies Human Dendritic
Cells.
AB - RTN1 is an endoplasmic reticulum-associated protein that was initially identified
in neuronal tissues. Here we show that the main isoform RTN1A is a marker for
dendritic cells. In the skin, HLA-DR+CD1ahighCD207+CD11cweak Langerhans cells
were the only cells in the epidermis, and HLA-DR+CD11c+ dendritic cells were the
main cells in the dermis, expressing this protein. RTN1A+ dendritic cells were
also found in gingiva, trachea, tonsil, thymus, and peripheral blood. During
differentiation of MUTZ-3 cells into Langerhans cells, expression of RTN1A mRNA
and protein preceded established Langerhans cell markers CD1a and CD207, and
RTN1A protein partially co-localized with the endoplasmic reticulum marker
protein disulfide isomerase. In line with this observation, we found that RTN1A
was expressed by around 80% of Langerhans cell precursors in human embryonic
skin. Our findings show that RTN1A is a marker for cells of the dendritic
lineage, including Langerhans cells and dermal dendritic cells. This unexpected
finding will serve as a starting point for the elucidation of the, until now,
elusive functional roles of RTN1A in both the immune and the nervous system.
PMID- 29369774
TI - Cyclosporine for Epidermal Necrolysis: Absence of Beneficial Effect in a
Retrospective Cohort of 174 Patients-Exposed/Unexposed and Propensity Score
Matched Analyses.
AB - Cyclosporine has shown promising results for mortality in patients with Stevens
Johnson syndrome/toxic epidermal necrolysis. However, available studies included
only a small number of patients and did not include a validated and homogenous
control group. We present the results from a retrospective monocentric study
including 174 patients with Stevens-Johnson syndrome/toxic epidermal necrolysis
during 2005-2016. Among them, 95 received cyclosporine (3 mg/kg/day) plus
supportive care, and 79 received supportive care only. Both a traditional
exposed/unexposed method and a propensity score-matching method were used to
compare the progression of skin detachment between day 0 and day 5, the
proportion of patients with cutaneous re-epithelialization starting on day 5 or
mucosal re-epithelialization on day 10, the duration of progression, and the
number of deaths between the two groups. None of these outcomes significantly
favored cyclosporine, either by the exposed/unexposed method or the propensity
score method. Acute renal failure affected more patients receiving cyclosporine
(P = 0.05). Overall, the results of this epidemiological study did not show a
beneficial effect of cyclosporine in patients with Stevens-Johnson syndrome/toxic
epidermal necrolysis. They are discordant with those previously published. The
large number of patients and the use of a propensity score method provide
valuable insights. The main limitation of the study is the lack of randomization.
PMID- 29369775
TI - Intestinal IFN-gamma-producing type 1 regulatory T cells coexpress CCR5 and
programmed cell death protein 1 and downregulate IL-10 in the inflamed guts of
patients with inflammatory bowel disease.
AB - BACKGROUND: IL-10 is an anti-inflammatory cytokine required for intestinal immune
homeostasis. It mediates suppression of T-cell responses by type 1 regulatory T
(TR1) cells but is also produced by CD25+ regulatory T (Treg) cells. OBJECTIVE:
We aimed to identify and characterize human intestinal TR1 cells and to
investigate whether they are a relevant cellular source of IL-10 in patients with
inflammatory bowel diseases (IBDs). METHODS: CD4+ T cells isolated from the
intestinal lamina propria of human subjects and mice were analyzed for phenotype,
cytokine production, and suppressive capacities. Intracellular IL-10 expression
by CD4+ T-cell subsets in the inflamed guts of patients with IBD (Crohn disease
or ulcerative colitis) was compared with that in cells from noninflamed control
subjects. Finally, the effects of proinflammatory cytokines on T-cell IL-10
expression were analyzed, and IL-1beta and IL-23 responsiveness was assessed.
RESULTS: Intestinal TR1 cells could be identified by coexpression of CCR5 and
programmed cell death protein 1 (PD-1) in human subjects and mice. CCR5+PD-1+ TR1
cells expressed IFN-gamma and efficiently suppressed T-cell proliferation and
transfer colitis. Intestinal IFN-gamma+ TR1 cells, but not IL-7 receptor-positive
TH cells or CD25+ Treg cells, showed lower IL-10 expression in patients with
IBDs. TR1 cells were responsive to IL-23, and IFN-gamma+ TR1 cells downregulated
IL-10 with IL-1beta and IL-23. Conversely, CD25+ Treg cells expressed higher
levels of IL-1 receptor but showed stable IL-10 expression. CONCLUSIONS: We
provide the first ex vivo characterization of human intestinal TR1 cells.
Selective downregulation of IL-10 by IFN-gamma+ TR1 cells in response to
proinflammatory cytokines is likely to drive excessive intestinal inflammation in
patients with IBDs.
PMID- 29369776
TI - Successful treatment of Marburg virus with orally administrated T-705
(Favipiravir) in a mouse model.
AB - Filoviruses, such as Marburg and Ebola viruses, cause severe disease in humans
with high case fatality rates and are therefore considered biological threat
agents. To date, no licensed vaccine or therapeutic exists for their treatment. T
705 (favipiravir) is a pyrazinecarboxamide derivative that has shown broad
antiviral activity against a number of viruses and is clinically licenced in
Japan to treat influenza. Here we report the efficacy of T-705 against Marburg
virus infection in vitro and in vivo. Notably, oral administration of T-705
beginning one or two days post-infection and continuing for eight days resulted
in complete survival of mice that had been intraperitoneally infected with mouse
adapted Marburg virus (variant Angola). Moreover, lower doses of T-705 and higher
doses administered later during infection (day 3 or 4 post-infection) showed
partial efficacy, with at least half the infected mice surviving. Accordingly, we
observed reductions in infectious virus particles and virus RNA levels following
drug treatment that appeared to correlate with survival. Our findings suggest
that T-705 may be an effective therapeutic against Marburg virus and might be
especially promising for use in the event of an outbreak, where it could be
orally administered quickly and safely even after exposure.
PMID- 29369777
TI - Hippocampal astrocyte atrophy in a mouse depression model induced by
corticosterone is reversed by fluoxetine instead of benzodiazepine diazepam.
AB - Astrocytes have become promising new agents against major depressive disorders
(MDD) primarily due to the crucial role they play in the pathogenesis of such
disorders. However, a simple and reliable animal model that can be used to screen
for astrocyte-targeting antidepressants has not yet been developed. In this
study, we utilized a repeated corticosterone (CORT) injection paradigm to develop
a mouse depression model wherein we examined the occurrence of alterations in
hippocampal astrocyte population by using two astrocytic markers, namely, glial
fibrillary acidic protein (GFAP) and S100beta. Moreover, we determined the
effects of fluoxetine and diazepam on CORT-induced astrocytic alterations to
assess the predictive validity. Results showed that repeated CORT injections
showed no effects on the number of GFAP+ and S100beta+ astrocytes, but they
decreased the protrusion length of GFAP+ astrocytes and GFAP protein expression
in the hippocampus. Furthermore, repeated CORT injections produced a sustained
increase of S100beta protein levels in the entire hippocampus of male mice. CORT
induced hippocampal astrocyte disruption was antagonized by chronic fluoxetine
treatment. By contrast, the anxiolytic drug diazepam was ineffective in the same
experimental setting. All these findings suggest that the repeated CORT injection
paradigm produces the astrocytic alterations similar to those in MDD and can
serve as a useful mouse model to screen antidepressants meant to target
astrocytes. These observations can also help in further discussing the underlying
mechanisms of CORT-induced astrocytic alterations.
PMID- 29369778
TI - Reinterpreting polarity and cancer: The changing landscape from tumor suppression
to tumor promotion.
AB - Cell polarity is a fundamental property used to generate asymmetry and structure
in all cells. Cancer is associated with loss of cell and tissue structure. While
observations made in model system such as Drosophila, identify polarity
regulators as tumor suppressors that cause inappropriate cell division, studies
in mammalian epithelia do not always support such a causative contribution. Our
analysis of published cancer dataset shows that many polarity genes, including
PARD6B, SCRIB, PRKCI, DLG1, DLG2, DLG5 and LLGL2, are frequently amplified in
multiple cancers raising the possibility that mammalian epithelia may have
evolved to use polarity proteins in multiple ways where they may have tumor
promoting functions. In this review, we reinterpret the published results and
propose a modified perspective for the role of polarity regulators in cancer
biology. In addition to the traditional form of cell polarity, which is involved
establishment of maintenance of normal cell structure and asymmetry, we propose
that some mammalian polarity proteins also regulate subcellular polarity
(intracellular asymmetry), which can improve cellular fitness to carry out
functions such as proliferation, apoptosis, stress adaptation, stemness and
organelle biology. Here, we define subcellular polarity and discuss evidence that
supports a role for subcellular polarity in biology.
PMID- 29369780
TI - Cell-specific and pH-sensitive nanostructure hydrogel based on chitosan as a
photosensitizer carrier for selective photodynamic therapy.
AB - The major problems of porphyrins as promising materials for photodynamic therapy
(PDT) are their low solubility, subsequently aggregation in biological
environments, and a lack of tumor selectivity. With this in mind, a chitosan
based hydrogel conjugated with tetrakis(4-aminophenyl)porphyrin (NH2-TPP) and
2,4,6-tris(p-formylphenoxy)-1,3,5-triazine (TRIPOD) via Schiff base linkage,
functionalized with folate was designed and synthesized as a pH-sensitive, self
healable and injectable targeted PS delivery system. This new hydrogel was
characterized by FT-IR, 1H NMR, SEM, UV-vis, fluorescence spectroscopy and zeta
potential. Formation of imine bonds with the aldehyde group of TRIPOD and amine
group of NH2-TPP and chitosan, as a dynamic connection, was approved by
rheological analysis. Spectroscopic characterizations revealed that aggregation
of porphyrin in aqueous media was eliminated due to diminished pi stacking
interaction of porphyrin in 3D cross-linked hydrogel structure. Hydrogel 3D
microporous structure efficiently transfers the excitation energy to the
porphyrin unit, yielding improvement singlet oxygen releases. Cytotoxicity and
phototoxicity analysis of the CS/NH2-TPP/FA hydrogels indicating an excellent
capability to kill cancer cells selectively and prevent damage to normal cells.
This work presents a new and efficient model for the preparation of highly
efficient and targeting photosensitizer delivery system.
PMID- 29369781
TI - Incorporation of gelatin microparticles on the formation of adipose-derived stem
cell spheroids.
AB - The three-dimensional (3D) cell culture method provides a physiological
environment that is similar to the in vivo conditions. The spheroid culture
method is a 3D cell-culture approach that facilitates aggregation and interaction
of cells with other adjacent cells to establish a microenvironment in vitro. In
this study, gelatin microparticles (GMPs) were introduced into spheroids to
enhance stem cell proliferation and viability. GMPs with different sizes and
numbers were prepared to investigate their corresponding effects on cell
proliferation. To investigate the effect of surface charge on the properties of
spheroids, positively and negatively charged GMPs were also prepared by
conjugating poly-amino acids on the surfaces of the GMPs. GMPs were successfully
incorporated into adipose-derived stem cells (ASCs) to form spheroids. The
results revealed no cytotoxicity in all treatment groups. Spheroids combined with
GMPs showed higher proliferation potential compared to cell spheroids alone,
suggesting that GMP/cell spheroids can be used for efficient delivery of stem
cells to defect sites without the need for surgery, thereby highlighting the
potential use of GMP/cell spheroids for stem cell therapy.
PMID- 29369779
TI - EphB4: A promising target for upper aerodigestive malignancies.
AB - The erythropoietin-producing hepatocellular carcinoma (Eph) receptors are the
largest family of receptor tyrosine kinases (RTKs) that include two major
subclasses, EphA and EphB. They form an important cell communication system with
critical and diverse roles in a variety of biological processes during embryonic
development. However, dysregulation of the Eph/ephrin interactions is implicated
in cancer contributing to tumour growth, metastasis, and angiogenesis. Here, we
focus on EphB4 and review recent developments in elucidating its role in upper
aerodigestive malignancies to include lung cancer, head and neck cancer, and
mesothelioma. In particular, we summarize information regarding EphB4
structure/function and role in disease pathobiology. We also review the data
supporting EphB4 as a potential pharmacological and immunotherapy target and
finally, progress in the development of new therapeutic strategies including
small molecule inhibitors of its activity is discussed. The emerging picture
suggests that EphB4 is a valuable and attractive therapeutic target for upper
aerodigestive malignancies.
PMID- 29369782
TI - Pharmacological inhibitory profile of TAK-828F, a potent and selective orally
available RORgammat inverse agonist.
AB - Retinoic acid-related orphan receptor gammat (RORgammat) is a key master
regulator of the differentiation and activation of IL-17 producing CD4+ Th17,
CD8+ Tc17 and IL-17/IFN-gamma co-producing cells (Th1/17 cells). These cells play
critical roles in the pathogenesis of autoimmune diseases such as inflammatory
bowel disease and multiple sclerosis. Thus, RORgammat is an attractive target for
the treatment of these diseases. We discovered TAK-828F, an orally available
potent and selective RORgammat inverse agonist. The inhibitory effect on the
activation and differentiation of Th17 cells by TAK-828F was evaluated in mouse
and human primary cells. TAK-828F inhibited IL-17 production from mouse
splenocytes and human peripheral blood mononuclear cells dose-dependently at
concentrations of 0.01-10 MUM without affecting the production of IFN-gamma.
Additionally, TAK-828F strongly inhibited Th17, Tc17 and Th1/17 cells'
differentiation from naive T cells and memory CD4+ T cells at 100 nM without
affecting Th1 cells' differentiation. In addition, TAK-828F improved Th17/Treg
cells' population ratio by inhibiting Th17 cells' differentiation and up
regulating Treg cells. Furthermore, TAK-828F, at 100 nM, reduced the production
of Th17-related cytokines (IL-17, IL-17F and IL-22) without affecting IFN-gamma
production in whole blood. These results demonstrate that TAK-828F has the potent
and selective inhibitory activity against RORgammat both in mouse and human
cells. Additionally, oral administration of TAK-828F showed promising efficacy in
naive T cell transfer mouse colitis model. TAK-828F may provide a novel
therapeutic option to treat immune diseases by inhibiting Th17 and Th1/17 cells'
differentiation and improving imbalance between Th17 and Treg cells.
PMID- 29369783
TI - Equifinality in empirical studies of cultural transmission.
AB - Cultural systems exhibit equifinal behavior - a single final state may be arrived
at via different mechanisms and/or from different initial states. Potential for
equifinality exists in all empirical studies of cultural transmission including
controlled experiments, observational field research, and computational
simulations. Acknowledging and anticipating the existence of equifinality is
important in empirical studies of social learning and cultural evolution; it
helps us understand the limitations of analytical approaches and can improve our
ability to predict the dynamics of cultural transmission. Here, I illustrate and
discuss examples of equifinality in studies of social learning, and how certain
experimental designs might be prone to it. I then review examples of equifinality
discussed in the social learning literature, namely the use of s-shaped diffusion
curves to discern individual from social learning and operational definitions and
analytical approaches used in studies of conformist transmission. While
equifinality exists to some extent in all studies of social learning, I make
suggestions for how to address instances of it, with an emphasis on using data
simulation and methodological verification alongside modern statistical
approaches that emphasize prediction and model comparison. In cases where
evaluated learning mechanisms are equifinal due to non-methodological factors, I
suggest that this is not always a problem if it helps us predict cultural change.
In some cases, equifinal learning mechanisms might offer insight into how both
individual learning, social learning strategies and other endogenous social
factors might by important in structuring cultural dynamics and within- and
between-group heterogeneity.
PMID- 29369784
TI - Impacts of nectar robbing on the foraging ecology of a territorial hummingbird.
AB - While the effects of nectar robbing on plants are relatively well-studied, its
impacts from the perspective of the pollinators of robbed plants is not. Numerous
studies do consider the impacts of robbing on pollinator visitation to robbed
plants, but rarely do they focus on its scaled-up impacts on individual
pollinator behavior. We used radio telemetry to track the spatial and behavioral
responses of the territorial hummingbird Aglaeactis cupripennis to experimental
nectar robbing over a period of several days. Simulated nectar robbing impacted
foraging behavior by increasing territory area, distance flown, and reliance on
novel food resources, especially small-bodied flying insects. We did not observe
any impact on the amount of time individuals spent foraging, nor did we observe
territory abandonment. These findings indicate that nectar robbing may impose a
significant energetic cost on pollinators via increased flight distances and
shifts towards potentially less profitable food resources, and demonstrate the
importance of quantifying the indirect effects of nectar robbing on pollinators
in addition to plants.
PMID- 29369785
TI - Pregnane X receptor mediates sorafenib resistance in advanced hepatocellular
carcinoma.
AB - BACKGROUND: Kinase inhibitor sorafenib is the most widely used drug for advanced
HCC clinical treatment nowadays. However, sorafenib administration is only
effective for a small portion of HCC patients, and the majority develop sorafenib
resistance during treatment. Thus, it is urgent to discover the endogenous
mechanism and identify new pharmaceutical targets of sorafenib-resistance.
METHODS: Pregnane X receptor (PXR) was detected by immunohistochemistry and
quantitative PCR. GST-pull down and LC-MS/MS was used to detect the interaction
of PXR and Sorafenib. To test the properties of HCC tumor growth and metastasis,
in vivo tumor explant model, FACS, trans-well assay, cell-survival inhibitory
assay and Western blot were performed. In terms of mechanistic study, additional
assays such as ChIP and luciferase reporter gene assay were applied. RESULTS: In
the present work, we found high PXR level in clinical specimens is related to the
poor prognosis of Sorafenib treated patients. By the mechanistic studies, we show
that sorafenib binds to PXR and activates PXR pathway, and by which HCC cells
develop sorafenib-resistance via activating. Moreover, PXR overexpression helps
HCC cells to persist to sorafenib treatment. CONCLUSION: This study reports the
endogenous sorafenib-resistance mechanism in HCC cells, which offers an
opportunity to design new therapeutic approaches for HCC treatment. GENERAL
SIGNIFICANCE: PXR mediates sorafenib-resistance in HCC cells and targeting PXR
can be a useful approach to facilitate HCC treatment.
PMID- 29369786
TI - Differential expression of PIWIL2 in papillary thyroid cancers.
AB - Thyroid cancer is the most common type of endocrine malignancy and a leading
cause of death among endocrine organ-related cancers. Similar to other types of
cancers, early diagnosis of thyroid cancer is important to increase the survival
and treatment of this disease. Several immunohistochemical markers are used in
the differential diagnosis of thyroid papillary carcinoma. Also, increasing
evidence indicates that P-element induced wimpy testis like 2 (PIWIL2) is an RNA
binding protein involved in the induction and progression of numerous types of
human malignancies such as lung, breast, colon, prostate and cervix cancers.
However, the role of PIWIL2 was poorly investigated in thyroid cancers.
Accordingly, aim of the present study was to elucidate the relationship between
PIWIL2 and thyroid cancers. The expression level of PIWIL2 was determined by
analyzing both protein and mRNA levels in papillary and micropapillary carcinoma
tissues by using immunohistochemistry and real-time PCR methods, respectively.
Immunohistochemical analysis of HBME-1, galectin-3 and CK-19 was also performed.
Similar to other immune markers of HBME-1, galectin-3 and CK-19, protein
expression levels of PIWIL2 was significantly up-regulated in both papillary and
micropapillary thyroid cancers (p < 0.01). Moreover, consistent with protein
expression levels, mRNA expression levels of PIWIL2 was elevated in both
papillary and micropapillary thyroid cancer tissues. Yet, mRNA expression changes
were statistically insignificant. In conclusion, results of the current study
suggest that PIWIL2 can be involved in thyroid cancer tumorigenesis and can be
used as a novel predictive biomarker and/or therapeutic target.
PMID- 29369787
TI - The influence of peroxisome proliferator-activated receptor gamma (PPARgamma)
ligands on cancer cell tumorigenicity.
AB - Peroxisome proliferator-activated receptor gamma (PPARgamma) belongs to the
nuclear receptor superfamily of PPARs (PPARalpha, PPARbeta/delta, PPARgamma).
Numerous studies have concentrated on the key role of PPARs in inflammation and a
variety of cancers which include prostate, breast, glioblastoma, neuroblastoma,
pancreatic, hepatic, leukemia, and bladder and thyroid tumors. This review,
specifically deals with anti-tumor and tumorigenicity effects of PPARgamma and
its natural and synthetic agonists including Troglitazone, Cladosporol A, B, 15
Deoxi-Delta12-14-Prostaglondin J2 (15-d-PGJ2), Ciglitazon, docosahexaenoic acid,
eicosapentaenoic acid Alpha-eleostreac acid, Amorfrutin C, Sphingosine 1
phosphate, Evodiamine, Excavatolide B vs respected antagonists as GW9662,
bisphenol-A-diglycidyl-ether. Considering the contradictory role of PPARgamma on
tumorigenicity, a number of studies demonstrate mechanisms involved in
tumorigenicity effects of PPARgamma agonists while several studies suggest key
roles of PPARgamma agonists in anti-proliferation, metastasis, angiogenesis,
apoptosis and immunomodulatory through activation of signaling pathways in
different cancer cells as well as in cancer stem cells. The aim of this review is
summarizing of tumorigenicity and anti-tumorigenicity activities of PPARgamma
agonists and antagonists as well as therapeutic activities of these reagents as a
coadjutant drug in the treatment of different cancers.
PMID- 29369788
TI - Behavior of pyrophite shrubs in mediterranean terrestrial ecosystems (i):
Population and reproductive model.
AB - The mathematical submodel ULEX is used to study the dynamic behavior of the
green, floral and woody biomass of the main pyrophite shrub species, the gorse
(Ulex parviflorus Pourret), and its relationship with other shrub species,
typical of a Mediterranean ecosystem. The focus are the ecological conditions of
post-fire stage growth, and its efficacy as a protective cover against erosion
processes in the short, medium and long term, both in normal conditions and at
the limits of desertification conditions. The model sets a target to observe the
behavior and to anticipate and consequently intervene with adequate protection,
restoration and management measures.
PMID- 29369789
TI - Autoimmunity and cardiac arrhythmias in endemic pemphigus foliaceus-Association,
correlation, or causation?
PMID- 29369790
TI - Homeostatic interplay between FoxO proteins and ER proteostasis in cancer and
other diseases.
AB - Cancer cells are exposed to adverse conditions within the tumor microenvironment
that challenge cells to adapt and survive. Several of these homeostatic
perturbations insults alter the normal function of the endoplasmic reticulum
(ER), resulting in the accumulation of misfolded proteins. ER stress triggers a
conserved signaling pathway known as the unfolded protein response (UPR) to cope
with the stress or trigger apoptosis of damaged cells. The UPR has been described
as a major driver in the acquisition of malignant characteristics that ultimately
lead to cancer progression. Although, several reports describe the relevance of
the UPR in tumor growth, the possible crosstalk with other cancer-related
pathways is starting to be elucidated. The Forkhead Box O (FoxO) subfamily of
proteins has a major role in cancer progression, where chromosomal translocations
and deregulated signaling lead to loss-of-function of FoxO proteins, contributing
to tumor progression. Here we discuss the homeostatic connection between the UPR
and FoxO proteins and its possible implications to tumor progression and the
acquisition of several hallmarks of cancer. In addition, studies linking a
crosstalk between the UPR and FoxO proteins in other diseases, including
neurodegeneration and metabolic disorders is provided.
PMID- 29369791
TI - Aging exacerbates cognitive and anxiety alterations induced by an
intracerebroventricular injection of amyloid-beta1-42 peptide in mice.
AB - An increasing body of evidence indicates that the activation of indoleamine-2,3
dyoxigenase (IDO), a first and rate-limiting enzyme in the kynurenine (KYN)
pathway, is involved in Abeta1-42-neurotoxicity and AD pathogenesis. We have
reported for the first time that brain IDO activation is related to Abeta1-42
exposure in young mice. Because aging is characterized by a brain dyshomeostasis
and because it remains the most dominant risk factor for AD, the purpose of this
study was to determine whether aging is associated with a higher sensitivity to
behavioural and neurochemical alterations elicited by an intracerebroventricular
(i.c.v.) injection of Abeta1-42 (400 pmol/mice), and whether KYN pathway is
involved in these effects. We confirmed that aged mice displayed higher cognitive
deficit in the object recognition test and higher anxiety-like behaviour in the
elevated plus-maze and open field tests after the Abeta1-42 administration. Aged
mice also responded to Abeta1-42 with a higher deficiency of brain-derived
neurotrophic factor, glutathione levels and total radical-trapping antioxidant
capacity, a higher IDO activity, and a higher KYN and KYN/tryptophan ratio in the
prefrontal cortex and hippocampus. These effects of Abeta1-42 were associated
with a higher proinflammatory status, as measured by higher levels of interleukin
6, lower levels of interleukin-10 and higher expression of glial fibrillary
acidic protein (GFAP) and allograft inflammatory factor 1 (Iba1) in the brain of
aged mice. These results represent primary evidence suggesting that age
associated inflammatory signature and down-regulation of neuroprotectants in the
brain render aged mice more vulnerable to Abeta1-42-induced memory loss, anxiety
symptoms and KYN pathway dysregulation.
PMID- 29369792
TI - Hematology from embryo to adult in the bobwhite quail (Colinus virginianus):
Differential effects in the adult of clutch, sex and hypoxic incubation.
AB - Hematology and its regulation in developing birds have been primarily
investigated in response to relatively short-term environmental challenges in the
embryo. Yet, whether any changes induced in the embryo persist into adulthood as
a hematological form of "fetal programming" is unknown. We hypothesized that: 1)
chronic as opposed to acute hypoxic incubation will alter hematological
respiratory variables in embryos of bobwhite quail (Colinus virginianus), and 2)
alterations first appearing in the embryo will persist into hatchlings through
into adulthood. To test these hypotheses, we first developed an embryo-to-adult
profile of normal hematological development by measuring hematocrit (Hct), red
blood cell concentration ([RBC]), hemoglobin concentration ([Hb]), mean
corpuscular volume, mean corpuscular hemoglobin and mean corpuscular hemoglobin
concentration, as well plasma osmolality. Hct, [RBC] and [Hb] in normoxic
incubated birds (controls) steadily increased from ~22%, ~1.6 * 106 MUL-1 and ~7
g% in day 12 embryos to almost double the values at maturity in adult birds. Both
cohort and sex affected hematology of normoxic-incubated birds. A second
population, incubated from day 0 (d0) in 15% O2, surprisingly revealed little or
no significant difference from controls in hematology in embryos. In hatchlings
and adults, hypoxic incubation caused no significant modification to any
variables. Compared to major hematological effects caused by hypoxic incubation
in chickens, the hematology of the bobwhite quail embryo appears to be minimally
affected by hypoxic incubation, with very few effects induced during hypoxic
incubation actually persisting into adulthood.
PMID- 29369794
TI - Epigenetics in teleost fish: From molecular mechanisms to physiological
phenotypes.
AB - While the field of epigenetics is increasingly recognized to contribute to the
emergence of phenotypes in mammalian research models across different
developmental and generational timescales, the comparative biology of epigenetics
in the large and physiologically diverse vertebrate infraclass of teleost fish
remains comparatively understudied. The cypriniform zebrafish and the salmoniform
rainbow trout and Atlantic salmon represent two especially important teleost
orders, because they offer the unique possibility to comparatively investigate
the role of epigenetic regulation in 3R and 4R duplicated genomes. In addition to
their sequenced genomes, these teleost species are well-characterized model
species for development and physiology, and therefore allow for an investigation
of the role of epigenetic modifications in the emergence of physiological
phenotypes during an organism's lifespan and in subsequent generations. This
review aims firstly to describe the evolution of the repertoire of genes involved
in key molecular epigenetic pathways including histone modifications, DNA
methylation and microRNAs in zebrafish, rainbow trout, and Atlantic salmon, and
secondly, to discuss recent advances in research highlighting a role for
molecular epigenetics in shaping physiological phenotypes in these and other
teleost models. Finally, by discussing themes and current limitations of the
emerging field of teleost epigenetics from both theoretical and technical points
of view, we will highlight future research needs and discuss how epigenetics will
not only help address basic research questions in comparative teleost physiology,
but also inform translational research including aquaculture, aquatic toxicology,
and human disease.
PMID- 29369793
TI - Alpha galactosidase A activity in Parkinson's disease.
AB - Glucocerebrosidase (GCase, deficient in Gaucher disease) enzymatic activity
measured in dried blood spots of Parkinson's Disease (PD) cases is within healthy
range but reduced compared to controls. It is not known whether activities of
additional lysosomal enzymes are reduced in dried blood spots in PD. To test
whether reduction in lysosomal enzymatic activity in PD is specific to GCase, we
measured GCase, acid sphingomyelinase (deficient in Niemann-Pick disease types A
and B), alpha galactosidase A (deficient in Fabry), acid alpha-glucosidase
(deficient in Pompe) and galactosylceramidase (deficient in Krabbe) enzymatic
activities in dried blood spots of PD patients (n = 648) and controls (n = 317)
recruited from Columbia University. Full sequencing of glucocerebrosidase (GBA)
and the LRRK2 G2019S mutation was performed. Enzymatic activities were compared
between PD cases and controls using t-test and regression models adjusted for
age, gender, and GBA and LRRK2 G2019S mutation status. Alpha galactosidase A
activity was lower in PD cases compared to controls both when only non-carriers
were included (excluding all GBA and LRRK2 G2019S carriers and PD cases with age
at-onset below 40) [2.85 MUmol/l/h versus 3.12 MUmol/l/h, p = 0.018; after
controlling for batch effect, p = 0.006 (468 PD cases and 296 controls)], and
when including the entire cohort (2.89 MUmol/l/h versus 3.10 MUmol/l/h, p =
0.040; after controlling for batch effect, p = 0.011). Because the alpha
galactosidase A gene is X-linked, we stratified the analyses by sex. Among women
who were non-carriers of GBA and LRRK2 G2019S mutations (PD, n = 155; control, n
= 194), alpha galactosidase A activity was lower in PD compared to controls (2.77
MUmol/l/h versus 3.10 MUmol/l/h, p = 0.044; after controlling for a batch effect,
p = 0.001). The enzymatic activity of acid sphingomyelinase, acid alpha
glucosidase and galactosylceramidase was not significantly different between PD
and controls. In non-carriers, most lysosomal enzyme activities were correlated,
with the strongest association in GCase, acid alpha-glucosidase, and alpha
galactosidase A (Pearson correlation coefficient between 0.382 and 0.532). In a
regression model with all five enzymes among non-carriers (adjusted for sex and
age), higher alpha galactosidase A activity was associated with lower odds of PD
status (OR = 0.54; 95% CI:0.31-0.95; p = 0.032). When LRRK2 G2019S PD carriers (n
= 37) were compared to non-carriers with PD, carriers had higher GCase, acid
sphingomyelinase and alpha galactosidase A activity. We conclude that alpha
galactosidase A may have a potential independent role in PD, in addition to
GCase.
PMID- 29369795
TI - Cardiovascular magnetic resonance characterization of myocardial and vascular
function in rheumatoid arthritis patients.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a multisystem, autoimmune disorder and
confers one of the strongest risks for cardiovascular disease (CVD) morbidity and
mortality. OBJECTIVE: To assess myocardial function and vascular stiffness in RA
patients with and without cardiovascular risk factors (CVRFs) using
cardiovascular magnetic resonance (CMR). METHODS: Twenty-three RA patients with
no CVRFs (17 female, mean age 52 +/- 13 years), 46 RA patients with CVRFs (32
female, mean age 53 +/- 12), 50 normal controls (32 female, mean age 50 +/- 11
years), and 13 controls with CVRFs (7 female, mean age 55 +/- 7 years), underwent
CMR at 1.5 Tesla, including evaluation of left ventricular (LV) ejection
fraction, strain, and vascular elasticity (aortic distensibility [AD] and pulse
wave velocity [PWV]). Disease activity and duration were recorded for each
patient. Subjects with known symptomatic CVD were excluded. RESULTS: LV volumes,
mass, and ejection fraction were similar in the four groups. RA patients with
CVRFs showed the greatest abnormality in mid short-axis circumferential systolic
strain, peak diastolic strain rate, and vascular indices. RA patients without
CVRFs showed a similar degree of vascular dysfunction and deformational
abnormality as controls with CVRFs. AD and total PWV correlated with myocardial
strain and RA disease activity. On multivariate regression analysis, strain was
related to age, RA disease activity, AD, and PWV. CONCLUSION: CMR demonstrates
impaired myocardial deformation and vascular function in asymptomatic RA
patients, worse in those with CVRFs. Subclinical cardiovascular abnormalities are
frequent and appear to be incremental to those due to traditional CVRFs and
likely contribute to the excess CVD in RA.
PMID- 29369796
TI - Asiatic acid inhibits LPS-induced inflammatory response in endometrial epithelial
cells.
AB - Accumulating evidence indicates that asiatic acid, a natural triterpene isolated
from Centella asiatica, has anti-inflammatory activity. However, the anti
inflammatory effects of asiatic acid on LPS-stimulated endometrial epithelial
cells and the involved molecular pathways have not been completely elucidated. In
the present study, we evaluated the effects of asiatic acid on LPS-induced
inflammatory response in endometrial epithelial cells. Mouse endometrial
epithelial cells were treated with asiatic acid and stimulated with LPS. ELISA
was performed to measure the levels of inflammatory cytokines TNF-alpha, IL
1beta, and PGE2. Western blot analysis was used to test the expression of
PPARgamma and NF-kappaB. The results showed that LPS-induced inflammatory
mediators TNF-alpha, IL-1beta, NO, and PGE2 were significantly inhibited by
asiatic acid. Furthermore, LPS-induced TLR4 expression and NF-kappaB activation
were concentration-dependently suppressed by asiatic acid. In addition, asiatic
acid was found to increase the expression of PPARgamma in a concentration
dependently manner. The inhibition of asiatic acid on inflammatory mediators
production were prevented by PPARgamma inhibitor, GW9662. Taken together, these
results showed that asiatic acid exhibited its anti-inflammatory effects in
endometrial epithelial cells by activating PPARgamma.
PMID- 29369797
TI - Estimating summary statistics for electronic health record laboratory data for
use in high-throughput phenotyping algorithms.
AB - We study the question of how to represent or summarize raw laboratory data taken
from an electronic health record (EHR) using parametric model selection to reduce
or cope with biases induced through clinical care. It has been previously
demonstrated that the health care process (Hripcsak and Albers, 2012, 2013), as
defined by measurement context (Hripcsak and Albers, 2013; Albers et al., 2012)
and measurement patterns (Albers and Hripcsak, 2010, 2012), can influence how EHR
data are distributed statistically (Kohane and Weber, 2013; Pivovarov et al.,
2014). We construct an algorithm, PopKLD, which is based on information criterion
model selection (Burnham and Anderson, 2002; Claeskens and Hjort, 2008), is
intended to reduce and cope with health care process biases and to produce an
intuitively understandable continuous summary. The PopKLD algorithm can be
automated and is designed to be applicable in high-throughput settings; for
example, the output of the PopKLD algorithm can be used as input for phenotyping
algorithms. Moreover, we develop the PopKLD-CAT algorithm that transforms the
continuous PopKLD summary into a categorical summary useful for applications that
require categorical data such as topic modeling. We evaluate our methodology in
two ways. First, we apply the method to laboratory data collected in two
different health care contexts, primary versus intensive care. We show that the
PopKLD preserves known physiologic features in the data that are lost when
summarizing the data using more common laboratory data summaries such as mean and
standard deviation. Second, for three disease-laboratory measurement pairs, we
perform a phenotyping task: we use the PopKLD and PopKLD-CAT algorithms to define
high and low values of the laboratory variable that are used for defining a
disease state. We then compare the relationship between the PopKLD-CAT summary
disease predictions and the same predictions using empirically estimated mean and
standard deviation to a gold standard generated by clinical review of patient
records. We find that the PopKLD laboratory data summary is substantially better
at predicting disease state. The PopKLD or PopKLD-CAT algorithms are not meant to
be used as phenotyping algorithms, but we use the phenotyping task to show what
information can be gained when using a more informative laboratory data summary.
In the process of evaluation our method we show that the different clinical
contexts and laboratory measurements necessitate different statistical summaries.
Similarly, leveraging the principle of maximum entropy we argue that while some
laboratory data only have sufficient information to estimate a mean and standard
deviation, other laboratory data captured in an EHR contain substantially more
information than can be captured in higher-parameter models.
PMID- 29369799
TI - Bistability and phase variation in Salmonella enterica.
AB - Cell-to-cell differences in bacterial gene expression can merely reflect the
occurrence of noise. In certain cases, however, heterogeneous gene expression is
a programmed event that results in bistable expression. If bistability is
heritable, bacterial lineages are formed. When programmed bistability is
reversible, the phenomenon is known as phase variation. In certain cases,
bistability is controlled by genetic mechanisms (e. g., DNA rearrangement). In
other cases, bistability has epigenetic origin. A robust epigenetic mechanism for
the formation of bacterial lineages is the formation of heritable DNA methylation
patterns. However, bistability can also arise upon propagation of gene expression
patterns by feedback loops that are stable upon cell division. This review
describes examples of bistability and phase variation in Salmonella enterica and
discusses their adaptive value, sometimes in a speculative manner.
PMID- 29369798
TI - Prognostic Role of BRAFV600E Cellular Localization in Melanoma.
AB - BACKGROUND: Approximately half of cutaneous melanoma tissues harbor BRAFV600E
mutations, resulting in a constitutive activation of the mitogen-activated
protein kinase (MAPK) pathway. Nuclear-cytoplasmic transport machinery is
dysregulated in neoplastic cells and alters the key regulatory proteins that can
lead to tumor progression and drug resistance. The significance of nuclear
localization of BRAFV600E has not been fully understood. We examined the clinical
significance of intracellular localization of BRAFV600E in cutaneous melanoma.
STUDY DESIGN: Immunohistochemical analysis of BRAFV600E was performed on formalin
fixed, paraffin-embedded specimens of cutaneous melanoma (n = 91). Staining
intensity was graded in a blinded manner. Correlations to clinical factors were
analyzed by Fisher's exact test and 2-tailed t-test. Localization of BRAFV600E
was determined in melanoma cells, and we investigated their resistance to
BRAFV600E-specific inhibitor according to nuclear localization in both in vitro
and in vivo models. RESULTS: We included 91 patients, of whom 32% (29 of 91) had
cytoplasmic BRAFV600E. Nuclear BRAFV600E was observed in 30% (27 of 91). Overall,
BRAFV600E expression correlated with TNM stage (p = 0.011), mitotic activity (p =
0.010), and ulceration (p = 0.045). Nuclear BRAFV600E expression correlated with
overall clinical stage (p < 0.001), tumor size (p < 0.001), regional lymph node
(p < 0.017), depth of invasion (p = 0.005), Clark level (p < 0.001), mitotic
activity (p < 0.001), ulceration (p < 0.001), and margin status (p = 0.017). On a
cellular level, BRAFV600E was identified in the nucleus, and its translocation
was serum dependent. Our in vitro and in vivo data revealed sequestration of
BRAFV600E in the cytosol-sensitized resistant cells to vemurafenib; nuclear
retention of BRAFV600E was associated with aggressiveness and drug resistance.
CONCLUSIONS: Nuclear localization of BRAFV600E is associated with melanoma
aggressiveness. Further multi-institutional studies are warranted to confirm the
clinical relevance of nuclear localization of BRAFV600E.
PMID- 29369800
TI - Prognostic Factors for Mortality among Day +100 Survivors after Allogeneic
Hematopoietic Cell Transplantation.
AB - Although day +100 survival among allogeneic hematopoietic cell transplantation
(HCT) recipients has improved over time, longer-term survival remains a
challenge. The aim of this study was to identify prognostic factors for survival
among patients surviving longer than 100 days using baseline characteristics and
factors identified within the first 100 days after transplantation. Of 413
patients undergoing a first allogeneic HCT between 2006 and 2014, 335 survived
>100 days post-transplantation. The majority underwent a myeloablative
transplantation (75%) with a bone marrow (BM) (52%) graft source. One-year all
cause mortality (ACM) was 29%, with 16% relapse mortality (RM) and 12% nonrelapse
mortality. In multivariable analysis, high-risk disease (hazard ratio [HR], 1.55;
P = .003), non-cytomegalovirus infection (HR, 1.79; P = .003), more days
hospitalized (HR, 1.16; P < .001), and relapse (HR, 4.38; P < .001) within the
first 100 days were associated with increased risk of ACM. Patients with higher
income (HR, .89; P = .024) and those who received BM (HR, .52; P < .001) or
umbilical cord blood (HR, .40; P = .002) relative to peripheral blood stem cells
had lower risk of ACM. Our study identifies risk factors for adverse long-term
survival in 100-day survivors, a time point when patients frequently are
discharged from transplantation centers. In addition to disease- and
transplantation-related factors, low socioeconomic status was associated with
worse long-term survival, highlighting the need for focused efforts to improve
outcomes in vulnerable patient populations.
PMID- 29369801
TI - Prediction of human pharmacokinetics of activated recombinant factor VII and B
domain truncated factor VIII from animal population pharmacokinetic models of
haemophilia.
AB - Various experimental animal models are used in haemophilia research, however,
little is known about how well the different species predict pharmacokinetic (PK)
profiles in haemophilia patients. The aim of the current study was to describe
the plasma concentration-time profile of recombinant activated factor VII
(rFVIIa) and recombinant factor VIII (rFVIII) in several experimental animal
models using population PK modelling, and apply a simulation-based approach to
evaluate how well the developed animal population PK models predict human PK. PK
models were developed for rFVIIa and rFVIII in mice, rats, monkeys, and dogs
using nonlinear mixed-effects modelling, accounting for inter-individual
variability, nonlinear kinetics and covariate effects. Three scaling principles
were applied to predict human PK: proportional scaling to body weight from single
species, scaling with fixed theory-based allometric exponents from single
species, and allometric interspecies scaling with estimated allometric
coefficients and exponents. The plasma concentration-time profile of rFVIIa and
rFVIII in mice, rats, monkeys and dogs were accurately described by the developed
species-specific PK models, accounting for nonlinear kinetics and gender-specific
difference in clearance for rFVIII. The predictive performance of the animal
population PK models of rFVIIa and rFVIII revealed significant species-variation.
The developed PK models of rFVIIa and rFVIII in monkeys and dogs along with
allometric interspecies scaling revealed high predictive performance for human
PK, and may promote rational decision-making in future first-in-human trials for
rFVIIa and rFVIII variants.
PMID- 29369802
TI - Green, fast and cheap paper-based method for estimating equivalence ratio of
cationic carriers to DNA in gene delivery formulations.
AB - To achieve efficient and safe cationic carrier-mediated gene delivery for gene
therapy, the optimal ratio of carrier to DNA in formulations is a key factor and
it is usually determined prior to transfection experiments. In this work, a
simplified drop-and-read assay was developed for the first time using paper as a
platform to estimate the equivalence ratio of cationic carriers to negatively
charged DNA. By spotting a series of complexes containing varied ratios of
carrier to DNA on filter paper, then allowing them to dry and finally dropping
yellowish-green anionic 2',7'-dichlorofluorescein dye solution on top of the
complexes, the equivalence point was detectable by the instant formation of
stable pink spots as a result of the dye adsorption onto the positively charged
complexes and free carriers. The method gave the same results as those determined
by gel retardation assay and zeta potential measurement, however it allowed more
rapid reporting of results in 5 min and required no tedious steps, harmful
reagents or expensive instruments. By using paper instead of microcentrifuge
tubes and omitting centrifugation, plasticware and electrical energy were no
longer consumed and disposal of this degradable material was more environmentally
friendly. With respect to analytical performance, filter paper inherently holding
negative charge helped to trap and concentrate the complexes on the white
background, enabling greater visibility of the colored spots and a lower required
amount of DNA used for the assay. The method was successfully applied to estimate
the equivalence ratios in a variety of gene delivery formulations containing
different types of cationic carriers, i.e. polymers, dendrimers, liposomes and
niosomes.
PMID- 29369803
TI - Inhibitory modulation of the cough reflex by acetylcholine in the caudal nucleus
tractus solitarii of the rabbit.
AB - A cholinergic system has been described in the nucleus tractus solitarii (NTS).
However, no information is available on the role played by acetylcholine (ACh) in
the modulation of the cough reflex within the caudal NTS that has an important
function in cough regulation. We addressed this issue making use of bilateral
microinjections (30-50 nl) of 10 mM ACh combined with 5 mM physostigmine as well
as of 10 mM mecamylamine or 10 mM scopolamine into the caudal NTS of
pentobarbital sodium-anesthetized, spontaneously breathing rabbits.
Microinjections of ACh/physostigmine caused depressant effects on the cough
reflex induced by mechanical and chemical stimulation of the tracheobronchial
tree. They also elicited transient increases in respiratory frequency and
decreases in abdominal activity. These effects were prevented by scopolamine, but
not by mecamylamine. The results show for the first time that ACh exerts an
inhibitory modulation of the cough reflex through muscarinic receptors within the
caudal NTS. They also may provide hints for novel antitussive approaches.
PMID- 29369805
TI - Computational Analysis of Epidermal Growth Factor Receptor Mutations Predicts
Differential Drug Sensitivity Profiles toward Kinase Inhibitors.
AB - INTRODUCTION: A significant proportion of patients with lung cancer carry
mutations in the EGFR kinase domain. The presence of a deletion mutation in exon
19 or L858R point mutation in the EGFR kinase domain has been shown to cause
enhanced efficacy of inhibitor treatment in patients with NSCLC. Several less
frequent (uncommon) mutations in the EGFR kinase domain with potential
implications in treatment response have also been reported. The role of a limited
number of uncommon mutations in drug sensitivity was experimentally verified.
However, a huge number of these mutations remain uncharacterized for inhibitor
sensitivity or resistance. METHODS: A large-scale computational analysis of
clinically reported 298 point mutants of EGFR kinase domain has been performed,
and drug sensitivity profiles for each mutant toward seven kinase inhibitors has
been determined by molecular docking. In addition, the relative inhibitor binding
affinity toward each drug as compared with that of adenosine triphosphate was
calculated for each mutant. RESULTS: The inhibitor sensitivity profiles predicted
in this study for a set of previously characterized mutants correlated well with
the published clinical, experimental, and computational data. Both the single and
compound mutations displayed differential inhibitor sensitivity toward first- and
next-generation kinase inhibitors. CONCLUSIONS: The present study provides
predicted drug sensitivity profiles for a large panel of uncommon EGFR mutations
toward multiple inhibitors, which may help clinicians in deciding mutant-specific
treatment strategies.
PMID- 29369804
TI - Knowledge of Inhaled Therapy and Responsibility for Asthma Management Among Young
Teens With Uncontrolled Persistent Asthma.
AB - OBJECTIVES: To compare the abilities of teens with uncontrolled persistent asthma
and their caregivers to identify inhaled medications and state correct
indications for use; examine medication responsibility within dyads; and
determine whether responsibility is associated with knowledge about inhaled
therapies. METHODS: In the baseline survey for the School-Based Asthma Care for
Teens (SB-ACT) trial, we separately asked caregivers and teens to: 1) identify
the teen's inhaled asthma therapies by name and from a picture chart (complete
matches considered "concordant"); 2) describe indications of use for each
medication; and 3) describe the allocation of responsibility for medication use
within dyads. We limited analyses to dyads in which either member reported at
least one rescue and one inhaled controller medication; we used McNemar and
Pearson chi-square tests. RESULTS: A total of 136 dyads were analyzed. More
caregivers than teens concordantly identified medications (63% vs 31%, P < .001).
There was no difference between caregivers and teens in the ability to state
correct indications for use (56% vs 54%, P = .79). More teens than caregivers
endorsed "full teen responsibility" for rescue medication (65% vs 27%, P < .001)
and controller medication use (50% vs 15%, P < .001). Neither concordant
identification nor knowing indications for use was associated with reported
medication responsibility. CONCLUSIONS: Medication responsibility within dyads of
caregivers and teens with persistent asthma is not associated with knowledge
about inhaled therapies. Targeting both members of the dyad with education and
self-management strategies before responsibility transitions start may allow
providers to avoid a missed opportunity to support these emerging stakeholders to
adherence.
PMID- 29369806
TI - Self-sensibilized polymeric prodrug co-delivering MMP-9 shRNA plasmid for
combined treatment of tumors.
AB - : Polymeric prodrugs are of immense interest as anticancer drug-delivery system
owing to their superior drug stability during circulation and satisfactory drug
loading capacity. However, they are usually less effective than free drugs due to
imperfect degradable characteristics or active sites blockage. A polymeric
prodrug (HPAA-MTX) with chemotherapeutic self-sensibilization effect consisting
of glutathione (GSH)-triggered hyperbranched poly(amido amine) (HPAA) and
methotrexate (MTX) was designed and synthesized in this work. This prodrug not
only showed better inhibition effect on the tumor cells proliferation compared
with free MTX, but also displayed selective sensibilization to tumor cells rather
than normal cells. Meanwhile, HPAA-MTX was also explored as a MMP-9 shRNA plasmid
delivery vector due to their rich amino group of HPAA, accompanying with MTX for
simultaneous inhibiting tumor cells proliferation and migration. As expected,
HPAA-MTX possessed excellent gene delivery capacity with significant down
regulation expression of MMP-9 protein and further inhibition of MCF-7 cells
migration. Benefiting from the self-sensibilization effect and MTX/MMP-9 co
delivery strategy, this HPAA-MTX/MMP-9 co-delivery system exhibited significantly
improved therapeutic efficacy to breast cancer in a combined manner which was
confirmed through in vitro and in vivo assays. The strategy established in this
study provided a facile "all-in-one" platform to integrate the drug/gene co
delivery strategy and self-sensibilization effect into one single nanocomposite
for potential cancer treatment. STATEMENT OF SIGNIFICANCE: A cationic polymeric
prodrug with chemotherapeutic self-sensibilization effect was designed and showed
better inhibition effect on tumor cells proliferation compared with its free
drug, as well displayed the selective sensibilization effect to tumor cells
rather than normal cells. Moreover, the prodrug could also deliver MMP-9 shRNA
plasmid for a combined therapy. As expected, the prodrug possessed excellent gene
delivery capacity with significant down-regulation expression of MMP-9 protein
and further inhibition of MCF-7 cells migration. Benefiting from the self
sensibilization effect and the drug/gene co-delivery strategy, this prodrug
exhibited significantly improved therapeutic efficacy to breast cancer in a
combined manner.
PMID- 29369807
TI - The degradation and transport mechanism of a Mg-Nd-Zn-Zr stent in rabbit common
carotid artery: A 20-month study.
AB - : Mg-based stent is a promising candidate of the next generation fully degradable
vascular stents. The latest progress includes the CE approval of the Magmaris (r)
WE43 based drug eluting stent. However, so far, the long term (more than 1 year
implantation) in vivo degradation and the physiological effects caused by the
degradation products were still unclear. In this study, a 20 month observation
was carried out after the bare Mg-Nd-Zn-Zr (abbr. JDBM) stent prototype was
implanted into the common carotid artery of New Zealand white rabbit in order to
evaluate its safety, efficacy and especially degradation behavior. The
degradation of the main second phase Mg12Nd was also studied. Results showed that
the bare JDBM stent had good safety and efficacy with a complete re
endothelialization within 28 days. The JDBM stent struts were mostly replaced in
situ by degradation products in 4 month. The important finding was that the
volume and Ca concentration of the degradation products decreased in the long
term, eliminating the clinicians' concern of possible vessel calcification. In
addition, the alloying elements Mg and Zn in the stent could be safely
metabolized as continuous enrichment in any of the main organs were not detected
although Nd and Zr showed an abrupt increase in spleen and liver after 1 month
implantation. Collectively, the long term in vivo results showed the rapid re
endothelialization of JDBM stent and the long term safety of the degradation
products, indicating its great potential as the backbone of the fully degradable
vascular stent. STATEMENT OF SIGNIFICANCE: Mg-based stent is a promising
candidate of the next generation fully degradable stents, especially after the
recent market launch of one of its kind (Magmaris). However the fundamental
question about the long term degradation and metabolic mechanism of Mg-based
stent and its degradation products remain unanswered. We implanted our patented
Mg-Nd-Zn-Zr bare stent into the common carotid artery of rabbits and conducted a
20 months observation. We found that the Ca containing degradation products could
be further degraded in vivo. All the alloying elements showed no continuous
enrichment in the main organs of rabbits. These findings eliminate the
clinicians' concern of possible vessel calcification and element enrichment after
the implantation of Mg alloy based stents to some extent.
PMID- 29369809
TI - Pupillographic campimetry: an objective method to measure the visual field.
AB - Pupillographic campimetry allows measuring the visual field objectively by
analyzing the pupil response to perimetric stimuli. One of the drawbacks of this
technique, similar to static perimetry, is the need of reliable fixation of the
subject. By using stimulus sizes comparable to static perimetry and applying gaze
tracking, we enable a retinotopic visual field examination regardless of fixation
problems and with an increased stability and improved spatial resolution. Here,
we present the results of applying the method in eight normal sighted subjects as
well as in three patients suffering from diseases usually diagnosed by perimetry.
The results in normal sighted subjects show a reduction in the amplitude of the
pupil response with increasing eccentricity as expected. We also demonstrate that
gaze-controlled campimetry is able to detect organic visual field defects
objectively in a patient group and classify the visual field defects without an
organic background. Moreover, we show that our method is able to evaluate the
visual field sensitivity loss beyond classical perimetry in patients with late
stage retinitis pigmentosa. Thus, gaze-controlled pupil campimetry can be used in
addition to classical perimetry, allowing for an objective monitoring of disease
progression, rendering it as a biomarker for novel treatments.
PMID- 29369810
TI - Effect of Melissa officinalis capsule on the mental health of female adolescents
with premenstrual syndrome: a clinical trial study.
AB - Introduction In spite of its importance, the psychological health requirements of
adolescents are ignored; due to the occurrence of mental disorders in this age
group and also public interest in the use of supplementary and alternative
treatments such as herbal drugs for mental disorders, this study was carried out
aimed at examining the impact of the Melissa officinalis capsule on the
psychological health of female adolescents. Methodology In this randomized
clinical trial study, 100 female adolescents were assigned to two groups of
either drug and placebo groups. The data collection tool includes demographic
information recording note and General Health Standard Questionnaire (GHQ).
Intervention has been done in the menstrual cycle during 3 successive months and
patients received two 600 mg drug daily in the intervention group, and the
control group received placebo. After the intervention, the scores of the
participants' psychological health were measured in both groups and data were
analyzed through independent t-test using SPSS software version 16. Results The
study results showed that psychosomatic symptoms score (p < 0.001), anxiety and
sleeping disorder (p < 0.001), and social function disorder (p = 0.021) in the
experiment group was significantly less than that of the placebo group.
Conclusion Based on the findings of this study, Melissa officinalis can decrease
psychosomatic symptoms, sleeping disorder and anxiety, depression and disorder in
social function in female adolescents.
PMID- 29369808
TI - Bicaudal D2 is a novel autoantibody target in systemic sclerosis that shares a
key epitope with CENP-A but has a distinct clinical phenotype.
AB - We studied the clinical correlations and epitopes of autoantibodies directed to a
novel autoantigen, Bicaudal D (BICD2), in systemic sclerosis (SSc) and reviewed
its relationship to centromere protein A (CENP-A). 451 SSc sera were tested for
anti-BICD2 using a paramagnetic bead immunoassay and then univariate and
multivariate logistic regression was used to study the association between anti
BICD2 and demographic and clinical parameters as well as other SSc-related
autoantibodies. Epitope mapping was performed on solid phase matrices. 25.7%
(116/451) SSc sera were anti-BICD2 positive, of which 19.0% had single
specificity anti-BICD2 and 81.0% had other autoantibodies, notably anti-CENP
(83/94; 88.3%). Compared to anti-BICD2 negative subjects (335/451), single
specificity anti-BICD2 subjects were more likely to have an inflammatory myopathy
(IM; 31.8% vs. 9.6%, p=.004) and interstitial lung disease (ILD; 52.4% vs. 29.0%,
p=.024). Epitope mapping revealed a serine- and proline-rich nonapeptide
SPSPGSSLP comprising amino acids 606-614 of BICD2, shared with CENP-A but not
CENP-B. We observed that autoantibodies to BICD2 represent a new biomarker as
they were detected in patients without other SSc-specific autoantibodies and were
the second most common autoantibody identified in this SSc cohort. Our data
indicate that the major cross-reactive epitope is associated with anti-CENP-A
but, unlike anti-CENP, single specificity anti-BICD2 antibodies associate with
ILD and IM.
PMID- 29369811
TI - Exploration of brushing behavior among university students in Iran: a qualitative
research.
AB - Background Currently, it is estimated that 40% of the Iranian population is less
than 20 years old, and in the academic year of 2011-2012 about 4 million students
of that age were educated. The general assessment of the outcomes of oral health
programs and investigating determinants is substantial for developing future
plans of oral health. This study was done with the aim of exploring brushing
behavior among university students of Iran to specify and understand the
important determinants of oral health behavior. Methods A qualitative research
method was used. This study was conducted between August and November 2016 in
Shahid Beheshti University. Maximum variation sampling was used among university
attendees and 44 students with more than 2 years of study duration were selected
to be included in the research. Semi-structured interviews were employed for data
gathering. All of the recorded interviews and notes were accurately evaluated and
data analysis was performed based on the content analysis. Results As a result of
the interview analysis 16 main categories emerged: Religious Beliefs, Perceived
Benefits, Perceived Barriers, Habitation, Salience of Behavior, Education,
Subjective Norms, Peer Pressure, Observational Learning, Knowledge, Perceived
Susceptibility, Perceived Severity, Outcome Expectation, Skills, Perceived Self
efficacy, and Perceived Behavioral Control. Codes of sub-theme and theme were
identified in the study. Conclusion This present study provides additional
evidence with respect to the religious beliefs and the impact of religious
instructions in brushing among students. Salience of brushing behavior has been
described as one of the structures in an integrated behavioral model. Despite
earlier studies suggesting, that peer pressure only plays a role on children's
brushing behavior, our study showed that peer pressure is effective on adults as
well.
PMID- 29369812
TI - Mainstreaming youth-friendly health services into existing primary health care
facilities: experiences from South-South Nigeria.
AB - Background Youth friendly services, an evidence based approach to overcome the
barriers experienced by youths in accessing care, is poorly implemented. The
Medical Women's Association of Nigeria (MWAN) Rivers State chapter, was supported
by Ford Foundation to mainstream youth-friendly health services (YFHS) into
existing primary health care facilities in two hard-to-reach communities. This
paper presents the interventions, findings, challenges and recommendations.
Methods This study project was implemented in stages: design, baseline survey,
interventions and evaluation, between 2014 and 2016. Interventions included
facility modifications, health worker capacity building, school and community
outreaches, peer group activities, and interpersonal communication. Pre-and-post
intervention surveys were carried out among in- and out-of-school youths to
determine the effects of the interventions. Results The most commonly stated
barriers to uptake of youth friendly health services included: unavailability of
services (154; 33.1%), unavailability of health care workers (167; 38.9%),
unaffordability of services (108; 45.8%) and difficulty in communicating with
health workers (85; 36.0%). Post-intervention, utilization improved across all
services while the perception of barriers to utilization of services reduced (p <
0.05). The interventions implemented increased the odds of youths utilizing YFHS
1.81 times (95% CI = 1.39-2.37). Conclusion Facility modifications, capacity
building of health workers, school and community outreaches, peer group
activities, and interpersonal communication improved utilization across all
services while the perception of barriers to utilization of services reduced.
Implementation of YFHS is impacted by external factors often beyond the control
of project implementers. Innovative solutions outside of routine health care
delivery systems are critical for success. Further evaluation to explore the
effect of these interventions is needed. Strengthening of health systems remains
a vital strategy for scale-up of YFHS.
PMID- 29369813
TI - Yoga versus physical exercise for cardio-respiratory fitness in adolescent school
children: a randomized controlled trial.
AB - Background Yoga is very effective in improving health especially cardio
respiratory fitness and also overall performance in adolescents. There are no
large numbers of randomized controlled studies conducted on comparing yoga with
physical activity for cardio-respiratory fitness in adolescent school children
with large sample size. Objective Aerobic training is known to improve physical
and cardio-respiratory fitness in children. Cardio-respiratory fitness is an
important indicator of health in children. In this study we evaluate the effects
of yoga versus physical exercise training on cardio-respiratory fitness in
adolescent school children. Subjects Eight hundred two school students from 10
schools across four districts were recruited for this study. Methods In this
prospective two arm RCT around 802 students were randomized to receive daily one
hour yoga training (n = 411) or physical exercise (n = 391) over a period of two
months. VO2 max was estimated using 20 m shuttle run test. However, yoga (n =
377) and physical exercise (n = 371) students contributed data to the analyses.
Data was analysed using students t test. Results There was a significant
improvement in VO2 max using 20 m Shuttle run test in both yoga (p < 0.001) and
exercise (p < 0.001) group following intervention. There was no significant
change in VO2 max between yoga and physical exercise group following
intervention. However, in the subgroup with an above median cut-off of VO2 max;
there was a significant improvement in yoga group compared to control group
following intervention (p = 0.03). Conclusion The results suggest yoga can
improve cardio-respiratory fitness and aerobic capacity as physical exercise
intervention in adolescent school children.
PMID- 29369814
TI - Prevalence and determinants of smoking behavior among male school adolescents in
Saudi Arabia.
AB - Objective Smoking and tobacco use is a growing public health problem, with often
begins in adolescence. This study aims to estimate the prevalence of smoking
behavior and the associated determinants among adolescents. Methods A cross
sectional study was conducted using a self-administered questionnaire with 453
male adolescent students in Riyadh, the capital of Saudi Arabia. Descriptive and
binary logistic regression analyses were performed to aggregate the findings and
examine associations. Results The prevalence of smoking was 24.3% among
adolescents. The main predictors of smoking behavior were found to be age (OR =
1.6; 95% CI: 1.3-2.0; p < 0.001), studying in private schools (OR = 1.4; 95% CI:
1.2-1.5; p < 0.001), having friends who smoke (OR = 13.9; 95% CI: 6.6-29.9; p <
0.001), smoking parent (OR = 18.1; 95% CI: 8.8-37.1; p < 0.001), perceived poor
health (OR = 2.9; 95% CI: 1.9-3.9; p = 0.041) and perceived dissatisfaction with
life (OR = 4.1; 95% CI: 1.33-13.3; p = 0.017). Smokers were more likely to
believe that it is difficult to quit smoking (OR = 3.0; 95% CI: 1.6-5.5; p <
0.001). The top reasons for smoking were having smoker friends (78.2%), family
neglect (45.5%), having smoker parents (41.8%), family problems (37.3%),
enjoyment, and having smoker relatives. Conclusion This study concluded that a
considerable proportion of adolescents are smokers. Adolescents with a smoking
habit report poorer health and lower life satisfaction than non-smokers. Several
personal and social factors were identified as important determinants for
smoking.
PMID- 29369815
TI - Marijuana use among youths in Mississippi, United States.
AB - Background Increased use of marijuana among youths in Mississippi, United States
is of great concern to Public Health in the 21st century. This study examined the
prevalence of marijuana use according to gender, race and ethnicity. Method The
data for this study was obtained from Youth Risk Behavior Surveillance System
(YRBSS) for 2015, a cross-sectional survey of the Mississippi High School
Students, which examined the prevalence and trends in the use of marijuana
according to gender, race and ethnicity. The trends in the percentage of students
that reported the use of marijuana and its product was examined by univariate t
test statistical analysis at p < 0.05. The frequency distribution was used to
determine the percentage differences in the groups. Results The students that
ever used marijuana was 39.4% in Blacks, 39.1% in Hispanics and 31.0% in Whites.
A total of 13.7% male and female (4.8%) used marijuana before 13 years of age. In
addition, the students currently using marijuana include Blacks (10.9%), Hispanic
(13.7%) and Whites (7.2%). Approximately 9.7% of students ever used the synthetic
product, which was higher in Hispanic (18.7%) when compared to Blacks (8.8%) and
Whites (9.1%). Conclusion The use of marijuana is significantly higher among the
male youth in Mississippi than females, which is a major public health concern.
Furthermore, there was higher rates of smoking marijuana in Hispanics and Blacks
when compared to White youth.
PMID- 29369816
TI - Beneficial effect of ghee consumption over mustard oil on lipid profile: A study
in North Indian adult population.
PMID- 29369817
TI - Evaluation of management and surgical outcomes in pregnancies complicated by
acute cholecystitis.
AB - OBJECTIVE: To evaluate the management of pregnancies complicated by acute
cholecystitis (AC) and determine whether pregnant women are more likely to have
medical and surgical complications. METHODS: We carried out a population-based
matched cohort study using the Healthcare Cost and Utilization Project-Nationwide
Inpatient Sample from 2003 to 2011. Pregnant women with AC were age matched to
non-pregnant women with AC on a 1:5 ratio. Management and outcomes were compared
using descriptive analysis and conditional logistic regression. RESULTS: There
were 11,835 pregnant women admitted with AC who were age matched to 59,175 non
pregnant women. As compared to non-pregnant women, women with AC were more
commonly managed conservatively, odds ratio (OR) 6.1 (5.8-6.4). As compared to
non-pregnant women, pregnant women with AC more commonly developed sepsis [OR 1.4
(1.0-1.9)], developed venous thromboembolism [OR 8.7 (4.3-17.8)] and had bowel
obstruction [OR 1.3 (1.1-1.6)]. Among pregnant women with AC, surgical management
was associated with a small but significant increased risk of septic shock and
bile leak. CONCLUSION: AC, in the context of pregnancy, is associated with an
increased risk of adverse outcomes. Although the literature favors early surgical
intervention, pregnancies with AC appear to be more commonly managed
conservatively with overall comparable outcomes to surgically managed AC.
Conservative management may have a role in select pregnant women with AC.
PMID- 29369818
TI - Early fetal megacystis: Is it possible to predict the prognosis in the first
trimester?
AB - OBJECTIVE: To evaluate the best management of fetal megacystis diagnosed in the
first trimester and define the prognosis and the most appropriate follow-up as
early as possible. METHODS: This is a retrospective study of first-trimester
fetal megacystis diagnosed in pregnant women who performed a combined screening
for fetal aneuploidy. Megacystis was defined as a longitudinal bladder diameter
(LBD) greater than 7 mm. All fetuses were divided into two groups according to
the LBD: Group A with LBD > 15 mm and Group B with LBD < 15 mm. The fetal
karyotype and associated anomalies were evaluated. Ultrasound monitoring was
performed every 2 weeks (a second ultrasound scan after 2 weeks from diagnosis
and a third ultrasound scan 2 weeks after the second one). RESULTS: Twenty-six
cases were identified between 2011 and 2016; three cases of aneuploidy were
excluded from the study. Of the remaining 23 cases, 11 were included in Group A
and 12 in Group B. All Group A fetuses had an adverse outcome. In Group B: five
(41.7%) cases had an adverse outcome and seven (58.3%) had a spontaneous
resolution of megacystis. The ultrasound findings of both the ultrasound scans,
the second and the third, were 100% concordant. CONCLUSION: An ultrasound scan
performed 2 weeks after the megacystis diagnosis can predict the outcome in
fetuses with an LBD < 15 mm as early as the end of the first trimester. The
outcome of euploid fetuses with an LBD < 15 mm was favorable in 58.3% of the
cases.
PMID- 29369819
TI - Comparison of flow and gas washout characteristics between pressure control and
high-frequency percussive ventilation using a test lung.
AB - OBJECTIVE: A comparison between flow and gas washout data for high-frequency
percussive ventilation (HFPV) and pressure control ventilation (PCV) under
similar conditions is currently not available. This bench study aims to compare
and describe the flow and gas washout behavior of HFPV and PCV in a newly
designed experimental setup and establish a framework for future clinical and
animal studies. APPROACH: We studied gas washout behavior using a newly designed
experimental setup that is motivated by the multi-breath nitrogen washout
measurements. In this procedure, a test lung was filled with nitrogen gas before
it was connected to a ventilator. Pressure, volume, and oxygen concentrations
were recorded under different compliance and resistance conditions. PCV was
compared with two settings of HFPV, namely, HFPV-High and HFPV-Low, to simulate
the different variations in its clinical application. In the HFPV-Low mode, the
peak pressures and drive pressures of HFPV and PCV are matched, whereas in the
HFPV-High mode, the mean airway pressures (MAP) are matched. MAIN RESULTS: HFPV
Low mode delivers smaller tidal volume (V T) as compared to PCV under all lung
conditions, whereas HFPV-High delivers a larger V T. HFPV-High provides rapid
washout as compared to PCV under all lung conditions. HFPV-Low takes a longer
time to wash out nitrogen except at a low compliance, where it expedites washout
at a smaller V T and MAP compared to PCV washout. SIGNIFICANCE: Various flow
parameters for HFPV and PCV are mathematically defined. A shorter washout time at
a small V T in low compliant test lungs for HFPV could be regarded as a
hypothesis for lung protective ventilation for animal or human lungs.
PMID- 29369820
TI - Assessment of copper nanoparticles (Cu-NPs) and copper (II) oxide (CuO) induced
hemato- and hepatotoxicity in Cyprinus carpio.
AB - Recently, Cu-based nanoparticles have drawn considerable attention for their
various fascinating roles in multiple biological systems. It is recognized that
their frequent use can create compatibility challenges for the recipient systems.
Nevertheless, it is unclear how various biological interactions affect the
compatibility of Cu oxide II (CuO) and Cu oxide nanoparticles (Cu-NPs) for
different organisms. Consequently, it has been difficult to perform structured
risk assessments for their use in biological systems. Therefore, this study
compared the effects of different doses of waterborne Cu-NPs and CuO on the blood
and liver of selected groups of Cyprinus (C) carpio. These fish while housed in
suitable water tanks were exposed to one of the following treatments for 14 d:
control (no added Cu) or 0.5 or 1 or 1.5 mg Cu as Cu-NPs or CuO l-1 of water. We
found significant changes in all assessed blood parameters of fish in response to
increasing doses from 0 to 1.5 mg of Cu-NPs or CuO. Similarly, increased levels
of lipid peroxide and reduced glutathione (GSH) were also observed in the livers
of C. carpio in Cu-NPs or CuO treated groups. Enhanced levels of lipid
peroxidation and GSH were also recorded in the Cu-NP treated groups compared with
the CuO treated groups in a dose dependent manner. The lowest catalase activity
was observed in the liver of C. carpio treated with the higer dose of Cu-NPs. Cu
NP or CuO exposure induced significant histological alterations in the liver of
C. carpio including focal necrosis, cloudy swelling of hepatocytes, degenerative
hepatocytes, vacuolization, pyknotic nuclei, damaged central vein, nuclear
hypertrophy, dilated sinusoid, vacuolated degeneration, congestion, and complete
degeneration in a dose dependent manner. Substantial alterations in blood and
liver specimens were observed in the Cu-NP treated fish when compared with the
CuO treated fish. It appeared that the Cu-NPs were more toxic than the CuO as
shown by the hemato- and hepatotoxicity in C. carpio of this study.
PMID- 29369821
TI - Non-invasive acquisition of fetal ECG from the maternal xyphoid process: a
feasibility study in pregnant sheep and a call for open data sets.
AB - OBJECTIVE: The utility of fetal heart rate (FHR) monitoring can only be achieved
with an acquisition sampling rate that preserves the underlying physiological
information on the millisecond time scale (1000 Hz rather than 4 Hz). For such
acquisition, fetal ECG (fECG) is required, rather than the ultrasound to derive
FHR. We tested one recently developed algorithm, SAVER, and two widely applied
algorithms to extract fECG from a single-channel maternal ECG signal recorded
over the xyphoid process rather than the routine abdominal signal. APPROACH: At
126dG, ECG was attached to near-term ewe and fetal shoulders, manubrium and
xyphoid processes (n = 12). fECG served as the ground-truth to which the fetal
ECG signal extracted from the simultaneously-acquired maternal ECG was compared.
All fetuses were in good health during surgery (pH 7.29 +/- 0.03, pO2 33.2 +/-
8.4, pCO2 56.0 +/- 7.8, O2Sat 78.3 +/- 7.6, lactate 2.8 +/- 0.6, BE -0.3
+/- 2.4). MAIN RESULT: In all animals, single lead fECG extraction algorithm
could not extract fECG from the maternal ECG signal over the xyphoid process with
the F1 less than 50%. SIGNIFICANCE: The applied fECG extraction algorithms might
be unsuitable for the maternal ECG signal over the xyphoid process, or the latter
does not contain strong enough fECG signal, although the lead is near the
mother's abdomen. Fetal sheep model is widely used to mimic various fetal
conditions, yet ECG recordings in a public data set form are not available to
test the predictive ability of fECG and FHR. We are making this data set openly
available to other researchers to foster non-invasive fECG acquisition in this
animal model.
PMID- 29369822
TI - Remotely controlled fusion of selected vesicles and living cells: a key issue
review.
AB - Remote control over fusion of single cells and vesicles has a great potential in
biological and chemical research allowing both transfer of genetic material
between cells and transfer of molecular content between vesicles. Membrane fusion
is a critical process in biology that facilitates molecular transport and mixing
of cellular cytoplasms with potential formation of hybrid cells. Cells precisely
regulate internal membrane fusions with the aid of specialized fusion complexes
that physically provide the energy necessary for mediating fusion. Physical
factors like membrane curvature, tension and temperature, affect biological
membrane fusion by lowering the associated energy barrier. This has inspired the
development of physical approaches to harness the fusion process at a single cell
level by using remotely controlled electromagnetic fields to trigger membrane
fusion. Here, we critically review various approaches, based on lasers or
electric pulses, to control fusion between individual cells or between individual
lipid vesicles and discuss their potential and limitations for present and future
applications within biochemistry, biology and soft matter.
PMID- 29369824
TI - Was the Enalapril Dose Too Low in the PARADIGM-HF Trial?
AB - Heart failure (HF) is a common clinical syndrome associated with significant
morbidity and mortality, and there remains a clear need for innovative therapies
that can modify disease progression. Sacubitril/valsartan (LCZ696) is a novel
complex that combines simultaneous neprilysin inhibition and angiotensin II
receptor blockade, that has demonstrated significant cardiovascular death or HF
hospitalization reduction in the Prospective Comparison of Angiotensin
Receptor/Neprilysin Inhibitor (ARNI) With Angiotensin-Converting Enzyme (ACE)
Inhibitors to Determine Impact on Global Mortality and Morbidity in Heart Failure
(PARADIGM-HF) trial when compared with evidence-based doses of the gold standard
ACE inhibitor enalapril. In this comprehensive review, the authors discuss
historical trials that have investigated clinical outcomes utilizing variable
dosing levels of ACE inhibitors or angiotensin receptor blockers in patients with
HF with reduced ejection fraction. A critical analysis of the highlighted studies
is proposed in the context of current HF management guidelines and HF clinical
practice. In conclusion, based on current evidence, it is unclear whether a
maximum recommended enalapril dose would promote improved patient outcomes
compared with an intermediate dose. However, no prospective study to date
comparing ACE inhibitor doses has documented that higher doses result in
significant mortality reduction, although the data suggest that there may be a
decrease in HF hospitalizations when compared with lower doses.
PMID- 29369825
TI - Patterns of Care and Outcomes of Hypofractionated Chemoradiation Versus
Conventionally Fractionated Chemoradiation for Glioblastoma in the Elderly
Population.
AB - PURPOSE: This study evaluated practice patterns, outcomes, and predictors of
survival for elderly patients with glioblastoma (GBM) receiving definitive
chemoradiotherapy (CRT) with either hypofractionated radiotherapy or
conventionally fractionated radiotherapy. MATERIALS AND METHODS: The National
Cancer Data Base was queried for patients age 65 years and above diagnosed with
GBM between 2006 and 2012 that received definitive CRT with either
hypofractionated radiotherapy (hCRT) or conventionally fractionated radiotherapy
(cCRT). Patient, tumor, and treatment parameters were extracted. Statistics
included Kaplan-Meier analysis to evaluate overall survival (OS) as well as Cox
proportional hazards modeling to determine variables associated with OS.
Propensity score matching was performed in order to assess groups in a balanced
manner while reducing indication biases. RESULTS: Altogether, 5126 patients met
inclusion criteria; 126 (2.5%) underwent hCRT, while 5000 (97.5%) received cCRT.
Temporal trends revealed that the use of hCRT is rising, especially in more
recent years. Patients undergoing hCRT were older, with worse performance status,
treated with biopsy only, and more likely to receive treatment at an academic
facility. cCRT was associated with improved median OS (10.7 vs. 6.2 mo, P<0.001).
This persisted in both Cox multivariate analysis (hazard ratio, 0.59; 95%
confidence interval, 0.49-0.72; P=<0.001) and on propensity-matched analysis
(median OS 8.7 vs. 6.2 mo; hazard ratio, 0.69; 95% confidence intervcal, 0.53
0.89; P=0.005). CONCLUSIONS: This is the first study to directly evaluate hCRT
versus cCRT for patients with GBM. The use of hCRT is rising over time; practice
patterns of hCRT administration are evaluated. Delivery of hCRT independently
predicted for poorer OS. Prospective data is recommended to validate the findings
herein.
PMID- 29369823
TI - iRhom2 promotes lupus nephritis through TNF-alpha and EGFR signaling.
AB - Lupus nephritis (LN) often results in progressive renal dysfunction. The inactive
rhomboid 2 (iRhom2) is a newly identified key regulator of A disintegrin and
metalloprotease 17 (ADAM17), whose substrates, such as TNF-alpha and heparin
binding EGF (HB-EGF), have been implicated in the pathogenesis of chronic kidney
diseases. Here, we demonstrate that deficiency of iRhom2 protects the lupus-prone
Fcgr2b-/- mice from developing severe kidney damage without altering anti-double
stranded DNA (anti-dsDNA) Ab production by simultaneously blocking HB-EGF/EGFR
and TNF-alpha signaling in the kidney tissues. Unbiased transcriptome profiling
of kidneys and kidney macrophages revealed that TNF-alpha and HB-EGF/EGFR
signaling pathways are highly upregulated in Fcgr2b-/- mice, alterations that
were diminished in the absence of iRhom2. Pharmacological blockade of either TNF
alpha or EGFR signaling protected Fcgr2b-/- mice from severe renal damage.
Finally, kidneys from LN patients showed increased iRhom2 and HB-EGF expression,
with interstitial HB-EGF expression significantly associated with chronicity
indices. Our data suggest that activation of iRhom2/ADAM17-dependent TNF-alpha
and EGFR signaling plays a crucial role in mediating irreversible kidney damage
in LN, thereby uncovering a target for selective and simultaneous dual inhibition
of 2 major pathological pathways in the effector arm of the disease.
PMID- 29369826
TI - Increase in transmitted drug resistance in migrants from sub-Saharan Africa
diagnosed with HIV-1 in Sweden.
AB - OBJECTIVE: To study the trends of transmitted drug resistance (TDR) in HIV-1
patients newly diagnosed in Sweden, 2010-2016. DESIGN: Register-based study
including all antiretroviral therapy-naive patients >=18 years diagnosed with HIV
1 in Sweden 2010-2016. METHODS: Patient data and viral pol sequences were
extracted from the national InfCareHIV database. TDR was defined as the presence
of surveillance drug resistance mutations (SDRMs). A CD4 T-cell decline
trajectory model estimated time of infection. Phylogenetic inference was used for
cluster analysis. Chi-square tests and logistic regressions were used to
investigate relations between TDR, epidemiological and viral factors. RESULTS:
One thousand, seven hundred and thirteen pol sequences were analyzed,
corresponding to 71% of patients with a new HIV-1 diagnosis (heterosexuals: 53%;
MSM: 34%). The overall prevalence of TDR was 7.1% (95% CI 5.8-8.3%).
Nonnucleoside reverse transcriptase inhibitor (NNRTI) TDR increased significantly
from 1.5% in 2010 to 6.2% in 2016, and was associated to infection and/or origin
in sub-Saharan Africa (SSA). An MSM transmission cluster dating back to the 1990s
with the M41L SDRM was identified. Twenty-five (1.5%) patients exhibited TDR to
tenofovir (TDF; n = 8), emtricitabine/lamivudine (n = 9) or both (n = 8).
CONCLUSION: NNRTI TDR has increased from 2010 to 2016 in HIV-1-infected migrants
from SSA diagnosed in Sweden, mirroring the situation in SSA. TDR to
tenofovir/emtricitabine, used in preexposure prophylaxis, confirms the clinical
and epidemiological need for resistance testing in newly diagnosed patients.
PMID- 29369828
TI - Development and External Validation of an Automated Computer-Aided Risk Score for
Predicting Sepsis in Emergency Medical Admissions Using the Patient's First
Electronically Recorded Vital Signs and Blood Test Results.
AB - OBJECTIVES: To develop a logistic regression model to predict the risk of sepsis
following emergency medical admission using the patient's first, routinely
collected, electronically recorded vital signs and blood test results and to
validate this novel computer-aided risk of sepsis model, using data from another
hospital. DESIGN: Cross-sectional model development and external validation study
reporting the C-statistic based on a validated optimized algorithm to identify
sepsis and severe sepsis (including septic shock) from administrative hospital
databases using International Classification of Diseases, 10th Edition, codes.
SETTING: Two acute hospitals (York Hospital - development data; Northern
Lincolnshire and Goole Hospital - external validation data). PATIENTS: Adult
emergency medical admissions discharged over a 24-month period with vital signs
and blood test results recorded at admission. INTERVENTIONS: None. MAIN RESULTS:
The prevalence of sepsis and severe sepsis was lower in York Hospital (18.5% =
4,861/2,6247; 5.3% = 1,387/2,6247) than Northern Lincolnshire and Goole Hospital
(25.1% = 7,773/30,996; 9.2% = 2,864/30,996). The mortality for sepsis (York
Hospital: 14.5% = 704/4,861; Northern Lincolnshire and Goole Hospital: 11.6% =
899/7,773) was lower than the mortality for severe sepsis (York Hospital: 29.0% =
402/1,387; Northern Lincolnshire and Goole Hospital: 21.4% = 612/2,864). The C
statistic for computer-aided risk of sepsis in York Hospital (all sepsis 0.78;
sepsis: 0.73; severe sepsis: 0.80) was similar in an external hospital setting
(Northern Lincolnshire and Goole Hospital: all sepsis 0.79; sepsis: 0.70; severe
sepsis: 0.81). A cutoff value of 0.2 gives reasonable performance. CONCLUSIONS:
We have developed a novel, externally validated computer-aided risk of sepsis,
with reasonably good performance for estimating the risk of sepsis for emergency
medical admissions using the patient's first, electronically recorded, vital
signs and blood tests results. Since computer-aided risk of sepsis places no
additional data collection burden on clinicians and is automated, it may now be
carefully introduced and evaluated in hospitals with sufficient informatics
infrastructure.
PMID- 29369827
TI - HIV-positive women have higher risk of human papilloma virus infection,
precancerous lesions, and cervical cancer.
AB - OBJECTIVE: HIV-positive women have higher human papillomavirus (HPV) prevalence
and cervical cancer incidence than HIV-negative women, partly because of HIV's
modifying effect on HPV pathogenesis. We synthesized the literature on the impact
of HIV on HPV natural history. DESIGN: Systematic review and meta-analysis.
METHODS: We searched the literature for studies evaluating HPV acquisition and
persistence or precancer progression by HIV status. Data on HPV natural history
by HIV status, CD4 cell counts, viral load, and antiretroviral therapy (ART) were
summarized using fixed effect models. RESULTS: Overall, 38 of 1845 abstracts
identified met inclusion criteria. HIV-positive women had higher HPV acquisition
[relative risk (RRpooled) 2.64, 95% confidence interval (CI) 2.04-3.42] and lower
HPV clearance (hazard ratiopooled 0.72, 95% CI 0.62-0.84) than HIV-negative
women. HPV acquisition was higher with declining CD4 cell count and was lower in
those virally suppressed on ART. HIV was associated with higher incidence of low
grade squamous intraepithelial lesions (LSIL; RRpooled 3.73, 95% CI 2.62-5.32)
and high-grade squamous intraepithelial lesions (HSIL; hazard ratiopooled 1.32,
95% CI 1.10-1.58), largely because of increased HPV persistence. ART lowered
progression from normal cytology to LSIL (hazard ratiopooled 0.65, 95% CI 0.52
0.82), but not HSIL. Cervical cancer incidence was associated with HIV positivity
(RR 4.1, 95% CI 2.3-6.6), but not with ART. CONCLUSION: HIV-positive women have
higher risk of acquiring HPV, with risk inversely associated with CD4 cell count.
ART lowered HPV acquisition, increased clearance, and reduced precancer
progression, likely via immune reconstitution. Although some of our results are
limited by small number of studies, our study can inform screening guidelines and
mathematical modeling for cervical cancer prevention.
PMID- 29369829
TI - Primary Angiitis of the Central Nervous System Mimicking Sporadic Creutzfeldt
Jakob Disease: A Case Study.
PMID- 29369830
TI - Population specific genetic heterogeneity of familial hypercholesterolemia in
South Africa.
AB - PURPOSE OF REVIEW: To describe the prevalence and population-specific genetic
heterogeneity of familial hypercholesterolemia in South Africa. RECENT FINDINGS:
This review highlights the paucity of data on familial hypercholesterolemia in
South Africa, and the urgent need to uncover the mutation profiles in lipid
associated genes, causing an increase in LDL-cholesterol in the different ethnic
groups. Case reports and small studies have shown that familial
hypercholesterolemia, although apparently uncommon, is present in black Africans.
SUMMARY: Local founder effects have led to an increased prevalence of familial
hypercholesterolemia in several South African populations: Afrikaner founder
mutations (c.681 C>G, c.1285 G>A, c.523 G>A), Ashkenazi founder mutation
(c.654_656del) and possible Indian founder mutation (c.2054 C>T). Preliminary
data in black Africans with elevated LDL-cholesterol identified a possible common
mutation, c.137_142del. The South African multiethnic society and well described
founder effects emphasize the need for differential approaches to diagnosis and
management of familial hypercholesterolemia. Studies involving larger cohorts and
inclusive of different ethnicities are paramount to establishing an accurate
prevalence of familial hypercholesterolemia in black Africans, not only in South
Africa but in the Sub-Saharan African region. It is clear that the estimated
world prevalence of one in 250 cannot be generally applied across African
populations.
PMID- 29369831
TI - Novel metabolic phenotypes in lecithin cholesterol acyltyransferase-deficient
mice.
AB - PURPOSE OF REVIEW: Lecithin cholesterol acyltyransferase (LCAT) deficiency is a
rare monogenic disorder causing lipoprotein dysregulation and multiple organ
dysfunctions, including renal impairment. LCAT knockout mice have been shown
informative in elucidating mechanisms of many major clinical morbid phenotypes.
Extended characterization of the LDL receptor/LCAT double knockout (Ldlr/Lcat-DKO
or DKO) mice had led to the discovery of a number of novel protective metabolic
phenotypes, including resistance to obesity, nonalcoholic steatohepatitis (NASH)
and insulin resistance. We seek to integrate the findings to explore novel
pathogenic pathways. RECENT FINDINGS: The chow fed DKO mice were found more
insulin sensitive than their Ldlr-KO controls. Joint analyses of the three
strains (DKO, Ldlr-KO and wild-type) revealed differential metabolic responses to
a high cholesterol diet (HCD) vs. high-fat diet (HFD). DKO mice are protected
from HFD-induced obesity, hepatic endoplasmic reticulum (ER) stress, insulin
resistance, ER cholesterol and NASH markers (steatosis and inflammasomes). Joint
analysis revealed the HFD-induced NASH is dependent on de-novo hepatic
cholesterol biosynthesis. DKO mice are protected from HCD-induced hepatic ER
stress, ER cholesterol, but not NASH, the latter likely due to cholesterol
crystal accumulation. DKO mice were found to develop ectopic brown adipose tissue
(BAT) in skeletal muscle. Ectopic BAT derived in part from myoblast in utero and
from adult satellite cells. Primed expression of PRDM16 and UCP in quiescent
satellite cell caused by LCAT deficiency synergizes with cell cholesterol
depletion to induce satellite cell-to-BAT transdifferentiation. SUMMARY:
Metabolic phenotyping of selective LCAT null mice led to the discovery of novel
metabolically protective pathways.
PMID- 29369832
TI - Regression of atherosclerosis: lessons learned from genetically modified mouse
models.
AB - PURPOSE OF REVIEW: Regression, or reversal, of atherosclerosis has become an
important clinical objective. The development of consistent models of murine
atherosclerosis regression has accelerated this field of research. The purpose of
this review is to highlight recent mouse studies that reveal molecular mechanisms
as well as therapeutics targeted for regression. RECENT FINDINGS: Atherosclerosis
regression does not involve the same mechanisms as progression in reverse order.
Distinct molecular processes within the plaque characterize regression. These
processes remained elusive until the advent of murine regression models including
aortic transplant, the Reversa mouse, gene complementation and dietary
intervention. Studies revealed that depletion of plaque macrophages is a
quintessential characteristic of regression, driven by reduced monocyte
recruitment into plaques, increased egress of macrophages from plaques and
reduced macrophage proliferation. In addition, regression results in polarization
of remaining plaque macrophages towards an anti-inflammatory phenotype, smaller
necrotic cores and promotion of an organized fibrous cap. Furthermore, type 1
diabetes hinders plaque regression, and several therapeutic interventions show
promise in slowing plaque progression or inducing regression. SUMMARY: Mouse
models of atherosclerosis regression have accelerated our understanding of the
molecular mechanisms governing lesion resolution. These insights will be valuable
in identifying therapeutic targets aimed at atherosclerosis regression.
PMID- 29369833
TI - Athletic Remodeling in Female College Athletes, the "Morganroth Hypothesis"
Revisited.
AB - BACKGROUND: There is limited data regarding ventricular remodeling in college
female athletes, especially when appropriate scaling of cardiac dimensions to
lean body mass (LBM) is considered. Moreover, it is not well established whether
cardiac remodeling in female athletes is a balanced process with proportional
increase in left ventricular (LV) mass and volume or the right and LV size.
METHODS: During the preparticipation competitive screening, 72 female college
athletes volunteered to undergo dual energy x-ray absorptiometry scan for
quantification of LBM and comprehensive 2D echocardiography including assessment
of longitudinal myocardial strain. The athletes were divided in 2 groups
according to the intensity of the dynamic and static components of their sport
categories, ie, a higher intensity dynamic and resistive group (n = 37
participating in rowing, water polo and lacrosse) and a lower intensity group (n
= 35, participating in short distance running, sailing, synchronized swimming,
and softball). In addition, we recruited a group of 31 age-matched nonathlete
controls. RESULTS: The mean age of the study population was 18.7 +/- 1.0 years.
When scaled to body surface area, the higher intensity group had 17.1 +/- 3.6% (P
< 0.001) greater LV mass when compared with the lower intensity group and 21.7 +/
4.0% (P < 0.001) greater LV mass than the control group. The differences
persisted after scaling to LBM with 14.2 +/- 3.2% (P < 0.001) greater LV mass in
the higher intensity group. By contrast, there was no difference in any of the
relative remodeling indices including the LV mass to volume ratio, right to LV
area ratio, or left atrial to LV volume ratio (P > 0.50 for all). In addition, no
significant difference was noted among the 3 groups in LV ejection fraction (P =
0.22), LV global longitudinal strain (P = 0.55), LV systolic strain rate (P =
0.62), or right ventricular global longitudinal strain (P = 0.61). CONCLUSION:
Female collegiate athletes participating in higher intensity dynamic and
resistive sports have higher indexed LV mass even when scaled to LBM. The
remodeling process does however appear to be a balanced process not only at the
intraventricular level but also at the interventricular and atrioventricular
levels.
PMID- 29369834
TI - Oral Hypertonic Saline Is Effective in Reversing Acute Mild-to-Moderate
Symptomatic Exercise -Associated Hyponatremia.
AB - OBJECTIVES: To determine whether oral administration of 3% hypertonic saline
(HTS) is as efficacious as intravenous (IV) 3% saline in reversing symptoms of
mild-to-moderate symptomatic exercise-associated hyponatremia (EAH) in athletes
during and after a long-distance triathlon. DESIGN: Noninferiority, open-label,
parallel-group, randomized control trial to IV or oral HTS. We used permuted
block randomization with sealed envelopes, containing the word either "oral" or
"IV." SETTING: Annual long-distance triathlon (3.8-km swim, 180-km bike, and 42
km run) at Mont-Tremblant, Quebec, Canada. PARTICIPANTS: Twenty race finishers
with mild to moderately symptomatic EAH. INDEPENDENT VARIABLES: Age, sex, race
finish time, and 9 clinical symptoms. MAIN OUTCOME MEASURES: Time from treatment
to discharge. METHODS: We successfully randomized 20 participants to receive
either an oral (n = 11) or IV (n = 9) bolus of HTS. We performed venipuncture to
measure serum sodium (Na) at presentation to the medical clinic and at time of
symptom resolution after the intervention. RESULTS: The average time from
treatment to discharge was 75.8 minutes (SD 29.7) for the IV treatment group and
50.3 minutes (SD 26.8) for the oral treatment group (t test, P = 0.02). Serum Na
before and after treatment was not significantly different in both groups. There
was no difference on presentation between groups in age, sex, or race finish
time, both groups presented with an average of 6 symptoms. CONCLUSIONS: Oral HTS
is effective in reversing symptoms of mild-to-moderate hyponatremia in EAH.
PMID- 29369835
TI - Association of aortic valve disease with intestinal angioectasia: data from the
Nationwide Inpatient Sample.
AB - OBJECTIVE: Since the description of a correlation between aortic stenosis and
angioectasia, controversy has persisted about whether these diseases are truly
associated or coincidental findings of older age. Our objective was to determine
the association of aortic valve disease and bleeding intestinal angioectasia from
a large database. PATIENTS AND METHODS: We used the 2011 Nationwide Inpatient
Sample database to identify hospitalizations in the USA in patients with bleeding
intestinal angioectasia. International Classification of Diseases, 9th revision,
Clinical Modification codes were used to identify patients with aortic valve
disease, mitral valve disease, and known risk factors for angioectasia (including
diagnosed von Willebrand disease, left ventricular assist device, and chronic
kidney disease). Univariate and multivariate logistic regression were used to
determine the odds of association between the valvular diseases and angioectasia.
RESULTS: A total of 32 079 intestinal angioectasia-related hospitalizations were
identified of which 7.02% (n=2253) cases had coexistent aortic valve disease. The
unadjusted odds of aortic valve disease in association with bleeding intestinal
angioectasia versus those without bleeding angioectasia was 4.95 [95% confidence
interval: (CI): 4.43-5.54, P<0.001]. The association of intestinal angioectasia
with mitral valve disease was not significant (odds ratio=1.56, 95% CI: 0.59
4.14, P=0.38). When adjusted for age and known risk factors, the odds of aortic
valve disease in bleeding intestinal angioectasia was still significant (odds
ratio=2.37, 95% CI: 2.10-2.66, P<0.001). CONCLUSION: Our findings support an
important association between aortic valve disease and bleeding intestinal
angioectasia, not identified in valvular heart valvular diseases with lower shear
stress (mitral valve disease).
PMID- 29369836
TI - Congenital malformation in offspring of female cancer survivors: a national
cohort study.
AB - Current evidence on congenital malformations in the offspring of cancer survivors
is largely inconsistent. Therefore, through this study we aimed to explore the
prevalence of congenital malformations in the offspring of cancer survivors. To
this end, female cancer survivors were identified from the Swedish Cancer
Register and were further linked to the Swedish Medical Birth Register and
Hospital Discharge Register to identify congenital malformation in their children
at birth or during adulthood between 1987 and 2010. Multivariate logistic
regression was used to estimate odds ratios and 95% confidence intervals for the
association between congenital malformation and maternal cancer diagnosis. A
total of 816 congenital malformations were noted among 9266 children of maternal
cancer survivors, and the rate was 8.8%, whereas the rate in the general
population was 7.7%. After adjusting for some confounding factors, we found that
the risk for congenital malformation in children of cancer survivors was
significantly increased with an odds ratio of 1.11 and 95% confidence interval of
1.04-1.20 as compared with that in controls. The increased risk was largely
consistent irrespective of maternal age at diagnosis of cancer. The risk for
congenital malformation was increased among offspring of female cancer survivors,
which calls for further attention directed toward those cancer survivors who plan
to have children.
PMID- 29369837
TI - American Urogynecologic Society Consensus Statement: Cystoscopy at the Time of
Prolapse Repair.
AB - Injury to the urinary tract is a known risk of surgical repair of anterior and
apical pelvic organ prolapse. Cystoscopy at the time of surgical prolapse repair
is a low-risk procedure that can identify genitourinary tract injury by
inspecting the bladder and urethra as well as by visualizing the ureters and
ureteral efflux. There are several techniques to assist with visualization of
ureteral efflux. Identifying injury intraoperatively may allow for mitigation of
the morbidity of the injury. Universal cystoscopy should be performed at the time
of all pelvic reconstructive surgeries, with the exception of operations solely
for posterior compartment defects.
PMID- 29369838
TI - Abdominal Sacrocolpopexy for Repair of Pelvic Organ Prolapse After Radical
Cystectomy.
AB - INTRODUCTION: Most case series describing surgical repair for pelvic organ
prolapse (POP) after radical cystectomy (RC) focus on transvaginal repairs. We
present our experience of POP after RC repaired by abdominal mesh sacrocolpopexy
(ASC) with long-term follow-up. METHODS: Two women with previous RC with ileal
conduit diversion underwent open ASC for repair of apical prolapse with
concomitant enterocele. Prolapse severity was assessed using the POP
quantification staging system, whereas pelvic imaging was performed with magnetic
resonance imaging defecography. RESULTS: One patient had no POP recurrence at
follow-up of 45 months after ASC. The other patient had anterior enterocele
recurrence at 11 months after ASC and was treated with a limited outpatient
transvaginal repair. She had no POP recurrence at 12 months after secondary
repair. Both ASC procedures had technical challenges related to pelvic dissection
of adhesed loops of bowels, access to the promontory, and retroperitonealization
requiring the assistance of a general surgeon. Neither case had perioperative
complications. CONCLUSION: In women with large enterocele or vaginal vault
prolapse after RC, repair with ASC, although technically challenging, can offer
durable POP outcomes.
PMID- 29369839
TI - American Urogynecologic Society Best-Practice Statement: Recurrent Urinary Tract
Infection in Adult Women.
PMID- 29369840
TI - Patients' Knowledge of and Attitude Toward Robotic Surgery for Pelvic Organ
Prolapse.
AB - OBJECTIVES: Robotic sacrocolpopexy for pelvic organ prolapse (POP) has increased,
along with marketing and media coverage. It is unknown whether this exposure
influences patients' opinions on POP repair. This study describes the preference
for and knowledge of robotic surgery in women with POP. METHODS: We performed a
cross-sectional survey of new patients presenting with POP at 7 academic sites.
Subjects had no prior surgical counseling. A self-administered questionnaire was
designed to investigate robotic surgery knowledge, preference, and exposure.
Subjects expressed their preferred route of POP repair (robotic, vaginal,
abdominal, laparoscopic, or no preference). Knowledge was determined by the
number of correctly answered questions (range, 0-7). Perception of robotic
surgery was compared with other surgical routes. RESULTS: One hundred seventy-six
subjects were included. Most had no surgical preference (66.3%), whereas 27.3%
preferred nonrobotic and 6.4% preferred robotic routes. The mean knowledge score
was 2.3 (SD, 1.7). Women preferring robotic surgery were more likely to view it
as faster than laparoscopic surgery (P < 0.001). These same subjects did not
perceive any advantages for robotic surgery related to blood loss, pain, and
organ injury (P > 0.05). Most reported no prior exposure to robotic surgery
information (56.2%) or advertisements (65.2%). Those with prior exposure most
frequently obtained information via the Internet and encountered hospital
advertisements. CONCLUSIONS: The majority of women with POP reported no
preference for robotic approach to POP surgery. Knowledge about robotic surgery
was low, even among subjects who expressed preference. Comprehensive counseling
may help patients make informed decisions even when surgical preferences exist.
PMID- 29369841
TI - Making Progress in Pelvic Floor Disorders Research: We Can't Do It Alone.
PMID- 29369843
TI - Smartwatch feedback device for high-quality chest compressions by a single
rescuer during infant cardiac arrest: a randomized, controlled simulation study.
AB - OBJECTIVE: According to the guidelines, rescuers should provide chest
compressions (CC) ~1.5 inches (40 mm) for infants. Feedback devices could help
rescuers perform CC with adequate rates (CCR) and depths (CCD). However, there is
no CC feedback device for infant cardiopulmonary resuscitation (CPR). We suggest
a smartwatch-based CC feedback application for infant CPR. PARTICIPANTS AND
METHODS: We created a smartwatch-based CC feedback application. This application
provides feedback on CCD and CCR by colour and text for infant CPR. To evaluate
the application, 30 participants were divided randomly into two groups on the
basis of whether CC was performed with or without the assistance of the
smartwatch application. Both groups performed continuous CC-only CPR for 2 min on
an infant mannequin placed on a firm table. We collected CC parameters from the
mannequin, including the proportion of correct depth, CCR, CCD and the proportion
of correct decompression depth. RESULTS: Demographics between the two groups were
not significantly different. The median (interquartile range) proportion of
correct depth was 99 (97-100) with feedback compared with 83 (58-97) without
feedback (P=0.002). The CCR and proportion of correct decompression depth were
not significantly different between the two groups (P=0.482 and 0.089). The CCD
of the feedback group was significantly deeper than that of the control group
[feedback vs. CONTROL: 41.2 (39.8-41.7) mm vs. 38.6 (36.1-39.6) mm; P=0.004].
CONCLUSION: Rescuers who receive feedback of CC parameters from a smartwatch
could perform adequate CC during infant CPR.This is an open-access article
distributed under the terms of the Creative Commons Attribution-Non Commercial-No
Derivatives License 4.0 (CCBY-NC-ND), where it is permissible to download and
share the work provided it is properly cited. The work cannot be changed in any
way or used commercially without permission from the journal.
http://creativecommons.org/licenses/by-nc-nd/4.0/.
PMID- 29369845
TI - Effect of Angiotensin II on Matrix Metalloproteinase-2 Secretion in Human
Umbilical Vein Endothelial Cells.
AB - Matrix metalloproteinase (MMP), which is secreted from vascular cells, is an
enzyme-degrading extracellular matrix protein. MMP molecules, including MMP-2,
are involved in the destabilization of atherosclerotic plaque and plaque rupture
during the development of cardiovascular disease. Angiotensin II (Ang-II), a
vascular stimulant associated with cardiovascular disease progression, has been
demonstrated to be mainly involved in cardiovascular remodeling of
atherosclerosis and cardiac hypertrophy. This study was performed to investigate
the regulation of MMP-2 by Ang-II in human umbilical vein endothelial cells
(HUVECs). Ang-II significantly increased MMP-2 secretion and MMP-2 messenger RNA
expression in HUVECs. The effects of Ang-II were suppressed by the coexistence of
telmisartan, a blocker of the Ang-II receptor type 1 (AT1 receptor), or PD123319,
a blocker of Ang-II receptor type 2 (AT2 receptor). Especially, PD123319 showed
marked suppression of the effect of Ang-II on MMP-2. Therefore, Ang-II-induced
upregulation of MMP-2 in HUVECs was considered to be mainly achieved through AT2
receptors, although AT1 and AT2 receptors were expressed in HUVECs, but the
detailed mechanisms remain undefined. These findings suggest that Ang-II can
enhance MMP-2 mainly through AT2 receptors in endothelial cells, but the
significance of circulating MMP-2 as a cardiovascular biomarker requires
confirmation in further clinical studies.
PMID- 29369844
TI - Modification Patterns of Urinary Albumin Correlates With Serum Albumin and
Outcome in Severe Alcoholic Hepatitis.
AB - BACKGROUND AND AIMS: Albumin modifications and deranged functions are well
documented in serum of severe alcoholic hepatitis (SAH). We investigated whether
urinary albumin (u-Alb) can serve as surrogate marker of circulatory albumin
phenotype, functionality, and could predict outcome in SAH patients. PATIENTS AND
METHODS: Baseline serum and urine samples from 100 SAH, 20 alcoholic cirrhosis,
and 20 healthy controls were subjected to u-Alb, ischemia modified albumin (IMA),
IMA to albumin ratio (IMAr), advanced oxidation protein products, advanced
glycation end-products, albumin-binding capacity determination. In addition, SAH
urinary samples were also analyzed at day 4 and day 7 to predict nonresponse to
corticosteroid therapy. RESULTS: Urine and serum levels of IMA, advanced
oxidation protein products and advanced glycation end-products were higher
(P<0.05) in SAH versus alcoholic cirrhosis and healthy controls. IMAr was low in
urine but high in serum of SAH (P<0.05). Albumin-binding capacity was lower
(P<0.05) in both urinary and serum albumin of SAH. Urinary and serum albumin
parameters showed direct correlation, whereas IMAr showed inverse correlation
(cc>0.2, P<0.05). Baseline u-Alb level was significantly higher in SAH, and was
correlated directly with corticosteroid treatment outcome and 12-month mortality
in SAH. Baseline u-Alb showed an area under the receivers operating curve
analysis of 0.7 and a hazard ratio of 1.23 for prediction of 12-month mortality
in SAH. Baseline u-Alb level >9.0 mg/dL was associated with reduced 12-month
survival in SAH (log rank <0.01). CONCLUSIONS: u-Alb modifications are reflective
of serum albumin modifications. Further baseline u-Alb levels could be exploited
to predict steroid response and mortality in SAH patients.
PMID- 29369846
TI - Fidget Spinner Ingestion.
PMID- 29369848
TI - Commentary: Button Batteries in Fidget Spinners: Is It Time to Push the "Panic
Button"?
PMID- 29369847
TI - Button Battery Powered Fidget Spinners: A Potentially Deadly New Ingestion Hazard
for Children.
AB - Toys entering the marketplace may have unrecognized hazard risks until data on
injury become known. The fidget spinner is a new popular toy mass marketed to
children and is primarily sold without warning labels. The US Consumer Product
Safety Commission has recently issued a formal statement on potential safety
concerns related to ingestion of the toy parts and other hazards. Button
batteries within this toy pose the greatest danger to children as ingestion can
lead to lethal injury. We report 2 cases of children who swallowed a button
battery from a fidget spinner, causing severe esophageal injury. Various aspects
of this type of ingestion important for clinicians to be aware of are reviewed.
PMID- 29369849
TI - Sirtuin 1 regulates pulmonary artery smooth muscle cell proliferation: role in
pulmonary arterial hypertension.
AB - OBJECTIVE: Energy metabolism shift from oxidative phosphorylation toward
glycolysis in pulmonary artery smooth muscle cells (PASMCs) is suggested to be
involved in their hyperproliferation in pulmonary arterial hypertension (PAH).
Here, we studied the role of the deacetylase sirtuin1 (SIRT1) in energy
metabolism regulation in PASMCs via various pathways including activation of
peroxisome proliferator-activated receptor gamma coactivator 1-alpha (PGC
1alpha), master regulator of mitochondrial biogenesis. APPROACH AND RESULTS:
Contents of PGC-1alpha and its downstream targets as well as markers of
mitochondrial mass (voltage-dependent anion channel and citrate synthase) were
diminished in human PAH PASMCs. These cells and platelet-derived growth factor
stimulated rat PASMCs demonstrated a shift in cellular acetylated/deacetylated
state, as evidenced by the increase of the acetylated forms of SIRT1 targets:
histone H1 and Forkhead box protein O1. Rat and human PASMC proliferation was
potentiated by SIRT1 pharmacological inhibition or specific downregulation via
short-interfering RNA. Moreover, after chronic hypoxia exposure, SIRT1 inducible
knock out mice displayed a more intense vascular remodeling compared with their
control littermates, which was associated with an increase in right ventricle
pressure and hypertrophy. SIRT1 activator Stac-3 decreased the acetylation of
histone H1 and Forkhead box protein O1 and strongly inhibited rat and human PASMC
proliferation without affecting cell mortality. This effect was associated with
the activation of mitochondrial biogenesis evidenced by higher expression of
mitochondrial markers and downstream targets of PGC-1alpha. CONCLUSION: Altered
acetylation/deacetylation balance as the result of SIRT1 inactivation is involved
in the pathogenesis of PAH, and this enzyme could be a promising therapeutic
target for PAH treatment.
PMID- 29369850
TI - Suture Stenting of a Tube Fenestration for Early Intraocular Pressure Control
After Baerveldt Glaucoma Implant Surgery.
AB - PURPOSE: To evaluate the efficacy and safety of a tube fenestration stented with
a 10-0 polyglactin suture for controlling early postoperative intraocular
pressure (IOP) after Baerveldt glaucoma implant (BGI) surgery. METHODS: The
medical records of 110 patients (119 eyes) who underwent BGI surgery with a tube
fenestration stented with a 10-0 polyglactin suture anterior to an occlusive tube
ligature were retrospectively reviewed. Main outcome measures included IOP and
number of glaucoma medications at postoperative day 1, week 1, and weeks 2 to 3
as well as complications occurring before ligature release. RESULTS: Mean+/-SD
preoperative IOP was 30.9+/-9.3 mm Hg using an average of 3.8+/-1.1 glaucoma
medications. A statistically significant reduction in IOP and glaucoma
medications was observed at all timepoints during the first 3 postoperative weeks
compared with baseline (P<0.001). Mean IOP on postoperative day 1, week 1, and
weeks 2 to 3 was 18.4+/-12.2 mm Hg on no medication, 15.9+/-9.4 mm Hg on 1.0+/
1.3 medications, and 16.7+/-8.2 mm Hg on 1.2+/-1.5 medications, respectively. In
total, 44 eyes (37%) achieved IOP control without glaucoma medication during
period of tube occlusion. CONCLUSIONS: The use of a single, monofilament 10-0
polyglactin suture to stent a fenestration proximal to the occlusive ligature of
a BGI tube is effective in controlling IOP in the early postoperative period.
Hypotony-related complications were infrequent and resolved in all cases with in
office interventions.
PMID- 29369851
TI - Psychometric Properties of the Glaucoma Treatment Compliance Assessment Tool
(GTCAT) in a Brazilian Population.
AB - PURPOSE: To determine the psychometric properties of a reduced version of the
Glaucoma Treatment Compliance Assessment Tool (GTCAT), which was translated to
Brazilian Portuguese. PATIENTS AND METHODS: We translated into Portuguese the
GTCAT using validated techniques and administered it to a group of glaucoma
patients using at least 1 ocular hypotensive eye drop medication. We used
principal components analysis to determine construct validity, Rasch analysis,
and Cronbach alpha for internal consistency reliability, frequency analysis for
floor and ceiling effects, and Spearman rho for test-retest reliability. RESULTS:
We included 76 glaucoma patients. Principal component analysis loaded 14
questions into 7 components that were consistent with the Health Belief Model.
The components were related to "barriers due to lack of drops," "self-efficacy,"
"experience of negative effects of the disease," "well-being," "general glaucoma
knowledge," "glaucoma symptoms," and "cues-to-action." No statements had floor or
ceiling effects, and all statements had acceptable test-retest reliability.
Components had internal consistency Cronbach alpha reliability between 0.125 and
0.794. and average Spearman rho reliability was 0.73, ranging from 0.44 to 1.00.
According to Rasch analysis, the mean (+/-SD) of the person measures was 0.24+/
0.15 logits, person separation index was 0.58, and person reliability 0.25.
CONCLUSIONS: The Portuguese-translated version of the GTCAT showed acceptable
psychometric properties. With further refinement, clinicians and researchers
could use it to better investigate glaucoma adherence issues in the Brazilian
population.
PMID- 29369852
TI - Nurses at the Bedside-Who Will Be Left to Care?
AB - Many experienced RNs plan to leave acute care.
PMID- 29369853
TI - Prioritizing Care Despite Personal Judgments.
PMID- 29369854
TI - Veterans and Mental Health.
PMID- 29369855
TI - Nursing Students and Ethical Development.
PMID- 29369856
TI - Involving Nursing Assistants in Nursing Home QI.
AB - Staff engagement and input are crucial to project success.
PMID- 29369857
TI - Nurse-Led Health Clinics Show Positive Outcomes.
AB - But political and fiscal challenges remain.
PMID- 29369858
TI - Updated Recommendations for Women's Health.
AB - ACOG addresses important issues in contraception and cesarean delivery.
PMID- 29369860
TI - The CDC Updates Guidelines for Congenital Zika.
AB - New research shows most children with Zika present with only two of the four main
symptoms.
PMID- 29369862
TI - Reducing Heart Failure Readmissions Has Risks.
AB - Study finds mortality rates may increase as readmission rates drop.
PMID- 29369865
TI - Nurses Pass the Baton: Exit Baby Boomers, Enter Millennials.
AB - Can this shifting workforce circumvent a nursing shortage?
PMID- 29369866
TI - Opioid Prescribing in the United States.
AB - Evidence-based information for nurses on the risks and benefits of prescription
opioids.
PMID- 29369869
TI - Removing Practice Barriers.
AB - States continue to expand practice authority and innovation opportunities for
APRNS.
PMID- 29369873
TI - Moving Closer to the 2020 BSN-Prepared Workforce Goal.
AB - : One of the recommendations of the landmark Future of Nursing: Leading Change,
Advancing Health report was to increase the proportion of nurses with a bachelor
of science in nursing or higher degree to 80% by 2020. In 2012, the American
Organization of Nurse Executives was selected by the Robert Wood Johnson
Foundation as the National Program Office for a new initiative-the Academic
Progression in Nursing (APIN) program-with the goal of identifying and developing
the most promising strategies for creating a more highly educated nursing
workforce. This article discusses the findings of APIN's four-year project.
PMID- 29369874
TI - Excessive Dosing of Obeticholic Acid May Increase Risk of Liver Damage.
PMID- 29369875
TI - FDA Offers Educational Resources on Biosimilar and Interchangeable Products.
PMID- 29369876
TI - Potential Drug Interactions with Sodium Polystyrene Sulfonate.
PMID- 29369877
TI - A Multidisciplinary QI Initiative to Improve OR-ICU Handovers.
AB - : : Background: Handover from the operating room (OR) staff to the ICU staff is a
critical transition time for patients, in which the potential for error and
miscommunication is high. Therefore, minimization of extraneous interruptions
during the exchange of crucial information between the anesthesia and surgical
teams and the nursing, respiratory therapy, and medical teams is imperative.
OBJECTIVES: The aim of this quality improvement (QI) initiative was, first, to
examine the impact of a standardized handover process between the OR and the ICU
on process and information-sharing errors, and second, to examine provider
satisfaction with the handover process. METHODS: We conducted prospective
observations of the handover process before and after implementation of the QI
initiative. In the pre-process improvement period, 38 cardiothoracic patients
were observed during handover. In the post-process improvement period, 38
patients were observed after implementation of the newly developed, standardized
handover process and communication template. Provider satisfaction surveys were
distributed at each observation during the pre- and post-process improvement
periods. RESULTS: Compared with the pre-process improvement period, there was a
significant decrease in interruptions during report in the post-process
improvement period (1.7 +/- 1.1 to 0.13 +/- 0.34). There were also significantly
fewer handover process errors (6.1 +/- 2.8 to 1.7 +/- 1.5), and fewer information
sharing errors (5.2 +/- 2.7 to 2.3 +/- 1.5). Average report time increased
slightly, from 13.2 +/- 6.8 minutes to 14.6 +/- 3.8 minutes, but the increase was
not significant. A total of 211 provider satisfaction surveys were completed in
the pre-process improvement period and 95 in the post-process improvement period.
Providers in all disciplines completed surveys in both time periods, and there
was no significant difference in the percentage of respondents from any
discipline. Responses to the following survey items showed significant
improvement in the post-process improvement period: surgery report was
satisfactory, anesthesia report was satisfactory, could hear all the report, pre
op anesthesia information was helpful, and start and end of handover were clear.
Post-process improvement as well, more respondents disagreed that the person
handing off the patient was under time pressure and that the person taking on
responsibility for the patient was under time pressure. CONCLUSION: A
standardized OR-ICU handover process developed by a multidisciplinary team
decreased handover process and information-sharing errors and increased provider
satisfaction, with no significant increase in handover time.
PMID- 29369878
TI - Caring for Aging Skin.
AB - : This article is part of a series, Supporting Family Caregivers: No Longer Home
Alone, published in collaboration with the AARP Public Policy Institute. Results
of focus groups, conducted as part of the AARP Public Policy Institute's No
Longer Home Alone video project, supported evidence that family caregivers aren't
given the information they need to manage the complex care regimens of family
members. This series of articles and accompanying videos aims to help nurses
provide caregivers with the tools they need to manage their family member's
health care at home.The articles in this new installment of the series provide
simple and useful instructions that nurses should reinforce with family
caregivers who perform wound care tasks. Each article also includes an
informational tear sheet-Information for Family Caregivers-that contains links to
instructional videos. To use this series, nurses should read the article first,
so they understand how best to help family caregivers, and then encourage
caregivers to watch the videos and ask questions. For additional information, see
Resources for Nurses.
PMID- 29369879
TI - The Effect of Yoga on Chronic Nonspecific Low Back Pain.
AB - Editor's note: This is a summary of a nursing care-related systematic review from
the Cochrane Library. For more information, see http://nursingcare.cochrane.org.
PMID- 29369880
TI - The 'IT' Nurse.
AB - For more than 30 years, Judy Murphy has been a leader in nursing informatics.
PMID- 29369881
TI - A Brief Meditation on Love, Loss, and Nursing.
PMID- 29369882
TI - Assessing Aggression Management Programs for Nurses.
PMID- 29369883
TI - Opioid and Nonopioid Analgesics Provide Similar Relief From Acute Extremity Pain.
PMID- 29369884
TI - Cataract Surgery is Associated with Lower Mortality in Older Women.
PMID- 29369885
TI - Percutaneous Coronary Intervention in Stable Angina.
PMID- 29369886
TI - The Hands.
AB - A neonatal ICU nurse makes a lasting impression on a new mother.
PMID- 29369887
TI - Grade Experience of Online Nurse Practitioner Students: Effects of Taking More
Than 1 Clinical Course per Term.
AB - This study examined the grade experience for online nurse practitioner students
(N = 3760) who took more than 1 clinical course per academic term as compared
with those who did not. Students who had more than 1 clinical course per term had
a greater percentage of clinical course failures than other students (2.1% vs
0.8%, P = .001). Nurse practitioner programs should develop clear policies and
guidelines for students taking more than 1 clinical course at a time.
PMID- 29369888
TI - Q Methodology: Teaching Strategy to Promote Student Engagement.
PMID- 29369889
TI - Curriculum Evaluation Using Path Analysis.
AB - The purpose of this study was to explore the application of path analysis to
evaluate the curriculum model and provide guidance in course sequencing. Using
statistical package R to add and subtract various path connections, the
curriculum model was improved to a proposed curriculum model, which passed the
exact-fit test (chi42 = 45.612, with P = .286 > .05). Path analysis provided an
objective method to evaluate the curriculum model and course sequencing in the
baccalaureate program under study, while informing possible placement of courses.
PMID- 29369890
TI - Cyclosporine before Coronary Artery Bypass Grafting Does Not Prevent
Postoperative Decreases in Renal Function: A Randomized Clinical Trial.
AB - BACKGROUND: Acute kidney injury is a common complication after cardiac surgery,
leading to increased morbidity and mortality. One suggested cause for acute
kidney injury is extracorporeal circulation-induced ischemia-reperfusion injury.
In animal studies, cyclosporine has been shown to reduce ischemia-reperfusion
injury in the kidneys. We hypothesized that administering cyclosporine before
extracorporeal circulation could protect the kidneys in patients undergoing
cardiac surgery. METHODS: The Cyclosporine to Protect Renal Function in Cardiac
Surgery (CiPRICS) study was an investigator-initiated, double-blind, randomized,
placebo-controlled, single-center study. The primary objective was to assess if
cyclosporine could reduce acute kidney injury in patients undergoing coronary
artery bypass grafting surgery with extracorporeal circulation. In the study, 154
patients with an estimated glomerular filtration rate of 15 to 90 ml . min . 1.73
m were enrolled. Study patients were randomized to receive 2.5 mg/kg cyclosporine
or placebo intravenously before surgery. The primary endpoint was relative plasma
cystatin C changes from the preoperative day to postoperative day 3. Secondary
endpoints included biomarkers of kidney, heart, and brain injury. RESULTS: All
enrolled patients were analyzed. The cyclosporine group (136.4 +/- 35.6%) showed
a more pronounced increase from baseline plasma cystatin C to day 3 compared to
placebo (115.9 +/- 30.8%), difference, 20.6% (95% CI, 10.2 to 31.2%, P < 0.001).
The same pattern was observed for the other renal markers. The cyclosporine group
had more patients in Risk Injury Failure Loss End-stage (RIFLE) groups R (risk),
I (injury), or F (failure; 31% vs. 8%, P < 0.001). There were no differences in
safety parameter distribution between groups. CONCLUSIONS: Administration of
cyclosporine did not protect coronary artery bypass grafting patients from acute
kidney injury. Instead, cyclosporine caused a decrease in renal function compared
to placebo that resolved after 1 month.
PMID- 29369891
TI - On Coming Back.
PMID- 29369892
TI - Competence: The Link between Education and Quality Patient Care.
PMID- 29369893
TI - Effects of Ambient Temperature and Forced-air Warming on Intraoperative Core
Temperature: A Factorial Randomized Trial.
AB - BACKGROUND: The effect of ambient temperature, with and without active warming,
on intraoperative core temperature remains poorly characterized. The authors
determined the effect of ambient temperature on core temperature changes with and
without forced-air warming. METHODS: In this unblinded three-by-two factorial
trial, 292 adults were randomized to ambient temperatures 19 degrees , 21 degrees
, or 23 degrees C, and to passive insulation or forced-air warming. The primary
outcome was core temperature change between 1 and 3 h after induction. Linear
mixed-effects models assessed the effects of ambient temperature, warming method,
and their interaction. RESULTS: A 1 degrees C increase in ambient temperature
attenuated the negative slope of core temperature change 1 to 3 h after
anesthesia induction by 0.03 (98.3% CI, 0.01 to 0.06) degrees Ccore/(h degrees
Cambient) (P < 0.001), for patients who received passive insulation, but not for
those warmed with forced-air (-0.01 [98.3% CI, -0.03 to 0.01] degrees Ccore/[h
degrees Cambient]; P = 0.40). Final core temperature at the end of surgery
increased 0.13 degrees C (98.3% CI, 0.07 to 0.20; P < 0.01) per degree increase
in ambient temperature with passive insulation, but was unaffected by ambient
temperature during forced-air warming (0.02 [98.3% CI, -0.04 to 0.09] degrees
Ccore/ degrees Cambient; P = 0.40). After an average of 3.4 h of surgery, core
temperature was 36.3 degrees +/- 0.5 degrees C in each of the forced-air groups,
and ranged from 35.6 degrees to 36.1 degrees C in passively insulated patients.
CONCLUSIONS: Ambient intraoperative temperature has a negligible effect on core
temperature when patients are warmed with forced air. The effect is larger when
patients are passively insulated, but the magnitude remains small. Ambient
temperature can thus be set to comfortable levels for staff in patients who are
actively warmed.
PMID- 29369894
TI - Is the "Appropriate Use Criteria" for Type II Supracondylar Humerus Fractures
Really Appropriate?
AB - INTRODUCTION: The Appropriate Use Criteria for the treatment of supracondylar
humerus fractures (SCHFs), developed by American Academy of Orthopaedic Surgeons,
recommends pinning for all type II SCHFs. However, previous studies have
suggested that, with close follow-up some of the less severe type II SCHF's can
be successfully treated without surgery. Our purpose was to analyze data
collected prospectively on a large cohort of type II SCHF's. METHODS: We reviewed
clinical and radiographic information on all type II pediatric SCHF (n=1120) that
were enrolled in a prospective registry and were followed for a minimum of 8
weeks. The characteristics of the patients who were treated without surgery were
compared with those of patients who were ultimately treated surgically. Treatment
outcomes, as assessed by the final clinical and radiographic alignment, range of
motion of the elbow, and complications were compared between the groups to define
clinical and radiographic features that related to success or failure of
nonoperative management. RESULTS: Ultimately, 812 fractures (72%) were treated
nonoperatively, and 309 fractures (28%) were treated surgically. At final follow
up, outcome measures of change in carrying angle, range of motion, and
complications did not show clinically significant differences between treatment
groups. Binary logistic regression analysis indicated that initial radiographic
features, specifically rotational deformity, varus malalignment, valgus
malalignment, and a shaft-condylar angle of <30 degrees were strongly related to
selection for surgery. Patients with isolated extension deformity, but none of
the other features, were more likely to complete successful nonoperative
management. CONCLUSIONS: Pinning all type II SCHF, as recommended by the
Appropriate Use Criteria, would have resulted in unnecessary surgery in 72% of
patients in this series. Given the wide range of injury severity within the type
II category of SCHF, better discrimination of factors commonly associated with
successful nonoperative treatment is required. In this particular series,
fractures with an isolated extension deformity (without rotational or coronal
malalignment) were more likely to complete successful nonoperative management.
PMID- 29369895
TI - A Library of Logic Models to Explain How Interventions to Reduce Diagnostic
Errors Work.
AB - OBJECTIVES: We aimed to create a library of logic models for interventions to
reduce diagnostic error. This library can be used by those developing,
implementing, or evaluating an intervention to improve patient care, to
understand what needs to happen, and in what order, if the intervention is to be
effective. METHODS: To create the library, we modified an existing method for
generating logic models. The following five ordered activities to include in each
model were defined: preintervention; implementation of the intervention;
postimplementation, but before the immediate outcome can occur; the immediate
outcome (usually behavior change); and postimmediate outcome, but before a
reduction in diagnostic errors can occur. We also included reasons for lack of
progress through the model. Relevant information was extracted about existing
evaluations of interventions to reduce diagnostic error, identified by updating a
previous systematic review. RESULTS: Data were synthesized to create logic models
for four types of intervention, addressing five causes of diagnostic error in
seven stages in the diagnostic pathway. In total, 46 interventions from 43
studies were included and 24 different logic models were generated. CONCLUSIONS:
We used a novel approach to create a freely available library of logic models.
The models highlight the importance of attending to what needs to occur before
and after intervention delivery if the intervention is to be effective. Our work
provides a useful starting point for intervention developers, helps evaluators
identify intermediate outcomes, and provides a method to enable others to
generate libraries for interventions targeting other errors.
PMID- 29369896
TI - Social Media Crowdsourcing in Health Care Research.
PMID- 29369897
TI - #colorectalresearch: Introducing a Disruptive Technology for Academic Surgery in
the Social Media Age.
PMID- 29369898
TI - Long-term Outcome of Small, Incidentally Detected Rectal Neuroendocrine Tumors
Removed by Simple Excisional Biopsy Compared With the Advanced Endoscopic
Resection During Screening Colonoscopy.
AB - BACKGROUND: Incidental, small rectal neuroendocrine tumors during colonoscopy
screening are sometimes removed using biopsy forceps. Few studies have examined
the clinical course of rectal neuroendocrine tumors removed by simple excisional
biopsy. OBJECTIVE: We investigated the long-term outcome of rectal neuroendocrine
tumors removed by simple excisional biopsy compared with standard endoscopic
resection. DESIGN: This was a cohort study. SETTINGS: This study was performed at
a healthcare center in Korea. PATIENTS: We enrolled patients with rectal
neuroendocrine tumors detected during a screening colonoscopy between 2003 and
2015. MAIN OUTCOME MEASURES: The clinical characteristics and long-term outcomes
(overall survival and disease-free survival) of small neuroendocrine tumors <10
mm were compared between the simple excisional biopsy group and advanced
endoscopic resection group. RESULTS: In total, 166 patients were diagnosed with
rectal neuroendocrine tumors (<=5 mm, n = 100; 6-9 mm, n = 50; 10-19 mm, n = 15;
>=20 mm, n = 1). Among the 150 patients with neuroendocrine tumors <10 mm, follow
up endoscopy was performed on 99 (59.6%). All of the tumors were confined to the
mucosa or submucosa. Thirty-one and 68 patients were included in the simple
excisional biopsy and advanced endoscopic resection groups. The overall follow-up
duration was 6.5 years (range, 1.0-12.8 y). Neither overall nor disease-related
death occurred. Two patients exhibited local recurrence (6.5%, at 8 and 11 y) in
the simple excisional biopsy group and 1 patient (1.5%, at 7 y) in the advanced
endoscopic resection group, resulting in no significant difference (p = 0.37).
All of the recurrences were diagnosed >5 years from initial diagnosis and
successfully treated endoscopically. LIMITATIONS: More long-term data should be
warranted. CONCLUSIONS: The long-term outcome of small rectal neuroendocrine
tumors <10 mm removed by simple excisional biopsy was excellent. Neither overall
survival nor disease-free survival significantly differed between the simple
excisional biopsy group and the advanced endoscopic resection group. Thus, simple
excisional biopsy and long-term follow-up can be cautiously applied for small
rectal neuroendocrine tumors in clinical practice. See Video Abstract at
http://links.lww.com/DCR/A406.
PMID- 29369900
TI - A Pattern-Based Approach Combining Tumor Morphology on MRI With Distinct Signal
Patterns on Diffusion-Weighted Imaging to Assess Response of Rectal Tumors After
Chemoradiotherapy.
AB - BACKGROUND: Diffusion-weighted imaging is increasingly used in rectal cancer MRI
to assess response after chemoradiotherapy. Certain pitfalls (eg, artefacts) may
hamper diffusion-MRI assessment, leading to suboptimal diagnostic performance.
Combining diffusion-weighted MRI with the underlying morphology on standard (T2
weighted) MRI may help overcome these pitfalls. OBJECTIVE: The purpose of this
study was to evaluate the diagnostic performance of a pattern-based approach
combining tumor morphology on T2-weighted MRI with distinct diffusion-weighted
imaging signal patterns to assess response after chemoradiotherapy in rectal
cancer. DESIGN: Response to chemoradiotherapy was scored according to 4 patterns:
1) cases with either a clear residual mass with corresponding high-diffusion
signal (A+) or completely normalized wall without diffusion signal (A-); 2) cases
with circular and/or irregular fibrosis with (B+) or without (B-) small foci of
diffusion signal scattered throughout the fibrosis; 3) cases with semicircular
fibrosis with (C+) or without (C-) high diffusion signal at the inner margin of
the fibrosis; and 4) polypoid tumors showing regression of the polyp and fibrosis
at the site of the stalk with (D+) or without (D-) focal high-diffusion signal in
the stalk. A total of 75 cases were rescored by an independent second reader to
study interobserver variations. Standard of reference was histopathology or long
term outcome. SETTINGS: The study was conducted at a single tertiary referral
center. PATIENTS: A total of 222 patients with locally advanced rectal cancer
undergoing chemoradiotherapy were included. MAIN OUTCOME MEASURES: Diagnostic
performance to discriminate between a complete response and residual tumor was
measured. RESULTS: The pattern-based approach resulted in a sensitivity of 94%,
specificity of 77%, positive predictive value of 88%, negative predictive value
of 87%, and overall accuracy of 88% to differentiate between tumor versus
complete response. Accuracies per pattern were 100% (A), 74% (B), 86% (C), and
92% (D). Interobserver agreement was good (kappa = 0.75). LIMITATIONS: The study
included no comparison with routine (nonpattern) diffusion-MRI assessment.
CONCLUSIONS: A pattern-based approach combining tumor morphology with distinct
diffusion-weighted imaging patterns results in good diagnostic performance to
assess response. See Video Abstract at http://links.lww.com/DCR/A433.
PMID- 29369899
TI - Incidence and Long-term Implications of Prepouch Ileitis: An Observational Study.
AB - BACKGROUND: Restorative proctocolectomy is the procedure of choice in patients
with ulcerative colitis refractory to medical therapy. Prepouch ileitis is
characterized by mucosal inflammation immediately proximal to the pouch. Prepouch
ileitis is uncommon, and long-term follow-up data are lacking. OBJECTIVE: The aim
of this study is to report the long-term outcomes of prepouch ileitis. DESIGN: We
followed up a cohort of patients with prepouch ileitis that was originally
described in 2009. Patients were followed up until the last recorded clinic
attendance or at the point of pouch failure. Follow-up data collected included
stool frequency, endoscopic findings, treatment, and overall pouch function.
SETTING: We accessed a prospectively maintained database at our institution
between January 2009 and January 2017. PATIENTS: Three of the 34 patients
originally described in 2009 were lost to follow-up; we reanalyzed data on the
remaining 31. MAIN OUTCOME MEASURE: The rate of pouch failure was defined as the
need for ileostomy or pouch revision. RESULTS: All 31 patients had coexisting
pouchitis at index diagnosis of prepouch ileitis. The median length of follow-up
from the index pouchoscopy was 98 (range, 27-143) months. Seven (23%) patients
who had an index pouchoscopy with prepouch ileitis went on to pouch failure,
which is significantly higher than expected (p = 0.03). Five (71%) of these
patients had chronic pouchitis, and 2 (29%) had small-bowel obstruction due to
prepouch stricture. Two patients had evidence that would support possible Crohn's
disease at long-term follow-up. LIMITATIONS: This was a retrospective analysis.
Because of the nature of the study, there was some missing information that may
have influenced the results. Our study is further limited by small patient
numbers. CONCLUSIONS: Prepouch ileitis is associated with a significantly
increased risk of pouch failure compared with the overall reported literature for
restorative proctocolectomy. Prepouch ileitis does not appear to be strongly
predictive of Crohn's disease at long-term follow-up. See Video Abstract at
http://links.lww.com/DCR/A480.
PMID- 29369901
TI - The dysfunction of processing task-irrelevant emotional faces in pituitary
patients: an evidence from expression-related visual mismatch negativity.
AB - Evidence showed impaired cognitive ability of patients with pituitary adenoma as
an intracranial tumor. In the present study, we investigated the preattentive
processing of emotional faces in pituitary adenoma patients by recording and
analyzing the visual mismatch negativity elicited by deviant emotional faces. In
the normal control group, deviant emotional faces elicited expression-related
mismatch negativity (EMMN), with a more pronounced negative waveform for sad than
happy face conditions. Compared with the normal group, EMMN related to sad faces
was smaller in patients, whereas the EMMN related to happy faces remained the
same. These data indicate the functional dysfunction of negative emotional
processing at the preattentive stage of information processing in pituitary
adenoma patients.
PMID- 29369903
TI - Does Prosthesis-Based Breast Reconstruction Affect the Clinical Outcome of
Postmastectomy Radiotherapy?
AB - BACKGROUND: In the last 5 decades, there has been significant advancement of
breast reconstruction and postmastectomy radiotherapy for breast cancer care.
There has been concern that breast reconstruction may adversely affect the
efficacy of postmastectomy radiotherapy. This, however, has not been proven by
clear clinical evidence. METHODS: By comparing the locoregional recurrence rates
and overall survival after postmastectomy radiotherapy between those with and
without prosthesis-based breast reconstruction, a retrospective cohort study of
the breast cancer patients was done. Multivariable Cox proportional hazards
analysis was used to control the confounding factors. RESULTS: From January 1,
1998 to December 31, 2011, 1015 patients receiving postmastectomy radiotherapy
were identified. Among them, 111 patients had prosthesis-based breast
reconstruction, and the other 904 did not have breast reconstruction. Thirty-four
of 904 (3.8%) patients in the nonreconstructed group and 4 of 111 (3.6%) patients
in the reconstructed group developed locoregional recurrence. Multivariable
survival analysis found no significant difference both in locoregional recurrence
free survival (hazard ratio, 0.852; P = 0.771) and in overall survival (hazard
ratio = 1.317; P = 0.246) between the nonreconstructed group and reconstructed
group. CONCLUSIONS: Although postmastectomy radiotherapy has been shown to affect
the surgical and cosmetic outcomes of breast reconstruction, prosthesis-based
breast reconstruction does not seem to have significant adverse impacts on the
locoregional recurrence-free survival and overall survival of postmastectomy
radiotherapy.
PMID- 29369902
TI - Contribution of the alpha5 GABAA receptor to the discriminative stimulus effects
of propofol in rat.
AB - Propofol as an agonist of GABAA receptor has a rewarding and discriminative
stimulus effect. However, which subtype of the GABAA receptor is involved in the
discriminative stimulus effects of propofol is still not clear. We observed the
effects of an agonist or an antagonist of the subtype-selective GABAA receptor on
discriminative stimulus effects of propofol. Male Sprague-Dawley rats were
trained to discriminate 10 mg/kg (intraperitoneal) propofol from intralipid under
a fixed-ratio 10 schedule of food reinforcement. We found that propofol produced
dose-dependent substitution for propofol at 10 mg/kg, with response rate
reduction only at a dose above those producing the complete substitution.
CL218,872 (1-3 mg/kg, intraperitoneal), an alpha1 subunit-selective GABAA
receptor agonist, and SL651,498 (0.3-3 mg/kg, intraperitoneal), an alpha2/3 GABAA
receptor selective agonist, could partially substitute for the discriminative
stimulus effects of propofol (40-80% propofol-appropriate responding). Meanwhile,
L838,417 (0.2-0.6 mg/kg, intravenous), a alpha2/3/5 GABAA receptor selective
agonist, could produce near 100% propofol-appropriate responding and completely
substitute for propofol effects. Moreover, the administration of L655,708, the
alpha5 GABAA receptor inverse agonist, could dose dependently attenuate the
discriminative stimulus of propofol. In contrast, the alpha1 GABAA receptor
antagonist beta-CCt (1-3 mg/kg) combined with propofol (10 mg/kg) failed to block
the propofol effect. The data showed that propofol produces discriminative
stimulus effects in a dose-dependent manner and acts mainly on the alpha5 GABAA
to produce the discriminative stimulus effect.
PMID- 29369904
TI - Efficacy of Lyophilised Platelet-Rich Plasma Powder on Healing Rate in Patients
With Deep Second Degree Burn Injury: A Prospective Double-Blind Randomized
Clinical Trial.
AB - Platelet-rich plasma (PRP) is a kind of plasma that is rich in platelets after
processing. It includes various growth factors and cytokines, which speed up the
process of wound healing and hemostasis. The PRP solution used in this study is
diluted from lyophilized PRP powder, which decreased the possibility of
contamination, facilitated the storage, and prolonged the storage life. From in
vitro fibroblast proliferation testing, the numbers of PRP supplement were
performed for 1, 4, and 7 times by continuous replacement of culture medium each
day. Four times of lyophilized PRP supplement was selected for clinical study due
to sufficient promotion of fibroblast proliferation. Next, 27 patients of deep
second-degree burn wound were included in this study. Patients were assigned to
two groups: PRP group (n = 15) and control group (n = 12). A concentration of 1.0
* 10 platelets/cm (wound area) according to wound size was sprayed on the wound
evenly. Function was mainly assessed by the percentage of wound closure and
bacteria picking out rate in 2 and 3 weeks. The wound closure at 3 weeks showed a
significant difference in PRP group (P < 0.05). The healing rate of PRP group
reached nearly 80% and made a breakthrough of 90% in 3 weeks, showing a
significant difference compared with the control group (P < 0.05). Lyophilized
PRP can be considered as an effective treatment to increase healing rate in
patients with deep second-degree burn injury.
PMID- 29369905
TI - Floppy Eyelid Syndrome: An Unfamiliar Entity for Plastic Surgeons.
AB - BACKGROUND: Floppy eyelid syndrome (FES) is typically characterized by chronic
eye irritation and an increased laxity of the upper eyelid that can be easily
everted by applying minimal upward traction. However, it is a clinical entity
that is less known to most plastic surgeons. Blepharoptosis is one of the most
common features, which links to FES, for which a thorough differential diagnosis
has become important in directing proper medical treatment. PURPOSE: This review
aims to discuss current understanding about FES in a broader spectrum,
encompassing the clinical features and evaluation of FES, the underlying
etiologies, systemic associations, and surgical procedures for upper eyelid
tightening. METHODS: The literature search was conducted in Endnote interface
using the keyword "floppy eyelid" through March 2017. All search abstracts were
reviewed without language restriction. Citations of identifiable articles were
also examined. RESULTS: Despite the exact definition of FES remains ambiguous,
patients with FES often demonstrate unresolvable blepharoptosis, dermatochalasis,
eyelash ptosis, entropion, or ectropion of the lower eyelid. The pathological
course of FES can be worrisome because it is often associated with both ocular
and systemic morbidities, most notably papillary conjunctivitis, keratoconus, and
obstructive sleep apnea (OSA). Decades of research into the pathogenesis has lent
further recognition linking the eyelid floppiness with a loss of elastic fibers,
an increased expression of matrix metalloproteinases, and possible collagen gene
mutations. Surgery is usually prompted if conservative measures give limited
responses. High surgical success rates with different follow-up time have been
reported. CONCLUSIONS: For plastic surgeons, early recognition of FES is
important because of its chronic, distressing course and the associated
morbidities. We believe that surgical intervention is the most effective
treatment of choice. The indication for embarking on surgery is based on the
clinical severity of the condition. A variety of blepharoplasty techniques for
FES have been proposed, including full-thickness wedge excision of the tarsus,
medial and lateral canthal ligament ligation, conchal cartilage graft, lateral
tarsal strip with flap, and lateral tarsorrhaphy. Most of the procedures have
provided excellent visual and aesthetic outcomes; therefore, early surgical
intervention is encouraged if early diagnosis can be made.
PMID- 29369906
TI - Primary Repair in Patients With Unilateral Complete Cleft of Lip and Primary
Palate: Assessment of Outcomes.
AB - OBJECTIVE: Asymmetry of median facial structures is a major concern for patients
with unilateral cleft lip and palate, and the principal goal of its treatment is
to restore symmetry. Unilateral complete cleft of lip and primary palate (UCCLPP)
is an uncommon subgroup and rarely reported. Patients with UCCLPP have protruding
and deviating premaxilla, rendering a primary repair difficult. This study
evaluated consecutive patients with UCCLPP and presented their treatment outcome.
METHODS: We assessed 36 patients with UCCLPP and collected clinical information.
Surgical repair was performed at 3 months of age by using a modified rotation
advancement method and primary nasal reconstruction. A single surgeon performed
all surgical procedures. A postoperative nasal stent was used for 6 months.
Follow-up standardized photographs were collected. Landmarks were identified, and
nose and lip dimensions measured. The ratios of the corresponding parameters
(cleft vs noncleft side) were obtained for evaluating nose and lip symmetry.
RESULTS: No surgical complication was noted in any patient. The overall lip and
nose outcome after the primary repair were adequate, and all ratios were close to
1. The nostril width was slightly wider on the cleft side, but the alar width and
height were acceptable. The lip heights were balanced between the 2 sides.
Patients who received presurgical nasoalveolar molding did not show more
favorable lip and nose dimensions, except for the medial philtral height.
CONCLUSION: Even in the presence of protruding and deviating premaxilla, our
patients with UCCLPP obtained adequate lip and nose outcome after undergoing
primary lip repair and nasal reconstruction.
PMID- 29369907
TI - Angiogenin Attenuates Scar Formation in Burn Patients by Reducing Fibroblast
Proliferation and Transforming Growth Factor beta1 Secretion.
AB - BACKGROUND: Deep burn wounds have a high tendency to form hypertrophic scars.
Previously, we found that angiogenin promoted neovascularization during deep burn
wound healing. However, the association between angiogenin and scar formation is
unclear. METHODS: We obtained human burn scar tissues from patients who underwent
scar surgery and examined the role of angiogenin in scar tissues and determined
its effects in scar fibroblasts and on transforming growth factor beta1 (TGF
beta1) secretion. RESULTS: Our results showed an inverse correlation between
angiogenin expression and scar severity. Next, we examined the effects of
angiogenin in scar fibroblasts. We found that angiogenin was persistently
expressed in human scar fibroblasts and that angiogenin expression significantly
increased with time in the culture medium of scar fibroblasts. Treatment of scar
fibroblasts with recombinant angiogenin significantly decreased their
proliferation and TGF-beta1 secretion. Moreover, angiogenin inhibited TGF-beta1
mediated Smad2 signaling pathway. CONCLUSION: Our data suggest a negative role of
angiogenin in fibroblast proliferation via TGF-beta1-mediated Smad2 signaling
pathway.
PMID- 29369908
TI - Predominance of CD14+ Cells in Burn Blister Fluids.
AB - BACKGROUND: Burn blister fluid contains several angiogenic factors to promote
wound neovascularization. In our previous study, we found that deep partial
thickness burn (DPTB) wounds showed higher expression levels of angiogenin to
enhance vascularization compared with superficial partial-thickness burn wounds.
Neovascularization is a complex process that involves an interaction between
circulating angiogenic cells and mediators. We hypothesized that in addition to
angiogenic factors burn blisters may contain specific cell types. The aim of the
present study was to characterize the specific cells present in burn blisters.
METHODS: Twenty-four burn blister fluid samples were obtained with informed
consent from patients with superficial partial-thickness burn (n = 16) or DPTB (n
= 8) wounds. Blister cells were isolated from individual intact blisters and
characterized with flow cytometry analysis using CD14, CD34, vascular endothelial
growth factor receptor 2, and CD133 markers. CD14 and CD34 blister cells were
also isolated using a magnetic-activated cell sorting system to examine their
potential for endothelial differentiation. Angiogenin levels in the burn blister
fluids were evaluated with enzyme-linked immunosorbent assay. RESULTS: CD14 cells
were the most highly represented cell type in the burn fluids of both groups,
although a significantly greater percentage of CD14 cells were observed in DPTB
fluids. CD14 blister cells had a higher potency to differentiate into functional
endothelial cells as compared with CD34 cells. The proportion of CD14 cells
gradually increased after burn injury. In contrast to CD14 cells, angiogenin
showed the highest expression levels at day 1 postburn. With regard to burn wound
neovascularization, angiogenin expression was partially correlated with CD14
blister cells in the burn fluids. CONCLUSIONS: We provide the first report on the
characterization of blister cells in burn fluids. Our data suggest that CD14
blister cells may play a role in burn wound neovascularization. Measurement of
CD14 blister cells serves as a possible tool for assessing burn wound status.
PMID- 29369909
TI - Combined Use of Liposuction and Arthroscopic Shaving for Delayed Debulking of
Free Flaps in Head and Neck Reconstruction.
AB - BACKGROUND: Bulky appearance after free flap reconstruction in patients with head
and neck cancer is common and requires revision to achieve improved final
outcomes. Although different delayed debulking methods have been reported, the
procedure can be technically difficult in patients with severe scaring after
adjuvant radiotherapy. The present study proposes a combined method of
liposuction and arthroscopic shaving for delayed contouring of free flaps in head
and neck reconstruction. METHODS: In this study, 12 patients with head and neck
cancer who had bulky flaps after cancer ablation surgery and immediate free
anterolateral thigh flap reconstruction were included. These patients underwent
delayed debulking through the combined arthroscopic shaving and liposuction
method at least 3 months after the initial reconstruction or the completion of
adjuvant radiotherapy (if required). Age, sex, cancer stages, the presence or
absence of adjuvant radiotherapy, the interval between the initial free flap
reconstruction and the debulking procedure, complications, and subjective
satisfaction ratings were recorded 1 and 6 months after the revision surgery.
RESULTS: All patients were men, with an average age of 56.3 years (43-69 years),
and 9 (75%) patients underwent adjuvant radiotherapy. Partial flap loss was not
observed in the study patients, and subjective satisfaction ratings improved
after the debulking procedure. CONCLUSIONS: The combined liposuction and
arthroscopic shaving method can facilitate the debulking and contouring
procedures in patients with head and neck cancer after free flap reconstruction.
With appropriate timing, the combined procedure can be simple and safe, even in
patients with severe scaring after adjuvant radiotherapy.
PMID- 29369910
TI - Intensive Care Unit Versus Ward Management After Anterolateral Thigh Flap
Reconstruction After Oral Cancer Ablation.
AB - BACKGROUND: Whether postoperative care in the intensive care unit (ICU) is a
necessity for patients undergoing head and neck free flap reconstruction remains
debatable. In August 2012, our institute initiated a policy to care for these
patients in the ICU, opposed to the previous policy of care in the ward. Thus, we
used this opportunity to compare outcomes between these 2 care approaches.
PATIENTS AND METHODS: Patients with oral cancer who underwent cancer ablation and
immediate anterolateral thigh flap reconstruction from August 2010 to July 2014
were included in this retrospective study. Patients who simultaneously received
an additional flap reconstruction were excluded. Before August 2012, these
patients were routinely transferred to the ward for postoperative care (ward
group, n = 179). Since August 2012, these patients have routinely been
transferred to the ICU for postoperative care (ICU group, n = 138). RESULTS: Both
groups had comparable flap outcomes in terms of the rates of take-back,
successful salvage, flap survival, and flap complication. Compared with the ward
group, the ICU group showed an increased use of postoperative sedation (26.7% vs
6.8%, P = 0.000), a correspondingly longer use of mechanical ventilation (3.0 +/-
2.7 days vs 0.4 +/- 1.4 days, P = 0.000), and a higher incidence of sepsis (3.6%
vs 0%, P = 0.015). CONCLUSIONS: Postoperative care of patients who have undergone
anterolateral thigh flap reconstruction after oral cancer ablation in the ward or
ICU resulted in comparable flap outcomes. Risks and benefits between ward and ICU
postoperative management in terms of nursing workloads, monitoring facilities,
use of sedation and mechanical ventilation, and potential for sepsis should be
taken into consideration when defining postoperative care settings in these
patients.
PMID- 29369911
TI - Postoperative Hematoma in Microvascular Reconstruction of the Head and Neck.
AB - BACKGROUND: Free tissue transfer has become a safe and reliable means for
repairing soft tissue and bony defects of the head and neck region. Although the
success rate is high, the incidence of postoperative complications is common. One
significant complication is postoperative hematoma formation. However, few
published studies have addressed its incidence, etiology, or outcome. We
performed a retrospective analysis to investigate this issue. METHODS: A
retrospective review was conducted of 293 consecutive microvascular free tissue
transfers in the head and neck region in a single institute from January 2013 to
December 2015. Patients with postoperative hematoma were identified, and
demographic data, perioperative conditions, medications, and outcomes were
evaluated by chart review. RESULTS: A total of 34 patients (11.8%) had
postoperative hematoma. Compared with the patients without hematoma, this group
had a longer hospital stay (P = 0.06) and required more secondary procedures (P =
0.001). The use of nonsteroidal anti-inflammatory drugs (NSAIDs; P < 0.001) was
associated with a higher incidence of hematoma formation. Among the 34 patients
with hematoma, 16 (47.1%) had flap compromise and underwent emergent
reexploration. The salvage rate was higher than that in the nonhematoma group
(87.5% vs 59.3%, P = 0.086). CONCLUSIONS: Postoperative hematoma after head and
neck microvascular reconstruction is not a rare complication and may lead to poor
outcome and more complications. The avoidance of NSAIDs preoperatively may
prevent hematoma formation. Surgeons should be alert to this situation, and
immediate return to the operative room for hematoma evacuation is necessary.
Early intervention may contribute to a high salvage rate.
PMID- 29369912
TI - Free Flap Transfer for Head and Neck Reconstruction Using Local Anesthesia in
Elderly Patients.
AB - BACKGROUND: The incidence of skin cancer appearing on the head and neck areas is
higher in elderly patients. Although free flap reconstruction is the mainstay
after tumor excision, it is challenging to complete in elderly patients, owing to
the high risk of complications and/or mortality rates associated with the use of
general anesthesia. In this study, we used only local anesthesia in free tissue
reconstruction of the head and neck in five elderly patients. MATERIALS AND
METHODS: From 2013 to 2016, 5 elderly patients with high risk of general
anesthesia underwent reconstruction with either anterolateral thigh free flaps or
groin free flap under local anesthesia, after wide excision of malignant tumors
at head and neck. For each patient, the following information was collected: age,
gender, body weight, anesthesia agents, intravenous fluid, blood loss, site of
lesion, flap size, operation time, complications, and follow-up time. RESULTS:
All flaps survived completely. The mean age of 5 patients (3 male patients and 2
female patients) was 84 years (range, 68-100 years), and mean flap size was 199.6
cm (range, 120-330 cm). The mean follow-up period was 26.6 months (range, 5-38
months). No complications were found. CONCLUSIONS: With proper local anesthesia,
successful head and neck reconstruction with free flap was possible, and patient
prognosis was positive. There are numerous advantages, including: (1) a safer and
inexpensive operation; (2) no complications from general anesthesia; (3) the fact
that free flap transfer can be performed in elderly patients, even if they cannot
tolerate general anesthesia; and (4) allowance of the performance of free tissue
transferring in countries without adequate medical resources.
PMID- 29369913
TI - The Incidence of Necrotizing Fasciitis in Taiwan: A Nationwide Population-Based
Study.
AB - BACKGROUND: Little is known about the national population-based incidence rates
of necrotizing fasciitis (NF) and related epidemiological profiles in Asian
countries. We plastic surgeons always face and treat this complicated disease in
the first line at the hospital. METHODS: Using Taiwan's National Health Insurance
inpatient claims data from 2005 through 2010, we investigated the national annual
incidence rate of NF by sex, age, year, month, beneficiary category, and region.
RESULTS: The overall average annual incidence rate (cases per 100,000 population)
of those 6 years of inpatients with NF was 11.6 (95% confidence interval [CI],
11.4-11.8) for both sexes, 14.9 (95% CI, 14.9-15.5) for males, and 8.3 (95% CI,
7.9-8.3) for females. The age-adjusted annual incidence rate was 10.7 (95% CI,
10.3-11.1) in 2005 and 12.3 (95% CI, 11.9-12.8) in 2010. The annual incidence
rate increased with age, peaked in August, was the highest in southern Taiwan,
and correlated with monthly temperature. CONCLUSIONS: The annual incidence rate
of NF in Taiwan was highest between 2005 and 2009 and slightly lower in 2010 in
this study. Although additional studies are needed to identify modifiable risk
factors associated with NF, the aforementioned risk factors alert the first line
doctors, such as plastic surgeons, to pay much more attention to this complicated
disease entity and make an accurate critical decision making for the patients.
PMID- 29369914
TI - A Single-Center, Randomized, Double-Blind, Placebo-Controlled Clinical Trial of
the Effectiveness of ANT1 Soybean Extract Cream on Skin Recovery After Nd: YAG
Laser Treatment.
AB - : Nd:YAG laser has been used extensively for its versatility in treating many
common aesthetic problems, but numerous adverse effects are often complained by
recipients of Nd:YAG laser. This study introduces the ANT1 soybean extract cream,
which was formulated to alleviate adverse effects after laser therapy. This study
explores whether ANT1 enhances the repair mechanism of the postlaser skin,
decreases laser-induced complication, and shortens recovery time. The study also
aims to pinpoint the ANT1 concentration that is most effective in improving the
skin condition after Nd-YAG laser therapy. MATERIALS AND METHODS: This study was
a single-center, randomized, double-blind, placebo-controlled trial. Patients
eligible for the study were Asian women, aged 25 to 40 years, who were free of
dermatological diseases and allergic reaction. There were a total of 45 subjects.
Each subject received a session of Nd-YAG laser therapy every 2 weeks, totaling 3
sessions. Facial skin assessment was achieved via VISIA complexion analysis.
VISIA complexion analysis quantitatively assessed the skin condition and tracked
the recovery progress of each subject at baseline, immediately after all 3 laser
sessions, and a week after the final laser treatment. RESULTS: Skin condition was
evaluated by VISIA complexion analysis. Skin condition was recorded in aspects of
pigmented spots, wrinkles, texture, pores, and red area. After Nd-YAG laser
therapy, postlaser inflammation was observed in all subjects. Throughout the
laser sessions and the outpatient follow-up clinic, the adverse effects of laser
therapy, such as redness, spots, wrinkles, pores, and textures, decreased with
the use of ANT1 cream. There has been a marked effect in wrinkle reduction in the
patients who received a higher concentration of ANT1 cream (P <= 0.05).
Statistically significant improvement in spots and pores is also seen (P <=
0.05). CONCLUSIONS: Through this study, the results suggest that the application
of ANT1 soybean extract cream ameliorates the complications and enhances the
cosmetic effects of Nd-YAG laser therapy. A higher concentration of the ANT1
cream significantly reduces wrinkles and redness after laser. All in all, this
study proves that the ANT1 soy extract cream may be a useful addition to
postlaser care for an overall enhancement in skin condition and recovery.
PMID- 29369916
TI - Diabetes medications and cardiovascular disease: at long last progress.
AB - PURPOSE OF REVIEW: Although intensive control of hyperglycemia has been proven to
decrease the risk of microvascular complications in type 2 diabetes, it has had
little apparent effect on reducing cardiovascular complications - the leading
cause of mortality in this disease. We review the cardiovascular effects of
various glucose-lowering medications, with a particular focus on the recent
studies demonstrating clear benefits from members of several drug categories.
RECENT FINDINGS: Recently, several randomized controlled studies have revealed
significant improvements in cardiovascular outcomes from a thiazolidinedione, two
sodium-glucose cotransporter 2 inhibitors and two glucagon-like peptide 1
receptor agonists. SUMMARY: These data suggest that certain glucose lowering
agents after metformin should be favored in type 2 diabetes mellitus patients
when there is underlying cardiovascular disease.
PMID- 29369915
TI - Potential role of type I interferon in the pathogenic process leading to type 1
diabetes.
AB - PURPOSE OF REVIEW: Understanding the relationship between viral infections and
the development of type 1 diabetes (T1D) is essential for T1D prevention. Virus
induced innate immune responses, specifically type I interferon (IFN-I) and the
IFN gene signature, orchestrate early events of beta-cell dysfunction preceding
islet autoimmunity. We summarize recent advances in how IFN-I and the IFN gene
signature can drive T1D development. RECENT FINDINGS: IFN-I, particularly IFN
alpha, and the IFN gene signature have been detected in islets and peripheral
blood of T1D patients. T1D risk genes in the IFN-I signaling pathway regulate
antiviral responses in beta cells driven by IFN-I and proinflammatory cytokines.
Polymorphisms in these genes may cause chronic dysregulated IFN signaling in
islets, characterized by hyperexpression of IFN-I, the IFN gene signature, and
major histocompatibility complex class I during viral infection. Islet-cell
inflammation mediated by aberrant IFN signaling drives beta-cell apoptosis by
initiating autoreactivity against beta-cell antigens. The profound elevation in
IFN-I and the IFN gene signature observed in some forms of T1D are also seen in a
novel group of human autoimmune and autoinflammatory diseases called
interferonopathies. SUMMARY: Despite significant advances, further studies are
required to functionally dissect the mechanisms by which excessive IFN-I
contributes to the evolution of autoimmunity that destroys beta cells.
PMID- 29369917
TI - Androgen abuse epidemiology.
AB - PURPOSE OF REVIEW: To systematically review current epidemiological literature on
androgen abuse. Estimates from 32 empirical epidemiological articles were
reviewed. RECENT FINDINGS: Generally, androgen abuse epidemiology and prevalence
is higher in Europe, the Middle East, North America (the USA), Oceania (Australia
and New Zealand), and South America (Brazil) and lower in Africa and Asia. In
contrast to the general population, androgen abuse epidemiology and prevalence is
higher among athletes, injection drug users, recreational sportspeople, and
sexual and gender minorities. SUMMARY: From the 1970s, androgen abuse spread from
athletes into the general population. Consistent with previous evidence, reviewed
studies suggest that androgen abuse epidemiology and prevalence is higher in
Western cultural contexts, the Middle East, and South America (Brazil) and lower
in Africa and Asia. Evidence also corroborates indications that androgen abuse is
less prevalent among women (vs. men), and in the general population in contrast
to particular subpopulations consisting of athletes, injection drug users,
recreational sportspeople, and sexual and gender minorities. Adolescents'
androgen abuse should be of special concern. Androgen abuse in some nonsports
occupations (e.g. security workers) requires further exploration. Polypharmacy
and the Internet proliferation of androgens and other PIEDs require surveillance
for prevention and harm reduction.
PMID- 29369918
TI - Public health impact of androgens.
AB - PURPOSE OF REVIEW: To summarize recent findings regarding the public health
impact of androgen abuse. RECENT FINDINGS: Abuse of androgens (also called
'anabolic-androgenic steroids') has grown into a major worldwide substance abuse
problem involving tens of millions of individuals, of whom about 98% are men.
Most androgen abusers are still under age 50 today, and thus, the long-term
effects of these drugs are only beginning to be understood. Recent studies
confirm that long-term supraphysiologic androgen exposure produces cardiovascular
toxicity, characterized especially by cardiomyopathy and atherosclerotic disease.
Withdrawal from androgens after long-term use may produce prolonged and sometimes
irreversible hypogonadism in men. Supraphysiologic androgen levels may sometimes
cause irritability, aggressiveness, and violence, whereas androgen withdrawal may
cause depression. However, these psychiatric effects are idiosyncratic, affecting
only a minority of users. Emerging evidence now also suggests that long-term
androgen exposure may cause neurotoxicity, raising the possibility that aging
androgen abusers may be at increased risk for dementia. Several recent studies
have also described androgen-induced hepatotoxicity, nephrotoxicity, and adverse
musculoskeletal effects. SUMMARY: Recent studies have demonstrated marked adverse
effects of long-term androgen abuse. As increasing numbers of androgen abusers
reach middle age, these effects will likely represent an emerging public health
problem.
PMID- 29369919
TI - Actinomycotic Endometritis.
AB - Seven cases of actinomycotic endometritis were identified among 28,906
endometrial biopsies performed in the last 10 yr. The patients' ages ranged from
44 to 85 yr old. An intrauterine device was in place from 7 to 44 yr. The reasons
to perform the biopsies included abnormal uterine bleeding, malodor, prolapse,
pelvic inflammatory disease, and suspicion of metastatic uterine sarcoma.
Definitive identification of Actinomyces israelii by culture was obtained in 1
case only. Gram, Gomori methenamine silver, and Fite stains were useful in the
differential diagnosis with pseudoactinomycotic granules, Nocardia, fungi, and
other bacteria. The Actinomyces-like organisms were surrounded by extensive
suppurative reaction in all cases. The tissues showed florid neutrophilic and
plasmacytic inflammation. The treatment consisted of intrauterine device removal
and 10 to 30 d of antibiotics in 4 patients. The Actinomyces-like organisms
persisted for 6 wk in spite of antibiotic therapy when the intrauterine device
removal was delayed in one of those cases. Two patients had hysterectomy and
salpingo-oophorectomy due to tubo-ovarian abscess and hysterectomy, salpingo
oophorectomy, and rectosigmoid excision due to pelvic abscess and septic emboli,
both followed by 30 to 45 d of antibiotic therapy. One patient had hysterectomy
not followed by antibiotics due to prolapse. No other pelvic abscesses were
identified on follow-up, which ranged from 4 to 101 mo (median, 20 mo; mean, 44
mo).
PMID- 29369920
TI - Sex Cord-stromal Tumors With Steroid Cell Tumor and Fibroma Components: Report of
2 Cases, Including One of Extraovarian Origin.
AB - We report 2 sex cord-stromal tumors with distinct components of fibroma and
steroid cell tumor; one case was of ovarian and the other of extraovarian origin.
In the ovarian tumor, there were discrete areas of fibroma and steroid cell
tumor, not otherwise specified. The extraovarian case involved the pelvic soft
tissues and comprised a cellular fibroma with subsequent recurrence 7 yr later as
a steroid cell tumor, not otherwise specified. In both cases, aggregates of
luteinized cells were present within the fibromatous component, raising the
possibility that the steroid cell tumor arose from neoplastic transformation of
these cells. While mixed ovarian sex cord-stromal tumors (gynandroblastomas) are
well described, as far as we are aware there have been no prior reports of
neoplasms containing a component of steroid cell tumor and fibroma.
PMID- 29369921
TI - Microcystic, Elongated, and Fragmented Pattern Invasion in Ovarian Endometrioid
Carcinoma.
PMID- 29369922
TI - Canadian Consensus-based and Evidence-based Guidelines for Benign Endometrial
Pathology Reporting in Biopsy Material.
AB - Standardized terminology has proven benefits in cancer reporting; in contrast,
reporting of benign diagnoses in endometrial biopsy currently lacks such
standardization. Unification and update on the lexicon can provide the structure
and consistency needed for optimal patient care and quality assurance purposes.
The Special Interest Group in Gynecologic Pathology of the Canadian Association
of Pathologists-Association Canadienne des Pathologistes (CAP-ACP) embarked in an
initiative to address the current need for consensus terminology in benign
endometrial biopsy pathology reporting. Nine members of the Special Interest
Group developed a guideline for structured diagnosis of benign endometrial
pathology through critical appraisal of the available peer-reviewed literature
and joint discussions. The first version of the document was circulated for
feedback to a group of professionals in akin fields, the CAP-ACP Executive
Committee and the CAP-ACP general membership. The final 1-page document included
17 diagnostic terms comprising the most common benign endometrial entities, as
well as explanatory notes for pathologists. The proposed terminology was
implemented in the practice of 5 pathologists from the group, who applied the
guideline to all benign endometrial biopsies over a 2-wk period. A total of 212
benign endometrial biopsies were evaluated in this implementation step; the
recommended terminology adequately covered the diagnosis in 203 cases (95.8%). A
list of terminology for benign endometrial biopsy reporting, based on expert
consensus and critical appraisal of the available literature, is presented. On
the basis of our results of implementation at multiple centers, the proposed
guideline can successfully cover the large majority of diagnostic scenarios. The
document has the potential to positively impact patient care, promote quality
assurance, and facilitate research initiatives aimed at improving histopathologic
assessment of benign endometrium.
PMID- 29369923
TI - Primary Ovarian Pregnancy: A Case Series and Analysis.
AB - The preoperative diagnosis of primary ovarian pregnancy (POP) remains elusive and
the final diagnosis relies heavily on histologic findings. The diagnostic
criteria for POP, established in 1878 by Spiegelberg, are based primarily on the
identification of an embryonic sac within the ovary and the localization of
conception products therein. However, these diagnostic criteria may be overly
strict, which may not only significantly underestimate the prevalence of POP, but
also potentially mislead patient management. In this series, we present 7 cases
that showed no embryonic sac within the ovary (thus not meeting the Spiegelberg
criteria for POP), but were nonetheless classified by the authors as POP based on
the unequivocal presence of chorionic villi and implantation sites within the
ovary. Immmunohistochemical studies for beta-human chorionic gonadotropin, human
placental lactogen, and inhibin highlighted the trophoblastic populations. These
findings indicate that POP may occur even if no embryonic sac is pathologically
demonstrable. Accordingly, we propose the following modified diagnostic criteria
for POP: (1) no pathologic evidence of ipsilateral fallopian tube involvement is
present; and (2) evidences of gestation, including presence of chorionic villi
and/or implantation site are present within the ovary. If both criteria are met,
the diagnosis of POP should be rendered. These proposed diagnostic criteria
should lead to more accurate diagnoses of POP, provide more contemporary insights
into its true prevalence, heighten clinical awareness of the disease, and
ultimately, optimize its clinical management.
PMID- 29369925
TI - Pyogenic granuloma: A tumor that mimics infection.
AB - This article describes a patient whose cutaneous pyogenic granuloma was mistaken
for infection after injury from a fractured smartphone screen. Clinicians should
suspect pyogenic granuloma in patients with these types of injuries so that
patients can avoid unnecessary procedures, antibiotics, and discomfort.
PMID- 29369926
TI - Advances in anticoagulation therapy.
AB - Because atrial fibrillation (AF) is a major risk for thrombotic disease, many
patients with AF are managed with anticoagulation for primary or secondary
prevention of these events. The emergence of novel oral anticoagulants offers
patients and providers options to consider beyond warfarin. Decision making
should address safety, tolerability, efficacy, price, and simplicity of use; and
decisions should be individualized for each patient.
PMID- 29369927
TI - Comparing clinician use of three ankle fracture classifications.
AB - PURPOSE: This study evaluated the accuracy and interrater reliability of US Army
physician assistants (PAs) in identifying ankle fracture patterns using existing
classification schemes. METHODS: Twenty-eight PAs reviewed criteria for
stability, Danis-Weber, and Lauge-Hansen ankle fracture classification systems.
Participants reviewed 45 ankle radiographs and independently rated each
radiograph using these classification schemes. RESULTS: Participants were able to
successfully identify ankle fracture stability in 82.1% of cases (95% CI, 77.6,
86.6) with established criteria. Using the Danis-Weber classification, accurate
classification was achieved in 77.8% of cases (95% CI, 72.8, 82.7). The Lauge
Hansen classification system was least reliable, with accuracy of 54.5% (95% CI,
46, 63). CONCLUSION: Referring PAs can reliably discern unstable ankle fractures
in more than 80% of cases. Lauge-Hansen classification was significantly less
accurate than the Danis-Weber system or criteria for stability. Good
communication between orthopedic surgeons and PAs and an emphasis on PA
orthopedic education can improve patient care.
PMID- 29369928
TI - An opportunity for PAs as obstetrical laborists.
AB - The obstetrical laborist, based on the hospitalist model, can improve quality and
safety of labor and delivery care. A laborist can be a physician assistant (PA),
certified nurse midwife, or obstetrician/gynecologist who provides care using a
scheduled shifts model. Workforce trends show a rapid increase in certified nurse
midwives and PAs, which could stimulate the laborist movement and increase
opportunities for PAs.
PMID- 29369929
TI - Carotid dissection mimicking sinusitis.
PMID- 29369930
TI - Otitis externa.
PMID- 29369933
TI - What is causing this patient's headache and seizures?
PMID- 29369931
TI - Identifying acute cervicitis in an era of less-frequent routine gynecologic
examinations.
AB - Acute inflammation of the uterine cervix can lead to serious problems such as
pelvic inflammatory disease (PID), endometritis, and complications of pregnancy
and childbirth. As intervals for routine gynecologic screening examinations
lengthen, cervical infections, especially if asymptomatic, may be missed. Annual
wellness examinations and other patient visits outside routine gynecologic cancer
screening visits should include brief evaluation with sexual risk assessment and
a gynecologic examination if indicated. If cervicitis persists after standard
treatment for sexually transmitted infections (STIs), consider Mycoplasma
genitalium. Clinicians should be sensitive to the fact that the unexpected
presence of infection may cause distress.
PMID- 29369934
TI - Father wounds: Dealing with loss.
PMID- 29369935
TI - The best that I could be.
PMID- 29369936
TI - Thoracic outlet syndrome presenting with subclavian vein thrombosis.
AB - Thoracic outlet syndrome can present with a wide variety of upper extremity
neurovascular symptoms. Timely clinical recognition is essential for prompt
treatment and maximal recovery. This article describes a case of thoracic outlet
syndrome in a volleyball player with an underlying hypercoagulable state who
presented with a subclavian vein thrombosis.
PMID- 29369938
TI - Preliminary Work Toward a Transuranic Activity Estimation Method for Rapid
Discrimination of Anthropogenic from Transuranic Activity in Alpha Air Samples.
AB - Radon (Rn) and thoron (Rn) progeny (primarily bismuth and polonium) are known
interferents when rapid evaluation of transuranic content on air filters is of
interest. These complexities stem from the overlapping energies of the progeny
alpha particles onto the transuranic region of interest (3-5.5 MeV) where
naturally-occurring alpha emitters can overwhelm the spectra. Due to the
immediacy of the alpha counting methods employed, coupled with the half-life of
thoron progeny dominated by Pb (t1/2=10.6 h), a conservative transuranic activity
estimate with rigorous uncertainty is being sought. A successful transuranic
activity estimation method will incorporate any thoron progeny present on the
filter providing 95% confidence decision levels in which a filter may be
evaluated for emergency response applications. Twenty-three pairs of samples of
various duration having no transuranic content were taken over a 2-mo period. The
resulting filters were counted in a time series before non-linear least squares
decay curve fitting was applied to the decay profile. For the samples considered,
a transuranic activity estimator decision level was determined at 0.2 Bq for the
given geographic location and months analyzed. Validation of this method for
other seasonal and geographic regions could provide enhanced emergency response
capability when the presence of transuranic activity is suspected.
PMID- 29369937
TI - Antifungal Susceptibility and Clinical Outcome in Neonatal Candidiasis.
AB - BACKGROUND: Invasive candidiasis is an important cause of sepsis in extremely low
birth weight infants (ELBW, < 1000 g), is often fatal, and frequently results in
neurodevelopmental impairment (NDI) among survivors. We sought to assess the
antifungal minimum inhibitory concentration (MIC) distribution for Candida in
ELBW infants and evaluate the association between antifungal resistance and death
or NDI. METHODS: This was a secondary analysis of a National Institute of Child
Health and Human Development Neonatal Research Network study. MIC values were
determined for fluconazole, amphotericin B and micafungin. NDI was assessed at 18
22 months adjusted age using the Bayley Scales of Infant Development. An infant
was defined as having a resistant Candida isolate if >= 1 positive cultures from
normally sterile sites (blood, cerebrospinal fluid, or urine) were resistant to
>= 1 antifungal agent. In addition to resistance status, we categorized fungal
isolates according to MIC values (low and high). The association between
death/NDI and MIC level was determined using logistic regression, controlling for
gestational age and Bayley Scales of Infant Development (II or III). RESULTS:
Among 137 ELBW infants with IC, MICs were determined for 308 isolates from 110
(80%) infants. Three Candida isolates from 3 infants were resistant to
fluconazole. None were resistant to amphotericin B or micafungin. No significant
difference in death, NDI, or death/NDI between groups with low and high MICs was
observed. CONCLUSIONS: Antifungal resistance was rare among infecting Candida
isolates, and MIC level was not associated with increased risk of death or NDI in
this cohort of ELBW infants.
PMID- 29369939
TI - A Pilot Examination of the Methods Used to Counteract Insider Threat Security
Risks Associated with the Use of Radioactive Materials in the Research and
Clinical Setting.
AB - While many organizations maintain multiple layers of security control
methodologies to prevent outsiders from gaining unauthorized access, persons such
as employees or contractors who have been granted legitimate access can represent
an "insider threat" risk. Interestingly, some of the most notable radiological
events involving the purposeful contamination or exposure of individuals appear
to have been perpetrated by insiders. In the academic and medical settings,
radiation safety professionals focus their security efforts on (1) ensuring
controls are in place to prevent unauthorized access or removal of sources, and
(2) increasing security controls for the unescorted accessing of large sources of
radioactivity (known as "quantities of concern"). But these controls may not
completely address the threat insiders represent when radioactive materials below
these quantities are present. The goal of this research project was to
characterize the methodologies currently employed to counteract the insider
security threat for the misuse or purposeful divergence of radioactive materials
used in the academic and medical settings. A web-based survey was used to assess
how practicing radiation safety professionals in academic and medical settings
anticipate, evaluate, and control insider threat security risks within their
institutions. While all respondents indicated that radioactive sources are being
used in amounts below quantities of concern, only 6 % consider insider threat
security issues as part of the protocol review for the use of general radioactive
materials. The results of this survey identify several opportunities for
improvement for institutions to address security gaps.
PMID- 29369940
TI - A Case Study of the NCRP 156 Wound Model of Embedded DU Using Data From Urine
Uranium Concentrations of Wounded Veterans.
AB - Depleted uranium (DU) munitions were initially used by the United States (U.S.)
military during the first Persian Gulf War in 1991 in order to penetrate heavily
armored vehicles. However, as a result of friendly fire, several U.S. military
personnel received intakes from DU munitions. One of the ongoing concerns for
these wounded veterans is the potential long-term exposure received from DU
embedded fragments. The United States Army Institute of Public Health (AIPH) is
the first laboratory that analyzes the urine bioassays from Army Soldiers that
are injured with DU fragments. The United States Air Force School of Aerospace
Medicine also evaluates bioassays from DU injuries. The urine bioassay data
collected by AIPH was evaluated using the NCRP 156 wound model coefficients for
the DU-Wafer, Fragment, and Particle models. The maximum likelihood method was
used in the Integrated Modules for Bioassay Analysis (IMBA-PPAE) to calculate the
estimates of intake and tissue doses. Evaluating the three models for wound
retention, the DU-Wafer and Fragment model yielded a credible fit to the bioassay
data. Comparing the two models, the DU-Wafer model fits the data better than the
Fragment model when comparing their autocorrelation coefficient and chi-squared
values of (P 1.73 * 10, c 4.83 * 10), (P 2.01 * 10, c 1.09), respectively. This
evaluation supports the validity of both the DU-wafer model as well as the
default fragmentation model proposed by NCRP 156.
PMID- 29369941
TI - Comment on: 'beta Blocker use and mortality in cancer patients: systematic review
and meta-analysis of observational studies' (Zhong et al., 2015; published Epub
ahead of print 3 September 2015).
PMID- 29369942
TI - Computed Tomography Images of the Scapula Taken With Reduced Dose Can Yield
Segmented Models of Sufficient Accuracy: A Pilot Study.
AB - OBJECTIVE: The aim of the study was to investigate the influence of tube current
reduction on the segmentation accuracy of the scapula. METHODS: A human cadaver
was computed tomography scanned multiple times while reducing tube current
amperage. The images were segmented using 2 different segmentation methods (N =
28). Subsequently the scapula was dissected and all soft tissues were removed. An
optical laser scan of the dissected scapula was aligned and compared with the
segmented meshes of the different computed tomography scans. RESULTS: The mesh
accuracy remained fairly constant with diminishing tube currents. All segmented
meshes had a larger volume than the reference mesh (n = 27). The mean 3
dimensional deviation varied between 1.17 mm (max) and -0.759 mm (min) and the
total mean (SD) 3-dimensional deviation was -0.45 (0.38) mm. Radiation dosages
were reduced from 7.1 to 0.3 mSv. CONCLUSIONS: Computed tomography tube current
can be largely reduced without losing the surface segmentation accuracy of
segmented scapula meshes.
PMID- 29369943
TI - Dependence of Scan Parameters on Nerve Fiber Crossing Depiction in Diffusion
Spectrum Imaging in Clinical Practice.
AB - OBJECTIVE: The objective of this study was to show the effect of the number of
sampling points (NS) and the maximum b value (bmax) on fiber crossing detection
in diffusion spectrum imaging (DSI) in clinical practice. METHODS: We performed 3
Tesla DSI in which we changed the NS (62-258) while keeping bmax at 8000 s/mm and
in which we changed the bmax (4000-8000 s/mm) while keeping the NS at 129. The
superior longitudinal fasciculus volume and the proportion of nerve voxels in
which at least 2 (Rcr2) or 3 (Rcr3) nerve fiber bundles crossed were calculated.
RESULTS: When bmax was set to 8000 s/mm, mean Rcr2 and Rcr3 values and superior
longitudinal fasciculus volumes significantly increased with higher NSs, but they
did not significantly change when we varied bmax with 129 NS. CONCLUSIONS:
Depiction sensitivity of nerve fiber crossing in DSI improves at higher NS and
bmax settings, but a bmax insensitivity appears at an intermediate NS such as
129.
PMID- 29369944
TI - Virtual Monoenergetic Images From a Novel Dual-Layer Spectral Detector Computed
Tomography Scanner in Portal Venous Phase: Adjusted Window Settings Depending on
Assessment Focus Are Essential for Image Interpretation.
AB - OBJECTIVE: We aimed to determine optimal window settings for conventional
polyenergetic (PolyE) and virtual monoenergetic images (MonoE) derived from
abdominal portal venous phase computed tomography (CT) examinations on a novel
dual-layer spectral-detector CT (SDCT). METHODS: From 50 patients, SDCT data sets
MonoE at 40 kiloelectron volt as well as PolyE were reconstructed and best
individual window width and level values manually were assessed separately for
evaluation of abdominal arteries as well as for liver lesions. Via regression
analysis, optimized individual values were mathematically calculated. Subjective
image quality parameters, vessel, and liver lesion diameters were measured to
determine influences of different W/L settings. RESULTS: Attenuation and contrast
to-noise values were significantly higher in MonoE compared with PolyE. Compared
with standard settings, almost all adjusted W/L settings varied significantly and
yielded higher subjective scoring. No differences were found between manually
adjusted and mathematically calculated W/L settings. CONCLUSIONS: PolyE and MonoE
from abdominal portal venous phase SDCT examinations require appropriate W/L
settings depending on reconstruction technique and assessment focus.
PMID- 29369945
TI - Conventional and Diffusional Magnetic Resonance Imaging Features of Mitochondrial
Encephalomyopathy, Lactic Acidosis, and Stroke-Like Episodes in Chinese Patients:
A Study of 40 Cases.
AB - PURPOSES: The aims of the study were to analyze the conventional and diffusion
weighted MRI (DWI) of mitochondrial encephalomyopathy, lactic acidosis, and
stroke-like episodes (MELAS) and to investigate underlying mechanisms. METHODS:
Features of 58 acute and 68 chronic stroke-like lesions as well as global brain
abnormalities of 40 Chinese MELAS patients were analyzed. RESULTS: Gyriform DWI
hyperintensity with decreased apparent diffusion coefficient (ADC) and patchy DWI
hyperintensity with normal ADC were noted in 56 of 58 and 2 of 58 cortical
regions of acute lesions, respectively. High ADC and mix of low and high ADC were
observed in 51 of 58 and 5 of 58 affected subcortical white matters,
respectively. Lacunar infarcts existed in 23 of 40 patients. Among 17 patients
who have been followed, recurrence, progression, and progressive atrophy were
noted in 8, 9, and 4 cases, respectively. CONCLUSIONS: This study demonstrates
the conventional and diffusional MRI features of MELAS, suggesting a model of
acute stroke-like lesions in which the cortex manifest with cytotoxic edema and
the subcortical area with vasogenic edema.
PMID- 29369946
TI - Gynecological Lymphoma: A Case Series and Review of the Literature.
AB - OBJECTIVE: The aim of the study was to evaluate the radiological characteristics
of gynecological lymphoproliferative disease (LPD) and specific imaging features
that may suggest the diagnosis. METHODS: Two readers conducted a retrospective
evaluation of imaging studies of 13 female patients presenting with a
gynecological LPD. A literature review was also performed. RESULTS: Of the 13
evaluated women, 9 had ovarian involvement, 3 had cervical involvement, and 1 had
uterine involvement. The most common lesion characteristics were homogenous
masses (11), with mild contrast enhancement (9), followed by soft-tissue necrosis
(4), prominent blood vessels displaced by the lesions (4), linear arrangement of
cysts at the periphery of the ovaries (3), and "touching" ovaries in all cases of
bilateral ovarian involvement. CONCLUSIONS: A solid large homogeneous mass with
mild contrast enhancement should alert the radiologist to the possibility of the
differential diagnosis of LPD. Radiologists should be "the gatekeepers" by
raising this possibility to avoid unnecessary surgery and enable appropriate
treatment.
PMID- 29369947
TI - Hepatocellular Carcinoma: Retrospective Evaluation of the Correlation Between
Gadobenate Dimeglumine-Enhanced Magnetic Resonance Imaging and Pathologic Grade.
AB - OBJECTIVE: The aim of this study was to evaluate the usefulness of gadobenate
dimeglumine-enhanced magnetic resonance imaging in characterizing the grade of
hepatocellular carcinoma (HCC) using the signal intensity (SI) of the erector
spinae as internal reference. MATERIALS AND METHODS: Clinical data of 40 patients
(a total of 44 lesions) confirmed by pathology for HCC were retrospectively
reviewed. Gadobenate dimeglumine-enhanced magnetic resonance imaging was
performed in all patients, and SI of lesions (SIles), liver parenchyma around the
lesions (SIhep), erector spinae (SImus) and standard deviation of SI of the
surrounding noise (SDnoi) on nonenhanced T2WI, nonenhanced T1WI, and contrast
enhanced T1WI (in both arterial and hepatobiliary phase [AP and HBP]) were
measured, respectively. Contrast-to-noise ratio (CNR) were separately defined as
CNR1 ([SIles - SIhep]/SDnoi) and CNR2 ([SIles - SImus]/SDnoi). Statistical
analyses were performed using one-way analysis of variance, least significant
difference test, logistic regression analysis, Spearman rank correlation, and
receiver operating characteristic curves analysis. RESULTS: Forty-four HCCs,
including 3 well-differentiated HCCs, 26 moderately differentiated HCCs, and 15
poorly differentiated (PD) HCCs, were confirmed. On logistic regression analysis,
only CNR2 in the HBP was predictor of PD HCCs (P = 0.015, odds ratio = 1.040).
The size of lesions, CNR1 in the AP, CNR2 in the AP, and CNR2 in the HBP, showed
significant correlations with the degree of differentiation (correlation
coefficients = -0.371, 0.435, 0.503, and 0.512, respectively; P = 0.013, 0.003,
0.001, and 0.000, respectively). Contrast-to-noise ratio 2 in the HBP with the
cutoff of less than 4.56 could distinguish moderately differentiated HCCs from PD
HCC with the sensitivity and specificity of 84.6% and 60.0%, respectively.
CONCLUSIONS: Relatively low arterial enhancement and low CNR2 value in the HBP
are predictive for poor histological grade of HCCs.
PMID- 29369949
TI - Using Choice Architecture to Integrate Substance Use Services with Primary Care:
Commentary on Donohue et al.
AB - : At a time when death and disability linked to problematic substance use have
reached crisis levels, integration of substance use disorder (SUD) services into
primary care settings is a clear national priority. Incentive-based interventions
can catalyze such adoption, but have thus far demonstrated limited
efficaciousness. Behavioral Economics can inform efforts to incentivize
healthcare providers to adopt SUD interventions. Choice architecture principles
dictate pegging rewards to defined quality metrics, improving provider
information about effective and cost-effective practices, and reducing barriers
to SUD service provision through technological tools, tackling stigma, and
addressing real and perceived regulatory burdens and risks. Additional research
is needed to inform these and other key elements in the choice environment
designed to facilitate the integration of SUD care into primary care. Success in
the deployment of the "cascade of care" model in primary care settings during the
HIV/AIDS epidemic provides room for optimism, but also underscores the urgency of
rapid scale-up in diagnostic and treatment services for SUD to address the
burgeoning opioid crisis.
PMID- 29369948
TI - Prognostic Value of Volume-Based Metabolic Parameters Obtained by 18F-FDG-PET/CT
in Patients With Locally Advanced Squamous Cell Cervical Carcinoma.
AB - OBJECTIVE: The aim of the study was to assess the prognostic impact of volume
based metabolic F-fluorodeoxyglucose-positron emission tomography/ computed
tomography (F-FDG-PET/CT) parameters in patients with stage IB-IVA squamous cell
cervical carcinoma (SCCC). MATERIALS AND METHODS: We reviewed the pretreatment F
FDG-PET/CT records of 67 patients with stage IB-IVA SCCC. The maximum
standardized uptake value (SUVmax) of the primary tumor (PSUVmax), highest SUVmax
of the whole malignant lesions (WSUVmax), metabolic tumor volume (MTV) of the
primary tumor (PMTV), MTV of the whole malignant lesion (WMTV), total lesion
glycolysis (TLG) of primary tumor (PTLG), and TLG of the whole malignant lesion
(WTLG) were obtained. Univariate and multivariate analyses were performed to
assess the predictive values of metabolic parameters. RESULTS: Twenty-nine
patients showed disease progression and 21 patients died during follow-up. In
univariate analysis, positive lymph node, WSUVmax of greater than 11, WMTV of
greater than 63 cm, and WTLG of greater than 373 adversely affected the
progression-free survival (P = 0.004, 0.045, 0.023, and 0.005, respectively). A
positive lymph node, WSUVmax of greater than 12, WMTV of greater than 68 cm, and
WTLG of greater than 373 significantly adversely affected overall survival (P =
0.002, 0.032, 0.015, and 0.006, respectively). In multivariate analysis,
independent prognostic factor for progression-free survival was WTLG (relative
risk = 2.384, 95% confidence interval = 1.095-5.187, P = 0.029). Independent
prognostic factors for overall survival was WTLG (relative risk = 2.763; 95%
confidence interval = 1.107-6.896, P = 0.029). CONCLUSIONS: Preliminary results
indicated that pretreatment WTLG measured by F-FDG-PET/CT could independently
predict survival in patients with locally advanced SCCC.
PMID- 29369950
TI - Importance of Speed and Power in Elite Youth Soccer Depends on Maturation Status.
AB - Murtagh, CF, Brownlee, TE, O'Boyle, A, Morgans, R, Drust, B, and Erskine, RM.
Importance of speed and power in elite youth soccer depends on maturation status.
J Strength Cond Res 32(2): 297-303, 2018-Maturation status is a confounding
factor when identifying talent in elite youth soccer players (ESP). By comparing
performance of ESP and control participants (CON) matched for maturation status,
the aims of our study were to establish the importance of acceleration, sprint,
horizontal-forward jump, and vertical jump capabilities for determining elite
soccer playing status at different stages of maturation. Elite youth soccer
players (n = 213; age, 14.0 +/- 3.5 years) and CON (n = 113; age, 15.0 +/- 4.4
years) were grouped using years from/to predicted peak height velocity (PHV) to
determine maturation status (ESP: pre-PHV, n = 100; mid-PHV, n = 25; post-PHV, n
= 88; CON: pre-PHV, n = 44; mid-PHV, n = 15; post-PHV, n = 54). Participants
performed 3 reps of 10- and 20-m sprint, bilateral vertical countermovement jump
(BV CMJ), and bilateral horizontal-forward CMJ (BH CMJ). Elite youth soccer
players demonstrated faster 10-m (p < 0.001) and 20-m sprint (p < 0.001)
performance than CON at all stages of maturation. Mid-PHV and post-PHV ESP
achieved greater BV CMJ height (p < 0.001) and BH CMJ distance (ESP vs. CON; mid
PHV: 164.32 +/- 12.75 vs. 136.53 +/- 21.96 cm; post-PHV: 197.57 +/- 17.05 vs.
168.06 +/- 18.50 cm; p < 0.001) compared with CON, but there was no difference in
BV or BH CMJ between pre-PHV ESP and CON. Although 10 and 20 m and sprint
performance may be determinants of elite soccer playing status at all stages of
maturation, horizontal-forward and vertical jumping capabilities only
discriminate ESP from CON participants at mid- and post-PHV. Our data therefore
suggest that soccer talent identification protocols should include sprint, but
not jump assessments in pre-PHV players.
PMID- 29369951
TI - Performance Differences Among Skilled Soccer Players of Different Playing
Positions During Vertical Jumping and Landing.
AB - Harry, JR, Barker, LA, James, CR, and Dufek, JS. Performance differences among
skilled soccer players of different playing positions during vertical jumping and
landing. J Strength Cond Res 32(2): 304-312, 2018-Both jumping and landing
performance of skilled soccer players is diminished when task demands are
increased. However, it is unclear if performance changes are specific to players
of certain playing positions. Therefore, we assessed jumping and landing
performance among skilled soccer players of different playing positions. Twenty
five National Collegiate Athletic Association (NCAA) Division 1 male soccer
players (179.5 +/- 7.8 cm, 75.5 +/- 7.1 kg, 19.7 +/- 1.2 years) performed maximum
effort vertical jump landings (VJLs), whereas vertical ground reaction force
(vGRF) data were obtained. Participants were stratified into goalkeeping (GK),
defensive (DEF), midfield (MID), and attacking (ATT) group according to their
primary playing position. One-way analyses of variance (alpha = 0.05) and effect
sizes (ESs; large >= 0.80) were used to compare differences among groups. The
jumping phase variables evaluated were jump height, unloading and amortization
vGRF magnitudes, eccentric rate of force development, and the reactive strength
index. Landing phase variables included the peak vGRF magnitude, vGRF loading
rate, vGRF attenuation rate, and landing time. No statistically significant
differences were detected for any jumping or landing variable (p >= 0.05).
However, a number of large magnitude differences were detected during landing
after ES calculations. Specifically, greater peak vGRF magnitudes were detected
in DEF vs. both MID (ES = 1.08) and ATT (ES = 0.93), a greater vGRF loading rate
occurred in DEF vs. MID (ES = 0.93), and a greater vGRF attenuation rate occurred
in DEF vs. both MID (ES = 1.00) and AT (ES = 0.80). It is concluded that highly
skilled soccer players possess position-specific abilities with respect to the
landing phase of VJL. Skilled soccer players might experience enhanced training
outcomes after VJL training regimens tailored to the specific demands of their
primary playing position.
PMID- 29369952
TI - Running Performance in Brazilian Professional Football Players During a Congested
Match Schedule.
AB - Palucci Vieira, LH, Aquino, R, Lago-Penas, C, Munhoz Martins, GH, Puggina, EF,
and Barbieri, FA. Running performance in Brazilian professional football players
during a congested match schedule. J Strength Cond Res 32(2): 313-325, 2018-The
purpose of the study was to examine the influence of playing congested fixtures
(2 matches a week) on running performance of Brazilian professional football
players, pooled (all performance files regardless players' rotation between
games) or individualized data treatment (including only files of athletes' who
played pair(s) of noncongested-congested matches which occurred on the same
week). Forty participants were observed 1-37 times during 59 official matches
over 3 years. Global positioning system devices were used to compute total
distance covered, maximal sprinting speed mean speed (VAVERAGE), and high
intensity activities (HIA: >=15 km.h). Independent variables included match
status (win, draw, and lose), location (home and away), opponent quality (weak
and strong), competitive standard (national and state), team formation (4-4-2 and
4-3-3), and position (defender, midfielder, and forward). Student's t-test,
effect sizes (d), and magnitude-based inferences were performed to detect
differences between congested and noncongested fixtures for each independent
factor. Overall, pooled and individualized data treatment revealed contrasting
results. The first showed chances of positive outcomes playing congested matches
(possibly to very likely; d = 0.09-0.55), for all variables, as using 4-4-2 and
state tournament. Conversely, individualized data analysis revealed that during
national leagues (possibly; d = 0.24) or forward position (likely; d = 0.70), the
players presented reduced HIA when played congested than noncongested periods (p
<= 0.05). Using 4-3-3 (d = 0.26) or when draw (d = 0.41), possibly lower HIA was
also identified. Coaching staff should be aware of performance oscillations such
as detrimental effects on HIA during congested periods.
PMID- 29369953
TI - Preseason Maximal Aerobic Power in Professional Soccer Players Among Different
Divisions.
AB - Marcos, MA, Koulla, PM, and Anthos, ZI. Preseason maximal aerobic power in
professional soccer players among different divisions. J Strength Cond Res 32(2):
356-363, 2018-The purpose of this study was to examine and compare the
anthropometric, maximal oxygen uptake (V[Combining Dot Above]O2max), and
positional differences of first division (D1) professional football players from
players of second (D2) and third (D3) divisions in Cyprus football leagues. Four
hundred twenty-one professional male football players participated in this study.
All subjects underwent anthropometric and body composition evaluation. In
addition, they performed an incremental cardiopulmonary exercise testing (CPET)
on a treadmill for V[Combining Dot Above]O2max evaluation. The results were
analyzed using 1-way analysis of variance, between subjects design revealing
significant effects among the divisions. Tukey's honest significant difference
(HSD) tests demonstrated that players from D1 scored significantly higher on
V[Combining Dot Above]O2max and lasted significantly longer on the treadmill than
participants of D2 and D3 (p <= 0.05). Similar findings were demonstrated when D2
was contrasted against D3 players. Goalkeepers, defenders, and forwards
demonstrated significantly higher anthropometric measurements, whereas wingers
and midfielders demonstrated significantly higher V[Combining Dot Above]O2max (p
<= 0.05) than goalkeepers and defenders. The findings of this study clearly
demonstrated that cardiovascular fitness, as determined by CPET, is an important
fitness parameter that differentiates professional football players who play at a
more advanced level. This could be attributed to the different seasonal schedules
that allow for longer transition time for lower division players and thus
favoring greater detraining effects. Emphasis should be given by fitness
professionals on transition period training to minimize the detraining effects
especially in lower divisions.
PMID- 29369954
TI - Short-Term Training Cessation as a Method of Tapering to Improve Maximal
Strength.
AB - Pritchard, HJ, Barnes, MJ, Stewart, RJC, Keogh, JWL, and McGuigan, MR. Short-term
training cessation as a method of tapering to improve maximal strength. J
Strength Cond Res 32(2): 458-465, 2018-The aim of this study was to determine the
effects of 2 different durations of training cessation on upper- and lower-body
maximal strength performance and to investigate the mechanisms underlying
performance changes following short-term training cessation. Eight resistance
trained males (23.8 +/- 5.4 years, 79.6 +/- 10.2 kg, 1.80 +/- 0.06 m, relative
deadlift 1 repetition maximum of 1.90 +/- 0.30 times bodyweight [BW]) each
completed two 4-week strength training periods followed by either 3.5 days (3.68
+/- 0.12 days) or 5.5 days (5.71 +/- 0.13 days) of training cessation. Testing
occurred pretraining (T1), on the final day of training (T2), and after each
respective period of training cessation (T3). Participants were tested for
salivary testosterone and cortisol, plasma creatine kinase, psychological
profiles, and performance tests (countermovement jump [CMJ], isometric midthigh
pull, and isometric bench press [IBP]) on a force plate. Participants' BW
increased significantly over time (p = 0.022). The CMJ height and IBP peak force
showed significant increases over time (p = 0.013, 0.048, and 0.004,
respectively). Post hoc testing showed a significant increase between T1 and T3
for both CMJ height and IBP peak force (p = 0.022 and 0.008 with effect sizes of
0.30 and 0.21, respectively). No other significant differences were seen for any
other measures. These results suggest that a short period of strength training
cessation can have positive effects on maximal strength expression, perhaps
because of decreases in neuromuscular fatigue.
PMID- 29369955
TI - Reliability of Near-Infrared Spectroscopy for Measuring Intermittent Handgrip
Contractions in Sport Climbers.
AB - Balas, J, Kodejska, J, Krupkova, D, Hannsmann, J, and Fryer, S. Reliability of
near-infrared spectroscopy for measuring intermittent handgrip contractions in
sport climbers. J Strength Cond Res 32(2): 494-501, 2018-The use of near-infrared
spectroscopy (NIRS) to investigate muscle oxygenation changes during physical
tasks such as rock climbing has rapidly increased within recent years; yet, there
is no known measure of reliability. The current study aimed to determine
intersession reliability and minimal detectable change (MDC) of continuous wave
NIRS parameters during intermittent handgrip contractions in rock climbers.
Thirty-two sport climbers were tested for exhaustive intermittent handgrip
exercise (8-second contraction-2-second relief) at 60% of maximal voluntary
contraction on 3 separate days. During each visit, continuous wave NIRS was used
to determine tissue saturation index (TSI) as the measure of tissue oxygenation
in the flexor digitorum profundus. To assess the intersession reliability, the
intraclass correlation coefficient (ICC), SEM, coefficient of variation (CV), and
MDC were used. Mean deoxygenation during the contractions provided reliable
results ([INCREMENT] TSI; first trial -8.9 +/- 2.9%, second trial -8.8 +/- 2.7%,
and third trial -8.4 +/- 2.6%; ICC = 0.692; SEM = 1.5%; CV = 17.2%; MDC = 4.2%).
Mean muscle reoxygenation during the relief periods was similarly reliable
([INCREMENT] TSI; first trial 9.0 +/- 3.1%, second trial 8.8 +/- 2.9%, and third
trial 8.5 +/- 2.7%; ICC = 0.672; SEM = 1.7%; CV = 19.0%, MDC = 4.7%). As such,
continuous wave NIRS provides a reliable measure of deoxygenation and
reoxygenation during intermittent contractions to failure in the forearm flexors
of rock climbers. Differences exceeding ~4.5% for [INCREMENT] TSI during
contraction and relief periods should be considered meaningful.
PMID- 29369956
TI - Comparison of Exercise Performance in Recreationally Active and Masters Athlete
Women.
AB - Stone, MS, Glenn, JM, Vincenzo, JL, and Gray, M. Comparison of exercise
performance in recreationally active and masters athlete women. J Strength Cond
Res 32(2): 565-571, 2018-Master athletes (MA) are an understudied, ever-growing
cohort. As such, it is important to examine how age affects muscular power and
fatigability. Of particular interest is muscular power maintenance and fatigue
mitigation of MA compared with young, healthy adults. The purpose of this
investigation was to examine the differences in peak power, average power, total
work (WRK), and fatigue index (FI) between recreationally active (RA) younger
adults and female MA during anaerobic cycling exercise. Two groups, RA (n = 15;
20.6 +/- 0.8 years) and MA (n = 17; 50.5 +/- 8.6 years), participated in this
study. Peak power, APWR, WRK, and FI were measured during a 30-second Wingate
maximum anaerobic cycling protocol at a predetermined resistance of 7.5% body
mass. Peak power (p = 0.92; RA: 654.1 +/- 114.5 W; MA: 658.6 +/- 147.6 W), APWR
(p = 0.09; RA: 429.8 +/- 73.3 W; MA: 384 +/- 73.8 W), WRK (p = 0.09; RA: 12,894.3
+/- 2,198.3 J; MA: 18,044.3 +/- 27,184.9 J), and FI (p = 0.30; RA: 11.8 +/- 4.1
W.s; MA: 14 +/- 5.2 W.s) were not significantly different between groups. Master
athletes produce power and WRK comparable to rates of fatigue among RA. This
suggests that MA can maintain physical ability similar to RA in multiple
parameters of high-intensity exercise while mitigating fatigue comparably. These
data allow for advancements in exercise training and performance outcomes in MA
populations. Further research within the MA population is warranted regarding
other aspects of exercise and sport performance.
PMID- 29369957
TI - Plasma Ropivacaine Concentrations Following Local Infiltration Analgesia in Total
Knee Arthroplasty: A Pharmacokinetic Study to Determine Safety Following Fixed
Dose Administration.
AB - BACKGROUND AND OBJECTIVES: The primary aim of this study was to examine the
pharmacokinetics of ropivacaine in patients undergoing elective total knee
arthroplasty with local infiltration analgesia as the primary analgesic method.
We also sought to determine the incidence of biochemical toxicity through
measurement of plasma ropivacaine concentrations over the first 24 hours
postoperatively. METHODS: This was a prospective, observational study of 15
patients undergoing elective total knee arthroplasty. Local infiltration
analgesia was administered by standard technique with 300 mg ropivacaine and
epinephrine 5 MUg/mL. Total ropivacaine concentrations were taken at specified
time intervals in the 24 hours after tourniquet release and analyzed by liquid
chromatography-mass spectrometry. RESULTS: Fifteen patients were enrolled into
the study. The median peak ropivacaine concentration was 0.57 MUg/mL, with a
range of 0.32 to 0.88 MUg/mL, and occurred between 6 and 24 hours. Age (P =
0.04), weight (P = 0.04), creatinine (P = 0.02), and female sex (P = 0.03) were
important predictors of peak concentration. Age (P = 0.02), female sex (P =
0.01), and baseline alpha1 acid glycoprotein concentrations (P = 0.03) were
important predictors for the area under the curve from a ropivacaine
concentration versus time plot. CONCLUSIONS: The peak total ropivacaine
concentration was below quoted toxic concentrations (2.2 MUg/mL) in all patients.
This peak occurred later than has previously been described in those undergoing
neuraxial or peripheral nerve block, occurring between 6 and 24 hours. The
influence of age, weight, and renal function on systemic ropivacaine
concentration should be considered when planning local infiltration analgesia.
Female sex is a factor that has not previously been associated with peak
ropivacaine concentrations.
PMID- 29369958
TI - Epidural Hematoma Following Cessation of a Direct Oral Anticoagulant: A Case
Report.
AB - OBJECTIVE: In this case report, we describe a case of epidural hematoma following
epidural analgesia in a patient with recent cessation of a direct oral
anticoagulant (DOAC). CASE REPORT: An 89-year-old woman requiring upper abdominal
surgery presented with multiple comorbidities, including a prior cerebrovascular
accident resulting in a left-sided hemiparesis and atrial fibrillation requiring
anticoagulation with rivaroxaban. In accordance with our departmental guidelines
at the time of procedure, rivaroxaban was discontinued 4 days preoperatively. A
thoracic epidural was placed at T8/9 immediately prior to induction. Venous
thromboembolism prophylaxis was provided with compression devices, and every-12
hour unfractionated heparin initiated 5.5 hours after epidural placement. On
postoperative day 2, the patient was noted to have a bilateral motor block, and
imaging demonstrated a thoracic epidural hematoma extending from T6 to T11.
Preexisting neurological deficits may have delayed detection. With patient
agreement, neurosurgery recommended observation rather than surgical
decompression because the patient was a poor surgical candidate and limited
neurologic recovery was expected. The patient had modest motor recovery over the
next few months. CONCLUSIONS: Guidelines for cessation of DOACs prior to
neuraxial techniques are based on pharmacologic half-lives rather than
accumulated experience. This case adds to the experience of neuraxial analgesia
complications while following these guidelines. Patient risk may be increased by
the combination of recent cessation of a DOAC, as well as the cumulative effect
of multiple small risk factors. Continued vigilance and reporting of cases of
epidural hematomas will enhance our understanding and ultimately improve patient
care. Elderly patients and/or patients with prior neurological deficits may
present further challenges for early detection and require frequent assessments
with comparison to baseline status.
PMID- 29369960
TI - Risk of Acute Ischemic Stroke in Patients With Monocular Vision Loss of Vascular
Etiology.
AB - BACKGROUND: To evaluate the risk of concurrent acute ischemic stroke and
monocular vision loss (MVL) of vascular etiology. DESIGN: Retrospective, cross
sectional study. SUBJECTS: Patients aged 18 or older diagnosed with MVL of
suspected or confirmed vascular etiology who had no other neurologic deficits and
who received brain MRI within 7 days of onset of visual symptoms were included.
METHODS: A medical record review was performed from 2013 to 2016 at Yale New
Haven Hospital. Patients were included if vision loss was unilateral and due to
transient monocular vision loss (TMVL), central retinal artery occlusion (CRAO),
or branch retinal artery occlusion (BRAO). Any patients with neurologic deficits
other than vision loss were excluded. Other exclusion criteria were positive
visual phenomena, nonvascular intraocular pathology, and intracranial pathology
other than ischemic stroke. MAIN OUTCOME MEASURES: The presence or absence of
acute stroke on diffusion-weighted imaging (DWI) on brain MRI. RESULTS: A total
of 641 records were reviewed, with 293 patients found to have MVL. After
excluding those with focal neurologic deficits, there were 41 patients who met
the inclusion criteria and received a brain MRI. Eight of the 41 subjects (19.5%)
were found to have findings on brain MRI positive for acute cortical strokes. The
proportion of lesion positive MRI was 1/23 (4.3%) in TMVL subjects, 4/12 (33.3%)
in CRAO subjects, and 2/5 (40%) in BRAO subjects. Brain computed tomography (CT)
scans were not able to identify the majority of acute stroke lesions in this
study. CONCLUSIONS: Patients with MVL of vascular etiology such as TMVL, CRAO, or
BRAO may have up to 19.5% risk of concurrent ischemic stroke, even when there are
no other neurologic deficits. These strokes were detected acutely with brain MRI
using DWI but were missed on CT.
PMID- 29369961
TI - Role of Scientific Theory in Simulation Education Research.
AB - STATEMENT: Scientific theories are consistent explanations about how the world
works. They have been shown to be plausible not only from a large amount of
independent confirmatory evidence but also because rigorous attempts at
falsification have failed. Other desirable features include parsimony,
scalability, explanatory, and predictive power. Scientific theories differ from
models and laws in the amount of evidence available and/or the degree to which
they explain nature. Learning curve theory is a scientific theory with direct
applicability to simulation education researchers. In this article, the authors
use the example of learning curve theory to illustrate the key features of
scientific theories and how they provide a meaningful foundation for simulation
based education research programs.
PMID- 29369962
TI - Establishing a Virtual Community of Practice in Simulation: The Value of Social
Media.
AB - STATEMENT: Professional development opportunities are not readily accessible for
most simulation educators, who may only connect with simulation experts at
periodic and costly conferences. Virtual communities of practice consist of
individuals with a shared passion who communicate via virtual media to advance
their own learning and that of others. A nascent virtual community of practice is
developing online for healthcare simulation on social media platforms. Simulation
educators should consider engaging on these platforms for their own benefit and
to help develop healthcare simulation educators around the world. Herein, we
describe this developing virtual community of practice and offer guidance to
assist educators to engage, learn, and contribute to the growth of the community.
PMID- 29369963
TI - Comparison of Cardiopulmonary Resuscitation Quality Between Standard Versus
Telephone-Basic Life Support Training Program in Middle-Aged and Elderly
Housewives: A Randomized Simulation Study.
AB - INTRODUCTION: For cardiac arrests witnessed at home, the witness is usually a
middle-aged or older housewife. We compared the quality of cardiopulmonary
resuscitation (CPR) performance of bystanders trained with the newly developed
telephone-basic life support (T-BLS) program and those trained with standard BLS
(S-BLS) training programs. METHODS: Twenty-four middle-aged and older housewives
without previous CPR education were enrolled and randomized into two groups of
BLS training programs. The T-BLS training program included concepts and current
instruction protocols for telephone-assisted CPR, whereas the S-BLS training
program provided training for BLS. After each training course, the participants
simulated CPR and were assisted by a dispatcher via telephone. Cardiopulmonary
resuscitation quality was measured and recorded using a mannequin simulator. The
primary outcome was total no-flow time (>1.5 seconds without chest compression)
during simulation. RESULTS: Among 24 participants, two (8.3%) who experienced
mechanical failure of simulation mannequin and one (4.2%) who violated simulation
protocols were excluded at initial simulation, and two (8.3%) refused follow-up
after 6 months. The median (interquartile range) total no-flow time during
initial simulation was 79.6 (66.4-96.9) seconds for the T-BLS training group and
147.6 (122.5-184.0) seconds for the S-BLS training group (P < 0.01). Median
cumulative interruption time and median number of interruption events during BLS
at initial simulation and 6-month follow-up simulation were significantly shorter
in the T-BLS than in the S-BLS group (1.0 vs. 9.5, P < 0.01, and 1.0 vs. 10.5, P
= 0.02, respectively). CONCLUSIONS: Participants trained with the T-BLS training
program showed shorter no-flow time and fewer interruptions during bystander CPR
simulation assisted by a dispatcher.
PMID- 29369964
TI - Laparoscopic Hilar Lymph Node Sampling in Patients With Biliary Tract Cancers
That are Rarely Associated With Nodal Metastasis.
AB - PURPOSE: Among the various types of biliary tract cancer, patients with single,
small (<5 cm), peripheral intrahepatic cholangiocarcinoma (ICC), or small (<2 cm)
gallbladder tumors (GBTs) rarely develop lymph node (LN) metastasis. We
investigated a laparoscopic approach for hilar LN sampling in such cases.
METHODS: Among the 176 patients with biliary tract cancer who were treated from
January 2012 to March 2017, 21 (ICC, n=4; GBT, n=17) met the above indications.
RESULTS: All patients were treated by a laparoscopic approach with R0 resection.
After determining the pathologic diagnosis, 9 patients with GBT and all 4
patients with ICC underwent laparoscopic LN sampling; the mean numbers of sampled
LNs were 2.6 and 7.3, respectively; no patients had metastasis. The mean
operating times for GBT and ICC were 223 and 379 minutes, respectively; the mean
blood loss was 23 mL and 171 mL. With the exception of 1 patient who developed
intrahepatic metastasis of ICC at the opposite liver lobe, all of the patients
were recurrence free. CONCLUSIONS: A laparoscopic approach could be safely
applied under our indications.
PMID- 29369965
TI - Impact of Surgeon's Surgical Experience on Outcomes After Laparoscopic Distal
Gastrectomy in High Body Mass Index Patients.
AB - The aim of this study was to evaluate the impact of surgical experience on
laparoscopic distal gastrectomy in high body mass index (BMI) patients.
Retrospective data were collected on patients who underwent laparoscopic distal
gastrectomy for early gastric cancer from July 2002 to December 2014. Patients
were divided into a high BMI group (BMI>=25 kg/m) and a low BMI group (BMI<25
kg/m) and classified into subgroups by surgeon experience. Patient
characteristics and surgical outcomes between groups were analyzed and compared.
Mean operation time in the high BMI group was longer than the low BMI group
(173.0+/-52.5 vs. 164.2+/-48.0 min; P=0.009). Subgroup analysis showed longer
operation time in the high BMI group than the low BMI group (200.8+/-49.3 vs.
187.9+/-45.2 min; P<0.001) and fewer retrieved lymph nodes (36.3+/-11.7 vs.
33.0+/-13.1; P=0.004) in the early surgeon experience period. Patients in the
late experience period showed no significant differences in surgical outcomes
between the high BMI and low BMI group. High BMI did not influence surgical
outcomes of laparoscopic distal gastrectomy after accumulation of surgical
experience.
PMID- 29369968
TI - Quantitative sensory test correlates with neuropathy, not with pain.
PMID- 29369967
TI - Lateralized kappa opioid receptor signaling from the amygdala central nucleus
promotes stress-induced functional pain.
AB - The response of diffuse noxious inhibitory controls (DNIC) is often decreased, or
lost, in stress-related functional pain syndromes. Because the dynorphin/kappa
opioid receptor (KOR) pathway is activated by stress, we determined its role in
DNIC using a model of stress-induced functional pain. Male, Sprague-Dawley rats
were primed for 7 days with systemic morphine resulting in opioid-induced
hyperalgesia. Fourteen days after priming, when hyperalgesia was resolved, rats
were exposed to environmental stress and DNIC was evaluated by measuring hind paw
response threshold to noxious pressure (test stimulus) after capsaicin injection
in the forepaw (conditioning stimulus). Morphine priming without stress did not
alter DNIC. However, stress produced a loss of DNIC in morphine-primed rats in
both hind paws that was abolished by systemic administration of the KOR
antagonist, nor-binaltorphimine (nor-BNI). Microinjection of nor-BNI into the
right, but not left, central nucleus of the amygdala (CeA) prevented the loss of
DNIC in morphine-primed rats. Diffuse noxious inhibitory controls were not
modulated by bilateral nor-BNI in the rostral ventromedial medulla. Stress
increased dynorphin content in both the left and right CeA of primed rats,
reaching significance only in the right CeA; no change was observed in the
rostral ventromedial medulla or hypothalamus. Although morphine priming alone is
not sufficient to influence DNIC, it establishes a state of latent sensitization
that amplifies the consequences of stress. After priming, stress-induced
dynorphin/KOR signaling from the right CeA inhibits DNIC in both hind paws,
likely reflecting enhanced descending facilitation that masks descending
inhibition. Kappa opioid receptor antagonists may provide a new therapeutic
strategy for stress-related functional pain disorders.
PMID- 29369969
TI - The Long Journey of mTOR Inhibitors and the Long Path That Is Still Ahead.
PMID- 29369966
TI - Orally active Epac inhibitor reverses mechanical allodynia and loss of
intraepidermal nerve fibers in a mouse model of chemotherapy-induced peripheral
neuropathy.
AB - Chemotherapy-induced peripheral neuropathy (CIPN) is a major side effect of
cancer treatment that significantly compromises quality of life of cancer
patients and survivors. Identification of targets for pharmacological
intervention to prevent or reverse CIPN is needed. We investigated exchange
protein regulated by cAMP (Epac) as a potential target. Epacs are cAMP-binding
proteins known to play a pivotal role in mechanical allodynia induced by nerve
injury and inflammation. We demonstrate that global Epac1-knockout (Epac1-/-)
male and female mice are protected against paclitaxel-induced mechanical
allodynia. In addition, spinal cord astrocyte activation and intraepidermal nerve
fiber (IENF) loss are significantly reduced in Epac1-/- mice as compared to wild
type mice. Moreover, Epac1-/- mice do not develop the paclitaxel-induced deficits
in mitochondrial bioenergetics in the sciatic nerve that are a hallmark of CIPN.
Notably, mice with cell-specific deletion of Epac1 in Nav1.8-positive neurons (N
Epac1-/-) also show reduced paclitaxel-induced mechanical allodynia, astrocyte
activation, and IENF loss, indicating that CIPN develops downstream of Epac1
activation in nociceptors. The Epac-inhibitor ESI-09 reversed established
paclitaxel-induced mechanical allodynia in wild-type mice even when dosing
started 10 days after completion of paclitaxel treatment. In addition, oral
administration of ESI-09 suppressed spinal cord astrocyte activation in the
spinal cord and protected against IENF loss. Ex vivo, ESI-09 blocked paclitaxel
induced abnormal spontaneous discharges in dorsal root ganglion neurons.
Collectively, these findings implicate Epac1 in nociceptors as a novel target for
treatment of CIPN. This is clinically relevant because ESI-09 has the potential
to reverse a debilitating and long-lasting side effect of cancer treatment.
PMID- 29369970
TI - mTOR Inhibition Role in Cellular Mechanisms.
AB - The mammalian target of rapamycin inhibitors (mTOR-I), drugs widely used in
transplant medicine and oncology, exert their function by inhibiting a
serine/threonine kinase with a pivotal role in cellular metabolism and in a wide
range of eukaryotic biological/cellular functions and signaling networks.
Additionally, as largely described, the inhibition of mTOR has a major impact on
cellular metabolism by stimulating synthesis of proteins and lipids, inhibiting
catabolic processes, such as lysosome biogenesis and autophagy, and controlling
cell survival, cytoskeleton organization, lipogenesis, and gluconeogenesis. All
these biological functions are essential to guarantee body homeostasis and
survival. Therefore, it is necessary for clinicians and researchers to better
understand this complex pathway to ameliorate patients' treatment empathizing
therapeutic effects to minimize/avoid toxicities and to propose new valuable
research strategies.The aim of this article has been to underline the complexity
of the mTOR pathway and to review the recent literature describing the
consequences of its inhibition on several cellular functions including (a)
protein synthesis, (b) cell cycle,
PMID- 29369971
TI - mTOR Inhibition and Clinical Transplantation: Heart.
AB - This brief overview discusses recent data on the use of mammalian target of
rapamycin (mTOR) inhibitors in heart transplantation. Trials on de novo use have
shown good efficacy of mTOR inhibitors; however, adverse events are often seen.
Conversion protocols in long-term patients are mainly used in patients with renal
insufficiency. Calcineurin inhibitor minimization and conversion to calcineurin
inhibitor-free protocols have proven to stabilize renal function in recent
trials. Lastly, beneficial effects of mTORs against the development of graft
vasculopathy, cytomeglovirus infection and malignancy have been shown.
Nevertheless, lower tolerability of the drug has affected the long-term use in
patients. Future consideration of using mTORs will be individualized protocols in
special subpopulation after heart transplantation.
PMID- 29369972
TI - mTOR Inhibition and Kidney Diseases.
AB - Mammalian or mechanistic target of rapamycin (mTOR) is a serine-threonine kinase
that plays essential roles in cell growth, proliferation, metabolism, and
survival. Increased activation of the mTOR pathway is observed in patients and
animal models of renal transplant rejection, autosomal dominant polycystic kidney
disease, renal cell carcinoma, diabetic nephropathy, lupus nephritis, and
angiomyolipoma. Agents that inhibit mTOR, such as sirolimus and everolimus, are
incorporated in immunosuppressive regimens to prevent renal allograft rejection
and are often used to facilitate calcineurin inhibitor minimization or to reduce
the incidence of tumor recurrence. There are data from basic or animal studies to
suggest that sirolimus and its analogs may also benefit patients with autosomal
dominant polycystic kidney disease and metabolic- or immune-mediated renal
diseases through its ability to reduce glomerular hypertrophy, renal parenchymal
inflammation and fibrosis, but translation into clinical use has proved
challenging. This review summarizes the current understanding of mTOR signaling
pathway under physiological and pathological conditions and recent findings on
mTOR inhibitors in the management of kidney transplantation and nontransplant
kidney diseases.
PMID- 29369973
TI - The Role of mTOR Inhibitors in the Management of Viral Infections: A Review of
Current Literature.
AB - Viruses are the leading cause of infections after solid organ transplant. The
antiviral properties of mammalian target of rapamycin inhibitors (mTORis) have
been ascribed to a variety of mechanisms and historical data have supported their
use over other immunosuppressants for a myriad of viruses. Herein, we summarize
the most current data to highlight the role of mTORis in the management of viral
infections after solid organ transplant. The mTORis play a clear role in the
management of cytomegalovirus, and have data supporting their potential use for
BK virus and human herpesvirus 8-related Kaposi sarcoma. No data definitively
supports mTORis for use in Epstein-Barr virus-mediated posttransplant
lymphoproliferative disorder or hepatitis C virus viral replication. Although
theoretically an advantageous therapy for hepatitis C virus-related liver
allograft fibrosis and human immunodeficiency virus, mTORi use specifically for
these indications is less attractive with modern treatments currently available.
Data surrounding mTORi efficacy in preventing rejection, and their toxicity
profile must be balanced with their potential antiviral effects in combination
with patient-specific factors.
PMID- 29369974
TI - Therapeutic Drug Monitoring of Second-Generation Antipsychotics for the
Estimation of Early Drug Effect in First-Episode Psychosis: A Cross-sectional
Assessment.
AB - BACKGROUND: Studies on therapeutic drug monitoring (TDM) of second-generation
antipsychotics (SGAs) have provided conflicting results regarding the association
between dose, plasma concentrations, and drug effect and have focused rather on
analyzing how individual drugs work. No study has attempted to process data from
different SGAs globally to offer a panoramic view of the utility of TDM in
clinical practice, and data on patients with first-episode psychosis (FEP) are
lacking. This study aimed to assess the relationship between dose, plasma
concentrations, and drug effect in a sample of patients with FEP, regardless of
the SGA prescribed. METHODS: Data from 64 compliant patients treated with the
same SGA during a 2-month follow-up were recorded. Clinical symptoms were
assessed using the Positive and Negative Symptoms Scale and the Montgomery-Asberg
Depression Rating Scale. Adverse effects were rated using the Udvalg fur Kliniske
Undersogelser scale. SGA doses were standardized to chlorpromazine equivalents,
and patients were classified into 3 different ranges according to their plasma
concentrations (subtherapeutic, therapeutic, and supratherapeutic). RESULTS:
Plasma concentration ranges were proportionally related to dose. Patients with
supratherapeutic plasma concentrations were treated with doses significantly
higher than those with subtherapeutic concentrations. Dose and plasma
concentrations were not associated with early drug effect. CONCLUSIONS: TDM seems
unable to accurately estimate the early effects of SGAs in FEP. Ours is the first
study to categorize plasma concentrations of SGAs into ranges for joint
processing of data from a larger number of patients.
PMID- 29369975
TI - Laughter Is the Best Medicine: Teaching to Teach Using Standardized Patients.
AB - This article describes an innovative approach to patient teaching using
standardized patients, humor, and therapeutic communication. The strategy was
designed for baccalaureate students enrolled in a fundamentals nursing course.
Students, divided into small groups, were assigned a patient education topic to
provide to the standardized patient. Student and faculty feedback indicated
positive responses to this instructional strategy. Utilization of this learner
centered teaching environment to promote therapeutic communication during a
complex patient-teaching scenario was found to be beneficial for novice nursing
students.
PMID- 29369976
TI - Direct-to-Implant Breast Reconstruction in Women Older than 65 Years: A
Retrospective Analysis of Complication Rate and Overall Outcomes.
AB - BACKGROUND: Half of all cancers occur in women older than 65 years, yet only 4 to
14 percent of these women undergo reconstruction. Most studies on reconstruction
in the elderly have focused on tissue expander/implant or autologous
reconstruction. A direct-to-implant approach theoretically reduces the number of
operations and postoperative visits, but has yet to be investigated in the
elderly. METHODS: Institutional review board approval was granted for a
retrospective chart review for patients who underwent direct-to-implant
reconstruction from 2012 to 2015 with any staff in the authors' department. A
control cohort of patients who underwent tissue expander/implant-based
reconstruction from the same period was analyzed. RESULTS: Direct-to-implant
reconstruction was performed in 24 breasts in 19 patients with at least 30-day
follow-up and in 17 breasts in 14 patients with at least 1-year follow-up. A
control group analysis of tissue expander/implant patients was performed for 109
breasts in 88 patients. The tissue expander/implant group was significantly
younger (p = 0.001), with a lower body mass index (p = 0.004). There was no
difference in the rate of seroma, hematoma, infection, necrosis, or failed
reconstruction. Direct-to-implant patients had significantly reduced numbers of
drain days (p < 0.001), length of stay (p = 0.05 and p = 0.039), readmissions (p
= 0.03 and 0.03), extra hospital days (p = 0.05 and p = 0.045), and postoperative
visits (p < 0.001). CONCLUSIONS: Direct-to-implant breast reconstruction in the
elderly yields similar complication and failure rates compared to tissue
expander/implant reconstruction. However, direct-to-implant reconstruction
patients had a reduced number of drain days and fewer readmissions, hospital
stays, and postoperative visits. Direct-to-implant reconstruction is a powerful
tool to use in elderly women with appropriate breast shape and ptosis. CLINICAL
QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 29369977
TI - Discussion: The Lateral Thigh Perforator Flap for Autologous Breast
Reconstruction: A Prospective Analysis of 138 Flaps.
PMID- 29369978
TI - Venous Superdrainage in DIEP Flap Breast Reconstruction: The Impact of
Superficial Inferior Epigastric Vein Dissection on Abdominal Seroma Formation.
AB - BACKGROUND: Abdominal seroma formation after deep inferior epigastric perforator
(DIEP) flap breast reconstruction is a common donor-site complication. Additional
dissection of one or both of the superficial inferior epigastric veins (SIEVs) in
DIEP flap breast reconstruction allows an additional anastomosis for venous
superdrainage if venous congestion occurs. However, generally, SIEV dissection
involves greater invasiveness into the inguinal region, which can traumatize
lymphatic tissue and lead to lymph accumulation. The aim of this study was to
evaluate the impact of SIEV dissection on the incidence of postoperative
abdominal seroma. METHODS: A series of 100 consecutive cases performed by the
Department of Plastic and Reconstructive Surgery at the Medical University of
Vienna from 2001 to 2016 was analyzed. Patients were divided into three groups:
unilateral, bilateral, and no SIEV dissection. Abdominal seroma rates, length of
hospital stay, abdominal drainage duration, and drainage fluid volumes were
compared retrospectively. RESULTS: Seromas were observed in 11.5 percent of
patients without SIEV dissection, 17.2 percent of patients with unilateral SIEV
dissection (p = 0.45 versus no SIEV), and 40 percent of patients with bilateral
SIEV dissection (p = 0.02 versus no SIEV). The SIEV was anastomosed to salvage a
congested DIEP flap twice. All seromas that developed could be treated with, on
average, two fine-needle aspirations without any complications. CONCLUSIONS:
Bilateral, but not unilateral, SIEV dissection increased abdominal seroma rates
significantly. Venous congestion was observed rarely, but when it did occur, it
endangered flap viability. Because an additional anastomosis of the SIEV can
salvage a flap, unilateral SIEV dissection should be considered when raising a
DIEP flap. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 29369979
TI - The Comparison of Strattice and SurgiMend in Acellular Dermal Matrix-Assisted,
Implant-Based Immediate Breast Reconstruction.
AB - BACKGROUND: Strattice (porcine derivative) and SurgiMend (bovine derivative) are
the two most common acellular dermal matrices used in breast reconstruction in
the United Kingdom. This retrospective study compared clinical outcomes in
immediate implant-based breast reconstruction patients. METHODS: The study,
conducted across three hospitals, included all patients who underwent immediate
implant-based breast reconstruction using Strattice and SurgiMend. The primary
outcome measure was implant loss rate. Secondary outcome measures included
acellular dermal matrix loss rate, seroma formation, and minor and major
complication rates. Intergroup comparison was performed. RESULTS: Eighty-two
patients (Strattice, n = 45; SurgiMend, n = 37) underwent 97 immediate implant
based breast reconstructions (Strattice, n = 54; SurgiMend, n = 43). There were
no differences between groups for age, comorbidities, specimen weight, or implant
volume. Drains were used in all Strattice and 36 (84 percent) SurgiMend cases.
The implant loss rate was higher for Strattice (n = 10, 20 percent) compared with
SurgiMend (n = 3, 7 percent) but failed to reach statistical significance (chi
square test, p = 0.077). The acellular dermal matrix loss rate was significantly
higher (Fisher's exact test, p = 0.014) in the Strattice group (n = 7, 14
percent), with no acellular dermal matrix loss with SurgiMend. The reoperation
rate was also significantly higher (chi-square test, p = 0.002) in the Strattice
group (n = 17, 33 percent, versus n = 3, 7 percent). The incidence of red breast
was significantly higher (chi-square test, p = 0.022) in the SurgiMend group (n =
9, 21 percent, versus n = 3, 6 percent). Seroma, wound problems, and infection
rates were similar. CONCLUSIONS: Clinical outcomes, including implant loss,
acellular dermal matrix loss, and reoperation rates, are significantly better
when using SurgiMend in immediate implant-based breast reconstruction compared
with Strattice. An appropriately powered randomized trial is needed to provide
further information. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, III.
PMID- 29369980
TI - Conceptual Considerations for Payment Bundling in Breast Reconstruction.
AB - Rising health care costs and quality demands have driven both the Centers for
Medicare and Medicaid Services and the private sector to seek innovations in
health system design by placing institutions at financial risk. Novel care
models, such as bundled reimbursement, aim to boost value though quality
improvement and cost reduction. The Center for Medicare and Medicaid Innovation
is leading the charge in this area with multiple pilots and mandates, including
Comprehensive Care for Joint Replacement. Other high-cost and high-volume
procedures could be considered for bundling in the future, including breast
reconstruction. In this article, conceptual considerations surrounding bundling
of breast reconstruction are discussed.
PMID- 29369981
TI - Discussion: Conceptual Considerations for Payment Bundling in Breast
Reconstruction.
PMID- 29369982
TI - Introducing the PRS Video Vignette Masters Series.
PMID- 29369983
TI - Gluteal Augmentation and Enhancement of the Female Silhouette: Analysis and
Technique.
AB - Dr. Constantino Mendieta demonstrates and details his personal technique for
gluteal augmentation. The video demonstration is divided into three parts: Part
I, Aesthetic Analysis and Preoperative Marking; Part II, Creating the Female
Silhouette with Circumferential Lipoplasty; and Part III, Autogenous Gluteal
Augmentation. Artistic concepts for gluteal augmentation and contouring the
female silhouette and technical considerations for patient safety are emphasized.
This Master Series Video article is the first in a planned series of video
vignettes.
PMID- 29369984
TI - Orthognathic Surgery and Rhinoplasty: Simultaneous or Staged?
AB - BACKGROUND: Orthognathic surgery can significantly impact the nasolabial
envelope, and at times requires an adjunctive rhinoplasty. The purpose of this
study was to evaluate nasal morphology in orthognathic patients, focusing on
predictive variables, and the need for and timing of definitive rhinoplasty.
Based on these data, an algorithm for the implementation of adjunctive
rhinoplasty is proposed. METHODS: A review of cases over a 3-year period was
completed. Information regarding demographic, diagnostic, and operative details;
nasal morphology; and use of rhinoplasty was compiled. Three-dimensional images
were used to quantify anatomical variables. RESULTS: Over 589 patients were
reviewed during this period. Of these, 163 fulfilled inclusion criteria for this
study. The mean age was 23.3 years. In total, 41.7 percent of orthognathic cases
underwent adjunctive rhinoplasty. Of these, 82.4 percent were staged and 17.6
percent were simultaneous. The average time between staged procedures was 208
days. When simultaneous, 16.7 percent of the orthognathic procedures had
significant maxillary movement (advancement >4 to 5 mm, impaction >2 mm, alar
base excisions); in comparison, 92.9 percent of staged cases had significant
maxillary movement (p < 0.0001). All patients had self-reported satisfaction with
functional and aesthetic results during the follow-up period. CONCLUSIONS: Nasal
and jaw deformities are intricately interlinked. In this series, the authors
identified patterns requiring adjunctive rhinoplasty in the setting of
orthognathic surgery. The authors present an algorithm to extensively treat the
nasomaxillofacial relationship using orthognathic surgery alone, orthognathic
surgery in concert with rhinoplasty, or orthognathic surgery followed by staged
rhinoplasty.
PMID- 29369985
TI - External Levator Advancement versus Muller Muscle-Conjunctival Resection for
Aponeurotic Blepharoptosis: A Randomized Clinical Trial.
AB - BACKGROUND: The purpose of this study was to compare the efficacy of external
levator advancement and Muller muscle-conjunctival resection in aponeurotic
blepharoptosis repair. METHODS: Mild to moderate blepharoptosis patients with
good levator function and a positive phenylephrine test were randomized to upper
blepharoplasty with either external levator advancement or Muller muscle
conjunctival resection. The primary outcome was marginal reflex distance 1 at 1
month after surgery. Secondary outcomes were cosmetic outcome, complications, and
operating room time. RESULTS: Forty patients were enrolled, six men and 34 women,
with an average age of 62.4 years. The mean preoperative marginal reflex distance
1 in the levator group (39 eyes/20 subjects) and the Muller group (38 eyes/20
subjects) was 1.2 +/- 0.8 mm and 1.5 +/- 0.7 mm, respectively. The mean
postoperative marginal reflex distance 1 in the levator and Muller groups was 3.0
+/- 1.0 mm and 3.2 +/- 1.0 mm, respectively. The difference in the mean change
was 0.008, and was not statistically different (95 percent CI, -0.59 to 0.61; p =
0.978). The mean cosmetic outcome was 2.69 +/- 0.81 for the levator group and
3.07 +/- 0.68 for the Muller group, with a mean difference of 0.373 (95 percent
CI, 0.06 to 0.69; p = 0.020). The average operating room time was 75 +/- 19.2
minutes for the levator group and 71 +/- 23.6 minutes for the Muller group (p =
0.439). There were four eyes that underwent reoperation, three in the levator
group (7.7 percent) and one in the Muller group (2.6 percent). CONCLUSIONS:
External elevator advancement and Muller muscle-conjunctival resection are both
effective in correction of mild to moderate blepharoptosis. However, Muller
muscle-conjunctival resection yields a statistically significant better cosmetic
outcome and causes less eyelid asymmetry. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, II.
PMID- 29369987
TI - Discussion: Outcomes after Phalloplasty: Do Transgender Patients and Multiple
Urethral Procedures Carry a Higher Rate of Complication?
PMID- 29369986
TI - Postbariatric Brachioplasty with Posteromedial Scar: Physical Model, Technical
Refinements, and Clinical Outcomes.
AB - BACKGROUND: Brachioplasty is an increasingly performed procedure following
massive weight loss. A visible scar is the main hindrance to this surgery. The
aims of the study were to develop a physical model to investigate the ideal
location of the surgical incision and to present the authors' technical
refinements with the posteromedial scar approach. METHODS: Twenty-four
postbariatric patients underwent brachioplasty with posteromedial scar placement,
concomitant liposuction, fascial plication, and axillary Z-plasty. Skin specimens
were tested and a physical model of the arm was set up to investigate the
difference in mechanical stress on the posteromedial and medial scars. The
validated Patient and Observer Scar Assessment Scale, the Vancouver Scar Scale,
and a questionnaire assessing subjective improvements were administered to
patients. Preoperative and postoperative photographs were assessed by three
independent plastic surgeons. RESULTS: The physical model showed that stress
intensity and distribution along the scar were reduced in the posteromedial
location, with smaller scar displacement in the loading simulations. Twenty-three
patients healed uneventfully. One (4.1 percent) had a 2-cm dehiscence. Mean
Patient and Observer Scar Assessment Scale scores were, respectively, 2 +/- 0.76
and 2.13 +/- 0.64 in the patients' and observers' questionnaires. The mean
Vancouver Scar Scale value was 3.5 +/- 1.7. Questionnaires assessing the
subjective outcomes showed a mean value of 3.45 +/- 0.63 of 4. The surgeons'
assessment resulted in a score of 4.5 +/- 0.4 of 5. CONCLUSIONS: The physical
model demonstrated that the posteromedial scar was subjected to lower mechanical
stress and displacement. The reported technical refinements allowed pleasant arm
recontouring to be achieved with acceptable scarring and a low incidence of
complications. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, IV.
PMID- 29369988
TI - The Keystone: Consistency in Restoring the Aesthetic Dorsum in Rhinoplasty.
AB - The keystone represents the union of six distinct anatomical structures between
the bony vault and the cartilaginous midvault. In reshaping the nasal dorsum, the
individual components of the nose respond variably as the fusion points of the
keystone are released. In restoring the nasal dorsum, meticulous effort is made
to equalize the width between the bony vault and the cartilaginous midvault.
Techniques used for width equalization will yield gratifying long-term results
and avoid common pitfalls such as the inverted-V deformity.
PMID- 29369989
TI - Discussion: Early Macrophage Infiltration Improves Fat Graft Survival by Inducing
Angiogenesis and Hematopoietic Stem Cell Recruitment.
PMID- 29369990
TI - Effect of Cryopreservation on Human Adipose Tissue and Isolated Stromal Vascular
Fraction Cells: In Vitro and In Vivo Analyses.
AB - BACKGROUND: Adipose tissue is a source of adipose-derived stromal/stem cells for
tissue engineering and reconstruction and a tissue source for fat grafts.
Although liposuction is a simple procedure for the harvest of adipose tissue, the
repetition of this surgical intervention can cause adverse effects to the patient
and can be a limiting factor for immediate use. Cryopreservation can avoid the
morbidity associated with repetitive liposuction, allowing the use of stored
tissue after the initial harvest procedure. This article focuses on the
characterization of fresh and cryopreserved human adipose tissue. METHODS:
Lipoaspirates from eight donors were processed as fresh adipose tissue or
cryopreserved for 4 to 6 weeks. Fresh and cryopreserved tissues were collagenase
digested and the stromal vascular fraction cells were characterized immediately
or cryopreserved. Characterization was based on stromal vascular fraction cell
proliferation and immunophenotype. In vivo fat grafting was performed in C57BL/6
green fluorescent protein mice to analyze morphology of the tissue and its
adiposity using confocal microscopy, histochemical staining (i.e., hematoxylin
and eosin and Masson trichrome), and immunohistochemistry (i.e., green
fluorescent protein, perilipin, and CD31). RESULTS: Although tissue and stromal
vascular fraction cell cryopreservation reduced the total cell yield, the
remaining viable cells retained their adhesive and proliferative properties. The
stromal vascular fraction cell immunophenotype showed a significant reduction in
the hematopoietic surface markers and increased expression of stromal and
adipogenic markers following cryopreservation. In vivo cryopreserved fat grafts
showed morphology similar to that of freshly implanted fat grafts. CONCLUSION: In
this study, the authors demonstrated that cryopreserved adipose tissue is a
potential source of stromal vascular fraction cells and a suitable source for fat
grafts.
PMID- 29369991
TI - Conditioned Medium Obtained from Amnion-Derived Mesenchymal Stem Cell Culture
Prevents Activation of Keloid Fibroblasts.
AB - BACKGROUND: Mesenchymal stem cells are a valuable cell source in regenerative
medicine, and conditioned medium obtained from mesenchymal stem cells reportedly
inhibits inflammation. Keloids are characterized by abnormal fibrosis, caused by
fibroblasts in response to inflammation. In this study, the authors evaluated
whether conditioned medium obtained from amnion-derived mesenchymal stem cells
suppressed activation of keloid fibroblasts. METHODS: Keloid (n = 7), mature (n =
5), and normal (n = 5) fibroblasts were harvested from patients. Fibroblasts were
stimulated with transforming growth factor (TGF)-beta, and the effects of
conditioned medium obtained from amnion-derived mesenchymal stem cells on cell
proliferation, activation, and expression of extracellular matrix-related genes
were analyzed. The effect of concentrating the conditioned medium by
ultrafiltration on fibroblast activation was also analyzed. RESULTS: Conditioned
medium obtained from amnion-derived mesenchymal stem cells significantly up
regulated proliferation of mature fibroblasts but tended to suppress that of
keloid fibroblasts. Conditioned medium obtained from amnion-derived mesenchymal
stem cells significantly suppressed the TGF-beta-induced up-regulation of alpha
smooth muscle actin in keloid and normal fibroblasts and collagen I in keloid
fibroblasts, but not in mature fibroblasts. The conditioned medium obtained from
amnion-derived mesenchymal stem cells concentrated by ultrafiltration and the
filtrate significantly suppressed TGF-beta-induced alpha-smooth muscle actin
expression. CONCLUSION: Conditioned medium obtained from amnion-derived
mesenchymal stem cells prevents proliferation and activation of keloid
fibroblasts and is a promising keloid treatment for administration as a topical
agent. CLINICAL QUESTION/LEVEL OF EVIDENCE: Therapeutic, V.
PMID- 29369992
TI - Discussion: Important Details in Performing and Interpreting the Scratch Collapse
Test.
PMID- 29369993
TI - Discussion: Appropriateness of the Use of Magnetic Resonance Imaging in the
Diagnosis and Treatment of Wrist Soft Tissue Injury.
PMID- 29369994
TI - Discussion: Minimally Invasive, Spring-Assisted Correction of Sagittal Suture
Synostosis: Technique, Outcome, and Complications in 83 Cases.
PMID- 29369995
TI - Whole-Proteome Analysis of Human Craniosynostotic Tissue Suggests a Link between
Inflammatory Signaling and Osteoclast Activation in Human Cranial Suture Patency.
AB - BACKGROUND: The pathophysiology of nonsyndromic craniosynostosis remains poorly
understood. The authors seek to understand the cause of this condition with a
specific focus on how osteoclasts may contribute to craniosynostosis. Here, the
authors characterize proteins differentially expressed in patent and fused
cranial sutures by comparing their respective proteomes. METHODS: Fused and
patent suture samples were obtained from craniosynostotic patients undergoing
surgery at a single academic medical center. Extracted protein from samples was
interrogated using mass spectrometry. Differential protein expression was
determined using maximum likelihood-based G-test with a q-value cutoffs of 0.5
after correction for multiple hypothesis testing. Immunolocalization of lead
protein candidates was performed to validate proteomic findings. In addition,
quantitative polymerase chain reaction analysis of corresponding gene expression
of proteins of interest was performed. RESULTS: Proteins differentially expressed
in patent versus fused sutures included collagen 6A1 (Col6A1), fibromodulin,
periostin, aggrecan, adipocyte enhancer-binding protein 1, and osteomodulin
(OMD). Maximum likelihood-based G-test suggested that Col6A1, fibromodulin, and
adipocyte enhancer-binding protein 1 are highly expressed in patent sutures
compared with fused sutures, whereas OMD is up-regulated in fused sutures
compared with patent sutures. These results were corroborated by
immunohistochemistry. Quantitative polymerase chain reaction data point to an
inverse relationship in proteins of interest to RNA transcript levels, in
prematurely fused and patent sutures that potentially describes a feedback loop
mechanism. CONCLUSIONS: Proteome analysis validated by immunohistochemistry may
provide insight into the mechanism of cranial suture patency and disease from an
osteoclast perspective. The authors results suggest a role of inflammatory
mediators in nonsyndromic craniosynostosis. Col6A1 may aid in the regulation of
suture patency, and OMD may be involved in premature fusion. Additional
validation studies are required.
PMID- 29369996
TI - Discussion: Whole-Proteome Analysis of Human Craniosynostotic Tissue Suggests a
Link between Inflammatory Signaling and Osteoclast Activation in Human Cranial
Suture Patency.
PMID- 29369997
TI - Anatomical Uniqueness of Ear Morphology: A Novel Metrical Approach through Three
Dimensional Superimposition.
AB - Uniqueness of ear morphology has been a widely debated issue in cephaloscopy, but
past studies used only two-dimensional approaches. In the current investigation,
the right and left ears of 10 healthy adults were imaged twice by
stereophotogrammetry at the interval of a few seconds. The ear images obtained
from the two acquisitions were superimposed both within subject (group of
matches) and among subjects (group of mismatches). A point-to-point root mean
square distance was calculated between the two three-dimensional models.
Differences according to side and group were assessed by two-way analysis of
variance. In total, 200 superimpositions were performed. On average, the point-to
point root mean square distance was 0.31 mm in cases of matches and 1.43 mm in
cases of mismatches: differences were statistically significant (p < 0.01).
Results provided quantitative data for the assessment of uniqueness of ear
morphology, highlighting differences based on their three-dimensional morphology.
PMID- 29369998
TI - Discussion: Percutaneous Mesh Expansion: A Regenerative Wound Closure
Alternative.
PMID- 29369999
TI - Paradoxical Frontalis Activation: An Underrecognized Consequence of Facial Palsy.
AB - BACKGROUND: Aberrant reinnervation and synkinesis are common and debilitating
after facial palsy. Paradoxical frontalis activation can antagonize eye closure
and increase the risk of corneal damage. If recognized, judicious botulinum toxin
injection to the affected side may reduce this risk. METHODS: One hundred
consecutive patients with synkinesis were identified from a prospective database.
Routine facial view photographs were converted to a standardized scale using iris
diameter. The vertical distance from the midpoint of the midcanthal line to the
inferior border of the eyebrow was measured bilaterally. A value of p < 0.05 was
considered significant. RESULTS: Eighty-two patients were included, with a median
age of 44 years (interquartile range, 33 to 59 years); 59 of the patients were
women. The commonest cause was idiopathic (n = 55). The median time since onset
of palsy was 13 months (interquartile range, 6.5 to 27 months). There was less
midpoint of the midcanthal line to the inferior border of the eyebrow excursion
on the synkinetic side of the face (p < 0.001). Twenty-two patients (27 percent)
displayed paradoxical frontalis movement on the affected side of their face, with
increased midpoint of the midcanthal line to the inferior border of the eyebrow
distance (eyebrow raise) when attempting eye closure compared with eyebrow raise
(n = 19), and tight eye closure compared with gentle eye closure (n = 3).
CONCLUSIONS: This study highlights the phenomenon of paradoxical frontalis
activation during eye closure. This is often underrecognized and may contribute
to lagophthalmos. The authors found it to be present in 27 percent of patients
with moderate or severe synkinesis. Further dynamic studies are required to
understand the relationship among frontalis activity, eye closure, and the
effects of its inhibition.
PMID- 29370000
TI - Polyethylene Ear Reconstruction: A State-of-the-Art Surgical Journey.
AB - BACKGROUND: The use of a porous high-density polyethylene implant for ear
reconstruction is gradually gaining acceptance because it allows for a pleasing
ear reconstruction in young children before they enter school. In response to
this growing interest, the authors decided to write an article clarifying in
detail all the steps of this challenging procedure. In this article, the authors
also answer all the common questions that surgeons have when they come to observe
the operation, or when they go back to their respective practices and start
performing this procedure. METHODS: The authors describe in detail the operative
steps that allow for a successful ear reconstruction using porous high-density
polyethylene. The key parts of this operation are to meticulously harvest a well
vascularized superficial temporoparietal fascia flap and to use appropriate color
matched skin grafts. RESULTS: This method allows for a pleasing ear
reconstruction with excellent definition, projection, symmetry, and long-term
viability. CONCLUSION: The use of porous high-density polyethylene with a thin
superficial temporoparietal fascia flap coverage is the authors' preferred method
of ear reconstruction because it can be performed at an earlier age, in a single
stage, as an outpatient procedure, and with minimal discomfort and psychological
trauma for the patients and parents.
PMID- 29370001
TI - Discussion: Polyethylene Ear Reconstruction: A State-of-the-Art Surgical Journey.
PMID- 29370002
TI - Discussion: Redefining the Rectus Sheath: Implications for Abdominal Wall Repair.
PMID- 29370003
TI - Electronic Communication in Plastic Surgery: Guiding Principles from the American
Society of Plastic Surgeons Health Policy Committee.
AB - BACKGROUND: With the advancement of technology, electronic communication has
become an important mode of communication within plastic and reconstructive
surgery. This can take the form of e-mail, text messaging, video conferencing,
and social media, among others. There are currently no defined American Society
of Plastic Surgeons guidelines for appropriate professional use of these
technologies. METHODS: A search was performed on PubMed and the Cochrane
database; terms included "telemedicine," "text messaging," "HIPAA," "metadata,"
"video conferencing," "photo sharing," "social media," "Facebook," "Twitter," and
"Instagram." Initial screening of all identified articles was performed; the
level of evidence, limitations, and recommendations were evaluated and articles
were reviewed. RESULTS: A total of 654 articles were identified in the level I
screening process; after more comprehensive review, 41 articles fit inclusion
criteria: social networking, 12; telemedicine, 11; text messaging, 10; metadata,
four; video conferencing, three; and Health Insurance Portability and
Accountability Act, one. General themes were identified from these articles and
guidelines proposed. CONCLUSION: Electronic communication can provide an
efficient method of information exchange for professional purposes within plastic
surgery but should be used thoughtfully and with all professional, legal, and
ethical considerations.
PMID- 29370004
TI - Plastic Surgeon-Led Ultrasound.
AB - BACKGROUND: Portable high-frequency ultrasound is a useful adjunct to a plastic
surgeon's practice. With a short learning curve, this patient-friendly imaging
modality has a variety of uses that aid patient management/treatment plans. The
authors describe clinical cases and review the literature regarding ultrasound
performed by the surgeon. METHODS: The Sonosite S-Nerve machine with the L25X
transducer was used (depth, 4.3 cm). Clinical cases that ordinarily would have
been referred to the radiology department were taken from the day-to-day practice
of the senior author (M.G.). The clinical scenarios ranged from acute
presentations to planned elective settings. RESULTS: Ultrasound was a useful
adjunct in a variety of applications, ranging from acute hand trauma visualizing
neurovascular bundles and tendons, to elements of reconstructive breast surgery
such as fat grafting over an implant and scar release. The ultrasound machine was
also used for determination of the depth and size of collections such as seromas
to facilitate drainage, for identification of lymph nodes before transfer, and
for acute presentations of conditions ranging from undiagnosed swelling to
foreign body localization. CONCLUSIONS: The portable ultrasound machine has
become an invaluable tool in the senior author's practice. In a short time and
with a short learning curve, the authors' unit identified numerous applications
for its use. From a patient perspective, it is noninvasive/nonpainful and has no
deleterious radiation effects, and treatment plans can be enacted without delay.
It is highly recommended that surgeons become familiar with this imaging modality
and assimilate it into their daily practice. CLINICAL QUESTION/LEVEL OF EVIDENCE:
Therapeutic, V.
PMID- 29370005
TI - Fat Grafting: A Growing Problem?
PMID- 29370006
TI - Direct-to-Implant versus Two-Stage Tissue Expander/Implant Reconstruction: 2-Year
Risks and Patient-Reported Outcomes from a Prospective, Multicenter Study:
Correction.
PMID- 29370007
TI - Reply: The Evolution of Photography and Three-Dimensional Imaging in Plastic
Surgery.
PMID- 29370008
TI - Initiating Nutritional Support Before 72 Hours Is Associated With Favorable
Outcome After Severe Traumatic Brain Injury in Children: A Secondary Analysis of
a Randomized, Controlled Trial of Therapeutic Hypothermia.
AB - OBJECTIVES: To understand the relationship between the timing of initiation of
nutritional support in children with severe traumatic brain injury and outcomes.
DESIGN: Secondary analysis of a randomized, controlled trial of therapeutic
hypothermia (Pediatric Traumatic Brain Injury Consortium: Hypothermia, also known
as "the Cool Kids Trial" (NCT 00222742). SETTINGS: Fifteen clinical sites in the
United States, Australia, and New Zealand. SUBJECTS: Inclusion criteria included
1) age less than 18 years, 2) postresuscitation Glasgow Coma Scale less than or
equal to 8, 3) Glasgow Coma Scale motor score less than 6, and 4) available to be
randomized within 6 hours after injury. Exclusion criteria included normal head
CT, Glasgow Coma Scale equals to 3, hypotension for greater than 10 minutes (<
fifth percentile for age), uncorrectable coagulopathy, hypoxia (arterial oxygen
saturation < 90% for > 30 min), pregnancy, penetrating injury, and unavailability
of a parent or guardian to consent at centers without emergency waiver of
consent. INTERVENTIONS: Therapeutic hypothermia (32-33 degrees C for 48 hr)
followed by slow rewarming for the primary study. For this analysis, the only
intervention was the extraction of data regarding nutritional support from the
existing database. MEASUREMENTS AND MAIN RESULTS: Timing of initiation of
nutritional support was determined and patients stratified into four groups
(group 1-no nutritional support over first 7 d; group 2-nutritional support
initiated < 48 hr after injury; group 3-nutritional support initiated 48 to < 72
hr after injury; group 4-nutritional support initiated 72-168 hr after injury).
Outcomes were also stratified (mortality and Glasgow Outcomes Scale-Extended for
Pediatrics; 1-4, 5-7, 8) at 6 and 12 months. Mixed-effects models were performed
to define the relationship between nutrition and outcome. Children (n = 90, 77
randomized, 13 run-in) were enrolled (mean Glasgow Coma Scale = 5.8); the
mortality rate was 13.3%. 57.8% of subjects received hypothermia Initiation of
nutrition before 72 hours was associated with survival (p = 0.01), favorable 6
months Glasgow Outcomes Scale-Extended for Pediatrics (p = 0.03), and favorable
12 months Glasgow Outcomes Scale-Extended for Pediatrics (p = 0.04).
Specifically, groups 2 and 3 had favorable outcomes versus group 1. CONCLUSIONS:
Initiation of nutritional support before 72 hours after traumatic brain injury
was associated with decreased mortality and favorable outcome in this secondary
analysis. Although this provides a rationale to initiate nutritional support
early after traumatic brain injury, definitive studies that control for important
covariates (severity of injury, clinical site, calories delivered,
parenteral/enteral routes, and other factors) are needed to provide definitive
evidence on the optimization of the timing of nutritional support after severe
traumatic brain injury in children.
PMID- 29370009
TI - High-Volume Hydraulic Fracturing and Human Health Outcomes: A Scoping Review.
AB - OBJECTIVE: Examine extent of peer-reviewed literature exploring human health
effects of hydraulic fracturing (HVHF). METHODS: A scoping review methodology was
used to examine peer-reviewed studies published from 2000 through 2017 that
empirically examine direct health impacts of hydraulic fracturing. RESULTS:
Through September 2017, only 18 studies were found published in peer-reviewed
journals that met our requirements for inclusion in the review. Most of these
studies resulted in positive or mixed findings of health outcomes. CONCLUSIONS:
The paucity of studies reflects the difficulty in drawing direct connections
between HVHF and human health outcomes. Many health outcomes may take years to
emerge, exposure often occurs in lightly populated rural areas with older,
poorer, and sicker residents, and diagnosis is difficult without physician
knowledge of prior exposure. Primary care providers should record thorough
histories to help guide future treatment.
PMID- 29370010
TI - Return on Investment for a Payer-Provider Partnership to Improve Care Management
of Employees and Early Retirees.
AB - OBJECTIVE: A large employer partnered with local health care providers to pilot
test an intensive nurse care manager program for employees and retirees. We
evaluated its impact on health care utilization and costs. METHODS: A database
was developed containing 2011 to 2015 health care enrollment and claims data for
2914 patients linked to their nurse care manager data. We used a difference-in
difference design to compare health care costs and utilization of members
recruited for the pilot program and a propensity-score-matched comparison group.
RESULTS: We found statistically significant reductions in doctors' office visits
and prescription drug costs. A return-on-investment analysis determined that the
program saved $0.83 for every dollar spent over the 2-year pilot study period.
CONCLUSIONS: Employer-driven care management programs can succeed at reducing
utilization, although they may not achieve cost neutrality in the short run.
PMID- 29370011
TI - Health Status of Gulf War and Era Veterans Serving in the US Military in 2000.
AB - OBJECTIVE: This research describes Gulf War and era veterans enrolled in the
Millennium Cohort Study, who were sampled from US military personnel serving in
2000, and compares health characteristics of this sample to a Department of
Veterans Affairs study sampled from the complete population. METHODS:
Demographics characteristics of this sample were described. Self-reported health
characteristics were compared between the two studies. RESULTS: Gulf War and era
veterans in the Millennium Cohort were generally healthier than in the VA study;
they had fewer medical conditions and mental health disorders and better self
reported health. In both studies, Gulf War veterans had poorer health outcomes
than era veterans. CONCLUSION: The Millennium Cohort Study is a unique resource
for examining the long-term health effects of Gulf War deployment, particularly
comparing deployed and nondeployed personnel and examining illnesses with long
latencies.
PMID- 29370012
TI - In Memoriam - James M. Melius, MD, DrPH.
PMID- 29370013
TI - Differences in Work-Related Adverse Events by Sex and Industry in Cases Involving
Compensation for Mental Disorders and Suicide in Japan From 2010 to 2014.
AB - OBJECTIVE: This study aimed to clarify whether work-related adverse events in
cases involving compensation for mental disorders and suicide differ by sex and
industry using a database containing all relevant cases reported from 2010 to
2014 in Japan. METHODS: A total of 1362 eligible cases involving compensation for
mental disorders (422 females and 940 males) were analyzed. RESULTS: Among males,
55.7% of cases were attributed to "long working hours." In both sexes, the
frequencies of cases attributed to "long working hours" and other events differed
significantly by industry. Among cases involving compensation for suicide, 71.4%
were attributed to "long working hours." CONCLUSIONS: The frequency distribution
of work-related adverse events differed significantly by sex and industry. These
differences should be taken into consideration in the development of industry
specific preventive measures for occupational mental disorders.
PMID- 29370014
TI - Resilience Training for Work-Related Stress Among Health Care Workers: Results of
a Randomized Clinical Trial Comparing In-Person and Smartphone-Delivered
Interventions.
AB - OBJECTIVE: The aim of this study was to assess whether an in-person mindfulness
based resilience training (MBRT) program or a smartphone-delivered resiliency
based intervention improved stress, well-being, and burnout in employees at a
major tertiary health care institution. METHODS: Sixty participants were
randomized to a 6-week MBRT, a resiliency-based smartphone intervention, or an
active control group. Stress, well-being, and burnout were assessed at baseline,
at program completion, and 3 months postintervention. RESULTS: Both the MBRT and
the smartphone groups showed improvements in well-being, whereas only the MBRT
group showed improvements in stress and emotional burnout over time. The control
group did not demonstrate sustained improvement on any outcome. CONCLUSION:
Findings suggest that brief, targeted interventions improve psychological
outcomes and point to the need for larger scale studies comparing the individual
and combined treatments that can inform development of tailored, effective, and
low-cost programs for health care workers.
PMID- 29370015
TI - Effort-Reward Imbalance, Work-Privacy Conflict, and Burnout Among Hospital
Employees.
AB - OBJECTIVE: Studies investigating the relative importance of effort-reward
imbalance and work-privacy conflict for burnout risk between professional groups
in the health care sector are rare and analyses by educational attainment within
professional groups are lacking. METHODS: The study population consists of 1422
hospital employees in Switzerland. Multivariate linear regression analyses with
standardized coefficients were performed for the overall study population and
stratified for professional groups refined for educational attainment. RESULTS:
Work-privacy conflict is a strong predictor for burnout and more strongly
associated with burnout than effort-reward imbalance in the overall study
population and across all professional groups. Effort-reward imbalance only had a
minor effect on burnout in tertiary-educated medical professionals. CONCLUSION:
Interventions aiming at increasing the compatibility of work and private life may
substantially help to decrease burnout risk of professionals working in a health
care setting.
PMID- 29370016
TI - Risk Factors for Declines in Kidney Function in Sugarcane Workers in Guatemala.
AB - OBJECTIVES: To characterize kidney function of sugarcane workers in Guatemala
over the 6-month harvest and identify risk factors associated with changes in
kidney function. METHODS: Demographic and biological data were collected for 330
sugarcane cutters at the beginning and end of the harvest. Multivariable
regression analyses were used to assess factors related to kidney function.
RESULTS: A decline in kidney function across the harvest was observed in 36% of
the participants. Risk factors associated with this decline included working at a
particular plantation mill, local area workers compared with highland workers,
and current smokers. CONCLUSION: Results showed both occupational and behavioral
factors play significant roles in declines in kidney function. These results
underline the need for a comprehensive approach to the epidemic as well as
further investigation of risk factors to guide research and interventions.
PMID- 29370017
TI - MTHFR Gene Polymorphism Is Associated With DNA Hypomethylation and Genetic Damage
Among Benzene-Exposed Workers in Southeast China.
AB - OBJECTIVE AND METHODS: To analyze the association between global DNA methylation
and single-nucleotide polymorphisms (SNPs) in methylenetetrahydrofolate reductase
(MTHFR). MTHFR polymorphisms rs1801133 and rs1801131 were detected using the
restriction fragment length polymorphism method, and cytokinesis-block
micronucleus (MN) frequency and global DNA methylation was measured in workers
from 410 shoe factories. RESULTS: A multilinear regression analysis demonstrated
that DNA methylation of the TT variant allele of rs1801133 was lower than that of
the CC wild type allele (Exp(beta) [95% CI], 0.76 [0.56, 1.02], P = 0.071), with
a P-value approaching significance. A significantly increased MN frequency was
observed for carriers of the TT genotype (frequency ratio = 1.27, 95% CI: 1.07
1.51, P < 0.01). CONCLUSION: The results imply that the TT genotype in rs1801133
is associated with global DNA hypomethylation, which may influence the induction
of MN following exposure to benzene.
PMID- 29370018
TI - Differentiating Occult Branch Retinal Artery Occlusion from Primary Open-angle
Glaucoma.
AB - SIGNIFICANCE: Clinical findings in occult branch retinal artery occlusion (BRAO)
can mimic those of primary open-angle glaucoma (POAG). Because management of
these conditions substantially differs, accurate diagnosis is crucial. Our
comparative analysis indicates that specific macular thickness variables reliably
differentiate these conditions and that macular scanning may enhance routine
glaucoma evaluation. PURPOSE: The aim of this study was to identify clinical
factors that reliably and efficiently identify occult BRAO masquerading as POAG.
METHODS: All subjects had comprehensive eye examinations including measurements
of retinal nerve fiber layer and macular thickness (MT) using spectral-domain
optical coherence tomography (SD-OCT). All subjects were asymptomatic for
previous acute vision loss episodes, had optic nerve appearances suggestive of
glaucoma, and exhibited SD-OCT retinal nerve fiber layer thinning with
corresponding visual field loss. Macular thickness scans were divided into 64
individual thickness blocks with thin MT blocks defined by the lower 99%
confidence interval from a group of normal eyes. We defined BRAO by the presence
of regional inner retinal thinning with lack of inner-layer stratification on
macular SD-OCT b-scan images that spatially corresponded with arteriolar
distribution and visual field loss location. Primary open-angle glaucoma eyes
were selected to match the BRAO eyes by age and disease severity. Pairwise and
receiver operating characteristic curve analyses were used to compare occult BRAO
and POAG eyes. RESULTS: Compared with POAG (n = 52), occult BRAO eyes (n = 11)
demonstrated lower cup-disc ratio, greater intereye and intraeye (superior vs.
inferior) MT asymmetry, and higher frequency of thin MT blocks (<200 MUm). Area
under the receiver operating characteristic curve (AUC) for differentiating these
conditions was highest for intraeye MT asymmetry (AUC = 0.990 [95% confidence
interval, 0.925 to 1.000]) and number of thin MT blocks (AUC = 0.993 [95%
confidence interval, 0.929 to 1.000]). CONCLUSIONS: Macular thickness parameters
provided accurate and efficient diagnostic capability in this study. Considering
the clinical implications of inaccurate diagnosis, macular scanning may be
important in baseline glaucoma evaluation.
PMID- 29370019
TI - Can Corneal Biomechanical Properties Explain Difference in Tonometric Measurement
in Normal Eyes?
AB - SIGNIFICANCE: Corneal biomechanical parameters can affect intraocular pressure
(IOP) measurements by different tonometers compared with Goldmann applanation
tonometer. This study implies that corneal hysteresis (CH) and corneal resistance
factor (CRF) better explain variability in IOP measurements. PURPOSE: The aim of
this study was to evaluate the effect of corneal properties on the difference in
IOP measured by the Ocular Response Analyzer (ORA), Rebound Tonometer (RBT),
Dynamic Contour Tonometer (DCT), and Tono-Pen from the Goldmann applanation
tonometer (GAT). METHODS: An observational study was done on healthy participants
in a tertiary eye care center. Corneal curvature was measured with a manual
keratometer prior to IOP measurements. Intraocular pressure was measured by a
single trained examiner with ORA, RBT, DCT, GAT, and Tono-Pen. CH and CRF were
measured using the ORA. Central corneal thickness was measured using the
ultrasonic pachymeter. Only the right eye was included for analysis. One-way
analysis of variance was performed to compare variables, Bland-Altman plots to
assess agreement, and regression analyses to study associated factors. RESULTS:
We included 82 eyes of 82 participants with a mean age of 40.9 (14.3) years. Mean
+/- SD DCT IOP (15.22 +/- 1.98) mmHg was significantly higher than GAT IOP (13.73
+/- 2.42) (P = .01) and ORA Goldmann correlated IOP (13.66 +/- 3.16) (P = .003).
The limits of agreement between GAT and other tonometers measurements ranged
between -5.0 and 2.1 mmHg. With multiple linear regression analyses, CH and CRF
were found to be associated with the measured IOP differences between GAT and ORA
(corneal compensated IOP and Goldmann correlated IOP) (P < .001) and DCT (P =
.014, <.001) whereas differences between GAT and RBT measurements were
independently explained by corneal curvature (P = .035) and central corneal
thickness (P = .045). CONCLUSIONS: There was good agreement between GAT and other
tonometers, but was not good enough for them to be used interchangeably. A
combination of CH and CRF may better explain the variability between GAT and
tonometers.
PMID- 29370020
TI - Comparison of Scleral Tono-Pen Intraocular Pressure Measurements with Goldmann
Applanation Tonometry.
AB - SIGNIFICANCE: Measuring the intraocular pressure (IOP) on the sclera can be an
alternative to conventional corneal measurement in eyes with scarred corneas.
However, these measurements have to be evaluated prior in normal eyes. Our study
aimed to evaluate scleral IOP using Tono-Pen and compared it with corneal
Goldmann applanation measurements. PURPOSE: The aim of this study was to evaluate
the ability of limbal and scleral Tono-Pen IOP readings to predict central
corneal Goldmann applanation tonometry (GAT) readings in eyes with normal
corneas. METHODS: In a cross-sectional study, 115 eyes of 115 patients attending
a tertiary eye care center underwent GAT on the central cornea followed by Tono
Pen readings at the central cornea, at the limbus, and at the sclera. Bland
Altman plots were used to determine the agreement between different methods of
IOP measurement. RESULTS: The median IOP (interquartile range) by GAT performed
on the central cornea was 18 (16, 24) mmHg, and the IOP ranged from 10 to 54
mmHg. The median IOPs (interquartile range) by Tono-Pen obtained at central
cornea, limbus, and sclera were 16 (13, 23), 23 (17, 28), and 33 (27, 44) mmHg,
respectively. The Pearson correlation coefficient and the 95% limits of agreement
between GAT readings and Tono-Pen readings at the central cornea were 0.9 (P <
.001) and -4.9 to 8.74 mmHg, respectively. The correlation coefficient between
GAT readings and Tono-Pen readings at the limbus and GAT readings and Tono-Pen
readings at the sclera was 0.46 (P < .001) and 0.23 (P = .01), respectively. The
95% limits of agreement between these pairs of readings were -20.55 to 13.66 and
44.02 to 13.37 mmHg, respectively. CONCLUSIONS: This study showed limited ability
of Tono-Pen readings obtained at the limbus and sclera to predict the central
corneal GAT IOP readings in eyes with normal corneas at various IOP ranges.
PMID- 29370021
TI - The Role of Minimally Invasive Glaucoma Surgery Devices in the Management of
Glaucoma.
AB - SIGNIFICANCE: Noncompliance is a problem affecting glaucoma patients. Approaches
to improve adherence include the use of drug-delivery systems and safer forms of
surgery. Minimally invasive glaucoma surgery (MIGS) has reduced complications,
particularly in combination with cataract surgery, and with its good intraocular
pressure (IOP) reduction may reduce or eliminate glaucoma medications.Glaucoma is
a progressive disease and a leading cause of irreversible blindness. Elevated IOP
is the most important risk factor, but effective medical management is dependent
on patient adherence. This review summarizes the adherence problem in glaucoma
and the efforts, including MIGS, to provide effective IOP control that is not
dependent on patient compliance.The current understanding of patient adherence to
pharmacological treatment of glaucoma is discussed including the challenges
facing glaucoma patients. Historical approaches to providing IOP control in a
sustained and reliable way are presented culminating in a review of the
burgeoning use of MIGS devices.It is estimated that, in the United States, 27% of
prescriptions written, across all medications, are not filled or are filled but
not taken. For ocular hypotensive medications, even when filled, a large
percentage (which varies widely by study) are not instilled as prescribed. To
address this problem, methods for sustained drug delivery have been and continue
to be developed, as well as surgical and laser approaches. Most recently, MIGS
devices have gained popularity because of the ease of implantation during
cataract surgery, favorable safety profile, and the possibility for effective and
long-lasting IOP lowering, as well as the reduction or elimination of need for
IOP-lowering medication.Poor adherence to treatment is relatively common among
glaucoma patients and is associated with progression of disease. Recommending
MIGS implantation during cataract surgery may offer optometrists a valuable
treatment option in managing glaucoma patients, particularly where good adherence
is in doubt.
PMID- 29370023
TI - Intraocular Pressure Response to Maximal Exercise Test during Recovery.
AB - SIGNIFICANCE: The main aim of this study was to determine the intraocular
pressure (IOP) response to maximal incremental running test during 30 minutes of
recovery. Exhaustive exercise induced a highly individually variable IOP
response, which was related to its initial value and the initial heart rate.
PURPOSE: The purpose of the study was to analyzed the IOP response to a maximal
incremental running test in healthy women during a 30-minute recovery period.
Secondarily, the study attempted to determine if the IOP was dependent on its
baseline, maximal oxygen uptake, initial heart rate, and autonomic nervous system
regulation. METHODS: Twenty-four healthy women between the ages of 19 and 30
years were recruited for the study. Initial IOP (baseline), heart rate, and
autonomic nervous system regulation were measured after 30 minutes of rest. Each
subject then underwent an incremental running test on a treadmill to reach the
maximal physical activity and to determine physical fitness based on maximal
oxygen uptake. Intraocular pressure and autonomic nervous system activity were
measured immediately after completion of the physical activity during a 30-minute
recovery period in the supine position. RESULTS: The IOP variability increased
markedly after the exercise up to 1.7-fold of the resting state. The IOP before
and after exercise did not differ significantly; however, the lower baseline
revealed a significant increase in comparison with the higher baseline. The time
course of the IOP changes was significantly influenced by the initial heart rate.
All other effects, interactions, and correlations were insignificant.
CONCLUSIONS: The IOP response after maximal exercise was highly dependent on the
individual. The IOP seems to be slightly increasing with a significant dependence
on its resting baseline and initial heart rate.
PMID- 29370022
TI - Diurnal Intraocular Pressure Fluctuations with Self-tonometry in Glaucoma
Patients and Suspects: A Clinical Trial.
AB - SIGNIFICANCE: This article shows that self-tonometry can provide robust measures
of diurnal intraocular pressure (IOP) and also detect changes to IOP in response
to treatment within a short period of monitoring. These advances in IOP
monitoring may contribute to improved management of glaucoma patients and
suspects. PURPOSE: The aim of this study was to prospectively investigate the
utility of rebound self-tonometry performed over several weeks for detecting
diurnal IOP fluctuations in glaucoma patients and suspects and also initial
response to topical treatment in glaucoma patients. METHODS: Forty patients were
recruited following glaucoma-specific examination. Subsequent to successful
training with the iCare HOME tonometer, patients were instructed to measure IOP,
in a sitting position, four times a day over 4 to 6 weeks. Date, time,
laterality, and IOP downloaded from the tonometer and clinical examination data,
including applanation IOP and corneal thickness, were analyzed. A user
satisfaction survey was also administered at study completion. t Test and
analysis of variance were used to compare groups and IOP across days. Pearson
correlation was used to compare measurements to Goldmann applanation tonometry
and IOP measurements from the first day/s to the overall mean IOP. RESULTS:
Twenty-seven patients (18 suspects and 9 glaucoma patients) completed data
collection. Patients self-measured IOP on 118 (+/-29) occasions for 40 (+/-7.4)
days. Two dominant patterns of fluctuation were revealed: peak IOP upon awakening
(n = 11) and at midday (n = 13). Diurnal IOP measured in the first 7 days showed
strong correlation to diurnal IOP across the entire study period (r = 0.82, P <
.0001). Within 24 hours of treatment commencement (latanoprost 0.005% ophthalmic
solution), IOP reduced from 23.9 (+/-5.2) to 16.1 (+/-2.6) mmHg. Overall,
patients rated the instrument as easy to use, although difficulties with correct
alignment were expressed. CONCLUSIONS: Rebound self-tonometry demonstrated
utility for measuring diurnal IOP fluctuations in most patients, hence enhancing
management of patient with or at risk of developing glaucoma.
PMID- 29370024
TI - Assessing the True Intraocular Pressure in the Non-human Primate.
AB - SIGNIFICANCE: For glaucoma patients, high intraocular pressure (IOP) is a risk
factor for progressive neuropathy. Similarly, animal models used to study the
disease are based on an experimental elevation of IOP. Thus, accurate IOP
measurements are important in characterizing experimental models and resulting
effects. PURPOSE: The purpose of the present study was to investigate IOP
measurements in a non-human primate model of experimental glaucoma by comparing
clinical tonometry (Tono-Pen and TonoVet) to the true IOP from intracameral
manometry. METHODS: A total of 17 rhesus macaque eyes from 12 animals were used
for this study. Eleven eyes had no previous experimental intervention, whereas
six eyes were at varying stages of laser-induced experimental glaucoma. IOPs were
adjusted by inserting a needle in the anterior chamber that was attached to a
pressure transducer and syringe pump system. The anterior chamber IOP was
adjusted to values between 10 and 50 mmHg and corresponding measures with Tono
Pen and TonoVet were taken. RESULTS: The IOPs by TonoVet and Tono-Pen were
linearly related over the range of pressures tested (slope = 0.68 normal/healthy
and 0.72 experimental glaucoma). For the most, TonoVet measures overestimated IOP
at all anterior chamber pressure settings (mean difference of 3.17 mmHg, 95% CI
12.53 to -4.74 normal and 3.90 mmHg, 95% CI 12.90 to -6.53 experimental
glaucoma). In contrast, Tono-Pen measures overestimated IOP at lower IOPs and
underestimated at higher IOP (slope = -0.26 normal and -0.21 experimental
glaucoma). CONCLUSIONS: The TonoVet and Tono-Pen tonometers that are often used
to assess IOP in both clinical and experimental settings generally reflect the
status of IOP, but the results from this study suggest that the instruments need
calibration with true anterior chamber pressure for accurate measures in
experimental models of glaucoma.
PMID- 29370026
TI - Results for Water-drinking Test, before and after Laser Iridotomy, in Primary
Angle-closure Suspects.
AB - SIGNIFICANCE: Intraocular pressure and ocular biometric changes were similar
before and after laser iridotomy in response to the water-drinking test in a
cohort of patients at risk of angle closure. The water-drinking test does not
seem to be a good provocative test to determine which eyes would benefit from a
laser iridotomy. Our data call into question the preoperative predictive value of
this test. PURPOSE: The aim of this study was to evaluate the effect of water
drinking test on intraocular pressure and ocular biometric parameters, before and
after laser peripheral iridotomy, in patients with an occludable angle. METHODS:
Twenty-seven patients, who met the inclusion criteria and had at least 180
degrees of iridotrabecular apposition, underwent a complete eye examination
followed by the measurement of ocular biometric (using LenStar LS-900; Haag
Streit AG, Koeniz, Switzerland) and anterior chamber parameters (using Pentacam
HR; Oculus Optikgerate GmbH, Wetzlar, Germany). All the measurements were
repeated 30 minutes after the water-drinking test. Two weeks after laser
peripheral iridotomy, all the measurements were repeated both before and after
the water-drinking test. RESULTS: The mean +/- SD of the age of the participants
was 57 +/- 9 years, and 23 (85.2%) were male. Intraocular pressure increased
after the water-drinking test in both pre-laser peripheral iridotomy (17.0 vs.
19.3 mmHg, P < .001) and post-laser peripheral iridotomy (15.6 vs. 18.6 mmHg, P <
.001) conditions. The thickness values of central cornea increased slightly after
the water-drinking test in pre-laser peripheral iridotomy (535 vs. 538 MUm, P =
.001) compared with post-laser peripheral iridotomy (532 vs. 536 MUm, P = .003).
The water-drinking test had no significant effect on other biometric or anterior
chamber parameters, before or after laser peripheral iridotomy. CONCLUSIONS: The
water-drinking test increased intraocular pressure, both before and after laser
peripheral iridotomy. Laser peripheral iridotomy had no significant effect on the
amount of intraocular pressure change after the water-drinking test. The water
drinking test has no effect on other biometric or anterior chamber parameters.
PMID- 29370025
TI - Identifying Glaucomatous Damage to the Macula.
AB - SIGNIFICANCE: Measurements of the macula have been increasingly used to diagnose
and manage patients with glaucoma. Asymmetry analysis was clinically introduced
to assess damage to the macular ganglion cells in patients with glaucoma, but its
effectiveness is limited by high normal between-subject variability. PURPOSE: We
aimed to reduce the high normal between-subject variability and improve the
potential of asymmetry analysis to identify glaucomatous damage to the macula.
METHODS: Twenty patients with glaucoma (aged 57 to 85 years) and 30 age-similar
control subjects (aged 53 to 89 years) were recruited from a longitudinal
glaucoma study. Participants were imaged with the Spectralis OCT using the
posterior pole protocol; measurements of the averaged retinal thickness and
ganglion cell layer (GCL) thickness were obtained. We established three zones per
hemifield within the central +/-9 degrees , based on the lowest between-subject
variability that we previously found and the course of retinal nerve fiber layer
projections. The criteria for flagging abnormality were at least two contiguous
zones when P < 5% or one zone when P < 1% with two-tailed tests. RESULTS: Between
subject variability of the asymmetry analysis for both retinal and GCL
thicknesses remained lower than that of the average thickness across each zone in
control subjects (F > 2.52, P < .01). Asymmetry analysis of retinal and GCL
thicknesses flagged 16 and 18 of 20 patients, respectively. CONCLUSIONS: Between
subject variability was reduced in control subjects using the three zones; our
criteria identified glaucomatous damage to the macula in most of the patients. We
used high-density B-scans to confirm the patterns of the glaucomatous damage we
found in this study.
PMID- 29370027
TI - Muscular Strength Is Associated with Higher Intraocular Pressure in Physically
Active Males.
AB - SIGNIFICANCE: The positive association between intraocular pressure (IOP) and
relative maximum force may have relevance for exercise recommendations when IOP
is a concern. PURPOSE: The relationship between exercise and IOP has been
approached in several studies. However, the influence of muscle function on IOP
remains underexplored. This study aimed to determine the relationship between the
maximal mechanical capabilities of muscles to generate force, velocity, and power
with IOP. METHODS: Sixty-five physically active males participated in this cross
sectional study. Baseline IOP measures were obtained by rebound tonometry, and
participants performed an incremental loading test in the ballistic bench press.
RESULTS: Baseline IOP showed a strong positive correlation with relative maximum
force (r65 = 0.85, P < .001) relative maximum power (r65 = 0.85, P < .001), and
relative one-repetition maximum (r65 = 0.91, P < .001). Also, a moderate positive
association was obtained between baseline IOP and maximum force (r65 = 0.74, P <
.001), maximum power (r65 = 0.72, P < .001), and maximum dynamic strength (r65 =
0.80, P < .001). No significant correlations between IOP and maximal velocity
were obtained (all P > .05). CONCLUSIONS: There is a positive association between
greater upper-body power and strength with higher baseline IOP, which might have
important implications in the management of ocular health and especially in
individuals constantly involved in resistance training programs (e.g., military
personnel, weightlifters). The possible protective effect of high fitness level
on the acute IOP response to strength exercise needs to be addressed in future
studies.
PMID- 29370028
TI - THROMBOCYTOPENIA AS A RISK FACTOR FOR RETINOPATHY OF PREMATURITY.
AB - PURPOSE: To study the association between thrombocytopenia and retinopathy of
prematurity (ROP). METHODS: The case-control study was conducted on preterm
newborns with ROP between January 2011 and January 2014, retrospectively. The
patients were assigned into two groups: Cases required intervention and controls
developed no or Stage I ROP. RESULTS: Eighty-one premature infants with Type I
ROP were enrolled to the study with a mean gestational age of 27.6 +/- 2.1
(range: 24-32) weeks and birth weight of 993 +/- 292 (range: 560-1,930) g. Mean
follow-up time was 38.3 +/- 2.7 weeks (min: 32 and max: 46 weeks). Cases were
individually matched to a set of controls (1:1 ratio). Thrombocytopenia
(<150.000/mm) was seen in 58 (71.6%) of the cases with Type I ROP, whereas only
17 (21%) of the controls had thrombocytopenia (P < 0.001). Logistic regression
analysis showed that bronchopulmonary dysplasia and thrombocytopenia were
significantly associated with Type I ROP (relative risk [95% confidence
interval]: 4.19 [1.47-12] and 6.69 [2.83-15.9], respectively). The
thrombocytopenia ratio (P = 0.073), thrombocytopenia 1 week before intervention
(P = 0.076) and platelet transfusion ratio (P = 0.062) tended to be higher in
Zone I ROP compared with Zone II ROP. CONCLUSION: In our study, there was a
significant association between thrombocytopenia and Type I ROP.
PMID- 29370029
TI - SUBRETINAL ENDOSCOPIC SURGERY TO TREAT LARGE SUBRETINAL HEMORRHAGES SECONDARY TO
AGE-RELATED MACULAR DEGENERATION.
AB - PURPOSE: To evaluate the potential of subretinal endoscopic surgery as a novel
treatment for large subretinal hemorrhage secondary to age-related macular
degeneration. METHODS: Five patients with large subretinal hemorrhage secondary
to age-related macular degeneration underwent subretinal endoscopic surgery, with
a minimum follow-up of 12 months. RESULTS: The large subretinal hemorrhage was
completely removed by subretinal endoscopic surgery without a large retinotomy in
all cases. The fibrovascular pigment epithelial detachment including choroidal
neovascularization was completely removed in four cases. In three of these cases,
the bleeding was confirmed to be originating from one point of rupture in the
Bruch membrane, which was treated by coagulation using intraocular diathermy.
Although visual acuity improved in three cases, it deteriorated and remained
stable in one case each. Fibrovascular pigment epithelial detachment persisted in
one patient after surgery; he needed anti-vascular endothelial growth factor
therapy, whereas the other four did not because their fibrovascular pigment
epithelial detachment was removed. At the final follow-up, no severe
postoperative complications, such as retinal detachment or proliferative
vitreoretinopathy, were noted. CONCLUSION: Subretinal endoscopic surgery can
completely remove subretinal hemorrhage and fibrovascular pigment epithelial
detachment including choroidal neovascularization without a large retinotomy. It
also aids in the direct and detailed confirmation of subretinal lesions by
ophthalmic endoscope.
PMID- 29370030
TI - BETA-D-GLUCAN TESTING IN PATIENTS WITH FUNGAL ENDOPHTHALMITIS.
AB - PURPOSE: To review the literature on beta-D-glucan (BDG) testing in fungal
endophthalmitis. METHODS: Review of primary literature using PubMed through April
2017 and presentation of an illustrative case report. A total of 231 articles
were identified and 43 were ultimately chosen for review based on relevance and
presence of ophthalmologic examination and objective data. RESULTS: Beta-D-glucan
is a major component of fungal cell walls. It is quantified using a calorimetry
based Fungitell assay based on modification of the limulus amebocyte lysate.
Serum BDG levels are commonly used clinically in conjunction with other tests for
early surveillance and diagnosis of invasive fungal infections. In the ophthalmic
literature, elevated levels of BDG have been detected in vitreous fluid of
patients undergoing vitrectomy for fungal endophthalmitis, tear fluid of patients
with mycotic keratitis, and serum of a patient with bilateral endogenous
subretinal abscesses. Elevated serum BDG levels appear to be highly associated
with fungal endophthalmitis. Potential uses and considerations with regards to
test limitations are discussed. CONCLUSION: Beta-D-glucan testing may be used as
an adjunct to support a diagnosis, initiate pharmacologic therapy or surgical
intervention, and optimize overall clinical management in patients diagnosed with
or under clinical suspicion for invasive fungal infections, including
endophthalmitis. Additional clinical studies are necessary to fully characterize
the utility of BDG testing in patients with fungal endophthalmitis.
PMID- 29370032
TI - Chorioretinal Punched-Out Lesions in Pseudoxanthoma Elasticum.
PMID- 29370031
TI - COMPARISON OF VISUAL AND ANATOMICAL OUTCOMES OF EYES UNDERGOING TYPE I BOSTON
KERATOPROSTHESIS WITH COMBINATION PARS PLANA VITRECTOMY WITH EYES WITHOUT
COMBINATION VITRECTOMY.
AB - PURPOSE: To determine whether 1-year visual and anatomical results after surgery
combining pars plana vitrectomy, Boston keratoprosthesis, and a glaucoma drainage
device as needed are similar, better, or worse than Boston keratoprosthesis
initial implantation alone. METHODS: We performed a retrospective review of adult
patients undergoing Boston keratoprosthesis at our institution. Visual acuity
outcomes, anatomical results, and complication rates of patients undergoing
combination surgery (including pars plana vitrectomy and a posterior glaucoma
drainage device) were compared with those undergoing keratoprosthesis placement
alone. RESULTS: There were 70 eyes in the keratoprosthesis alone group and 55
eyes in the keratoprosthesis with pars plana vitrectomy group. Mean follow-up
durations were 54.67 months in the keratoprosthesis alone group and 48.41 months
in the combination group. Baseline mean Snellen equivalent visual acuities were
worse for the combination group compared with the keratoprosthesis alone group (P
= 0.027). Visual acuities improved postoperatively by 1 month after
keratoprosthesis implantation for both groups and improved three or more lines of
Snellen acuity in the majority of eyes for both groups (>=72% by 12 months). Eyes
undergoing pars plana vitrectomy had lower rates of de novo (P = 0.015) and
significantly lower rates of secondary procedures (P = 0.002) at 1 year. One year
complications rates for retroprosthetic membrane formation, retinal detachment,
hypotony, cystoid macular edema, epiretinal membrane formation, endophthalmitis,
and corneal melting were similar for both groups. CONCLUSION: Compared with
keratoprosthesis alone, combining keratoprosthesis with pars plana vitrectomy and
a glaucoma drainage device as needed, resulted in lower rates of de novo
glaucoma, lower rates of additional surgical procedures, similar visual acuity
outcomes at 1 year, and did not result in higher complication rates.
PMID- 29370033
TI - AUTOSOMAL DOMINANT VITREORETINOCHOROIDOPATHY: When Molecular Genetic Testing
Helps Clinical Diagnosis.
AB - PURPOSE: Autosomal dominant vitreoretinochoroidopathy is an extremely rare
disease, which belongs to the BEST1-related disease spectrum. METHODS: Report of
five patients with an initial diagnosis of atypical rod-cone dystrophy, for whom
autosomal dominant vitreoretinochoroidopathy was retrospectively diagnosed on
genetic results using targeted next-generation sequencing. Each patient had a
comprehensive ophthalmic examination including multimodal retinal imaging and
functional evaluation. RESULTS: Visual acuity ranged from <20/800 to 20/25. Two
patients had narrowed angle with history of acute angle-closure glaucoma for one
patient. Full-field electroretinogram showed severe reduction of both scotopic
and photopic responses for 3/5 patients. Electrooculogram could be performed for
one of the two patients with moderate alterations of full-field
electroretinogram. It revealed severe light rise abnormalities with decreased
Arden ratio (125% right eye, 145% left eye) in keeping with generalized severe
dysfunction of the retinal pigment epithelium. On fundoscopy, the pathognomonic
circumferential hyperpigmented band of the peripheral retina was totally absent
in two patients. CONCLUSION: This report highlights the high phenotypic
variability of autosomal dominant vitreoretinochoroidopathy, which may be
misdiagnosed, especially in advanced forms with severe generalized photoreceptor
dysfunction mimicking retinitis pigmentosa. Targeted next-generation sequencing
can contribute to the proper clinical diagnosis, especially in case of atypical
phenotypic features of autosomal dominant vitreoretinochoroidopathy.
PMID- 29370034
TI - INCIDENCE OF ENDOPHTHALMITIS AFTER VITRECTOMY: A Systematic Review and Meta
analysis.
AB - PURPOSE: The purpose of this study was to review the literature reporting rates
of postoperative endophthalmitis after pars plana vitrectomy and investigate
whether modern microincision vitrectomy surgery (MIVS) increases the
postoperative endophthalmitis rate, compared with traditional 20-gauge (20 G)
vitrectomy. METHODS: A comprehensive literature search was performed to identify
studies describing the incidence of post-pars plana vitrectomy endophthalmitis. A
meta-analysis of comparative studies reporting the endophthalmitis rates after
MIVS versus 20 G vitrectomy was also conducted. RESULTS: A total of 31 studies
reported 199 endophthalmitis cases in 363,544 participants (0.05%). The incidence
of endophthalmitis after 20 G vitrectomy was 0.04% (88/229,435), compared with
0.03% (8/27,326) after 23 G and 0.11% (33/29,676) after 25 G. The meta-analysis
demonstrated that the incidence of endophthalmitis was higher after MIVS (23 G/25
G) compared with 20 G vitrectomy (odds ratio = 3.39, 95% confidence interval,
1.39-8.23). In a subgroup analysis, we also found an increased risk of
endophthalmitis after 25 G compared with 20 G vitrectomy (odds ratio = 4.09, 95%
confidence interval, 2.33-7.18), but not for 23 G versus 20 G (odds ratio = 1.14,
95% confidence interval, 0.47-2.78). CONCLUSION: The incidence of post-pars plana
vitrectomy endophthalmitis was low, with no significant differences between 23 G
MIVS and 20 G vitrectomy, but 25 G MIVS may result in a higher postoperative
endophthalmitis rate.
PMID- 29370035
TI - MACULAR ATROPHY INCIDENCE IN ANTI-VASCULAR ENDOTHELIAL GROWTH FACTOR-TREATED
NEOVASCULAR AGE-RELATED MACULAR DEGENERATION: Risk Factor Evaluation for
Individualized Treatment Need of Ranibizumab or Aflibercept According to an
Observe-and-Plan Regimen.
AB - PURPOSE: To investigate factors associated with macular atrophy (MA) incidence in
neovascular age-related macular degeneration treated with either ranibizumab or
aflibercept in an Observe-and-Plan variable dosing regimen. METHODS: Information
was obtained from two identical prospective treatment protocols using ranibizumab
or aflibercept in a variable dosing regimen termed "Observe and Plan." Eyes
without MA at baseline were included. New atrophy at the final 2-year visit was
investigated with univariate and multivariate analysis to identify associated
risk factors, focusing on treatment factors. RESULTS: De novo MA developed in 63
(42%) of 149 eyes/patients (mean age 79.0 years), in 70 eyes treated using
aflibercept and 79 eyes using ranibizumab. The univariate analysis showed
multiple associations of MA with baseline factors, of which the following were
confirmed as independent risk factors after multivariate stepwise logistic
regression: lower number of anti-vascular endothelial growth factors injections
(P = 0.011), depigmentation (P = 0.0004), reticular pseudodrusen (P = 0.0005),
lower baseline visual acuity (P = 0.0006), and retinal angiomatous proliferation
(P = 0.001). The drug type showed no significant association with MA incidence (P
= 0.21). CONCLUSION: Within the variable dosing regimen, MA incidence was higher
when fewer injections were required. More injections, if required by disease
activity, did not increase the risk for MA.This is an open-access article
distributed under the terms of the Creative Commons Attribution-Non Commercial-No
Derivatives License 4.0 (CCBY-NC-ND), where it is permissible to download and
share the work provided it is properly cited. The work cannot be changed in any
way or used commercially without permission from the journal.
PMID- 29370036
TI - OPTICAL COHERENCE TOMOGRAPHY AND OPTICAL COHERENCE TOMOGRAPHY ANGIOGRAPHY
EVALUATION OF COMBINED HAMARTOMA OF THE RETINA AND RETINAL PIGMENT EPITHELIUM.
AB - PURPOSE: To study multimodal imaging features of combined hamartoma of the retina
and retinal pigment epithelium (CHRRPE). METHODS: Six patients (3 males, mean age
11 years) and a healthy age-matched control group made up of 15 healthy subjects
(8 males, mean age 12.6 years) were included in the analysis. Complete
ophthalmologic examination was performed, including best-corrected visual acuity,
anterior and posterior segment slit-lamp evaluation, and tonometry. The
multimodal imaging protocol included fundus images, structural optical coherence
tomography (OCT), and swept-source OCT angiography (OCTA). The main outcome
measures included the qualitative evaluation of both OCT and OCTA features of
CHRRPE, retinal and choroidal thickness measurements, and the quantitative
analysis of superficial capillary plexus, deep capillary plexus, and
choriocapillaris vessel densities. RESULTS: Optical coherence tomography features
of CHRRPE were examined extensively. Multiple little hyperreflective triangular
outer retinal alterations were found at the CHRRPE edges in all patients; these
were dubbed the "shark-teeth" sign. Optical coherence tomography angiography
showed rarefaction and morphologic alterations of all retinal plexa. Moreover,
quantitative analysis revealed a statistically significant decrease in
superficial capillary plexus, deep capillary plexus, and choriocapillaris vessel
densities in patients affected by CHRRPE compared with the control group.
CONCLUSION: Optical coherence tomography and OCTA analyses allowed the accurate
qualitative and quantitative analyses of CHRRPE features. Further studies are
needed to better define OCTA changes of CHRRPE better and to improve our
understanding of the possible causes of the shark-teeth sign.
PMID- 29370037
TI - Visualization of Lamellar Hole-Associated Epiretinal Proliferation With Blue
Reflectance Imaging.
PMID- 29370038
TI - Committee Opinion No. 485: Prevention of Early-Onset Group B Streptococcal
Disease in Newborns: Correction.
PMID- 29370039
TI - Connect the Dots-December 2017: Correction.
PMID- 29370040
TI - Quality of Life and Sexual Function 2 Years After Vaginal Surgery for Prolapse:
Second Correction.
PMID- 29370043
TI - ACOG Publications.
PMID- 29370044
TI - ACOG Practice Bulletin No. 190 Summary: Gestational Diabetes Mellitus.
AB - Gestational diabetes mellitus (GDM) is one of the most common medical
complications of pregnancy. However, debate continues to surround the diagnosis
and treatment of GDM despite several recent large-scale studies addressing these
issues. The purposes of this document are the following: 1) provide a brief
overview of the understanding of GDM, 2) review management guidelines that have
been validated by appropriately conducted clinical research, and 3) identify gaps
in current knowledge toward which future research can be directed.
PMID- 29370045
TI - ACOG Practice Bulletin No. 191 Summary: Tubal Ectopic Pregnancy.
AB - Ectopic pregnancy is defined as a pregnancy that occurs outside of the uterine
cavity. The most common site of ectopic pregnancy is the fallopian tube. Most
cases of tubal ectopic pregnancy that are detected early can be treated
successfully either with minimally invasive surgery or with medical management
using methotrexate. However, tubal ectopic pregnancy in an unstable patient is a
medical emergency that requires prompt surgical intervention. The purpose of this
document is to review information on the current understanding of tubal ectopic
pregnancy and to provide guidelines for timely diagnosis and management that are
consistent with the best available scientific evidence.
PMID- 29370046
TI - ACOG Committee Opinion No. 730 Summary: Fatigue and Patient Safety.
AB - Fatigue and sleep deprivation may affect a health care provider's skills and
communication style, and also may affect clinical outcomes. The National Sleep
Foundation recommends 7-9 hours of sleep per night for an adult. However, there
are no current guidelines limiting the volume of deliveries and procedures
performed by a single individual or on the length of time he or she may be on
call. Medical literature has shown that even a single night of missed sleep
measurably affects cognitive performance. When adults do not sleep at least 5
hours per night, language and numeric skills, retention of information, short
term memory, and concentration all decrease on standardized testing. Speed of
performance may be affected more than accuracy. Sleep deprivation may affect mood
to a greater degree than it affects cognitive or motor performance, and, thus,
may have a significant effect on a physician's ability to communicate
effectively. To help mitigate resident fatigue, programs must train all faculty
members and residents to recognize the signs of fatigue and sleep deprivation.
The medical directors of outpatient units and chairs of hospital departments of
obstetrics and gynecology may consider developing call schedules and associated
policies that balance the need for continuity of care and the health care
providers' need for rest. Designing standardized procedures also may protect
against fatigue-related errors. Physicians at all stages in their careers need to
be conscious of the demands placed on them professionally and personally and
should balance those demands with rest to avoid excessive fatigue or
overcommitment.
PMID- 29370047
TI - ACOG Practice Bulletin No. 190: Gestational Diabetes Mellitus.
AB - Gestational diabetes mellitus (GDM) is one of the most common medical
complications of pregnancy. However, debate continues to surround the diagnosis
and treatment of GDM despite several recent large-scale studies addressing these
issues. The purposes of this document are the following: 1) provide a brief
overview of the understanding of GDM, 2) review management guidelines that have
been validated by appropriately conducted clinical research, and 3) identify gaps
in current knowledge toward which future research can be directed.
PMID- 29370048
TI - ACOG Committee Opinion No. 730: Fatigue and Patient Safety.
AB - Fatigue and sleep deprivation may affect a health care provider's skills and
communication style, and also may affect clinical outcomes. The National Sleep
Foundation recommends 7-9 hours of sleep per night for an adult. However, there
are no current guidelines limiting the volume of deliveries and procedures
performed by a single individual or on the length of time he or she may be on
call. Medical literature has shown that even a single night of missed sleep
measurably affects cognitive performance. When adults do not sleep at least 5
hours per night, language and numeric skills, retention of information, short
term memory, and concentration all decrease on standardized testing. Speed of
performance may be affected more than accuracy. Sleep deprivation may affect mood
to a greater degree than it affects cognitive or motor performance, and, thus,
may have a significant effect on a physician's ability to communicate
effectively. To help mitigate resident fatigue, programs must train all faculty
members and residents to recognize the signs of fatigue and sleep deprivation.
The medical directors of outpatient units and chairs of hospital departments of
obstetrics and gynecology may consider developing call schedules and associated
policies that balance the need for continuity of care and the health care
providers' need for rest. Designing standardized procedures also may protect
against fatigue-related errors. Physicians at all stages in their careers need to
be conscious of the demands placed on them professionally and personally and
should balance those demands with rest to avoid excessive fatigue or
overcommitment.
PMID- 29370049
TI - The changing role of endovascular stenting for blunt cerebrovascular injuries.
AB - BACKGROUND: Few injuries have produced as much debate with respect to management
as have blunt cerebrovascular injuries (BCVIs). Without question, early
anticoagulation is the mainstay of therapy for these injuries. However, the role
of endovascular stenting for BCVI remains controversial. Our purpose was to
examine the use of endovascular stents for BCVI and outcomes and describe which
injuries are being treated with stents. METHODS: Patients with BCVI from 2011 to
2016 were identified and stratified by age, sex, and injury severity. Patients
were then divided into two groups (previous study [PS] = 2011-2012 and current
study [CS] = 2013-2016) based on a paradigm shift in BCVI diagnosis and treatment
at our institution. Beginning in 2013, a multidisciplinary team assumed care of
patients with BCVI from interventional radiology. Digital subtraction angiography
was used to confirmatory injuries in both groups and heparin used for initial
therapy. RESULTS: In the CS, 237 patients were diagnosed with BCVI compared with
128 patients in the PS. Both groups were clinically similar with no difference in
distribution of vessels injured. Beginning in 2013, there was a significant
decrease in the use of stents for these injuries. In fact, in the CS, only 21
(8.9%) patients were treated with endovascular stenting compared to 44 (34%)
patients in the PS. Of patients in the CS, 14 had grade III pseudoaneurysms and
seven had grade II dissections. Despite this reduction in stenting, there was no
significant change in the BCVI-related stroke rate between the CS and the PS
(4.2% vs. 3.9%). CONCLUSION: Anticoagulation alone is adequate therapy for the
majority of BCVI. Nevertheless, there is still a role for endovascular stents in
the treatment of BCVI. Their use should be reserved for enlarging carotid
pseudoaneurysms and dissections with significant narrowing. The prospect of
determining which injuries benefit from stent placement warrants prospective
investigation. LEVEL OF EVIDENCE: Therapuetic/care management, level IV.
PMID- 29370050
TI - Impact of venorrhaphy and vein ligation in isolated lower-extremity venous
injuries on venous thromboembolism and edema.
AB - BACKGROUND: Following venous injury, venorrhaphy can restore outflow, although it
risks thrombosis and venous thromboembolism (VTE). Vein ligation is a faster
option, although it potentially risks extremity edema. The purpose of this study
was to evaluate the management of venous injury on VTE and extremity edema in
patients with isolated lower-extremity venous injuries. METHODS: Patients with
common iliac, external iliac, and femoral venous injuries over a 10-year period
were stratified by age, shock, management of venous injury, injury severity, and
timing and type of anticoagulation. Outcomes included development of extremity
edema and VTE (pulmonary embolism, deep venous thrombosis). Outcomes were then
evaluated to determine risk factors for symptomatic lower-extremity edema and VTE
by the management of venous injuries. RESULTS: Eighty-four patients were
identified: 20 common iliac, 27 external iliac, 37 femoral. Forty-nine underwent
vein repair and 35 underwent vein ligation. Ninety-three percent were male with a
mean Injury Severity Score and Glasgow Coma Scale score of 17 and 14,
respectively. Venous thromboembolism occurred in 18 (21%), 15 (18%) deep venous
thrombosis, and 4 (5%) pulmonary embolisms. Thirty-two (38%) patients developed
lower-extremity edema. Those who underwent vein ligation had a greater degree of
shock on presentation (RBC transfusions, 14 vs. 8 units; p = 0.03) and were more
likely to receive prophylactic fasciotomies (60% vs. 33%, p = 0.01). There was no
difference in time to or type of chemoprophylaxis between patients who underwent
vein repair and those who received vein ligation. However, patients with vein
ligation had fewer episodes of VTE (9% vs. 31%, p = 0.02) with no difference in
symptomatic lower-extremity edema (37% vs. 39%, p = 0.88) or amputation rates (0%
vs. 2%, p = 0.99). CONCLUSION: Vein repair had a higher incidence of VTE while
providing no additional benefit in reducing symptomatic extremity edema compared
to ligation in patients suffering venous injury. Ligation of most extremity
venous injuries can be performed without increasing patient morbidity. LEVEL OF
EVIDENCE: Therapeutic study, level IV.
PMID- 29370051
TI - A protocol for non-operative management of uncomplicated appendicitis.
AB - BACKGROUND: We developed a protocol to identify candidates for non-operative
management (NOM) of uncomplicated appendicitis. Our objective was to evaluate
protocol efficacy with the null hypothesis that clinical outcomes, hospital
readmission rates, and hospital charges would be unchanged after protocol
implementation. METHODS: We performed a single-center 4-year propensity score
matched retrospective cohort analysis of 406 patients with acute uncomplicated
appendicitis. The protocol recommended NOM for patients with modified Alvarado
score <=6 and no appendicolith. Patients admitted before (n = 203) and after (n =
203) protocol implementation were matched by Charlson comorbidity index, duration
of symptoms, and modified Alvarado score. Outcomes included operative management,
days on antibiotic therapy, length of stay, and hospital charges, as well as
readmissions, complications, and mortality within 180 days. RESULTS: Baseline
characteristics were similar between groups (age 31 years, ASA class 2.0,
Charlson comorbidity index 0.0). Protocol compliance was higher when the protocol
recommended appendectomy (97%) rather than NOM (73%, p < 0.001). The incidence of
operative management decreased from 99% to 82% after protocol implementation (p <
0.001). In the protocol group, there was a lower incidence of open surgery (4%
vs. 10%, p = 0.044) despite a longer interval between admission and surgery (8.6
vs. 7.1 hours, p < 0.001). After protocol implementation, 51 patients had NOM: 18
failed NOM during admission and 6 failed NOM after discharge. Compared to the pre
protocol group, the protocol group had similar length of stay, antibiotic days,
and overall complication rates, but more readmissions (6% vs. 1%, p = 0.019) and
lower hospital charges for the index admission ($5,630 vs. $6,878, p < 0.001).
CONCLUSIONS: Implementation of a protocol to identify candidates for NOM of acute
uncomplicated appendicitis was associated with lower rates of open surgery, fewer
appendectomies, decreased hospital charges, and no difference in overall
complications despite high rates of readmission and failure of NOM. LEVEL OF
EVIDENCE: Therapeutic study, level IV.
PMID- 29370052
TI - Implementation of a National Trauma Research Action Plan (NTRAP).
PMID- 29370053
TI - A review of the landscape: Challenges and gaps in trauma response to civilian
high threat mass casualty incidents.
AB - The ultimate goal of the emergency response and trauma system is to reduce
potentially preventable death from trauma. Tremendous advances in trauma care
emerged from the past 15 years of United States' combat engagements around the
globe. Unfortunately, combat and insurgency tactics have also metastasized to the
civilian world, resulting in increasingly complex and dynamic acts of intentional
mass violence. These high threat active violent incidents (AVIs) pose significant
preparedness, response, and clinical care challenges to the civilian healthcare
systems. Currently, there are several operational and policy gaps that limit the
successful preparedness and response to AVIs and dynamic MCIs in the United
States.
PMID- 29370054
TI - Staff officers as blood suppliers: Effects of repeated donations and autologous
reinfusions of untransfused units.
AB - BACKGROUND: Limited blood inventory and resupply chains in combat settings can
result in preventable deaths from traumatic hemorrhage. One way of mitigating
this could be to establish donor pools where blood is collected in advance of
high-risk missions and then reinfused back to the donor if not needed to treat
casualties. METHODS: Four hundred fifty milliliters plus 56 mL of blood was
collected, rested for 2 hours in room temperature, and stored at 4 degrees C. The
blood was reinfused 22 to 24 hours after donation and the donor observed for
adverse reactions. Samples were collected before and 20 minutes after each
donation for hematology, immunoglobulin G, ferritin, C-reactive protein, total
protein, lactate dehydrogenase, bilirubin, haptoglobin, and activated partial
thromboplastin time. RESULTS: Nine participants went through a total of 36
donation and reinfusion procedures. Four donors participated in five rounds, two
in four rounds, two in three rounds, and one in two rounds. A significant drop
was seen in hemoglobin (14.6 +/- 0.9 to 13.9 +/- 0.9) and ferritin (179 +/- 70 to
149 +/- 78) from before the first donation to after the last reinfusion (p <
0.05). Other parameters were unaffected. CONCLUSION: This small pilot study
suggests that repeated donations and reinfusions may be both feasible and safe.
Blood collected in this way should be labeled with the donor's full name and
social security number (or similar) and the identity visually verified by the
donor immediately before both donation and reinfusion. To further reduce risk,
this form of donation should be restricted to scenarios where there is no other
option for making blood available. LEVEL OF EVIDENCE: Therapeutic/Care management
study, level V.
PMID- 29370055
TI - The modified Veress needle for tension pneumothorax.
PMID- 29370056
TI - The history and promising future of phage therapy in the military service.
AB - The continuous evolvement of bacterial resistance to most, if not all, available
antibiotics is a worldwide problem. These strains, frequently isolated from
military-associated environments, have created an urgent need to develop
supplementary anti-infective modalities. One of the leading directions is phage
therapy, which includes the administration of bacteriophages, viruses that
specifically target bacteria, as biotherapies. Although neglected in the West
until recent years, bacteriophages have been widely studied and clinically
administered in the former Soviet Union and Eastern Europe for over a century,
where they were found to be incredibly efficient at battling numerous infectious
diseases.In this review, we discuss the high potential of phage therapy as a
solution for resistant bacterial infectious diseases relating to military
medicine. By describing the historical development and knowledge acquired on
phage therapy, we define the advantages of bacteriophages for combating resistant
bacteria in multiple settings, such as trauma injuries and foodborne illnesses,
as a preventive tool and therapy against biological warfare agents, and more. We
also present the most recent successful clinical applications of bacteriophages
in military settings worldwide.We believe that augmenting military medicine by
integrating phage therapy is an important and required step in preparedness for
the rapidly approaching post-antibiotic era.
PMID- 29370057
TI - Analysis of isolated transverse process fractures sustained during blast-related
events.
AB - BACKGROUND: Personnel have sustained a range of devastating blast injuries during
recent conflicts. Previous studies have focused on severe injuries, including to
the spine; however, no study has specifically focused on the most common spinal
injury-transverse process (TP) fractures. Although their treatment usually
requires limited intervention, analysis of TP fractures may help determine injury
mechanisms. METHODS: Data were collected from victims with spinal fractures who
were injured in improvised explosive device attacks, from the UK's Joint Theatre
Trauma Registry. The level and side of each TP fracture were recorded, as well as
associated injuries, whether they were mounted or dismounted, and outcome
(survivor or fatality). RESULTS: Most (80%) of the TP fractures were lumbar. More
bilateral (both left and right fractures at the same level), and L5 TP fractures,
were seen in fatalities than survivors. In the mounted group, lumbar TP fractures
were statistically significantly associated with fatality, head injury,
noncompressible torso hemorrhage, pelvic injury, and other spinal injuries. In
the dismounted group, thoracic TP fractures were associated with head, chest
wall, and other spinal injuries; and lumbar TP fractures were associated with
pelvic and other spinal injuries. CONCLUSIONS: Different injury mechanisms of the
TP in the mounted and dismounted groups are likely. Inertial forces acting within
the torso due to rapid loading being transferred through the seat, or high intra
abdominal pressures causing the tensile forces acting through the lumbar fascia
to avulse the TPs are likely mechanisms in the mounted group. Blunt trauma,
violent lateral flexion-extension forces, or rapid flail of the lower extremities
causing tension of the psoas muscle, avulsing the TP, are likely causes in the
dismounted group. Isolated lumbar TP fractures can be used as markers for more
severe injuries, and fatality, in mounted blast casualties. LEVEL OF EVIDENCE:
Prognostic and epidemiological, level III.
PMID- 29370058
TI - Permissive hypotension versus conventional resuscitation strategies in adult
trauma patients with hemorrhagic shock: A systematic review and meta-analysis of
randomized controlled trials.
AB - BACKGROUND: Aggressive fluid resuscitation in trauma promotes deleterious effects
such as clot disruption, dilutional coagulopathy and hypothermia. Animal studies
suggest that permissive hypotension maintains appropriate organ perfusion,
reduces bleeding and improves mortality. This review assesses the efficacy and
safety of permissive hypotension in adult trauma patients with hemorrhagic shock.
METHODS: We searched the MEDLINE and EMBASE databases from inception to May 2017
for randomized controlled trials comparing permissive hypotension vs.
conventional resuscitation following traumatic injury. We included preoperative
and intraoperative resuscitation strategies. The primary outcome was 30-day or in
hospital mortality. Secondary outcomes included blood product utilization,
estimated blood loss and in-hospital complications. Pooling was performed with a
random-effects model. RESULTS: We screened 722 abstracts, from which five
randomized trials evaluating 1,158 patients were included. Blood pressure targets
in the intervention arms varied from systolic BP 50 mm Hg to 70 mm Hg or mean
arterial pressure of 50 mm Hg or higher as compared to systolic BP 65 mm Hg to
100 mm Hg or mean arterial pressure of 65 or higher in the control arms. Two
studies evaluated only patients with penetrating injury while the remaining three
additionally included blunt injuries. Four trials suggested a survival benefit
for 30-day or in-hospital mortality with hypotensive resuscitation, although
three studies were insufficiently powered to find statistical significance.
Studies were of poor to moderate quality due to poor protocol reporting and lack
of blinding. The pooled odds ratio was 0.70 (95% confidence interval, 0.53-0.92),
suggesting a survival benefit for permissive hypotension. Those patients received
fewer blood products and had lesser estimated blood loss. CONCLUSION: Permissive
hypotension may offer a survival benefit over conventional resuscitation for
patients with hemorrhagic injury. It may additionally reduce blood loss and blood
product utilization. However, the majority of studies were underpowered, thus
reflecting a need for high quality, adequately powered trials. PROSPERO
REGISTRATION: Systematic Review, level II.CRD42017070526.
PMID- 29370059
TI - Avoiding Cribari gridlock: The standardized triage assessment tool improves the
accuracy of the Cribari matrix method in identifying potential overtriage and
undertriage.
AB - BACKGROUND: The Cribari matrix method (CMM) is the standard to identify potential
overtriage and undertriage but requires case reviews to correct for the fact that
Injury Severity Score does not account for physiology or comorbidities, nor is it
well correlated with resource consumption. Further, the secondary reviews
introduce undesirable subjectivity. This study assessed if the Standardized
Triage Assessment Tool (STAT)-a combination of the CMM and the Need For Trauma
Intervention-could more accurately determine overtriage and undertriage than the
CMM alone. METHODS: The registry of an American College of Surgeons verified
Level I adult trauma center in Texas was queried for all new emergency department
traumas 2013 to 2016 (n = 11,110). Binary logistic regressions were used to test
the associations between the triage determinations of each metric against
indicators of injury severity (risk factors, complications, and mortality) and
resource consumption (number of procedures in 3 days and total length of stay).
RESULTS: Both metrics were associated with the indicators of injury severity and
resource consumption in the expected directions, but STAT had stronger or
equivalent associations with all variables tested. Using the CMM, there was 50.4%
overtriage and 9.1% undertriage. Using STAT, overtriage was reduced to 30.8%
(relative reduction = 38.9%) and undertriage was reduced to 3.3% (relative
reduction = 63.7%). CONCLUSION: Using the CMM with secondary case reviews makes
valid multi-institutional triage rate comparisons impossible because of the
subjective and unstandardized nature of these reviews. STAT's out-of-box triage
determinations (i.e., without manual case review) outperformed CMM in almost
every tested variable for both over- and undertriage. STAT, an automatic,
standardized method offers significant improvements compared to the current
subjective system. Further, by accounting for both anatomic injury severity and
resource consumption, STAT may allow trauma centers to better allocate resources
and predict patient needs with fewer cases requiring manual review. LEVEL OF
EVIDENCE: Prognostic, level III.
PMID- 29370060
TI - Trauma transitional care coordination: A mature system at work.
AB - BACKGROUND: We have previously demonstrated effectiveness of a Trauma
Transitional Care Coordination (TTCC) Program in reducing 30-day readmission
rates for trauma patients most at risk. With program maturation, we achieved
improved readmission rates for specific patient populations. METHODS: TTCC is a
nursing driven program that supports patients at high risk for 30-day
readmission. The TTCC interventions include calls to patients within 72 hours of
discharge, complete medication reconciliation, coordination of medical
appointments, and individualized problem solving. Account IDs were used to link
TTCC patients with the Health Services Cost Review Commission database to collect
data on statewide unplanned 30-day readmissions. RESULTS: Four hundred seventy
five patients were enrolled in the TTCC program from January 2014 to September
2016. Only 10.5% (n = 50) of TTCC enrollees were privately insured, 54.5% had
Medicaid (n = 259), and 13.5% had Medicare (n = 64). Seventy-three percent had
Health Services Cost Review Commission severity of injury ratings of 3 or 4
(maximum severity of injury = 4). The most common All Patient Refined Diagnosis
Related Groups for participants were: lower-extremity procedures (n = 67, 14%);
extensive abdominal/thoracic procedures (n = 40, 8.4%); musculoskeletal
procedures (n = 37, 7.8%); complicated tracheostomy and upper extremity
procedures (n = 29 each, 6.1%); infectious disease complications (n = 14, 2.9%);
major chest/respiratory trauma, major small and large bowel procedures and
vascular procedures (n = 13 each, 2.7%). The TTCC participants with lower
extremity injury, complicated tracheostomy, and bowel procedures had 6-point
reduction (10% vs. 16%, p = 0.05), 11-point reduction (13% vs. 24%, p = 0.05),
and 16-point reduction (11% vs. 27%, p = 0.05) in 30-day readmission rates,
respectively, compared to those without TTCC. CONCLUSION: Targeted outpatient
support for high-risk patients can decrease 30-day readmission rates. As our TTCC
program matured, we reduced 30-day readmission in patients with lower-extremity
injury, complicated tracheostomy and bowel procedures. This represents over one
million-dollar savings for the hospital per year through quality-based
reimbursement. LEVEL OF EVIDENCE: Therapeutic/care management, level III.
PMID- 29370061
TI - Temporary ectopic implantation for salvaging amputated parts: A systematic
review.
AB - BACKGROUND: Temporary ectopic implantation is an option when handling severe
crushing injuries to the distal extremities or other body parts. The surgical
techniques applied in those cases, and the patient outcomes have not been
previously analyzed. METHODS: Extensive literature search was performed using
PubMed, EMBASE, and Google Scholar to collect articles reporting outcomes of
temporary ectopic implantation for salvaging amputated extremities or other body
parts. Age and sex of patients, injured part, amputation level, surgical details,
and clinical outcomes were recorded. RESULTS: Twenty-two articles encompassing 38
amputated cases met the inclusion criteria. The publication dates ranged from
1986 to 2016. Of the 38 cases, temporary ectopic implantation procedures were
performed in 16 digit cases, 10 hand cases, 3 forearm cases, 5 foot cases, 1
penis case, 1 testes case, and 2 scalp cases. The ectopic implantation duration
varied from 6 to 319 days. The ectopic implantation and following replantation of
the amputated parts resulted in a survival rate of 81.6% and 100%, respectively.
With different follow-up durations, most patients were found to have sensation
restore in the tips of reconstructed extremities, and those reconstructed
extremities were functionally useful in daily lives. The function of other
replanted parts was also satisfactory. CONCLUSION: Temporary ectopic implantation
is a valuable technique for salvaging amputation cases resulted from severe
crushing injuries. There is yet no consensus on the indications of this surgical
technique. In future practices, both success and failure cases should be recorded
and analyzed to help us to optimize the surgical strategies and improve the
patient outcomes. LEVEL OF EVIDENCE: Systematic review, level IV.
PMID- 29370062
TI - Maximizing geographical efficiency: An analysis of the configuration of
Colorado's trauma system.
AB - BACKGROUND: Trauma center designation in excess of need risks dilution of
experience, reduction in research and training opportunities, and increased
costs. The objective of this study was to evaluate the use of a novel data-driven
approach (whole-system mathematical modeling of patient flow) to compare the
configuration of an existing trauma system with a mathematically optimized
design, using the State of Colorado as a case study. METHODS: Geographical
network analysis and multiobjective optimization, 105,448 patients injured in the
State of Colorado between 2009 and 2013, who met the criteria for inclusion in
the state-mandated trauma registry maintained by the Colorado Department of
Public Health and Environment were included. We used the Nondominant Sorting
Genetic Algorithm II to conduct a multiobjective optimization of possible trauma
system configurations, with the objectives of minimizing total system access
time, and the number of casualties who could not reach the desired level of care.
RESULTS: Modeling suggested that system configurations with high-volume Level I
trauma centers could be mathematically optimized with two centers rather than the
current three (with an estimated annual volume of 970-1,020 and 715-722 severely
injured patients per year), four to five Level II centers, and 12 to 13 Level III
centers. Configurations with moderate volume Level I centers could be optimized
with three such centers (with estimated institutional volumes of 439-502, 699
947, and 520-726 severely injured patients per year), two to five Level II
centers, and eight to ten Level III centers. CONCLUSION: The modeling suggested
that the configuration of Colorado's trauma system could be mathematically
optimized with fewer trauma centers than currently designated. Consideration
should be given to the role of optimization modeling to inform decisions about
the ongoing efficiency of trauma systems. However, modeling on its own cannot
guarantee improved patient outcome; thus, the use of model results for decision
making should take into account wider contextual information. LEVEL OF EVIDENCE:
Epidemiological, Level IV.
PMID- 29370063
TI - Fresh whole blood resuscitation does not exacerbate skeletal muscle edema and
long-term functional deficit after ischemic injury and hemorrhagic shock.
AB - BACKGROUND: Hemorrhagic shock caused by extremity vascular injuries is common in
combat injuries. Fluid resuscitation is the standard treatment for severe
hemorrhage (HEM). Tourniquets (TKs) used for HEM control cause ischemia
reperfusion (I/R) injury that induces edema formation in the injured muscle.
Resuscitation fluids affect edema formation; however, its effect on long-term
functional response remains unknown. The objectives of this study are to (1)
compare acute muscle damage; (2) determine long-term functional recovery of
ischemic muscle; and (3) compare local and systemic inflammatory response
including the expression of junctional proteins following early resuscitation
with Hextend and fresh whole blood using a rodent model of combined HEM and TK
induced limb I/R. METHODS: Anesthetized Sprague-Dawley rats underwent 42.5%
arterial HEM, followed by 3 hours of TK application. Animals were either not
resuscitated or resuscitated with Hextend or fresh whole blood. Two time points
were evaluated, 2 and 28 days. Plasma cytokine concentrations were determined at
baseline and end resuscitation. At 2 days, edema formation, expression of
junctional proteins, and tissue level cytokines concentrations were evaluated. At
28 days, in vivo muscle contractile properties were determined. At both time
points, routine histology was performed and graded using a semiquantitative
grading system. RESULTS: All animals developed hemorrhagic hypovolemia; the
mortality rate was 100% in nonresuscitated rats. Hextend resuscitation
exacerbated muscle edema (~11%) and muscle strength deficit (~20%). Fresh whole
blood resuscitation presented edema and muscle strength akin to TK only. Fresh
whole blood resuscitation upregulated expression of junctional proteins including
proangiogenic factors and dampened the inflammatory response. CONCLUSION: Fresh
whole blood resuscitation does not exacerbate either TK-induced edema or muscle
strength deficit. Fresh whole blood resuscitation may reduce both acute and long
term morbidity associated with extremity trauma. To our knowledge, this is the
first study to demonstrate the nature of the resuscitation fluid administered
following HEM impacts short- and long-term indices of I/R in skeletal muscle.
PMID- 29370064
TI - Functional stability of the TEG 6s hemostasis analyzer under stress.
AB - BACKGROUND: Viscoelastic measurements of coagulation provide much needed
information, including guidance for triage and insight into bleeding disorders.
The current clinical standards for these devices are the thromboelastogram (TEG)
5000 and the rotational thromboelastometer (ROTEM) delta, but a new product, the
TEG 6s, has recently come to market, designed to simplify the user experience,
reduce the required blood volume, and conduct multiple assays simultaneously.
This study compares the performance of these three devices and examines the
resiliency of the TEG 6s under various stresses. METHODS: The variances of
coagulation metrics obtained by the TEG 6s (prototype and production models), TEG
5000, and ROTEM delta were compared using manufacturers' reagents and citrate
collected blood from healthy donors. Variability between devices was examined,
and their performances under various motion and temperature stresses were
compared by placing one unit on a linear or orbital shaker, in the cold, or in
the heat while a counterpart remained stationary at room temperature. RESULTS:
Although most comparable parameters had low degrees of variance, there were small
but significantly increased variances found in some ROTEM delta and TEG 5000
parameters versus comparable TEG 6s parameters. Orbital rotation of the TEG 6s
had no effect on means of any parameter but resulted in increased variance of 2
parameters, but linear motion with sudden striking had no observed impact on
results. Similarly, 7-day exposure to heat (45 degrees C) or cold (4 degrees C)
only resulted in minor deviations within normal ranges of the TEG 6s. DISCUSSION:
The TEG 6s provides several improvements over other coagulation analyzers: it is
easier to use and robustly resilient against motion and temperature stresses.
These features suggest that it may be capable of deployment not only in the
clinical laboratory but also to a variety of austere settings. LEVEL OF EVIDENCE:
Diagnostic test, level III.
PMID- 29370065
TI - How has the Affordable Care Act changed outcomes in emergency general surgery?
AB - INTRODUCTION: Lack of insurance coverage increases complications and mortality
from surgical procedures. The 2014 Affordable Care Act (ACA) Open Enrollment (OE)
insured more Americans, but it is unknown if this improved outcomes from
emergency general surgery (EGS) procedures. This study seeks to determine how ACA
OE coverage changes outcomes in EGS. METHODS: This is a retrospective review
using the Nationwide Inpatient Sample database from 2012 to 2014. Patients aged
18 to 64 years undergoing EGS procedures were identified by International
Classification of Diseases, Ninth Revision, codes. Medicare patients were
excluded. Patient demographics, hospital characteristics, and Charlson
comorbidity index were obtained. Outcomes were measured by mortality,
complications, and calculated costs. Univariate and difference-in-differences
multivariate analyses were performed to determine the effect of the ACA OE on EGS
outcomes. RESULTS: A total of 304,110 EGS cases were identified. After Medicare
patients were excluded, there were 275,425 cases. In 2014, Medicaid admissions
increased 18.2% from 18,495 to 22,615 (p < 0.001) and self-pay admissions
decreased 33% from 14,938 to 10,630 (p < 0.001). Mortality significantly
increased for self-pay patients in 2014 from 0.81% to 1.22% (p < 0.001).
Difference-in-differences analysis indicated that, after risk adjustment, the ACA
OE was associated with a small reduction in mortality for insured patients (
0.12%, p = 0.034), increased complications (1.4%, p = 0.009), and increased wage
index adjusted mean costs (4.6%, p < 0.001). There was a significant increase in
Medicare (+26.5%) and private (+12.2%, p < 0.001) insurance admissions in
teaching hospitals, while nonteaching hospitals had fewer EGS admissions with a
greater reduction in uninsured EGS admissions. CONCLUSIONS: The ACA OE created a
significant reduction in uninsured EGS admissions but did not reduce EGS
mortality. Mortality decreased in insured patients but increased in uninsured
patients, indicating that the ACA OE primarily insured lower-risk patients. The
ACA OE did increase cost and complications in insured admissions. Teaching
hospitals saw the majority of the increase in Medicaid and private insurance EGS
admissions. A national registry would improve future study of insurance policy on
EGS outcomes. LEVEL OF EVIDENCE: Economic analysis, level IV.
PMID- 29370066
TI - Abdominal trauma surgery during recent US combat operations from 2002 to 2016.
AB - BACKGROUND: Abdominal surgery constitutes approximately 13% of surgical
procedures performed for combat injuries. This study examines the frequencies and
type of abdominal surgical procedures performed during recent US Military
operations. METHODS: A retrospective analysis of the Department of Defense Trauma
Registry was performed for all Role 2 (R2) and Role 3 (R3) medical treatment
facilities (MTFs), from January 2002 to May 2016. The 273 International
Classification of Diseases, Ninth Revision, Clinical Modification procedure codes
that were identified as abdominal surgical procedures were stratified into 24
groups based on anatomic and functional classifications and then grouped by
whether they were laparoscopic. Procedure grouping and categorization were
determined, and adjudicated if necessary, by subject matter experts. Data
analysis used Stata version 14 (College Station, TX). RESULTS: A total of 26,548
abdominal surgical procedures were identified at R2 and R3 MTFs. The majority of
abdominal surgical procedures were reported at R3 facilities. The largest
procedure group at both R2 and R3 MTFs were procedures involving the bowel. There
were 18 laparoscopic procedures reported (R2: 4 procedures, R3: 14 procedures).
Laparotomy not otherwise specified was the second largest procedure group at both
R2 (1,060 [24.55%]) and R3 (4,935 [22.2%]) MTFs. Abdominal caseload was variable
over the 15-year study period. CONCLUSIONS: Surgical skills such as open
laparotomy and procedures involving the bowel are crucial in war surgery. The
abundance of laparotomy not otherwise specified may reflect inadequate
documentation, or the plethora of second- and third-look operations and washouts
performed for complex abdominal injuries. Traditional elective general surgical
cases (gallbladder, hernia) were relatively infrequent. Laparoscopy was almost
nonexistent. Open abdominal surgical skills therefore remain a necessity for the
deployed US Military General Surgeons; this is at odds with the shifting paradigm
from open to laparoscopic skills in stateside civilian and military hospitals.
LEVEL OF EVIDENCE: Epidemiologic study, level III.
PMID- 29370067
TI - Effect of door-to-angioembolization time on mortality in pelvic fracture: Every
hour of delay counts.
AB - INTRODUCTION: Angioembolization (AE) is widely used for hemorrhagic control in
patients with pelvic fracture. The latest version of the Resources for Optimal
Care of the Injured Patient issued by the American College of Surgeons Committee
on Trauma requires interventional radiologists to be available within 30 minutes
to perform an emergency AE. However, the impact of time-to-AE on patient outcomes
remains unknown. We hypothesized that a longer time-to-AE would be significantly
associated with increased mortality in patients with pelvic fracture. METHODS:
This is a 2-year retrospective cohort study using the American College of
Surgeons Trauma Quality Improvement Program database from January 2013 to
December 2014. We included adult patients (age >= 18 years) with blunt pelvic
fracture who underwent pelvic AE within 4 hours of hospital admission. Patients
who required any hemorrhage control surgery for associated injuries within 4
hours were excluded. Hierarchical logistic regression was performed to evaluate
the impact of time-to-AE on in-hospital and 24-hour mortality. RESULTS: A total
of 181 patients were included for analysis. The median age was 54 years
(interquartile range, 38-68) and 69.6% were male. The median injury severity
score was 34 (interquartile range, 27-43). Overall in-hospital mortality rate was
21.0%. The median packed red blood cell transfusions within 4 and 24 hours after
admission were 4 and 6 units, respectively. After adjusting for other covariates
in a hierarchical logistic regression model, a longer time-to-pelvic AE was
significantly associated with increased in-hospital mortality (odds ratio, 1.79
for each hour; 95% confidence interval, 1.11-2.91; p = 0.018). CONCLUSION: The
current study showed an increased risk of in-hospital mortality related to a
prolonged time-to-AE for hemorrhagic control following pelvic fractures. Our
results suggest that all trauma centers should allocate resources to minimize
delays in performing pelvic AE. LEVEL OF EVIDENCE: Therapeutic/care management,
level IV.
PMID- 29370068
TI - Pharmaceutical Cocrystals: New Solid Phase Modification Approaches for the
Formulation of APIs.
AB - Cocrystals can be used as an alternative approach based on crystal engineering to
enhance specific physicochemical and biopharmaceutical properties of active
pharmaceutical ingredients (APIs) when the approaches to salt or polymorph
formation do not meet the expected targets. In this article, an overview of
pharmaceutical cocrystals will be presented, with an emphasis on the
intermolecular interactions in cocrystals and the methods for their preparation.
Furthermore, cocrystals of direct pharmaceutical interest, along with their in
vitro properties and available in vivo data and characterization techniques are
discussed, highlighting the potential of cocrystals as an attractive route for
drug development.
PMID- 29370069
TI - Tauroursodeoxycholic Acid Protects against the Effects of P-Cresol-Induced
Reactive Oxygen Species via the Expression of Cellular Prion Protein.
AB - Mesenchymal stem cells (MSCs) could be a promising solution in the treatment of
various diseases including chronic kidney disease (CKD). However, endoplasmic
reticulum (ER) stress induced by ischemia in the area of application limits the
integration and survival of MSCs in patients. In our study, we generated ER
stress-induced conditions in MSCs using P-cresol. As P-cresol is a toxic compound
accumulated in the body of CKD patients and induces apoptosis and inflammation
through reactive oxygen species (ROS), we observed ER stress-induced MSC
apoptosis activated by oxidative stress, which in turn resulted from ROS
generation. To overcome stress-induced apoptosis, we investigated the protective
effects of tauroursodeoxycholic acid (TUDCA), a bile acid, on ER stress in MSCs.
In ER stress, TUDCA treatment of MSCs reduced ER stress-associated protein
activation, including GRP78, PERK, eIF2alpha, ATF4, IRE1alpha, and CHOP. Next, to
explore the protective mechanism adopted by TUDCA, TUDCA-mediated cellular prion
protein (PrPC) activation was assessed. We confirmed that PrPC expression
significantly increased ROS, which was eliminated by superoxide dismutase and
catalase in MSCs. These findings suggest that TUDCA protects from inflammation
and apoptosis in ER stress via PrPC expression. Our study demonstrates that TUDCA
protects MSCs against inflammation and apoptosis in ER stress by PrPC expression
in response to P-cresol exposure.
PMID- 29370070
TI - Study of Electronic Structure, Thermal Conductivity, Elastic and Optical
Properties of alpha, beta, gamma-Graphyne.
AB - In recent years, graphyne was found to be the only 2D carbon material that has
both sp and sp2 hybridization. It has received significant attention because of
its great potential in the field of optoelectronics, which arises due to its
small band gap. In this study, the structural stability, electronic structure,
elasticity, thermal conductivity and optical properties of alpha, beta, gamma
graphynes were investigated using density functional theory (DFT) systematically.
gamma-graphyne has the largest negative cohesive energy and thus the most stable
structure, while the beta-graphyne comes 2nd. Both beta and gamma-graphynes have
sp-sp, sp-sp2 and sp2-sp2 hybridization bonds, of which gamma-graphyne has
shorter bond lengths and thus larger Young's modulus. Due to the difference in
acetylenic bond in the structure cell, the effect of strain on the electronic
structure varies between graphynes: alpha-graphyne has no band gap and is
insensitive to strain; beta-graphyne's band gap has a sharp up-turn at 10%
strain, while gamma-graphyne's band gap goes up linearly with the strain. All the
three graphynes exhibit large free carrier concentration and these free carriers
have small effective mass, and both free carrier absorption and intrinsic
absorption are found in the light absorption. Based on the effect of strain,
optical properties of three structures are also analyzed. It is found that the
strain has significant impacts on their optical properties. In summary, band gap,
thermal conductivity, elasticity and optical properties of graphyne could all be
tailored with adjustment on the amount of acetylenic bonds in the structure cell.
PMID- 29370071
TI - Characteristics of Pediatric Antimicrobial Stewardship Programs: Current Status
of the Sharing Antimicrobial Reports for Pediatric Stewardship (SHARPS)
Collaborative.
AB - In response to the growing epidemic of antibiotic-resistant bacterial infections,
antimicrobial stewardship programs (ASP) have been rapidly implemented in the
United States (US). This study examines the prevalence of the Centers for Disease
Control and Prevention's (CDC) seven core elements of a successful ASP within a
large subset of US Children's Hospitals. In 2016, a survey was conducted of 52
pediatric hospitals assessing the presence of the seven core elements: leadership
commitment, accountability, drug expertise, action, tracking, reporting, and
education. Forty-nine hospitals (94%) had established ASPs and 41 hospitals (79%)
included all seven core elements. Physician accountability (87%) and a dedicated
ASP pharmacist or drug expert (88%) were present in the vast majority of
hospitals. However, substantial variability existed in the financial support
allotted to these positions. This variability did not predict program actions,
tracking, reporting, and education. When compared with previous surveys, these
results document a dramatic increase in the prevalence and resources of pediatric
stewardship programs, although continued expansion is warranted. Further research
is required to understand the feasibility of various core stewardship activities
and the impact on patient outcomes in the setting of finite resources.
PMID- 29370072
TI - Mitochondrial BK Channel Openers CGS7181 and CGS7184 Exhibit Cytotoxic
Properties.
AB - Potassium channel openers (KCOs) have been shown to play a role in cytoprotection
through the activation of mitochondrial potassium channels. Recently, in several
reports, a number of data has been described as off-target actions for KCOs. In
the present study, we investigated the effects of BKCa channel openers CGS7181,
CGS7184, NS1619, and NS004 in neuronal cells. For the purpose of this research,
we used a rat brain, the mouse hippocampal HT22 cells, and the human astrocytoma
U-87 MG cell line. We showed that CGS7184 activated the mitochondrial BKCa
(mitoBKCa) channel in single-channel recordings performed on astrocytoma
mitoplasts. Moreover, when applied to the rat brain homogenate or isolated rat
brain mitochondria, CGS7184 increased the oxygen consumption rate, and can thus
be considered a potentially cytoprotective agent. However, experiments on intact
neuronal HT22 cells revealed that both CGS7181 and CGS7184 induced HT22 cell
death in a concentration- and time-dependent manner. By contrast, we did not
observe cell death when NS1619 or NS004 was applied. CGS7184 toxicity was not
abolished by BKCa channel inhibitors, suggesting that the observed effects were
independent of a BKCa-type channel activity. CGS7184 treatment resulted in an
increase of cytoplasmic Ca2+ concentration that likely involved efflux from
internal calcium stores and the activation of calpains (calcium-dependent
proteases). The cytotoxic effect of the channel opener was partially reversed by
a calpain inhibitor. Our data show that KCOs under study not only activate
mitoBKCa channels from brain tissue, but also induce cell death when used in
cellular models.
PMID- 29370073
TI - The Effects of Varying Degree of MWCNT Carboxylation on Bioactivity in Various In
Vivo and In Vitro Exposure Models.
AB - Functionalization has been shown to alter toxicity of multi-walled carbon
nanotube (MWCNT) in several studies. This study varied the degree of
functionalization (viz., amount of MWCNT surface carboxylation) to define the
relationship between the extent of carboxylation and effects in a variety of in
vitro cell models and short-term ex vivo/in vivo particle exposures. Studies with
vitamin D3 plus phorbol ester transformed THP-1 macrophages demonstrated that
functionalization, regardless of amount, corresponded with profoundly decreased
NLRP3 inflammasome activation. However, all MWCNT variants were slightly toxic in
this model. Alternatively, studies with A549 epithelial cells showed some varied
effects. For example, IL-33 and TNF-alpha release were related to varying amounts
of functionalization. For in vivo particle exposures, autophagy of alveolar
macrophages, measured using green fluorescent protein (GFP)- fused-LC3 transgenic
mice, increased for all MWCNT tested three days after exposure, but, by Day 7,
autophagy was clearly dependent on the amount of carboxylation. The instilled
source MWCNT continued to produce cellular injury in alveolar macrophages over
seven days. In contrast, the more functionalized MWCNT initially showed similar
effects, but reduced over time. Dark-field imaging showed the more functionalized
MWCNTs were distributed more uniformly throughout the lung and not isolated to
macrophages. Taken together, the results indicated that in vitro and in vivo
bioactivity of MWCNT decreased with increased carboxylation. Functionalization by
carboxylation eliminated the bioactive potential of the MWCNT in the exposure
models tested. The observation that maximally functionalized MWCNT distribute
more freely throughout the lung with the absence of cellular damage, and extended
deposition, may establish a practical use for these particles as a safer
alternative for unmodified MWCNT.
PMID- 29370074
TI - Odor Communication and Mate Choice in Rodents.
AB - This paper details how chemical communication is affected by ecological
challenges such as finding mates. I list several conditions that affect the
decision to attract mates, the decision to respond to the signals of potential
mates and how the response depends on context. These mate-choice decisions and
their outcomes will depend on the life history constraints placed on individuals
such as their fecundity, sex, lifespan, opportunities to mate in the future and
age at senescence. Consequently, the sender's decision to scent mark or self
groom as well as the receiver's choice of response represents a tradeoff between
the current costs of the participant's own survival and future reproduction
against that of reproducing now. The decision to scent nark and the response to
the scent mark of opposite-sex conspecifics should maximize the fitness of the
participants in that context.
PMID- 29370077
TI - p53 Gene (NY-CO-13) Levels in Patients with Chronic Myeloid Leukemia: The Role of
Imatinib and Nilotinib.
AB - : The p53 gene is also known as tumor suppressor p53. The main functions of the
p53 gene are an anticancer effect and cellular genomic stability via various
pathways including activation of DNA repair, induction of apoptosis, and
arresting of cell growth at the G1/S phase. Normally, the p53 gene is inactivated
by mouse double minute 2 proteins (mdm2), but it is activated in chronic myeloid
leukemia (CML). Tyrosine kinase inhibitors are effective chemotherapeutic agents
in the management of CML. The purpose of the present study was to evaluate the
differential effect of imatinib and nilotinib on p53 gene serum levels in
patients with CML. A total number of 60 patients with chronic myeloid leukemia
with ages ranging from 47 to 59 years were recruited from the Iraqi Hematology
Center. They started with tyrosine kinase inhibitors as first-line chemotherapy.
They were divided into two groups-Group A, 29 patients treated with imatinib and
Group B, 31 patients treated with nilotinib-and compared with 28 healthy subjects
for evaluation p53 serum levels regarding the selective effect of either imatinib
or nilotinib. There were significantly (p < 0.01) high p53 gene serum levels in
patients with CML (2.135 +/- 1.44 ng/mL) compared to the control (0.142 +/- 0.11
ng/mL). Patients with CML that were treated with either imatinib or nilotinib
showed insignificant differences in most of the hematological profile (p > 0.05)
whereas, p53 serum levels were high (3.22 +/- 1.99 ng/mL) in nilotinib-treated
patients and relatively low (1.18 +/- 0.19 ng/mL) in imatinib-treated patients (p
= 0.0001). CONCLUSIONS: Nilotinib is more effective than imatinib in raising p53
serum levels in patients with chronic myeloid leukemia.
PMID- 29370075
TI - Another Round of "Clue" to Uncover the Mystery of Complex Traits.
AB - A plethora of genetic association analyses have identified several genetic risk
loci. Technological and statistical advancements have now led to the
identification of not only common genetic variants, but also low-frequency
variants, structural variants, and environmental factors, as well as multi-omics
variations that affect the phenotypic variance of complex traits in a population,
thus referred to as complex trait architecture. The concept of heritability, or
the proportion of phenotypic variance due to genetic inheritance, has been
studied for several decades, but its application is mainly in addressing the
narrow sense heritability (or additive genetic component) from Genome-Wide
Association Studies (GWAS). In this commentary, we reflect on our perspective on
the complexity of understanding heritability for human traits in comparison to
model organisms, highlighting another round of clues beyond GWAS and an
alternative approach, investigating these clues comprehensively to help in
elucidating the genetic architecture of complex traits.
PMID- 29370076
TI - The Anti-Stress Effect of Mentha arvensis in Immobilized Rats.
AB - Stress can lead to inflammation, accelerated aging, and some chronic diseases
condition. Mentha arvensis (MA) is a traditional medicine having antioxidant and
anti-inflammatory activities. The present study investigated the anti-stress role
of MA and fermented MA (FMA) extract in immobilized rats. We studied the
lipopolysaccharide (LPS)-induced inflammation in RAW 264.7 cells and rats were
immobilized for 2 h per day for 14 days using a restraining cage. MA (100 mg/kg)
and FMA (100 mg/kg) were orally administered to rats 1 h prior to immobilization.
Using high-performance liquid chromatography (HPLC) analysis, we determined the
rosmarinic acid content of MA and FMA. The generation of malondialdehyde (MDA)
and nitric oxide (NO) in RAW 246.7 cells were suppressed by both MA and FMA. In
rats, MA and FMA notably improved the body weight, daily food intake, and
duodenum histology. MDA and NO level were gradually decreased by MA and FMA
treatment. MA and FMA significantly controlled the stress-related hormones by
decreasing corticosterone and beta-endorphin and increasing serotonin level.
Moreover, protein expression levels of mitogen activated protein kinases (MAPK)
and cyclooxygenase-2 (COX-2) were markedly downregulated by MA and FMA. Taken
together, MA and FMA could ameliorate immobilized-stress by reducing oxidative
stress, regulating stress-related hormones, and MAPK/COX-2 signaling pathways in
rats. Particularly, FMA has shown greater anti-stress activities than MA.
PMID- 29370078
TI - Present Status and Future Challenges of New Therapeutic Targets in Preclinical
Models of Stroke in Aged Animals with/without Comorbidities.
AB - The aging process, comorbidities, and age-associated diseases are closely
dependent on each other. Cerebral ischemia impacts a wide range of systems in an
age-dependent manner. However, the aging process has many facets which are
influenced by the genetic background and epigenetic or environmental factors,
which can explain why some people age differently than others. Therefore, there
is an urgent need to identify age-related changes in body functions or structures
that increase the risk for stroke and which are associated with a poor outcome.
Multimodal imaging, electrophysiology, cell biology, proteomics, and
transcriptomics, offer a useful approach to link structural and functional
changes in the aging brain, with or without comorbidities, to post-stroke
rehabilitation. This can help us to improve our knowledge about senescence
firstly, and in this context, aids in elucidating the pathophysiology of age
related diseases that allows us to develop therapeutic strategies or prevent
diseases. These processes, including potential therapeutical interventions, need
to be studied first in relevant preclinical models using aged animals, with and
without comorbidities. Therefore, preclinical research on ischemic stroke should
consider age as the most important risk factor for cerebral ischemia.
Furthermore, the identification of effective therapeutic strategies, corroborated
with successful translational studies, will have a dramatic impact on the lives
of millions of people with cerebrovascular diseases.
PMID- 29370079
TI - The Asbestos Ban in Korea from a Grassroots Perspective: Why Did It Occur?
AB - In 2009, asbestos was finally banned in Korea, about 70 years after the first
opening of asbestos mines under Japanese control. After having presented the
history of asbestos industry, together with its regulations and health effects
over time, we constructed narrative analyses of how the asbestos issue under the
prevailing risk system was managed by whom and for what purpose, to provide
context for the change. We could identify five different phases: laissez-faire,
politico-technical, economic-managerial, health-oriented cultural, and human
rights-based post-cultural risk systems. The changes leading to the asbestos ban
evolved over different phases, and each phase change was necessary to reach the
final ban, in that, without resolving the previous issues by examining different
categories of potential alternatives, either the final ban was not possible or,
even if instituted, could not be sustained. An asbestos ban could be introduced
when all the alternatives to these issues, including legitimate political
windows, economic rationalizations, health risk protections, and human rights
sensitivities, were available. We think the alternatives that we had were not in
perfect shape, but in more or less loosely connected forms, and hence we had to
know how to build solidarities between different stakeholders to compensate for
the imperfections.
PMID- 29370080
TI - Controversies and Advances in Gestational Diabetes-An Update in the Era of
Continuous Glucose Monitoring.
AB - Diabetes in pregnancy, both preexisting type 1 or type 2 and gestational
diabetes, is a highly prevalent condition, which has a great impact on maternal
and fetal health, with short and long-term implications. Gestational Diabetes
Mellitus (GDM) is a condition triggered by metabolic adaptation, which occurs
during the second half of pregnancy. There is still a lot of controversy about
GDM, from classification and diagnosis to treatment. Recently, there have been
some advances in the field as well as recommendations from international
societies, such as how to distinguish previous diabetes, even if first recognized
during pregnancy, and newer diagnostic criteria, based on pregnancy outcomes,
instead of maternal risk of future diabetes. These new recommendations will lead
to a higher prevalence of GDM, and important issues are yet to be resolved, such
as the cost-utility of this increase in diagnoses as well as the determinants for
poor outcomes. The aim of this review is to discuss the advances in diagnosis and
classification of GDM, as well as their implications in the field, the issue of
hyperglycemia in early pregnancy and the role of hemoglobin A1c (HbA1c) during
pregnancy. We have looked into the determinants of the poor outcomes predicted by
the diagnosis by way of oral glucose tolerance tests, highlighting the relevance
of continuous glucose monitoring tools, as well as other possible pathogenetic
factors related to poor pregnancy outcomes.
PMID- 29370081
TI - What Constitutes Traditional and Modern Eating? The Case of Japan.
AB - Traditional Japanese dietary culture might be a factor contributing to the high
life expectancy in Japan. As little is known about what constitutes traditional
and modern eating in Japan, the aims of the current study were to (1)
comprehensively compile and systematize the various facets of traditional and
modern eating; and (2) investigate whether these facets also apply to traditional
and modern eating in Japan. In Study 1, an extensive international literature
review was performed. Forty-five facets of traditional and modern eating were
compiled and systematized into the dimensions of what and how people eat, and
into eleven separate subdimensions. In Study 2, 340 adults from Japan answered a
questionnaire. Results showed that traditional and modern eating in Japan is
reflected in both what and how people eat. Within these two dimensions, ten
subdimensions were found: the ingredients, processing, temporal origin, spatial
origin, and variety of consumed foods, as well as temporal, spatial, and social
aspects, appreciation, and concerns when eating. This study provides a broad
compilation of facets of traditional and modern eating in Japan. Future research
should investigate how these facets are related to life expectancy and health.
PMID- 29370082
TI - Monitoring of Bridges by a Laser Pointer: Dynamic Measurement of Support
Rotations and Elastic Line Displacements: Methodology and First Test.
AB - Deck inclination and vertical displacements are among the most important
technical parameters to evaluate the health status of a bridge and to verify its
bearing capacity. Several methods, both conventional and innovative, are used for
structural rotations and displacement monitoring; however, none of these allow,
at the same time, precision, automation, static and dynamic monitoring without
using high cost instrumentation. The proposed system uses a common laser pointer
and image processing. The elastic line inclination is measured by analyzing the
single frames of an HD video of the laser beam imprint projected on a flat
target. For the image processing, a code was developed in Matlab(r) that provides
instantaneous rotation and displacement of a bridge, charged by a mobile load. An
important feature is the synchronization of the load positioning, obtained by a
GNSS receiver or by a video. After the calibration procedures, a test was carried
out during the movements of a heavy truck maneuvering on a bridge. Data
acquisition synchronization allowed us to relate the position of the truck on the
deck to inclination and displacements. The inclination of the elastic line at the
support was obtained with a precision of 0.01 mrad. The results demonstrate the
suitability of the method for dynamic load tests, and the control and monitoring
of bridges.
PMID- 29370083
TI - Fungal Screening on Olive Oil for Extracellular Triacylglycerol Lipases:
Selection of a Trichoderma harzianum Strain and Genome Wide Search for the Genes.
AB - A lipolytic screening with fungal strains isolated from lignocellulosic waste
collected in banana plantation dumps was carried out. A Trichoderma harzianum
strain (B13-1) showed good extracellular lipolytic activity (205 UmL-1).
Subsequently, functional screening of the lipolytic activity on Rhodamine B
enriched with olive oil as the only carbon source was performed. The successful
growth of the strain allows us to suggest that a true lipase is responsible for
the lipolytic activity in the B13-1 strain. In order to identify the gene(s)
encoding the protein responsible for the lipolytic activity, in silico
identification and characterization of triacylglycerol lipases from T. harzianum
is reported for the first time. A survey in the genome of this fungus retrieved
50 lipases; however, bioinformatic analyses and putative functional descriptions
in different databases allowed us to choose seven lipases as candidates.
Suitability of the bioinformatic screening to select the candidates was confirmed
by reverse transcription polymerase chain reaction (RT-PCR). The gene codifying
526309 was expressed when the fungus grew in a medium with olive oil as carbon
source. This protein shares homology with commercial lipases, making it a
candidate for further applications. The success in identifying a lipase gene
inducible with olive oil and the suitability of the functional screening and
bioinformatic survey carried out herein, support the premise that the strategy
can be used in other microorganisms with sequenced genomes to search for true
lipases, or other enzymes belonging to large protein families.
PMID- 29370084
TI - A Systematic Study on the Structural and Optical Properties of Vertically Aligned
Zinc Oxide Nanorods Grown by High Pressure Assisted Pulsed Laser Deposition
Technique.
AB - In this study, we synthesize high quality vertically aligned ZnO (VAZO) nanorods
on silicon, sapphire, and indium tin oxide (ITO) substrates by using pulsed laser
deposition (PLD) technique at high growth pressure (0.3 Torr). Systematic changes
in structural and optical properties of VAZO nanorods are studied by varying the
substrate temperature (500-600 degrees C) and number of pulsed laser shots
during the deposition. ZnO nanoparticles deposited at high pressure act as
nucleation sites, eliminating requirement of catalyst to fabricate VAZO nanorods.
Two sharp ZnO peaks with high intensity correspond to the (0002) and (0004)
planes in X-ray diffraction pattern confirm the growth of ZnO nanorods, oriented
along the c-axis. Scanning Electron Microscopy (SEM) images indicate a regular
arrangement of vertically aligned hexagonal closed pack nano-structures of ZnO.
The vertical alignment of ZnO nanorods is also supported by the presence of E2
(high) and A1 (LO) modes in Raman spectra. We can tune the diameter of VAZO
nanorods by changing growth temperature and annealing environments.
Photoluminescence spectroscopy illustrates reduction in defect level peak
intensities with increase in diameter of VAZO nanorods. This study signifies that
high pressure PLD technique can be used more efficiently for controlled and
efficient growth of VAZO nanorods on different substrates.
PMID- 29370085
TI - A Prospective Evaluation of Duplex Ultrasound for Thoracic Outlet Syndrome in
High-Performance Musicians Playing Bowed String Instruments
AB - Thoracic outlet syndrome (TOS) is a neurovascular condition involving the upper
extremity, which is known to occur in individuals who perform chronic repetitive
upper extremity activities. We prospectively evaluate the incidence of TOS in
high-performance musicians who played bowed string musicians. Sixty-four high
performance string instrument musicians from orchestras and professional musical
bands were included in the study. Fifty-two healthy volunteers formed an age
matched control group. Bilateral upper extremity duplex scanning for subclavian
vessel compression was performed in all subjects. Provocative maneuvers including
Elevated Arm Stress Test (EAST) and Upper Limb Tension Test (ULTT) were
performed. Abnormal ultrasound finding is defined by greater than 50% subclavian
vessel compression with arm abduction, diminished venous waveforms, or arterial
photoplethysmography (PPG) tracing with arm abduction. Bowed string instruments
performed by musicians in our study included violin (41%), viola (33%), and cello
(27%). Positive EAST or ULTT test in the musician group and control group were
44%, and 3%, respectively (p = 0.03). Abnormal ultrasound scan with vascular
compression was detected in 69% of musicians, in contrast to 15% of control
subjects (p = 0.03). TOS is a common phenomenon among high-performance bowed
string instrumentalists. Musicians who perform bowed string instruments should be
aware of this condition and its associated musculoskeletal symptoms.
PMID- 29370086
TI - Cell-Penetrating CaCO3 Nanocrystals for Improved Transport of NVP-BEZ235 across
Membrane Barrier in T-Cell Lymphoma.
AB - Owing to their nano-sized porous structure, CaCO3 nanocrystals (CaCO3NCs) hold
the promise to be utilized as desired materials for encapsulating molecules which
demonstrate wide promise in drug delivery. We evaluate the possibility to
encapsulate and release NVP-BEZ235, a novel and potent dual PI3K/mTOR inhibitor
that is currently in phase I/II clinical trials for advanced solid tumors, from
the CaCO3NCs. Its chemical nature shows some intrinsic limitations which induce
to administer high doses leading to toxicity; to overcome these problems, here we
proposed a strategy to enhance its intracellular penetration and its biological
activity. Pristine CaCO3 NCs biocompatibility, cell interactions and
internalization in in vitro experiments on T-cell lymphoma line, were studied.
Confocal microscopy was used to monitor NCs-cell interactions and cellular
uptake. We have further investigated the interaction nature and release mechanism
of drug loaded/released within/from the NCs using an alternative approach based
on liquid chromatography coupled to mass spectrometry. Our approach provides a
good loading efficiency, therefore this drug delivery system was validated for
biological activity in T-cell lymphoma: the anti-proliferative test and western
blot results are very interesting because the proposed nano-formulation has an
efficiency higher than free drug at the same nominal concentration.
PMID- 29370087
TI - A Novel Bromophenol Derivative BOS-102 Induces Cell Cycle Arrest and Apoptosis in
Human A549 Lung Cancer Cells via ROS-Mediated PI3K/Akt and the MAPK Signaling
Pathway.
AB - Bromophenol is a type of natural marine product. It has excellent biological
activities, especially anticancer activities. In our study of searching for
potent anticancer drugs, a novel bromophenol derivative containing indolin-2-one
moiety, 3-(4-(3-([1,4'-bipiperidin]-1'-yl)propoxy)-3-bromo-5-methoxybenzylidene)
N-(4-bromophenyl)-2-oxoindoline-5-sulfonamide (BOS-102) was synthesized, which
showed excellent anticancer activities on human lung cancer cell lines. A study
of the mechanisms indicated that BOS-102 could significantly block cell
proliferation in human A549 lung cancer cells and effectively induce G0/G1 cell
cycle arrest via targeting cyclin D1 and cyclin-dependent kinase 4 (CDK4). BOS
102 could also induce apoptosis, including activating caspase-3 and poly (ADP
ribose) polymerase (PARP), increasing the Bax/Bcl-2 ratio, enhancing reactive
oxygen species (ROS) generation, decreasing mitochondrial membrane potential
(MMP, DeltaPsim), and leading cytochrome c release from mitochondria. Further
research revealed that BOS-102 deactivated the PI3K/Akt pathway and activated the
mitogen-activated protein kinase (MAPK) signaling pathway resulting in apoptosis
and cell cycle arrest, which indicated that BOS-102 has the potential to develop
into an anticancer drug.
PMID- 29370088
TI - The Addition of Manganese Porphyrins during Radiation Inhibits Prostate Cancer
Growth and Simultaneously Protects Normal Prostate Tissue from Radiation Damage.
AB - Radiation therapy is commonly used for prostate cancer treatment; however, normal
tissues can be damaged from the reactive oxygen species (ROS) produced by
radiation. In separate reports, we and others have shown that manganese
porphyrins (MnPs), ROS scavengers, protect normal cells from radiation-induced
damage but inhibit prostate cancer cell growth. However, there have been no
studies demonstrating that MnPs protect normal tissues, while inhibiting tumor
growth in the same model. LNCaP or PC3 cells were orthotopically implanted into
athymic mice and treated with radiation (2 Gy, for 5 consecutive days) in the
presence or absence of MnPs. With radiation, MnPs enhanced overall life
expectancy and significantly decreased the average tumor volume, as compared to
the radiated alone group. MnPs enhanced lipid oxidation in tumor cells but
reduced oxidative damage to normal prostate tissue adjacent to the prostate tumor
in combination with radiation. Mechanistically, MnPs behave as pro-oxidants or
antioxidants depending on the level of oxidative stress inside the treated cell.
We found that MnPs act as pro-oxidants in prostate cancer cells, while in normal
cells and tissues the MnPs act as antioxidants. For the first time, in the same
in vivo model, this study reveals that MnPs enhance the tumoricidal effect of
radiation and reduce oxidative damage to normal prostate tissue adjacent to the
prostate tumor in the presence of radiation. This study suggests that MnPs are
effective radio-protectors for radiation-mediated prostate cancer treatment.
PMID- 29370089
TI - Raman Imaging of Plant Cell Walls in Sections of Cucumis sativus.
AB - Raman microspectra combine information on chemical composition of plant tissues
with spatial information. The contributions from the building blocks of the cell
walls in the Raman spectra of plant tissues can vary in the microscopic sub
structures of the tissue. Here, we discuss the analysis of 55 Raman maps of root,
stem, and leaf tissues of Cucumis sativus, using different spectral contributions
from cellulose and lignin in both univariate and multivariate imaging methods.
Imaging based on hierarchical cluster analysis (HCA) and principal component
analysis (PCA) indicates different substructures in the xylem cell walls of the
different tissues. Using specific signals from the cell wall spectra, analysis of
the whole set of different tissue sections based on the Raman images reveals
differences in xylem tissue morphology. Due to the specifics of excitation of the
Raman spectra in the visible wavelength range (532 nm), which is, e.g., in
resonance with carotenoid species, effects of photobleaching and the possibility
of exploiting depletion difference spectra for molecular characterization in
Raman imaging of plants are discussed. The reported results provide both,
specific information on the molecular composition of cucumber tissue Raman
spectra, and general directions for future imaging studies in plant tissues.
PMID- 29370090
TI - Genotyping by Sequencing Highlights a Polygenic Resistance to Ralstonia
pseudosolanacearum in Eggplant (Solanum melongena L.).
AB - Eggplant cultivation is limited by numerous diseases, including the devastating
bacterial wilt (BW) caused by the Ralstonia solanacearum species complex (RSSC).
Within the RSSC, Ralstonia pseudosolanacearum (including phylotypes I and III)
causes severe damage to all solanaceous crops, including eggplant. Therefore, the
creation of cultivars resistant to R. pseudosolanacearum strains is a major goal
for breeders. An intraspecific eggplant population, segregating for resistance,
was created from the cross between the susceptible MM738 and the resistant EG203
lines. The population of 123 doubled haploid lines was challenged with two
strains belonging to phylotypes I (PSS4) and III (R3598), which both bypass the
published EBWR9 BW-resistance quantitative trait locus (QTL). Ten and three QTLs
of resistance to PSS4 and to R3598, respectively, were detected and mapped. All
were strongly influenced by environmental conditions. The most stable QTLs were
found on chromosomes 3 and 6. Given their estimated physical position, these
newly detected QTLs are putatively syntenic with BW-resistance QTLs in tomato. In
particular, the QTLs' position on chromosome 6 overlaps with that of the major
broad-spectrum tomato resistance QTL Bwr-6. The present study is a first step
towards understanding the complex polygenic system, which underlies the high
level of BW resistance of the EG203 line.
PMID- 29370092
TI - Low Power Operation of Temperature-Modulated Metal Oxide Semiconductor Gas
Sensors.
AB - Mobile applications based on gas sensing present new opportunities for low-cost
air quality monitoring, safety, and healthcare. Metal oxide semiconductor (MOX)
gas sensors represent the most prominent technology for integration into portable
devices, such as smartphones and wearables. Traditionally, MOX sensors have been
continuously powered to increase the stability of the sensing layer. However,
continuous power is not feasible in many battery-operated applications due to
power consumption limitations or the intended intermittent device operation. This
work benchmarks two low-power, duty-cycling, and on-demand modes against the
continuous power one. The duty-cycling mode periodically turns the sensors on and
off and represents a trade-off between power consumption and stability. On-demand
operation achieves the lowest power consumption by powering the sensors only
while taking a measurement. Twelve thermally modulated SB-500-12 (FIS Inc.
Jacksonville, FL, USA) sensors were exposed to low concentrations of carbon
monoxide (0-9 ppm) with environmental conditions, such as ambient humidity (15
75% relative humidity) and temperature (21-27 degrees C), varying within the
indicated ranges. Partial Least Squares (PLS) models were built using calibration
data, and the prediction error in external validation samples was evaluated
during the two weeks following calibration. We found that on-demand operation
produced a deformation of the sensor conductance patterns, which led to an
increase in the prediction error by almost a factor of 5 as compared to
continuous operation (2.2 versus 0.45 ppm). Applying a 10% duty-cycling operation
of 10-min periods reduced this prediction error to a factor of 2 (0.9 versus 0.45
ppm). The proposed duty-cycling powering scheme saved up to 90% energy as
compared to the continuous operating mode. This low-power mode may be
advantageous for applications that do not require continuous and periodic
measurements, and which can tolerate slightly higher prediction errors.
PMID- 29370091
TI - Effects of Glutamine and Alanine Supplementation on Central Fatigue Markers in
Rats Submitted to Resistance Training.
AB - Recent evidence suggests that increased brain serotonin synthesis impairs
performance in high-intensity intermittent exercise and specific amino acids may
modulate this condition, delaying fatigue. This study investigated the effects of
glutamine and alanine supplementation on central fatigue markers in rats
submitted to resistance training (RT). Wistar rats were distributed in: sedentary
(SED), trained (CON), trained and supplemented with alanine (ALA), glutamine and
alanine in their free form (G + A), or as dipeptide (DIP). Trained groups
underwent a ladder-climbing exercise for eight weeks, with progressive loads. In
the last 21 days, supplementations were offered in water with a 4% concentration.
Albeit without statistically significance difference, RT decreased liver
glycogen, and enhanced the concentrations of plasma glucose, free fatty acids
(FFA), hypothalamic serotonin, and ammonia in muscle and the liver. Amino acids
affected fatigue parameters depending on the supplementation form. G + A
prevented the muscle ammonia increase by RT, whereas ALA and DIP augmented
ammonia and glycogen concentrations in muscle. DIP also increased liver ammonia.
ALA and G + A reduced plasma FFA, whereas DIP increased this parameter, free
tryptophan/total tryptophan ratio, hypothalamic serotonin, and the
serotonin/dopamine ratio. The supplementations did not affect physical
performance. In conclusion, glutamine and alanine may improve or impair central
fatigue markers depending on their supplementation form.
PMID- 29370094
TI - Undertaking a Collaborative Rapid Realist Review to Investigate What Works in the
Successful Implementation of a Frail Older Person's Pathway.
AB - We addressed the research question "what factors enable the successful
development and implementation of a frail older person's pathway within the acute
setting". A rapid realist review (RRR) was conducted by adopting the RAMESES
standards. We began with a sample of 232 articles via database searches
supplemented with 94 additional records including inputs from a twitter chat and
a hospital site visit. Our final sample consisted of 18 documents. Following
review and consensus by an expert panel we identified a conceptual model of
context-mechanism-(resources)-outcomes. There was overall agreement frailty
should be identified at the front door of the acute hospital. Significant
challenges identified related to organisational boundaries both within the acute
setting and externally, the need to shift outcomes to patient orientated ones, to
support staff to sustain the pathway by providing ongoing education and by
providing role clarity. RRRs can support research such as the systematic approach
to improving care for frail older adults (SAFE) study by producing accounts of
what works based on a wide range of sources and innovative engagement with
stakeholders. It is evident from our provisional model that numerous factors need
to combine and interact to enable and sustain a successful frail older person's
pathway.
PMID- 29370095
TI - Innovative Clinical Perspectives for CIK Cells in Cancer Patients.
AB - Cytokine-induced killer (CIK) cells are T lymphocytes that have acquired, in
vitro, following extensive manipulation by Interferon gamma (IFN-gamma), OKT3 and
Interleukin 2 (IL-2) addition, the expression of several Natural Killer (NK) cell
surface markers. CIK cells have a dual "nature", due to the presence of
functional TCR as well as NK molecules, even if the antitumoral activity can be
traced back only to the NK-like structures (DNAM-1, NKG2D, NKp30 and CD56). In
addition to antineoplastic activity in vitro and in several in-vivo models, CIK
cells show very limited, if any, GvHD toxicity as well as a strong intratumoral
homing. For all such reasons, CIK cells have been proposed and tested in many
clinical trials in cancer patients both in autologous and allogeneic
combinations, up to haploidentical mismatching. Indeed, genetic modification of
CIK cells as well as the possibility of combining them with specific monoclonal
antibodies will further expand the possibility of their clinical utilization.
PMID- 29370096
TI - Accurate Estimation of the Standard Binding Free Energy of Netropsin with DNA.
AB - DNA is the target of chemical compounds (drugs, pollutants, photosensitizers,
etc.), which bind through non-covalent interactions. Depending on their structure
and their chemical properties, DNA binders can associate to the minor or to the
major groove of double-stranded DNA. They can also intercalate between two
adjacent base pairs, or even replace one or two base pairs within the DNA double
helix. The subsequent biological effects are strongly dependent on the
architecture of the binding motif. Discriminating between the different binding
patterns is of paramount importance to predict and rationalize the effect of a
given compound on DNA. The structural characterization of DNA complexes remains,
however, cumbersome at the experimental level. In this contribution, we employed
all-atom molecular dynamics simulations to determine the standard binding free
energy of DNA with netropsin, a well-characterized antiviral and antimicrobial
drug, which associates to the minor groove of double-stranded DNA. To overcome
the sampling limitations of classical molecular dynamics simulations, which
cannot capture the large change in configurational entropy that accompanies
binding, we resort to a series of potentials of mean force calculations involving
a set of geometrical restraints acting on collective variables.
PMID- 29370098
TI - Analysis and Assessment of Exposure to Selected Phthalates Found in Children's
Toys in Christchurch, New Zealand.
AB - Internationally several phthalates are subject to regulatory control regarding
maximum allowable concentrations in children's toys. Such regulation is not in
place in New Zealand. Phthalates have been associated with developmental toxicity
and endocrine disruption. We determined the concentration of seven phthalates in
children's toys purchased in Christchurch, New Zealand. These results provided
data for an exposure assessment deriving Hazard Indices (HI) for oral and dermal
exposure routes in children, based on the concentration of mixtures of phthalates
shown by the EU to produce either reproductive/developmental or hepatotoxic
effects. Of the 49 toys analyzed, 65% contained at least one phthalate at a
concentration of >0.1% by mass; and 35% contained multiple-phthalates at
individual concentrations of >0.1%. A HI of 3.4 was derived for the combined
exposures to the four phthalates associated with reproductive and developmental
effects. A HI of 0.3 was derived for the group of phthalates associated with
hepatotoxic effects. Five phthalates were detected at levels exceeding the EU
regulatory limit of 0.1% by mass. Risk assessment calculations indicate that,
using realistic exposure scenarios, the worst-case combined exposure to
phthalates associated with developmental toxicity exceeded a HI of 1 so may cause
adverse developmental effects.
PMID- 29370099
TI - Fabrications and Performance of Wireless LC Pressure Sensors through LTCC
Technology.
AB - This paper presents a kind of passive wireless pressure sensor comprised of a
planar spiral inductor and a cavity parallel plate capacitor fabricated through
low-temperature co-fired ceramic (LTCC) technology. The LTCC material with a low
Young's modulus of ~65 GPa prepared by our laboratory was used to obtain high
sensitivity. A three-step lamination process was applied to construct a high
quality cavity structure without using any sacrificial materials. The effects of
the thickness of the sensing membranes on the sensitivity and detection range of
the pressure sensors were investigated. The sensor with a 148 MUm sensing
membrane showed the highest sensitivity of 3.76 kHz/kPa, and the sensor with a
432 MUm sensing membrane presented a high detection limit of 2660 kPa. The
tunable sensitivity and detection limit of the wireless pressure sensors can meet
the requirements of different scenes.
PMID- 29370097
TI - Alternative Splicing of Alpha- and Beta-Synuclein Genes Plays Differential Roles
in Synucleinopathies.
AB - The synuclein family is composed of three members, two of which, alpha- and beta
synuclein, play a major role in the development of synucleinopathies, including
Parkinson's disease (PD) as most important movement disorder, dementia with Lewy
bodies (DLB) as the second most frequent cause of dementia after Alzheimer's
disease and multiple system atrophy. Whereas abnormal oligomerization and
fibrillation of alpha-synuclein are now well recognized as initial steps in the
development of synucleinopathies, beta-synuclein is thought to be a natural alpha
synuclein anti-aggregant. alpha-synuclein is encoded by the SNCA gene, and beta
synuclein by SNCB. Both genes are homologous and undergo complex splicing events.
On one hand, in-frame splicing of coding exons gives rise to at least three
shorter transcripts, and the functional properties of the corresponding protein
isoforms are different. Another type of alternative splicing is the alternative
inclusion of at least four initial exons in the case of SNCA, and two in the case
of SNCB. Finally, different lengths of 3' untranslated regions have been also
reported for both genes. SNCB only expresses in the brain, but some of the
numerous SNCA transcripts are also brain-specific. With the present article, we
aim to provide a systematic review of disease related changes in the differential
expression of the various SNCA and SNCB transcript variants in brain, blood, and
non-neuronal tissue of synucleinopathies, but especially PD and DLB as major
neurodegenerative disorders.
PMID- 29370100
TI - Chemical Modification of Chitosan for Efficient Vaccine Delivery.
AB - Chitosan, which exhibits good biocompatibility, safety, microbial degradation and
other excellent performances, has found application in all walks of life. In the
field of medicine, usage of chitosan for the delivery of vaccine is favored by a
wide range of researchers. However, due to its own natural limitations, its
application has been constrained to the beginning of study. In order to improve
the applicability for vaccine delivery, researchers have carried out various
chemical modifications of chitosan. This review summarizes a variety of
modification methods and applications of chitosan and its derivatives in the
field of vaccine delivery.
PMID- 29370101
TI - Aging Donor-Derived Human Mesenchymal Stem Cells Exhibit Reduced Reactive Oxygen
Species Loads and Increased Differentiation Potential Following Serial Expansion
on a PEG-PCL Copolymer Substrate.
AB - Human mesenchymal stem cells (hMSCs) have been widely studied for therapeutic
development in tissue engineering and regenerative medicine. They can be
harvested from human donors via tissue biopsies, such as bone marrow aspiration,
and cultured to reach clinically relevant cell numbers. However, an unmet issue
lies in the fact that the hMSC donors for regenerative therapies are more likely
to be of advanced age. Their stem cells are not as potent compared to those of
young donors, and continue to lose healthy, stemness-related activities when the
hMSCs are serially passaged in tissue culture plates. Here, we have developed a
cheap, scalable, and effective copolymer film to culture hMSCs obtained from aged
human donors over several passages without loss of reactive oxygen species (ROS)
handling or differentiation capacity. Assays of cell morphology, reactive oxygen
species load, and differentiation potential demonstrate the effectiveness of
copolymer culture on reduction in senescence-related activities of aging donor
derived hMSCs that could hinder the therapeutic potential of autologous stem cell
therapies.
PMID- 29370103
TI - A Novel Loss Recovery and Tracking Scheme for Maneuvering Target in Hybrid WSNs.
AB - Tracking a mobile target, which aims to timely monitor the invasion of specific
target, is one of the most prominent applications in wireless sensor networks
(WSNs). Traditional tracking methods in WSNs only based on static sensor nodes
(SNs) have several critical problems. For example, to void the loss of mobile
target, many SNs must be active to track the target in all possible directions,
resulting in excessive energy consumption. Additionally, when entering coverage
holes in the monitoring area, the mobile target may be missing and then its state
is unknown during this period. To tackle these problems, in this paper, a few
mobile sensor nodes (MNs) are introduced to cooperate with SNs to form a hybrid
WSN due to their stronger abilities and less constrained energy. Then, we propose
a valid target tracking scheme for hybrid WSNs to dynamically schedule the MNs
and SNs. Moreover, a novel loss recovery mechanism is proposed to find the lost
target and recover the tracking with fewer SNs awakened. Furthermore, to improve
the robustness and accuracy of the recovery mechanism, an adaptive unscented
Kalman filter (AUKF) algorithm is raised to dynamically adjust the process noise
covariance. Simulation results demonstrate that our tracking scheme for
maneuvering target in hybrid WSNs can not only track the target effectively even
if the target is lost but also maintain an excellent accuracy and robustness with
fewer activated nodes.
PMID- 29370104
TI - Exploring Reaction Conditions to Improve the Magnetic Response of Cobalt-Doped
Ferrite Nanoparticles.
AB - With the aim of studying the influence of synthesis parameters in structural and
magnetic properties of cobalt-doped magnetite nanoparticles, Fe3-xCoxO4 (0 < x <
0.15) samples were synthetized by thermal decomposition method at different
reaction times (30-120 min). The Co ferrite nanoparticles are monodisperse with
diameters between 6 and 11 nm and morphologies depending on reaction times,
varying from spheric, cuboctahedral, to cubic. Chemical analysis and X-ray
diffraction were used to confirm the composition, high crystallinity, and pure
phase structure. The investigation of the magnetic properties, both magnetization
and electronic magnetic resonance, has led the conditions to improve the magnetic
response of doped nanoparticles. Magnetization values of 86 emu.g-1 at room
temperature (R.T.) have been obtained for the sample with the highest Co content
and the highest reflux time. Magnetic characterization also displays a dependence
of the magnetic anisotropy constant with the varying cobalt content.
PMID- 29370102
TI - NMR-Fragment Based Virtual Screening: A Brief Overview.
AB - Fragment-based drug discovery (FBDD) using NMR has become a central approach over
the last twenty years for development of small molecule inhibitors against
biological macromolecules, to control a variety of cellular processes. Yet,
several considerations should be taken into account for obtaining a
therapeutically relevant agent. In this review, we aim to list the considerations
that make NMR fragment screening a successful process for yielding potent
inhibitors. Factors that may govern the competence of NMR in fragment based drug
discovery are discussed, as well as later steps that involve optimization of hits
obtained by NMR-FBDD.
PMID- 29370105
TI - Tackling Cancer Resistance by Immunotherapy: Updated Clinical Impact and Safety
of PD-1/PD-L1 Inhibitors.
AB - Cancer therapy has been constantly evolving with the hope of finding the most
effective agents with the least toxic effects to eradicate tumors. Cancer
immunotherapy is currently among the most promising options, fulfilling this hope
in a wide range of tumors. Immunotherapy aims to activate immunity to fight
cancer in a very specific and targeted manner; however, some abnormal immune
reactions known as immune-related adverse events (IRAEs) might occur. Therefore,
many researchers are aiming to define the most proper protocols for managing
these complications without interfering with the anticancer effect. One of these
targeted approaches is the inhibition of the interaction between the checkpoint
protein, programmed death-receptor 1 (PD-1), and its ligand, programmed death
ligand 1 (PD-L1), via a class of antibodies known as PD-1/PD-L1 inhibitors. These
antibodies achieved prodigious success in a wide range of malignancies, including
those where optimal treatment is not yet fully identified. In this review, we
have critically explored and discussed the outcome of the latest PD-1 and PD-L1
inhibitor studies in different malignancies compared to standard chemotherapeutic
alternatives with a special focus on the clinical efficacy and safety. The
approval of the clinical applications of nivolumab, pembrolizumab, atezolizumab,
avelumab, and durvalumab in the last few years clearly highlights the hopeful
future of PD-1/PD-L1 inhibitors for cancer patients. These promising results of
PD-1/PD-L1 inhibitors have encouraged many ongoing preclinical and clinical
trials to explore the extent of antitumor activity, clinical efficacy and safety
as well as to extend their applications.
PMID- 29370106
TI - Preliminary Results of a New Auxiliary Mechatronic Near-Field Radar System to 3D
Mammography for Early Detection of Breast Cancer.
AB - Accurate and early detection of breast cancer is of high importance, as it is
directly associated with the patients' overall well-being during treatment and
their chances of survival. Uncertainties in current breast imaging methods can
potentially cause two main problems: (1) missing newly formed or small tumors;
and (2) false alarms, which could be a source of stress for patients. A recent
study at the Massachusetts General Hospital (MGH) indicates that using Digital
Breast Tomosynthesis (DBT) can reduce the number of false alarms, when compared
to conventional mammography. Despite the image quality enhancement DBT provides,
the accurate detection of cancerous masses is still limited by low radiological
contrast (about 1%) between the fibro-glandular tissue and affected tissue at X
ray frequencies. In a lower frequency region, at microwave frequencies, the
contrast is comparatively higher (about 10%) between the aforementioned tissues;
yet, microwave imaging suffers from low spatial resolution. This work reviews
conventional X-ray breast imaging and describes the preliminary results of a
novel near-field radar imaging mechatronic system (NRIMS) that can be fused with
the DBT, in a co-registered fashion, to combine the advantages of both
modalities. The NRIMS consists of two antipodal Vivaldi antennas, an XY
positioner, and an ethanol container, all of which are particularly designed
based on the DBT physical specifications. In this paper, the independent
performance of the NRIMS is assessed by (1) imaging a bearing ball immersed in
sunflower oil and (2) computing the heat Specific Absorption Rate (SAR) due to
the electromagnetic power transmitted into the breast. The preliminary results
demonstrate that the system is capable of generating images of the ball.
Furthermore, the SAR results show that the system complies with the standards set
for human trials. As a result, a configuration based on this design might be
suitable for use in realistic clinical applications.
PMID- 29370107
TI - Nano/Mesoporous Carbon from Rice Starch for Voltammetric Detection of Ascorbic
Acid.
AB - Rice starch (RS-)based nano/mesoporous carbon (RSNMC) was prepared via a hard
templating route using cheap rice starch as a carbon source. XRD and TEM
characterization indicated the formation of organized nanoporous RSNMC. Nitrogen
absorption-desorption studies revealed a high surface area of up to 488 m2?g-1,
uniform pore size of 3.92 nm, and pore volume of 1.14 cm3?g-1. A RSNMC-modified
glassy carbon (GC) electrode was employed for the determination of ascorbic acid
(AA) and exhibited a linear response in the concentration range of 0.005-6.0 mM
with a detection limit of 0.003 mM. These results demonstrate that RSNMC has
potential as an advanced and cheap electrode material for electrochemical sensing
and other electrocatalytic applications.
PMID- 29370108
TI - Immunomodulatory Effect of Tremella Polysaccharides against Cyclophosphamide
Induced Immunosuppression in Mice.
AB - Polysaccharides are closely associated with immune regulation, but there are
different polysaccharide effects from different sources. In this study, the aim
was to investigate the effect of tremella polysaccharides (TP) in
cyclophosphamide-induced immunodeficient mice. We observed the thymus and spleen
index, liver and spleen pathological changes, and the levels of IL-2, IL-12, INF
gamma, TGF-beta and Ig G in serum, and we also noted the mRNA expression of IL
1beta, IL-4, IL-12 and TGF-beta in liver and spleen. Besides, we also measured
the best effects of different doses of TP (Low-TP was 20 mg/kg.BW, Middle-TP was
40 mg/kg.BW, and High-TP was 80 mg/kg.BW) on cyclophosphamide-induced
immunosuppressed mice. The results were remarkable, and suggested that TP had a
significant effect for enhancing immunity in cyclophosphamide-induced
immunosuppression, and the immune enhancement of High-TP had the best results in
TP-treated mice. It could significantly increase the thymus and spleen index,
alleviate pathological features of immunosuppression such as the arrangement of
liver sinusoid and hepatic plates was disordered, massive inflammatory cells
infiltrated and fatty degeneration of hepatocytes in liver, and red pulp and
white pulp were intermixed, splenic corpuscles demolished and disappeared,
splenic sinusoid extended, and lymphocytes of spleen were reduced in spleen.
Besides, it could also up-regulate serum levels of IL-2, IL-12, INF-gamma and Ig
G, reduce the level of TGF-beta in serum, markedly promote mRNA expression of IL
1beta, IL-4 and IL-12 in liver and spleen, and suppress mRNA expression of TGF
beta. Above all, TP showed preventive effect for cyclophosphamide-induced
immunosuppressed mice.
PMID- 29370109
TI - Dual-Mode Gas Sensor Composed of a Silicon Nanoribbon Field Effect Transistor and
a Bulk Acoustic Wave Resonator: A Case Study in Freons.
AB - In this paper, we develop a novel dual-mode gas sensor system which comprises a
silicon nanoribbon field effect transistor (Si-NR FET) and a film bulk acoustic
resonator (FBAR). We investigate their sensing characteristics using polar and
nonpolar organic compounds, and demonstrate that polarity has a significant
effect on the response of the Si-NR FET sensor, and only a minor effect on the
FBAR sensor. In this dual-mode system, qualitative discrimination can be achieved
by analyzing polarity with the Si-NR FET and quantitative concentration
information can be obtained using a polymer-coated FBAR with a detection limit at
the ppm level. The complementary performance of the sensing elements provides
higher analytical efficiency. Additionally, a dual mixture of two types of freons
(CFC-113 and HCFC-141b) is further analyzed with the dual-mode gas sensor. Owing
to the small size and complementary metal-oxide semiconductor (CMOS)
compatibility of the system, the dual-mode gas sensor shows potential as a
portable integrated sensing system for the analysis of gas mixtures in the
future.
PMID- 29370111
TI - A Pilot Study of Stress System Activation in Children Enrolled in a Targeted
Prevention Program: Implications for Personalization.
AB - : Empirically validated interventions addressing childhood psychological problems
are now readily available, but success likely depends in part on accurately
identifying which children will benefit from which intervention. This pilot study
examined the stress activation and response system, first as a way to
differentiate high versus low-risk children, and second to explore indicators of
the stress system associated with favorable intervention response. METHOD:
Participants (N = 43, 58% male) were school-aged children who qualified for
inclusion in the Early Risers "Skills for Success" Prevention Program based on
their elevated levels of aggressive and/or socially withdrawn behavior and a
normally developing comparison group. Compared to the normally developing group,
children who were participants in the intervention exhibited a more blunted
cortisol response to the stress paradigm. However, for the children in the
intervention group, elevated cortisol levels at the start of the stress paradigm
were concurrently associated with internalizing problems and predictive of
improvement in internalizing problems over time. These findings provide
preliminary evidence that hypothalamic pituitary adrenal (HPA) axis biological
variables may be helpful tools for identifying children who would benefit from
intervention and personalizing interventions.
PMID- 29370112
TI - A 3D-Printable Polymer-Metal Soft-Magnetic Functional Composite-Development and
Characterization.
AB - In this work, a 3D printed polymer-metal soft-magnetic composite was developed
and characterized for its material, structural, and functional properties. The
material comprises acrylonitrile butadiene styrene (ABS) as the polymer matrix,
with up to 40 vol. % stainless steel micropowder as the filler. The composites
were rheologically analyzed and 3D printed into tensile and flexural test
specimens using a commercial desktop 3D printer. Mechanical characterization
revealed a linearly decreasing trend of the ultimate tensile strength (UTS) and a
sharp decrease in Young's modulus with increasing filler content. Four-point
bending analysis showed a decrease of up to 70% in the flexural strength of the
composite and up to a two-factor increase in the secant modulus of elasticity.
Magnetic hysteresis characterization revealed retentivities of up to 15.6 mT and
coercive forces of up to 4.31 kA/m at an applied magnetic field of 485 kA/m. The
composite shows promise as a material for the additive manufacturing of passive
magnetic sensors and/or actuators.
PMID- 29370110
TI - Mesenchymal Stem Cells: Cell Fate Decision to Osteoblast or Adipocyte and
Application in Osteoporosis Treatment.
AB - Osteoporosis is a progressive skeletal disease characterized by decreased bone
mass and degraded bone microstructure, which leads to increased bone fragility
and risks of bone fracture. Osteoporosis is generally age related and has become
a major disease of the world. Uncovering the molecular mechanisms underlying
osteoporosis and developing effective prevention and therapy methods has great
significance for human health. Mesenchymal stem cells (MSCs) are multipotent
cells capable of differentiating into osteoblasts, adipocytes, or chondrocytes,
and have become the favorite source of cell-based therapy. Evidence shows that
during osteoporosis, a shift of the cell differentiation of MSCs to adipocytes
rather than osteoblasts partly contributes to osteoporosis. Thus, uncovering the
molecular mechanisms of the osteoblast or adipocyte differentiation of MSCs will
provide more understanding of MSCs and perhaps new methods of osteoporosis
treatment. The MSCs have been applied to both preclinical and clinical studies in
osteoporosis treatment. Here, we review the recent advances in understanding the
molecular mechanisms regulating osteoblast differentiation and adipocyte
differentiation of MSCs and highlight the therapeutic application studies of MSCs
in osteoporosis treatment. This will provide researchers with new insights into
the development and treatment of osteoporosis.
PMID- 29370113
TI - Urinary Metabolite Levels of Flame Retardants in Electronic Cigarette Users: A
Study Using the Data from NHANES 2013-2014.
AB - Evaluating the safety of e-cigarettes and making informed judgement about
developing potential standards require sufficient scientific evidence. Since e
cigarettes are highly engineered products containing plastic, glass and metal
parts, and e-liquids are largely different matrices, many toxic compounds which
are not typical hazards for the users of combustible tobacco products (e.g.,
cigarettes), could exist in e-liquids, and consequently, posing potential health
risk to e-cigarette users. We combined the measurements of urinary metabolites of
organophosphate flame retardants (OPFRs) with questionnaire data collected in the
National Health and Nutrition Examination Surveys (NHANES) from 2013 to 2014, and
we compared adjusted geometric means (GM) for each biomarker in e-cigarette users
with levels in non-users and users of various tobacco products using multiple
regression analyses to adjust for potential confounders. We found diphenyl
phosphate (DPhP), bis(1,3-dichloro-2-propyl) phosphate (BDCPP), bis(2
chloroethyl) phosphate (BCEP), and dibutyl phosphate (DBUP) were detected in all
e-cigarette users. The adjusted GM of BCEP, the metabolite of tris(2-chloroethyl)
phosphate (TCEP), was 81% higher than nonusers (p = 0.0124) and significantly
higher than those for both cigarette and cigar users (p < 0.05). The findings in
this pilot study suggest that certain OPFRs may present in e-cigarettes as
contaminants, and consequently, resulting in higher exposure levels in e
cigarette users compared to nonusers. As we only identified 14 e-cigarette users
in the survey, the findings in this study need to be confirmed in future study at
a larger scale. A better examination of the types and levels of FRs and their
potential contamination sources in e-cigarettes is also needed.
PMID- 29370114
TI - Acidic Chitinase-Chitin Complex Is Dissociated in a Competitive Manner by Acetic
Acid: Purification of Natural Enzyme for Supplementation Purposes.
AB - Acidic chitinase (Chia) has been implicated in asthma, allergic inflammations,
and food processing. We have purified Chia enzymes with striking acid stability
and protease resistance from chicken and pig stomach tissues using a chitin
column and 8 M urea (urea-Chia). Here, we report that acetic acid is a suitable
agent for native Chia purification from the stomach tissues using a chitin column
(acetic acid-Chia). Chia protein can be eluted from a chitin column using 0.1 M
acetic acid (pH 2.8), but not by using Gly-HCl (pH 2.5) or sodium acetate (pH 4.0
or 5.5). The melting temperatures of Chia are not affected substantially in the
elution buffers, as assessed by differential scanning fluorimetry. Interestingly,
acetic acid appears to be more effective for Chia-chitin dissociation than do
other organic acids with similar structures. We propose a novel concept of this
dissociation based on competitive interaction between chitin and acetic acid
rather than on acid denaturation. Acetic acid-Chia also showed similar
chitinolytic activity to urea-Chia, indicating that Chia is extremely stable
against acid, proteases, and denaturing agents. Both acetic acid- and urea-Chia
seem to have good potential for supplementation or compensatory purposes in
agriculture or even biomedicine.
PMID- 29370115
TI - Ethanol Extract of Oldenlandia diffusa Herba Attenuates Scopolamine-Induced
Cognitive Impairments in Mice via Activation of BDNF, P-CREB and Inhibition of
Acetylcholinesterase.
AB - Though Oldenlandia diffusa Herba (ODH) has been known to exhibit anti-cancer and
anti-inflammatory effects, its anti-amnestic effect has never been reported so
far. The aim of this present study was to elucidate the anti-amnestic effect of
ODH. ODH pretreatment significantly reduced escape latency of scopolamine treated
Institute of Cancer Research (ICR) mice compared to untreated control groups in a
Morris water maze test. Similarly, the passive avoidance test showed that ODH
treatment recovered the scopolamine induced amnesia in the ICR mouse model.
Concentration of Ach in brains of ODH treated mice was increased compared to that
of scopolamine treated mice. In addition, activity of acetylcholinesterase (AChE)
was notably decreased by ODH. The protein expression of brain-derived
neurotrophic factor (BDNF) and phospho-cAMP response element-binding protein (p
CREB) (Ser133) was increased in ODH pretreated group compared to control group.
Consistently, immunohistochemistry (IHC) revealed the elevated expression of
brain-derived neurotrophic factor (BDNF) and p-CREB in brains of ODH treated mice
compared to the control group. Overall, these findings suggest that ODH has anti
amnestic potential via activation of BDNF and p-CREB and inhibition of AChE in
mice with scopolamine induced amnesia.
PMID- 29370117
TI - Improved Intranasal Retentivity and Transnasal Absorption Enhancement by
PEGylated Poly-l-ornithine.
AB - We reported that the introduction of polyethylene glycol (PEG) to poly-l
ornithine (PLO), which is an homopolymeric basic amino acid having absorption
enhancement ability, prolonged retention time in an in vitro inclined plate test,
probably due to an increase in viscosity caused by PEGylation. The aim of the
present study is to investigate whether the introduction of PEG chains to PLO
improves intranasal retention and transnasal absorption in vivo. We performed
intranasal administration experiments using PLO and PEG-PLO with a model drug,
fluorescein isothiocyanate dextran (FD-4), in rats under closed and open systems.
In the open system, transition of plasma FD-4 concentration after co
administration with unmodified PLO was low, and the area under the plasma
concentration-time curve (AUC) decreased to about 60% of that in the closed
system. In contrast, the AUC after co-administration with PEG-PLO in the open
system was about 90% of that in the closed system, and the transition of plasma
FD-4 concentration and FD-4 absorption profile were similar to those of the
closed system. These findings indicate that introducing PEG chains to
homopolymeric basic amino acids (HPBAAs) is a very useful method for developing a
functional absorption enhancer that can exhibit an efficient in vivo absorption
enhancing effect.
PMID- 29370116
TI - Anti-Tumor and Radiosensitization Effects of N-Butylidenephthalide on Human
Breast Cancer Cells.
AB - N-Butylidenephthalide (BP), which is extracted from a traditional Chinese
medicine, Radix Angelica Sinensis (danggui), displays antitumor activity against
various cancer cell lines. The purpose of this study was to investigate the
cytotoxic and radiosensitizing effect of BP and the underlying mechanism of
action in human breast cancer cells. BP induces apoptosis in breast cancer cells,
which was revealed by the TUNEL assay; the activation of caspase-9 and PARP was
detected by western blot. In addition, BP-induced G2/M arrest was examined by
flow cytometry and the expression levels of the G2/M regulatory protein were
detected by western blot. BP also suppresses the migration and invasion of breast
cancer cells, which was tested by wound healing and the matrigel invasion assay;
the involvement of EMT-related gene expressions was detected by real-time PCR.
Furthermore, BP enhanced the radiosensitivity of breast cancer cells, which was
measured by the colony formation assay and comet assay, where the foci of gamma
H2AX after radiation significantly increased in BP pretreated cells and was
evidenced by immunocytochemistry staining and western blot. The homologous
recombination (HR) repair protein Rad51 was down-regulated after BP pretreatment.
These results indicate that BP might be a potential chemotherapeutic and
radiosensitizing agent for breast cancer therapy.
PMID- 29370118
TI - Evaluation of Trace Elements in Augmentation of Statin-Induced Cytotoxicity in
Uremic Serum-Exposed Human Rhabdomyosarcoma Cells.
AB - Patients with end-stage kidney disease (ESKD) are at higher risk for
rhabdomyolysis induced by statin than patients with normal kidney function.
Previously, we showed that this increase in the severity of statin-induced
rhabdomyolysis was partly due to uremic toxins. However, changes in the quantity
of various trace elements in ESKD patients likely contribute as well. The purpose
of this study is to determine the effect of trace elements on statin-induced
toxicity in rhabdomyosarcoma cells exposed to uremic serum (US cells) for a long
time. Cell viability, apoptosis, mRNA expression, and intracellular trace
elements were assessed by viability assays, flow cytometry, real-time RT-PCR, and
ICP-MS, respectively. US cells exhibited greater simvastatin-induced cytotoxicity
than cells long-time exposed with normal serum (NS cells) (non-overlapping 95%
confidence intervals). Intracellular levels of Mg, Mn, Cu, and Zn were
significantly less in US cells compared to that in NS cells (p < 0.05 or 0.01).
Pre-treatment with TPEN increased simvastatin-induced cytotoxicity and eliminated
the distinction between both cells of simvastatin-induced cytotoxicity. These
results suggest that Zn deficiencies may be involved in the increased risk for
muscle complaints in ESKD patients. In conclusion, the increased severity of
statin-induced rhabdomyolysis in ESKD patients may be partly due to trace
elements deficiencies.
PMID- 29370119
TI - Inadequate Dietary Phosphorus Levels Cause Skeletal Anomalies and Alter
Osteocalcin Gene Expression in Zebrafish.
AB - Phosphorus (P) is an essential mineral for the development and maintenance of the
vertebrate skeletal system. Modulation of P levels is believed to influence
metabolism and the physiological responses of gene expression. In this study, we
investigated the influence of dietary P on skeletal deformities and osteocalcin
gene expression in zebrafish (Danio rerio), and sought to determine appropriate
levels in a diet. We analyzed a total of 450 zebrafish within 31 days of
hatching. Animals were distributed in a completely randomized experimental design
that consisted of five replications. After an eight-week experiment, fish were
diaphanized to evaluate cranial and spinal bone deformities. Increases in dietary
phosphorus were inversely proportional to the occurrence of partial spine
fusions, the absence of spine fusions, absence of parallelism between spines,
intervertebral spacing, vertebral compression, scoliosis, lordosis, ankylosis,
fin caudal insertion, and craniofacial deformities. Additionally, osteocalcin
expression was inversely correlated to P levels, suggesting a physiological
recovery response for bone mineralization deficiency. Our data showed that
dietary P concentration was a critical factor in the occurrence of zebrafish
skeletal abnormalities. We concluded that 1.55% P in the diet significantly
reduces the appearance of skeletal deformities and favors adequate bone
mineralization through the adjustment of osteocalcin expression.
PMID- 29370120
TI - Effects of Multivitamin, Multimineral and Phytonutrient Supplementation on
Nutrient Status and Biomarkers of Heart Health Risk in a Russian Population: A
Randomized, Double Blind, Placebo Controlled Study.
AB - The primary objective of this clinical study was to evaluate the effect of a
dietary multivitamin, multimineral and phytonutrient (VMP) supplement on blood
nutrient status and biomarkers of heart health risk in a Russian population. One
hundred twenty healthy adults (40-70 years) were recruited for a 56-day (eight
week) randomized, double blind, placebo controlled study with parallel design.
Subjects were divided into two groups and received either a VMP or a placebo
(PLA) supplement. Blood nutrient levels of beta-carotene, alpha-tocopherol,
vitamin C, B6, B12, red blood cell (RBC) folate, Zinc and Selenium were measured
at baseline and on Days 28 and 56, and quercetin was measured at baseline and on
Day 56. Blood biomarkers of heart health, i.e. homocysteine (Hcy), high
sensitivity C-reactive protein (hs-CRP), oxidized LDL (ox-LDL), gamma-glutamyl
transferase (GGT), uric acid and blood lipid profile, were measured at baseline
and Day 56. Dietary VMP supplementation for 56 days significantly increased
circulating levels of quercetin, vitamin C, RBC folate and partially prevented
the decline in vitamin B6 and B12 status. Both serum Hcy and GGT were
significantly reduced (-3.97 +/- 10.09 umol/L; -1.68 +/- 14.53 U/L, respectively)
after VMP supplementation compared to baseline. Dietary VMP supplementation
improved the nutrient status and reduced biomarkers of heart health risk in a
Russian population.
PMID- 29370121
TI - Polymorphisms/Mutations in A-Kinase Anchoring Proteins (AKAPs): Role in the
Cardiovascular System.
AB - A-kinase anchoring proteins (AKAPs) belong to a family of scaffolding proteins
that bind to protein kinase A (PKA) by definition and a variety of crucial
proteins, including kinases, phosphatases, and phosphodiesterases. By scaffolding
these proteins together, AKAPs build a "signalosome" at specific subcellular
locations and compartmentalize PKA signaling. Thus, AKAPs are important for
signal transduction after upstream activation of receptors ensuring accuracy and
precision of intracellular PKA-dependent signaling pathways. Since their
discovery in the 1980s, AKAPs have been studied extensively in the heart and have
been proven essential in mediating cyclic adenosine monophosphate (cAMP)-PKA
signaling. Although expression of AKAPs in the heart is very low, cardiac
specific knock-outs of several AKAPs have a noteworthy cardiac phenotype.
Moreover, single nucleotide polymorphisms and genetic mutations in crucial
cardiac proteins play a substantial role in the pathophysiology of cardiovascular
diseases (CVDs). Despite the significant role of AKAPs in the cardiovascular
system, a limited amount of research has focused on the role of genetic
polymorphisms and/or mutations in AKAPs in increasing the risk of CVDs. This
review attempts to overview the available literature on the
polymorphisms/mutations in AKAPs and their effects on human health with a special
focus on CVDs.
PMID- 29370122
TI - Minoxidil Skin Delivery from Nanoemulsion Formulations Containing Eucalyptol or
Oleic Acid: Enhanced Diffusivity and Follicular Targeting.
AB - In this work, we examined enhanced skin delivery of minoxidil applied in
nanoemulsions incorporating skin penetration enhancers. Aliquots of fully
characterized oil-in-water nanoemulsions (1 mL), containing minoxidil (2%) and
the skin penetration enhancer oleic acid or eucalyptol as oil phases, were
applied to full-thickness excised human skin in Franz diffusion cells, while
aqueous solutions (1 mL) containing minoxidil were used as controls. Minoxidil in
the stratum corneum (SC), hair follicles, deeper skin layers, and flux through
the skin over 24 h was determined, as well as minoxidil solubility in the
formulations and in the SC. The nanoemulsions significantly enhanced the
permeation of minoxidil through skin compared with control solutions. The
eucalyptol formulations (NE) promoted minoxidil retention in the SC and deeper
skin layers more than did the oleic acid formulations, while the oleic acid
formulations (NO) gave the greatest hair follicle penetration. Minoxidil maximum
flux enhancement was associated with increases in both minoxidil SC solubility
and skin diffusivity in both nanoemulsion systems. The mechanism of enhancement
appeared to be driven largely by increased diffusivity, rather than increased
partitioning into the stratum corneum, supporting the concept of enhanced
fluidity and disruption of stratum corneum lipids.
PMID- 29370123
TI - Three-Dimensional Hepatocellular Carcinoma/Fibroblast Model on a Nanofibrous
Membrane Mimics Tumor Cell Phenotypic Changes and Anticancer Drug Resistance.
AB - Three-dimensional (3D) in vitro tissue or organ models can effectively mimic the
complex microenvironment of many types of human tissues for medical applications.
Unfortunately, development of 3D cancer models, which involve cancer/stromal
cells in a 3D environment, has remained elusive due to the extreme complexity of
the tumor microenvironment (TME) and the stepwise progression of human cancer.
Here, we developed hepatocellular carcinoma (HCC) models, which consist of
fibroblasts as stromal cells, HCC cells, and a nanofibrous membrane to mimic the
complex TME. The 3D HCC models were fabricated using three distinct culture
methods: cancer cells grown directly on the nanofibrous membrane (mono model),
fibroblasts covering the nanofibrous membrane (layer model), and both cancer
cells and fibroblasts grown on the nanofibrous membrane (mixed model).
Interestingly, the mono model and layer model showed similar tissue structures,
whereas the mixed model resulted in phenotypic changes to the cancer cells.
Further analysis demonstrated that the mixed models promoted the expression of
fibronectin and vimentin, and showed higher resistance to anticancer drugs
compared with the other models. Thus, our 3D HCC model could be utilized for
testing efficient anticancer therapies at various stages of cancer, with
potential application to different tumor types.
PMID- 29370125
TI - The Effect of Surface Confined Gold Nanoparticles in Blocking the Extraction of
Nitrate by PVC-Based Polymer Inclusion Membranes Containing Aliquat 336 as the
Carrier.
AB - Clusters of gold nanoparticles (AuNPs) formed on the surface of PVC-based polymer
inclusion membranes (PIMs) with a liquid phase containing Aliquat 336 as the
carrier and in some cases 1-dodecanol or 2-nitrophenol octyl ether as
plasticizers were found to inhibit the extraction of nitrate by the PIMs. This
observation was based on gradually increasing the mass of AuNPs on the membrane
surface and testing the ability of the membrane to extract nitrate after each
increase. In this way, it was possible to determine the so-called "critical AuNP
masses" at which the studied membranes ceased to extract nitrate. On the basis of
these results, it can be hypothesized that the surfaces of these PIMs are not
homogeneous with respect to the distribution of their membrane liquid phases,
which are present only at certain sites. Extraction takes place only at these
sites, and at the "critical AuNP mass" of a PIM, all these extraction sites are
blocked and the membrane loses its ability to extract.
PMID- 29370126
TI - Size-Dependent Affinity of Glycine and Its Short Oligomers to Pyrite Surface: A
Model for Prebiotic Accumulation of Amino Acid Oligomers on a Mineral Surface.
AB - The interaction strength of progressively longer oligomers of glycine, (Gly), di
Gly, tri-Gly, and penta-Gly, with a natural pyrite surface was directly measured
using the force mode of an atomic force microscope (AFM). In recent years,
selective activation of abiotically formed amino acids on mineral surfaces,
especially that of pyrite, has been proposed as an important step in many origins
of life scenarios. To investigate such notions, we used AFM-based force
measurements to probe possible non-covalent interactions between pyrite and amino
acids, starting from the simplest amino acid, Gly. Although Gly itself interacted
with the pyrite surface only weakly, progressively larger unbinding forces and
binding frequencies were obtained using oligomers from di-Gly to penta-Gly. In
addition to an expected increase of the configurational entropy and size
dependent van der Waals force, the increasing number of polar peptide bonds,
among others, may be responsible for this observation. The effect of chain length
was also investigated by performing similar experiments using l-lysine vs. poly-l
lysine (PLL), and l-glutamic acid vs. poly-l-glutamic acid. The results suggest
that longer oligomers/polymers of amino acids can be preferentially adsorbed on
pyrite surfaces.
PMID- 29370127
TI - Food Acquisition through Private and Public Social Networks and Its Relationship
with Household Food Security among Various Socioeconomic Statuses in South Korea.
AB - This study was conducted to understand food acquisition practices from social
networks and its relationship with household food security. In-depth interviews
and a survey on food security were conducted with twenty-nine mothers and one
father in metropolitan areas of South Korea. Many families acquired food from
their extended families, mainly participants' mothers. Between low-income and non
low-income households, there was a pattern of more active sharing of food through
private networks among non-low-income households. Most of the low-income
households received food support from public social networks, such as government
and charity institutions. Despite the assistance, most of them perceived food
insecurity. We hypothesized that the lack of private social support may
exacerbate the food security status of low-income households, despite formal food
assistance from government and social welfare institutions. Interviews revealed
that certain food items were perceived as lacking, such as animal-based protein
sources and fresh produce, which are relatively expensive in this setting. Future
programs should consider what would alleviate food insecurity among low-income
households and determine the right instruments and mode of resolving the unmet
needs. Future research could evaluate the quantitative relationship between
private resources and food insecurity in households with various income statuses.
PMID- 29370128
TI - Recent Advances in Nanoporous Membranes for Water Purification.
AB - Nanoporous materials exhibit wide applications in the fields of electrocatalysis,
nanodevice fabrication, energy, and environmental science, as well as analytical
science. In this review, we present a summary of recent studies on nanoporous
membranes for water purification application. The types and fabrication
strategies of various nanoporous membranes are first introduced, and then the
fabricated nanoporous membranes for removing various water pollutants, such as
salt, metallic ions, anions, nanoparticles, organic chemicals, and biological
substrates, are demonstrated and discussed. This work will be valuable for
readers to understand the design and fabrication of various nanoporous membranes,
and their potential purification mechanisms towards different water pollutants.
In addition, it will be helpful for developing new nanoporous materials for
quick, economic, and high-performance water purification.
PMID- 29370129
TI - Influence of NKG2D Genetic Variants on Response to Anti-TNF Agents in Patients
with Rheumatoid Arthritis.
AB - A natural killer group 2 member D (NKG2D) acts as a powerful activating and co
stimulatory receptor on immune effector cells including NK and T cells.
Disruptions within the NKG2D signalling pathway may trigger an exacerbated immune
response and promote autoimmune reactions. The objective of the study was to
evaluate a plausible role of polymorphisms within the NKG2D gene as a predictor
of how effective anti-tumor necrosis factor (TNF) therapy is in rheumatoid
arthritis (RA) patients. A total of 280 RA patients receiving anti-TNF therapy
were genotyped for NKG2D rs2255336 (A > G), rs1049174 (C > G), and rs1154831 (C >
A). Clinical response was evaluated according to the European League against
Rheumatism (EULAR) criteria at the 12th and 24th week. Both the NKG2D rs225336
and rs1049174 polymorphisms were significantly associated with efficacy of TNF
inhibitors. Inefficient therapy was more frequently observed in patients with
rs2255336 GG or rs1049174 CC genotype as compared to other genotypes (p-value =
0.003 and p-value = 0.004, respectively). The presence of the rs2255336 G or the
rs1049174 C allele correlated with a worse EULAR response (p-value = 0.002, p
value = 0.031, respectively). Moreover, patients carrying the rs2255336 or
rs1049174 heterozygous genotype achieved better EULAR responses than patients
with homozygous genotypes (p-value = 0.010 and p-value = 0.002, respectively).
Data from the present study provides evidence that NKG2D polymorphisms may affect
response to anti-TNF inhibitors in RA patients.
PMID- 29370130
TI - Revealing Grain Boundary Sliding from Textures of a Deformed Nanocrystalline Pd
Au Alloy.
AB - Employing a recent modeling scheme for grain boundary sliding [Zhao et al. Adv.
Eng. Mater.2017, doi:10.1002/adem.201700212], crystallographic textures were
simulated for nanocrystalline fcc metals deformed in shear compression. It is
shown that, as grain boundary sliding increases, the texture strength decreases
while the signature of the texture type remains the same. Grain boundary sliding
affects the texture components differently with respect to intensity and angular
position. A comparison of a simulation and an experiment on a Pd-10 atom % Au
alloy with a 15 nm grain size reveals that, at room temperature, the predominant
deformation mode is grain boundary sliding contributing to strain by about 60%.
PMID- 29370131
TI - Multispecies Adulteration Detection of Camellia Oil by Chemical Markers.
AB - Adulteration of edible oils has attracted attention from more researchers and
consumers in recent years. Complex multispecies adulteration is a commonly used
strategy to mask the traditional adulteration detection methods. Most of the
researchers were only concerned about single targeted adulterants, however, it
was difficult to identify complex multispecies adulteration or untargeted
adulterants. To detect adulteration of edible oil, identification of
characteristic markers of adulterants was proposed to be an effective method,
which could provide a solution for multispecies adulteration detection. In this
study, a simple method of multispecies adulteration detection for camellia oil
(adulterated with soybean oil, peanut oil, rapeseed oil) was developed by
quantifying chemical markers including four isoflavones, trans-resveratrol and
sinapic acid, which used liquid chromatography tandem mass spectrometry (LC
MS/MS) combined with solid phase extraction (SPE). In commercial camellia oil,
only two of them were detected of daidzin with the average content of 0.06 ng/g
while other markers were absent. The developed method was highly sensitive as the
limits of detection (LODs) ranged from 0.02 ng/mL to 0.16 ng/mL and the mean
recoveries ranged from 79.7% to 113.5%, indicating that this method was reliable
to detect potential characteristic markers in edible oils. Six target compounds
for pure camellia oils, soybean oils, peanut oils and rapeseed oils had been
analyzed to get the results. The validation results indicated that this simple
and rapid method was successfully employed to determine multispecies adulteration
of camellia oil adulterated with soybean, peanut and rapeseed oils.
PMID- 29370132
TI - Study of the Direct Red 81 Dye/Copper(II)-Phenanthroline System.
AB - Recovered papers contain several chromophores, such as wood lignin and dyes.
These have to be eliminated during paper recycling in order to produce white
paper. Hydrogen peroxide under alkaline conditions is generally used to
decolorize lignin, but its effect on dyes is limited. Copper(II)-phenanthroline
(Cu-Phen) complexes can activate the oxidation of lignin by hydrogen peroxide.
Hydrogen peroxide may also be activated during recycled fiber bleaching, thus
enhancing its color-stripping efficiency towards unoxidizable azo dyes. The
purpose of this paper was to determine the effect of Cu-Phen complexes on a model
azo dye, Direct Red 81 (DR81), in aqueous solution. Different Cu-Phen solutions
(with different initial Cu:Phen molar ratios) were prepared and mixed with the
dye at different pHs. The geochemical computer program PHREEQC allowed precise
calculation of the theoretical distribution between different possible
coordinates (CuPhenOH+, Cu(Phen)22+, CuPhen(OH)2, Cu(Phen)32+, etc.) depending on
pH and initial concentrations. UV-vis spectroscopic measurements were correlated
with the major species theoretically present in each condition. The UV absorbance
of the system was mainly attributed to the Cu-Phen complex and the visible
absorbance was only due to the dye. Cu-Phen appeared to reduce the color
intensity of the DR81 dye aqueous solution under specific conditions (more
effective at pH 10.7 with Cu:Phen = 1:1), probably owing to the occurrence of a
coordination phenomenon between DR81 and Cu-Phen. Hence, the ligand competition
between phenanthroline and hydroxide ions would be disturbed by a third
competitor, which is the dye molecule. Further investigation proved that the DR81
dye is able to form a complex with copper-phenanthroline, leading to partial
color-stripping. This new "color-stripping effect" may be a new opportunity in
paper and textile industries for wastewater treatment.
PMID- 29370133
TI - HPLC-PDA Combined with Chemometrics for Quantitation of Active Components and
Quality Assessment of Raw and Processed Fruits of Xanthium strumarium L.
AB - As a valuable herbal medicine, the fruits of Xanthium strumarium L. (Xanthii
Fructus) have been widely used in raw and processed forms to achieve different
therapeutic effects in practice. In this study, a comprehensive strategy was
proposed for evaluating the active components in 30 batches of raw and processed
Xanthii Fructus (RXF and PXF) samples, based on high-performance liquid
chromatography coupled with photodiode array detection (HPLC-PDA). Twelve common
peaks were detected and eight compounds of caffeoylquinic acids were
simultaneously quantified in RXF and PXF. All the analytes were detected with
satisfactory linearity (R2 > 0.9991) over wide concentration ranges.
Simultaneously, the chemically latent information was revealed by hierarchical
cluster analysis (HCA) and principal component analysis (PCA). The results
suggest that there were significant differences between RXF and PXF from
different regions in terms of the content of eight caffeoylquinic acids.
Potential chemical markers for XF were found during processing by chemometrics.
PMID- 29370134
TI - Trace Elements in Soils and Selected Agricultural Plants in the Tongling Mining
Area of China.
AB - The quality and safety of agricultural products from metal mining areas are of
wide concern. In order to investigate the contents and health risks of trace
elements in fruit vegetables planted in metal mining areas, 440 samples of fruit
vegetables from 117 vegetable plots were collected from Tongling mining area.
Trace element contents in fruit vegetables and soil were measured. The results
indicated that the total concentration of trace elements in some of the soil
samples exceeded the Grade II national standard in China. Transfer factor (TF) of
Cd was the highest (8.360), followed by Zn, Cu, As, and Pb. Estimated daily
intake (EDI) of the trace elements, except Cd, were generally below the maximum
tolerable daily intake (MTDI). The target hazard quotient (THQ) of Zn for
children was more than 1 in some vegetables, suggesting potential health hazards
for child population. Total target hazard quotient (TTHQ) of Cu and Zn were also
more than 1 through consumption of all vegetables, indicating significant health
risks. For both adults and children, hazard index (HI) was more than 1 for the
consumption of vegetables. The findings reveal the health risks associated with
the consumption of trace elements through the intake of selected vegetables in
the population of Tongling.
PMID- 29370135
TI - The Weakening of Kin Ties: Exploring the Need for Life-World Led Interventions.
AB - The protective features that families and wider social relationships can have are
required to meet the demands of life in contemporary Western societies. Choice
and detraditionalization, however; impede this source of solidarity. Family Group
Conferencing (FGC) and other life-world led interventions have the potential to
strengthen primary groups. This paper explores the need for such a social
intervention, using insights from sociological and philosophical theories and
empirical findings from a case study of the research project 'FGC in mental
health'. This need is understandable considering the weakening of kin ties, the
poor qualities of state agencies to mobilise self-care and informal care, its
capacity to produce a shift of power from public to private spheres and its
capacity to mitigate the co-isolation of individuals, families and communities. A
life-world led intervention like FGC with a specific and modest ambition
contributes to small-scale solidarity. This ambition is not inclined to establish
a broad social cohesion within society but to restore; in terms of the German
philosopher Peter Sloterdijk; immunity (protection) and solidarity in primary
groups, and consequently, resolve issues with those (family, neighbours,
colleagues) who share a sphere (a situation, a process, a fate).
PMID- 29370136
TI - Assessment of Tomato (Solanum lycopersicum L.) Producers' Exposure Level to
Pesticides, in Kouka and Toussiana (Burkina Faso).
AB - To assess producers' exposure level to pesticides in vegetable production in
Burkina Faso, a study was carried out in 2016 and 2017 among 30 tomato producers
in the municipalities of Kouka and Toussiana. Eighteen (18) commercial
formulations were identified, with more than 50% of pesticides destined for
cotton production. Eleven active substances have been identified and the most
frequently used are lambda-cyhalothrin (35%), acetamiprid (22%) and profenofos
(13%). The most commonly used chemical families are pyrethroids (28%) and
organophosphates (18%). The study revealed a low level of training for producers,
a high use of pesticides according to the Frequency Treatment Indicator, and a
very low level of protection used by producers. The Health Risk Index shows that
active substances such as methomyl, lambda-cyhalothrin and profenofos present
very high risk to operators' health. Based on the UK-POEM model, the predictive
exposure levels obtained varied from 0.0105 mg/kg body weight/day to 1.7855 mg/kg
body weight/day, which is several times higher than the Acceptable Operator
Exposure Level. However, the study also shows that exposure can be greatly
reduced if the required Personal Protective Equipment is worn. Producers'
awareness and training on integrated pest management are necessary to reduce the
risks linked to the pesticides use in Burkina Faso.
PMID- 29370138
TI - A Novel Noncircular MUSIC Algorithm Based on the Concept of the Difference and
Sum Coarray.
AB - In this paper, we propose a vectorized noncircular MUSIC (VNCM) algorithm based
on the concept of the coarray, which can construct the difference and sum (diff
sum) coarray, for direction finding of the noncircular (NC) quasi-stationary
sources. Utilizing both the NC property and the concept of the Khatri-Rao
product, the proposed method can be applied to not only the ULA but also sparse
arrays. In addition, we utilize the quasi-stationary characteristic instead of
the spatial smoothing method to solve the coherent issue generated by the Khatri
Rao product operation so that the available degree of freedom (DOF) of the
constructed virtual array will not be reduced by half. Compared with the
traditional NC virtual array obtained in the NC MUSIC method, the diff-sum
coarray achieves a higher number of DOFs as it comprises both the difference set
and the sum set. Due to the complementarity between the difference set and the
sum set for the coprime array, we choose the coprime array with multiperiod
subarrays (CAMpS) as the array model and summarize the properties of the
corresponding diff-sum coarray. Furthermore, we develop a diff-sum coprime array
with multiperiod subarrays (DsCAMpS) whose diff-sum coarray has a higher DOF.
Simulation results validate the effectiveness of the proposed method and the high
DOF of the diff-sum coarray.
PMID- 29370137
TI - Impact of an Outdoor Smoking Ban at Secondary Schools on Cigarettes, E-Cigarettes
and Water Pipe Use among Adolescents: An 18-Month Follow-Up.
AB - Abstract: The effectiveness of outdoor smoking bans on smoking behavior among
adolescents remains inconclusive. This study evaluates the long-term impact of
outdoor school ground smoking bans among adolescents at secondary schools on the
use of conventional cigarettes, e-cigarettes (with/without nicotine) and water
pipes. Outdoor smoking bans at 19 Dutch secondary schools were evaluated using a
quasi-experimental design. Data on 7733 adolescents were obtained at baseline,
and at 6 and 18-month follow-up. The impact of outdoor smoking bans on 'ever use
of conventional cigarettes', 'smoking onset', 'ever use of e-cigarette with
nicotine', 'e-cigarette without nicotine', and 'water pipe' was measured.
Multilevel logistic regression analysis was used. At schools with a ban,
implementation fidelity was checked. At schools where a ban was implemented, at
18-month follow-up more adolescents had started smoking compared to the control
condition. No effect of implementation of the ban was found for smoking
prevalence, e-cigarettes with/without nicotine, and water pipe use.
Implementation fidelity was sufficient. No long-term effects were found of an
outdoor smoking ban, except for smoking onset. The ban might cause a reversal
effect when schools encounter difficulties with its enforcement or when
adolescents still see others smoking. Additional research is required with a
longer follow-up than 18 months.
PMID- 29370139
TI - Three-Dimensional Blood Vessel Model with Temperature-Indicating Function for
Evaluation of Thermal Damage during Surgery.
AB - Surgical simulators have recently attracted attention because they enable the
evaluation of the surgical skills of medical doctors and the performance of
medical devices. However, thermal damage to the human body during surgery is
difficult to evaluate using conventional surgical simulators. In this study, we
propose a functional surgical model with a temperature-indicating function for
the evaluation of thermal damage during surgery. The simulator is made of a
composite material of polydimethylsiloxane and a thermochromic dye, which
produces an irreversible color change as the temperature increases. Using this
material, we fabricated a three-dimensional blood vessel model using the lost-wax
process. We succeeded in fabricating a renal vessel model for simulation of
catheter ablation. Increases in the temperature of the materials can be measured
by image analysis of their color change. The maximum measurement error of the
temperature was approximately -1.6 degrees C/+2.4 degrees C within the range of
60 degrees C to 100 degrees C.
PMID- 29370140
TI - A Novel Single-Axis MEMS Tilt Sensor with a High Sensitivity in the Measurement
Range from 0? to 360?.
AB - In this paper, a novel single-axis MEMS tilt sensor is presented. It contains a
hexagonal proof mass, six micro-lever force amplifiers and three double-ended
tuning fork (DETF) resonant strain gauges. The proof mass is placed in the center
with the micro-levers and the DETFs radially arrayed around. The variation of
gravity acceleration applied on the proof mass will result in frequency shifts of
the DETFs. Angular tilt can be got by analyzing the frequency outputs. The
structural design of the tilt sensor is optimized by finite element simulation
and the device is microfabricated using a silicon-on-insulator process, followed
by open-loop and closed-loop characterizations. Results show that the scale
factor of such sensor is at least 11.53 Hz/degree. Minimum Allan deviation of the
DETF oscillator is 220 ppb (parts per billion) of the resonant frequency for an 5
s integration time. Resolution of the tilt sensor is 0.002 ? in the whole
measurement range from 0 ? to 360 ? .
PMID- 29370141
TI - VLSI Design of Trusted Virtual Sensors.
AB - This work presents a Very Large Scale Integration (VLSI) design of trusted
virtual sensors providing a minimum unitary cost and very good figures of size,
speed and power consumption. The sensed variable is estimated by a virtual sensor
based on a configurable and programmable PieceWise-Affine hyper-Rectangular
(PWAR) model. An algorithm is presented to find the best values of the
programmable parameters given a set of (empirical or simulated) input-output
data. The VLSI design of the trusted virtual sensor uses the fast authenticated
encryption algorithm, AEGIS, to ensure the integrity of the provided virtual
measurement and to encrypt it, and a Physical Unclonable Function (PUF) based on
a Static Random Access Memory (SRAM) to ensure the integrity of the sensor
itself. Implementation results of a prototype designed in a 90-nm Complementary
Metal Oxide Semiconductor (CMOS) technology show that the active silicon area of
the trusted virtual sensor is 0.86 mm 2 and its power consumption when trusted
sensing at 50 MHz is 7.12 mW. The maximum operation frequency is 85 MHz, which
allows response times lower than 0.25 MU s. As application example, the designed
prototype was programmed to estimate the yaw rate in a vehicle, obtaining root
mean square errors lower than 1.1%. Experimental results of the employed PUF show
the robustness of the trusted sensing against aging and variations of the
operation conditions, namely, temperature and power supply voltage (final value
as well as ramp-up time).
PMID- 29370142
TI - High Frequency Data Acquisition System for Modelling the Impact of Visitors on
the Thermo-Hygrometric Conditions of Archaeological Sites: A Casa di Diana (Ostia
Antica, Italy) Case Study.
AB - The characterization of the microclimatic conditions is fundamental for the
preventive conservation of archaeological sites. In this context, the
identification of the factors that influence the thermo-hygrometric equilibrium
is key to determine the causes of cultural heritage deterioration. In this work,
a characterization of the thermo-hygrometric conditions of Casa di Diana (Ostia
Antica, Italy) is carried out analyzing the data of temperature and relative
humidity recorded by a system of sensors with high monitoring frequency. Sensors
are installed in parallel, calibrated and synchronized with a microcontroller. A
data set of 793,620 data, arranged in a matrix with 66,135 rows and 12 columns,
was used. Furthermore, the influence of human impact (visitors) is evaluated
through a multiple linear regression model and a logistic regression model. The
visitors do not affect the environmental humidity as it is very high and constant
all the year. The results show a significant influence of the visitors in the
upset of the thermal balance. When a tourist guide takes place, the probability
that the hourly temperature variation reaches values higher than its monthly
average is 10.64 times higher than it remains equal or less to its monthly
average. The analysis of the regression residuals shows the influence of outdoor
climatic variables in the thermal balance, such as solar radiation or
ventilation.
PMID- 29370143
TI - Post-Exercise Carbohydrate-Energy Replacement Attenuates Insulin Sensitivity and
Glucose Tolerance the Following Morning in Healthy Adults.
AB - The carbohydrate deficit induced by exercise is thought to play a key role in
increased post-exercise insulin action. However, the effects of replacing
carbohydrate utilized during exercise on postprandial glycaemia and insulin
sensitivity are yet to be determined. This study therefore isolated the extent to
which the insulin-sensitizing effects of exercise are dependent on the
carbohydrate deficit induced by exercise, relative to other exercise-mediated
mechanisms. Fourteen healthy adults performed a 90-min run at 70% V O 2 max
starting at 1600-1700 h before ingesting either a non-caloric artificially
sweetened placebo solution (CHO-DEFICIT) or a 15% carbohydrate solution (CHO
REPLACE; 221.4 +/- 59.3 g maltodextrin) to precisely replace the measured
quantity of carbohydrate oxidized during exercise. The alternate treatment was
then applied one week later in a randomized, placebo-controlled, and double
blinded crossover design. A standardized low-carbohydrate evening meal was
consumed in both trials before overnight recovery ahead of a two-hour oral
glucose tolerance test (OGTT) the following morning to assess glycemic and
insulinemic responses to feeding. Compared to the CHO-DEFICIT condition, CHO
REPLACE increased the incremental area under the plasma glucose curve by a mean
difference of 68 mmol.L-1 (95% CI: 4 to 132 mmol.L-1; p = 0.040) and decreased
the Matsuda insulin sensitivity index by a mean difference of -2 au (95% CI: -1
to -3 au; p = 0.001). This is the first study to demonstrate that post-exercise
feeding to replaceme the carbohydrate expended during exercise can attenuate
glucose tolerance and insulin sensitivity the following morning. The mechanism
through which exercise improves insulin sensitivity is therefore (at least in
part) dependent on carbohydrate availability and so the day-to-day metabolic
health benefits of exercise might be best attained by maintaining a carbohydrate
deficit overnight.
PMID- 29370144
TI - Pre-Meal Effect of Whey Proteins on Metabolic Parameters in Subjects with and
without Type 2 Diabetes: A Randomized, Crossover Trial.
AB - Diabetic dyslipidemia with elevated postprandial triglyceride (TG) responses is
characteristic in type 2 diabetes (T2D). Diet and meal timing can modify
postprandial lipemia (PPL). The impact of a pre-meal of whey proteins (WP) on
lipid metabolism is unidentified. We determined whether a WP pre-meal prior to a
fat-rich meal influences TG and apolipoprotein B-48 (ApoB-48) responses
differentially in patients with and without T2D. Two matched groups of 12
subjects with and without T2D accomplished an acute, randomized, cross-over
trial. A pre-meal of WP (20 g) or water (control) was consumed 15 min before a
fat-rich meal (supplemented with 20 g WP in case of water pre-meal). Postprandial
responses were examined during a 360-min period. A WP pre-meal significantly
increased postprandial concentrations of insulin (P < 0.0001), glucagon (P <
0.0001) and glucose-dependent insulinotropic peptide (GIP) (P < 0.0001) in
subjects with and without T2D. We detected no effects of the WP pre-meal on TG,
ApoB-48, or non-esterified fatty acids (NEFA) responses to the fat-rich meal in
either group. Paracetamol absorption i.e. gastric emptying was delayed by the WP
pre-meal (P = 0.039). In conclusion, the WP pre-meal induced similar hormone and
lipid responses in subjects with and without T2D. Thus, the WP pre-meal enhanced
insulin, glucagon and GIP responses but did not influence lipid or glucose
responses. In addition, we demonstrated that a WP pre-meal reduced gastric
emptying in both groups.
PMID- 29370145
TI - Establishing a Pharmacy-Based Patient Registry System: A Pilot Study for
Evaluating Pharmacist Intervention for Patients with Long-Term Medication Use.
AB - Background: In Japan, an increasing number of patients are prescribed a large
amount of long-term medications by large hospitals that are then dispensed by a
community pharmacy. This practice often leads to considerable wastage of
medicine. As part of their professional role, community pharmacists are expected
to contribute more to the appropriate use of medication by patients. Using a
prospective cohort, we aimed to evaluate pharmacists' role in the community.
Methods: We created a patient registry system for community pharmacies to monitor
long-term medication use by patients with chronic conditions. Patient drug
adherence and potential problems were monitored through regular home visits or
telephone calls by the pharmacist at least once a month between patient hospital
visits. Patient data were collected and stored in an internet-based system.
Results: Over a one-year follow-up, 28 out of 37 registered patients from 14
community pharmacies were continuously monitored. In total, we extracted 19
problems relating to medication use, 17 to physical complaints, eight to patient
concerns, and two others. Conclusion: The registry system was useful for
identifying medication-related problems as well as patient concerns and changes
in their condition. Pharmacists might play a key role in improving patient care
in the community.
PMID- 29370146
TI - Development of Low Parasitic Light Sensitivity and Low Dark Current 2.8 MUm
Global Shutter Pixel.
AB - Abstract: We developed a low parasitic light sensitivity (PLS) and low dark
current 2.8 MUm global shutter pixel. We propose a new inner lens design concept
to realize both low PLS and high quantum efficiency (QE). 1/PLS is 7700 and QE is
62% at a wavelength of 530 nm. We also propose a new storage-gate based memory
node for low dark current. P-type implants and negative gate biasing are
introduced to suppress dark current at the surface of the memory node. This
memory node structure shows the world smallest dark current of 9.5 e-/s at 60
degrees C.
PMID- 29370147
TI - Antifungal Activity of Commercial Essential Oils and Biocides against Candida
Albicans.
AB - Management of oral candidosis, most frequently caused by Candida albicans, is
limited due to the relatively low number of antifungal drugs and the emergence of
antifungal tolerance. In this study, the antifungal activity of a range of
commercial essential oils, two terpenes, chlorhexidine and triclosan was
evaluated against C. albicans in planktonic and biofilm form. In addition,
cytotoxicity of the most promising compounds was assessed using murine
fibroblasts and expressed as half maximal inhibitory concentrations (IC50).
Antifungal activity was determined using a broth microdilution assay. The minimum
inhibitory concentration (MIC) was established against planktonic cells cultured
in a range of concentrations of the test agents. The minimal biofilm eradication
concentration (MBEC) was determined by measuring re-growth of cells after pre
formed biofilm was treated for 24 h with the test agents. All tested commercial
essential oils demonstrated anticandidal activity (MICs from 0.06% (v/v) to 0.4%
(v/v)) against planktonic cultures, with a noticeable increase in resistance
exhibited by biofilms (MBECs > 1.5% (v/v)). The IC50s of the commercial essential
oils were lower than the MICs, while a one hour application of chlorhexidine was
not cytotoxic at concentrations lower than the MIC. In conclusion, the tested
commercial essential oils exhibit potential as therapeutic agents against C.
albicans, although host cell cytotoxicity is a consideration when developing
these new treatments.
PMID- 29370148
TI - The Enhanced Red Emission and Improved Thermal Stability of CaAlSiN3:Eu2+
Phosphors by Using Nano-EuB6 as Raw Material.
AB - Synthesizing phosphors with high performance is still a necessary work for
phosphor-converted white light-emitting diodes (W-LEDs). In this paper, three
series of CaAlSiN3:Eu2+ (denoted as CASN:Eu2+) phosphors using Eu2O3, EuN and
EuB6 as raw materials respectively are fabricated by under the alloy precursor
normal pressure nitridation synthesis condition. We demonstrate that CASN:Eu2+
using nano-EuB6 as raw material shows higher emission intensity than others,
which is ascribed to the increment of Eu2+ ionic content entering into the
crystal lattice. An improved thermal stability can also be obtained by using nano
EuB6 due to the structurally stable status, which is assigned to the partial
substitution of Eu-O (Eu-N) bonds by more covalent Eu-B ones that leads to a
higher structural rigidity. In addition, the W-LEDs lamp was fabricated to
explore its possible application in W-LEDs based on blue LEDs. Our results
indicate that using EuB6 as raw materials can provide an effective way of
enhancing the red emission and improving the thermal stability of the CASN:Eu2+
red phosphor.
PMID- 29370150
TI - State-Specific Prevalence of Tobacco Product Use Among Adults - United States,
2014-2015.
AB - Despite recent declines in cigarette smoking prevalence, the tobacco product
landscape has shifted to include emerging tobacco products* (1,2). Previous
research has documented adult use of smokeless tobacco and cigarettes by state
(3); however, state-specific data on other tobacco products are limited. To
assess tobacco product use in the 50 U.S. states and the District of Columbia
(DC), CDC and the National Cancer Institute analyzed self-reported use of six
tobacco product types: cigarettes, cigars, regular pipes, water pipes, electronic
cigarettes (e-cigarettes), and smokeless tobacco products among adults aged >=18
years using data from the 2014-2015 Tobacco Use Supplement to the Current
Population Survey (TUS-CPS). Prevalence of ever-use of any tobacco product ranged
from 27.0% (Utah) to 55.4% (Wyoming). Current (every day or some days) use of any
tobacco product ranged from 10.2% (California) to 27.7% (Wyoming). Cigarettes
were the most common currently used tobacco product in all states and DC. Among
current cigarette smokers, the proportion who currently used one or more other
tobacco products ranged from 11.5% (Delaware) to 32.3% (Oregon). Differences in
tobacco product use across states underscore the importance of implementing
proven population-level strategies to reduce tobacco use and expanding these
strategies to cover all forms of tobacco marketed in the United States. Such
strategies could include comprehensive smoke-free policies, tobacco product price
increases, anti-tobacco mass media campaigns, and barrier-free access to clinical
smoking cessation resources (1,4).
PMID- 29370149
TI - A Systematic Review and Meta-Analysis of Mindfulness-Based (Baduanjin) Exercise
for Alleviating Musculoskeletal Pain and Improving Sleep Quality in People with
Chronic Diseases.
AB - OBJECTIVE: we performed the first systematic review with meta-analyses of the
existing studies that examined mindfulness-based Baduanjin exercise for its
therapeutic effects for individuals with musculoskeletal pain or insomnia.
METHODS: Both English- (PubMed, Web of Science, Elsevier, and Google Scholar) and
Chinese-language (CNKI and Wangfang) electronic databases were used to search
relevant articles. We used a modified PEDro scale to evaluate risk of bias across
studies selected. All eligible RCTS were considered for meta-analysis. The
standardized mean difference was calculated for the pooled effects to determine
the magnitude of the Baduanjin intervention effect. For the moderator analysis,
we performed subgroup meta-analysis for categorical variables and meta-regression
for continuous variables. RESULTS: The aggregated result has shown a significant
benefit in favour of Baduanjin at alleviating musculoskeletal pain (SMD = -0.88,
95% CI -1.02 to -0.74, p < 0.001, I2 = 10.29%) and improving overall sleep
quality (SMD = -0.48, 95% CI -0.95 to -0.01, p = 004, I2 = 84.42%). CONCLUSIONS:
Mindfulness-based Baduanjin exercise may be effective for alleviating
musculoskeletal pain and improving overall sleep quality in people with chronic
illness. Large, well-designed RCTs are needed to confirm these findings.
PMID- 29370151
TI - Population-Based Surveillance of Birth Defects Potentially Related to Zika Virus
Infection - 15 States and U.S. Territories, 2016.
AB - Zika virus infection during pregnancy can cause serious birth defects, including
microcephaly and brain abnormalities (1). Population-based birth defects
surveillance systems are critical to monitor all infants and fetuses with birth
defects potentially related to Zika virus infection, regardless of known exposure
or laboratory evidence of Zika virus infection during pregnancy. CDC analyzed
data from 15 U.S. jurisdictions conducting population-based surveillance for
birth defects potentially related to Zika virus infection.* Jurisdictions were
stratified into the following three groups: those with 1) documented local
transmission of Zika virus during 2016; 2) one or more cases of confirmed,
symptomatic, travel-associated Zika virus disease reported to CDC per 100,000
residents; and 3) less than one case of confirmed, symptomatic, travel-associated
Zika virus disease reported to CDC per 100,000 residents. A total of 2,962
infants and fetuses (3.0 per 1,000 live births; 95% confidence interval [CI] =
2.9-3.2) (2) met the case definition.? In areas with local transmission there was
a non-statistically significant increase in total birth defects potentially
related to Zika virus infection from 2.8 cases per 1,000 live births in the first
half of 2016 to 3.0 cases in the second half (p = 0.10). However, when neural
tube defects and other early brain malformations (NTDs)S were excluded, the
prevalence of birth defects strongly linked to congenital Zika virus infection
increased significantly, from 2.0 cases per 1,000 live births in the first half
of 2016 to 2.4 cases in the second half, an increase of 29 more cases than
expected (p = 0.009). These findings underscore the importance of surveillance
for birth defects potentially related to Zika virus infection and the need for
continued monitoring in areas at risk for Zika.
PMID- 29370152
TI - Recommendations of the Advisory Committee on Immunization Practices for Use of
Herpes Zoster Vaccines.
AB - On October 20, 2017, Zoster Vaccine Recombinant, Adjuvanted (Shingrix,
GlaxoSmithKline, [GSK] Research Triangle Park, North Carolina), a 2-dose, subunit
vaccine containing recombinant glycoprotein E in combination with a novel
adjuvant (AS01B), was approved by the Food and Drug Administration for the
prevention of herpes zoster in adults aged >=50 years. The vaccine consists of 2
doses (0.5 mL each), administered intramuscularly, 2-6 months apart (1). On
October 25, 2017, the Advisory Committee on Immunization Practices (ACIP)
recommended the recombinant zoster vaccine (RZV) for use in immunocompetent
adults aged >=50 years.
PMID- 29370153
TI - Notes from the Field: Errors in Administration of an Excess Dosage of Yellow
Fever Vaccine - United States, 2017.
PMID- 29370154
TI - Short Sleep Duration Among Middle School and High School Students - United
States, 2015.
AB - Insufficient sleep among children and adolescents is associated with increased
risk for obesity, diabetes, injuries, poor mental health, attention and behavior
problems, and poor academic performance (1-4). The American Academy of Sleep
Medicine has recommended that, for optimal health, children aged 6-12 years
should regularly sleep 9-12 hours per 24 hours and teens aged 13-18 years should
sleep 8-10 hours per 24 hours (1). CDC analyzed data from the 2015 national,
state, and large urban school district Youth Risk Behavior Surveys (YRBSs) to
determine the prevalence of short sleep duration (<9 hours for children aged 6-12
years and <8 hours for teens aged 13-18 years) on school nights among middle
school and high school students in the United States. In nine states that
conducted the middle school YRBS and included a question about sleep duration in
their questionnaire, the prevalence of short sleep duration among middle school
students was 57.8%, with state-level estimates ranging from 50.2% (New Mexico) to
64.7% (Kentucky). The prevalence of short sleep duration among high school
students in the national YRBS was 72.7%. State-level estimates of short sleep
duration for the 30 states that conducted the high school YRBS and included a
question about sleep duration in their questionnaire ranged from 61.8% (South
Dakota) to 82.5% (West Virginia). The large percentage of middle school and high
school students who do not get enough sleep on school nights suggests a need for
promoting sleep health in schools and at home and delaying school start times to
permit students adequate time for sleep.
PMID- 29370155
TI - QuickStats: Percentage* of Emergency Department Visits for Acute Viral Upper
Respiratory Tract Infection? That Had an Antimicrobial Ordered or Prescribed,S by
Metropolitan Statistical Area - United States, 2008-2015*.
AB - From 2008-2011 to 2012-2015, the percentage of visits for acute viral upper
respiratory tract infection that had an antimicrobial ordered or prescribed
decreased from 37.1% to 25.5% among emergency departments (EDs) located in
nonmetropolitan statistical areas, but this decline was not seen among EDs in
metropolitan statistical areas. In 2008-2011, the percentage was higher among
nonmetropolitan EDs than metropolitan EDs, but there was no difference in 2012
2015.
PMID- 29370156
TI - CRISPR/Cas9 genome editing in human hematopoietic stem cells.
AB - Genome editing via homologous recombination (HR) (gene targeting) in human
hematopoietic stem cells (HSCs) has the power to reveal gene-function
relationships and potentially transform curative hematological gene and cell
therapies. However, there are no comprehensive and reproducible protocols for
targeting HSCs for HR. Herein, we provide a detailed protocol for the production,
enrichment, and in vitro and in vivo analyses of HR-targeted HSCs by combining
CRISPR/Cas9 technology with the use of rAAV6 and flow cytometry. Using this
protocol, researchers can introduce single-nucleotide changes into the genome or
longer gene cassettes with the precision of genome editing. Along with our
troubleshooting and optimization guidelines, researchers can use this protocol to
streamline HSC genome editing at any locus of interest. The in vitro HSC
targeting protocol and analyses can be completed in 3 weeks, and the long-term in
vivo HSC engraftment analyses in immunodeficient mice can be achieved in 16
weeks. This protocol enables manipulation of genes for investigation of gene
functions during hematopoiesis, as well as for the correction of genetic
mutations in HSC transplantation-based therapies for diseases such as sickle cell
disease, beta-thalassemia, and primary immunodeficiencies.
PMID- 29370157
TI - Mass cytometry analysis of immune cells in the brain.
AB - Immune cells comprise a diverse and dynamic cell population that is responsible
for a broad range of immunological activities. They act in concert with other
immune and nonimmune cells via cytokine-mediated communication and direct cell
cell interactions. Understanding the complex immune network requires a broad
characterization of its individual cellular components. This is especially
relevant for the brain compartment, which is an active immunological site,
composed of resident and infiltrating immune cells that affect brain development,
tissue homeostasis and neuronal activity. Mass cytometry, or CyTOF (cytometry by
time-of-flight), uses metal-conjugated antibodies to enable a high-dimensional
description of tens of markers at the single-cell level, thereby providing a
bird's-eye view of the immune system. This technique has been successfully
applied to the discovery of novel immune populations in humans and rodents. Here,
we provide a step-by-step description of a mass cytometry approach for the
analysis of the mouse brain compartment. The different stages of the procedure
include brain perfusion, extraction of the brain tissue and its dissociation into
a single-cell suspension, followed by cell staining with metal-tagged antibodies,
sample reading using a mass cytometer, and data analysis using SPADE and viSNE.
This procedure takes <5 h (excluding data analysis) and can be applied to study
modifications in the brain's immune populations under normal and pathological
conditions.
PMID- 29370159
TI - Hot mitochondria?
AB - Mitochondria generate most of the heat in endotherms. Given some impedance of
heat transfer across protein-rich bioenergetic membranes, mitochondria must
operate at a higher temperature than body temperature in mammals and birds. But
exactly how much hotter has been controversial, with physical calculations
suggesting that maximal heat gradients across cells could not be greater than 10
5 K. Using the thermosensitive mitochondrial-targeted fluorescent dye Mito Thermo
Yellow (MTY), Chretien and colleagues suggest that mitochondria are optimised to
nearly 50 degrees C, 10 degrees C hotter than body temperature. This extreme
value questions what temperature really means in confined far-from-equilibrium
systems but encourages a reconsideration of thermal biology.
PMID- 29370160
TI - Correction: Regulating toxic chemicals for public and environmental health.
AB - [This corrects the article DOI: 10.1371/journal.pbio.2004814.].
PMID- 29370158
TI - Heat-induced radiolabeling and fluorescence labeling of Feraheme nanoparticles
for PET/SPECT imaging and flow cytometry.
AB - Feraheme (FH) nanoparticles (NPs) have been used extensively for treatment of
iron anemia (due to their slow release of ionic iron in acidic environments). In
addition, injected FH NPs are internalized by monocytes and function as MRI
biomarkers for the pathological accumulation of monocytes in disease. We have
recently expanded these applications by radiolabeling FH NPs for positron
emission tomography (PET) or single-photon emission computed tomography (SPECT)
imaging using a heat-induced radiolabeling (HIR) strategy. Imaging FH NPs using
PET/SPECT has important advantages over MRI due to lower iron doses and improved
quantitation of tissue NP concentrations. HIR of FH NPs leaves the physical and
biological properties of the NPs unchanged and allows researchers to build on the
extensive knowledge obtained about the pharmacokinetic and safety aspects of FH
NPs. In this protocol, we present the step-by-step procedures for heat (120
degrees C)-induced bonding of three widely employed radiocations (89Zr4+ or
64Cu2+ for PET, and 111In3+ for SPECT) to FH NPs using a chelateless radiocation
surface adsorption (RSA) approach. In addition, we describe the conversion of FH
carboxyl groups into amines and their reaction with an N-hydroxysuccinimide (NHS)
of a Cy5.5 fluorophore. This yields Cy5.5-FH, a fluorescent FH that enables the
cells internalizing Cy5.5-FH to be examined using flow cytometry. Finally, we
describe procedures for in vivo and ex vivo uptake of Cy5.5-FH by monocytes and
for in vivo microPET/CT imaging of HIR-FH NPs. Synthesis of HIR-FH requires
experience with working with radioactive cations and can be completed within <4
h. Synthesis of Cy5.5-FH NPs takes ~17 h.
PMID- 29370161
TI - CRL4 antagonizes SCFFbxo7-mediated turnover of cereblon and BK channel to
regulate learning and memory.
AB - Intellectual disability (ID), one of the most common human developmental
disorders, can be caused by genetic mutations in Cullin 4B (Cul4B) and cereblon
(CRBN). CRBN is a substrate receptor for the Cul4A/B-DDB1 ubiquitin ligase (CRL4)
and can target voltage- and calcium-activated BK channel for ER retention. Here
we report that ID-associated CRL4CRBN mutations abolish the interaction of the BK
channel with CRL4, and redirect the BK channel to the SCFFbxo7 ubiquitin ligase
for proteasomal degradation. Glioma cell lines harbouring CRBN mutations record
density-dependent decrease of BK currents, which can be restored by blocking
Cullin ubiquitin ligase activity. Importantly, mice with neuron-specific deletion
of DDB1 or CRBN express reduced BK protein levels in the brain, and exhibit
similar impairment in learning and memory, a deficit that can be partially
rescued by activating the BK channel. Our results reveal a competitive targeting
of the BK channel by two ubiquitin ligases to achieve exquisite control of its
stability, and support changes in neuronal excitability as a common pathogenic
mechanism underlying CRL4CRBN-associated ID.
PMID- 29370162
TI - Mind the gap! Risk factors for poor continuity of care of TB patients discharged
from a hospital in the Western Cape, South Africa.
AB - BACKGROUND: TB patients discharged from hospitals in South Africa experience poor
continuity of care, failing to continue TB treatment at other levels of care.
Factors contributing to poor continuity of TB care are insufficiently described
to inform interventions. OBJECTIVE: To describe continuity of care and risk
factors in TB patients discharged from a referral hospital in the Western Cape,
South Africa. DESIGN: This retrospective observational study used routine
information to describe continuity of care and risk factors in TB patients
discharged from hospital. RESULTS: 788 hospitalized TB patients were identified
in 6 months. Their median age was 32 years, 400 (51%) were male, and 653 (83%)
were urban. A bacteriological TB test was performed for 74%, 25% were tested for
HIV in hospital, and 32% of all TB patients had documented evidence of HIV co
infection. Few (13%) were notified for TB; 375 (48%) received TB medication; 284
(36%) continued TB treatment after discharge; 91 (24%) had a successful TB
treatment outcome, and 166 (21%) died. Better continuity of care was associated
with adults, urban residence, bacteriological TB tests in hospital and TB
medication on discharge. Fragmented hospital TB data systems did not provide
continuity with primary health care information systems. CONCLUSIONS: Discharged
TB patients experienced poor continuity of care, with children, rural patients,
those not tested for TB in hospital or discharged without TB medication at
greatest risk. Suboptimal quality of hospital TB care and a fragmented hospital
information system without linkages to other levels underpinned poor continuity
of care.
PMID- 29370163
TI - In vitro effects of two silicate-based materials, Biodentine and BioRoot RCS, on
dental pulp stem cells in models of reactionary and reparative dentinogenesis.
AB - BACKGROUND: Calcium silicate-based cements are biomaterials with calcium oxide
and carbonate filler additives. Their properties are close to those of dentin,
making them useful in restorative dentistry and endodontics. The aim of this
study was to evaluate the in vitro biological effects of two such calcium
silicate cements, Biodentine (BD) and Bioroot (BR), on dental stem cells in both
direct and indirect contact models. The two models used aimed to mimic reparative
dentin formation (direct contact) and reactionary dentin formation (indirect
contact). An original aspect of this study is the use of an interposed thin
agarose gel layer to assess the effects of diffusible components from the
materials. RESULTS: The two biomaterials were compared and did not modify dental
pulp stem cell (DPSC) proliferation. BD and BR showed no significant
cytotoxicity, although some cell death occurred in direct contact. No apoptosis
or inflammation induction was detected. A striking increase of mineralization
induction was observed in the presence of BD and BR, and this effect was greater
in direct contact. Surprisingly, biomineralization occurred even in the absence
of mineralization medium. This differentiation was accompanied by expression of
odontoblast-associated genes. Exposure by indirect contact did not stimulate the
induction to such a level. CONCLUSION: These two biomaterials both seem to be
bioactive and biocompatible, preserving DPSC proliferation, migration and
adhesion. The observed strong mineralization induction through direct contact
highlights the potential of these biomaterials for clinical application in dentin
pulp complex regeneration.
PMID- 29370164
TI - European starlings use their acute vision to check on feline predators but not on
conspecifics.
AB - Head movements allow birds with laterally placed eyes to move their centers of
acute vision around and align them with objects of interest. Consequently, head
movements have been used as indicator of fixation behavior (where gaze is
maintained). However, studies on head movement behavior have not elucidated the
degree to which birds use high-acuity or low-acuity vision. We studied how
European starlings (Sturnus vulgaris) used high-acuity vision in the early stages
of visual exploration of a stuffed cat (common terrestrial predator), a taxidermy
Cooper's hawk (common aerial predator), and a stuffed study skin of a
conspecific. We found that starlings tended to use their high acuity vision when
looking at predators, particularly, the cat was above chance levels. However,
when they viewed a conspecific, they used high acuity vision as expected by
chance. We did not observe a preference for the left or right center of acute
vision. Our findings suggest that starlings exposed to a predator (particularly
cats) may employ selective attention by using high-acuity vision to obtain
quickly detailed information useful for a potential escape, but exposed to a
social context may use divided attention by allocating similar levels high- and
low-quality vision to monitor both conspecifics and the rest of the environment.
PMID- 29370165
TI - PLOS NTDs celebrates our 10th anniversary: Looking forward to the next decade.
PMID- 29370166
TI - Expanding molecular diagnostics of helminthiasis: Piloting use of the GPLN
platform for surveillance of soil transmitted helminthiasis and schistosomiasis
in Ghana.
AB - The efforts to control and eradicate polio as a global health burden have been
successful to the point where currently only three countries now report endemic
polio, and the number of cases of polio continues to decrease. The success of the
polio programme has been dependant on a well-developed network of laboratories
termed the global polio laboratory network (GPLN). Here we explore collaborative
opportunities with the GPLN to target two of the 18 diseases listed as a
neglected tropical diseases (NTD) namely soil transmitted helminthiasis (STH) and
Schistosomiasis (SCH). These were chosen based on prevalence and the use of
faecal materials to identify both polio, STH and SCH. Our study screened 448
faecal samples from the Ghana GPLN using three triplex TaqMan assays to identify
Ascaris lumbricoides, Necator americanus, Ancylostoma spp, Trichuris trchiura,
Strongyloides stercoralis and Schistosoma spp. Our results found a combined
helminth prevalence of 22%. The most common helminth infection was A.
lumbricoides with a prevalence of 15% followed by N. americanus (5%), Ancylostoma
spp. (2.5%), Schistosoma spp. (1.6%) and S. stercoralis (1%). These results show
that it is possible to identify alternative pathogens to polio in the samples
collected by the GPLN platform and to introduce new diagnostic assays to their
laboratories. The diagnostic methods employed were also able to identify S.
stercoralis positive samples, which are difficult to identify using
parasitological methods such as Kato-Katz. This study raises the possibility of
collaboration with the GPLN for the surveillance of a wider range of diseases
which would both benefit the efforts to control the NTDs and also increase the
scope of the GPLN as a diagnostic platform.
PMID- 29370167
TI - Mitochondria are physiologically maintained at close to 50 degrees C.
AB - In endothermic species, heat released as a product of metabolism ensures stable
internal temperature throughout the organism, despite varying environmental
conditions. Mitochondria are major actors in this thermogenic process. Part of
the energy released by the oxidation of respiratory substrates drives ATP
synthesis and metabolite transport, but a substantial proportion is released as
heat. Using a temperature-sensitive fluorescent probe targeted to mitochondria,
we measured mitochondrial temperature in situ under different physiological
conditions. At a constant external temperature of 38 degrees C, mitochondria
were more than 10 degrees C warmer when the respiratory chain (RC) was fully
functional, both in human embryonic kidney (HEK) 293 cells and primary skin
fibroblasts. This differential was abolished in cells depleted of mitochondrial
DNA or treated with respiratory inhibitors but preserved or enhanced by
expressing thermogenic enzymes, such as the alternative oxidase or the uncoupling
protein 1. The activity of various RC enzymes was maximal at or slightly above 50
degrees C. In view of their potential consequences, these observations need to
be further validated and explored by independent methods. Our study prompts a
critical re-examination of the literature on mitochondria.
PMID- 29370169
TI - Interventions to maximize facial cleanliness and achieve environmental
improvement for trachoma elimination: A review of the grey literature.
AB - BACKGROUND: Efforts are underway to scale-up the facial cleanliness and
environmental improvement (F&E) components of the World Health Organization's
SAFE strategy for elimination of trachoma as a public health problem. Improving
understanding of the F&E intervention landscape could inform advancements prior
to scale-up, and lead to more effective and sustained behavior change.
METHODS/FINDINGS: We systematically searched for relevant grey literature
published from January 1965 through August 2016. Publications were eligible for
review if they described interventions addressing F&E in the context of trachoma
elimination programs. Subsequent to screening, we mapped attributes of F&E
interventions. We then employed three behavior change frameworks to synthesize
mapped data and identify potential intervention gaps. We identified 27 documents
meeting inclusion criteria. With the exception of some recent programming, F&E
interventions have largely focused on intermediate and distal antecedents of
behavior change. Evidence from our analyses suggests many interventions are not
designed to address documented determinants of improved F&E practices. No
reviewed documents endorsed inclusion of intervention components related to
behavioral maintenance or resilience-factors critical for sustaining improved
behaviors. CONCLUSIONS: If left unaddressed, identified gaps in intervention
content may continue to challenge uptake and sustainability of improved F&E
behaviors. Stakeholders designing and implementing trachoma elimination programs
should review their F&E intervention content and delivery approaches with an eye
toward improvement, including better alignment with established behavior change
theories and empirical evidence. Implementation should move beyond information
dissemination, and appropriately employ a variety of behavior change techniques
to address more proximal influencers of change.
PMID- 29370168
TI - A switch in the mode of Wnt signaling orchestrates the formation of germline stem
cell differentiation niche in Drosophila.
AB - Germline stem cell (GSC) self-renewal and differentiation into gametes is
regulated by both intrinsic factors in the germ line as well as extrinsic factors
from the surrounding somatic niche. dWnt4, in the escort cells of the adult
somatic niche promotes GSC differentiation using the canonical beta-catenin
dependent transcriptional pathway to regulate escort cell survival, adhesion to
the germ line and downregulation of self-renewal signaling. Here, we show that in
addition to the beta-catenin-dependent canonical pathway, dWnt4 also uses
downstream components of the Wnt non-canonical pathway to promote escort cell
function earlier in development. We find that the downstream non-canonical
components, RhoA, Rac1 and cdc42, are expressed at high levels and are active in
escort cell precursors of the female larval gonad compared to the adult somatic
niche. Consistent with this expression pattern, we find that the non-canonical
pathway components function in the larval stages but not in adults to regulate
GSC differentiation. In the larval gonad, dWnt4, RhoA, Rac1 and cdc42 are
required to promote intermingling of escort cell precursors, a function that then
promotes proper escort cell function in the adults. We find that dWnt4 acts by
modulating the activity of RhoA, Rac1 and cdc42, but not their protein levels.
Together, our results indicate that at different points of development, dWnt4
switches from using the non-canonical pathway components to using a beta-catenin
dependent canonical pathway in the escort cells to facilitate the proper
differentiation of GSCs.
PMID- 29370170
TI - Emerging trends of Zika apprehension in an epidemic setting.
AB - BACKGROUND: French Guiana is a territory that has a decades-long history of
dengue outbreaks and more recently, in 2014, a chikungunya outbreak. Zika virus
(ZIKV) emerged in late 2015 and subsequently led to an important outbreak.
METHODOLOGY/PRINCIPAL FINDINGS: A cross-sectional phone survey was conducted
among the general population during the outbreak in June 2016 with a total of
1,129 individuals interviewed to assess perceptions, knowledge and behaviors
regarding zika infection. The population seemed aware of zika, and perceived the
infection as a more serious health threat than other common mosquito-borne
diseases. Furthermore, both the perceptions and behaviors related to zika and its
prevention were found to vary considerably among different social groups,
geographic areas and gender; less educated female participants were found to
perceive the disease as more worrisome and were less likely to adopt protective
behaviors. Moreover, female population has been particularly responsive to
awareness campaigns and rapidly understood the extent of risks associated with
ZIKV infection. CONCLUSIONS/SIGNIFICANCE: These results revealed that ZIKV
appeared at the time of the survey as a new health threat that concerns the
public more than chikungunya and dengue fever with differences observed among
subgroups of population. These results have implications for the development of
multifaceted infection control programs, including strategies for prevention and
awareness, helping the population to develop an accurate perception of the threat
they are facing and encouraging behavior changes.
PMID- 29370171
TI - The complex scenario of obesity, diabetes and hypertension in the area of
influence of primary healthcare facilities in Mexico.
AB - INTRODUCTION: Among non-communicable chronic diseases (NCCD), diabetes and
hypertension are the main cause of adult mortality worldwide. Among the members
of the Organization for Economic Cooperation and Development, Mexico is first in
prevalence of diabetes and second in obesity. To face this problematic situation
of NCCDs the Ministry of Health declared a national epidemiological alert against
the overweight, obesity and diabetes. The target of this study is to characterize
the status of obesity, diabetes and hypertension in the adult population in the
area of influence of primary health facilities located in high social marginality
areas. METHODS: We conducted a cross-sectional observational study and used a
convenience sample. A survey was conducted on a population of 18 years old and
above in four primary health facilities in four Mexican States. The survey
explored sociodemographic characteristics, the presence of chronic diseases, the
access to healthcare services, risk factors and life styles. We also applied a
complementary questionnaire to 20% of the participants, in order to explore food
consumption during the last week and physical activity (International Physical
Activity Questionnaire). We based our analysis on descriptive statistics and
logistic multivariate regression to analyze factors associated with diabetes and
hypertension. RESULTS: 73% (n = 7531, CI 0.72-0.74) percent of the population
reported being diabetic, hypertensive and/or overweight. The majority of them
receive healthcare in public health services. People over 40 years old, are 11
times more probable of living with diabetes and 8.7 times more probable of living
with hypertension. Both conditions affect mostly women, whose main activity is to
be a housewife. People who have lunch and dinner out of home are more likely to
develop diabetes. People who perform intense physical activity are less likely to
live with hypertension. CONCLUSION: According to the self-report, more than 70%
of adult population living in areas with high social marginality suffer diabetes,
hypertension and obesity. However, the percentage of people who live with these
chronic conditions and are not aware of them, is unknown. The Mexican health
system needs a primary healthcare that emphasizes on health promotion, timely
detection of risk factors of Non Communicable Diseases and prevention of their
complications.
PMID- 29370172
TI - Insular Celtic population structure and genomic footprints of migration.
AB - Previous studies of the genetic landscape of Ireland have suggested homogeneity,
with population substructure undetectable using single-marker methods. Here we
have harnessed the haplotype-based method fineSTRUCTURE in an Irish genome-wide
SNP dataset, identifying 23 discrete genetic clusters which segregate with
geographical provenance. Cluster diversity is pronounced in the west of Ireland
but reduced in the east where older structure has been eroded by historical
migrations. Accordingly, when populations from the neighbouring island of Britain
are included, a west-east cline of Celtic-British ancestry is revealed along with
a particularly striking correlation between haplotypes and geography across both
islands. A strong relationship is revealed between subsets of Northern Irish and
Scottish populations, where discordant genetic and geographic affinities reflect
major migrations in recent centuries. Additionally, Irish genetic proximity of
all Scottish samples likely reflects older strata of communication across the
narrowest inter-island crossing. Using GLOBETROTTER we detected Irish admixture
signals from Britain and Europe and estimated dates for events consistent with
the historical migrations of the Norse-Vikings, the Anglo-Normans and the British
Plantations. The influence of the former is greater than previously estimated
from Y chromosome haplotypes. In all, we paint a new picture of the genetic
landscape of Ireland, revealing structure which should be considered in the
design of studies examining rare genetic variation and its association with
traits.
PMID- 29370173
TI - Mast cell heterogeneity underlies different manifestations of food allergy in
mice.
AB - Food can trigger a diverse array of symptoms in food allergic individuals from
isolated local symptoms affecting skin or gut to multi-system severe reactions
(systemic anaphylaxis). Although we know that gastrointestinal and systemic
manifestations of food allergy are mediated by tissue mast cells (MCs), it is not
clear why allergen exposure by the oral route can result in such distinct
clinical manifestations. Our aim was to assess the contribution of mast cell
subsets to different manifestations of food allergy. We used two common models of
IgE-mediated food allergy, one resulting in systemic anaphylaxis and the other
resulting in acute gastrointestinal symptoms, to study the immune basis of
allergic reactions. We used responders and non-responders in each model system,
as well as naive controls to identify the association of mast cell activation
with clinical reactivity rather than sensitization. Systemic anaphylaxis was
uniquely associated with activation of connective tissue mast cells (identified
by release of mouse mast cell protease (MMCP) -7 into the serum) and release of
histamine, while activation of mucosal mast cells (identified by release of MMCP
1 in the serum) did not correlate with symptoms. Gastrointestinal manifestations
of food allergy were associated with an increase of MMCP-1-expressing mast cells
in the intestine, and evidence of both mucosal and connective tissue mast cell
activation. The data presented in this paper demonstrates that mast cell
heterogeneity is an important contributor to manifestations of food allergy, and
identifies the connective tissue mast cell subset as key in the development of
severe systemic anaphylaxis.
PMID- 29370174
TI - How psychosocial outcomes impact on the self-reported health status in type 2
diabetes patients: Findings from the Diabetes Attitudes, Wishes and Needs (DAWN)
study in eastern China.
AB - INTRODUCTION: The deleterious effects of psychosocial outcomes on diabetic
patients' health have not been fully investigated yet. This study was aimed to
explore how psychosocial outcomes impacted on the health status of Chinese
patients with type 2 diabetes. METHODS: A mix of stratified sampling and typical
sampling were used to select diabetic patients in Jiangsu Province to conduct
individual interviews. Health status was measured by EuroQol Visual Analogue
Scale (VAS). Psychosocial outcomes were measured by instruments used in the
Diabetes Attitudes, Wishes and Needs survey, including psychological well-being,
diabetes distress, patient empowerment, self-management, and patient reported
healthcare provision. Clinical characteristics measured included diabetes
comorbidities, complications and treatment. OLS regression analyses were used to
estimate how health status varied with different characteristics. RESULTS:
Altogether 1614 patients with type 2 diabetes aged 18-65 years from 6
districts/counties in Jiangsu Province were included in the study. With general
characteristics and clinical factors controlled for, psychological well-being
among all psychosocial outcomes had the most significant association with health
status, with a difference of 9.2 in VAS scores between likely depression and good
well-being. VAS scores were also significantly lower in patients with high
diabetes distress and significantly higher in those more frequently conducting
physical activities. Other psychosocial outcomes were not significantly
associated with health status. CONCLUSIONS: Likely depression and diabetes
related distress are negatively associated with health status while frequently
conducting physical activities are positively associated with health status of
type 2 diabetes patients aged 18-65 years from 6 districts/counties in Jiangsu
Provinces. These findings underscore the necessity of undertaking routine
assessment for depression and diabetes distress and prioritizing interventions on
promoting regular physical activities in diabetic patients to improve health
management and achieve better health outcomes.
PMID- 29370175
TI - Genomic locus modulating corneal thickness in the mouse identifies POU6F2 as a
potential risk of developing glaucoma.
AB - Central corneal thickness (CCT) is one of the most heritable ocular traits and it
is also a phenotypic risk factor for primary open angle glaucoma (POAG). The
present study uses the BXD Recombinant Inbred (RI) strains to identify novel
quantitative trait loci (QTLs) modulating CCT in the mouse with the potential of
identifying a molecular link between CCT and risk of developing POAG. The BXD RI
strain set was used to define mammalian genomic loci modulating CCT, with a total
of 818 corneas measured from 61 BXD RI strains (between 60-100 days of age). The
mice were anesthetized and the eyes were positioned in front of the lens of the
Phoenix Micron IV Image-Guided OCT system or the Bioptigen OCT system. CCT data
for each strain was averaged and used to QTLs modulating this phenotype using the
bioinformatics tools on GeneNetwork (www.genenetwork.org). The candidate genes
and genomic loci identified in the mouse were then directly compared with the
summary data from a human POAG genome wide association study (NEIGHBORHOOD) to
determine if any genomic elements modulating mouse CCT are also risk factors for
POAG.This analysis revealed one significant QTL on Chr 13 and a suggestive QTL on
Chr 7. The significant locus on Chr 13 (13 to 19 Mb) was examined further to
define candidate genes modulating this eye phenotype. For the Chr 13 QTL in the
mouse, only one gene in the region (Pou6f2) contained nonsynonymous SNPs. Of
these five nonsynonymous SNPs in Pou6f2, two resulted in changes in the amino
acid proline which could result in altered secondary structure affecting protein
function. The 7 Mb region under the mouse Chr 13 peak distributes over 2
chromosomes in the human: Chr 1 and Chr 7. These genomic loci were examined in
the NEIGHBORHOOD database to determine if they are potential risk factors for
human glaucoma identified using meta-data from human GWAS. The top 50 hits all
resided within one gene (POU6F2), with the highest significance level of p = 10-6
for SNP rs76319873. POU6F2 is found in retinal ganglion cells and in corneal
limbal stem cells. To test the effect of POU6F2 on CCT we examined the corneas of
a Pou6f2-null mice and the corneas were thinner than those of wild-type
littermates. In addition, these POU6F2 RGCs die early in the DBA/2J model of
glaucoma than most RGCs. Using a mouse genetic reference panel, we identified a
transcription factor, Pou6f2, that modulates CCT in the mouse. POU6F2 is also
found in a subset of retinal ganglion cells and these RGCs are sensitive to
injury.
PMID- 29370176
TI - Patterns of sedentary behavior in overweight and moderately obese users of the
Catalan primary-health care system.
AB - BACKGROUND AND OBJECTIVES: Prolonged sitting time (ST) has negative consequences
on health. Changing this behavior is paramount in overweight/obese individuals
because they are more sedentary than those with normal weight. The aim of the
study was to establish the pattern of sedentary behavior and its relationship to
health, socio-demographics, occupation, and education level in Catalan
overweight/obese individuals. METHODS: A descriptive study was performed at 25
healthcare centers in Catalonia (Spain) with 464 overweight/moderately obese
patients, aged25 to 65 years. Exclusion criteria were chronic diseases which
contraindicated physical activity and language barriers. Face-to-face interviews
were conducted to collect data on age, gender, educational level, social class,
and marital status. Main outcome was 'sitting time' (collected by the Marshall
questionnaire); chronic diseases and anthropometric measurements were registered.
RESULTS: 464 patients, 58.4% women, mean age 51.9 years (SD 10.1), 76.1% married,
60% manual workers, and 48.7% had finished secondary education. Daily sitting
time was 6.2 hours on working days (374 minutes/day, SD: 190), and about 6 hours
on non-working ones (357 minutes/day, SD: 170). 50% of participants were
sedentary >=6 hours. The most frequent sedentary activities were:
working/academic activities around 2 hours (128 minutes, SD: 183), followed by
watching television, computer use, and commuting. Men sat longer than women (64
minutes more on working days and 54 minutes on non-working days), and individuals
with office jobs (91 minutes),those with higher levels of education (42 minutes),
and younger subjects (25 to 35 years) spent more time sitting. CONCLUSIONS: In
our study performed in overweight/moderately obese patients the mean sitting time
was around 6 hours which was mainly spent doing work/academic activities and
watching television. Men, office workers, individuals with higher education, and
younger subjects had longer sitting time. Our results may help design
interventions targeted at these sedentary patients to decrease sitting time.
PMID- 29370177
TI - Brain and blood metabolite signatures of pathology and progression in Alzheimer
disease: A targeted metabolomics study.
AB - BACKGROUND: The metabolic basis of Alzheimer disease (AD) is poorly understood,
and the relationships between systemic abnormalities in metabolism and AD
pathogenesis are unclear. Understanding how global perturbations in metabolism
are related to severity of AD neuropathology and the eventual expression of AD
symptoms in at-risk individuals is critical to developing effective disease
modifying treatments. In this study, we undertook parallel metabolomics analyses
in both the brain and blood to identify systemic correlates of neuropathology and
their associations with prodromal and preclinical measures of AD progression.
METHODS AND FINDINGS: Quantitative and targeted metabolomics (Biocrates
AbsoluteIDQ [identification and quantification] p180) assays were performed on
brain tissue samples from the autopsy cohort of the Baltimore Longitudinal Study
of Aging (BLSA) (N = 44, mean age = 81.33, % female = 36.36) from AD (N = 15),
control (CN; N = 14), and "asymptomatic Alzheimer's disease" (ASYMAD, i.e.,
individuals with significant AD pathology but no cognitive impairment during
life; N = 15) participants. Using machine-learning methods, we identified a panel
of 26 metabolites from two main classes-sphingolipids and glycerophospholipids
that discriminated AD and CN samples with accuracy, sensitivity, and specificity
of 83.33%, 86.67%, and 80%, respectively. We then assayed these 26 metabolites in
serum samples from two well-characterized longitudinal cohorts representing
prodromal (Alzheimer's Disease Neuroimaging Initiative [ADNI], N = 767, mean age
= 75.19, % female = 42.63) and preclinical (BLSA) (N = 207, mean age = 78.68, %
female = 42.63) AD, in which we tested their associations with magnetic resonance
imaging (MRI) measures of AD-related brain atrophy, cerebrospinal fluid (CSF)
biomarkers of AD pathology, risk of conversion to incident AD, and trajectories
of cognitive performance. We developed an integrated blood and brain
endophenotype score that summarized the relative importance of each metabolite to
severity of AD pathology and disease progression (Endophenotype Association Score
in Early Alzheimer's Disease [EASE-AD]). Finally, we mapped the main metabolite
classes emerging from our analyses to key biological pathways implicated in AD
pathogenesis. We found that distinct sphingolipid species including sphingomyelin
(SM) with acyl residue sums C16:0, C18:1, and C16:1 (SM C16:0, SM C18:1, SM
C16:1) and hydroxysphingomyelin with acyl residue sum C14:1 (SM (OH) C14:1) were
consistently associated with severity of AD pathology at autopsy and AD
progression across prodromal and preclinical stages. Higher log-transformed blood
concentrations of all four sphingolipids in cognitively normal individuals were
significantly associated with increased risk of future conversion to incident AD:
SM C16:0 (hazard ratio [HR] = 4.430, 95% confidence interval [CI] = 1.703-11.520,
p = 0.002), SM C16:1 (HR = 3.455, 95% CI = 1.516-7.873, p = 0.003), SM (OH) C14:1
(HR = 3.539, 95% CI = 1.373-9.122, p = 0.009), and SM C18:1 (HR = 2.255, 95% CI =
1.047-4.855, p = 0.038). The sphingolipid species identified map to several
biologically relevant pathways implicated in AD, including tau phosphorylation,
amyloid-beta (Abeta) metabolism, calcium homeostasis, acetylcholine biosynthesis,
and apoptosis. Our study has limitations: the relatively small number of brain
tissue samples may have limited our power to detect significant associations,
control for heterogeneity between groups, and replicate our findings in
independent, autopsy-derived brain samples. CONCLUSIONS: We present a novel
framework to identify biologically relevant brain and blood metabolites
associated with disease pathology and progression during the prodromal and
preclinical stages of AD. Our results show that perturbations in sphingolipid
metabolism are consistently associated with endophenotypes across preclinical and
prodromal AD, as well as with AD pathology at autopsy. Sphingolipids may be
biologically relevant biomarkers for the early detection of AD, and correcting
perturbations in sphingolipid metabolism may be a plausible and novel therapeutic
strategy in AD.
PMID- 29370178
TI - General Practitioner trainers prescribe fewer antibiotics in primary care:
Evidence from France.
AB - PURPOSE: Antibiotic prescription is a central public health issue. Overall, 90%
of antibiotic prescriptions are delivered to patients in ambulatory care, and a
substantial proportion of these prescriptions could be avoided. General
Practitioner (GP) trainers are similar to other GPs in terms of sociodemographic
and medical activities, but they may have different prescription patterns. Our
aim was to compare the antibiotic prescribing rates between GP trainers and non
trainers. METHODS: This observational cross-sectional study was conducted on
administrative data claims from the French National Health Insurance. The
antibiotic prescribing rate was calculated. The main independent variable was the
training status of the GPs. Prescribing rates were adjusted for the various GPs'
characteristics (gender, age, location of the practice, number of visits per GP
and the case-mix) in a multiple linear regression analysis. RESULTS: Between June
2014 and July 2015 the prescribing patterns of 860 GPs were analysed, among which
102 were GP trainers (12%). Over the year 363,580 patients were prescribed an
antibiotic out of 3,499,248 visits for 1,299,308 patients seen over the year thus
representing around 27.5% of patients. In the multivariate analyses, being a
trainer resulted in a significant difference of 6.62 percentage points (IC 95%: [
8.55; -4.69]; p<0.001) in antibiotic prescriptions comparing to being a non
trainer, corresponding to a relative reduction of 23.4%. CONCLUSION: These
findings highlight the role of GP trainers in antibiotic prescriptions. By
prescribing fewer antibiotics and influencing the next generations of GPs, the
human and economic burden of antibiotics could be reduced.
PMID- 29370179
TI - Location, number and factors associated with cerebral microbleeds in an Italian
British cohort of CADASIL patients.
AB - BACKGROUND AND PURPOSE: The frequency, clinical correlates, and risk factors of
cerebral microbleeds (CMB) in Cerebral Autosomal Dominant Arteriopathy with
Subcortical Infarcts and Leukoencephalopathy (CADASIL) are still poorly known. We
aimed at determining the location and number of CMB and their relationship with
clinical manifestations, vascular risk factors, drugs, and other neuroimaging
features in CADASIL patients. METHODS: We collected clinical data by means of a
structured proforma and centrally evaluated CMB on magnetic resonance gradient
echo sequences applying the Microbleed Anatomical Rating Scale in CADASIL
patients seen in 2 referral centers in Italy and United Kingdom. RESULTS: We
evaluated 125 patients. CMB were present in 34% of patients and their presence
was strongly influenced by the age. Twenty-nine percent of the patients had CMB
in deep subcortical location, 22% in a lobar location, and 18% in infratentorial
regions. After adjustment for age, factors significantly associated with a higher
total number of CMB were hemorrhagic stroke, dementia, urge incontinence, and
statins use (this latter not confirmed by multivariate analysis). Infratentorial
and deep CMB were associated with dementia and urge incontinence, lobar CMB with
hemorrhagic stroke, dementia, and statins use. Unexpectedly, patients with
migraine, with or without aura, had a lower total, deep, and lobar number of CMB
than patients without migraine. DISCUSSION: CMB formation in CADASIL seems to
increase with age. History of hemorrhagic stroke, dementia, urge incontinence,
and statins use are associated with a higher number of CMB. However, these
findings need to be confirmed by longitudinal studies.
PMID- 29370180
TI - Acute kidney injury in cats and dogs: A proportional meta-analysis of case series
studies.
AB - INTRODUCTION: Risk of mortality in the setting of acute kidney injury (AKI) in
cats and dogs remains unclear. OBJECTIVES: To evaluate the incidence of mortality
in cats and dogs with AKI based on etiology (i.e. infectious versus non
infectious; receiving dialysis versus conservative treatment). MATERIALS AND
METHODS: Ovid Medline, EMBASE, and LILACS were searched up to July 2016. Articles
were deemed eligible if they were case series studies evaluating the incidence of
all-cause mortality in cats and dogs with AKI, regardless of etiology or the
nature of treatment. RESULTS: Eighteen case series involving 1,201animalsproved
eligible. The pooled proportions for overall mortality were: cats53.1% [95% CI
0.475, 0.586; I2 = 11,9%, p = 0.3352]; dogs 45.0% [95% CI 0.33, 0.58; I2 = 91.5%,
P < 0.0001]. A non-significant increase in overall mortality risk was found among
dialysed animals relative to those managed with conservative treatment,
independent of animal type and the etiology of their AKI. The pooled proportions
for overall mortality according to etiology, regardless of treatment type, were:
AKI due infectious etiology for cats and dogs, 19.2% [95% CI 0.134, 0.258; I2 =
37.7%, P = 0.0982]; AKI due non-infectious etiology for cats and dogs, 59.9% [95%
CI 0.532, 0.663; I2 = 51.0%, P = 0.0211]. CONCLUSION: Our findings suggest higher
rates of overall mortality in cats and dogs with AKI due to non-infectious
etiologies relative to infectious etiologies, and showed non-significant
differences in terms of higher rates associated with dialysis compared to
conservative management. Further investigations regarding optimal time to
initiate dialysis and the development of clinical models to prognosticate the
course of disease and guide optimal treatment initiation for less severe cases of
AKI in cats and dogs is warranted.
PMID- 29370181
TI - Inferring hidden causal relations between pathway members using reduced Google
matrix of directed biological networks.
AB - Signaling pathways represent parts of the global biological molecular network
which connects them into a seamless whole through complex direct and indirect
(hidden) crosstalk whose structure can change during development or in
pathological conditions. We suggest a novel methodology, called Googlomics, for
the structural analysis of directed biological networks using spectral analysis
of their Google matrices, using parallels with quantum scattering theory,
developed for nuclear and mesoscopic physics and quantum chaos. We introduce
analytical "reduced Google matrix" method for the analysis of biological network
structure. The method allows inferring hidden causal relations between the
members of a signaling pathway or a functionally related group of genes. We
investigate how the structure of hidden causal relations can be reprogrammed as a
result of changes in the transcriptional network layer during cancerogenesis. The
suggested Googlomics approach rigorously characterizes complex systemic changes
in the wiring of large causal biological networks in a computationally efficient
way.
PMID- 29370182
TI - Correlation of pathological complete response with survival after neoadjuvant
chemotherapy in gastric or gastroesophageal junction cancer treated with radical
surgery: A meta-analysis.
AB - BACKGROUND: Neoadjuvant chemotherapy before radical gastrectomy is preferred for
locally advanced gastric cancer. To avoid the problematic use of pTNM for
patients after neoadjuvant chemotherapy, the Union for International Cancer
Control (UICC) and the American Joint Committee on Cancer (AJCC) gastric cancer
TNM staging system (8th edition) added ypTNM for the first time. But patients
achieving pathological complete response were not covered by the new ypTNM
staging system. To investigate whether pathological complete response is
associated with better outcome in gastric cancer, as was reported in rectal,
breast and bladder cancer. METHODS: We systematically searched the databases of
PubMed, EMBASE, Web of Science and Cochrane Collaboration's Central register of
controlled trials from January 1988 to April 2015 for publications which reported
outcomes of patients with and without pathological complete response (pCR)
(pT0N0M0) to investigate whether pCR after neoadjuvant chemotherapy in gastric or
gastroesophageal junction (GEJ) treated with radical surgery is associated with
better survival. The primary outcome was overall survival (OS). The secondary
outcome was disease-free survival (DFS). Both were measured with a relative risk
(RR). A meta-analysis was performed using the fixed effects model. Forest plots
and the Q test was used to evaluate overall heterogeneity for OS and DFS.
RESULTS: A total of seven trials, 1143 patients were included and analyzed after
neoadjuvant chemotherapy and radical surgery with no other preoperative
treatment. The average rate of pCR was 6.74% (range: 3%-15%). The RR of patients
who achieved pCR in the primary tumor and lymph nodes is 0.5 (95% confidence
interval [CI], 0.25-0.98; p = 0.04), 0.34 (95% CI, 0.21-0.55; p<0.0001) and 0.44
(95% CI, 0.30-0.63; p<0.0001) for one-year-OS, three-year-OS and five-year-OS,
respectively. The summary RR for three-year-DFS was 0.43 (95% CI, 0.25-0.72; p =
0.002). CONCLUSION: Patients with resectable gastric or GEJ cancer who achieved
pCR after neoadjuvant chemotherapy can gain a better outcome than patients
without pCR.
PMID- 29370183
TI - Oral anticoagulant re-initiation following intracerebral hemorrhage in non
valvular atrial fibrillation: Global survey of the practices of neurologists,
neurosurgeons and thrombosis experts.
AB - BACKGROUND: While oral anticoagulants (OACs) are highly effective for ischemic
stroke prevention in atrial fibrillation, intracerebral hemorrhage (ICH) remains
the most feared complication of OAC. Clinical controversy remains regarding OAC
resumption and its timing for ICH survivors with atrial fibrillation because the
balance between risks and benefits has not been investigated in randomized
trials. AIMS/HYPOTHESIS: To survey the practice of stroke neurologists,
thrombosis experts and neurosurgeons on OAC re-initiation following OAC
associated ICH. METHODS: An online survey was distributed to members of the
International Society for Thrombosis and Haemostasis, Canadian Stroke Consortium,
NAVIGATE-ESUS trial investigators (Clinicatrials.gov identifier NCT02313909) and
American Association of Neurological Surgeons. Demographic factors and 11
clinical scenarios were included. RESULTS: Two hundred twenty-eight participants
from 38 countries completed the survey. Majority of participants were affiliated
with academic centers, and >20% managed more than 15 OAC-associated ICH
patients/year. Proportion of respondents suggesting OAC anticoagulant resumption
varied from 30% (for cerebral amyloid angiopathy) to 98% (for traumatic ICH).
Within this group, there was wide distribution in response for timing of
resumption: 21.4% preferred to re-start OACs after 1-3 weeks of incident ICH,
while 25.3% opted to start after 1-3 months. Neurosurgery respondents preferred
earlier OAC resumption compared to stroke neurologists or thrombosis experts in 5
scenarios (p<0.05 by Kendall's tau). CONCLUSIONS: Wide variations in current
practice exist among management of OAC-associated ICH, with decisions influenced
by patient- and provider-related factors. As these variations likely reflect the
lack of high quality evidence, randomized trials are direly needed in this
population.
PMID- 29370184
TI - Taking cohesin and condensin in context.
AB - Structural maintenance of chromosome (SMC) protein complexes, including cohesin
and condensin, are increasingly being recognized for their important role in
cancer and development, making it critical that we understand how these
evolutionarily conserved multi-subunit protein complexes associate with and
organize the genome. We review adaptor proteins for SMC complexes and how these
adaptors may capture SMC complexes following loop extrusion to provide a
framework for chromosome organization.
PMID- 29370185
TI - Adjuvanting influenza hemagglutinin vaccine with a human pulmonary surfactant
mimicking synthetic compound SF-10 induces local and systemic cell-mediated
immunity in mice.
AB - We reported previously that intranasal instillation of a synthetic human
pulmonary surfactant with a carboxy vinyl polymer as a viscosity improver, named
SF-10, shows potent adjuvanticity for humoral immunity in mice and cynomolgus
monkeys. SF-10 effectively induces influenza hemagglutinin vaccine (HAv)-specific
IgA in nasal and lung washes and IgG in sera with their neutralizing activities.
Since CD8+ T cell-mediated protection is an important requirement for adaptive
immunity, we investigated in this study the effects of SF-10 with antigen on
local and systemic cell-mediated immunity. Nasal instillation of ovalbumin, a
model antigen, combined with SF-10 efficiently delivered antigen to mucosal
dendritic and epithelial cells and promoted cross-presentation in antigen
presenting cells, yielding a high percentage of ovalbumin-specific cytotoxic T
lymphocytes in the nasal mucosa, compared with ovalbumin alone. Nasal
immunization of HAv-SF-10 also induced HAv-specific cytotoxic T lymphocytes and
upregulated granzyme B expression in splenic CD8+ T cells with their high
cytotoxicity against target cells pulsed with HA peptide. Furthermore, nasal
vaccination of HAv-SF-10 significantly induced higher cytotoxic T lymphocytes
mediated cytotoxicity in the lungs and cervical lymph nodes in the early phase of
influenza virus infection compared with HAv alone. Protective immunity induced by
HAv-SF-10 against lethal influenza virus infection was partially and
predominantly suppressed after depletion of CD8+ and CD4+ T cells (induced by
intraperitoneal injection of the corresponding antibodies), respectively,
suggesting that CD4+ T cells predominantly and CD8+ T cells partially contribute
to the protective immunity in the advanced stage of influenza virus infection.
These results suggest that SF-10 promotes effective antigen delivery to antigen
presenting cells, activates CD8+ T cells via cross-presentation, and induces cell
mediated immune responses against antigen.
PMID- 29370186
TI - Evaluation of limited irrigation strategies to improve water use efficiency and
wheat yield in the North China Plain.
AB - The North China Plain is one of the most important grain production regions in
China, but is facing serious water shortages. To achieve a balance between water
use and the need for food self-sufficiency, new water efficient irrigation
strategies need to be developed that balance water use with farmer net return.
The Crop Environment Resource Synthesis Wheat (CERES-Wheat model) was calibrated
and evaluated with two years of data which consisted of 3-4 irrigation
treatments, and the model was used to investigate long-term winter wheat
productivity and water use from irrigation management in the North China Plain.
The calibrated model simulated accurately above-ground biomass, grain yield and
evapotranspiration of winter wheat in response to irrigation management. The
calibrated model was then run using weather data from 1994-2016 in order to
evaluate different irrigation strategies. The simulated results using historical
weather data showed that grain yield and water use was sensitive to different
irrigation strategies including amounts and dates of irrigation applications. The
model simulated the highest yield when irrigation was applied at jointing (T9) in
normal and dry rainfall years, and gave the highest simulated yields for
irrigation at double ridge (T8) in wet years. A single simulated irrigation at
jointing (T9) produced yields that were 88% compared to using a double irrigation
treatment at T1 and T9 in wet years, 86% of that in normal years, and 91% of that
in dry years. A single irrigation at jointing or double ridge produced higher
water use efficiency because it obtained higher evapotranspiration. The simulated
farmer irrigation practices produced the highest yield and net income. When the
cost of water was taken into account, limited irrigation was found to be more
profitable based on assumptions about future water costs. In order to increase
farmer income, a subsidy will likely be needed to compensate farmers for yield
reductions due to water savings. These results showed that there is a cost to the
farmer for water conservation, but limiting irrigation to a single irrigation at
jointing would minimize impact on farmer net return in North China Plain.
PMID- 29370187
TI - Impacts of the Deepwater Horizon oil spill evaluated using an end-to-end
ecosystem model.
AB - We use a spatially explicit biogeochemical end-to-end ecosystem model, Atlantis,
to simulate impacts from the Deepwater Horizon oil spill and subsequent recovery
of fish guilds. Dose-response relationships with expected oil concentrations were
utilized to estimate the impact on fish growth and mortality rates. We also
examine the effects of fisheries closures and impacts on recruitment. We validate
predictions of the model by comparing population trends and age structure before
and after the oil spill with fisheries independent data. The model suggests that
recruitment effects and fishery closures had little influence on biomass
dynamics. However, at the assumed level of oil concentrations and toxicity,
impacts on fish mortality and growth rates were large and commensurate with
observations. Sensitivity analysis suggests the biomass of large reef fish
decreased by 25% to 50% in areas most affected by the spill, and biomass of large
demersal fish decreased even more, by 40% to 70%. Impacts on reef and demersal
forage caused starvation mortality in predators and increased reliance on pelagic
forage. Impacts on the food web translated effects of the spill far away from the
oiled area. Effects on age structure suggest possible delayed impacts on fishery
yields. Recovery of high-turnover populations generally is predicted to occur
within 10 years, but some slower-growing populations may take 30+ years to fully
recover.
PMID- 29370188
TI - Shedding light on the Early Pleistocene of TD6 (Gran Dolina, Atapuerca, Spain):
The technological sequence and occupational inferences.
AB - This paper aims to update the information available on the lithic assemblage from
the entire sequence of TD6 now that the most recent excavations have been
completed, and to explore possible changes in both occupational patterns and
technological strategies evidenced in the unit. This is the first study to
analyse the entire TD6 sequence, including subunits TD6.3 and TD6.1, which have
never been studied, along with the better-known TD6.2 Homo antecessor-bearing
subunit. We also present an analysis of several lithic refits found in TD6, as
well as certain technical features that may help characterise the hominin
occupations. The archaeo-palaeontological record from TD6 consists of 9,452
faunal remains, 443 coprolites, 1,046 lithic pieces, 170 hominin remains and 91
Celtis seeds. The characteristics of this record seem to indicate two main stages
of occupation. In the oldest subunit, TD6.3, the lithic assemblage points to the
light and limited hominin occupation of the cave, which does, however, grow over
the course of the level. In contrast, the lithic assemblages from TD6.2 and TD6.1
are rich and varied, which may reflect Gran Dolina cave's establishment as a
landmark in the region. Despite the occupational differences between the
lowermost subunit and the rest of the deposit, technologically the TD6 lithic
assemblage is extremely homogeneous throughout. In addition, the composition and
spatial distribution of the 12 groups of lithic refits found in unit TD6, as well
as the in situ nature of the assemblage demonstrate the high degree of
preservation at the site. This may help clarify the nature of the Early
Pleistocene hominin occupations of TD6, and raise reasonable doubt about the
latest interpretations that support the ex situ character of the assemblage as a
whole.
PMID- 29370190
TI - Classification of rare land cover types: Distinguishing annual and perennial
crops in an agricultural catchment in South Korea.
AB - Many environmental data are inherently imbalanced, with some majority land use
and land cover types dominating over rare ones. In cultivated ecosystems minority
classes are often the target as they might indicate a beginning land use change.
Most standard classifiers perform best on a balanced distribution of classes, and
fail to detect minority classes. We used the synthetic minority oversampling
technique (smote) with Random Forest to classify land cover classes in a small
agricultural catchment in South Korea using modis time series. This area faces a
major soil erosion problem and policy measures encourage farmers to replace
annual by perennial crops to mitigate this issue. Our major goal was therefore to
improve the classification performance on annual and perennial crops. We compared
four different classification scenarios on original imbalanced and synthetically
oversampled balanced data to quantify the effect of smote on classification
performance. smote substantially increased the true positive rate of all
oversampled minority classes. However, the performance on minor classes remained
lower than on the majority class. We attribute this result to a class overlap
already present in the original data set that is not resolved by smote. Our
results show that resampling algorithms could help to derive more accurate land
use and land cover maps from freely available data. These maps can be used to
provide information on the distribution of land use classes in heterogeneous
agricultural areas and could potentially benefit decision making.
PMID- 29370189
TI - A monomethyl auristatin E-conjugated antibody to guanylyl cyclase C is cytotoxic
to target-expressing cells in vitro and in vivo.
AB - Guanylyl cyclase C (GCC) is a cell-surface protein that is expressed by normal
intestinal epithelial cells, more than 95% of metastatic colorectal cancers
(mCRC), and the majority of gastric and pancreatic cancers. Due to strict apical
localization, systemically delivered GCC-targeting agents should not reach GCC in
normal intestinal tissue, while accessing antigen in tumor. We generated an
investigational antibody-drug conjugate (TAK-264, formerly MLN0264) comprising a
fully human anti-GCC monoclonal antibody conjugated to monomethyl auristatin E
via a protease-cleavable peptide linker. TAK-264 specifically bound, was
internalized by, and killed GCC-expressing cells in vitro in an antigen-density
dependent manner. In GCC-expressing xenograft models with similar GCC expression
levels/patterns observed in human mCRC samples, TAK-264 induced cell death,
leading to tumor regressions and long-term tumor growth inhibition. TAK-264
antitumor activity was generally antigen-density-dependent, although some GCC
expressing tumors were refractory to TAK-264-targeted high local concentrations
of payload. These data support further evaluation of TAK-264 in the treatment of
GCC-expressing tumors.
PMID- 29370191
TI - Completeness and reliability of mortality data in Viet Nam: Implications for the
national routine health management information system.
AB - BACKGROUND: Mortality statistics form a crucial component of national Health
Management Information Systems (HMIS). However, there are limitations in the
availability and quality of mortality data at national level in Viet Nam. This
study assessed the completeness of recorded deaths and the reliability of
recorded causes of death (COD) in the A6 death registers in the national routine
HMIS in Viet Nam. METHODOLOGY AND FINDINGS: 1477 identified deaths in 2014 were
reviewed in two provinces. A capture-recapture method was applied to assess the
completeness of the A6 death registers. 1365 household verbal autopsy (VA)
interviews were successfully conducted, and these were reviewed by physicians who
assigned multiple and underlying cause of death (UCOD). These UCODs from VA were
then compared with the CODs recorded in the A6 death registers, using kappa
scores to assess the reliability of the A6 death register diagnoses. The overall
completeness of the A6 death registers in the two provinces was 89.3% (95%CI:
87.8-90.8). No COD recorded in the A6 death registers demonstrated good
reliability. There is very low reliability in recording of cardiovascular deaths
(kappa for stroke = 0.47 and kappa for ischaemic heart diseases = 0.42) and
diabetes (kappa = 0.33). The reporting of deaths due to road traffic accidents,
HIV and some cancers are at a moderate level of reliability with kappa scores
ranging between 0.57-0.69 (p<0.01). VA methods identify more specific COD than
the A6 death registers, and also allow identification of multiple CODs.
CONCLUSIONS: The study results suggest that data completeness in HMIS A6 death
registers in the study sample of communes was relatively high (nearly 90%), but
triangulation with death records from other sources would improve the
completeness of this system. Further, there is an urgent need to enhance the
reliability of COD recorded in the A6 death registers, for which VA methods could
be effective. Focussed consultation among stakeholders is needed to develop a
suitable mechanism and process for integrating VA methods into the national
routine HMIS A6 death registers in Viet Nam.
PMID- 29370192
TI - Development and evaluation of Chitosan nanoparticles based dry powder inhalation
formulations of Prothionamide.
AB - Prothionamide (PTH), a second line antitubercular drug is used to administer in
conventional oral route. However, its unpredictable absorption and frequent
administration limit its use. An alternate approach was thought of administering
PTH through pulmonary route in a form of nanoparticles, which can sustain the
release for several hours in lungs. Chitosan, a bio-degradable polymer was used
to coat PTH and further freeze dried to prepare dry powder inhaler (DPI) with
aerodynamic particle size of 1.76MUm. In vitro release study showed initial burst
release followed by sustained release up to 96.91% in 24h. In vitro release
further correlated with in vivo study. Prepared DPI maintained the PTH
concentration above MIC for more than 12h after single dose administration and
increased the PTH residency in the lungs tissue more than 24h. Animal study also
revealed the reduction of dose in pulmonary administration, which will improve
the management of tuberculosis.
PMID- 29370193
TI - Inhibition of alpha-glucosidase, alpha-amylase, and aldose reductase by potato
polyphenolic compounds.
AB - Diabetes mellitus is a chronic disease that is becoming a serious global health
problem. Diabetes has been considered to be one of the major risks of cataract
and retinopathy. Synthetic and natural product inhibitors of carbohydrate
degrading enzymes are able to reduce type 2 diabetes and its complications. For a
long time, potatoes have been portrayed as unhealthy for diabetic patients by
some nutritionist due to their high starch content. However, purple and red
potato cultivars have received considerable attention from consumers because they
have high levels of polyphenolic compounds that have potent antioxidant
activities. In this study, we screened the total phenolics (TP) and total
anthocyanins (TA) and analyzed the phenolic and anthocyanin compounds in selected
potato cultivars and advanced selections with distinct flesh colors (purple, red,
yellow and white). Purple and red potato cultivars had higher levels of TP and TA
than tubers with other flesh colors. Chlorogenic acid is the predominant phenolic
acid, and major anthocyanin is composed of the derivatives of petunidin,
peonidin, malvidin and pelargonidin. We tested the potential inhibitory effect of
potato extracts on the activities of alpha-amylase and alpha-glucosidase, which
were targeted to develop antidiabetic therapeutic agents. We also measured
inhibitory effect of potato extracts on aldose reductase (AR) which is a key
enzyme that has been a major drug target for the development of therapies to
treat diabetic complications. Purple flesh tubers extract showed the most
effective inhibition of alpha-amylase, alpha-glucosidase, and aldose reductase
with IC50 values 25, 42, and 32 MUg/ml, respectively. Kinetic studies showed that
anthocyanins are noncompetitive inhibitors of these enzymes, whereas phenolic
acids behaved as mixed inhibitors for alpha-amylase and alpha-glucosidase and
noncompetitive inhibitors for AR. This study supports the development of a
positive and healthful image of potatoes, which is an important issue for
consumers.
PMID- 29370194
TI - The role of spatial heterogeneity in the evolution of local and global infections
of viruses.
AB - Viruses have two modes spread in a host body, one is to release infectious
particles from infected cells (global infection) and the other is to infect
directly from an infected cell to an adjacent cell (local infection). Since the
mode of spread affects the evolution of life history traits, such as virulence,
it is important to reveal what level of global and local infection is selected.
Previous studies of the evolution of global and local infection have paid little
attention to its dependency on the measures of spatial configuration. Here we
show the evolutionarily stable proportion of global and local infection, and how
it depends on the distribution of target cells. Using an epidemic model on a
regular lattice, we consider the infection dynamics by pair approximation and
check the evolutionarily stable strategy. We also conduct the Monte-Carlo
simulation to observe evolutionary dynamics. We show that a higher local
infection is selected as target cells become clustered. Surprisingly, the
selected strategy depends not only on the degree of clustering but also the
abundance of target cells per se.
PMID- 29370195
TI - Reliability and reproducibility of disc-foveal angle measurements by non
mydriatic fundus photography.
AB - PURPOSE: Abnormal torsion could be associated with cyclovertical strabismus, but
torsion measurements are not reliable in children. To assess an objective fundus
torsion evaluation in a paediatric population, we used Non-Mydriatic Fundus
photography (NMFP) in healthy and cyclovertical strabismus patients to evaluate
the disc-foveal angle over time and observers. METHODS: We used a retrospective
set of NMFP including 24 A or V-pattern strabismus and 27 age-matched normal
children (mean age 6.4 and 6.7 years respectively), taken during 2 distinct
follow-up consultations (separated by 251 and 479 days respectively). Each disc
foveal angle measurement (from which the ocular torsion can be assessed) was
performed by 5 different observers, using graphical software and based on
reproducible fundus anatomical marks. Statistical analysis was performed with a
multivariate ANOVA using group, time and observers as factors, in addition to
intraclass coefficient correlation (ICC) to assess measurement reproducibility.
RESULTS: A significant difference of disc-foveal angle measures was observed
between groups (p<0,001): 18.73 degrees (SD = 6.42), -3,25 degrees (SD = 5.51)
and 6,89 degrees (SD = 4,41) respectively for V-pattern, A- pattern and normal
subjects. Neither observers (F = 0,2028 p = 0,9369) nor time between 1st and 2nd
NMFP (F = 0,6312 p = 0,4271) seem to influence the measure of disc-foveal angle.
The evaluation of disc-foveal angle was very reproducible between observers
(ICC>0,97). CONCLUSION: Abnormal amount of objective torsion could be associated
with alphabet-pattern strabismus. Disc-foveal angle evaluation by NMFP in a
children population appears as a non-invasive, reliable and reproducible method.
PMID- 29370196
TI - Lower pre-ART intra-participant HIV-1 pol diversity may not be associated with
virologic failure in adults.
AB - BACKGROUND: Identifying pre-ART factors associated with the emergence of HIV-1
drug resistance is critical for optimizing strategies to prevent virologic
failure. A previous study reported that lower pre-ART HIV-1 pol diversity was
associated with higher risk of virologic failure in HIV-1-infected children. To
investigate this association in adults, we measured HIV-1 diversity with deep
sequencing in pre-ART samples from adults with well-characterized virologic
outcomes in a study (A5142) of initial ART conducted by the AIDS Clinical Trials
Group (ACTG). METHODS: We identified 22 cases in ACTG A5142 who experienced
virologic failure with drug resistance mutations in RT and 44 matched controls
who did not experience virologic failure. cDNA was synthesized from plasma HIV-1
RNA. Each cDNA molecule was tagged with a unique primer ID and RT codons 41-103
were amplified and deep sequenced. Sequences with the same tag were aligned and a
consensus was generated to reduce PCR and sequencing errors. Diversity was
calculated by measuring average pairwise distance (APD) of the consensus
sequences. An exact conditional logistic regression model with percent APD as the
risk factor estimated the odds ratio for VF and the corresponding 95% confidence
interval. RESULTS: Consensus single-genome sequences and diversity estimates of
pol were obtained for pre-ART samples from 21 cases and 42 controls. The median
(IQR) pre-ART percent APD was 0.71 (0.31-1.13) in cases and 0.58 (0.32-0.94) in
controls. A possible trend was found for higher diversity being associated with
greater risk of virologic failure in adults (OR = 2.2 per one percent APD
increase, 95% CI = [0.8, 7.2]; p = 0.15). CONCLUSIONS: This study in adults
suggests there is a positive association between higher pre-ART pol diversity and
the risk of virologic failure in adults rather than an inverse relationship
reported in children.
PMID- 29370197
TI - Changes in opiate and stimulant use through 10 years: The role of contextual
factors, mental health disorders and psychosocial factors in a prospective SUD
treatment cohort study.
AB - AIM: To examine temporal changes in opiate and stimulant use among patients in
substance abuse treatment over a ten-year observation period and to explore the
role of contextual factors, mental health disorders and psychosocial factors on
these changes. METHODS: A cohort of 481 patients was prospectively interviewed at
admission to treatment and after 1, 2, 7 and 10 years. The sample was recruited
from 20 facilities in the Greater Oslo region, Norway. RESULTS: The majority of
patients were poly-drug users and 80% had used both opiates and stimulants the
last 30 days prior to treatment admission. Last-month use of heroin, other
opiates, cocaine and amphetamines declined from 80% to 34% at the end of the
observation period. The most substantial reduction was observed between baseline
and one-year follow-up. Use of heroin decreased the most from 62% to 16% after 10
years (a reduction of 74%), and the reduction continued from one-year follow-up
throughout the observation period. The most important multivariate risk factors
for sustained use of these drugs were male gender, having one or both biological
parents with severe alcohol or drug problems, having an antisocial personality
disorder, and living together with a person who abuses alcohol or drugs.
Employment was associated with reduced risk of drug use at 7-year follow-up.
CONCLUSIONS: There was a substantial reduction in opiate and stimulant use from
baseline to all follow-up assessments, most greatly for heroin. Findings
regarding sustained use could suggest familial transmission and the challenges of
preventive strategies and treatment efforts in an intergenerational context. Co
occurrence between drug abuse and mental health problems highlights the need of
highly specialized competence in SUD treatment.
PMID- 29370198
TI - Gender differences in emotion perception and self-reported emotional
intelligence: A test of the emotion sensitivity hypothesis.
AB - Previous meta-analyses and reviews on gender differences in emotion recognition
have shown a small to moderate female advantage. However, inconsistent evidence
from recent studies has raised questions regarding the implications of different
methodologies, stimuli, and samples. In the present research based on a community
sample of more than 5000 participants, we tested the emotional sensitivity
hypothesis, stating that women are more sensitive to perceive subtle, i.e. low
intense or ambiguous, emotion cues. In addition, we included a self-report
emotional intelligence test in order to examine any discrepancy between self
perceptions and actual performance for both men and women. We used a wide range
of stimuli and models, displaying six different emotions at two different
intensity levels. In order to better tap sensitivity for subtle emotion cues, we
did not use a forced choice format, but rather intensity measures of different
emotions. We found no support for the emotional sensitivity account, as both
genders rated the target emotions as similarly intense at both levels of stimulus
intensity. Men, however, more strongly perceived non-target emotions to be
present than women. In addition, we also found that the lower scores of men in
self-reported EI was not related to their actual perception of target emotions,
but it was to the perception of non-target emotions.
PMID- 29370199
TI - Meta-analysis: High-dose vs. low-dose metronidazole-containing therapies for
Helicobacter pylori eradication treatment.
AB - OBJECTIVE: The purpose of this study was to evaluate the efficacy of high dose of
metronidazole in the treatment of Helicobacter pylori (H. pylori) infection.
METHODS: Studies were identified from databases (Pubmed, Embase, Cochrane
Library, ClinicalTrials.gov) searched from January 1990 to September 2017 using a
battery of keywords. We included randomized controlled trials (RCTs) of H. pylori
treatment comparing the high-dose and low-dose metronidazole-containing therapies
(high-dose and low-dose therapies). Two reviewers independently selected studies,
extracted relevant data and assessed study quality. A meta-analysis was performed
by using Review Manager 5.3. Dichotomous data were pooled to obtain the relative
risk (RR) of the eradication rate, with 95% confidence intervals (CIs). RESULTS:
Four randomized controlled trials, a total of 612 patients with a diagnosis of H.
pylori infection were included. Overall the meta-analysis showed that both high
dose and low-dose therapies achieved similar efficacy of intention-to-treat (ITT)
eradication rate 82% vs. 76%, RR 1.12 (95%CI: 0.96 to 1.30), P = 0.15, and
adherence 94% vs. 94%, RR 1.00 (95%CI: 0.97 to 1.04), P = 0.81, but side effects
were more likely in high-dose therapies [32% vs. 17%, RR 1.84 (95%CI: 1.17 to
2.88), P = 0.008]. In subgroup analysis, increasing the dose of metronidazole
enhanced eradication rates in areas with high metronidazole resistance [74% vs
52%, RR 1.40 (95%CI: 1.08 to 1.82), P = 0.01] and in individuals with
metronidazole-resistant strains [71% vs. 46%, RR 1.50 (95%CI: 1.02 to 2.19), P =
0.04]. CONCLUSIONS: Both high-dose and low-dose therapies can achieve similar
eradication rates and adherence and generally low-dose therapies cause fewer side
effects. In populations with high metronidazole resistance, high dose of
metronidazole can increase the eradication rates of H. pylori infection.
PMID- 29370200
TI - A systematic approach to analyze the social determinants of cardiovascular
disease.
AB - Cardiovascular diseases are the leading cause of human mortality worldwide. Among
the many factors associated with the etiology, incidence, and evolution of such
diseases; social and environmental issues constitute an important and often
overlooked component. Understanding to a greater extent the scope to which such
social determinants of cardiovascular diseases (SDCVD) occur as well as the
connections among them would be useful for public health policy making. Here, we
will explore the historical trends and associations among the main SDCVD in the
published literature. Our aim will be finding meaningful relations among those
that will help us to have an integrated view on this complex phenomenon by
providing historical context and a relational framework. To uncover such
relations, we used a data mining approach to the current literature, followed by
network analysis of the interrelationships discovered. To this end, we
systematically mined the PubMed/MEDLINE database for references of published
studies on the subject, as outlined by the World Health Organization's framework
on social determinants of health. The analyzed structured corpus consisted in
circa 1190 articles categorized by means of the Medical Subheadings (MeSH)
content-descriptor. The use of data analytics techniques allowed us to find a
number of non-trivial connections among SDCVDs. Such relations may be relevant to
get a deeper understanding of the social and environmental issues associated with
cardiovascular disease and are often overlooked by traditional literature survey
approaches, such as systematic reviews and meta-analyses.
PMID- 29370201
TI - Network evolution model for supply chain with manufactures as the core.
AB - Building evolution model of supply chain networks could be helpful to understand
its development law. However, specific characteristics and attributes of real
supply chains are often neglected in existing evolution models. This work
proposes a new evolution model of supply chain with manufactures as the core,
based on external market demand and internal competition-cooperation. The
evolution model assumes the external market environment is relatively stable,
considers several factors, including specific topology of supply chain, external
market demand, ecological growth and flow conservation. The simulation results
suggest that the networks evolved by our model have similar structures as real
supply chains. Meanwhile, the influences of external market demand and internal
competition-cooperation to network evolution are analyzed. Additionally, 38
benchmark data sets are applied to validate the rationality of our evolution
model, in which, nine manufacturing supply chains match the features of the
networks constructed by our model.
PMID- 29370202
TI - Step-by-step variability of swing phase trajectory area during steady state
walking at a range of speeds.
AB - BACKGROUND: Step kinematic variability has been characterized during gait using
spatial and temporal kinematic characteristics. However, people can adopt
different trajectory paths both between individuals and even within individuals
at different speeds. Single point measures such as minimum toe clearance (MTC)
and step length (SL) do not necessarily account for the multiple paths that the
foot may take during the swing phase to reach the same foot fall endpoint. The
purpose of this study was to test a step-by-step foot trajectory area (SBS-FTA)
variability measure that is able to characterize sagittal plane foot trajectories
of varying areas, and compare this measure against MTC and SL variability at
different speeds. We hypothesize that the SBS-FTA variability would demonstrate
increased variability with speed. Second, we hypothesize that SBS-FTA would have
a stronger curvilinear fit compared with the CV and SD of SL and MTC. Third, we
hypothesize SBS-FTA would be more responsive to change in the foot trajectory at
a given speed compared to SL and MTC. Fourth, SBS-FTA variability would not
strongly co-vary with SL and MTC variability measures since it represents a
different construct related to foot trajectory area variability. METHODS: We
studied 15 nonimpaired individuals during walking at progressively faster speeds.
We calculated SL, MTC, and SBS-FTA area. RESULTS: SBS-FTA variability increased
with speed, had a stronger curvilinear fit compared with the CV and SD of SL and
MTC, was more responsive at a given speed, and did not strongly co-vary with SL
and MTC variability measures. CONCLUSION: SBS foot trajectory area variability
was sensitive to change with faster speeds, captured a relationship that the
majority of the other measures did not demonstrate, and did not co-vary strongly
with other measures that are also components of the trajectory.
PMID- 29370203
TI - Modelling multi-rotor UAVs swarm deployment using virtual pheromones.
AB - In this work, a swarm behaviour for multi-rotor Unmanned Aerial Vehicles (UAVs)
deployment will be presented. The main contribution of this behaviour is the use
of a virtual device for quantitative sematectonic stigmergy providing more
adaptable behaviours in complex environments. It is a fault tolerant highly
robust behaviour that does not require prior information of the area to be
covered, or to assume the existence of any kind of information signals (GPS,
mobile communication networks ...), taking into account the specific features of
UAVs. This behaviour will be oriented towards emergency tasks. Their main goal
will be to cover an area of the environment for later creating an ad-hoc
communication network, that can be used to establish communications inside this
zone. Although there are several papers on robotic deployment it is more
difficult to find applications with UAV systems, mainly because of the existence
of various problems that must be overcome including limitations in available
sensory and on-board processing capabilities and low flight endurance. In
addition, those behaviours designed for UAVs often have significant limitations
on their ability to be used in real tasks, because they assume specific features,
not easily applicable in a general way. Firstly, in this article the
characteristics of the simulation environment will be presented. Secondly, a
microscopic model for deployment and creation of ad-hoc networks, that implicitly
includes stigmergy features, will be shown. Then, the overall swarm behaviour
will be modeled, providing a macroscopic model of this behaviour. This model can
accurately predict the number of agents needed to cover an area as well as the
time required for the deployment process. An experimental analysis through
simulation will be carried out in order to verify our models. In this analysis
the influence of both the complexity of the environment and the stigmergy system
will be discussed, given the data obtained in the simulation. In addition, the
macroscopic and microscopic models will be compared verifying the number of
predicted individuals for each state regarding the simulation.
PMID- 29370204
TI - Correction: PARS risk charts: A 10-year study of risk assessment for
cardiovascular diseases in Eastern Mediterranean Region.
AB - [This corrects the article DOI: 10.1371/journal.pone.0189389.].
PMID- 29370205
TI - Sex differences in obesity related cancer incidence in relation to type 2
diabetes diagnosis (ZODIAC-49).
AB - BACKGROUND: Diabetes and obesity seem to be partly overlapping risk factors for
the development of obesity-related cancer (mainly breast, prostate and colorectal
cancer) in patients with type 2 diabetes (T2DM). In the general population, women
have a lower risk for obesity-related cancer compared to men. Previous studies
involving cardiovascular disease have shown that T2DM eliminates a female
advantage of lower CVD risk in the general population compared to men. It is
unclear whether the same could be true for obesity-related cancer. This study
aimed to this investigate obesity-related cancer incidence in women and men known
with T2DM as compared to the Dutch general population. METHODS: This study
included 69,583 patients with T2DM selected from a prospective primary care
cohort, which was linked to the Dutch National Cancer Registry to obtain cancer
specific data. Obesity-related cancers included liver, kidney, colorectal,
gallbladder, pancreas, ovarian, endometrial, advanced prostate cancer, post
menopausal breast cancer and oesophageal adenocarcinoma. Primary outcome was sex
stratified, age and year of cancer diagnosis adjusted standardized incidence
ratios (SIRs) for three time periods: 5 years before, the year after diagnosis
and the next 4 years after T2DM diagnosis. The Dutch general population was used
as reference group. RESULTS: Women with T2DM were at an increased risk for
obesity-related cancer compared to women in the general population already 5
years before diabetes diagnosis (SIR 1.77; 95%CI: 1.63-1.91). In both men and
women, there was a peak in obesity-related cancer incidence following diabetes
diagnosis (SIR: 1.38; 95%CI 1.11-1.64 and SIR: 2.21; 95%CI 1.94-2.30,
respectively). From the second to the fifth year after diabetes diagnosis the
obesity-related cancer incidence was higher in women compared to women in the
general population (SIR: 2.12; 95%CI 1.94-2.30). CONCLUSIONS: Women with T2DM
seem to have a substantially higher obesity-related cancer risk. As opposed to
men, in women this risk was already increased years before diabetes diagnosis.
These results could imply that a relative advantage of women in the general
population with regard to cancer risk is lost in women with T2DM.
PMID- 29370206
TI - Evaluating and optimizing the operation of the hydropower system in the Upper
Yellow River: A general LINGO-based integrated framework.
AB - The hydropower system in the Upper Yellow River (UYR), one of the largest
hydropower bases in China, plays a vital role in the energy structure of the
Qinghai Power Grid. Due to management difficulties, there is still considerable
room for improvement in the joint operation of this system. This paper presents a
general LINGO-based integrated framework to study the operation of the UYR
hydropower system. The framework is easy to use for operators with little
experience in mathematical modeling, takes full advantage of LINGO's capabilities
(such as its solving capacity and multi-threading ability), and packs its three
layers (the user layer, the coordination layer, and the base layer) together into
an integrated solution that is robust and efficient and represents an effective
tool for data/scenario management and analysis. The framework is general and can
be easily transferred to other hydropower systems with minimal effort, and it can
be extended as the base layer is enriched. The multi-objective model that
represents the trade-off between power quantity (i.e., maximum energy production)
and power reliability (i.e., firm output) of hydropower operation has been
formulated. With equivalent transformations, the optimization problem can be
solved by the nonlinear programming (NLP) solvers embedded in the LINGO software,
such as the General Solver, the Multi-start Solver, and the Global Solver. Both
simulation and optimization are performed to verify the model's accuracy and to
evaluate the operation of the UYR hydropower system. A total of 13 hydropower
plants currently in operation are involved, including two pivotal storage
reservoirs on the Yellow River, which are the Longyangxia Reservoir and the
Liujiaxia Reservoir. Historical hydrological data from multiple years (2000-2010)
are provided as input to the model for analysis. The results are as follows. 1)
Assuming that the reservoirs are all in operation (in fact, some reservoirs were
not operational or did not collect all of the relevant data during the study
period), the energy production is estimated as 267.7, 357.5, and 358.3*108 KWh
for the Qinghai Power Grid during dry, normal, and wet years, respectively. 2)
Assuming that the hydropower system is operated jointly, the firm output can
reach 3110 MW (reliability of 100%) and 3510 MW (reliability of 90%). Moreover, a
decrease in energy production from the Longyangxia Reservoir can bring about a
very large increase in firm output from the hydropower system. 3) The maximum
energy production can reach 297.7, 363.9, and 411.4*108 KWh during dry, normal,
and wet years, respectively. The trade-off curve between maximum energy
production and firm output is also provided for reference.
PMID- 29370208
TI - Coronary magnetic resonance imaging after routine implantation of bioresorbable
vascular scaffolds allows non-invasive evaluation of vascular patency.
AB - BACKGROUND: Evaluation of recurrent angina after percutaneous coronary
interventions is challenging. Since bioresorbable vascular scaffolds (BVS) cause
no artefacts in magnetic resonance imaging (MRI) due to their polylactate-based
backbone, evaluation of vascular patency by MRI might allow for non-invasive
assessment and triage of patients with suspected BVS failure. METHODS: Patients
with polylactate-based ABSORB-BVS in proximal coronary segments were examined
with 3 Tesla MRI directly (baseline) and one year after implantation. For
assessment of coronary patency, a high-resolution 3D spoiled gradient echo pulse
sequence with fat-saturation, T2-preparation (TE: 40 ms), respiratory and end
diastolic cardiac gating, and a spatial resolution of (1.08 mm)3 was positioned
parallel to the course of the vessel for bright blood imaging. In addition, a 3D
navigator-gated T2-weighted variable flip angle turbo spin echo (TSE) sequence
with dual-inversion recovery black-blood preparation and elliptical k-space
coverage was applied with a voxel size of (1.14 mm)3. For quantitative evaluation
lumen diameters of the scaffolded areas were measured in reformatted bright and
black blood MR angiography data. RESULTS: 11 patients with implantation of 16 BVS
in the proximal coronary segments were included, of which none suffered from
major adverse cardiac events during the one year follow up. Vascular patency in
all segments implanted with BVS could be reliably assessed by MRI at baseline and
after one year, whereas segments with metal stents could not be evaluated due to
artefacts. Luminal diameter within the BVS remained constant during the one year
period. One patient with atypical angina after BVS implantation was noninvasively
evaluated showing a patent vessel, also confirmed by coronary angiography.
CONCLUSIONS: Coronary MRI allows contrast-agent free and non-invasive assessment
of vascular patency after ABSORB-BVS implantation. This approach might be
supportive in the triage and improvement of diagnostic workflows in patients with
postinterventional angina and scaffold implantation. TRIAL REGISTRATION: German
Register of Clinical Studies DRKS00007456.
PMID- 29370209
TI - Evaluation of drug mechanism and efficacy of a novel anti-angiogenic agent, TTAC
0001, using multi-modality bioimaging in a mouse breast cancer orthotopic model.
AB - PURPOSE: Targeting of vascular endothelial growth factor receptors (VEGFRs) has
potential anti-angiogenic effects because VEGFR-2 is the major signaling
regulator of VEGF/VEGFR pathways. We aimed to elucidate the drug mechanism and
anti-tumor efficacy of TTAC-0001, a novel, fully human anti-VEGFR-2/KDR
monoclonal antibody, in mouse orthotopic breast cancer model using multi-modal
bioimaging. MATERIALS AND METHODS: We used orthotopic xenograft tumor model in
which human breast cancer cells (MDA-MB-231) were injected into the right mammary
fat pad of Balb/c nude mice. We investigated its biodistribution using serial
fluorescence imaging after injecting fluorescent-labelled-drug and mode of action
using Matrigel plug angiogenesis assays. The anti-tumor efficacy of drug was
assessed using ultrasonography and bioluminescence imaging. Histopathologic
analyses, including hematoxylin and eosin staining and immunohistochemistry with
anti-CD31 and anti-Ki-67 antibodies, were performed. Each experiment had four
groups: control, bevacizumab 10 mg/kg (BVZ-10 group), TTAC-0001 2 mg/kg (TTAC-2
group), and TTAC-0001 10 mg/kg (TTAC-10 group). RESULTS: The TTAC-10 group showed
good tumor targeting that lasted for at least 6 days and had a good anti
angiogenic effect with decreased hemoglobin content and fewer CD31-positive cells
in the Matrigel plug. Compared with BVZ-10 and TTAC-2 groups, the TTAC-10 group
showed the strongest anti-tumor efficacy, inhibiting tumor growth as detected by
ultrasonography and bioluminescence imaging. The TTAC-10 group also showed the
lowest viable tumor and micro-vessel areas and the lowest Ki-67 index in
histopathologic analyses. CONCLUSION: We firstly demonstrated that TTAC-0001
effectively inhibited tumor growth and neovascularization in mouse orthotopic
breast cancer model. It may provide a future treatment option for breast cancer.
PMID- 29370207
TI - APOEepsilon4 and slow wave sleep in older adults.
AB - Slow wave (or stage N3) sleep has been linked to a variety of cognitive
processes. However, the role of stage N3 in the elderly is debated. The link
between stage N3 and episodic memory may be weakened or changed in the older
adult population, possibly due to several altered mechanisms impacting the
cellular structure of the brain. The bases for the age-related dissociation
between stage N3 and cognition are not understood. Since APOEepsilon4 status is
the strongest genetic risk factor for cognitive decline, we assessed whether the
epsilon4 allele is associated with stage N3 sleep. Participants were from the
population-based Osteoporotic Fractures in Men (MrOS) cohort with polysomnography
and APOEepsilon4 genotype data (n = 2,302, 100% male, mean age 76.6 years). Sleep
stages were objectively measured using overnight in-home polysomnography and
central electroencephalogram data were used to score stage N3 sleep. Cognitive
function was assessed using the Modified Mini Mental State Exam (3MS). The APOE
rs429358 single nucleotide polymorphism, which defines the APOEepsilon4 allele,
was genotyped using a custom genotyping array. Total time in stage N3 sleep was
significantly higher (p<0.0001) among the 40 MrOS participants carrying two
copies of the epsilon4 allele (62+/-5.2 minutes) compared with 43+/-1.5 minutes
for carriers of one epsilon4 allele (n = 515) and 40+/-0.8 minutes for epsilon4
non-carriers (n = 1747). All results were independent of sleep efficiency, number
of sleep cycles, and apnea hypopnea index. These findings support an association
between APOEepsilon4 genotype and sleep stage N3 in the elderly. Increased total
stage N3 duration among epsilon4/epsilon4 carriers does not appear to reflect
compensation for prior cognitive decline and may reflect overactive downscaling
of synapses during sleep. If confirmed, these results might in part explain the
high risk of age-related cognitive decline and AD among APOE epsilon4/epsilon4
carriers.
PMID- 29370210
TI - Integrated phenotypic-genotypic approach to understand the influence of
ultrasound on metabolic response of Lactobacillus sakei.
AB - The lethal effects of soundwaves on a range of microorganisms have been known for
almost a century whereas, the use of ultrasound to promote or control their
activity is much more recent. Moreover, the fundamental molecular mechanism
influencing the behaviour of microorganisms subjected to ultrasonic waves is not
well established. In this study, we investigated the influence of ultrasonic
frequencies of 20, 45, 130 and 950 kHz on growth kinetics of Lactobacillus sakei.
A significant increase in the growth rate of L. sakei was observed following
ultrasound treatment at 20 kHz despite the treatment yielding a significant
reduction of ca. 3 log cfu/mL in cells count. Scanning electron microscopy showed
that ultrasound caused significant changes on the cell surface of L. sakei
culture with the formation of pores "sonoporation". Phenotypic microarrays showed
that all ultrasound treated L. sakei after exposure to various carbon, nitrogen,
phosphorus and sulphur sources had significant variations in nutrient
utilisation. Integration of this phenotypic data with the genome of L. sakei
revealed that various metabolic pathways were being influenced by the ultrasound
treatments. Results presented in this study showed that the physiological
response of L. sakei in response to US is frequency dependent and that it can
influence metabolic pathways. Hence, ultrasound treatments can be employed to
modulate microbial activity for specialised applications.
PMID- 29370211
TI - Osteoclast inhibitors to prevent bone metastases in men with high-risk, non
metastatic prostate cancer: A systematic review and meta-analysis.
AB - BACKGROUND: In advanced prostate cancer, osteoclast inhibitors prevent and
palliate skeletal related events associated with bone metastases. However, it is
uncertain whether they play a disease-modifying role earlier in the course of the
disease. METHODS: Medline, EMBASE, Cochrane Central Register of Controlled Trials
and Cochrane Database of Systematic Reviews and ASCO conference proceedings were
searched for randomized controlled trials that compared osteoclast inhibitors
with placebo and/or standard of care (SOC) in patients with high-risk, non
metastatic prostate cancer. The primary outcome measure was incidence of new bone
metastases; secondary outcomes included overall survival (OS), prostate cancer
specific survival, mortality unrelated to prostate cancer, toxicity and health
related quality of life outcomes. Results are presented as relative risk (RR)
with 95% confidence intervals (CI). RESULTS: Six randomized controlled trials
(5947 participants) were included, five evaluating bisphosphonates and one
denosumab. Overall, there was no difference in incidence of bone metastases
between participants treated with osteoclast inhibitors versus placebo/SOC (RR
1.09, 95%CI 0.84-1.41, p = 0.51) however significant heterogeneity was observed
between studies. The denosumab trial was the largest and only positive trial
amongst the included studies (RR 0.83, 95%CI 0.73-0.95, p = 0.007). No
significant difference was observed in OS (RR 0.99 95% CI 0.89-1.10, p = 0.84)
nor prostate cancer specific survival (RR 1.12 95%CI 0.93-1.36, p = 0.24). Most
studies reported increased rates of osteonecrosis of the jaw (5% or less) and
hypocalcemia (2% or less) with osteoclast inhibitors. CONCLUSIONS: While there is
limited evidence that bisphosphonates alter the natural history of high-risk, non
metastatic prostate cancer, denosumab delays onset of bone metastases in this
patient population. Neither class of osteoclast inhibitor demonstrated an impact
on survival outcomes. Future trials with better defined patient selection and a
robust definition for high risk disease is critical.
PMID- 29370212
TI - Not explicit but implicit memory is influenced by individual perception style.
AB - Not only explicit but also implicit memory has considerable influence on our
daily life. However, it is still unclear whether explicit and implicit memories
are sensitive to individual differences. Here, we investigated how individual
perception style (global or local) correlates with implicit and explicit memory.
As a result, we found that not explicit but implicit memory was affected by the
perception style: local perception style people more greatly used implicit memory
than global perception style people. These results help us to make the new
effective application adapting to individual perception style and understand some
clinical symptoms such as autistic spectrum disorder. Furthermore, this finding
might give us new insight of memory involving consciousness and unconsciousness
as well as relationship between implicit/explicit memory and individual
perception style.
PMID- 29370213
TI - Early life vitamin D depletion alters the postnatal response to skeletal loading
in growing and mature bone.
AB - There is increasing evidence of persistent effects of early life vitamin D
exposure on later skeletal health; linking low levels in early life to smaller
bone size in childhood as well as increased fracture risk later in adulthood,
independently of later vitamin D status. A major determinant of bone mass
acquisition across all ages is mechanical loading. We tested the hypothesis in an
animal model system that early life vitamin D depletion results in abrogation of
the response to mechanical loading, with consequent reduction in bone size, mass
and strength during both childhood and adulthood. A murine model was created in
which pregnant dams were either vitamin D deficient or replete, and their
offspring moved to a vitamin D replete diet at weaning. Tibias of the offspring
were mechanically loaded and bone structure, extrinsic strength and growth
measured both during growth and after skeletal maturity. Offspring of vitamin D
deplete mice demonstrated lower bone mass in the non loaded limb and reduced bone
mass accrual in response to loading in both the growing skeleton and after
skeletal maturity. Early life vitamin D depletion led to reduced bone strength
and altered bone biomechanical properties. These findings suggest early life
vitamin D status may, in part, determine the propensity to osteoporosis and
fracture that blights later life in many individuals.
PMID- 29370214
TI - Alcohol and older people: A systematic review of barriers, facilitators and
context of drinking in older people and implications for intervention design.
AB - BACKGROUND: Harmful alcohol consumption in older people has increased and
effective approaches to understanding and addressing this societal concern are
needed. METHODS: Systematic review of qualitative studies in older populations
(55+ years) to identify barriers, facilitators or context of drinking in older
people. Multiple databases (MEDLINE, EMBASE, PsycINFO, CINAHL, CENTRAL, Social
Sciences Citation Index, York Centre for Reviews and Dissemination, Cochrane
database and grey literature) were searched from 2000 to February 2017 for
studies in English, from OECD countries using MeSH terms and text words relating
to alcohol combined with older age terms. Study quality was assessed using NICE
methodology. The review is reported according to PRISMA. RESULTS: Drinking in
older people is strongly linked to social engagement and there is scepticism
about the health risks of alcohol. Drinking was also linked to difficulties such
as social isolation, illness or bereavement. Alcohol can be related to routines
and identity. However, older people often regulate their own drinking and
strategies that emphasise the life experience of older people to drink wisely
could be helpful. CONCLUSIONS: To be effective societal approaches need to take
into account contexts of risks for harmful drinking. The evidence supports a
strong social role for drinking alcohol which should be taken into account in any
policy development with the potential benefits of social participation for
cognitive health. Approaches to reducing alcohol use in older people need to
avoid paradoxical harm, with a need for approaches that reduce harm from drinking
alcohol but retain the benefit of socialising.
PMID- 29370215
TI - High levels of incidental physical activity are positively associated with
cognition and EEG activity in aging.
AB - High levels of physical activity seem to positively influence health and
cognition across the lifespan. Several studies have found that aerobic exercise
enhances cognition and likely prevents cognitive decline in the elderly.
Nevertheless, the association of incidental physical activity (IPA) with health
and cognition during aging has not been studied. Thus, the aim of this study was
to evaluate the association of IPA level with cognitive functions and resting
electroencephalogram (EEG) in healthy old participants. Participants (n = 97)
with normal scores on psychometric and neuropsychological tests and normal values
in blood analyses were included. A cluster analysis based on the scores of the
Yale Physical Activity Scale (YPAS) allowed the formation of two groups: active,
with high levels of IPA, and passive, with low levels of IPA. Eyes-closed resting
EEG was recorded from the participants; the fast Fourier transform was used
offline to calculate absolute power (AP), relative power (RP), and mean frequency
(MF) measures. There were no differences in socioeconomic status, cognitive
reserve, general cognitive status, or lipid and TSH profiles between the groups.
The results of cognitive tests revealed significant differences in the
performance variables of the WAIS scores (p = .015), with advantages for the
active group. The resting EEG exhibited significantly slower activity involving
the frontal, central, and temporal regions in the passive group (p < .05).
Specifically, higher delta RP (F7, T3), lower delta MF (F4, C4, T4, T6, Fz, Cz),
higher theta AP (C4), higher theta RP (F4, C4, T3, Fz), lower alpha AP (F3, F7,
T3), lower alpha RP (F7), and lower total MF (F3, F7, T3, T5, Fz) were found.
Altogether, these results suggest that IPA induces a neuroprotective effect,
which is reflected both in behavioral and electrophysiological variables during
aging.
PMID- 29370216
TI - Compensating for geographic variation in detection probability with water depth
improves abundance estimates of coastal marine megafauna.
AB - The probability of an aquatic animal being available for detection is typically
<1. Accounting for covariates that reduce the probability of detection is
important for obtaining robust estimates of the population abundance and
determining its status and trends. The dugong (Dugong dugon) is a bottom-feeding
marine mammal and a seagrass community specialist. We hypothesized that the
probability of a dugong being available for detection is dependent on water depth
and that dugongs spend more time underwater in deep-water seagrass habitats than
in shallow-water seagrass habitats. We tested this hypothesis by quantifying the
depth use of 28 wild dugongs fitted with GPS satellite transmitters and time
depth recorders (TDRs) at three sites with distinct seagrass depth distributions:
1) open waters supporting extensive seagrass meadows to 40 m deep (Torres Strait,
6 dugongs, 2015); 2) a protected bay (average water depth 6.8 m) with extensive
shallow seagrass beds (Moreton Bay, 13 dugongs, 2011 and 2012); and 3) a mixture
of lagoon, coral and seagrass habitats to 60 m deep (New Caledonia, 9 dugongs,
2013). The fitted instruments were used to measure the times the dugongs spent in
the experimentally determined detection zones under various environmental
conditions. The estimated probability of detection was applied to aerial survey
data previously collected at each location. In general, dugongs were least
available for detection in Torres Strait, and the population estimates increased
6-7 fold using depth-specific availability correction factors compared with
earlier estimates that assumed homogeneous detection probability across water
depth and location. Detection probabilities were higher in Moreton Bay and New
Caledonia than Torres Strait because the water transparency in these two
locations was much greater than in Torres Strait and the effect of correcting for
depth-specific detection probability much less. The methodology has application
to visual survey of coastal megafauna including surveys using Unmanned Aerial
Vehicles.
PMID- 29370217
TI - Does ambient noise or hypobaric atmosphere influence olfactory and gustatory
function?
AB - Multidimensional food perception is based mainly on gustatory and olfactory
function. Recent research has demonstrated that hypobaric pressure impairs
gustatory function and that background noise or distracting auditory stimulation
impairs olfactory function. Using a hypobaric chamber, the odor identification,
discrimination, and thresholds as well as taste identification and threshold
scores were measured in 16 healthy male volunteers under normal and hypobaric
(6380 ft) conditions using clinically validated tests. In both conditions,
background noise was either canceled out or replaced by white noise presentation
(70 dB sound pressure level). Olfactory sensitivity for n-butanol and gustatory
sensitivity were impaired in a hypobaric atmosphere. White noise did not
influence the odor test results. White noise stimulation impaired sensitivity for
sour and sweet but not for bitter or salty tastants. We conclude that hypobaric
or noisy environments could impair gustatory and olfactory sensitivity
selectively for particular tastants and odorants.
PMID- 29370218
TI - The effect of concomitant DPPIVi use on glycaemic control and hypoglycaemia with
insulin glargine 300 U/mL (Gla-300) versus insulin glargine 100 U/mL (Gla-100) in
people with type 2 diabetes: A patient-level meta-analysis of EDITION 2 and 3.
AB - AIMS: To evaluate the effect of concomitant dipeptidyl peptidase IV inhibitor
(DPPIVi) use on efficacy and safety of insulin glargine 300 U/mL (Gla-300) versus
glargine 100 U/mL (Gla-100) in people with type 2 diabetes on oral
antihyperglycaemic drugs. METHODS: A post hoc patient-level meta-analysis was
performed using data from EDITION 2 (basal insulin [N = 811]) and EDITION 3
(insulin-naive [N = 878]), multicentre, randomised, open-label, parallel-group,
phase 3a trials of similar design. Endpoints analysed included HbA1c,
hypoglycaemia and adverse events, investigated in subgroups of participants with
and without concomitant DPPIVi use. RESULTS: Of 1689 participants randomised, 107
(13%, Gla-300) and 133 (16%, Gla-100) received DPPIVi therapy. The least squares
mean change in HbA1c (baseline to month 6) was comparable between treatment
groups, irrespective of DPPIVi use (no evidence of heterogeneity of treatment
effect across subgroups, p = 0.753), although group sizes were unbalanced. The
cumulative mean number of confirmed (<=3.9 mmol/L [<=70 mg/dL]) or severe
hypoglycaemic events, and the risk and annualised rate of such events, were
consistently lower for Gla-300 than Gla-100 during the night (between 00:00 and
05:59 h) or at any time of day (24 h period), irrespective of DPPIVi use. Severe
hypoglycaemia occurred in 8/838 and 10/844 participants in the Gla-300 and Gla
100 groups, respectively, and was not affected by DPPIVi use. The adverse event
profile was similar between treatment groups and DPPIVi subgroups. CONCLUSIONS:
Glycaemic control with Gla-300 was comparable to Gla-100, with less hypoglycaemia
during the night and at any time of day (24 h), irrespective of concomitant
DPPIVi use. TRIAL REGISTRATION: ClinicalTrials.gov NCT01499095; NCT01676220.
PMID- 29370219
TI - ARMC5 mutations in familial and sporadic primary bilateral macronodular adrenal
hyperplasia.
AB - To investigate Armadillo repeat-containing 5 (ARMC5) mutations in Chinese
patients with familial and sporadic primary bilateral macronodular adrenal
hyperplasia (PBMAH), we performed clinical data collection and ARMC5 sequencing
for three PBMAH families and 23 sporadic PBMAH patients. ARMC5 pathogenic
germline mutations were identified in all 3 PBMAH families. Secondary ARMC5
somatic mutations were found in two adrenal nodules from two PBMAH family members
with ARMC5 germline mutations. PBMAH family members with ARMC5 pathogenic
germline mutations displayed various clinical manifestations. ARMC5 pathogenic
germline mutations were identified in 5 sporadic PBMAH patients among whom one
patient displayed both hypercortisolism and primary aldosteronism. We detected a
total of 10 ARMC5 pathogenic mutations, of which 8 had not been previously
reported. Our results suggest that ARMC5 pathogenic germline mutations are common
in familial and sporadic Chinese PBMAH patients, and demonstrate the importance
of ARMC5 screening in PBMAH family members to detect patients with insidious
PBMAH.
PMID- 29370220
TI - Women's experiences with unplanned pregnancy and abortion in Kenya: A qualitative
study.
AB - BACKGROUND: Safe and legal abortions are rarely practiced in the public health
sector in Kenya, and rates of maternal mortality and morbidity from unsafe
abortion is high. Little is known about women's experiences seeking and accessing
abortion in informal settlements in Nairobi, Kenya. METHODS: Seven focus group
discussions were conducted with a total of 71 women and girls recruited from an
informal settlement in Nairobi. The interview guide explored participants'
perceptions of unplanned pregnancy, abortion, and access to sexual and
reproductive health information in their community. Thematic analysis of the
focus group transcripts was conducted using MAX QDA Release 12. RESULTS:
Participants described a variety of factors that influence women's experiences
with abortion in their communities. According to participants, limited knowledge
of sexual and reproductive health information and lack of access to contraception
led to unplanned pregnancy among women in their community. Participants cited
stigma and loss of opportunities that women with unplanned pregnancies face as
the primary reasons why women seek abortions. Participants articulated stigma as
the predominant barrier women in their communities face to safe abortion. Other
barriers, which were often interrelated to stigma, included lack of education
about safe methods of abortion, perceived illegality of abortion, as well as
limited access to services, fear of mistreatment, and mistrust of health
providers and facilities. CONCLUSIONS: Women in informal settlements in Nairobi,
Kenya face substantial barriers to regulating their fertility and lack access to
safe abortion. Policy makers and reproductive health advocates should support
programs that employ harm reduction strategies and increase women's knowledge of
and access to medication abortion outside the formal healthcare system.
PMID- 29370221
TI - Surface display of OmpC of Salmonella serovar Pullorum on Bacillus subtilis
spores.
AB - Salmonellosis is a major public health problem throughout the world. Thus, there
is a huge need for diversified control strategies for Salmonella infections. In
this work, we have assessed the potential use of Bacillus subtilis (B. subtilis)
spores for the expression of a major protective antigen of Salmonella serovar
Pullorum, OmpC. The expression of OmpC on the surface of spores was determined by
immunofluorescence microscopy. Mice immunized with recombinant spores expressing
the OmpC antigen presented significant levels of OmpC-specific serum IgG and
mucosal SIgA antibodies than in mice immunized with non-recombinant spores
(p<0.01). In addition, oral immunization with recombinant spores was able to
induce a significant level of protection in mice against lethal challenge with
Salmonella serovar Typhimurium. These results suggest that B. subtilis spores
have promising potential in the development of mucosal vaccines against
Salmonella infections.
PMID- 29370222
TI - A tradeoff between the losses caused by computer viruses and the risk of the
manpower shortage.
AB - This article addresses the tradeoff between the losses caused by a new virus and
the size of the team for developing an antivirus against the virus. First, an
individual-level virus spreading model is proposed to capture the spreading
process of the virus before the appearance of its natural enemy. On this basis,
the tradeoff problem is modeled as a discrete optimization problem. Next, the
influences of different factors, including the infection force, the infection
function, the available manpower, the alarm threshold, the antivirus development
effort and the network topology, on the optimal team size are examined through
computer simulations. This work takes the first step toward the tradeoff problem,
and the findings are instructive to the decision makers of network security
companies.
PMID- 29370223
TI - Breeding sex ratio and population size of loggerhead turtles from Southwestern
Florida.
AB - Species that display temperature-dependent sex determination are at risk as a
result of increasing global temperatures. For marine turtles, high incubation
temperatures can skew sex ratios towards females. There are concerns that
temperature increases may result in highly female-biased offspring sex ratios,
which would drive a future sex ratio skew. Studying the sex ratios of adults in
the ocean is logistically very difficult because individuals are widely
distributed and males are inaccessible because they remain in the ocean. Breeding
sex ratios (BSR) are sought as a functional alternative to study adult sex
ratios. One way to examine BSR is to determine the number of males that
contribute to nests. Our goal was to evaluate the BSR for loggerhead turtles
(Caretta caretta) nesting along the eastern Gulf of Mexico in Florida, from 2013
2015, encompassing three nesting seasons. We genotyped 64 nesting females
(approximately 28% of all turtles nesting at that time) and up to 20 hatchlings
from their nests (n = 989) using 7 polymorphic microsatellite markers. We
identified multiple paternal contributions in 70% of the nests analyzed and 126
individual males. The breeding sex ratio was approximately 1 female for every 2.5
males. We did not find repeat males in any of our nests. The sex ratio and lack
of repeating males was surprising because of female-biased primary sex ratios. We
hypothesize that females mate offshore of their nesting beaches as well as en
route. We recommend further comparisons of subsequent nesting events and of other
beaches as it is imperative to establish baseline breeding sex ratios to
understand how growing populations behave before extreme environmental effects
are evident.
PMID- 29370224
TI - Linking deep convection and phytoplankton blooms in the northern Labrador Sea in
a changing climate.
AB - Wintertime convective mixing plays a pivotal role in the sub-polar North Atlantic
spring phytoplankton blooms by favoring phytoplankton survival in the competition
between light-dependent production and losses due to grazing and gravitational
settling. We use satellite and ocean reanalyses to show that the area-averaged
maximum winter mixed layer depth is positively correlated with April chlorophyll
concentration in the northern Labrador Sea. A simple theoretical framework is
developed to understand the relative roles of winter/spring convection and
gravitational sedimentation in spring blooms in this region. Combining climate
model simulations that project a weakening of wintertime Labrador Sea convection
from Arctic sea ice melt with our framework suggests a potentially significant
reduction in the initial fall phytoplankton population that survive the winter to
seed the region's spring bloom by the end of the 21st century.
PMID- 29370226
TI - A critical comparison of topology-based pathway analysis methods.
AB - One of the aims of high-throughput gene/protein profiling experiments is the
identification of biological processes altered between two or more conditions.
Pathway analysis is an umbrella term for a multitude of computational approaches
used for this purpose. While in the beginning pathway analysis relied on
enrichment-based approaches, a newer generation of methods is now available,
exploiting pathway topologies in addition to gene/protein expression levels.
However, little effort has been invested in their critical assessment with
respect to their performance in different experimental setups. Here, we assessed
the performance of seven representative methods identifying differentially
expressed pathways between two groups of interest based on gene expression data
with prior knowledge of pathway topologies: SPIA, PRS, CePa, TAPPA, TopologyGSA,
Clipper and DEGraph. We performed a number of controlled experiments that
investigated their sensitivity to sample and pathway size, threshold-based
filtering of differentially expressed genes, ability to detect target pathways,
ability to exploit the topological information and the sensitivity to different
pre-processing strategies. We also verified type I error rates and described the
influence of overexpression of single genes, gene sets and topological motifs of
various sizes on the detection of a pathway as differentially expressed. The
results of our experiments demonstrate a wide variability of the tested methods.
We provide a set of recommendations for an informed selection of the proper
method for a given data analysis task.
PMID- 29370225
TI - Examining the role of common and rare mitochondrial variants in schizophrenia.
AB - Oxidative phosphorylation within mitochondria is the main source of aerobic
energy for neuronal functioning, and the key genes are located in mitochondrial
DNA. Deficits in oxidative phosphorylation functioning have been reported for
schizophrenia, but efforts in the identification of genetic markers within the
mitochondrial DNA that predispose to schizophrenia have been limited. We
genotyped a set of mitochondrial SNPs using Illumina HumanExome arrays and tested
for association in the Swedish schizophrenia sample (N> 10,000). We developed a
novel approach for mitochondrial DNA imputation in order to increase the number
of common SNPs available for association analysis. The most significant findings
were for the mitochondrial SNPs C15452A (GRCh38.p10; rs527236209; p = 0.007; gene
MT-CYB; defining haplogroup JT); A11251G (rs869096886; p = 0.007; gene MT-ND4;
defining haplogroup JT), and T4216C (rs1599988; p = 0.008, gene MT-ND1, defining
haplogroup R2'JT). We also conducted rare variant burden analyses and obtained a
p-value of 0.007. For multimarker haplotypes analysis, the most significant
finding was for the J group (OR: 0.86, p = 0.02). We conducted the largest
association study of mitochondrial DNA variants and schizophrenia but did not
find an association that survived multiple testing correction. Analysis of a
larger sample is required and will allow a better understanding of the role of
mitochondria in schizophrenia.
PMID- 29370227
TI - Associations between malaria-related ideational factors and care-seeking behavior
for fever among children under five in Mali, Nigeria, and Madagascar.
AB - Malaria remains one of the leading causes of morbidity and mortality among
children under five years old in many low- and middle-income countries. In this
study, we examined how malaria-related ideational factors may influence care
seeking behavior among female caregivers of children under five with fever. Data
came from population-based surveys conducted in 2014-2015 by U.S. Agency for
International Development-funded surveys in Madagascar, Mali, and Nigeria. The
outcome of interest was whether a child under five with fever within two weeks
prior to the survey was brought to a formal health facility for care. Results
show a wide variation in care-seeking practices for children under five with
fever across countries. Seeking care for febrile children under five in the
formal health sector is far from a norm in the study countries. Important
ideational factors associated with care-seeking behavior included caregivers'
perceived social norms regarding treatment of fever among children under five in
Nigeria and Madagascar, and caregiver's knowledge of the cause of malaria in
Mali. Findings indicate that messages aimed to increase malaria-related knowledge
should be tailored to the specific country, and that interventions designed to
influence social norms about care-seeking are likely to result in increased care
seeking behavior for fever in children under five.
PMID- 29370228
TI - Regional differences in antihyperglycemic medication are not explained by
individual socioeconomic status, regional deprivation, and regional health care
services. Observational results from the German DIAB-CORE consortium.
AB - AIMS: This population-based study sought to extend knowledge on factors
explaining regional differences in type 2 diabetes mellitus medication patterns
in Germany. METHODS: Individual baseline and follow-up data from four regional
population-based German cohort studies (SHIP [northeast], CARLA [east], HNR
[west], KORA [south]) conducted between 1997 and 2010 were pooled and merged with
both data on regional deprivation and regional health care services. To analyze
regional differences in any or newer anti-hyperglycemic medication, medication
prevalence ratios (PRs) were estimated using multivariable Poisson regression
models with a robust error variance adjusted gradually for individual and
regional variables. RESULTS: The study population consisted of 1,437 people aged
45 to 74 years at baseline, (corresponding to 49 to 83 years at follow-up) with
self-reported type 2 diabetes. The prevalence of receiving any anti-hyperglycemic
medication was 16% higher in KORA (PR 1.16 [1.08-1.25]), 10% higher in CARLA
(1.10 [1.01-1.18]), and 7% higher in SHIP (PR 1.07 [1.00-1.15]) than in HNR. The
prevalence of receiving newer anti-hyperglycemic medication was 49% higher in
KORA (1.49 [1.09-2.05]), 41% higher in CARLA (1.41 [1.02-1.96]) and 1% higher in
SHIP (1.01 [0.72-1.41]) than in HNR, respectively. After gradual adjustment for
individual variables, regional deprivation and health care services, the effects
only changed slightly. CONCLUSIONS: Neither comprehensive individual factors
including socioeconomic status nor regional deprivation or indicators of regional
health care services were able to sufficiently explain regional differences in
anti-hyperglycemic treatment in Germany. To understand the underlying causes,
further research is needed.
PMID- 29370230
TI - Identification of transformer fault based on dissolved gas analysis using hybrid
support vector machine-modified evolutionary particle swarm optimisation.
AB - Early detection of power transformer fault is important because it can reduce the
maintenance cost of the transformer and it can ensure continuous electricity
supply in power systems. Dissolved Gas Analysis (DGA) technique is commonly used
to identify oil-filled power transformer fault type but utilisation of artificial
intelligence method with optimisation methods has shown convincing results. In
this work, a hybrid support vector machine (SVM) with modified evolutionary
particle swarm optimisation (EPSO) algorithm was proposed to determine the
transformer fault type. The superiority of the modified PSO technique with SVM
was evaluated by comparing the results with the actual fault diagnosis,
unoptimised SVM and previous reported works. Data reduction was also applied
using stepwise regression prior to the training process of SVM to reduce the
training time. It was found that the proposed hybrid SVM-Modified EPSO (MEPSO)
Time Varying Acceleration Coefficient (TVAC) technique results in the highest
correct identification percentage of faults in a power transformer compared to
other PSO algorithms. Thus, the proposed technique can be one of the potential
solutions to identify the transformer fault type based on DGA data on site.
PMID- 29370231
TI - Behavioural responses of krill and cod to artificial light in laboratory
experiments.
AB - Most fishes and crustaceans respond to light, and artificial light sources may
therefore be an efficient stimulus to manipulate behaviours in aquatic animals.
It has been hypothesised that the catch efficiency of pots could be increased if
prey, for example krill, can be attracted into the pots providing a visual
stimulus and a source of live bait. To find which light characteristics are most
attractive to krill, we tested the effects of light intensity and wavelength
composition on Northern krill's (Meganyctiphanes norvegica) behavioural response
to an artificial light source. The most attractive individual wavelength was 530
nm (green light), while broadband (425-750 nm) white light was an equally
attractive light source. The intensity of the emitted light did not appear to
have a direct effect on attraction to the light source, however it did
significantly increase swimming activity among the observed krill. The most
promising light stimuli for krill were tested to determine whether they would
have a repulsive or attractive effect on cod (Gadus morhua); These light stimuli
appeared to have a slightly repulsive, but non-significant, effect on cod.
However, we suggest that a swarm of krill attracted to an artificial light source
may produce a more effective visual stimulus to foraging cod.
PMID- 29370229
TI - Risk factors for death, stroke, and bleeding in 28,628 patients from the GARFIELD
AF registry: Rationale for comprehensive management of atrial fibrillation.
AB - BACKGROUND: The factors influencing three major outcomes-death, stroke/systemic
embolism (SE), and major bleeding-have not been investigated in a large
international cohort of unselected patients with newly diagnosed atrial
fibrillation (AF). METHODS AND RESULTS: In 28,628 patients prospectively enrolled
in the GARFIELD-AF registry with 2-year follow-up, we aimed at analysing: (1) the
variables influencing outcomes; (2) the extent of implementation of guideline
recommended therapies in comorbidities that strongly affect outcomes. Median
(IQR) age was 71.0 (63.0 to 78.0) years, 44.4% of patients were female, median
(IQR) CHA2DS2-VASc score was 3.0 (2.0 to 4.0); 63.3% of patients were on
anticoagulants (ACs) with or without antiplatelet (AP) therapy, 24.5% AP
monotherapy, and 12.2% no antithrombotic therapy. At 2 years, rates (95% CI) of
death, stroke/SE, and major bleeding were 3.84 (3.68; 4.02), 1.27 (1.18; 1.38),
and 0.71 (0.64; 0.79) per 100 person-years. Age, history of stroke/SE, vascular
disease (VascD), and chronic kidney disease (CKD) were associated with the risks
of all three outcomes. Congestive heart failure (CHF) was associated with the
risks of death and stroke/SE. Smoking, non-paroxysmal forms of AF, and history of
bleeding were associated with the risk of death, female sex and heavy drinking
with the risk of stroke/SE. Asian race was associated with lower risks of death
and major bleeding versus other races. AC treatment was associated with 30% and
28% lower risks of death and stroke/SE, respectively, compared with no AC
treatment. Rates of prescription of guideline-recommended drugs were suboptimal
in patients with CHF, VascD, or CKD. CONCLUSIONS: Our data show that several
variables are associated with the risk of one or more outcomes, in terms of
death, stroke/SE, and major bleeding. Comprehensive management of AF should
encompass, besides anticoagulation, improved implementation of guideline
recommended therapies for comorbidities strongly associated with outcomes, namely
CHF, VascD, and CKD. TRIAL REGISTRATION: ClinicalTrials.gov NCT01090362.
PMID- 29370232
TI - Identification of QTL conferring resistance to stripe rust (Puccinia striiformis
f. sp. hordei) and leaf rust (Puccinia hordei) in barley using nested association
mapping (NAM).
AB - The biotrophic rust fungi Puccinia hordei and Puccinia striiformis are important
barley pathogens with the potential to cause high yield losses through an
epidemic spread. The identification of QTL conferring resistance to these
pathogens is the basis for targeted breeding approaches aiming to improve stripe
rust and leaf rust resistance of modern cultivars. Exploiting the allelic
richness of wild barley accessions proved to be a valuable tool to broaden the
genetic base of resistance of barley cultivars. In this study, SNP-based nested
association mapping (NAM) was performed to map stripe rust and leaf rust
resistance QTL in the barley NAM population HEB-25, comprising 1,420 lines
derived from BC1S3 generation. By scoring the percentage of infected leaf area,
followed by calculation of the area under the disease progress curve and the
average ordinate during a two-year field trial, a large variability of resistance
across and within HEB-25 families was observed. NAM based on 5,715 informative
SNPs resulted in the identification of twelve and eleven robust QTL for
resistance against stripe rust and leaf rust, respectively. Out of these, eight
QTL for stripe rust and two QTL for leaf rust are considered novel showing no
overlap with previously reported resistance QTL. Overall, resistance to both
pathogens in HEB-25 is most likely due to the accumulation of numerous small
effect loci. In addition, the NAM results indicate that the 25 wild donor QTL
alleles present in HEB-25 strongly differ in regard to their individual effect on
rust resistance. In future, the NAM concept will allow to select and combine
individual wild barley alleles from different HEB parents to increase rust
resistance in barley. The HEB-25 results will support to unravel the genetic
basis of rust resistance in barley, and to improve resistance against stripe rust
and leaf rust of modern barley cultivars.
PMID- 29370233
TI - Rapid analysis method for the determination of 14C specific activity in
irradiated graphite.
AB - 14C is one of the limiting radionuclides used in the categorization of
radioactive graphite waste; this categorization is crucial in selecting the
appropriate graphite treatment/disposal method. We propose a rapid analysis
method for 14C specific activity determination in small graphite samples in the 1
100 MUg range. The method applies an oxidation procedure to the sample, which
extracts 14C from the different carbonaceous matrices in a controlled manner.
Because this method enables fast online measurement and 14C specific activity
evaluation, it can be especially useful for characterizing 14C in irradiated
graphite when dismantling graphite moderator and reflector parts, or when sorting
radioactive graphite waste from decommissioned nuclear power plants. The proposed
rapid method is based on graphite combustion and the subsequent measurement of
both CO2 and 14C, using a commercial elemental analyser and the semiconductor
detector, respectively. The method was verified using the liquid scintillation
counting (LSC) technique. The uncertainty of this rapid method is within the
acceptable range for radioactive waste characterization purposes. The 14C
specific activity determination procedure proposed in this study takes
approximately ten minutes, comparing favorably to the more complicated and time
consuming LSC method. This method can be potentially used to radiologically
characterize radioactive waste or used in biomedical applications when dealing
with the specific activity determination of 14C in the sample.
PMID- 29370234
TI - Febrile urinary-tract infection due to extended-spectrum beta-lactamase-producing
Enterobacteriaceae in children: A French prospective multicenter study.
AB - OBJECTIVES: To assess the management of febrile urinary-tract infection (FUTIs)
due to extended-spectrum beta-lactamase-producing Enterobacteriaceae (ESBL-E) in
children, the Pediatric Infectious Diseases Group of the French Pediatric Society
set up an active surveillance network in pediatric centers across France in 2014.
MATERIALS AND METHODS: We prospectively analysed data from 2014 to 2016 for all
children < 18 years old who received antibiotic treatment for FUTI due to ESBL-E
in 24 pediatric centers. Baseline demographic, clinical features, microbiological
data and antimicrobials prescribed were collected. RESULTS: 301 children were
enrolled in this study. The median age was 1 year (IQR 0.02-17.9) and 44.5% were
male. These infections occurred in children with history of UTIs (27.3%) and
urinary malformations (32.6%). Recent antibiotic use was the main associated
factor for FUTIs due to ESBL-E, followed by a previous hospitalization and travel
history. Before drug susceptibility testing (DST), third-generation
cephalosporins (3GC) PO/IV were the most-prescribed antibiotics (75.5%). Only 13%
and 24% of children received amikacine alone for empirical or definitive therapy,
respectively, whereas 88.7% of children had isolates susceptible to amikacin. In
all, 23.2% of children received carbapenems in empirical and/or definitive
therapy. Cotrimoxazole (24.5%), ciprofloxacin (15.6%) and non-orthodox
clavulanate-cefixime combination (31.3%) were the most frequently prescribed oral
options after obtaining the DST. The time to apyrexia and length of hospital stay
did not differ with or without effective empirical therapy. CONCLUSIONS: We
believe that amikacin should increasingly take on a key role in the choice of
definitive therapy of FUTI due to ESBL-E in children by avoiding the use of
carbapenems.
PMID- 29370235
TI - Time-limited involvement of caudal anterior cingulate cortex in trace eyeblink
conditioning retrieval is dependent on conditioned stimulus intensity.
AB - The medial prefrontal cortex (mPFC) has been widely investigated for its roles in
learning and memory. The present study investigated the time-limited involvement
of the caudal anterior cingulate cortex (cACC) of the mPFC in the retrieval
process for a simple associative motor learning, trace eyeblink conditioning
(tEBC), using a 75 dB or 100 dB tone as the conditioned stimulus (CS). The GABAA
receptor agonist muscimol was injected into the cACC of guinea pigs at 1 day or 4
weeks after tEBC acquisition. When muscimol was administered 1 day after tEBC
acquisition, the conditioned response (CR) of the 75 dB group was severely
impaired, whereas the CR of the 100 dB group exhibited no significant change
relative to the control. When muscimol was administered 4 weeks after tEBC
acquisition, the CR was impaired in both the 75 dB and 100 dB groups. This study
indicate that the cACC of the mPFC is necessary for recent retrieval of tEBC with
a low-intensity CS but not of tEBC with a high-intensity CS, whereas for remote
retrieval of tEBC, the cACC of the mPFC is essential regardless of whether the CS
intensity is high or low. These results support a conditional role for the mPFC
in modulating recent retrieval of tEBC and a persistent role for its involvement
in remote retrieval of tEBC.
PMID- 29370237
TI - Increased separase activity and occurrence of centrosome aberrations concur with
transformation of MDS.
AB - ESPL1/separase, a cysteine endopeptidase, is a key player in centrosome
duplication and mitotic sister chromatid separation. Aberrant expression and/or
altered separase proteolytic activity are associated with centrosome
amplification, aneuploidy, tumorigenesis and disease progression. Since
centrosome alterations are a common and early detectable feature in patients with
myelodysplastic syndrome (MDS) and cytogenetic aberrations play an important role
in disease risk stratification, we examined separase activity on single cell
level in 67 bone marrow samples obtained from patients with MDS, secondary acute
myeloid leukemia (sAML), de novo acute myeloid leukemia (AML) and healthy
controls by a flow cytometric separase activity assay. The separase activity
distribution (SAD) value, a calculated measure for the occurrence of cells with
prominent separase activity within the analyzed sample, was tested for
correlation with the centrosome, karyotype and gene mutation status. We found
higher SAD values in bone marrow cells of sAML patients than in corresponding
cells of MDS patients. This concurred with an increased incidence of aberrant
centrosome phenotypes in sAML vs. MDS samples. No correlation was found between
SAD values and the karyotype/gene mutation status. During follow-up of four MDS
patients we observed increasing SAD values after transformation to sAML, in two
patients SAD values decreased during azacitidine therapy. Cell culture
experiments employing MDS-L cells as an in vitro model of MDS revealed that
treatment with rigosertib, a PLK1 inhibitor and therapeutic drug known to induce
G2/M arrest, results in decreased SAD values. In conclusion, the appearance of
cells with unusual high separase activity levels, as indicated by increased SAD
values, concurs with the transformation of MDS to sAML and may reflect separase
dysregulation potentially contributing to clonal evolution during MDS
progression. Separase activity measurement may therefore be useful as a novel
additional molecular marker for disease monitoring.
PMID- 29370236
TI - Ethyl pyruvate inhibits oxidation of LDL in vitro and attenuates oxLDL toxicity
in EA.hy926 cells.
AB - BACKGROUND: Ethyl pyruvate (EP) exerts anti-inflammatory and anti-oxidative
properties. The aim of our study was to investigate whether EP is capable of
inhibiting the oxidation of LDL, a crucial step in atherogenesis. Additionally,
we examined whether EP attenuates the cytotoxic effects of highly oxidized LDL in
the human vascular endothelial cell line EA.hy926. METHODS: Native LDL (nLDL) was
oxidized using Cu2+ ions in the presence of increasing amounts of EP. The degree
of LDL oxidation was quantified by measuring lipid hydroperoxide (LPO) and
malondialdehyde (MDA) concentrations, relative electrophoretic mobilities (REMs),
and oxidation-specific immune epitopes. The cytotoxicity of these oxLDLs on
EA.hy926 cells was assessed by measuring cell viability and superoxide levels.
Furthermore, the cytotoxicity of highly oxidized LDL on EA.hy926 cells under
increasing concentrations of EP in the media was assessed including measurements
of high energy phosphates (ATP). RESULTS: Oxidation of nLDL using Cu2+ ions was
remarkably inhibited by EP in a concentration-dependent manner, reflected by
decreased levels of LPO, MDA, REM, oxidation-specific epitopes, and diminished
cytotoxicity of the obtained oxLDLs in EA.hy926 cells. Furthermore, the
cytotoxicity of highly oxidized LDL on EA.hy926 cells was remarkably attenuated
by EP added to the media in a concentration-dependent manner reflected by a
decrease in superoxide and an increase in viability and ATP levels. CONCLUSIONS:
EP has the potential for an anti-atherosclerotic drug by attenuating both, the
oxidation of LDL and the cytotoxic effect of (already formed) oxLDL in EA.hy926
cells. Chronic administration of EP might be beneficial to impede the development
of atherosclerotic lesions.
PMID- 29370238
TI - The existence of fertile hybrids of closely related model earthworm species,
Eisenia andrei and E. fetida.
AB - Lumbricid earthworms Eisenia andrei (Ea) and E. fetida (Ef) are simultaneous
hermaphrodites with reciprocal insemination capable of self-fertilization while
the existence of hybridization of these two species was still debatable. During
the present investigation fertile hybrids of Ea and Ef were detected. Virgin
specimens of Ea and Ef were laboratory crossed (Ea+Ef) and their progeny was
doubly identified. 1 -identified by species-specific maternally derived haploid
mitochondrial DNA sequences of the COI gene being either 'a' for worms hatched
from Ea ova or 'f' for worms hatched from Ef ova. 2 -identified by the diploid
maternal/paternal nuclear DNA sequences of 28s rRNA gene being either 'AA' for
Ea, 'FF' for Ef, or AF/FA for their hybrids derived either from the 'aA' or 'fF'
ova, respectively. Among offspring of Ea+Ef pairs in F1 generation there were
mainly aAA and fFF earthworms resulted from the facilitated self-fertilization
and some aAF hybrids from aA ova but none fFA hybrids from fF ova. In F2
generation resulting from aAF hybrids mated with aAA a new generations of aAA and
aAF hybrids were noticed, while aAF hybrids mated with fFF gave fFF and both aAF
and fFA hybrids. Hybrids intercrossed together produced plenty of cocoons but no
hatchlings independently whether aAF+aAF or aAF+fFA were mated. These results
indicated that Ea and Ef species, easy to maintain in laboratory and commonly
used as convenient models in biomedicine and ecotoxicology, may also serve in
studies on molecular basis of interspecific barriers and mechanisms of
introgression and speciation. Hypothetically, their asymmetrical hybridization
can be modified by some external factors.
PMID- 29370239
TI - Microbiological characteristics of a novel species most closely related to
'Bergeyella cardium' as a pathogen of infectious endocarditis.
AB - Infectious endocarditis (IE) can be caused by various pathogens, from dominating
agents such as viridans group streptococci and staphylococci to rare species that
are less virulent and not typically considered to be pathogens. In this study, we
have isolated a novel species from a patient with problem of IE which was
genetically most closely related to 'Bergeyella cardium', a causative pathogen of
IE first reported in Korea in 2015 as a new species of the genus Bergeyella, with
a similarity of 98.8% in 16S rRNA sequences. Microbiological characteristics,
including morphology, biochemical identification and antimicrobial susceptibility
profiling, of this novel species were determined. This fastidious Gram-negative
bacillus could only be identified successfully by molecular sequencing analysis
at present, and it exhibited low minimum inhibitory concentrations to the
antibiotics tested except for aminoglycosides. Phylogeny analysis revealed this
novel species clustered well with 'B. cardium' and other close species of genus
Bergeyella.
PMID- 29370240
TI - A histological examination of spinal reconstruction using a frozen bone
autograft.
AB - Our aim was to compare the process of bone formation after reconstruction of the
vertebral body using a titanium cage with either a liquid nitrogen-treated
(frozen) bone autograft or non-treated fresh bone autograft. Twelve canine
beagles underwent anterior reconstruction of the 5th lumbar vertebrae using a
titanium cage and bone autograft. Bone formation was compared across four
experimental groups: fresh bone autograft groups, with animals sacrificed at
either 8 or 16 weeks post-reconstruction, and liquid nitrogen-treated (frozen)
bone autograft groups, with animals again sacrificed at either 8 or 16 weeks post
reconstruction. Bone formation was evaluated histologically by calculating the
proportion of 'reaction' and 'mature bone' regions at the ends of the cage, its
center, and ventral/dorsal sides. The reaction region contained osteocytes with a
nucleus and osteoblasts accumulated on the surface of an osteoid, while a laminar
structure was visible for mature bone regions. For fresh bone autografts, the
reaction and mature bone regions significantly increased from 8 to 16 weeks post
reconstruction. By comparison, for frozen autografts, the reaction bone region
did not significantly increase from 8 to 16 weeks post-reconstruction, while the
mature bone region did increase over this time period. The proportion of reaction
bone was higher at the ends and dorsal side of the cage at 8 weeks, for both
graft types, with greater bone formation at the center of the cage at 16 weeks
only for the fresh bone autograft. Therefore, bone formation in the anterior
spinal reconstruction site tended to be delayed when using a frozen bone
autograft compared to a fresh bone autograft. The bone formation process,
however, was similar for both groups, beginning at the ends and dorsal side of
the cage adjacent to the surrounding vertebral bone.
PMID- 29370241
TI - Is gingival bleeding a symptom of type 2 and 3 von Willebrand disease?
AB - BACKGROUND: Von Willebrand disease (VWD) is the most common inherent bleeding
disorder. Gingival bleeding is a frequently reported symptom of VWD. However,
gingival bleeding is also a leading symptom of plaque-induced gingivitis and
untreated periodontal disease. In type 1 VWD gingival bleeding was not increased
compared to controls. Thus, this study evaluated whether type 2 and 3 VWD
determines an increased susceptibility to gingival bleeding in response to the
oral biofilm. METHODS: Twenty-four cases and 24 controls matched for age, sex,
periodontal diagnosis, number of teeth and smoking were examined hematologically
(VWF antigen, VWF activity, factor VIII activity) and periodontally (Gingival
Bleeding Index [GBI]), bleeding on probing [BOP], Plaque Control Record [PCR],
periodontal inflamed surface area [PISA], vertical probing attachment level).
RESULTS: BOP (VWD: 14.5+/-10.1%; controls: 12.3+/-5.3%; p = 0.542) and GBI (VWD:
10.5+/-9.9%; controls: 8.8+/-4.8%; p = 0.852) were similar for VWD and controls.
Multiple regressions identified female sex, HbA1c, PCR and PISA to be associated
with BOP. HbA1c and PCR were associated with GBI. Number of remaining teeth was
negatively correlated with BOP and GBI. CONCLUSION: Type 2 and 3 VWD are not
associated with a more pronounced inflammatory response to the oral biofilm in
terms of BOP and GBI.
PMID- 29370242
TI - Trapping or tethering stones (TS): A multifunctional device in the Pastoral
Neolithic of the Sahara.
AB - The Pierres de Ben Barour, also known as trapping or tethering stones (TS), are
stone artefacts with notches or grooves usually interpreted as hunting devices on
the basis of rock art engravings. Though their presence is a peculiar feature of
desert landscapes from the Sahara to the Arabian Peninsula, we know little about
their age, context and function. Here we present a new approach to the study of
these artefacts based on a large dataset (837 items) recorded in the Messak
plateau (SW Libya). A statistically-based geoarchaeological survey carried out
between 2007 and 2011 in Libya, alongside landscape and intra-site analyses of
specific archaeological features (such as rock art, settlement and ceremonial
contexts), reveal that these artefacts were used for a prolonged period, probably
from the early Holocene. This was followed by a multifunctional use of these
devices, particularly during the Pastoral Neolithic phase (ca. 6400-3000 cal BC),
with the highest concentrations being found near ceremonial contexts related to
cattle burials.
PMID- 29370244
TI - Acute ingestion of beetroot juice increases exhaled nitric oxide in healthy
individuals.
AB - BACKGROUND AND OBJECTIVE: Nitric oxide (NO) plays an important role in the
airways' innate immune response, and the fraction of exhaled NO at a flow rate of
50mL per second (FENO50) has been utilized to capture NO. Deficits in NO are
linked to loss of bronchoprotective effects in airway challenges and predict
symptoms of respiratory infection. While beetroot juice supplements have been
proposed to enhance exercise performance by increasing dietary nitrate
consumption, few studies have examined the impact of beetroot juice or nitrate
supplementation on airway NO in contexts beyond an exercise challenge, which we
know influences FENO50. METHODS: We therefore examined the influence of a
beetroot juice supplement on FENO50 in healthy males and females (n = 38) during
periods of rest and in normoxic conditions. FENO50, heart rate, blood pressure,
and state affect were measured at baseline, 45 minutes, and 90 minutes following
ingestion of 70ml beetroot juice (6.5 mmol nitrate). Identical procedures were
followed with ingestion of 70ml of water on a control day. RESULTS: After
beetroot consumption, average values of the natural log of FENO50 (lnFENO50)
increased by 21.3% (Cohen's d = 1.54, p < .001) 45 minutes after consumption and
by 20.3% (Cohen's d = 1.45, p < .001) 90 min after consumption. On the other
hand, only very small increases in FENO50 were observed after consumption of the
control liquid (less than 1% increase). A small subset (n = 4) of participants
completed an extended protocol lasting over 3 hours, where elevated levels of
FENO50 persisted. No significant changes in cardiovascular measures were observed
with this small single dose of beetroot juice. CONCLUSION: As NO serves a key
role in innate immunity, future research is needed to explore the potential
clinical utility of beetroot and dietary nitrate to elevate FENO50 and prevent
respiratory infection.
PMID- 29370243
TI - Inhibition of EV71 by curcumin in intestinal epithelial cells.
AB - EV71 is a positive-sense single-stranded RNA virus that belongs to the
Picornaviridae family. EV71 infection may cause various symptoms ranging from
hand-foot-and-mouth disease to neurological pathological conditions such as
aseptic meningitis, ataxia, and acute transverse myelitis. There is currently no
effective treatment or vaccine available. Various compounds have been examined
for their ability to restrict EV71 replication. However, most experiments have
been performed in rhabdomyosarcoma or Vero cells. Since the gastrointestinal
tract is the entry site for this pathogen, we anticipated that orally ingested
agents may exert beneficial effects by decreasing virus replication in intestinal
epithelial cells. In this study, curcumin (diferuloylmethane, C21H20O6), an
active ingredient of turmeric (Curcuma longa Linn) with anti-cancer properties,
was investigated for its anti-enterovirus activity. We demonstrate that curcumin
treatment inhibits viral translation and increases host cell viability. Curcumin
does not exert its anti-EV71 effects by modulating virus attachment or virus
internal ribosome entry site (IRES) activity. Furthermore, curcumin-mediated
regulation of mitogen-activated protein kinase (MAPK) signaling pathways is not
involved. We found that protein kinase C delta (PKCdelta) plays a role in virus
translation in EV71-infected intestinal epithelial cells and that curcumin
treatment decreases the phosphorylation of this enzyme. In addition, we show
evidence that curcumin also limits viral translation in differentiated human
intestinal epithelial cells. In summary, our data demonstrate the anti-EV71
properties of curcumin, suggesting that ingestion of this phytochemical may
protect against enteroviral infections.
PMID- 29370245
TI - Metamaterial-based transmit and receive system for whole-body magnetic resonance
imaging at ultra-high magnetic fields.
AB - Magnetic resonance imaging (MRI) at ultra-high fields (UHF), such as 7 T,
provides an enhanced signal-to-noise ratio and has led to unprecedented high
resolution anatomic images and brain activation maps. Although a variety of radio
frequency (RF) coil architectures have been developed for imaging at UHF
conditions, they usually are specialized for small volumes of interests (VoI). So
far, whole-body coil resonators are not available for commercial UHF human whole
body MRI systems. The goal of the present study was the development and
validation of a transmit and receive system for large VoIs that operates at a 7 T
human whole-body MRI system. A Metamaterial Ring Antenna System (MRAS) consisting
of several ring antennas was developed, since it allows for the imaging of
extended VoIs. Furthermore, the MRAS not only requires lower intensities of the
irradiated RF energy, but also provides a more confined and focused injection of
excitation energy on selected body parts. The MRAS consisted of several antennas
with 50 cm inner diameter, 10 cm width and 0.5 cm depth. The position of the
rings was freely adjustable. Conformal resonant right-/left-handed metamaterial
was used for each ring antenna with two quadrature feeding ports for RF power.
The system was successfully implemented and demonstrated with both a silicone oil
and a water-NaCl-isopropanol phantom as well as in vivo by acquiring whole-body
images of a crab-eating macaque. The potential for future neuroimaging
applications was demonstrated by the acquired high-resolution anatomic images of
the macaque's head. Phantom and in vivo measurements of crab-eating macaques
provided high-resolution images with large VoIs up to 40 cm in xy-direction and
45 cm in z-direction. The results of this work demonstrate the feasibility of the
MRAS system for UHF MRI as proof of principle. The MRAS shows a substantial
potential for MR imaging of larger volumes at 7 T UHF. This new technique may
provide new diagnostic potential in spatially extended pathologies such as
searching for spread-out tumor metastases or monitoring systemic inflammatory
processes.
PMID- 29370246
TI - Enhancement of muscle and locomotor performance by a series compliance: A
mechanistic simulation study.
AB - The objective was to better understand how a series compliance alters contraction
kinetics and power output of muscle to enhance the work done on a load. A
mathematical model was created in which a gravitational point load was connected
via a linear spring to a muscle (based on the contractile properties of the
sartorius of leopard frogs, Rana pipiens). The model explored the effects of load
mass, tendon compliance, and delay between onset of contraction and release of
the load (catch) on lift height and power output as measures of performance.
Series compliance resulted in increased lift height over a relatively narrow
range of compliances, and the effect was quite modest without an imposed catch
mechanism unless the load was unrealistically small. Peak power of the muscle
tendon complex could be augmented up to four times that produced with a muscle
alone, however, lift height was not predicted by peak power. Rather, lift height
was improved as a result of the compliance synchronizing the time courses of
muscle force and shortening velocity, in particular by stabilizing shortening
velocity such that muscle power was sustained rather than rising and immediately
falling. With a catch mechanism, enhanced performance resulted largely from
energy storage in the compliance during the period of catch, rather than
increased time for muscle activation before movement commenced. However, series
compliance introduced a trade-off between work done before versus after release
of the catch. Thus, the ability of tendons to enhance locomotor performance (i.e.
increase the work done by muscle) appears dependent not only on their established
role in storing energy and increasing power, but also on their ability to
modulate the kinetics of muscle contraction such that power is sustained over
more of the contraction, and maximizing the balance of work done before versus
after release of a catch.
PMID- 29370247
TI - Broad adsorption of sepsis-related PAMP and DAMP molecules, mycotoxins, and
cytokines from whole blood using CytoSorb(r) sorbent porous polymer beads.
AB - OBJECTIVE: Sepsis is defined as life-threatening organ dysfunction caused by a
dysregulated host response to infection. In sepsis and septic shock, pathogen
associated molecular pattern molecules (PAMPS), such as bacterial exotoxins,
cause direct cellular damage and/or trigger an immune response in the host often
leading to excessive cytokine production, a maladaptive systemic inflammatory
response syndrome response (SIRS), and tissue damage that releases DAMPs, such as
activated complement and HMGB-1, into the bloodstream causing further organ
injury. Cytokine reduction using extracorporeal blood filtration has been
correlated with improvement in survival and clinical outcomes in experimental
studies and clinical reports, but the ability of this technology to reduce a
broader range of inflammatory mediators has not been well-described. This study
quantifies the size-selective adsorption of a wide range of sepsis-related
inflammatory bacterial and fungal PAMPs, DAMPs and cytokines, in a single
compartment, in vitro whole blood recirculation system. MEASUREMENTS AND MAIN
RESULTS: Purified proteins were added to whole blood at clinically relevant
concentrations and recirculated through a device filled with CytoSorb(r)
hemoadsorbent polymer beads (CytoSorbents Corporation, USA) or control (no bead)
device in vitro. Except for the TNF-alpha trimer, hemoadsorption through porous
polymer bead devices reduced the levels of a broad spectrum of cytokines, DAMPS,
PAMPS and mycotoxins by more than 50 percent. CONCLUSIONS: This study
demonstrates that CytoSorb(r) hemoadsorbent polymer beads efficiently remove a
broad spectrum of toxic PAMPS and DAMPS from blood providing an additional means
of reducing the uncontrolled inflammatory cascade that contributes to a
maladaptive SIRS response, organ dysfunction and death in patients with a broad
range of life-threatening inflammatory conditions such as sepsis, toxic shock
syndrome, necrotizing fasciitis, and other severe inflammatory conditions.
PMID- 29370248
TI - Globally fixed-time synchronization of coupled neutral-type neural network with
mixed time-varying delays.
AB - This paper mainly studies the globally fixed-time synchronization of a class of
coupled neutral-type neural networks with mixed time-varying delays via
discontinuous feedback controllers. Compared with the traditional neutral-type
neural network model, the model in this paper is more general. A class of general
discontinuous feedback controllers are designed. With the help of the definition
of fixed-time synchronization, the upper right-hand derivative and a defined
simple Lyapunov function, some easily verifiable and extensible synchronization
criteria are derived to guarantee the fixed-time synchronization between the
drive and response systems. Finally, two numerical simulations are given to
verify the correctness of the results.
PMID- 29370249
TI - Extra vitamin D from fortification and the risk of preeclampsia: The D-tect
Study.
AB - The objective of the study was to examine if exposure to extra vitamin D from
food fortification was associated with a decrease in the risk of preeclampsia.
The study was based on a natural experiment exploring the effect of the abolition
of the Danish mandatory vitamin D fortification of margarine in 1985. The effect
of the extra vitamin D (1.25MUg vitamin D/100 g margarine) was examined by
comparing preeclampsia risk in women who have been exposed or unexposed to extra
vitamin D from the fortified margarine during pregnancy, and who gave birth in
the period from June 1983 to August 1988. The Danish National Patient Registry
allowed the identification of pregnancies complicated by preeclampsia. The study
included 73,237 women who gave birth during 1983-1988. We found no association
between exposure to vitamin D fortification during pregnancy and the risk of any
of the pregnancy related hypertensive disorders, including preeclampsia: Odds
ratios (OR, 95%) for all hypertensive pregnancy related disorders among exposed
vs. unexposed women was (OR 1.04, 95%CI: 0.98,1.10). In conclusion, the extra
vitamin D from the mandatory vitamin D fortification did not influence the risk
of preeclampsia.
PMID- 29370250
TI - Associations of psychosocial factors with pregnancy healthy life styles.
AB - Healthy behaviors in pregnant women have a major effect on pregnancy outcomes;
however, only few studies have explored the relationship of multiple psychosocial
factors with healthy lifestyles during pregnancy. The objective of this study was
to investigate whether the five psychosocial factors of anxiety, stress,
depression, marital dissatisfaction, and social support are associated with six
domains of healthy lifestyles in pregnant women, including nutrition, physical
activity, health responsibility, stress management, interpersonal relationships,
and self-actualization. In this cross-sectional study, 445 pregnant women from
the obstetrics clinics of the teaching hospitals of Babol University of Medical
Sciences were included. The subjects answered six questionnaires, including the
Health-Promoting Lifestyle Profile, Beck Depression Inventory, Prenatal Distress
Questionnaire, State-Trait Anxiety Inventory, Social Support Questionnaire, and
Marital Satisfaction Scale. We developed a series of simple linear regression
models based on each subscale of lifestyle (nutrition, physical activity, health
responsibility, stress management, interpersonal relationships, and self
actualization) as the dependent variables and the five psychological variables
(anxiety, stress, depression, marital dissatisfaction, and social support) as the
independent variables. State and trait anxieties were the strongest negative
predictors of all aspects of a healthy lifestyle. Furthermore, depression was
negatively associated with all of the six subscales of a healthy lifestyle.
Pregnancy-specific stress was the only negative predictor of stress management
and self-actualization. Marital dissatisfaction was negatively associated with
nutrition, stress management, health responsibility, and self-actualization.
Social support had negative and positive associations with healthy behaviors. The
study suggests that more attention should be paid to identifying the
psychological risk factors in pregnancy in addition to providing suitable
interventions for improving the lifestyle of pregnant women.
PMID- 29370251
TI - Improving generation length estimates for the IUCN Red List.
AB - The International Union for the Conservation of Nature (IUCN) Red List classifies
species according to their risk of extinction, informing local to global
conservation decisions. Here we look to advance the estimation of generation
length, which is used as a time-scalar in the Red List as a way of accounting for
differences in species' life-histories. We calculated or predicted generation
length for 86 species of antelope following the Rspan approach. We also tested
the importance of both allometry (body-mass) and phylogeny (phylogenetic
eigenvectors) as predictors of generation length within a Phylogenetic
Eigenvector Map (PEM) framework. We then evaluated the predictive power of this
PEM and two binning approaches, following a leave-one-out cross-validation
routine. We showed that captive and wild longevity data are nonequivalent and
that both body-mass and phylogeny are important predictors for generation length
(body-mass explained 64% and phylogeny 36% of the partitioned explained
variance). Plus, both the PEM, and the binning approach that included both
taxonomic rank and body-mass, had good predictive power and therefore are
suitable for extrapolating generation length to missing-data species. Therefore,
based on our findings, we advise separating captive and wild data when estimating
generation length, and considering the implications of wild and captive data more
widely in life-history analyses. We also recommend that body-mass and phylogeny
should be used in combination, preferably under a PEM framework (as it was less
reliant on available reference species and more explicitly accounts for
phylogenetic relatedness) or a binning approach if a PEM is not feasible, to
extrapolate generation length to missing-data species. Overall, we provide a
transparent, consistent and transferable workflow for improving the use of the
Rspan method to calculate generation length for the IUCN Red List.
PMID- 29370252
TI - The modulating effect of education on semantic interference during healthy aging.
AB - Aging has traditionally been related to impairments in name retrieval. These
impairments have usually been explained by a phonological transmission deficit
hypothesis or by an inhibitory deficit hypothesis. This decline can, however, be
modulated by the educational level of the sample. This study analyzed the
possible role of these approaches in explaining both object and face naming
impairments during aging. Older adults with low and high educational level and
young adults with high educational level were asked to repeatedly name objects or
famous people using the semantic-blocking paradigm. We compared naming when
exemplars were presented in a semantically homogeneous or in a semantically
heterogeneous context. Results revealed significantly slower rates of both face
and object naming in the homogeneous context (i.e., semantic interference), with
a stronger effect for face naming. Interestingly, the group of older adults with
a lower educational level showed an increased semantic interference effect during
face naming. These findings suggest the joint work of the two mechanisms proposed
to explain age-related naming difficulties, i.e., the inhibitory deficit and the
transmission deficit hypothesis. Therefore, the stronger vulnerability to
semantic interference in the lower educated older adult sample would possibly
point to a failure in the inhibitory mechanisms in charge of interference
resolution, as proposed by the inhibitory deficit hypothesis. In addition, the
fact that this interference effect was mainly restricted to face naming and not
to object naming would be consistent with the increased age-related difficulties
during proper name retrieval, as suggested by the transmission deficit
hypothesis.
PMID- 29370253
TI - In vitro antioxidant capacities of eight different kinds of apples and their
effects on lipopolysaccharide-induced oxidative damage in mice.
AB - In the present study, the DPPH and ABTS+ radical scavenging activity of eight
types of apples decreased (P < 0.05) during the 70-day storage at 4 degrees C.
The Fushi (F2) apples from Xin Jiang showed the highest radical scavenging
activity. For in vivo study, 40 male Kunming mice (body weight 20-25 g) were
selected and randomly assigned to four groups (10 mice per group). The F2 groups
(F2S, F2 + sterile saline and F2L, F2 + lipopolysaccharide) were administered
with 0.3 mL F2 filtrate via gastric intubation daily for 28 days. The control
groups (CS, CON + sterile saline and CL, CON + lipopolysaccharide) were treated
with sterile saline at the same volume. At day 29, mice of F2L and CL groups were
injected with 100 MUg/kg body weight of lipopolysaccharide (LPS)
intraperitoneally, while those of F2S and CS groups were injected equal volume of
sterile saline. In comparison to the CS group, the CL group showed a decrease (P
< 0.05) in serum, liver, and hepatic mitochondrial antioxidant capacity,
reduction (P < 0.05) in the expression of hepatic antioxidant-related genes, and
an increase (P < 0.05) in serum alanine aminotransferase (ALT), aspartate
aminotransferase (AST), malondialdehyde (MDA), protein carbonyl (PC), and
reactive oxygen species (ROS). In comparison to the CL group, the F2L group
showed lower (P < 0.05) levels of serum ALT, AST, and ROS, higher (P < 0.05)
level of serum, liver, and hepatic mitochondrial antioxidant capacity, increased
mitochondrial membrane potential (MMP), and enhanced (P < 0.05) expression of
hepatic antioxidant-related genes. These results suggest that F2 may exert
protective effect against LPS-induced oxidative damage by improving the
antioxidant capacity.
PMID- 29370254
TI - Hepatitis E prevalence in a sexual high-risk population compared to the general
population.
AB - Transmission routes of the hepatitis E virus (HEV) are under debate. Here, we
studied possible sexual transmission by comparing HEV prevalence in a Dutch
sexual high-risk population (n = 1,482) with that in a general population (n =
1,487) while assessing sociodemographic and sexual risk factors. Overall
prevalence of anti-HEV IgG of 18.9% (n = 562) was, adjusting for confounders,
similar between the two populations (p = 0.44). Prevalence was higher with each
year's increase in age (adjusted OR: 1.03, 95%CI: 1.02-1.04, p<0.01), among men
(adjusted OR: 1.24, 95%CI: 1.02-1.50, p = 0.03) and among individuals diagnosed
with sexually transmitted infections (adjusted OR: 1.60, 95%CI: 1.02-2.49, p =
0.04). Our results only hint at the possibility of a sexual transmission route
for HEV given higher rates in those with chlamydia and/or gonorrheal infections.
Sexual transmission is not a dominant transmission route, as its prevalence was
not higher for the sexual high-risk population than for the general population.
PMID- 29370255
TI - Disfluent presentations lead to the creation of more false memories.
AB - The creation of false memories within the Deese-Roediger-McDermott (DRM) paradigm
has been shown to be sensitive to many factors such as task instructions,
participant mood, or even presentation modality. However, do other simple
perceptual differences also impact performance on the DRM and the creation of
false memories? This study explores the potential impact of changes in perceptual
disfluency on DRM performance. To test for a potential influence of disfluency on
false memory creation, participants viewed lists under either perceptually
disfluent conditions or not. Results indicated that disfluency did significantly
impact performance in the DRM paradigm; more disfluent presentations
significantly increased the recall and recognition of unpresented information,
although they did not impact recall or recognition of presented information.
Thus, although disfluency did impact performance, disfluency did not produce a
positive benefit related to overall task performance. This finding instead
suggests that more disfluent presentations can increase the likelihood that false
memories are created, and provide little positive performance benefit.
PMID- 29370256
TI - Effects of a brief mindfulness-meditation intervention on neural measures of
response inhibition in cigarette smokers.
AB - Research suggests that mindfulness-practices may aid smoking cessation. Yet, the
neural mechanisms underlying the effects of mindfulness-practices on smoking are
unclear. Response inhibition is a main deficit in addiction, is associated with
relapse, and could therefore be a candidate target for mindfulness-based
practices. The current study hence investigated the effects of a brief
mindfulness-practice on response inhibition in smokers using behavioral and
electroencephalography (EEG) measures. Fifty participants (33 females, mean age
20 years old) underwent a protocol of cigarette exposure to induce craving (cue
exposure) and were then randomly assigned to a group receiving mindfulness
instructions or control-instructions (for 15 minutes approximately). Immediately
after this, they performed a smoking Go/NoGo task, while their brain activity was
recorded. At the behavioral level, no group differences were observed. However,
EEG analyses revealed a decrease in P3 amplitude during NoGo vs. Go trials in the
mindfulness versus control group. The lower P3 amplitude might indicate less
effortful response inhibition after the mindfulness-practice, and suggest that
enhanced response inhibition underlies observed positive effects of mindfulness
on smoking behavior.
PMID- 29370257
TI - Does ear endoscopy provide advantages in the outpatient management of open
mastoidectomy cavities?
AB - OBJECTIVE: To evaluate the use of ear endoscopy in the postoperative management
of open mastoidectomy cavities, and to test whether ear endoscopy improves
inspection and cleaning compared with ear microscopy. METHODS: Prospective study.
Thirty-two ears were divided into two groups: group 1, examination and cleaning
of mastoid cavities under endoscopic visualization after microscopic standard ear
cleaning; group 2, examination and cleaning of mastoid cavities under microscopic
visualization after endoscope-assisted ear cleaning. We assessed the ability of
each method to provide exposure and facilitate cleaning, comparing the benefits
of microscopy and endoscopy when used sequentially and vice-versa. RESULTS:
Endoscopy provided additional benefits for exposure in 61.1% of cases and
cleaning in 66.7%. Microscopy provided no additional benefits in terms of
exposure in any case, and provided added benefit for cleaning in only 21.4% of
cases. DISCUSSION: For outpatient postoperative care of open mastoidectomy
cavities, ear endoscopy provides greater benefit over ear microscopy than vice
versa. In over half of all cases, endoscopy was able to expose areas not
visualized under the microscope. Furthermore, in two-thirds of cases, endoscopy
enabled removal of material that could not be cleared under microscopy. Ear
endoscopy was superior to microscopy in terms of enabling exposure and cleaning
of hard-to-reach sites, due to its wider field of vision. CONCLUSION: Ear
endoscopy is a feasible technique for the postoperative management of open
mastoidectomy cavities. Ear endoscopy provided superior advantages in terms of
exposure and aural cleaning compared with microscopy.
PMID- 29370258
TI - Involving men to improve maternal and newborn health: A systematic review of the
effectiveness of interventions.
AB - BACKGROUND: Emerging evidence and program experience indicate that engaging men
in maternal and newborn health can have considerable health benefits for women
and children in low- and middle-income countries. Previous reviews have
identified male involvement as a promising intervention, but with a complex
evidence base and limited direct evidence of effectiveness for mortality and
morbidity outcomes. OBJECTIVE: To determine the effect of interventions to engage
men during pregnancy, childbirth and infancy on mortality and morbidity, as well
as effects on mechanisms by which male involvement is hypothesised to influence
mortality and morbidity outcomes: home care practices, care-seeking, and couple
relationships. METHODS: Using a comprehensive, highly sensitive mapping of
maternal health intervention studies conducted in low- and middle-income
countries between 2000 and 2012, we identified interventions that have engaged
men to improve maternal and newborn health. Primary outcomes were care-seeking
for essential services, mortality and morbidity, and home care practices.
Secondary outcomes relating to couple relationships were extracted from included
studies. RESULTS: Thirteen studies from nine countries were included.
Interventions to engage men were associated with improved antenatal care
attendance, skilled birth attendance, facility birth, postpartum care, birth and
complications preparedness and maternal nutrition. The impact of interventions on
mortality, morbidity and breastfeeding was less clear. Included interventions
improved male partner support for women and increased couple communication and
joint decision-making, with ambiguous effects on women's autonomy. CONCLUSION:
Interventions to engage men in maternal and newborn health can increase care
seeking, improve home care practices, and support more equitable couple
communication and decision-making for maternal and newborn health. These findings
support engaging men as a health promotion strategy, although evidence gaps
remain around effects on mortality and morbidity. Findings also indicate that
interventions to increase male involvement should be carefully designed and
implemented to mitigate potential harmful effects on couple relationship
dynamics.
PMID- 29370259
TI - Blood Urea Nitrogen (BUN) is independently associated with mortality in
critically ill patients admitted to ICU.
AB - PURPOSE: Blood urea nitrogen (BUN) was reported to be associated with mortality
in heart failure patients. We aimed to evaluate admission BUN concentration in a
heterogeneous critically ill patient collective admitted to an intensive care
unit (ICU) for prognostic relevance. METHODS: A total of 4176 medical patients
(67+/-13 years) admitted to a German ICU between 2004 and 2009 were included.
Follow-up of patients was performed retrospectively between May 2013 and November
2013. Association of admission BUN and both intra-hospital and long-term
mortality were investigated by Cox regression. An optimal cut-off was calculated
by means of the Youden-Index. RESULTS: Patients with higher admission BUN
concentration were older, clinically sicker and had more pronounced laboratory
signs of multi-organ failure including kidney failure. Admission BUN was
associated with adverse long-term mortality (HR 1.013; 95%CI 1.012-1.014;
p<0.001). An optimal cut-off was calculated at 28 mg/dL which was associated with
adverse outcome even after correction for APACHE2 (HR 1.89; 95%CI 1.59-2.26;
p<0.001), SAPS2 (HR 1.85; 95%CI 1.55-2.21; p<0.001) and several parameters
including creatinine in an integrative model (HR 3.34; 95%CI 2.89-3.86; p<0.001).
We matched 614 patients with admission BUN >28 mg/dL to case-controls <= 28mg/dL
corrected for APACHE2 scores: BUN above 28 mg/dL remained associated with adverse
outcome in a paired analysis with the difference being 5.85% (95%CI 1.23-10.47%;
p = 0.02). CONCLUSIONS: High BUN concentration at admission was robustly
associated with adverse outcome in critically ill patients admitted to an ICU,
even after correction for co-founders including renal failure. BUN might
constitute an independent, easily available and important parameter for risk
stratification in the critically ill.
PMID- 29370260
TI - Muscle strength and regional lean body mass influence on mineral bone health in
young male adults.
AB - The relationship between muscle strength and bone mineral content (BMC) and bone
mineral density (BMD) is supposed from the assumption of the mechanical stress
influence on bone tissue metabolism. However, the direct relationship is not well
established in younger men, since the enhancement of force able to produce
effective changes in bone health, still needs to be further studied. This study
aimed to analyze the influence of muscle strength on BMC and BMD in undergraduate
students. Thirty six men (24.9 +/- 8.6 y/o) were evaluated for regional and whole
body composition by dual energy X-ray absorptiometry (DXA). One repetition
maximum tests (1RM) were assessed on flat bench-press (BP), lat-pull down (LPD),
leg-curl (LC), knee extension (KE), and leg-press 45 degrees (LP45) exercises.
Linear regression modelled the relationships of BMD and BMC to the regional body
composition and 1RM values. Measurements of dispersion and error (R2adj and
standard error of estimate (SEE)) were tested, setting rho at <=0.05. The BMD
mean value for whole-body was 1.12+/-0.09 g/cm2 and BMC attained 2477.9 +/- 379.2
g. The regional lean mass (LM) in upper-limbs (UL) (= 6.80+/-1.21 kg) was related
to BMC and BMD for UL (R2adj = 0.74, p<0.01, SEE = 31.0 g and R2adj = 0.63, SEE =
0.08 g/cm2), and LM in lower-limbs (LL) (= 19.13+/-2.50 kg) related to BMC and
BMD for LL (R2adj = 0.68, p<0,01, SEE = 99.3 g and R2adj = 0.50, SEE = 0.20
g/cm2). The 1RM in BP was related to BMD (R2adj = 0.51, SEE = 0.09 g/cm2), which
was the strongest relationship among values of 1RM for men; but, 1RM on LPD was
related to BMC (R2adj = 0.47, p<0.01, SEE = 44.6 g), and LC was related to both
BMC (R2adj = 0.36, p<0.01, SEE = 142.0 g) and BMD (R2adj = 0.29, p<0.01, SEE =
0.23 g/cm2). Hence, 1RM for multi-joint exercises is relevant to BMC and BMD in
young men, strengthening the relationship between force and LM, and suggesting
both to parametrizes bone mineral health.
PMID- 29370261
TI - Inhaled nitric oxide and cognition in pediatric severe malaria: A randomized
double-blind placebo controlled trial.
AB - BACKGROUND: Severe malaria is a leading cause of acquired neurodisability in
Africa and is associated with reduced nitric oxide (NO) bioavailability. A
neuroprotective role for inhaled NO has been reported in animal studies, and
administration of inhaled NO in preterm neonates with respiratory distress
syndrome is associated with a 47% reduced risk of cognitive impairment at two
years of age. METHODS: A randomized double-blind placebo-controlled trial of
inhaled NO versus placebo as an adjunctive therapy for severe malaria was
conducted in Uganda between 2011 and 2013. Children received study gas for a
maximum 72 hours (inhaled NO, 80 parts per million; room air placebo).
Neurocognitive testing was performed on children<5 years at 6 month follow-up.
The neurocognitive outcomes assessed were overall cognition (a composite of fine
motor, visual reception, receptive language, and expressive language), attention,
associative memory, and the global executive composite. Main outcomes were
attention, associative memory, and overall cognitive ability. RESULTS: Sixty-one
children receiving iNO and 59 children receiving placebo were evaluated. Forty
two children (35.0%) were impaired in at least one neurocognitive domain. By
intention-to-treat analysis, there were no differences in unadjusted or
unadjusted age-adjusted z-scores for overall cognition (beta (95% CI): 0.26 (
0.19, 0.72), p = 0.260), attention (0.18 (-0.14, 0.51), p = 0.267), or memory
(0.14 (-0.02, 0.30), p = 0.094) between groups by linear regression. Children
receiving inhaled NO had a 64% reduced relative risk of fine motor impairment
than children receiving placebo (relative risk, 95% CI: 0.36, 0.14-0.96) by log
binomial regression following adjustment for anticonvulsant use. CONCLUSIONS:
Severe malaria is associated with high rates of neurocognitive impairment.
Treatment with inhaled NO was associated with reduced risk of fine motor
impairment. These results need to be prospectively validated in a larger study
powered to assess cognitive outcomes in order to evaluate whether strategies to
increase bioavailable NO are neuroprotective in children with severe malaria.
TRIAL REGISTRATION: ClinicalTrials.gov Identifier: NCT01255215.
PMID- 29370263
TI - Correction: Mechanistic insights into the more potent effect of KP-54 compared to
KP-10 in vivo.
AB - [This corrects the article DOI: 10.1371/journal.pone.0176821.].
PMID- 29370262
TI - Metabolic alterations in the nymphal instars of Diaphorina citri induced by
Candidatus Liberibacter asiaticus, the putative pathogen of huanglongbing.
AB - Currently, huanglongbing is the most damaging disease of citrus causing huge
economic losses. The disease is caused by the Gram-negative bacterium Candidatus
Liberibacter asiaticus (CLas). The pathogen is transmitted in a persistent
propagative circulative manner within its vector, the Asian citrus psyllid,
Diaphorina citri. Exploring the metabolic alteration in the vector may lead to a
better understanding of the nutritional needs of CLas and to designing an
artificial medium for culturing the pathogen. It has been shown that the nymphal
stages have a greater role in transmission mainly because they feed on plants
more actively than adults. In this study, we carried out an untargeted
comparative metabolomic analysis for healthy and CLas-infected 4th / 5th instar
nymphs. The metabolic analysis was performed using trimethylsilylation and methyl
chloroformate derivatization followed by Gas Chromatography-Mass Spectrometry (GC
MS). Overall, the changes in the nymph metabolism due to the infection with CLas
were more pronounced than in adults, as we previously published. Nymphs reared on
CLas-infected Valencia sweet orange were higher in many metabolites, mainly those
of the TCA cycle, C16 and C18 fatty acids, glucose, sucrose, L-proline, L-serine,
pyroglutamic acid, saccharic acid, threonic acid and myo-inositol than those
reared on healthy plants. In contrast, CLas-infected nymphs were lower in
putrescine, glycine, L -phenylalanine, L -tyrosine, L -valine, and chiro
inositol. The information provided from this study may contribute in acceleration
of the availability of CLas in culture and consequent screening of antibacterial
compounds to discover a definitive solution for huanglongbing.
PMID- 29370264
TI - Demographic factors and retrieval of object and proper names after age 70.
AB - PURPOSE: This research aimed to investigate whether demographic factors are
similarly related to retrieval of object and proper names. METHODS: The sample
included 5,907 individuals above age 70 who participated in the Health and
Retirement Study between 2004 and 2012. Participants were asked to name two
objects as well as the US President and Vice President. Latent growth curve
models examined the associations of age, education, and self-rated health with
baseline levels and change trajectories in retrieval. RESULTS: Age and education
were more strongly related to retrieval of proper names than to retrieval of
object names, both for baseline scores and for change trajectory. Similar effects
of self-rated health emerged for both types of stimuli. CONCLUSIONS: The results
show that examining object names and proper names together as indication of
cognitive status in the HRS might overlook important differences between the two
types of stimuli, in both baseline performance and longitudinal change.
PMID- 29370265
TI - The influence of political ideology and trust on willingness to vaccinate.
AB - In light of the increasing refusal of some parents to vaccinate children, public
health strategies have focused on increasing knowledge and awareness based on a
"knowledge-deficit" approach. However, decisions about vaccination are based on
more than mere knowledge of risks, costs, and benefits. Individual decision
making about vaccinating involves many other factors including those related to
emotion, culture, religion, and socio-political context. In this paper, we use a
nationally representative internet survey in the U.S. to investigate socio
political characteristics to assess attitudes about vaccination. In particular,
we consider how political ideology and trust affect opinions about vaccinations
for flu, pertussis, and measles. Our findings demonstrate that ideology has a
direct effect on vaccine attitudes. In particular, conservative respondents are
less likely to express pro-vaccination beliefs than other individuals.
Furthermore, ideology also has an indirect effect on immunization propensity. The
ideology variable predicts an indicator capturing trust in government medical
experts, which in turn helps to explain individual-level variation with regards
to attitudes about vaccine choice.
PMID- 29370266
TI - Increased parietal circuit-breaker activity in delta frequency band and abnormal
delta/theta band connectivity in salience network in hyperacusis subjects.
AB - Recent studies have suggested that hyperacusis, an abnormal hypersensitivity to
ordinary environmental sounds, may be characterized by certain resting-state
cortical oscillatory patterns, even with no sound stimulus. However, previous
studies are limited in that most studied subjects with other comorbidities that
may have affected cortical activity. In this regard, to assess ongoing cortical
oscillatory activity in idiopathic hyperacusis patients with no comorbidities, we
compared differences in resting-state cortical oscillatory patterns between five
idiopathic hyperacusis subjects and five normal controls. The hyperacusis group
demonstrated significantly higher electrical activity in the right auditory
related cortex for the gamma frequency band and left superior parietal lobule
(SPL) for the delta frequency band versus the control group. The hyperacusis
group also showed significantly decreased functional connectivity between the
left auditory cortex (AC) and left orbitofrontal cortex (OFC), between the left
AC and left subgenual anterior cingulate cortex (sgACC) for the gamma band, and
between the right insula and bilateral dorsal anterior cingulate cortex (dACC)
and between the left AC and left sgACC for the theta band versus the control
group. The higher electrical activity in the SPL may indicate a readiness of
"circuit-breaker" activity to shift attention to forthcoming sound stimuli. Also,
because of the disrupted salience network, consisting of the dACC and insula,
abnormally increased salience to all sound stimuli may emerge, as a consequence
of decreased top-down control of the AC by the dACC and dysfunctional emotional
weight attached to auditory stimuli by the OFC. Taken together, abnormally
enhanced attention and salience to forthcoming sound stimuli may render
hyperacusis subjects hyperresponsive to non-noxious auditory stimuli.
PMID- 29370267
TI - Obesity induced alterations in redox homeostasis and oxidative stress are present
from an early age.
AB - OBJECTIVES: Oxidative stress and inflammation have been postulated as underlying
mechanisms for the development of obesity-related insulin resistance. This
association however, remains elusive especially in childhood. We sought to
investigate this relation by measuring oxidative stress and antioxidant response
biomarkers, before and during an oral glucose tolerance test (OGTT), in different
biological samples from obese children. SUBJECTS: 24 children were recruited for
the study, (18 obese and 6 controls). After OGTT, the obese group was subdivided
in two, according to whether or not carbohydrate metabolic impairment (Ob.IR+,
Ob.IR-; respectively) was found. Different biomarkers were analyzed after fasting
(T = 0) and during an OGTT (T = 60 and 120 min). Lipoperoxides were measured in
plasma, erythrocytes, and urine; while advanced glycation end products were
determined in plasma, and redox status (GSH/GSSG ratio) in erythrocytes. RESULTS:
We found marked differences in the characterization of the oxidative status in
urine and erythrocytes, and in the dynamics of the antioxidant response during
OGTT. Specifically, Ob.IR+ children show increased oxidative stress, deficient
antioxidant response and a significant imbalance in redox status, in comparison
to controls and Ob.IR- children. CONCLUSION: Obese children with insulin
resistance show increased levels of oxidative stress biomarkers, and a stunted
antioxidant response to an OGTT leading to increased oxidative stress after a
single glucose load, as detected in erythrocytes, but not in plasma. We propose
erythrocytes as sensors of early and acute changes in oxidative stress associated
with insulin resistance in childhood obesity. This is a pilot study, performed
with a limited sample size, so data should be interpreted with caution until
reproduced.
PMID- 29370268
TI - Circulating, cell-free DNA as a marker for exercise load in intermittent sports.
AB - BACKGROUND: Attempts to establish a biomarker reflecting individual player load
in intermittent sports such as football have failed so far. Increases in
circulating DNA (cfDNA) have been demonstrated in various endurance sports
settings. While it has been proposed that cfDNA could be a suitable marker for
player load in intermittent sports, the effects on cfDNA of repeated sprinting as
an essential feature in intermittent sports are unknown. For the first time, we
assessed both alterations of cfDNA due to repeated maximal sprints and due to a
professional football game. METHODS: Nine participants were subjected to a
standardised sprint training session with cross-over design of five maximal
sprints of 40 meters with either "short" (1 minute) or "long" pauses (5 minutes).
Capillary cfDNA and lactate were measured after every sprint and venous cfDNA
before and after each series of sprints. Moreover, capillary cfDNA and lactate
values were taken in 23 professional football players before and after
incremental exercise testing, during the course of a training week at rest
(baseline) and in all 17 enrolled players following a season game. RESULTS:
Lactate and venous cfDNA increased more pronounced during "short" compared to
"long" (1.4-fold, p = 0.032 and 1.7-fold, p = 0.016) and cfDNA correlated
significantly with lactate (r = 0.69; p<0.001). Incremental exercise testing
increased cfDNA 7.0-fold (p<0.001). The season game increased cfDNA 22.7-fold
(p<0.0001), while lactate showed a 2.0-fold (p = 0.09) increase compared to
baseline. Fold-changes in cfDNA correlated with distance covered during game
(spearman's r = 0.87, p = 0.0012), while no correlation between lactate and the
tracking data could be found. DISCUSSION: We show for the first time that cfDNA
could be an objective marker for distance covered in elite intermittent sports.
In contrast to the potential of more established blood-based markers like IL-6,
CK, or CRP, cfDNA shows by far the strongest fold-change and a high correlation
with a particular load related aspect in professional football.
PMID- 29370269
TI - The histone demethylase LSD1 regulates inner ear progenitor differentiation
through interactions with Pax2 and the NuRD repressor complex.
AB - The histone demethylase LSD1 plays a pivotal role in cellular differentiation,
particularly in silencing lineage-specific genes. However, little is known about
how LSD1 regulates neurosensory differentiation in the inner ear. Here we show
that LSD1 interacts directly with the transcription factor Pax2 to form the NuRD
co-repressor complex at the Pax2 target gene loci in a mouse otic neuronal
progenitor cell line (VOT-N33). VOT-N33 cells expressing a Pax2-response element
reporter were GFP-negative when untreated, but became GFP positive after forced
differentiation or treatment with a potent LSD inhibitor. Pharmacological
inhibition of LSD1 activity resulted in the enrichment of mono- and di
methylation of H3K4, upregulation of sensory neuronal genes and an increase in
the number of sensory neurons in mouse inner ear organoids. Together, these
results identify the LSD1/NuRD complex as a previously unrecognized modulator for
Pax2-mediated neuronal differentiation in the inner ear.
PMID- 29370270
TI - Taxonomically-linked growth phenotypes during arsenic stress among arsenic
resistant bacteria isolated from soils overlying the Centralia coal seam fire.
AB - Arsenic (As), a toxic element, has impacted life since early Earth. Thus,
microorganisms have evolved many As resistance and tolerance mechanisms to
improve their survival outcomes given As exposure. We isolated As resistant
bacteria from Centralia, PA, the site of an underground coal seam fire that has
been burning since 1962. From a 57.4 degrees C soil collected from a vent above
the fire, we isolated 25 unique aerobic As resistant bacterial strains spanning
seven genera. We examined their diversity, resistance gene content,
transformation abilities, inhibitory concentrations, and growth phenotypes.
Although As concentrations were low at the time of soil collection (2.58 ppm),
isolates had high minimum inhibitory concentrations (MICs) of arsenate and
arsenite (>300 mM and 20 mM respectively), and most isolates were capable of
arsenate reduction. We screened isolates (PCR and sequencing) using 12 published
primer sets for six As resistance genes (AsRGs). Genes encoding arsenate
reductase (arsC) and arsenite efflux pumps (arsB, ACR3(2)) were present, and
phylogenetic incongruence between 16S rRNA genes and AsRGs provided evidence for
horizontal gene transfer. A detailed investigation of differences in isolate
growth phenotypes across As concentrations (lag time to exponential growth,
maximum growth rate, and maximum OD590) showed a relationship with taxonomy,
providing information that could help to predict an isolate's performance given
As exposure in situ. Our results suggest that microbiological management and
remediation of environmental As could be informed by taxonomically-linked As
tolerance, potential for resistance gene transferability, and the rare biosphere.
PMID- 29370271
TI - Sero-prevalence and risk factors for hepatitis E virus infection among pregnant
women in the Cape Coast Metropolis, Ghana.
AB - BACKGROUND: Hepatitis E virus is an emerging infection in Africa with poor
maternal and foetal outcomes. There is scanty data on the sero-prevalence of HEV
infection among pregnant women in Ghana. This study highlighted the prevalence
and risk factors associated with HEV infection among pregnant women in Cape Coast
Metropolis, Central Region of Ghana. METHODS: A multicenter (3 selected sites)
analytical cross sectional study involving 398 pregnant women in the Cape Coast
metropolis was conducted. HEV (Anti-HEV IgG and Anti-HEV IgM) ELISA was
performed. Sero-positive women had liver chemistries done and data collected on
maternal and neonatal outcomes. Data analyses were performed using Stata version
13 software (STATA Corp, Texas USA). RESULTS: Mean age was 28.01 (+/- 5.93)
years. HEV sero-prevalence was 12.2% (n = 48) for IgG and 0.2% (n = 1) for IgM
with overall of 12.3%. The odds of being HEV sero-positive for women aged 26-35
years was 3.1 (95% CI: 1.1-8.1), p = 0.02 and >=36 years it was 10.7 (95% CI; 3.4
33.5), p = 0.0001. Living in urban settlement was associated with lowest odds of
HEV infection {OR 0.4 (95% CI; 0.2-0.8), p = 0.01}. Factors with no statistical
evidence of association include main source of drinking water and history of
blood transfusion. The sero-prevalence of HEV IgG increased progressively across
trimesters with the highest among women in their third trimester (55.3%). None of
the 49 HEV sero-positive women had elevated ALT level. Ten (N = 41) of the
neonates born to sero-positive women developed jaundice in the neonatal period.
The mean birth weight was 3.1kg (SD 0.4). CONCLUSION: HEV sero-prevalence among
pregnant women in the Cape Coast Metropolis is high enough to deserve more
attention than it has received so far. It is therefore important to conduct
further research on the potential impact on maternal and neonatal mortality and
morbidity in Ghana.
PMID- 29370272
TI - Correction: Is serum level of CC chemokine ligand 18 a biomarker for the
prediction of radiation induced lung toxicity (RILT)?
AB - [This corrects the article DOI: 10.1371/journal.pone.0185350.].
PMID- 29370273
TI - Growth performance and survival of larval Atlantic herring, under the combined
effects of elevated temperatures and CO2.
AB - In the coming decades, environmental change like warming and acidification will
affect life in the ocean. While data on single stressor effects on fish are
accumulating rapidly, we still know relatively little about interactive effects
of multiple drivers. Of particular concern in this context are the early life
stages of fish, for which direct effects of increased CO2 on growth and
development have been observed. Whether these effects are further modified by
elevated temperature was investigated here for the larvae of Atlantic herring
(Clupea harengus), a commercially important fish species. Over a period of 32
days, larval survival, growth in size and weight, and instantaneous growth rate
were assessed in a crossed experimental design of two temperatures (10 degrees C
and 12 degrees C) with two CO2 levels (400 MUatm and 900 MUatm CO2) at food
levels mimicking natural levels using natural prey. Elevated temperature alone
led to increased swimming activity, as well as decreased survival and
instantaneous growth rate (Gi). The comparatively high sensitivity to elevated
temperature in this study may have been influenced by low food levels offered to
the larvae. Larval size, Gi and swimming activity were not affected by CO2,
indicating tolerance of this species to projected "end of the century" CO2
levels. A synergistic effect of elevated temperature and CO2 was found for larval
weight, where no effect of elevated CO2 concentrations was detected in the 12
degrees C treatment, but a negative CO2 effect was found in the 10 degrees C
treatment. Contrasting CO2 effects were found for survival between the two
temperatures. Under ambient CO2 conditions survival was increased at 12 degrees C
compared to 10 degrees C. In general, CO2 effects were minor and considered
negligible compared to the effect of temperature under these mimicked natural
food conditions. These findings emphasize the need to include biotic factors such
as energy supply via prey availability in future studies on interactive effects
of multiple stressors.
PMID- 29370274
TI - Quantitative and qualitative normative dataset for intraepidermal nerve fibers
using skin biopsy.
AB - BACKGROUND: Skin biopsy is the most relevant tool to diagnose small-fiber
neuropathy. A well-documented normal dataset for intraepidermal nerve fiber in
the distal leg is required to improve its diagnostic value. METHODS: Three
hundred healthy subjects were enrolled in the study, after clinical and
biological screening to exclude neurological and systemic pathologies. A distal
leg biopsy was taken and intraepidermal nerve fiber density after protein gene
product-9.5 immunocytochemistry with brightfield microscopy was determined.
Morphological variations of intraepidermal nerve fibers, previously described in
small-fiber neuropathies, were analyzed. One hundred biopsies were also analyzed
at the ultrastructural level. FINDINGS: The median number of fibers was lower in
men compared to women and decreased with age. Using statistical modeling taking
into account age and gender, we calculated the 5th percentile of intraepidermal
nerve fiber density as follows: 7.6156-0.0769 x age (years) + 1.5506 x gender
(woman = 1; man = 0). We observed a low frequency of large swellings or
horizontal branchings but an increasing frequency of small swellings of
intraepidermal nerve fibers and irregular distribution along the dermal-epidermal
junction with age. Axonal diameter of unmyelinated fibers of the papillary dermis
did not vary with age or gender. Ultrastructural analysis also showed that fiber
endings in close apposition to Merkel cells should not be mistaken for small
fiber swellings. CONCLUSIONS: Our dataset allows accurate calculation of the
normal density of intraepidermal nerve fibers for each year of age and provides
original morphological observations that improve the diagnostic value of skin
biopsy in the distal leg for small-fiber neuropathy.
PMID- 29370275
TI - What does media use reveal about personality and mental health? An exploratory
investigation among German students.
AB - The present study aimed to investigate the relationship between personality
traits, mental health variables and media use among German students. The data of
633 participants were collected. Results indicate a positive association between
general Internet use, general use of social platforms and Facebook use, on the
one hand, and self-esteem, extraversion, narcissism, life satisfaction, social
support and resilience, on the other hand. Use of computer games was found to be
negatively related to these personality and mental health variables. The use of
platforms that focus more on written interaction (Twitter, Tumblr) was assumed to
be negatively associated with positive mental health variables and significantly
positively with depression, anxiety, and stress symptoms. In contrast, Instagram
use, which focuses more on photo-sharing, correlated positively with positive
mental health variables. Possible practical implications of the present results
for mental health, as well as the limitations of the present work are discussed.
PMID- 29370276
TI - Does nonreproductive swarming adapt to pathogens?
PMID- 29370277
TI - PAN-811 prevents chemotherapy-induced cognitive impairment and preserves
neurogenesis in the hippocampus of adult rats.
AB - Chemotherapy-induced cognitive impairment (CICI) occurs in a substantial
proportion of treated cancer patients, with no drug currently available for its
therapy. This study investigated whether PAN-811, a ribonucleotide reductase
inhibitor, can reduce cognitive impairment and related suppression of
neurogenesis following chemotherapy in an animal model. Young adult rats in Chemo
and Chemo+PAN-811 groups received 3 intraperitoneal (i.p.) injections of
methotrexate (MTX) and 5-fluorouracil (5-FU), and those in Saline and Saline+PAN
811 groups received equal volumes of physiological saline at 10-day intervals.
PAN-811 in saline was delivered through i.p. injection, 10 min following each
saline (Saline+PAN-811 group) or MTX/5-FU (Chemo+PAN-811 group) treatment, while
equal volumes of saline were delivered to Saline and Chemo groups. Over Days 31
66, rats were administered tests of spatial memory, nonmatching-to-sample rule
learning, and discrimination learning, which are sensitive to dysfunction in
hippocampus, frontal lobe and striatum, respectively. On Day 97, neurogenesis was
immnunohistochemically evaluated by counting doublecortin-positive (DCX+) cells
in the dentate gyrus (DG). The results demonstrated that the Chemo group was
impaired on the three cognitive tasks, but co-administration of PAN-811
significantly reduced all MTX/5-FU-induced cognitive impairments. In addition,
MTX/5-FU reduced DCX+ cells to 67% of that in Saline control rats, an effect that
was completely blocked by PAN-811 co-administration. Overall, we present the
first evidence that PAN-811 protects cognitive functions and preserves
neurogenesis from deleterious effects of MTX/5-FU. The current findings provide a
basis for rapid clinical translation to determine the effect of PAN-811 on CICI
in human.
PMID- 29370278
TI - Diagnostic performance of intravoxel incoherent motion diffusion-weighted imaging
and dynamic contrast-enhanced MRI for assessment of anal fistula activity.
AB - OBJECTIVE: To evaluate intravoxel incoherent motion (IVIM) diffusion-weighted
imaging (DWI) and dynamic contrast-enhanced (DCE) magnetic resonance imaging
(MRI) sequences for quantitative characterization of anal fistula activity.
METHODS: This retrospective study was approved by the institutional review board.
One hundred and two patients underwent MRI for clinical suspicion of anal
fistula. Forty-three patients with demonstrable anal fistulas met the inclusion
criteria. Quantitative analysis included measurement of DCE and IVIM parameters.
The reference standard was clinical activity based on medical records.
Statistical analyses included Bayesian analysis with Markov Chain Monte Carlo,
multivariable logistic regression, and receiver operating characteristic
analyses. RESULTS: Brevity of enhancement, defined as the time difference between
the wash-in and wash-out, was longer in active than inactive fistulas (p = 0.02).
Regression coefficients of multivariable logistic regression analysis revealed
that brevity of enhancement increased and normalized perfusion area under curve
decreased with presence of active fistulas (p = 0.03 and p = 0.04, respectively).
By cross-validation, a logistic regression model that included quantitative
perfusion parameters (DCE and IVIM) performed significantly better than IVIM only
(p < 0.001). Area under the curves for distinguishing patients with active from
those with inactive fistulas were 0.669 (95% confidence interval [CI]: 0.500,
0.838) for a model with IVIM only, 0.860 (95% CI: 0.742, 0.977) for a model with
IVIM and brevity of enhancement, and 0.921 (95% CI: 0.846, 0.997) for a model
with IVIM and all DCE parameters. CONCLUSION: The inclusion of brevity of
enhancement measured by DCE-MRI improved assessment of anal fistula activity over
IVIM-DWI only.
PMID- 29370279
TI - The genetics of overwintering performance in two-year old common carp and its
relation to performance until market size.
AB - Using farmed common carp, we investigated the genetic background of the second
year overwintering performance and its relation to the performance during the
third growing season and at market size. The experimental stock was established
by partial factorial design with a series of 4 factorial matings of 5 dams and 10
sires each. The families were reared communally and pedigree was re-constructed
with 93.6% success using 12 microsatellites on 2008 offspring. Three successive
recordings (second autumn, third spring, and third autumn-market size) covering
two periods (second overwintering, third growing season) were included. Body
weight, Fulton's condition factor and percent muscle fat content were recorded at
all times and headless carcass yield and fillet yield were recorded at market
size. Specific growth rate, absolute and relative fat change and overall survival
were calculated for each period. Heritability estimates were significantly
different from zero and almost all traits were moderately to highly heritable (h2
= 0.36-1.00), except survival in both periods and fat change (both patterns)
during overwintering (h2 = 0.12-0.15). Genetic and phenotypic correlations imply
that selection against weight loss and fat loss during overwintering is expected
to lead to a better winter survival, together with a positive effect on growth in
the third growing season. Interestingly, higher muscle fat content was
genetically correlated to lower survival in the following period (rg = -0.59;
0.53, respectively for winter and the third summer). On the other hand, higher
muscle fat was also genetically linked to better slaughter yields. Moreover,
selection for higher condition factor would lead to better performance during
winter, growing season and at market size.
PMID- 29370280
TI - CLC-Pred: A freely available web-service for in silico prediction of human cell
line cytotoxicity for drug-like compounds.
AB - In silico methods of phenotypic screening are necessary to reduce the time and
cost of the experimental in vivo screening of anticancer agents through dozens of
millions of natural and synthetic chemical compounds. We used the previously
developed PASS (Prediction of Activity Spectra for Substances) algorithm to
create and validate the classification SAR models for predicting the cytotoxicity
of chemicals against different types of human cell lines using ChEMBL
experimental data. A training set from 59,882 structures of compounds was created
based on the experimental data (IG50, IC50, and % inhibition values) from ChEMBL.
The average accuracy of prediction (AUC) calculated by leave-one-out and a 20
fold cross-validation procedure during the training was 0.930 and 0.927 for 278
cancer cell lines, respectively, and 0.948 and 0.947 for cytotoxicity prediction
for 27 normal cell lines, respectively. Using the given SAR models, we developed
a freely available web-service for cell-line cytotoxicity profile prediction (CLC
Pred: Cell-Line Cytotoxicity Predictor) based on the following structural
formula: http://way2drug.com/Cell-line/.
PMID- 29370281
TI - Correction: D-cycloserine improves synaptic transmission in an animal mode of
Rett syndrome.
AB - [This corrects the article DOI: 10.1371/journal.pone.0183026.].
PMID- 29370282
TI - Correction: Oestrogen receptor negative early operable primary breast cancer in
older women-Biological characteristics and long-term clinical outcome.
AB - [This corrects the article DOI: 10.1371/journal.pone.0188528.].
PMID- 29370283
TI - Correction: Cytokine-induced killer cell delivery enhances the antitumor activity
of oncolytic reovirus.
AB - [This corrects the article DOI: 10.1371/journal.pone.0184816.].
PMID- 29370284
TI - Correction: Biofilm imaging in porous media by laboratory X-Ray tomography:
Combining a non-destructive contrast agent with propagation-based phase-contrast
imaging tools.
AB - [This corrects the article DOI: 10.1371/journal.pone.0180374.].
PMID- 29370285
TI - Severe community-acquired pneumonia: Characteristics and prognostic factors in
ventilated and non-ventilated patients.
AB - BACKGROUND: Patients with severe community-acquired pneumonia (SCAP) and life
threatening acute respiratory failure may require invasive mechanical ventilation
(IMV). Since use of IMV is often associated with significant morbidity and
mortality, we assessed whether patients invasively ventilated would represent a
target population for interventions aimed at reducing mortality of SCAP. METHODS:
We prospectively recruited consecutive patients with SCAP for 12 years. We
assessed the characteristics and outcomes of patients invasively ventilated at
presentation of pneumonia, compared with those without IMV, and determined the
influence of risks factors on mortality with a multivariate weighted logistic
regression using a propensity score. RESULTS: Among 3,719 patients hospitalized
with CAP, 664 (18%) had criteria for SCAP, and 154 (23%) received IMV at
presentation of pneumonia; 198 (30%) presented with septic shock. In 370 (56%)
cases SCAP was diagnosed based solely on the presence of 3 or more IDSA/ATS minor
criteria. Streptococcus pneumoniae was the main pathogen in both groups. The 30
day mortality was higher in the IMV, compared to non-intubated patients (51, 33%,
vs. 94, 18% respectively, p<0.001), and higher than that predicted by APACHE-II
score (26%). IMV independently predicted 30-day mortality in multivariate
analysis (adjusted odds-ratio 3.54, 95% confidence interval 1.45-8.37, p =
0.006). Other independent predictors of mortality were septic shock, worse
hypoxemia and increased serum potassium. CONCLUSION: Invasive mechanical
ventilation independently predicted 30-day mortality in patients with SCAP.
Patients invasively ventilated should be considered a different population with
higher mortality for future clinical trials on new interventions addressed to
improve mortality of SCAP.
PMID- 29370286
TI - Identification of the group IIa WRKY subfamily and the functional analysis of
GhWRKY17 in upland cotton (Gossypium hirsutum L.).
AB - WRKY transcription factors play important roles in plant defense, stress
response, leaf senescence, and plant growth and development. Previous studies
have revealed the important roles of the group IIa GhWRKY genes in cotton. To
comprehensively analyze the group IIa GhWRKY genes in upland cotton, we
identified 15 candidate group IIa GhWRKY genes in the Gossypium hirsutum genome.
The phylogenetic tree, intron-exon structure, motif prediction and Ka/Ks analyses
indicated that most group IIa GhWRKY genes shared high similarity and
conservation and underwent purifying selection during evolution. In addition, we
detected the expression patterns of several group IIa GhWRKY genes in individual
tissues as well as during leaf senescence using public RNA sequencing data and
real-time quantitative PCR. To better understand the functions of group IIa
GhWRKYs in cotton, GhWRKY17 (KF669857) was isolated from upland cotton, and its
sequence alignment, promoter cis-acting elements and subcellular localization
were characterized. Moreover, the over-expression of GhWRKY17 in Arabidopsis up
regulated the senescence-associated genes AtWRKY53, AtSAG12 and AtSAG13,
enhancing the plant's susceptibility to leaf senescence. These findings lay the
foundation for further analysis and study of the functions of WRKY genes in
cotton.
PMID- 29370287
TI - The mycorrhiza-dependent defensin MtDefMd1 of Medicago truncatula acts during the
late restructuring stages of arbuscule-containing cells.
AB - Different symbiotic and pathogenic plant-microbe interactions involve the
production of cysteine-rich antimicrobial defensins. In Medicago truncatula, the
expression of four MtDefMd genes, encoding arbuscular mycorrhiza-dependent
defensins containing an N-terminal signal peptide and exhibiting some differences
to non-symbiotic defensins, raised over the time of fungal colonization. Whereas
the MtDefMd1 and MtDefMd2 promoters were inactive in cells containing young
arbuscules, cells with fully developed arbuscules displayed different levels of
promoter activities, indicating an up-regulation towards later stages of
arbuscule formation. MtDefMd1 and MtDefMd2 expression was absent or strongly down
regulated in mycorrhized ram1-1 and pt4-2 mutants, known for defects in arbuscule
branching or premature arbuscule degeneration, respectively. A ~97% knock-down of
MtDefMd1/MtDefMd2 expression did not significantly affect arbuscule size.
Although overexpression of MtDefMd1 in arbuscule-containing cells led to an up
regulation of MtRam1, encoding a key transcriptional regulator of arbuscule
formation, no morphological changes were evident. Co-localization of an MtDefMd1
mGFP6 fusion with additional, subcellular markers revealed that this defensin is
associated with arbuscules in later stages of their life-cycle. MtDefMd1-mGFP6
was detected in cells with older arbuscules about to collapse, and ultimately in
vacuolar compartments. Comparisons with mycorrhized roots expressing a tonoplast
marker indicated that MtDefMd1 acts during late restructuring processes of
arbuscule-containing cells, upon their transition into a post-symbiotic state.
PMID- 29370289
TI - Correction: Involvement of phenoloxidase in browning during grinding of Tenebrio
molitor larvae.
AB - [This corrects the article DOI: 10.1371/journal.pone.0189685.].
PMID- 29370288
TI - Direct comparison of the four aldehyde oxidase enzymes present in mouse gives
insight into their substrate specificities.
AB - Mammalian aldehyde oxidases (AOXs) are molybdo-flavoenzymes which are present in
many tissues in various mammalian species, including humans and rodents.
Different species contain a different number of AOX isoforms. In particular, the
reasons why mammals other than humans express a multiplicity of tissue-specific
AOX enzymes is unknown. In mouse, the isoforms mAOX1, mAOX3, mAOX4 and mAOX2 are
present. We previously established a codon-optimized heterologous expression
systems for the mAOX1-4 isoforms in Escherichia coli that gives yield to
sufficient amounts of active protein for kinetic characterizations and sets the
basis in this study for site-directed mutagenesis and structure-function studies.
A direct and simultaneous comparison of the enzymatic properties and
characteristics of the four enzymes on a larger number of substrates has never
been performed. Here, thirty different structurally related aromatic, aliphatic
and N-heterocyclic compounds were used as substrates, and the kinetic parameters
of all four mAOX enzymes were directly compared. The results show that especially
mAOX4 displays a higher substrate selectivity, while no major differences between
mAOX1, mAOX2 and mAOX3 were identified. Generally, mAOX1 was the enzyme with the
highest catalytic turnover for most substrates. To understand the factors that
contribute to the substrate specificity of mAOX4, site-directed mutagenesis was
applied to substitute amino acids in the substrate-binding funnel by the ones
present in mAOX1, mAOX3, and mAOX2. An increase in activity was obtained by the
amino acid exchange M1088V in the active site identified to be specific for
mAOX4, to the amino acid identified in mAOX3.
PMID- 29370290
TI - Incidence of venous thromboembolism in Korea from 2009 to 2013.
AB - The incidence of venous thromboembolism (VTE) is lower in Asian populations than
in Western populations. The objective of the present study was to evaluate the
annual age- and sex-adjusted incidence (ASR) of VTE from 2009 to 2013 in South
Korea. In addition, annual change in the pattern of VTE treatment during the
study period was estimated because a new direct oral anticoagulant (DOAC) had
become available and was covered by health insurance in Korea beginning in
January 2013. VTE cases from 2009 to 2013 were retrospectively identified based
on both diagnostic and medication codes of anticoagulants used for initial
treatment using the Korean Health Insurance Review and Assessment Service (HIRA)
databases. The incidence of VTE increased yearly. It was significantly higher in
the older population than in the younger population, and it was higher in females
than in males. In 2009, ASRs of VTE, deep vein thrombosis, and pulmonary embolism
were 21.3, 8.1, and 13.2 cases per 100,000 individuals, respectively in 2009.
These increased to 29.2, 12.7, and 16.6 cases per 100,000, respectively, in 2013.
Prescription rates of warfarin and low-molecular-weight heparin decreased with
the introduction of a new anticoagulant in 2013. The proportion of subjects who
underwent mechanical procedures decreased annually. The ASR of VTE in Korea
continuously increased from 2009 to 2013, reflecting an increased awareness and
detection of VTE as well as improved survival of patients with cancer and other
morbidities. Following its introduction, DOAC rapidly replaced other
anticoagulants for the treatment of VTE.
PMID- 29370291
TI - Why do people donate to conservation? Insights from a 'real world' campaign.
AB - Non-governmental organisations (NGOs) play a key role in biodiversity
conservation. The majority of these organisations rely on public donations to
fund their activities, and therefore fundraising success is a determinant of
conservation outcomes. In spite of this integral relationship, the key principals
for fundraising success in conservation are still guided by expert opinion and
anecdotal evidence, with very few quantitative studies in the literature. Here we
assessed the behaviour of monetary donors across twenty-five different species
focused conservation campaigns organised by an NGO conservation and environmental
society. The Australian Geographic Society (AGS) carried out fundraising
campaigns over a five and half year period using an identical methodology in
thirty-four of its country-wide network of outlet shops. AGS owns and operates
these shops that sell toys and games related to science and nature. We tested how
the following factors influenced monetary donations from members of the public:1)
campaign duration, 2) appeal and familiarity of species, 3) species geographic
distribution relative to the fundraising location, 4) level of income and
education of potential donors, 5) age and gender profile of potential donors.
Contrary to past research, we found most of these factors did not significantly
influence the amount of donations made to each campaign by members of the public.
Larger animals did elicit a significantly higher amount donated per transaction
than smaller animals, as did shops located in poorer neighbourhoods. Our study
findings contrast with past research that has focused largely on hypothetical
donations data collected via surveys, and demonstrates the complexity and case
specific nature of relationships between donor characteristics and spending
patterns. The study highlights the value of assessing real-world fundraising
campaigns, and illustrates how collaboration between academia and NGOs could be
used to better tailor fundraising campaigns to maximise donations from individual
citizens.
PMID- 29370292
TI - Correction: Combined MEK and ERK inhibition overcomes therapy-mediated pathway
reactivation in RAS mutant tumors.
AB - [This corrects the article DOI: 10.1371/journal.pone.0185862.].
PMID- 29370295
TI - Correction: Cost-effectiveness of national health insurance programs in high
income countries: A systematic review.
AB - [This corrects the article DOI: 10.1371/journal.pone.0189173.].
PMID- 29370293
TI - Gene expression of the two developmentally regulated dermatan sulfate epimerases
in the Xenopus embryo.
AB - Chondroitin sulfate (CS)/dermatan sulfate (DS) proteoglycans are abundant on the
cell surface and in the extracellular matrix and have important functions in
matrix structure, cell-matrix interaction and signaling. The DS epimerases 1 and
2, encoded by Dse and Dsel, respectively, convert CS to a CS/DS hybrid chain,
which is structurally and conformationally richer than CS, favouring interaction
with matrix proteins and growth factors. We recently showed that Xenopus Dse is
essential for the migration of neural crest cells by allowing cell surface CS/DS
proteoglycans to adhere to fibronectin. Here we investigate the expression of Dse
and Dsel in Xenopus embryos. We show that both genes are maternally expressed and
exhibit partially overlapping activity in the eyes, brain, trigeminal ganglia,
neural crest, adenohypophysis, sclerotome, and dorsal endoderm. Dse is
specifically expressed in the epidermis, anterior surface ectoderm, spinal
nerves, notochord and dermatome, whereas Dsel mRNA alone is transcribed in the
spinal cord, epibranchial ganglia, prechordal mesendoderm and myotome. The
expression of the two genes coincides with sites of cell differentiation in the
epidermis and neural tissue. Several expression domains can be linked to
previously reported phenotypes of knockout mice and clinical manifestations, such
as the Musculocontractural Ehlers-Danlos syndrome and psychiatric disorders.
PMID- 29370294
TI - Two closely related Rho GTPases, Cdc42 and RacA, of the en-dophytic fungus
Epichloe festucae have contrasting roles for ROS production and symbiotic
infection synchronized with the host plant.
AB - Epichloe festucae is an endophytic fungus which systemically colonizes temperate
grasses to establish symbiotic associations. Maintaining symptomless infection is
a key requirement for endophytes, a feature that distinguishes them from
pathogenic fungi. While pathogenic fungi extend their hyphae by tip growth,
hyphae of E. festucae systemically colonize the intercellular space of expanding
host leaves via a unique mechanism of hyphal intercalary growth. This study
reports that two homologous Rho GTPases, Cdc42 and RacA, have distinctive roles
in the regulation of E. festucae growth in planta. Here we highlight the vital
role of Cdc42 for intercalary hyphal growth, as well as involvement of RacA in
regulation of hyphal network formation, and demonstrate the consequences of
mutations in these genes on plant tissue infection. Functions of Cdc42 and RacA
are mediated via interactions with BemA and NoxR respectively, which are expected
components of the ROS producing NOX complex. Symbiotic defects found in the racA
mutant were rescued by introduction of a Cdc42 with key amino acids substitutions
crucial for RacA function, highlighting the significance of the specific
interactions of these GTPases with BemA and NoxR for their functional
differentiation in symbiotic infection.
PMID- 29370296
TI - Intracellular trafficking of begomoviruses in the midgut cells of their insect
vector.
AB - Begomoviruses are exclusively transmitted by whiteflies in a persistent
circulative manner and cause considerable economic losses to crop production
worldwide. Previous studies have shown that begomoviruses accumulate in vesicle
like structures in whitefly midgut cells and that clathrin-mediated endocytosis
is responsible for their internalization. However, the process by which
begomoviruses are trafficked within whitefly midgut cells remains largely
unknown. In this study, we investigated the roles of vesicle trafficking in the
transport of Tomato yellow leaf curl virus (TYLCV), a begomovirus that has spread
to over 50 countries and caused extensive damage to a range of important crops,
within midgut cells of whitefly (Bemisia tabaci). By disrupting vesicle
trafficking using RNA silencing and inhibitors, we demonstrated that the early
steps of endosomal trafficking are important for the intracellular transport of
TYLCV in the whitefly midgut. In addition, our data show that, unlike many animal
viruses, TYCLV is trafficked within cells in a manner independent of recycling
endosomes, late endosomes, lysosomes, the Golgi apparatus and the endoplasmic
reticulum. Instead, our results suggest that TYLCV might be transported directly
from early endosomes to the basal plasma membrane and released into the
hemolymph. Silencing of the sorting nexin Snx12, which may be involved in
membrane tubulation, resulted in fewer viral particles in hemolymph; this
suggests that the tubular endosomal network may be involved in the transport of
TYLCV. Our results also support a role for the endo-lysosomal system in viral
degradation. We further showed that the functions of vector early endosomes and
sorting nexin Snx12 are conserved in the transmission of several other
begomoviruses. Overall, our data indicate the importance of early endosomes and
the tubular endosomal network in begomovirus transmission.
PMID- 29370297
TI - A novel PCR-based system for the detection of four species of human malaria
parasites and Plasmodium knowlesi.
AB - A microscopy-based diagnosis is the gold standard for the detection and
identification of malaria parasites in a patient's blood. However, the detection
of cases involving a low number of parasites and the differentiation of species
sometimes requires a skilled microscopist. Although PCR-based diagnostic methods
are already known to be very powerful tools, the time required to apply such
methods is still much longer in comparison to traditional microscopic
observation. Thus, improvements to PCR systems are sought to facilitate the more
rapid and accurate detection of human malaria parasites Plasmodium falciparum, P.
vivax, P. ovale, and P. malariae, as well as P. knowlesi, which is a simian
malaria parasite that is currently widely distributed in Southeast Asia. A nested
PCR that targets the small subunit ribosomal RNA genes of malaria parasites was
performed using a "fast PCR enzyme". In the first PCR, universal primers for all
parasite species were used. In the second PCR, inner-specific primers, which
targeted sequences from P. falciparum, P. vivax, P. ovale, P. malariae, and P.
knowlesi, were used. The PCR reaction time was reduced with the use of the "fast
PCR enzyme", with only 65 minutes required to perform the first and second PCRs.
The specific primers only reacted with the sequences of their targeted parasite
species and never cross-reacted with sequences from other species under the
defined PCR conditions. The diagnoses of 36 clinical samples that were obtained
using this new PCR system were highly consistent with the microscopic diagnoses.
PMID- 29370298
TI - Phenotypic deficits in the HIV-1 envelope are associated with the maturation of a
V2-directed broadly neutralizing antibody lineage.
AB - Broadly neutralizing antibodies (bnAbs) to HIV-1 can evolve after years of an
iterative process of virus escape and antibody adaptation that HIV-1 vaccine
design seeks to mimic. To enable this, properties that render HIV-1 envelopes
(Env) capable of eliciting bnAb responses need to be defined. Here, we followed
the evolution of the V2 apex directed bnAb lineage VRC26 in the HIV-1 subtype C
superinfected donor CAP256 to investigate the phenotypic changes of the virus
populations circulating before and during the early phases of bnAb induction.
Longitudinal viruses that evolved from the VRC26-resistant primary infecting (PI)
virus, the VRC26-sensitive superinfecting (SU) virus and ensuing PI-SU
recombinants revealed substantial phenotypic changes in Env, with a switch in Env
properties coinciding with early resistance to VRC26. Decreased sensitivity of SU
like viruses to VRC26 was linked with reduced infectivity, altered entry kinetics
and lower sensitivity to neutralization after CD4 attachment. VRC26 maintained
neutralization activity against cell-associated CAP256 virus, indicating that
escape through the cell-cell transmission route is not a dominant escape pathway.
Reduced fitness of the early escape variants and sustained sensitivity in cell
cell transmission are both features that limit virus replication, thereby
impeding rapid escape. This supports a scenario where VRC26 allowed only partial
viral escape for a prolonged period, possibly increasing the time window for bnAb
maturation. Collectively, our data highlight the phenotypic plasticity of the HIV
1 Env in evading bnAb pressure and the need to consider phenotypic traits when
selecting and designing Env immunogens. Combinations of Env variants with
differential phenotypic patterns and bnAb sensitivity, as we describe here for
CAP256, may maximize the potential for inducing bnAb responses by vaccination.
PMID- 29370299
TI - Fungal diversity in oil palm leaves showing symptoms of Fatal Yellowing disease.
AB - Oil palm (Elaeis guineensis Jacq.) is an excellent source of vegetable oil for
biodiesel production; however, there are still some limitations for its
cultivation in Brazil such as Fatal Yellowing (FY) disease. FY has been studied
for many years, but its causal agent has never been determined. In Colombia and
nearby countries, it was reported that the causal agent of Fatal Yellowing
(Pudricion del Cogollo) is the oomycete Phytophthora palmivora, however, several
authors claim that Fatal Yellowing and Pudricion del Cogollo (PC) are different
diseases. The major aims of this work were to test, using molecular biology
tools, Brazilian oil palm trees for the co-occurrence of the oomycete
Phytophthora and FY symptoms, and to characterize the fungal diversity in FY
diseased and healthy leaves by next generation sequencing. Investigation with
specific primers for the genus Phytophthora showed amplification in only one of
the samples. Analysis of the fungal ITS region demonstrated that, at the genus
level, different groups predominated in all symptomatic samples, while
Pyrenochaetopsis and unclassified fungi predominated in all asymptomatic samples.
Our results show that fungal communities were not the same between samples at the
same stage of the disease or among all the symptomatic samples. This is the first
study that describes the evolution of the microbial community in the course of
plant disease and also the first work to use high throughput next generation
sequencing to evaluate the fungal community associated with leaves of oil palm
trees with and without symptoms of FY.
PMID- 29370300
TI - +mRNA expression of LRRC55 protein (leucine-rich repeat-containing protein 55) in
the adult mouse brain.
AB - LRRC55 (leucine-rich repeat-containing protein 55) protein is an auxiliary gamma
subunit of BK (Big conductance potassium channel) channels, which leftward shifts
GVs of BK channels around 50 mV in the absence of cytosolic Ca2+. LRRC55 protein
is also the only gamma subunit of BK channels that is expressed in mammalian
nervous system. However, the expression pattern of LRRC55 gene in adult mammalian
brain remains elusive. In this study, we investigated the distribution of LRRC55
mRNA in the adult mouse brain by using in situ hybridization. We found that
LRRC55 mRNA is richly expressed in the adult mouse medial habenula nucleus (MHb),
cerebellum and pons. However, the potential role of LRRC55 in MHb and cerebellum
could be different based on the function of BK channels in these brain regions.
PMID- 29370302
TI - Correction: Accounting for tourism benefits in marine reserve design.
AB - [This corrects the article DOI: 10.1371/journal.pone.0190187.].
PMID- 29370301
TI - Widespread introgression of mountain hare genes into Fennoscandian brown hare
populations.
AB - In Fennoscandia, mountain hare (Lepus timidus) and brown hare (Lepus europaeus)
hybridize and produce fertile offspring, resulting in gene flow across the
species barrier. Analyses of maternally inherited mitochondrial DNA (mtDNA) show
that introgression occur frequently, but unavailability of appropriate nuclear
DNA markers has made it difficult to evaluate the scale- and significance for the
species. The extent of introgression has become important as the brown hare is
continuously expanding its range northward, at the apparent expense of the
mountain hare, raising concerns about possible competition. We report here, based
on analysis of 6833 SNP markers, that the introgression is highly asymmetrical in
the direction of gene flow from mountain hare to brown hare, and that the levels
of nuclear gene introgression are independent of mtDNA introgression. While it is
possible that brown hares obtain locally adapted alleles from the resident
mountain hares, the low levels of mountain hare alleles among allopatric brown
hares suggest that hybridization is driven by stochastic processes. Interspecific
geneflow with the brown hare is unlikely to have major impacts on mountain hare
in Fennoscandia, but direct competition may.
PMID- 29370303
TI - MERS-CoV 4b protein interferes with the NF-kappaB-dependent innate immune
response during infection.
AB - Middle East respiratory syndrome coronavirus (MERS-CoV) is a novel human
coronavirus that emerged in 2012, causing severe pneumonia and acute respiratory
distress syndrome (ARDS), with a case fatality rate of ~36%. When expressed in
isolation, CoV accessory proteins have been shown to interfere with innate
antiviral signaling pathways. However, there is limited information on the
specific contribution of MERS-CoV accessory protein 4b to the repression of the
innate antiviral response in the context of infection. We found that MERS-CoV 4b
was required to prevent a robust NF-kappaB dependent response during infection.
In wild-type virus infected cells, 4b localized to the nucleus, while NF-kappaB
was retained in the cytoplasm. In contrast, in the absence of 4b or in the
presence of cytoplasmic 4b mutants lacking a nuclear localization signal (NLS),
NF-kappaB was translocated to the nucleus leading to the expression of pro
inflammatory cytokines. This indicates that NF-kappaB repression required the
nuclear import of 4b mediated by a specific NLS. Interestingly, we also found
that both in isolation and during infection, 4b interacted with alpha-karyopherin
proteins in an NLS-dependent manner. In particular, 4b had a strong preference
for binding karyopherin-alpha4 (KPNA4), which is known to translocate the NF
kappaB protein complex into the nucleus. Binding of 4b to KPNA4 during infection
inhibited its interaction with NF-kappaB-p65 subunit. Thereby we propose a model
where 4b outcompetes NF-kappaB for KPNA4 binding and translocation into the
nucleus as a mechanism of interference with the NF-kappaB-mediated innate immune
response.
PMID- 29370304
TI - The oral microbiome: A Lesson in coexistence.
PMID- 29370305
TI - Alterations of HIV-1 envelope phenotype and antibody-mediated neutralization by
signal peptide mutations.
AB - HIV-1 envelope glycoprotein (Env) mediates virus attachment and entry into the
host cells. Like other membrane-bound and secreted proteins, HIV-1 Env contains
at its N terminus a signal peptide (SP) that directs the nascent Env to the
endoplasmic reticulum (ER) where Env synthesis and post-translational
modifications take place. SP is cleaved during Env biosynthesis but potentially
influences the phenotypic traits of the Env protein. The Env SP sequences of HIV
1 isolates display high sequence variability, and the significance of such
variability is unclear. We postulate that changes in the Env SP influence Env
transport through the ER-Golgi secretory pathway and Env folding and/or
glycosylation that impact on Env incorporation into virions, receptor binding and
antibody recognition. We first evaluated the consequences of mutating the charged
residues in the Env SP in the context of infectious molecular clone HIV-1
REJO.c/2864. Results show that three different mutations affecting histidine at
position 12 affected Env incorporation into virions that correlated with
reduction of virus infectivity and DC-SIGN-mediated virus capture and
transmission. Mutations at positions 8, 12, and 15 also rendered the virus more
resistant to neutralization by monoclonal antibodies against the Env V1V2 region.
These mutations affected the oligosaccharide composition of N-glycans as shown by
changes in Env reactivity with specific lectins and by mass spectrometry.
Increased neutralization resistance and N-glycan composition changes were also
observed when analogous mutations were introduced to another HIV-1 strain, JRFL.
To the best of our knowledge, this is the first study showing that certain
residues in the HIV-1 Env SP can affect virus neutralization sensitivity by
modulating oligosaccharide moieties on the Env N-glycans. The HIV-1 Env SP
sequences thus may be under selective pressure to balance virus infectiousness
with virus resistance to the host antibody responses. (289 words).
PMID- 29370306
TI - Impact of habitual physical activity and type of exercise on physical performance
across ages in community-living people.
AB - The maintenance of muscle function into late life protects against various
negative health outcomes. The present study was undertaken to evaluate the impact
of habitual physical activity and exercise types on physical performance across
ages in community-living adults. The Longevity check-up 7+ (Lookup 7+) project is
an ongoing cross-sectional survey conducted in unconventional settings (e.g.,
exhibitions, malls, and health promotion campaigns across Italy) that began on
June 1st 2015. The project was designed to raise awareness in the general
population on major lifestyle behaviors and risk factors for chronic diseases.
Candidate participants are eligible for enrolment if they are at least 18 years
of age and provide written informed consent. Physical performance is evaluated
through the 5-repetition chair stand test. Analyses were conducted in 6,242
community-living adults enrolled between June 1st 2015 and June 30th 2017, after
excluding 81 participants for missing values of the variables of interest. The
mean age of the 6,242 participants was 54.4 years (standard deviation 15.2, range
18-98 years), and 3552 (57%) were women. The time to complete the chair stand
test was similar from 18 to 40-44 years, and declined progressively across
subsequent age groups. Overall, the performance on the chair stand test was
better in physically active participants, who completed the test with a mean of
0.5 s less than sedentary enrollees (p < .001). After adjusting for potential
confounders, a different distribution of physical performance across exercise
intensities was observed, with better performance being recorded in participants
engaged in more vigorous activities. Our findings suggest that regular physical
activity modifies the age-related pattern of decline in physical performance,
with greater benefits observed for more intensive activities. Efforts are needed
from health authorities and healthcare providers to promote the large-scale
adoption of an active lifestyle throughout the life course.
PMID- 29370307
TI - The Wolbachia strain wAu provides highly efficient virus transmission blocking in
Aedes aegypti.
AB - Introduced transinfections of the inherited bacteria Wolbachia can inhibit
transmission of viruses by Aedes mosquitoes, and in Ae. aegypti are now being
deployed for dengue control in a number of countries. Only three Wolbachia
strains from the large number that exist in nature have to date been introduced
and characterized in this species. Here novel Ae. aegypti transinfections were
generated using the wAlbA and wAu strains. In its native Ae. albopictus, wAlbA is
maintained at lower density than the co-infecting wAlbB, but following transfer
to Ae. aegypti the relative strain density was reversed, illustrating the strain
specific nature of Wolbachia-host co-adaptation in determining density. The wAu
strain also reached high densities in Ae. aegypti, and provided highly efficient
transmission blocking of dengue and Zika viruses. Both wAu and wAlbA were less
susceptible than wMel to density reduction/incomplete maternal transmission
resulting from elevated larval rearing temperatures. Although wAu does not induce
cytoplasmic incompatibility (CI), it was stably combined with a CI-inducing
strain as a superinfection, and this would facilitate its spread into wild
populations. Wolbachia wAu provides a very promising new option for arbovirus
control, particularly for deployment in hot tropical climates.
PMID- 29370309
TI - Correction: Dengue virus serotype 2 infection alters midgut and carcass gene
expression in the Asian tiger mosquito, Aedes albopictus.
AB - [This corrects the article DOI: 10.1371/journal.pone.0171345.].
PMID- 29370308
TI - Semaphorin3A induces nerve regeneration in the adult cornea-a switch from its
repulsive role in development.
AB - The peripheral sensory nerves that innervate the cornea can be easily damaged by
trauma, surgery, infection or diabetes. Several growth factors and axon guidance
molecules, such as Semaphorin3A (Sema3A) are upregulated upon cornea injury.
Nerves can regenerate after injury but do not recover their original density and
patterning. Sema3A is a well known axon guidance and growth cone repellent
protein during development, however its role in adult cornea nerve regeneration
remains undetermined. Here we investigated the neuro-regenerative potential of
Sema3A on adult peripheral nervous system neurons such as those that innervate
the cornea. First, we examined the gene expression profile of the Semaphorin
class 3 family members and found that all are expressed in the cornea. However,
upon cornea injury there is a fast increase in Sema3A expression. We then
corroborated that Sema3A totally abolished the growth promoting effect of nerve
growth factor (NGF) on embryonic neurons and observed signs of growth cone
collapse and axonal retraction after 30 min of Sema3A addition. However, in adult
isolated trigeminal ganglia or dorsal root ganglia neurons, Sema3A did not
inhibited the NGF-induced neuronal growth. Furthermore, adult neurons treated
with Sema3A alone produced similar neuronal growth to cells treated with NGF and
the length of the neurites and branching was comparable between both treatments.
These effects were replicated in vivo, where thy1-YFP neurofluorescent mice
subjected to cornea epithelium debridement and receiving intrastromal pellet
implantation containing Sema3A showed increased corneal nerve regeneration than
those receiving pellets with vehicle. In adult PNS neurons, Sema3A is a potent
inducer of neuronal growth in vitro and cornea nerve regeneration in vivo. Our
data indicates a functional switch for the role of Sema3A in PNS neurons where
the well-described repulsive role during development changes to a growth
promoting effect during adulthood. The high expression of Sema3A in the normal
and injured adult corneas could be related to its role as a growth factor.
PMID- 29370310
TI - The reliability of molecular dynamics simulations of the multidrug transporter P
glycoprotein in a membrane environment.
AB - Despite decades of research, the mechanism of action of the ABC multidrug
transporter P-glycoprotein (P-gp) remains elusive. Due to experimental
limitations, many researchers have turned to molecular dynamics simulation
studies in order to investigate different aspects of P-gp function. However, such
studies are challenging and caution is required when interpreting the results. P
gp is highly flexible and the time scale on which it can be simulated is limited.
There is also uncertainty regarding the accuracy of the various crystal
structures available, let alone the structure of the protein in a physiologically
relevant environment. In this study, three alternative structural models of mouse
P-gp (3G5U, 4KSB, 4M1M), all resolved to 3.8 A, were used to initiate sets of
simulations of P-gp in a membrane environment in order to determine: a) the
sensitivity of the results to differences in the starting configuration; and b)
the extent to which converged results could be expected on the times scales
commonly simulated for this system. The simulations suggest that the arrangement
of the nucleotide binding domains (NBDs) observed in the crystal structures is
not stable in a membrane environment. In all simulations, the NBDs rapidly
associated (within 10 ns) and changes within the transmembrane helices were
observed. The secondary structure within the transmembrane domain was best
preserved in the 4M1M model under the simulation conditions used. However, the
extent to which replicate simulations diverged on a 100 to 200 ns timescale meant
that it was not possible to draw definitive conclusions as to which structure
overall was most stable, or to obtain converged and reliable results for any of
the properties examined. The work brings into question the reliability of
conclusions made in regard to the nature of specific interactions inferred from
previous simulation studies on this system involving similar sampling times. It
also highlights the need to demonstrate the statistical significance of any
results obtained in simulations of large flexible proteins, especially where the
initial structure is uncertain.
PMID- 29370311
TI - Correction: Evaluating the role of land cover and climate uncertainties in
computing gross primary production in Hawaiian Island ecosystems.
AB - [This corrects the article DOI: 10.1371/journal.pone.0184466.].
PMID- 29370312
TI - Correction: Widespread local chronic stressors in Caribbean coastal habitats.
AB - [This corrects the article DOI: 10.1371/journal.pone.0188564.].
PMID- 29370313
TI - Correction: Fluctuations, Correlations and the Estimation of Concentrations
inside Cells.
AB - [This corrects the article DOI: 10.1371/journal.pone.0151132.].
PMID- 29370314
TI - Correction: Sexual assault incidents among college undergraduates: Prevalence and
factors associated with risk.
AB - [This corrects the article DOI: 10.1371/journal.pone.0186471.].
PMID- 29370316
TI - The role of MGMT polymorphisms rs12917 and rs11016879 in head and neck cancer
risk and prognosis.
AB - Head and neck squamous cell carcinoma (HNSCC) is one of the leading cancers by
incidence worldwide. The risk of these cancers is strictly associated with
alkylation factors present in tobacco smoke. The crucial role in preventing DNA
alkylation is played by O6-methylguanine-DNA methyltransferase (MGMT).
Dysfunction or lack of MGMT is associated with an increased risk of cancer. The
aim of the study was to assess the influence of MGMT polymorphisms: rs12917 and
rs11016879 on HNSCC risk and course. The study consisted of 69 HNSCC patients and
242 healthy individuals. Case samples were taken from resected tumour tissue. The
control group comprised samples of epithelial cells collected from mucous
membranes using swabs. DNA samples were genotyped by employing the 5' nuclease
assay for allelic discrimination using TaqMan SNP Genotyping Assays. The
significance between distributions of genotypes and alleles was tested using
Pearson's chi2 test analysis. Our results indicated that the MGMT rs12917 TT
genotype increases the risk of HNSCC. The MGMT rs11016879 AG genotype and A
allele were associated with increased HNSCC risk. We noted higher risk of nodal
metastasis in rs11016879 AA homozygotes. Mechanisms leading to MGMT enzymatic
defect are unknown and hence further studies need to be carried out. Our data
suggest that the examined polymorphisms may be considered as potential prognostic
factors for HNSCC risk and outcome. Further studies are necessary to verify our
results.
PMID- 29370315
TI - Lipid droplet formation in Mycobacterium tuberculosis infected macrophages
requires IFN-gamma/HIF-1alpha signaling and supports host defense.
AB - Lipid droplet (LD) formation occurs during infection of macrophages with numerous
intracellular pathogens, including Mycobacterium tuberculosis. It is believed
that M. tuberculosis and other bacteria specifically provoke LD formation as a
pathogenic strategy in order to create a depot of host lipids for use as a carbon
source to fuel intracellular growth. Here we show that LD formation is not a
bacterially driven process during M. tuberculosis infection, but rather occurs as
a result of immune activation of macrophages as part of a host defense mechanism.
We show that an IFN-gamma driven, HIF-1alpha dependent signaling pathway,
previously implicated in host defense, redistributes macrophage lipids into LDs.
Furthermore, we show that M. tuberculosis is able to acquire host lipids in the
absence of LDs, but not in the presence of IFN-gamma induced LDs. This result
uncouples macrophage LD formation from bacterial acquisition of host lipids. In
addition, we show that IFN-gamma driven LD formation supports the production of
host protective eicosanoids including PGE2 and LXB4. Finally, we demonstrate that
HIF-1alpha and its target gene Hig2 are required for the majority of LD formation
in the lungs of mice infected with M. tuberculosis, thus demonstrating that
immune activation provides the primary stimulus for LD formation in vivo. Taken
together our data demonstrate that macrophage LD formation is a host-driven
component of the adaptive immune response to M. tuberculosis, and suggest that
macrophage LDs are not an important source of nutrients for M. tuberculosis.
PMID- 29370317
TI - The Maturing Antibiotic Mantra: "Shorter Is Still Better".
PMID- 29370319
TI - Mortality, Length of Stay, and Cost of Weekend Admissions.
AB - BACKGROUND: Apparent increase in mortality associated with being admitted to
hospital on a weekend compared to weekdays has led to controversial policy
changes to weekend staffing in the United Kingdom. Studies in the United States
have been inconclusive and diagnosis specific, and whether to implement such
changes is subject to ongoing debate. OBJECTIVE: To compare mortality, length of
stay, and cost between patients admitted on weekdays and weekends. DESIGN:
Retrospective cohort study. SETTING: National Inpatient Sample, an administrative
claims database of a 20% stratified sample of discharges from all hospitals
participating in the Healthcare Cost and Utilization Project. PATIENTS: Adult
patients who were emergently admitted from 2012 to 2014. INTERVENTION: The
primary predictor was whether the admission was on a weekday or weekend.
MEASUREMENTS: The primary outcome was in-hospital mortality and secondary
outcomes were length of stay and cost. RESULTS: We included 13,505,396 patients
in our study. After adjusting for demographics and disease severity, we found a
small difference in inpatient mortality rates on weekends versus weekdays (odds
ratio [OR] 1.029; 95% confidence interval [CI], 1.020-1.039; P < .001). There was
a statistically significant but clinically small decrease in length of stay
(2.24%; 95% CI, 2.16-2.33; P < .001) and cost (1.14%; 95% CI, 1.05-1.24; P <
.001) of weekend admissions. A subgroup analysis of the most common weekend
diagnoses showed substantial heterogeneity between diagnoses. CONCLUSIONS:
Differences in mortality of weekend admissions may be attributed to underlying
differences in patient characteristics and severity of illness and is subject to
large between-diagnoses heterogeneity. Increasing weekend services may not result
in desired reduction in inpatient mortality rate.
PMID- 29370318
TI - Shorter Versus Longer Courses of Antibiotics for Infection in Hospitalized
Patients: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Infection is a leading cause of hospitalization with high morbidity
and mortality, but there are limited data to guide the duration of antibiotic
therapy. PURPOSE: Systematic review to compare outcomes of shorter versus longer
antibiotic courses among hospitalized adults and adolescents. DATA SOURCES:
MEDLINE and Embase databases, 1990-2017. STUDY SELECTION: Inclusion criteria were
human randomized controlled trials (RCTs) in English comparing a prespecified
short course of antibiotics to a longer course for treatment of infection in
hospitalized adults and adolescents aged 12 years and older. DATA EXTRACTION: Two
authors independently extracted study characteristics, methods of statistical
analysis, outcomes, and risk of bias. DATA SYNTHESIS: Of 5187 unique citations
identified, 19 RCTs comprising 2867 patients met our inclusion criteria,
including the following: 9 noninferiority trials, 1 superiority design trial, and
9 pilot studies. Across 13 studies evaluating 1727 patients, no significant
difference in clinical efficacy was observed (d = 1.6% [95% confidence interval
(CI), -1.0%-4.2%]). No significant difference was detected in microbiologic cure
(8 studies, d = 1.2% [95% CI, -4.1%-6.4%]), short-term mortality (8 studies, d =
0.3% [95% CI, -1.2%-1.8%]), longer-term mortality (3 studies, d = -0.4% [95% CI,
6.3%-5.5%]), or recurrence (10 studies, d = 2.1% [95% CI, -1.2%-5.3%]).
Heterogeneity across studies was not significant for any of the primary outcomes.
CONCLUSIONS: Based on the available literature, shorter courses of antibiotics
can be safely utilized in hospitalized patients with common infections, including
pneumonia, urinary tract infection, and intra-abdominal infection, to achieve
clinical and microbiologic resolution without adverse effects on mortality or
recurrence.
PMID- 29370320
TI - TXT2STAYQUIT: Pilot Randomized Trial of Brief Automated Smoking Cessation Texting
Intervention for Inpatient Smokers Discharged from the Hospital.
PMID- 29370321
TI - Reliability and Validity of the Diagnostic Criteria for Temporomandibular
Disorders Axis I in Clinical and Research Settings: A Critical Appraisal.
AB - The recently published Diagnostic Criteria for Temporomandibular Disorders
(DC/TMD) Axis I, which is recommended for use in clinical and research settings,
has provided an update of the Research Diagnostic Criteria for Temporomandibular
Disorders (RDC/TMD). The authors of the DC/TMD based their publication on the
results of a Validation Project (2001-2008) and consecutive workgroup sessions
held between 2008 and 2013. The DC/TMD represents a major change in both content
and procedures; nonetheless, earlier concerns and new insights have only partly
been followed up when drafting the new recommendations. Moreover, the emphasis on
immediate implementation in clinical and research settings is not in line with
the provided external evidence on which the DC/TMD is based. This Focus Article
describes these concerns with regard to several aspects of the DC/TMD: the
additional classification categories; the high dependency on pressure-pain
results from use of the recommended palpation technique; the TMD pain screening
instrument; the test population characteristics; the utility of additional
subgroups; the use of a reference standard; the dichotomy between pain and
dysfunction; and the DC/TMD algorithms. Thus, although the DC/TMD represents an
improvement over the RDC/TMD, its immediate implementation in research and
clinical care does not yet appear to be adequately substantiated.
PMID- 29370323
TI - Critical Commentary 2: Reliability and Validity of the DC/TMD Axis I.
AB - No abstract available.
PMID- 29370322
TI - Critical Commentary 1: Reliability and Validity of the DC/TMD Axis I.
AB - No abstract available.
PMID- 29370324
TI - Critical Commentary 3: Reliability and Validity of the DC/TMD Axis I.
AB - No abstract available.
PMID- 29370325
TI - Authors' Response to Critical Commentaries: Reliability and Validity of the
DC/TMD Axis I.
AB - No abstract available.
PMID- 29370330
TI - Pan et al. Respond to "Secondhand Smoke and Cognitive Decline".
PMID- 29370331
TI - The Great Recession and the Health of Young Children: A Fixed-Effects Analysis in
Ireland.
AB - Economic recessions have been linked to adult health, but few studies have
examined how recessions influence the health of young children. This study
examined the impact of life transitions linked to the recent financial crisis on
the health of young children in Ireland. Data came from the Growing Up in Ireland
Infant Cohort Study (n = 11,134), which assessed children before (2008), during
(2011), and after (2013) the Great Recession that followed the financial crisis
of 2008 and incorporated questions on the impacts of the financial crisis on
families. Using fixed-effects models to control for confounding, we found that a
reduction in welfare benefits during the recession was associated with a
significant increase in the risks of asthma (beta = 0.014, 95% confidence
interval (95% CI): 0.004, 0.023) and atopy (beta = 0.014, 95% CI: 0.001, 0.027).
While parental job loss was not associated with child health, a reduction in
working hours was associated with increased reports of child health problems
(beta = 0.024, 95% CI: 0.004, 0.043), as were difficulties affording basic
necessities (beta = 0.019, 95% CI: 0.001, 0.038). Results suggest that failing to
protect vulnerable families and children during economic recessions may have long
lasting implications for child health.
PMID- 29370332
TI - Deployment and Preterm Birth Among US Army Soldiers.
AB - With increasing integration of women into combat roles in the US military, it is
critical to determine whether deployment, which entails unique stressors and
exposures, is associated with adverse reproductive outcomes. Few studies have
examined whether deployment increases the risk of preterm birth; no studies (to
our knowledge) have examined a recent cohort of servicewomen. We therefore used
linked medical and administrative data from the Stanford Military Data Repository
for all US Army soldiers with deliveries between 2011 and 2014 to estimate the
associations of prior deployment, recency of deployment, and posttraumatic stress
disorder with spontaneous preterm birth (SPB), adjusting for sociodemographic,
military-service, and health-related factors. Of 12,877 deliveries, 6.1% were
SPBs. The prevalence was doubled (11.7%) among soldiers who delivered within 6
months of their return from deployment. Multivariable discrete-time logistic
regression models indicated that delivering within 6 months of return from
deployment was strongly associated with SPB (adjusted odds ratio = 2.1, 95%
confidence interval: 1.5, 2.9). Neither multiple past deployments nor
posttraumatic stress disorder was significantly associated with SPB. Within this
cohort, timing of pregnancy in relation to deployment was identified as a novel
risk factor for SPB. Increased focus on servicewomen's pregnancy timing and
predeployment access to reproductive counseling and effective contraception is
warranted.
PMID- 29370334
TI - Decisions and Incisions: The Role of Choice Architecture in Surgical Decision
Making.
PMID- 29370335
TI - Secondhand Smoke and Women's Cognitive Function in China.
AB - Exposure to secondhand smoke (SHS) is known to be harmful to health. However, the
association between household SHS and cognitive function among middle-aged and
older women in China is understudied. Lagged dependent variable regression was
used to examine the association between household SHS exposure and the cognitive
function of married women who had been exposed to SHS, using data from 2 waves of
the China Health and Retirement Longitudinal Study (CHARLS, 2011-2013).
Controlling for age, educational attainment, geographic residence, household
expenditures, and chronic conditions (i.e., hypertension, diabetes, and
depressive symptoms), the results indicated that longer SHS exposure was
associated with a greater decline in memory over 2 years. After comparing
differences across age groups, this pattern was significant for women aged 55-64
years. Furthermore, those who were illiterate, lived in rural areas, and reported
depressive symptoms had a greater decline in memory. With evidence linking
household SHS exposure with a higher risk of cognitive decline, effective
education and public health intervention programs are urgently needed. Stronger
tobacco control regulations and education about the dangers of household SHS are
viable strategies to reduce the impending dementia epidemic in China.
PMID- 29370333
TI - Orthostatic Hypotension and Symptoms in the AASK Trial.
AB - BACKGROUND: Multiple definitions are used to characterize orthostatic hypotension
(OH), but the degree to which these definitions correspond with orthostatic
symptoms is unknown. METHODS: We analyzed data from African American Study of
Kidney Disease and Hypertension (AASK), a randomized trial of African Americans
with hypertension and kidney disease, to characterize the relationship between OH
definitions and self-reported syncope, dizziness, or light-headedness.
Orthostatic changes in systolic blood pressure (SBP), diastolic blood pressure
(DBP), or heart rate (HR) were determined each visit after standing 2:45 minutes.
OH was defined using the consensus definition (a drop in SBP >=20 mm Hg or DBP
>=10 mm Hg) or an often used clinical substitute based on HR (an increase >=20
bpm). RESULTS: Among 1,094 participants (mean age 54.5 +/- 10.7 years, 38.9%
female), there were 52,636 visits (mean 48/person). Mean resting SBP, DBP, and HR
at baseline were 147.7 +/- 22.3 mm Hg, 92.2 +/- 13.4 mm Hg, and 71.1 +/- 11.7
bpm, respectively. While the OH consensus definition was associated with syncope
(odds ratio 2.49; 95% confidence interval: 1.13, 5.51), dizziness (1.89; 1.53,
2.33), and light-headedness (1.84; 1.52, 2.23), the clinical HR definition was
only associated with dizziness (1.28; 1.07, 1.52). None of the OH components
(SBP, DBP, or HR) reflected a natural threshold in the prevalence of symptoms;
definitions using each of the 3 components were highly specific (>=96%) with low
sensitivity (1-5%). CONCLUSIONS: While the consensus definition was more strongly
associated with symptoms, OH definitions did not reflect natural thresholds in
symptoms and were insensitive. This implies that the absence of OH using either
consensus or clinical definitions does not exclude orthostatic symptoms, which
has implications for evaluating clinical events like falls. CLINICAL TRIALS
REGISTRATION: Trial Number: NCT01206062 (clinicaltrials.gov).
PMID- 29370336
TI - Transplanting Patients with Alcohol-related Liver Disease in the National Health
System: New Rules and Decisions.
AB - Aims: The UK has a socialized healthcare system that provides treatment that is
free at the point of care for acute and chronic health disorders (the National
Health Service-NHS), which is currently experiencing a period of unprecedented
challenge. Methods: A narrative review that discusses present and future
arrangements for transplantation of alcohol-related liver disease (ArLD) in the
UK. Results: Liver disease in the UK is reaching epidemic proportions due to
obesity and metabolic disease compounding alcohol-mediated liver damage.
Unfortunately, hepatology services in the UK are geographically disparate and
subject to significant variations in liver morbidity and mortality, prompting
concerns that this may negatively impair access to transplantation. In an attempt
to improve referrals to tertiary liver services, the UK listing criteria for
alcohol-associated liver disease were revised in 2016 by a working party under
the aegis of the UK-Liver Advisory Group with the ambition of increasing
opportunities for disease evaluation and improving the condition of candidates
referred for assessment. Conclusion: Liver transplantation for ArLD is well
established in the UK. Recent organizational changes seek to reduce inequities in
access to transplant services. Short Summary: Liver disease in the UK is reaching
epidemic proportions. Concerns over equity of access to liver transplantation
prompted revision of the UK listing criteria for alcohol-associated liver disease
in 2016, to improve to the availability of tertiary hepatology services.
Transplanting patients with alcohol-related liver disease in the National Health
System: New Rules and Decisions '...The second property of your excellent sherris
is, the warming of the blood; which, before cold and settled, hath left the liver
white and pale...'Falstaff; Henry IV Part 2: Act 4, Scene 3.
PMID- 29370337
TI - A functional-structural model of ephemeral seagrass growth influenced by
environment.
AB - Background and Aims: Ephemeral seagrasses that respond rapidly to environmental
changes are important marine habitats. However, they are under threat due to
human activity and are logistically difficult and expensive to study. This study
aimed to develop a new functional-structural environmentally dependent model of
ephemeral seagrass, able to integrate our understanding of ephemeral seagrass
growth dynamics and assess options for potential management interventions, such
as seagrass transplantation. Methods: A functional-structural plant model was
developed in which growth and senescence rates are mechanistically linked to
environmental variables. The model was parameterized and validated for a
population of Halophila stipulacea in the Persian Gulf. Key Results: There was a
good match between empirical and simulated results for the number of apices, net
rhizome length or net number of internodes using a 330 d simulation. Simulated
data were more variable than empirical data. Simulated structural patterns of
seagrass rhizome growth qualitatively matched empirical observations.
Conclusions: This new model successfully simulates the environmentally dependent
growth and senescence rates of our case-study ephemeral seagrass species. It
produces numerical and visual outputs that help synthesize our understanding of
how the influence of environmental variables on plant functional processes
affects overall growth patterns. The model can also be used to assess the
potential outcomes of management interventions like seagrass transplantation,
thus providing a useful management tool. It is freely available and easily
adapted for new species and locations, although validation with more species and
environments is required.
PMID- 29370338
TI - RE: "DIETARY INTAKE OF ANTIOXIDANT VITAMINS AND CAROTENOIDS AND RISK OF
DEVELOPING ACTIVE TUBERCULOSIS IN A PROSPECTIVE POPULATION-BASED COHORT".
PMID- 29370339
TI - Projections of multi-morbidity in the older population in England to 2035:
estimates from the Population Ageing and Care Simulation (PACSim) model.
AB - Background: models projecting future disease burden have focussed on one or two
diseases. Little is known on how risk factors of younger cohorts will play out in
the future burden of multi-morbidity (two or more concurrent long-term
conditions). Design: a dynamic microsimulation model, the Population Ageing and
Care Simulation (PACSim) model, simulates the characteristics (sociodemographic
factors, health behaviours, chronic diseases and geriatric conditions) of
individuals over the period 2014-2040. Population: about 303,589 individuals aged
35 years and over (a 1% random sample of the 2014 England population) created
from Understanding Society, the English Longitudinal Study of Ageing, and the
Cognitive Function and Ageing Study II. Main outcome measures: the prevalence of,
numbers with, and years lived with, chronic diseases, geriatric conditions and
multi-morbidity. Results: between 2015 and 2035, multi-morbidity prevalence is
estimated to increase, the proportion with 4+ diseases almost doubling
(2015:9.8%; 2035:17.0%) and two-thirds of those with 4+ diseases will have mental
ill-health (dementia, depression, cognitive impairment no dementia). Multi
morbidity prevalence in incoming cohorts aged 65-74 years will rise (2015:45.7%;
2035:52.8%). Life expectancy gains (men 3.6 years, women: 2.9 years) will be
spent mostly with 4+ diseases (men: 2.4 years, 65.9%; women: 2.5 years, 85.2%),
resulting from increased prevalence of rather than longer survival with multi
morbidity. Conclusions: our findings indicate that over the next 20 years there
will be an expansion of morbidity, particularly complex multi-morbidity (4+
diseases). We advocate for a new focus on prevention of, and appropriate and
efficient service provision for those with, complex multi-morbidity.
PMID- 29370340
TI - Living Donor Liver Transplantation for Alcoholic Liver Disease.
AB - Aims: Alcoholic liver disease (ALD) is now a well-recognized indication for liver
transplantation. This paper reviews existing literature on living donor liver
transplantation (LDLT) for ALD and presents data from a single, high volume
United States liver transplant center. Methods: For the literature review, a
PubMed search was undertaken using the search terms 'living donor' and 'alcoholic
liver disease'. Studies were included that presented outcome data for patients
who underwent LDLT for ALD. For the single-center data collection, all patients
who underwent LDLT from 2003 to 2016 at our center were reviewed and the data for
recipients with ALD was subsequently analyzed and compared with those patients
who underwent LDLT for other indications. Results: Of 110 studies that resulted
from the PubMed query, only 5 contained data that was relevant to this
manuscript. These studies represented data collected from two Asian countries:
one single center in Korea and a collection of centers in Japan. The relapse rate
following LDLT for ALD ranged from 7.9% to 22%, and pre-transplant abstinence did
not impact post-transplant relapse in any of these studies. For the single-center
data, of 136 LDLT performed at our institution during the time period, 22 were
performed for ALD. There was no difference in 1- or 5-year survival between
patients transplanted for ALD and those transplanted for other etiologies (94.7%
vs. 93.4%, P = 0.79 and 78.9% vs. 87.5%, P = 0.6). Conclusion: There is a very
limited amount of data available on LDLT for ALD. Existing data suggests that
LDLT for ALD results in excellent outcomes. Short Summary: Published data on
living donor liver transplantation (LDLT) for alcoholic liver disease (ALD) are
limited. One- and five-year survival rates range from 82% to 100% and 78% to 87%,
respectively. Rates of alcohol relapse following transplant appear low, ranging
from 7% to 23%; 6-month abstinence periods prior to LDLT for ALD do not appear to
have a significant impact on relapse.
PMID- 29370341
TI - Infection Control and What to Wear in the Operating Room.
PMID- 29370342
TI - Invited Commentary: Secondhand Smoke-an Underrecognized Risk Factor for Cognitive
Decline.
AB - Pan et al. (Am J Epidemiol. 2018;187(5):911-918) reported findings that exposure
to secondhand smoke (SHS) was associated with cognitive decline over the course
of 2 years among middle-aged and older Chinese women who never smoked, and they
also reported a dose-response relationship. SHS exposure affects vulnerable
people disproportionately because they have less control or choice over their
living and working environment. Smoking is an established risk factor for
dementia, but recent evidence reports on dementia-risk increase have not included
SHS. Many epidemiologic studies collect data on smoking but not SHS exposure. SHS
may be one of the most prevalent and modifiable risk factors for cognitive
decline and therefore represents a major potential target for reduction of
dementia risk. Given the high prevalence of smoking in China and other parts of
the world, there is an urgent need to raise awareness of SHS reduction as part of
global and national strategies to reduce cognitive decline and dementia and to
introduce legislation that protects nonsmokers and vulnerable children and adults
from SHS.
PMID- 29370343
TI - Reply to Hambraeus and Lytsy.
PMID- 29370344
TI - Carcinogenic response and other histopathological alterations in mice exposed to
cigarette smoke for varying time periods after birth.
AB - In spite of the outstanding role of tobacco smoking in human carcinogenesis, it
is difficult to reproduce its effects in experimental animals. Based on the
knowledge that a variety of mechanisms account for a higher susceptibility to
carcinogens early in life, we have developed a murine model in which mainstream
cigarette smoke becomes convincingly carcinogenic. The standard model involves
exposure to smoke for 4 months, starting after birth, followed by an additional 3
4 months in filtered air. We evaluated herein the time- and dose-dependent
response, at 7.5 months of life, of Swiss H mice that had been exposed to smoke
for either 1, 2 or 4 months after birth. A one-month exposure, corresponding to a
period of intense alveolarization, was sufficient to induce most inflammatory,
degenerative and preneoplastic pulmonary lesions, including emphysema and
alveolar epithelial hyperplasia, blood vessel proliferation and hemangiomas,
reflecting an early proangiogenic role of smoking, and microadenomas bearing ki
67-positive proliferating cells as well as urinary bladder epithelial
hyperplasia. Two months of exposure were needed to induce pulmonary adenomas and
urinary bladder papillomas in males only, which highlights a protective role of
estrogens in urinary bladder carcinogenesis. Four months, which in humans would
correspond to the postnatal period, puberty, adolescence and early adulthood,
were needed to induce other lesions, including tubular epithelial hyperplasia of
kidney, bronchial epithelial hyperplasia and especially pulmonary malignant
tumors. These findings highlight the concept that preneoplastic and neoplastic
lesions occurring in adulthood can be induced by exposure to smoke early in life.
PMID- 29370347
TI - Artemisinin Therapy for Malaria in Hemoglobinopathies: A Systematic Review.
AB - Artemisinin derivatives are widely used antimalarial drugs. There is some
evidence from in vitro, animal and clinical studies that hemoglobinopathies may
alter their disposition and antimalarial activity. This review assesses relevant
data in alpha-thalassemia, sickle cell disease (SCD), beta-thalassemia and
hemoglobin E. There is no convincing evidence that the disposition of artemisinin
drugs is affected by hemoglobinopathies. Although in vitro studies indicate that
Plasmodium falciparum cultured in thalassemic erythrocytes is relatively
resistant to the artemisinin derivatives, mean 50% inhibitory concentrations
(IC50s) are much lower than in vivo plasma concentrations after recommended
treatment doses. Since IC50s are not increased in P. falciparum cultures using
SCD erythrocytes, delayed post-treatment parasite clearance in SCD may reflect
hyposplenism. As there have been no clinical studies suggesting that
hemoglobinopathies significantly attenuate the efficacy of artemisinin
combination therapy (ACT) in uncomplicated malaria, recommended artemisinin doses
as part of ACT remain appropriate in this patient group.
PMID- 29370345
TI - Sucrose supply from leaves is required for aerenchymatous phellem formation in
hypocotyl of soybean under waterlogged conditions.
AB - Background and Aims: Soil waterlogging often causes oxygen deficiency in the root
systems of plants and severely inhibits plant growth. Formation of aerenchyma -
interconnected spaces that facilitate the movement of gases between and within
the aerial and submerged parts of plants - is an adaptive trait for coping with
waterlogged conditions. Soybean (Glycine max) forms porous secondary tissues
known as aerenchymatous phellem (AP), which are derived from the outermost cell
layer of phellogen. To understand what factors other than waterlogging are
involved in phellogen and AP formation, we examined how their formation in
soybean seedlings was affected by darkness, CO2 deficiency and blockage of phloem
transport. Methods: Aerenchymatous phellem and phellogen formation were expressed
as area ratios in cross-sections of hypocotyl. CO2 was depleted by use of calcium
oxide and sodium hydroxide. Phloem transport was blocked by heat-girdling of
hypocotyls. Sucrose levels were measured by spectrophotometry. Key Results: Under
light conditions, waterlogging induced the accumulation of high concentrations of
sucrose in hypocotyls, followed by phellogen and AP formation in hypocotyls.
Phellogen formation and AP formation were inhibited by darkness, CO2 deficiency
and blockage of phloem transport. Phellogen formation and AP formation were also
inhibited by excision of shoots above the epicotyl, but they recovered following
application of sucrose (but not glucose or fructose application) to the cut
surface. Conclusions: The results demonstrate that sucrose derived from leaves is
essential for AP and phellogen formation in soybean hypocotyls under waterlogged
soil conditions. Maintenance of a high sucrose concentration is thus essential
for the development of phellogen and AP and the differentiation of phellogen to
AP.
PMID- 29370346
TI - Reduced Risk of Inflammatory Bowel Disease-associated Colorectal Neoplasia with
Use of Thiopurines: a Systematic Review and Meta-analysis.
AB - Background and Aims: The association between thiopurines and colorectal neoplasia
risk remains controversial in inflammatory bowel disease [IBD] patients. We
performed a systematic review and meta-analysis examining this association.
Methods: A comprehensive search of the PubMed, EMBASE and Cochrane Library
databases was performed to identify relevant literature. Random-effects models
were applied to calculate the pooled odds ratio [OR] and relative risk [RR] with
corresponding 95% confidence intervals [CIs] among case-control and cohort
studies. Results: Eleven cohort and 16 case-control studies involving 95397
patients were included in this study. Overall, the use of thiopurines was
associated with a reduced risk of colorectal neoplasia both in case-control [OR =
0.49, 95% CI: 0.34-0.70] and cohort studies [RR = 0.96, 95% CI: 0.94-0.98].
Moreover, a protective effect of thiopurines against advanced neoplasia [high
grade dysplasia and cancer] [OR = 0.51, 95% CI: 0.31-0.84 for case-control
studies; RR = 0.96, 95% CI: 0.94-0.98 for cohort studies] and colorectal cancer
[CRC] [OR = 0.56, 95% CI: 0.34-0.93 for case-control studies; RR = 0.96, 95% CI:
0.94-0.98 for cohort studies] was also observed. Furthermore, when the analysis
was conducted on patients at a high risk for colorectal neoplasia, the
chemopreventive effect was confirmed in patients with long disease duration [> 8
years] but not in those with extensive colitis or primary sclerosing cholangitis.
Conclusions: This study demonstrated that thiopurine use was associated with a
reduced risk of colorectal neoplasia, advanced neoplasia and CRC in IBD patients,
especially those with long disease duration [> 8 years].
PMID- 29370349
TI - Left atrial appendage resection can be performed minimally invasively with good
clinical and echocardiographic outcomes without any severe risk.
AB - OBJECTIVES: The adverse effects of left atrial appendage (LAA) closure have not
yet been evaluated. This study aimed to prove the safety and low invasiveness of
LAA resection through our thoracoscopic stand-alone left atrial appendectomy
experience. METHODS: Eighty-seven patients [mean age 68 +/- 9 years, 68 men
(78%), mean congestive heart failure, hypertension, age >= 75 years, diabetes
mellitus, prior stroke or transient ischaemic attack to thromboembolism, vascular
disease, age 65-74 years and sex category (CHA2DS2-VASc) score 2.9 +/- 1.6
points] who had undergone thoracoscopic left atrial appendectomy were selected.
The operative and clinical data (left atrial diameter, left ventricular diameter
of systole/diastole, ejection fraction, brain natriuretic peptide and human
atrial natriuretic peptide) were evaluated. All procedures were performed without
cardiopulmonary bypass or cardiac arrest. The LAA was resected with an endoscopic
linear cutter device. Except for 1 case with severe pleural adhesion, all
operations were performed thoracoscopically. RESULTS: The preoperative and
postoperative data are as follows: left atrial diameter 43 +/- 5 mm and 43 +/- 5
mm (P = 0.8); left ventricular diameter of systole/diastole 50 +/- 5/35 +/- 6 mm
and 48 +/- 5/34 +/- 6 mm (P < 0.01); ejection fraction 57 +/- 10% and 56 +/- 10%
(P = 0.11); brain natriuretic peptide 97 +/- 77 pg/ml and 72 +/- 65 pg/ml (P <
0.01) and human atrial natriuretic peptide 73 +/- 64 pg/ml and 96 +/- 67 pg/ml (P
= 0.03), respectively. The mean volume of bleeding in the operation was very
small (<10 ml). The mean length of postoperative in-hospital stay was 3.8 +/- 1.8
days. All the patients were discharged while maintaining their preoperative
activities of daily living without major complications. No thrombus or residual
stumps were detected during the 3-month postoperative computed tomography follow
up. The perioperative heart function did not change significantly in this study.
Bleeding with resection was minimal, and no rebleeding events occurred.
CONCLUSIONS: LAA resection did not affect negatively on the cardiac function and
did not increase the risk of bleeding risk.
PMID- 29370348
TI - Clostridium difficile Whole Genome Sequencing Reveals Limited Transmission Among
Symptomatic Children: A Single-Center Analysis.
AB - Background: Although pediatric Clostridium difficile infections (CDIs) are
increasing, C. difficile transmission patterns among children are poorly
understood. Methods: We performed whole genome sequencing (WGS) on C. difficile
isolates collected from children diagnosed with CDI between December 2012 and
December 2013 at a single academic medical center. Genome sequences of isolates
from CDIs diagnosed >=8 weeks after study initiation were compared to all study
isolate genome sequences. Among patients with isogenic isolates (<=2-3 core
genome single nucleotide variants [SNVs] identified by pairwise SNV analyses),
common inpatient and/or outpatient healthcare exposures were investigated.
Results: Among 131 CDIs in 107 children, WGS identified 104 genetically distinct
isolates. Of 84 incident CDIs occurring >=8 weeks after study initiation, only 10
(11.9%) were caused by a strain isogenic to another cohort CDI isolate (putative
transmission events). Proportions of each CDI class putatively associated with
transmission were hospital-onset healthcare facility-associated (HCFA), 2/16
(12.5%); community-onset HCFA, 1/17 (5.9%); indeterminate, 1/11 (9.1%); community
associated (CA), 5/40 (12.5%); and recurrent, 1/21 (4.8%). Transmission events
among CA and HCFA CDIs were similarly infrequent (5/40 [12.5%] vs 3/33 [9.1%]; P
= .64). Shared healthcare facility exposures were only identified among 7/10
putative transmission events. Potential community transmission (same postal code)
was not identified. Conclusions: WGS identified a highly diverse group of C.
difficile isolates among children with CDI, including those with HCFA CDI.
Clostridium difficile transmission among symptomatic children was very uncommon.
Among putatively transmitted cases, investigation of shared healthcare exposures
often did not identify a potential transmission source.
PMID- 29370350
TI - Long-term Use of Tedizolid as Suppressive Therapy for Recurrent Methicillin
Resistant Staphylococcus aureus Graft Infection.
PMID- 29370352
TI - A Multicenter, Prospective, Randomized, Contralateral Study of Tissue
Liquefaction Liposuction vs Suction-Assisted Liposuction.
AB - Background: Tissue liquefaction liposuction (TLL) deploys a novel energy source
utilizing a stream of warmed, low-pressurized, and pulsed saline to extract fat
tissue. Objectives: Compare TLL to suction-assisted liposuction (SAL) to
determine which device is more efficient for surgeons and provides better
recovery for patients. Methods: Thirty-one adult female patients were followed
prospectively in a contralateral study design comparing differences in bruising,
swelling, tenderness, and incision appearance ratings between TLL and SAL
procedures. Surgical efficiency and appearance of the lipoaspirate were also
compared. Results: All 31 patients successfully completed the study. For TLL and
SAL procedures, the average volumes of infusion (1.242 vs 1.276 L) and aspirated
supernatant fat (704 vs 649 mL) were statistically similar. TLL median fat
extraction rate was faster than SAL (35.6 vs 25 mL/min; P < 0.0001), and stroke
rate was reduced in TLL vs SAL procedures (48 vs 120 strokes/min; P < 0.0001),
and both were statistically significant. The mean total scores for bruising,
swelling, treatment site tenderness, and incision appearance were lower,
indicating improved patient recovery on the TLL side. Conclusions: TLL and SAL
techniques produced comparable volume of fat aspirate. TLL demonstrated a 42%
faster fat extraction rate and a 68% reduction in arm movements needed to
complete the procedure compared to SAL, both of these differences are
statistically significant. The TLL side was noted to have reduced bruising and
swelling and improved incision site appearance with less tenderness compared to
the SAL side. Level of Evidence 2:
PMID- 29370353
TI - Erratum.
PMID- 29370351
TI - Combined Hyperglycemia- and Hyperinsulinemia-Induced Insulin Resistance in
Adipocytes Is Associated With Dual Signaling Defects Mediated by PKC-zeta.
AB - A hyperglycemic and hyperinsulinemic environment characteristic of type 2
diabetes causes insulin resistance. In adipocytes, defects in both insulin
sensitivity and maximum response of glucose transport have been demonstrated. To
investigate the molecular mechanisms, freshly isolated rat adipocytes were
incubated in control (5.6 mM glucose, no insulin) and high glucose (20 mM)/high
insulin (100 nM) (HG/HI) for 18 hours to induce insulin resistance. Insulin
resistant adipocytes manifested decreased sensitivity of glucose uptake
associated with defects in insulin receptor substrate (IRS)-1 Tyr
phosphorylation, association of p85 subunit of phosphatidylinositol-3-kinase, Akt
Ser473 and Thr308 phosphorylation, accompanied by impaired glucose transporter 4
translocation. In contrast, protein kinase C (PKC)-zeta activity was augmented by
chronic HG/HI. Inhibition of PKC-zeta with a specific cell-permeable peptide
reversed the signaling defects and insulin sensitivity of glucose uptake.
Transfection of dominant-negative, kinase-inactive PKC-zeta blocked insulin
resistance, whereas constitutively active PKC-zeta recapitulated the defects. The
HG/HI incubation was associated with stimulation of IRS-1 Ser318 and Akt Thr34
phosphorylation, targets of PKC-zeta. Transfection of IRS-1 S318A and Akt T34A
each partially corrected insulin signaling, whereas combined transfection of both
completely normalized insulin signaling. In vivo hyperglycemia/hyperinsulinemia
in rats for 48 hours similarly resulted in activation of PKC-zeta and increased
phosphorylation of IRS-1 Ser318 and Akt Thr34. These data indicate that
impairment of insulin signaling by chronic HG/HI is mediated by dual defects at
IRS-1 and Akt mediated by PKC-zeta.
PMID- 29370354
TI - Systematic evaluation of collateral pathways to the artery of Adamkiewicz using
computed tomography.
AB - OBJECTIVES: Preoperative identification of the artery of Adamkiewicz can help
prevent postoperative spinal cord injury in patients undergoing thoracic and
thoraco-abdominal aortic aneurysm repair. Although several studies have shown the
feasibility of evaluating the artery of Adamkiewicz using multidetector row
computed tomography (MDCT), no detailed investigations regarding the collateral
circulation to the artery of Adamkiewicz have been performed. The purpose of this
study was to investigate the collateral circulation to the artery of Adamkiewicz
using MDCT in patients with thoracic and thoraco-abdominal aortic aneurysms.
METHODS: Our institutional review board approved this study. Sixty-four patients
with descending thoracic and thoraco-abdominal aortic aneurysms associated with
the occlusion of the segmental artery from which the artery of Adamkiewicz
originated were scanned using 64- or 320-detector row computed tomography. Two
independent observers evaluated the MDCT images based on the degree of
visualization of the artery of Adamkiewicz and its collateral circulation using a
4-point scale. RESULTS: The average visualization score was 2.8 +/- 0.6. In 53 of
the 64 (83%) patients, image quality was judged to be diagnostic. MDCT
demonstrated 75 collateral pathways to the artery of Adamkiewicz in these 53
patients. Sixty-four of the 75 (85%) pathways were collaterals around the spinal
column, and the remaining 11 (15%) pathways were collateral arteries in the
thoracic wall. CONCLUSIONS: MDCT revealed the collateral pathways to the artery
of Adamkiewicz around the spinal column and in the thoracic wall in 83% of our
patients with thoracic and thoraco-abdominal aortic aneurysms.
PMID- 29370355
TI - Commentary on: Vaginal Laxity, Sexual Distress, and Sexual Dysfunction: A Cross
Sectional Study in a Plastic Surgery Practice.
PMID- 29370357
TI - Ultra fast-track minimally invasive aortic valve replacement: going beyond
reduced incisions.
AB - Aortic valve replacement (AVR) via a median sternotomy approach has been largely
reported to be safe and long-term efficacious, and currently represents the 'gold
standard' approach for aortic stenosis treatment. However, aortic valve surgery
has undergone continuous development over the last years, involving less invasive
techniques and new technologies to reduce the traumatic impact of the
intervention and extend the operability toward increasingly high-risk patients.
Indeed, minimally invasive AVR and transcatheter aortic valve replacement
caseload have steadily increased leading to a paradigm shift in the treatment of
aortic valve disease. In this setting, we have established a multidisciplinary
minimally invasive programme to treat patients who require AVR. Herein, we
present our approach including (i) reduced chest incision (through a J
ministernotomy), aiming to reduce the traumatic impact of the surgical procedure,
to decrease blood loss, postoperative pain and wound complications and to
increase patient's satisfaction; (ii) rapid-deployment AVR, to reduce operative
times, to facilitate minimally invasive approach and to improve haemodynamic
outcomes; (iii) minimal invasive extracorporeal circulation system, to improve
end-organ protection, to decrease systemic inflammatory response and to promote
fast-track anaesthesia and (iv) ultra fast-track anaesthesia, to decrease the
rate of postoperative complications and assure better and earlier recovery.
PMID- 29370356
TI - Moving Forward With Treatment of Gonorrhea for Users of Human Immunodeficiency
Virus Preexposure Prophylaxis Given the Threat of Antimicrobial Resistance.
PMID- 29370358
TI - Vaginal Laxity, Sexual Distress, and Sexual Dysfunction: A Cross-Sectional Study
in a Plastic Surgery Practice.
AB - Background: Sexual health issues can be characterized by vaginal laxity (VL),
sexual distress, and sexual dysfunction. The epidemiology of these issues in
plastic surgery patients, and especially breast cancer survivors, remains poorly
understood. Objectives: To prospectively assess sexual health issues in a plastic
surgery patient population with and without breast cancer. Methods: A prospective
cohort study was created in our practice from June to August 2017 with
administration of a survey including the vaginal laxity questionnaire (VLQ),
female sexual distress scale-revised (FSDS-R), and female sexual function index
(FSFI). Multivariate logistic regression identified the controlled effect of
patient variables on development of sexual health issues. Results: Of 291
patients solicited, 239 completed the survey (37.7% breast cancer survivors vs
62.3% without). Prevalence of VL was nearly 1 in 6 women. Of these, 46.0% met
criteria for sexual distress (FSDS-R >= 11.0) and 64.8% had sexual dysfunction
(FSFI <= 26.5). Breast cancer survivors exhibited significantly greater overall
sexual dysfunction (P < 0.001) and greater dysfunction within all FSFI domains of
desire, arousal, lubrication, orgasm, satisfaction, and pain (all P < 0.02). On
multivariate regression, number of vaginal deliveries predicted development of VL
(OR 1.87, P < 0.001), presence of VL predicted sexual distress (OR 3.01, P =
0.007), while history of breast cancer predicted sexual dysfunction (OR 1.87, P <
0.05). Conclusions: Sexual health issues are prevalent amongst plastic surgery
patients. Aesthetic practices can improve patients' quality of life by focusing
on these areas. Potential therapeutic options to address sexual health issues
should consider addressing vaginal laxity. Level of Evidence 2:
PMID- 29370360
TI - Reply to Dinh et al.
PMID- 29370361
TI - Hyperhomocysteinemia and Risk of First Venous Thrombosis: The Influence of
(Unmeasured) Confounding Factors.
AB - Meta-analyses have reported a 2- to 3-fold increased risk of venous thrombosis
(VT) in individuals with hyperhomocysteinemia. However, confounding factors were
generally not considered. In contrast, randomized trials of homocysteine-lowering
therapy and VT risk have been negative. We investigated whether
hyperhomocysteinemia was associated with VT in the Multiple Environmental and
Genetic Assessment of Risk Factors for Venous Thrombosis (MEGA) case-control
study (1999-2004) from the Netherlands (1,689 cases and 1,726 controls), taking
into account measured and unmeasured confounders. We compared patients with
population controls to estimate odds ratios using unconditional logistic
regression and adjusted for various potential confounders. We matched patients to
their partners to additionally adjust for unmeasured confounders (e.g., lifestyle
factors) using conditional logistic regression. We found that elevated
homocysteine concentrations were not associated with an increased risk for VT
when comparing patients with population controls, either as a continuous variable
(odds ratio = 1.00, 95% confidence interval: 0.99, 1.01), in terms of 0.7-mg/L
increase (odds ratio = 0.99, 95% confidence interval: 0.93, 1.05), or within
different homocysteine categories. We obtained similar results when patients were
compared with their partners. Stratification by sex, deep vein thrombosis,
pulmonary embolism, provoked VT, and unprovoked VT also provided no evidence of
an association. In conclusion, after extensive adjustments for confounding,
hyperhomocysteinemia was not associated with an increased risk of venous
thrombosis in this study.
PMID- 29370359
TI - Smoking is Associated with an Increased Risk of Microscopic Colitis: Results From
Two Large Prospective Cohort Studies of US Women.
AB - Background: Long-term data on the influence of smoking on risk of microscopic
colitis are limited. We therefore sought to examine and characterize the
association between smoking and risk of incident microscopic colitis in two large
prospective cohorts of women. Methods: We conducted a prospective study of 231015
women enrolled in the Nurses' Health Study [NHS] and NHSII. Information regarding
smoking, other lifestyle factors and medications were collected biennially from
1976 to 2012 in NHS and from 1989 to 2013 in NHSII. Incident cases of microscopic
colitis were confirmed through physician medical record review. We used Cox
proportional hazards modelling to examine the association between smoking and
risk of microscopic colitis. Results: We documented 166 incident cases of
microscopic colitis over 6122779 person-years of follow up. Compared to non
smokers, the multivariable-adjusted hazard ratio [HR] for microscopic colitis was
2.52 (95% confidence interval [CI] 1.59-4.00) amongst current smokers and 1.54
[95% CI 1.09-2.17] amongst past smokers. The risk increased with higher pack
years of smoking [p trend = 0.001] and diminished following smoking cessation [p
trend = 0.017]. Current smoking appeared to be more strongly associated with risk
of collagenous colitis [HR 3.68; 95% CI 1.94-6.97] than lymphocytic colitis [HR
1.71; 95% CI 0.83-3.53]. Conclusion: In two large prospective cohort studies, we
observed an association between current smoking and risk of microscopic colitis.
Risk of microscopic colitis appeared to increase with higher pack-years and
diminish following smoking cessation. Future studies focused on characterizing
the biological mechanisms underlying these associations are warranted.
PMID- 29370363
TI - Second cross-clamping after mitral valve repair for degenerative disease in
contemporary practice.
AB - OBJECTIVES: Scanty data are available on 'second cross-clamping' following mitral
valve repair in contemporary practice. The aim of this study was to evaluate the
incidence, causes and outcomes of this event in patients referred for mitral
repair for severe degenerative mitral regurgitation (MR). METHODS: The study
population included 2318 patients with severe degenerative MR referred for mitral
repair. A second cross-clamping was performed in 94 (4%) patients. Causes of the
second cross-clamping, revising repair procedures, immediate echocardiographic
outcomes and postoperative course were assessed and compared with the 'single
cross-clamping cases' (2224 patients used as control). Clinical and
echocardiographic follow-up information was available for 91 of the 94 second
cross-clamping patients (97% complete) (median time 6 years, interquartile range
3-11). RESULTS: The most frequent causes of the second cross-clamping were
residual MR >1+/4+ and systolic anterior motion. A residual prolapse was
identified in 41 (43.5%) patients, systolic anterior motion in 22 (23.5%),
untreated clefts in 14 (15%) and other mechanisms in 17 (18%). Second
cardiopulmonary bypass and aortic cross-clamping times were 36 (range 28-50) and
23 (range 17-34) min, respectively. Hospital mortality was 0% in the second cross
clamping and 0.3% in the control group (P = 0.2). Postoperative complications and
length of hospital stay were similar. At discharge, residual MR >=2+/4+ was 2.1%
in the second cross-clamping and 2.7% in the control group (P = 0.99). In the
second cross-clamping, at 12 years, the cumulative incidence function of
reoperation, recurrent MR >=3+ and MR >=2+ with death as competing risk were 5.7
+/- 2.5% (95% confidence interval 2-12), 10.3 +/- 4.3% (95% confidence interval
3.8-20) and 17 +/- 5.2% (95% confidence interval 8-29), respectively.
CONCLUSIONS: In a large volume centre for mitral repair, a second cross-clamping
is still performed in 3-5% of the patients. Because suboptimal immediate results
are associated with impaired late outcomes of mitral reconstruction, a low
threshold for a second cross-clamping seems to be justified. If the second repair
is carried out with a relatively shorter additional cross-clamping time,
mortality and morbidity are not increased and immediate and long-term results are
very satisfactory.
PMID- 29370364
TI - Adherence of Clostridium perfringens spores to human intestinal epithelial Caco-2
cells.
AB - Clostridium perfringens is a gram-positive, spore-forming bacillus, and is a
causative agent of foodborne infection, antibiotic-associated diarrhoea and
sporadic diarrhoea in humans. In cases of antibiotic-associated and sporadic
diarrhoea, C. perfringens colonises the intestine, proliferates and causes
disease. However, bacterial colonisation of the intestine is not considered
necessary in the pathogenesis of foodborne illness, because such pathogenesis can
be explained by anchorage-independent production of diarrhoeic toxin by the
bacterium in the intestine. In this study, we used an in vitro adherence assay to
examine the adherence of C. perfringens spores to human intestinal Caco-2 cells.
Adherence of spores from isolates of foodborne illness and nosocomial infection
was observed within 15 min, and plateaued 60 min after inoculation. Electron
microscopy revealed a tight association of spores with the surface of Caco-2
cells. The adherence of vegetative cells could not be confirmed by the same
method, however. These results suggest that C. perfringens spores may adhere to
intestinal epithelial cells in vivo, although its biological significance remains
to be determined.
PMID- 29370362
TI - The pipe model theory half a century on: a review.
AB - Background: More than a half century ago, Shinozaki et al. (Shinozaki K, Yoda K,
Hozumi K, Kira T. 1964a. A quantitative analysis of plant form - the pipe model
theory. I. Basic analyses. Japanese Journal of Ecology B: 97-105) proposed an
elegant conceptual framework, the pipe model theory (PMT), to interpret the
observed linear relationship between the amount of stem tissue and corresponding
supported leaves. The PMT brought a satisfactory answer to two vividly debated
problems that were unresolved at the moment of its publication: (1) What
determines tree form and which rules drive biomass allocation to the foliar
versus stem compartments in plants? (2) How can foliar area or mass in an
individual plant, in a stand or at even larger scales be estimated? Since its
initial formulation, the PMT has been reinterpreted and used in applications, and
has undoubtedly become an important milestone in the mathematical interpretation
of plant form and functioning. Scope: This article aims to review the PMT by
going back to its initial formulation, stating its explicit and implicit
properties and discussing them in the light of current biological knowledge and
experimental evidence in order to identify the validity and range of
applicability of the theory. We also discuss the use of the theory in tree
biomechanics and hydraulics as well as in functional-structural plant modelling.
Conclusions: Scrutinizing the PMT in the light of modern biological knowledge
revealed that most of its properties are not valid as a general rule. The
hydraulic framework derived from the PMT has attracted much more attention than
its mechanical counterpart and implies that only the conductive portion of a stem
cross-section should be proportional to the supported foliage amount rather than
the whole of it. The facts that this conductive portion is experimentally
difficult to measure and varies with environmental conditions and tree ontogeny
might cause the commonly reported non-linear relationships between foliage and
stem metrics. Nevertheless, the PMT can still be considered as a portfolio of
properties providing a unified framework to integrate and analyse functional
structural relationships.
PMID- 29370365
TI - The effects of antimicrobial peptides WAM-1 and LL-37 on multidrug-resistant
Acinetobacter baumannii.
AB - Increasing multidrug resistance (MDR) in Acinetobacter baumannii warrants
therapeutic alternatives, and the bactericidal nature of antimicrobial peptides
(AMPs) offers a possible approach. In this study, we examined the interaction of
cathelicidin AMPs WAM-1, a marsupial AMP, and LL-37, a human AMP, with A.
baumannii clinical isolates. We characterized the antibiotic resistance of the
isolates, the bacteriostatic and bactericidal effects of these AMPs, synergistic
activity with antibiotics, and their effects on biofilm formation and dispersal.
All clinical isolates were resistant to commonly prescribed antibiotics, with
four of seven isolates showing MDR. WAM-1 and LL-37 showed variable activity in
clinical isolates, with WAM-1 having a stronger bacteriostatic effect than LL-37
and showing rapid bactericidal activity against clinical isolates. Furthermore,
synergistic bactericidal activity was observed with WAM-1 and commonly prescribed
antibiotics. Both peptides were able to inhibit biofilm formation in all clinical
isolates at some concentrations, and WAM-1 dispersed mature biofilm in most
isolates. LL-37 was unable to disperse mature biofilms in any strains. Further
studies must be done to elucidate the true value of these alternative treatments,
but these results suggest that MDR A. baumannii's susceptibility to AMPs may
result in innovative therapeutics to prevent or treat these infections.
PMID- 29370366
TI - National Patterns of Urine Testing During Inpatient Admission.
AB - Background: Overuse of urine testing is a driver of inappropriate antimicrobial
use. Limiting wasteful testing is important for patient safety. We examined the
national prevalence and patterns of urine testing during adult inpatient
admission in the United States. Methods: We performed a retrospective cohort
study using a national dataset of inpatient admissions from 263 hospitals in the
United States from 2009 to 2014. We included all adult inpatient admissions,
excluding those related to pregnancy, urology procedures, and with lengths of
stay >30 days. A facility-level fixed-effects quasi-Poisson regression model was
used to examine the incidence of urinalysis and urine culture testing for select
diagnoses and patient factors. Results: The cohort included 4473655 admissions.
Charges for urinalysis were present for 2086697 (47%) admissions, with 584438
(13%) including >1 urinalysis. Charges for urine culture were present for 1197242
(27%) admissions, with 246211 (6%) having >1 culture. Urine culture testing
varied by principal diagnosis. Heart failure and acute myocardial infarction had
29% and 35% fewer cultures sent on the first day of admission compared to all
other admissions (P < .001). Female sex and receipt of antibiotics during the
hospital admission consistently predicted increased culture testing, regardless
of principal diagnosis or age. Conclusions: Urine testing was common and
frequently repeated during inpatient admission, suggesting large-scale overuse.
The variation in testing by diagnosis suggests that clinical presentation
modifies test use. The sex bias in urine testing is not clinically supported and
must be addressed in interventions aimed at reducing excess urine testing.
PMID- 29370367
TI - Minimally invasive aortic valve replacement through an upper hemisternotomy: the
Vienna technique.
AB - Minimally invasive approaches for aortic valve replacement are increasingly used
in current clinical practice. Herein, we provide insights into our upper
hemisternotomy approach with specific technical considerations and details.
PMID- 29370368
TI - THE AUTHORS REPLY.
PMID- 29370369
TI - Root cap-mediated evaluation of soil resistance towards graviresponding roots of
maize (Zea mays L.) and the relevance of ethylene.
AB - Background and Aims: Besides biological and chemical impacts, mechanical
resistance represents an important obstacle that growing roots face.
Graviresponding roots must assess the mechanical resistance of the substrate and
take decisions on whether they change growth direction and grow around obstacles
or tolerate growth conditions impaired to varying degrees. To test the
significance of the root cap, we measured pressure and growth behaviour of single
intact, as well as decapped, roots encountering diverse mechanical obstacles. We
examined ethylene emission in intact roots as well as roots without a root cap,
thereby lacking the capacity to deviate. Methods: Roots of fixed seedlings were
grown vertically onto diverse mechanical obstacles. Developing pressure profiles
of vertically growing roots encountering horizontal mechanical obstacles were
measured employing electronic milligram scales, with and without root caps in
given local environmental conditions. The evolution of root-borne ethylene was
measured in intact roots and roots without the root cap. Key Results: In contrast
to decapped roots, intact roots develop a tentative, short-lasting pressure
profile, the resolution of which is characterized by a definite change of growth
direction. Similarly, pressure profiles and strengths of roots facing gradually
differing surface resistances differ significantly between the two. This
correlates in the short term with root cap-dependent ethylene emission which is
lacking in roots without caps. Conclusions: The way gravistimulated and
graviresponding roots cope with exogenous stimuli depends on whether and how they
adapt to these impacts. With respect to mechanical hindrances, roots without caps
do not seem to be able to evaluate soil strengths in order to respond adequately.
On encountering resistance, roots with intact caps emit ethylene, which is not
observed in decapped roots. It therefore appears that it is the root cap which
specifically orchestrates the resistance needed to overcome mechanical resistance
by specifically inducing ethylene.
PMID- 29370370
TI - Reply to Bazaz and Denning.
PMID- 29370371
TI - Plasmids carrying antimicrobial resistance genes in Enterobacteriaceae.
AB - Bacterial antimicrobial resistance (AMR) is constantly evolving and horizontal
gene transfer through plasmids plays a major role. The identification of plasmid
characteristics and their association with different bacterial hosts provides
crucial knowledge that is essential to understand the contribution of plasmids to
the transmission of AMR determinants. Molecular identification of plasmid and
strain genotypes elicits a distinction between spread of AMR genes by plasmids
and dissemination of these genes by spread of bacterial clones. For this reason
several methods are used to type the plasmids, e.g. PCR-based replicon typing
(PBRT) or relaxase typing. Currently, there are 28 known plasmid types in
Enterobacteriaceae distinguished by PBRT. Frequently reported plasmids [IncF,
IncI, IncA/C, IncL (previously designated IncL/M), IncN and IncH] are the ones
that bear the greatest variety of resistance genes. The purpose of this review is
to provide an overview of all known AMR-related plasmid families in
Enterobacteriaceae, the resistance genes they carry and their geographical
distribution.
PMID- 29370372
TI - Does patient-prosthesis mismatch have a negative impact on outcomes following
mitral valve replacement?
AB - A best evidence topic in cardiac surgery was written according to a structured
protocol. The question addressed was whether patient-prosthesis mismatch (PPM)
has a negative impact on patients undergoing mitral valve replacement in terms of
postoperative mortality, incidence of postoperative pulmonary hypertension (PH)
and higher transmitral gradients. Altogether 103 papers were found using the
reported search, 18 of which represented the best evidence to answer the clinical
question. The authors, journal, date and country of publication, patient group
studied, study type, relevant outcomes and results of these papers are tabulated.
Overall, 8 studies with a total of 4812 patients reported that PPM has a
significantly negative impact on long-term mortality, whereas 5 studies with a
total of 1558 patients reported no effect on mortality. One study with a total of
2440 patients reported preoperative PH as the risk factor for increased mortality
in the presence of moderate or severe PPM. Three studies evaluated the effect of
PPM on postoperative PH and reported that PPM was associated with significantly
increased postoperative PH. The majority of the studies reported that PPM was
associated with higher peak or mean transmitral gradient and systolic pulmonary
artery pressure. The results presented in these studies suggest that PPM in
patients undergoing mitral valve replacement was associated with increased
postoperative mean and peak transmitral gradient and higher postoperative
systolic pulmonary artery pressure. PPM may be associated with increased long
term mortality. Severe PPM was directly associated with increased long-term
mortality when compared with moderate or no PPM. Evidence suggests that PPM is
associated with increased incidence of postoperative PH.
PMID- 29370373
TI - Risks of Antimicrobial Resistance in N. gonorrhoeae Associated with Intensive
Screening Programs in Pre-Exposure Prophylaxis Programs.
PMID- 29370374
TI - Evolution of nectar spur length in a clade of Linaria reflects changes in cell
division rather than in cell expansion.
AB - Background and Aims: Nectar spurs (tubular outgrowths of a floral organ which
contain, or give the appearance of containing, nectar) are hypothesized to be a
'key innovation' which can lead to rapid speciation within a lineage, because
they are involved in pollinator specificity. Despite the ecological importance of
nectar spurs, relatively little is known about their development. We used a
comparative approach to investigate variation in nectar spur length in a clade of
eight Iberian toadflaxes. Methods: Spur growth was measured at the macroscopic
level over time in all eight species, and growth rate and growth duration
compared. Evolution of growth rate was reconstructed across the phylogeny. Within
the clade we then focused on Linaria becerrae and Linaria clementei, a pair of
sister species which have extremely long and short spurs, respectively.
Characterization at a micromorphological level was performed across a range of
key developmental stages to determine whether the difference in spur length is
due to differential cell expansion or cell division. Key Results: We detected a
significant difference in the evolved growth rates, while developmental timing of
both the initiation and the end of spur growth remained similar. Cell number is
three times higher in the long spurred L. becerrae compared with L. clementei,
whereas cell length is only 1.3 times greater. In addition, overall anisotropy of
mature cells is not significantly different between the two species. Conclusions:
We found that changes in cell number and therefore in cell division largely
explain evolution of spur length. This contrasts with previous studies in
Aquilegia which have found that variation in nectar spur length is due to
directed cell expansion (anisotropy) over variable time frames. Our study adds to
knowledge about nectar spur development in a comparative context and indicates
that different systems may have evolved nectar spurs using disparate mechanisms.
PMID- 29370375
TI - Cohort Profile: The Siyakhula Cohort, rural South Africa.
PMID- 29370376
TI - Development of a secondary electron energy analyzer for a transmission electron
microscope.
AB - A secondary electron (SE) energy analyzer was developed for a transmission
electron microscope. The analyzer comprises a microchannel plate (MCP) for
detecting electrons, a coil for collecting SEs emitted from the specimen, a tube
for reducing the number of backscattered electrons incident on the MCP, and a
retarding mesh for selecting the energy of SEs incident on the MCP. The detection
of the SEs associated with charging phenomena around a charged specimen was
attempted by performing electron holography and SE spectroscopy using the energy
analyzer. The results suggest that it is possible to obtain the energy spectra of
SEs using the analyzer and the charging states of a specimen by electron
holography simultaneously.
PMID- 29370378
TI - Soft X-ray emission spectroscopy study of electronic structure of sodium
borosilicide Na8B74.5Si17.5.
AB - Chemical bonding state of sodium borosilicide Na8B74.5Si17.5, which is a new
member of B12-cluster materials, is investigated by soft X-ray emission
spectroscopy. The material is composed of B12 cluster network and characteristic
silicon chains of [-Si-(Si-Si)3-Si-] connected by sp3 bonding, in which bonding
distances and bonding angles are close to those in cubic Si crystal. B K-emission
spectrum of the material showed a similar but a broader intensity distribution
with those of B12 cluster materials of alpha-r-B, B4C and beta-r-B. The broader
intensity distribution can be due to a variation of B-B bond length in B12
cluster. The density of states (DOS) of silicon chains of [-Si-(Si-Si)3-Si-] was
experimentally derived. It shows a similar energy width, and peak or shoulder
structures in intensity distribution with those of L-emission spectrum of cubic
Si. From comparisons between experimental spectra and corresponding calculated
DOS, covalent bonding between Si chain and B12 cluster network is suggested.
Those are discussed by using a theoretically calculated density of state of
Na8B74.5Si17.5 by using WIEN2k code.
PMID- 29370379
TI - Glycan-directed CAR-T cells.
AB - Cancer immunotherapy is rapidly advancing in the treatment of a variety of
hematopoietic cancers, including pediatric acute lymphoblastic leukemia and
diffuse large B cell lymphoma, with chimeric antigen receptor (CAR)-T cells. CARs
are genetically encoded artificial T cell receptors that combine the antigen
specificity of an antibody with the machinery of T cell activation. However,
implementation of CAR technology in the treatment of solid tumors has been
progressing much slower. Solid tumors are characterized by a number of challenges
that need to be overcome, including cellular heterogeneity, immunosuppressive
tumor microenvironment (TME), and, in particular, few known cancer-specific
targets. Post-translational modifications that differentially occur in malignant
cells generate valid cell surface, cancer-specific targets for CAR-T cells. We
previously demonstrated that CAR-T cells targeting an aberrant O-glycosylation of
MUC1, a common cancer marker associated with changes in cell adhesion, tumor
growth and poor prognosis, could control malignant growth in mouse models. Here,
we discuss the field of glycan-directed CAR-T cells and review the different
classes of antibodies specific for glycan-targeting, including the generation of
high affinity O-glycopeptide antibodies. Finally, we discuss historic and
recently investigated glycan targets for CAR-T cells and provide our perspective
on how targeting the tumor glycoproteome and/or glycome will improve CAR-T
immunotherapy.
PMID- 29370380
TI - Prenatal Dexamethasone Exposure Induced Ovarian Developmental Toxicity and
Transgenerational Effect in Rat Offspring.
AB - Prenatal dexamethasone exposure (PDE) induces multiorgan developmental toxicities
in offspring. Here we verified the transgenerational inheritance effect of
ovarian developmental toxicity by PDE and explored its intrauterine programming
mechanism. Pregnant rats subcutaneously received 0.2 mg/kg/d dexamethasone from
gestational day (GD) 9 to GD20. A subgroup was euthanized for fetuses on GD20,
and the other group went on to spontaneous labor to produce F1 offspring. The
adult F1 females were mated with normal males to produce the F2 and F3
generations. The PDE fetal rats exhibited ovarian mitochondrial structural
abnormalities, decreased serum estradiol (E2) levels, and lower expression levels
of ovarian steroidogenic factor 1 (SF1), steroidal synthetases, and insulinlike
growth factor 1 (IGF1). On postnatal week (PW) 6 and PW12, the PDE F1 offspring
showed altered reproductive behavior and ovarian morphology. The serum E2 level
and ovarian expression of SF1, steroidal synthetases, and IGF1 were also
decreased. The adult F3 offspring showed alterations in reproductive phenotype
and ovarian IGF1, SF1, and steroidal synthetase expression similar to those of
F1. PDE induces ovarian developmental toxicity and transgenerational inheritance
effects. The mechanism by which this toxicity occurs may be related to PDE
induced low-functional programming of fetal ovarian IGF1/SF1 and steroidal
synthetases.
PMID- 29370377
TI - Big data from electronic health records for early and late translational
cardiovascular research: challenges and potential.
AB - Aims: Cohorts of millions of people's health records, whole genome sequencing,
imaging, sensor, societal and publicly available data present a rapidly expanding
digital trace of health. We aimed to critically review, for the first time, the
challenges and potential of big data across early and late stages of
translational cardiovascular disease research. Methods and results: We sought
exemplars based on literature reviews and expertise across the BigData@Heart
Consortium. We identified formidable challenges including: data quality, knowing
what data exist, the legal and ethical framework for their use, data sharing,
building and maintaining public trust, developing standards for defining disease,
developing tools for scalable, replicable science and equipping the clinical and
scientific work force with new inter-disciplinary skills. Opportunities claimed
for big health record data include: richer profiles of health and disease from
birth to death and from the molecular to the societal scale; accelerated
understanding of disease causation and progression, discovery of new mechanisms
and treatment-relevant disease sub-phenotypes, understanding health and diseases
in whole populations and whole health systems and returning actionable feedback
loops to improve (and potentially disrupt) existing models of research and care,
with greater efficiency. In early translational research we identified exemplars
including: discovery of fundamental biological processes e.g. linking exome
sequences to lifelong electronic health records (EHR) (e.g. human knockout
experiments); drug development: genomic approaches to drug target validation;
precision medicine: e.g. DNA integrated into hospital EHR for pre-emptive
pharmacogenomics. In late translational research we identified exemplars
including: learning health systems with outcome trials integrated into clinical
care; citizen driven health with 24/7 multi-parameter patient monitoring to
improve outcomes and population-based linkages of multiple EHR sources for higher
resolution clinical epidemiology and public health. Conclusion: High volumes of
inherently diverse ('big') EHR data are beginning to disrupt the nature of
cardiovascular research and care. Such big data have the potential to improve our
understanding of disease causation and classification relevant for early
translation and to contribute actionable analytics to improve health and
healthcare.
PMID- 29370382
TI - Honey, I Shrunk the Antibiotic Therapy.
PMID- 29370381
TI - Ablation of Grb10 Specifically in Muscle Impacts Muscle Size and Glucose
Metabolism in Mice.
AB - Grb10 is an adaptor-type signaling protein most highly expressed in tissues
involved in insulin action and glucose metabolism, such as muscle, pancreas, and
adipose. Germline deletion of Grb10 in mice creates a phenotype with larger
muscles and improved glucose homeostasis. However, it has not been determined
whether Grb10 ablation specifically in muscle is sufficient to induce
hypermuscularity or affect whole body glucose metabolism. In this study we
generated muscle-specific Grb10-deficient mice (Grb10-mKO) by crossing
Grb10flox/flox mice with mice expressing Cre recombinase under control of the
human alpha-skeletal actin promoter. One-year-old Grb10-mKO mice had enlarged
muscles, with greater cross-sectional area of fibers compared with wild-type (WT)
mice. This degree of hypermuscularity did not affect whole body glucose
homeostasis under basal conditions. However, hyperinsulinemic/euglycemic clamp
studies revealed that Grb10-mKO mice had greater glucose uptake into muscles
compared with WT mice. Insulin signaling was increased at the level of phospho
Akt in muscle of Grb10-mKO mice compared with WT mice, consistent with a role of
Grb10 as a modulator of proximal insulin receptor signaling. We conclude that
ablation of Grb10 in muscle is sufficient to affect muscle size and metabolism,
supporting an important role for this protein in growth and metabolic pathways.
PMID- 29370384
TI - Erratum.
PMID- 29370383
TI - Circadian variation of ticagrelor-induced platelet inhibition in healthy adulty.
AB - Aims: The circadian variation of platelet aggregation is well demonstrated.
However, whether this has an impact on antiplatelet inhibition therapy is poorly
documented. We aimed to observe whether ticagrelor-induced platelet inhibition
follows a circadian rhythm. Methods and results: The study included 25 healthy
volunteers (11 female; 14 male). Blood samples were collected every 4 h.
Ticagrelor was added in vitro at a concentration that provided 50% inhibition of
the maximum response using the VerifyNow System Platelet Reactivity Test(r) thus
avoiding any bias induced by circadian gastrointestinal absorption. Platelet
aggregation testing was subsequently performed using the VerifyNow. Circadian
changes in total platelet count, percentage of platelets inhibition, Von
Willebrand activity, and volunteers' physiological parameters were analysed by
fitting individuals' data to a sine curve with a 24-h period. Volunteers'
physiological parameters [heart rate (b.p.m.), systolic/diastolic blood pressure
(mmHg), and body temperature (Celsius)] followed a significant mean circadian
pattern of 6 b.p.m. (P < 0.001), 5 mmHg/7 mmHg (P < 0.002), and 0.3 degrees C (P
< 0.001), respectively. Ticagrelor-induced platelet inhibition was significantly
lower at 13:00 (38.4%) than at any other time (45.2%) (P = 0.018). Percentage of
inhibited platelets plotted against time followed a circadian rhythm (P < 0.001),
with mean minimum/maximum values at 13:00/02:00, respectively. Von Willebrand
activity also followed a circadian pattern (P < 0.001), with an amplitude of
12.24% and a maximum activity at 12:00. Conclusion: Ticagrelor-induced platelet
inhibition follows a circadian rhythm, with the lowest mean values achieved at
13:00. These results deserve further studies in patients with coronary artery
disease.
PMID- 29370385
TI - Profligate and conservative: water use strategies in grain legumes.
AB - Yields of grain legumes are constrained by available water. Thus, it is crucial
to understand traits influencing water uptake and the efficiency of using water
to produce biomass. Global comparisons and comparisons at specific locations
reveal that water use of different grain legumes is very similar, which indicates
that water use efficiency varies over a wide range due to differences in biomass
and yield. Moreover, yield increases more per millimetre of water used in cool
season grain legumes than warm season species. Although greater contrasts have
been observed across species and genotypes at the pot and lysimeter level,
agronomic factors need to be taken into account when scaling those studies to
field-level responses. Conservative water use strategies in grain legumes such as
low stomatal conductance as approximated by low photosynthetic carbon isotope
discrimination reduces yield potential, whereas temporal adjustments of stomatal
conductance within the growing season and in response to environmental factors
(such as vapour pressure deficit) helps to optimize the trade-off between carbon
gain and water loss. Furthermore, improved photosynthetic capacity, reduced
mesophyll conductance, reduced boundary layer, and re-fixation of respired CO2
were identified as traits that are beneficial without water deficit, but also
under terminal and transient drought. Genotypic variability in some grain legume
species has been observed for several traits that influence water use, water use
efficiency, and yield, including root length and the temporal pattern of water
use, but even more variation is expected from wild relatives. Albeit that N2
fixation decreases under drought, its impact on water use is still largely
unknown, but the nitrogen source influences gas exchange and, thus, transpiration
efficiency. This review concludes that conservative traits are needed under
conditions of terminal drought to help maintain soil moisture until the pod
filling period, but profligate traits, if tightly regulated, are important under
conditions of transient drought in order to profit from short intermittent
periods of available soil moisture.
PMID- 29370386
TI - Quantitative imaging of plants: multi-scale data for better plant anatomy.
PMID- 29370387
TI - A new opening for wheat seed production.
PMID- 29370388
TI - Corrigendum: Crop-model assisted phenomics and genome-wide association study for
climate adaptation of indica rice. 1. Phenology.
PMID- 29370389
TI - Corrigendum: Crop-model assisted phenomics and genome-wide association study for
climate adaptation of indica rice 2. Thermal stress and spikelet sterility.
PMID- 29370390
TI - Egg CD9 protein tides correlated with sperm oscillations tune the gamete fusion
ability in mammal.
AB - Mammalian fertilization involves membrane events -adhesion, fusion, sperm
engulfment, membrane block to polyspermy- whose causes remain largely unknown.
Recently, specific oscillations of the sperm in contact with the egg were shown
to be necessary for fusion. Using a microfluidic chip to impose the venue for the
encounter of two gametes allowed real-time observation of the membrane
remodelling occurring at the sperm/egg interface. The spatiotemporal mapping of
egg CD9 revealed that this protein concentrates at the egg/sperm interface as a
result of sperm oscillations, until a CD9-rich platform is nucleated on which
fusion immediately takes place. Within 2 to 5 minutes after fusion, most of the
CD9 leaves the egg for the external aqueous medium. Then an egg membrane wave
engulfs the sperm head in approximately 25 minutes. These results show that sperm
oscillations initiate the CD9 recruitment that causes gamete fusion after which
CD9 and associated proteins leave the membrane in a process likely to contribute
to block polyspermy. They highlight that the gamete fusion story in mammals is an
unexpected interplay between mechanical constraints and proteins.
PMID- 29370391
TI - Leaflet detachment in a Carpentier-Edwards Perimount Magna mitral pericardial
valve bioprosthesis.
PMID- 29370392
TI - Subacute Invasive Aspergillosis Associated With Sorafenib Therapy for
Hepatocellular Carcinoma.
PMID- 29370393
TI - The impact of ART on union dissolution: a register-based study in Denmark 1994
2010.
AB - STUDY QUESTION: Are couples initiating ART treatment at higher risk for future
union dissolution compared to other couples? SUMMARY ANSWER: There is no effect
of ART treatments in future marital dissolution over a period of 16 years when
adjusting for all confounders. WHAT IS KNOWN ALREADY: Findings regarding marital
stability and infertility treatments have been sparse and controversial. While
there is data showing higher divorce rates among women who go through infertility
treatments, there is also some evidence of this experience bringing couples
closer by forcing them to communicate more and to deal with the surrounding
stigma. Using a population-based study and couple-level data, we investigated the
extent to which ART treatment increases the risk for divorce/marital dissolution
during up to 16 years of follow-up. STUDY DESIGN SIZE, DURATION: Register-based
national cohort study including all women registered with ART treatment in
Denmark between 1 January 1994 and 30 September 2009 (n = 42 845).
Marital/cohabiting status was confirmed by matching these women to partners who
they were married to or shared an address with. To account for having a
significant relationship at baseline (2 years), marital/cohabiting status was
confirmed by accessing this variable before the establishment of the cohort back
to 1 January 1992. PARTICIPANTS/MATERIALS, SETTING, METHODS: A comparison group
from the background population including five controls per case and matched to
female age at baseline was prospectively sampled. Participants could change
status during follow-up if they entered ART. The final sample had 148 972
couples, followed until marital dissolution, death of self/spouse, migration or
until 31 December 2010. We used Cox regression models adjusting for female and
male age, education, marriage, common child at baseline and live-born child
during follow-up. MAIN RESULTS AND THE ROLE OF CHANCE: At baseline, the majority
of couples were married (69%). More non-ART couples opted for marriage (70%
versus 64%; P < 0.0001) and already had common children at study entry (43%
versus 9%; P < 0.0001). During the 16 years of follow-up the majority of couples
had children with their baseline partners (56% non-ART versus 65% ART), and 22%
ended up separated or divorced (20% ART versus 22% non-ART). Findings revealed a
lower risk of break-up among ART couples (crude HR 0.84, 95% CI 0.82-0.86), even
after adjusting for both partners' age, education, partnership status and having
a common child at baseline (adj HR 0.83, 95% CI 0.80-0.86). However, when
subsequent common children (time-dependent) was added to the model, no difference
in the risk of dissolution was found (adj HR 1.00, 95% CI 0.99-1.01). A
significant interaction between ART status and common children showed that the
risk of break-up was attributed to childlessness regardless of having gone
through ART treatment. LIMITATIONS REASON FOR CAUTION: This study did not control
for involuntary childlessness, non-ART fertility care (ovulation induction, IUI)
and biological parenthood. Additionally, there are important predictors of
divorce that were not considered. We were unable to adjust for religion,
existence of previous marital relationships, income, employment, health status of
parents and child(ren), and quality of relationship. WIDER IMPLICATION OF
FINDINGS: The finding that going through ART does not increase the risk of break
up per se is reassuring for couples who underwent ART and have children or are
contemplating to start ART. STUDY FUNDING/COMPETING INTEREST(S): This work was
supported by FCT (Portuguese Foundation for Science and Technology), grant ref.
SFRH/BPD/85789/2012. The authors have no conflicts of interest. TRIAL
REGISTRATION NUMBER: N/A.
PMID- 29370395
TI - Erratum.
PMID- 29370394
TI - Convergent-beam EMCD: benefits, pitfalls and applications.
AB - Energy-loss magnetic chiral dichroism (EMCD) is a versatile method for studying
magnetic properties on the nanoscale. However, the classical EMCD technique is
notorious for its low signal-to-noise ratio (SNR), which is why many
experimentalists have adopted a convergent-beam approach. Here, we study the
theoretical possibilities of using a convergent beam for EMCD. In particular, we
study the influence of detector positioning as well as convergence and collection
angles on the detectable EMCD signal. In addition, we analyse the expected SNR
and give some guidelines for achieving optimal EMCD results.
PMID- 29370396
TI - Ketamine-Induced Prefrontal Serotonin Release Is Mediated by Cholinergic Neurons
in the Pedunculopontine Tegmental Nucleus.
AB - Background: Ketamine rapidly elicits antidepressive effects in humans and mice in
which serotonergic activity is involved. Although alpha4beta2 nicotinic
acetylcholine receptor (alpha4beta2 nAChR) in the dorsal raphe nucleus plays a
key role in the ketamine-induced prefrontal serotonin release, the source of
cholinergic afferents, and its role is unclear. Methods: Prefrontal serotonin
levels after ketamine injection were measured by microdialysis in rats.
Electrolytic lesion of pedunculopontine tegmental nucleus and laterodorsal
tegmental nucleus was made with constant direct current. Results: Bilateral
lesion of the pedunculopontine tegmental nucleus, but not laterodorsal tegmental
nucleus, attenuated prefrontal serotonin release induced by systemic ketamine.
Intra-pedunculopontine tegmental nucleus, but not intra-laterodorsal tegmental
nucleus ketamine perfusion, increased prefrontal serotonin release. This increase
was attenuated by intra-dorsal raphe nucleus injection of dihydro-beta
erythroidine, an alpha4beta2 nAChR antagonist, or NBQX, an AMPA receptor
antagonist. Conclusions: These results suggest the ketamine-induced serotonin
release in medial prefrontal cortex is mediated by cholinergic neurons projecting
from pedunculopontine tegmental nucleus to dorsal raphe nucleus via alpha4beta2
nAChRs.
PMID- 29370397
TI - Primary Non-Response to Tumor Necrosis Factor Antagonists is Associated with
Inferior Response to Second-line Biologics in Patients with Inflammatory Bowel
Diseases: A Systematic Review and Meta-analysis.
AB - Background and Aims: We sought to analyze whether response to a second-line
biologic varies depending on the reason for discontinuation of the primary anti
TNF agent (primary non-response [PNR], secondary loss of response [LOR] after
initial response, or intolerance), through a systematic review and meta-analysis.
Methods: Through a systematic search through May 31, 2017, we identified eight
randomized controlled trials [RCTs] of biologics in patients with IBD with prior
exposure to anti-TNF agents, that stratified response to second-line therapy by
reason for discontinuing primary anti-TNF therapy [PNR vs. LOR vs. intolerance].
We estimated relative risk [RR] (and 95% confidence interval [CI]) of achieving
clinical remission in patients with PNR as compared with patients with LOR, and
intolerance, through random effects meta-analysis. Results: As compared with
patients who discontinued prior anti-TNF due to intolerance, patients with prior
PNR were 24% less likely to achieve remission with second-line biologics (RR,0.76
[0.61-0.96]). As compared with patients who discontinued prior anti-TNF due to
LOR, patients with prior PNR were 27% less likely to achieve remission with
induction therapy with second-line biologics (RR,0.73 [0.56-0.97]), particularly
to ustekinumab (RR,0.64 [0.52-0.80]). There was no difference in response to
vedolizumab in patients with prior PNR or LOR to anti-TNF agents (RR,1.16 [0.85
1.58]). Conclusion: Patients with PNR to anti-TNF agents are less likely to
respond to second-line non-TNF biologics, as compared with patients who
discontinued therapy due to secondary LOR or intolerance. This may be attributed
to underlying pharmacokinetics and pharmacodynamics of anti-TNF agents in
patients with PNR.
PMID- 29370398
TI - Heterologous expression, characterization and possible functions of the chitin
deacetylases, Cda1 and Cda2, from mushroom Coprinopsis cinerea.
AB - Two chitin deacetylases, Cda1 and Cda2, from Coprinopsis cinerea were expressed
and characterized. Cda1 preferably deacetylates the nonreducing end residue of
(GlcNAc)2, the internal or nonreducing end residue of (GlcNAc)3 and the
nonreducing residue of (GlcNAc)6 after deacetylating the internal residues. In
contrast, Cda2 preferably deacetylates the reducing end residue of (GlcNAc)2, the
internal or reducing end residue of (GlcNAc)3 and the reducing residue of
(GlcNAc)6 after deacetylating the internal residues. Furthermore, Cda1 prefers
chitohexaose with higher degrees of acetylation for deacetylation, while Cda2
shows a weaker preference for chitohexaose with varying degrees of acetylation.
The predicted Cda1 structure shows more hydrophobic aromatic amino acids on the
surface near subsite +1 in the active site than on the surface near subsite -1,
whereas the predicted Cda2 structure has more hydrophobic aromatic amino acids on
the surface near subsite -1 than on the surface near subsite +1, which may be the
molecular basis of the distinctive catalytic features between Cda1 and Cda2.
Notably, Cda1 has a high transcription level in the nonelongating basal stipe
region, whereas Cda2 has a high transcription level in the elongating apical
stipe region, and the transcription level of the former is approximately five
times that of the latter. Correspondingly, the molar ratio of GlcN/GlcNAc
increased from 0.15 in the cell wall of the apical stipe region to 0.22 in the
cell wall of the basal stipe region. Different modes of action of Cda1 and Cda2
may be related to their functions in the different stipe regions.
PMID- 29370399
TI - Survival analysis of orthodontic retainers.
AB - Objective: Retainers play a critical role in the long-term success of orthodontic
treatment. The aims of this study were to evaluate the survival time of different
retainers and to investigate the potential predictors of the retainer failures.
Material and methods: A total of 591 retainers from 309 patients (between 2003
and 2014) were included in the study, including Hawley retainers (n = 199),
vacuum-formed retainers (n = 34), lingual fixed retainers (n = 278), and the
COMBO (a combination of two different retainers in the same arch; n = 80).
Patient's demographics, retention procedures, time to failure (survival time),
and reasons for failures were extracted from patient files. Failure of retainer
was defined as any events after which the retainers needed to be replaced or
repaired; loss of retainer was treated as a failure because the retainer could
not fulfil its role. Survival analyses were performed to compare the survival
time between different retainer groups. Results: The survival time was the
longest for lingual fixed retainers (median 1604 days) and Hawley retainers (1529
days), followed by COMBO (258 days) and vacuum-formed retainers (105 days;
overall P < 0.001). No statistical significance of survival time of lingual fixed
retainers was found between maxilla (1497 days) and mandible (1604 days; P =
0.341), nor between different types of the COMBO (overall P = 0.078). These
results were unchanged before and after adjusting for the age, gender, and
socioeconomic status (SES) of the patients. The reasons for failures varied among
different retainers: most failures of the Hawley retainers were 'lost' (52.0%),
most failures of the lingual fixed retainers were debond (63.5%), and fracture
was the most common cause of failure for both vacuum-formed retainers (43.5%) and
the COMBO (41.9%). Conclusion: Lingual fixed retainers and Hawley retainers had
the longest survival times, followed by the COMBO retainers and vacuum-formed
retainers. The reasons of failure were mainly mechanical (debond and fracture)
and patient-related (loss).
PMID- 29370400
TI - The interval between brainstem death and cardiac assessment influences the
retrieval of hearts for transplantation.
AB - OBJECTIVES: The optimum time after brainstem death (BSD) at which to assess the
function of donor hearts is unknown. We hypothesized that a longer interval may
be associated with a higher transplantation rate due to improved function.
METHODS: Data were obtained from the UK Transplant Registry for the period
between April 2010 and March 2015. The time when fixed dilated pupils were first
noted in the donor was considered as the time of BSD. Retrieval was defined as
the time when the abdominal organs were surgically perfused. RESULTS: BSD to
retrieval duration was available for 1947 donors, of which 458 (24%) donated
their heart. In the univariable analysis (not adjusting other donor risk
factors), evidence was available to suggest that the BSD to cardiac assessment
duration had a non-linear association with heart utilization (P < 0.0001).
Adjusting for donor risk factors, the relationship remained with longer intervals
being associated with increased transplantation (P = 0.0056). The modelled
probability of heart utilization had a similar pattern to the observed rate of
heart utilization. However, the probability of heart donation began to plateau
after approximately 48 h. The analysis of the subset of donors attended by a
cardiothoracic retrieval team showed a similar pattern. CONCLUSIONS: These data
suggest that time interval from BSD to organ retrieval influences the heart
retrieval rate. When the sole reason for declining a donor heart is poor
function, a period of further observation and optimization up to 2 days should be
considered.
PMID- 29370401
TI - Combined smoking cues enhance reactivity and predict immediate subsequent
smoking.
AB - Introduction: Cue reactivity (CR) research has reliably demonstrated robust cue
induced responding among smokers exposed to common proximal smoking cues (e.g.,
cigarettes, lighter). More recent work demonstrates that distal stimuli, most
notably the actual environments in which smoking previously occurred, can also
gain associative control over craving. In the real world proximal cues always
occur within an environment; thus, a more informative test of how cues affect
smokers might be to present these two cue types simultaneously. Methods: Using a
combined-cue counterbalanced cue reactivity paradigm, the present study tested
the impact of proximal (smoking and neutral) + personal environment (smoking and
nonsmoking places) pictorial cues, on smokers' subjective and behavioral cue
reactivity; as well as the extent to which cue-induced craving predicts immediate
subsequent smoking in a within-subjects design. Results: As anticipated, the dual
smoking cue combination (ProxS+EnvS) led to the greatest cue-induced craving
relative to the other three cue combinations (ProxS+EnvN, ProxN+EnvS, ProxN+
EnvN), p's < .004. Dual smoking cues also led to significantly shorter post-trial
latencies to smoke, p's < .01. Overall cue reactivity difference score (post
trial craving minus baseline craving) was predictive of subsequent immediate
smoking indexed by: Post-trial latency to smoke (B= -2.69, SE= 9.02; t(143) =
2.98, p = .003); total puff volume (B= 2.99, SE= 1.13; t(143)= 2.65, p = .009);
and total number of puffs (B= .053, SE= .027; t(143)= 1.95, p = .05).
Conclusions: The implications of these findings for better understanding the
impact of cues on smoking behavior and cessation are discussed. Implications:
This novel cue reactivity study examined smokers' reactivity to combined proximal
and distal smoking cues. Exposure to a combination of two smoking cues (proximal
and environment) led to the greatest increases in cue-induced craving and smoking
behavior compared to all other cue combinations. Further, the overall magnitude
of cue-induced craving was found to significantly predict immediate subsequent
smoking. This work provides new insight on how exposure to various cues and cue
combinations directly affect smokers' craving and actual smoking behavior, as
well as the relationship between those two indices of reactivity.
PMID- 29370402
TI - Molecular epidemiology and phylogenetic analysis of fowl adenoviruses caused
hydropericardium outbreak in China during 2015.
AB - An outbreak of hydropericardium syndrome has been ongoing in East China since
2015. To decipher the disease etiology, 26 full-length sequences of the
adenovirus hexon gene were cloned and sequenced. Phylogenetic trees and sequence
alignments revealed that all 26 strains belonged to subgroup C serotype 4 and
shared some consistent molecular characteristics that showed only 9 mutations
without amino acid (AA) deletions. Eight sites were located in L1 and one in L2.
To determine whether the complete hexon gene was under positive selection
pressure, site-by-site analysis was performed to identify specific codons that
underwent evolutionary selection. Analysis identified 7 positively selected
codons in L1 (AA 164, 168, 195, and 243) and L2 (AA 379, 402, and 408). The other
2 sites (AA 140 and 680) were located in P1 and P2, respectively. The results
reveal that hexon loops L1 and L2 are hypervariable regions. Moreover,
elucidation of viral distribution indicated that the liver is a major target
organ, and FAdV-4 is a pantropic virus. In summary, FAdV-4 has been identified as
an epidemic strain, and viral evolution is ongoing; thus, continuous surveillance
of this virus will contribute to the control of future epidemics.
PMID- 29370403
TI - Fucose-specific lectin of Aspergillus fumigatus: binding properties and effects
on immune response stimulation.
AB - Aspergillus fumigatus is the major causative fungus of aspergillosis, and many
studies have explored the relationship between A. fumigatus and pathogenicity. In
the current study, we focused on a fucose-specific lectin, FleA, as a novel
molecule which related to the pathogenicity of A. fumigatus. The disruption of
the fleA gene did not lead to clear morphological changes compared to parental
strain under several stress conditions in culture, but germination become
earlier. In comparison with parental strain, the pathogenicity of disruptant was
enhanced in a mouse infection model. The pattern of conidial phagocytosis and
adhesion to cultured cells did not explain this enhanced pathogenicity. FleA was
reported to contain six conserved fucose-binding sites; the analysis of
constructed FleA point mutants revealed nonequivalent contribution of the fucose
binding sites to fucose binding. Based on the immune response induced in the
cultured cells upon exposure to wild-type and mutant FleA, we propose a model of
the FleA molecule in A. fumigatus infection.
PMID- 29370404
TI - Negative Symptoms in Early-Onset Psychosis and Their Association With
Antipsychotic Treatment Failure.
AB - The prevalence of negative symptoms (NS) at first episode of early-onset
psychosis (EOP), and their effect on psychosis prognosis is unclear. In a sample
of 638 children with EOP (aged 10-17 y, 51% male), we assessed (1) the prevalence
of NS at first presentation to mental health services and (2) whether NS
predicted eventual development of multiple treatment failure (MTF) prior to the
age of 18 (defined by initiation of a third trial of novel antipsychotic due to
prior insufficient response, intolerable adverse-effects or non-adherence). Data
were extracted from the electronic health records held by child inpatient and
community-based services in South London, United Kingdom. Natural Language
Processing tools were used to measure the presence of Marder Factor NS and
antipsychotic use. The association between presenting with >=2 NS and the
development of MTF over a 5-year period was modeled using Cox regression. Out of
the 638 children, 37.5% showed >=2 NS at first presentation, and 124 (19.3%)
developed MTF prior to the age of 18. The presence of NS at first episode was
significantly associated with MTF (adjusted hazard ratio 1.62, 95% CI 1.07-2.46;
P = .02) after controlling for a number of potential confounders including
psychosis diagnostic classification, positive symptoms, comorbid depression, and
family history of psychosis. Other factors associated with MTF included comorbid
autism spectrum disorder, older age at first presentation, Black ethnicity, and
family history of psychosis. In EOP, NS at first episode are prevalent and may
help identify a subset of children at higher risk of responding poorly to
antipsychotics.
PMID- 29370405
TI - Oviductal extracellular vesicles (oviductosomes, OVS) are conserved in humans:
murine OVS play a pivotal role in sperm capacitation and fertility.
AB - STUDY QUESTIONS: Are extracellular vesicles (EVs) in the murine oviduct
(oviductosomes, OVS) conserved in humans and do they play a role in the fertility
of Pmca4-/- females? SUMMARY ANSWER: OVS and their fertility-modulating proteins
are conserved in humans, arise via the apocrine pathway, and mediate a
compensatory upregulation of PMCA1 (plasma membrane Ca2+-ATPase 1) in Pmca4-/-
female mice during proestrus/estrus, to account for their fertility. WHAT IS
KNOWN ALREADY: Recently murine OVS were identified and shown during
proestrus/estrus to express elevated levels of PMCA4 which they can deliver to
sperm. PMCA4 is the major Ca2+ efflux pump in murine sperm and Pmca4 deletion
leads to loss of sperm motility and male infertility as there is no compensatory
upregulation of the remaining Ca2+ pump, PMCA1. Of the four family members of
PMCAs (PMCA1-4), PMCA1 and PMCA4 are ubiquitous, and to date there have been no
reports of one isoform being upregulated to compensate for another in any
organ/tissue. Since Pmca4-/- females are fertile, despite the abundant expression
of PMCA4 in wild-type (WT) OVS, we propose that OVS serve a role of packaging and
delivering to sperm elevated levels of PMCA1 in Pmca4-/- during proestrus/estrus
to compensate for PMCA4's absence. STUDY DESIGN, SIZE, DURATION: Fallopian tubes
from pre-menopausal women undergoing hysterectomy were used to study EVs in the
luminal fluid. Oviducts from sexually mature WT mice were sectioned after
perfusion fixation to detect EVs in situ. Oviducts were recovered from WT and
Pmca4-/- after hormonally induced estrus and sectioned for PMCA1
immunofluorescence (IF) (detected with confocal microscopy) and hematoxylin and
eosin staining. Reproductive tissues, luminal fluids and EVs were recovered after
induced estrus and after natural cycling for western blot analysis of PMCA1 and
qRT-PCR of Pmca1 to compare expression levels in WT and Pmca4-/-. OVS,
uterosomes, and epididymal luminal fluid were included in the comparisons. WT and
Pmca4-/- OVS were analyzed for the presence of known PMCA4 partners in sperm and
their ability to interact with PMCA1, via co-immunoprecipitation. In vitro uptake
of PMCA1 from OVS was analyzed in capacitated and uncapacitated sperm via
quantitative western blot analysis, IF localization and flow cytometry. Caudal
sperm were also assayed for uptake of tyrosine-phosphorylated proteins which were
shown to be present in OVS. Finally, PMCA1 and PMCA4 in OVS and that delivered to
sperm were assayed for enzymatic activity. PARTICIPANTS/MATERIALS, SETTING,
METHODS: Human fallopian tubes were flushed to recover luminal fluid which was
processed for OVS via ultracentrifugation. Human OVS were negatively stained for
transmission electron microscopy (TEM) and subjected to immunogold labeling, to
detect PMCA4. Western analysis was used to detect HSC70 (an EV biomarker), PMCA1
and endothelial nitric oxide synthase (eNOS) which is a fertility-modulating
protein delivered to human sperm by prostasomes. Oviducts of sexually mature
female mice were sectioned after perfusion fixation for TEM tomography to obtain
3D information and to distinguish cross-sections of EVs from those of microvilli
and cilia. Murine tissues, luminal fluids and EVs were assayed for PMCA1 (IF and
western blot) or qRT-PCR. PMCA1 levels from western blots were quantified, using
band densities and compared in WT and Pmca4-/- after induced estrus and in
proestrus/estrus and metestrus/diestrus in cycling females. In vitro uptake of
PMCA1 and tyrosine-phosphorylated proteins was quantified with flow cytometry
and/or quantitative western blot. Ca2+-ATPase activity in OVS and sperm before
and after PMCA1 and PMCA4 uptake was assayed, via the enzymatic hydrolysis rate
of ATP. MAIN RESULTS AND THE ROLE OF CHANCE: TEM revealed that human oviducts
contain EVs (exosomal and microvesicular). These EVs contain PMCA4
(immunolabeling), eNOS and PMCA1 (western blot) in their cargo. TEM tomography
showed the murine oviduct with EV-containing blebs which typify the apocrine
pathway for EV biogenesis. Western blots revealed that during proestrus/estrus
PMCA1 was significantly elevated in the oviductal luminal fluid (OLF) (P = 0.02)
and in OVS (P = 0.03) of Pmca4-/-, compared to WT. Further, while PMCA1 levels
did not fluctuate in OLF during the cycle in WT, they were significantly (P =
0.02) higher in proestrus/estrus than at metestrus/diestrus in Pmca4-/-. The
elevated levels of PMCA1 in proestrus/estrus, which mimics PMCA4 in WT, is
OLF/OVS-specific, and is not seen in oviductal tissues, uterosomes or epididymal
luminal fluid of Pmca4-/-. However, qRT-PCR revealed significantly elevated
levels of Pmca1 transcript in Pmca4-/- oviductal tissues, compared to WT. PMCA1
could be transferred from OVS to sperm and the levels were significantly higher
for capacitated vs uncapacitated sperm, as assessed by flow cytometry (P = 0.001)
after 3 h co-incubation, quantitative western blot (P < 0.05) and the frequency
of immuno-labeled sperm (P < 0.001) after 30 min co-incubation. Tyrosine
phosphorylated proteins were discovered in murine OVS and could be delivered to
sperm after their co-incubation with OVS, as detected by western,
immunofluorescence localization, and flow cytometry. PMCA1 and PMCA4 in OVS were
shown to be enzymatically active and this activity increased in sperm after OVS
interaction. LARGE SCALE DATA: None. LIMITATIONS REASONS FOR CAUTION: Although
oviductal tissues of WT and Pmca4-/- showed no significant difference in PMCA1
levels, Pmca4-/- levels of OVS/OLF during proestrus/estrus were significantly
higher than in WT. We have attributed this enrichment or upregulation of PMCA1 in
Pmca4-/- partly to selective packaging in OVS to compensate for the lack of
PMCA4. However, in the absence of a difference between WT and Pmca4-/- in the
PMCA1 levels in oviductal tissues as a whole, we cannot rule out significantly
higher PMCA1 expression in the oviductal epithelium that gives rise to the OVS as
significantly higher Pmca1 transcripts were detected in Pmca4-/-. WIDER
IMPLICATIONS OF THE FINDINGS: Since OVS and fertility-modulating cargo components
are conserved in humans, it suggests that murine OVS role in regulating the
expression of proteins required for capacitation and fertility is also conserved.
Secondly, OVS may explain some of the differences in in vivo and in vitro
fertilization for mouse mutants, as seen in mice lacking the gene for FER which
is the enzyme required for sperm protein tyrosine phosphorylation. Our
observation that murine OVS carry and can modulate sperm protein tyrosine
phosphorylation by delivering them to sperm provides an explanation for the in
vivo fertility of Fer mutants, not seen in vitro. Finally, our findings have
implications for infertility treatment and exosome therapeutics. STUDY FUNDING
AND COMPETING INTEREST(S): The work was supported by National Institute of Health
(RO3HD073523 and 5P20RR015588) grants to P.A.M.-D. There are no conflicts of
interests.
PMID- 29370406
TI - Neuropeptide Y and chronic kidney disease progression: a cohort study.
AB - Background: Neuropeptide Y (NPY) is a sympathetic neurotransmitter that has been
implicated in various disorders including obesity, gastrointestinal and
cardiovascular diseases. Methods: We investigated the relationship between
circulating NPY and the progression of the glomerular filtration rate (GFR) and
proteinuria and the risk for a combined renal endpoint (>30% GFR loss,
dialysis/transplantation) in two European chronic kidney disease (CKD) cohorts
including follow-up of 753 and 576 patients for 36 and 57 months, respectively.
Results: Average plasma NPY was 104 +/- 32 pmol/L in the first CKD cohort and 119
+/- 41 pmol/L in the second one. In separate analyses of the two cohorts, NPY
associated with the progression of the estimated GFR (eGFR) and proteinuria over
time in both unadjusted and adjusted {eGFR: -3.60 mL/min/1.73 m2 [95% confidence
interval (CI): -4.46 to - 2.74] P < 0.001 and -0.83 mL/min/1.73 m2 (-1.41 to -
0.25, P = 0.005); proteinuria: 0.18 g/24 h (0.11-0.25) P < 0.001 and 0.07 g/24 h
(0.005-0.14) P = 0.033} analyses by the mixed linear model. Accordingly, in a
combined analysis of the two cohorts accounting for the competitive risk of death
(Fine and Gray model), NPY predicted (P = 0.005) the renal endpoint [sub
distribution hazard ratio (SHR): 1.09; 95% CI: 1.03-1.16; P = 0.005] and the SHR
in the first cohort (1.14, 95% CI: 1.04-1.25) did not differ (P = 0.25) from that
in the second cohort (1.06, 95% CI: 0.98-1.15). Conclusions: NPY associates with
proteinuria and faster CKD progression as well as with a higher risk of kidney
failure. These findings suggest that the sympathetic system and/or properties
intrinsic to the NPY molecule may play a role in CKD progression.
PMID- 29370407
TI - Effectiveness of a fully automated internet-based smoking cessation program: a
randomized controlled trial (STAMP).
AB - Introduction: The internet offers an interesting alternative to face-to-face and
telephone-based support for smoking cessation. This study was designed to assess
the effectiveness of a personalized and automated internet-based program.
Methods: French current adult smokers willing to quit within 2 weeks were
recruited for a randomized controlled trial. The intervention consisted of an
automated program of 45 e-mails ("e-coaching") sent over a 3-month period. The
control group received a PDF version of a booklet on smoking cessation. Self
reported 7-day point prevalence smoking abstinence was measured at 6 months
(primary outcome), at 3 and 12 months of follow-up (secondary outcomes). Results:
2,478 smokers were randomized (1,242 for e-coaching, 1,236 for the booklet).
Cessation rate in the intention-to-treat population was not significantly
different between the two groups at 6 and 12 months, but was higher in the e
coaching group at 3 months than in the control group (27.5% vs 23.5%, p=0.02,
OR=1.24, CI=[1.03-1.49]). After adjustment for baseline conditions, the effect of
the intervention in the per-protocol (PP) sample was significant at 3 months
(aOR=1.72 [1.31-2.28], p<0.001, N=1042) and at 6 months (aOR=1.27 [1.00-1.60],
p=0.05, N=1082). GLM repeated measure analyses showed significant group by time
interaction in the ITT and a significant group effect in the PP population.
Conclusions: Analyzed intention-to-treat, e-coaching was superior to a booklet at
3 months (end of intervention) but no more superior at 6 and 12 months follow up.
Among those who actually followed the program, the effectiveness is also observed
3 months after the intervention is stopped.
PMID- 29370408
TI - In vitro activity of the novel antifungal compound F901318 against Australian
Scedosporium and Lomentospora fungi.
AB - We determined the in vitro activity of the novel orotomide antifungal, F901318,
against 30 Lomentospora prolificans, 20 Scedosporium apiospermum, 7 S.
aurantiacum, and 3 S. boydii, isolates in comparison with standard antifungals.
Against L. prolificans, F901318 was the most potent compound (MIC90 0.25 MUg/ml);
the geometric mean MIC (0.26 MUg/ml) was significantly lower (23-80-fold) than
those of itraconazole, voriconazole, posaconazole, and isavuconazole (all P <
.001), and amphotericin B (P < .05). F901318 also had good activity against S.
apiospermum, S. aurantiacum, and S. boydii, comparable to that of voriconazole
and posaconazole but was more active than isavuconazole for all three species.
PMID- 29370409
TI - Does the endometrial gene expression of fertile women vary within and between
cycles?
AB - STUDY QUESTION: Does gene expression of putative endometrial implantation markers
vary in expression between menstrual cycles? SUMMARY ANSWER: In fertile women the
expression of certain genes exhibits a pattern of stable regulation.which is not
affected even when sampled twice in one cycle. WHAT IS KNOWN ALREADY: Successful
implantation occurs in a minority of IVF embryo transfers. In contrast to
knowledge regarding the ovulatory process, there is a sparse understanding of
endometrial genes critical to implantation. This lack of knowledge hinders
progress in this field. STUDY DESIGN, SIZE, DURATION: Endometrial pipelle samples
were collected based on blood endocrinological markers at 2 and 7 days post
initial LH surge. Five samples were collected over four cycles where the interval
between collections ranged from sequential months to three years.
PARTICIPANTS/MATERIALS, SETTING, METHODS: Six fertile women attending an IVF
clinic for male factor infertility, had samples collected. Global gene expression
profiles were obtained from laser-microdissected, endometrial glands and stroma.
Nineteen potential proliferation, cytokine and adhesion markers based on previous
validated reports were studied. MAIN RESULTS AND THE ROLE OF CHANCE: There was a
significant modification between LH+2 and LH+7 of expression for 23 genes-11 in 8
in glands and stroma, 4 in stroma only and 3 in glands only suggesting stable,
controlled regulation. Nevertheless, genes exhibited individual characteristics,
e.g MKI67 exhibited lower expression at LH+7 than LH+2 and CCL4 higher, whereas
TRO expressed limited difference in both cell types. Stability between cycles was
demonstrated for gene expression at both LH+2-more than 60% of genes had <25%
variation and at LH+7-60% had <30% variation. Further, effects of prior
collection of an LH+2 sample on gene expression at LH+7 were not detected. The
range of mRNA expression suggested that a clinical/diagnostic sample at LH+2 and
LH+7 is likely to be a better index of endometrial function than a single sample.
The possibility of redundancy suggests a panel would be more informative than a
single marker. LARGE SCALE DATA: Raw and normalized microarray data have been
deposited with the EMBL's European Genome-Phenome Archive for collaborative
analysis, reference ega-box-815 (Lappalainen I, Almeida-King J, Kumanduri V, Senf
A, Spalding JD, Ur-Rehman S, Saunders G, Kandasamy J, Caccamo M, Leinonen R et
al. The European Genome-phenome Archive of human data consented for biomedical
research. Nat Genet 2015;47:692-695.) [https://www.ebi.ac.uk/ega/home].
LIMITATIONS, REASONS FOR CAUTION: This type of research has difficulties of
recruitment of fertile women for multiple blood testing and repeat endometrial
biopsies. Therefore, these data had decreased statistical power due to the
overall participant numbers. However, the inclusion of four cycles for each
participant permitted the aim of obtaining information on intercycle and
intracycle variability to be achieved. WIDER IMPLICATIONS OF THE FINDINGS: Our
results support the feasibility of a clinical means of identification of a
functional receptive endometrium. The robustness of data from individual women
suggests that samples from one cycle can generally be applied to subsequent
cycles. STUDY FUNDING/COMPETING INTEREST(S): Funding was granted from the
Tertiary Education Commission of New Zealand, Contract I.D.:UOOX06007. There are
no competing interests.
PMID- 29370411
TI - Methodological Concerns about a Systematic Review and Meta-analysis of Maternal
Active Smoking during Pregnancy and Low Birth Weight.
PMID- 29370413
TI - Editorial: the 15th annual Nucleic Acids Research Web Server issue 2017.
PMID- 29370410
TI - Gut Microbial Diversity in Women With Polycystic Ovary Syndrome Correlates With
Hyperandrogenism.
AB - Context: A majority of women with polycystic ovary syndrome (PCOS) have metabolic
abnormalities that result in an increased risk of developing type 2 diabetes and
heart disease. Correlative studies have shown an association between changes in
the gut microbiome and metabolic disorders. Two recent studies reported a
decrease in alpha diversity of the gut microbiome in women with PCOS compared
with healthy women. Objective: We investigated whether changes in the gut
microbiome correlated with specific clinical parameters in women with PCOS
compared with healthy women. We also investigated whether there were changes in
the gut microbiome in women with polycystic ovarian morphology (PCOM) who lacked
the other diagnostic criteria of PCOS. Participants: Subjects were recruited at
the Poznan University of Medical Sciences. Fecal microbial diversity profiles of
healthy women (n = 48), women with PCOM (n = 42), and women diagnosed with PCOS
using the Rotterdam criteria (n = 73) were analyzed using 16S ribosomal RNA gene
sequencing. Results: Lower alpha diversity was observed in women with PCOS
compared with healthy women. Women with PCOM had a change in alpha diversity that
was intermediate between that of the other two groups. Regression analyses showed
that hyperandrogenism, total testosterone, and hirsutism were negatively
correlated with alpha diversity. Permutational multivariate analysis of variance
in UniFrac distances showed that hyperandrogenism was also correlated with beta
diversity. A random forest identified bacteria that discriminated between healthy
women and women with PCOS. Conclusion: These results suggest that
hyperandrogenism may play a critical role in altering the gut microbiome in women
with PCOS.
PMID- 29370412
TI - Visualizing value for money in public health interventions.
AB - Background: The Socio-Technical Allocation of Resources (STAR) has been developed
for value for money analysis of health services through stakeholder workshops.
This article reports on its application for prioritization of interventions
within public health programmes. Methods: The STAR tool was used by identifying
costs and service activity for interventions within commissioned public health
programmes, with benefits estimated from the literature on economic evaluations
in terms of costs per Quality-Adjusted Life Years (QALYs); consensus on how these
QALY values applied to local services was obtained with local commissioners.
Results: Local cost-effectiveness estimates could be made for some interventions.
Methodological issues arose from gaps in the evidence base for other
interventions, inability to closely match some performance monitoring data with
interventions, and disparate time horizons of published QALY data. Practical
adjustment for these issues included using population prevalences and utility
states where intervention specific evidence was lacking, and subdivision of large
contracts into specific intervention costs using staffing ratios. The STAR
approach proved useful in informing commissioning decisions and understanding the
relative value of local public health interventions. Conclusions: Further work is
needed to improve robustness of the process and develop a visualization tool for
use by public health departments.
PMID- 29370414
TI - Robotic right middle lobectomy with a subxiphoid utility port.
AB - We present the case of a 74-year-old man with Stage IIa pulmonary adenocarcinoma,
for which he underwent a robotic right middle lobectomy. A 4-armed, 5-port
approach was used. Four intercostal ports were created above the ninth rib using
the Cerfolio's technique. The subxiphoid port was created in the midline, 5 cm
down from the xiphisternum. The robot offers higher image quality, depth
perception and improved articulation of the instruments, allowing for more
accurate dissection and stitching. The usage of a subxiphoid utility port reduces
the clashing between instruments, offers a good angle for stapling and provides a
direct view of the instruments entering into the chest. Specimen removal through
the subxiphoid port may reduce postoperative pain and enhance patient recovery.
The use of the subxiphoid approach as a utility port for robotic surgery is
promising and may be a suitable replacement for the traditional utility port.
PMID- 29370415
TI - Applying host disease status biomarkers to therapeutic response monitoring in
invasive aspergillosis patients.
AB - One critical factor impeding successful management of invasive aspergillosis (IA)
is the lack of reliable biomarkers to assess therapeutic response. We
hypothesized that changes in certain host biomarkers reflect the nature of
infection status and disease progression. Upon primary IA diagnosis, these
disease status biomarkers can be monitored to track response to antifungal
therapy and provide early markers that prognosticate likelihood of response.
Herein, we analyzed serum levels of three prominent host disease status
biomarkers C-reactive protein (CRP), haptoglobin (Hp), and annexin A1 (ANXA1) in
IA patients during antifungal therapy. A total of 81 serial serum samples were
collected at five or six different time points relative to IA diagnosis from 15
probable IA patients (10 acute leukemia [AL] and five hematopoietic stem cell
transplantation [HSCT]). Of note, different biomarker profiles were observed in
AL and HSCT patients, as not only levels of markers were significantly lower in
HSCT patients but also more prominent interconnections among markers were
observed in AL patients. Using a composite evaluation, patients were categorized
as responders, nonresponders, and stable cases at last specimen. For AL
responders, typical biomarker profiles were high initially but rapidly decreased
for CRP and Hp post antifungal therapy, while low initial ANXA1 values were
restored to normal levels after treatment. In contrast, CRP and Hp were
persistently elevated whilst ANXA1 remained low throughout therapy in AL non
responders. As a pilot proof-of-concept study, our work demonstrates the great
potential of using host biomarkers to monitor early therapeutic response in
leukemia patients.
PMID- 29370417
TI - Slaughter performance and meat quality of Milanino chickens reared according to a
specific free-range program.
AB - The study aimed to characterize meat quality traits of Milanino chickens reared
according to a specific free-range farming program. A total of 120 birds was
reared straight-run in outdoor pens (8 m2/bird) from 35 d of life and fed ad
libitum a low (16%) protein diet. At 180 d of age, 20 birds (10 birds/sex) were
slaughtered, and carcass weight data were recorded. After processing, carcasses
were refrigerated at 4 degrees C for 24 hours. Then, the right breast and thigh
with skin were collected and color parameters, pH, water-holding capacity (WHC),
and chemical composition were determined. The left breast and thigh were stored
at -20 degrees C until cooking loss and tenderness evaluation. Milanino was
confirmed to be a heavy breed with a sexual dimorphism in relation to adult body
weight. A high general carcass yield was recorded. Milanino meat was
characterized by high protein and low fat contents compared with the standard
broiler meat. Differences in meat composition were recorded according to the sex:
females presented higher values of dry matter (breast and thigh), protein
(breast), and fat (breast and thigh) contents. The meat with skin presented an
intense luminosity, and this trait was higher in the females. The muscle color
was characterized by high redness and yellowness indices with differences
according to the sex: Higher yellowness index was observed in female carcasses,
while higher redness index was detected in male breast samples. The pH muscle
values were similar to those reported in other autochthonous breeds. WHC values
did not show variation between sexes. In contrast, cooking loss values recorded
in thigh samples were lower in males compared to females. The degree of
tenderness of Milanino meat was not affected by the sex. However, the potential
loss of water and the toughness in Milanino meat were low compared to other local
chicken breed meat. The present results support the breeding of Milanino chickens
for meat production according to its specific straight-run free-range system.
PMID- 29370416
TI - Plant-pathogen interactions: leaf physiology alterations in poplars infected with
rust (Melampsora medusae).
AB - Rust produced by Melampsora sp. is considered one of the most relevant diseases
in poplar plantations. Growth reduction in poplar plantations takes place because
rust, like other pathogens, alters leaf physiology. There is not a complete
evaluation of several of the physiological traits that can be affected by rust at
leaf level. Therefore, the aim of this work was to evaluate, in an integrative
way and in the same pathosystem, which physiological processes are affected when
Populus deltoides Bartr. ex Marsh. leaves are infected by rust (Melampsora
medusae Thumen). Leaves of two clones with different susceptibility to rust were
analyzed. Field and pot experiments were performed, and several physiological
traits were measured in healthy and infected leaves. We conclude that rust
affects leaf mesophyll integrity, and so water movement in the leaf in liquid
phase is affected. As a consequence, gas exchange is reduced, affecting both
carbon fixation and transpiration. However, there is an increase in respiration
rate, probably due to plant and fungal respiration. The increase in respiration
rate is important in the reduction of net photosynthetic rate, but also some
damage in the photosynthetic apparatus limits leaf capacity to fix carbon. The
decrease in chlorophyll content would start later and seems not to explain the
reduction in net photosynthetic rate. Both clones, although they have different
susceptibility to rust, are affected in the same physiological mechanisms.
PMID- 29370418
TI - The Future Burden of Colorectal Cancer Among US Blacks and Whites.
AB - Although overall colorectal cancer (CRC) incidence rates in the United States are
declining, rates among younger persons (age < 55 years) are increasing,
particularly among US whites. We assessed how these trends will impact the future
burden (up to 2040) of CRC among US blacks and whites using an age-period-cohort
model. Over the last four decades (1973 to 2014), CRC incidence rates for all
ages (both sexes) have dropped by 6.6% and 33.9% in US blacks and whites,
respectively. Yet we predict an upward turn in CRC cancer incidence rates over
the next quarter century, particularly among US whites. The age-standardized
rates of CRC were 55.4 and 43.2 per 100 000 among US blacks and whites in 2014,
respectively, and are projected to be 49.5 and 43.1 in 2040, respectively. Future
interventions are needed to reduce the striking differences in CRC incidence
between blacks and whites.
PMID- 29370419
TI - Study of the role of the covalently linked cell wall protein (Ccw14p) and yeast
glycoprotein (Ygp1p) within biofilm formation in a flor yeast strain.
AB - Flor yeasts are Saccharomyces cerevisiae strains noted by their ability to create
a type of biofilm in the air-liquid interface of some wines, known as 'flor' or
'velum', for which certain proteins play an essential role. Following a proteomic
study of a flor yeast strain, we deleted the CCW14 (covalently linked cell wall
protein) and YGP1 (yeast glycoprotein) genes-codifying for two cell surface
glycoproteins-in a haploid flor yeast strain and we reported that both influence
the weight of the biofilm as well as cell adherence (CCW14).
PMID- 29370420
TI - C3 glomerulonephritis secondary to mutations in factors H and I: rapid recurrence
in deceased donor kidney transplant effectively treated with eculizumab.
AB - Background: C3 glomerulonephritis (C3GN) is caused by alternate complement
pathway over-activation. It frequently progresses to end-stage renal disease,
recurs in two-thirds of transplants and in half of these cases progresses to
allograft loss. There is currently no proven treatment for C3GN. Case
Presentation: We describe a family segregating pathogenic alleles of complement
factor H and I (CFH and CFI). The only member carrying both mutations developed
C3GN. Prolonged delayed graft function after deceased donor transplantation,
heavy proteinuria and isolated C3 hypocomplementemia prompted an allograft biopsy
confirming diagnosis of recurrent C3GN. Discussion: This is the first report of
early recurrence of C3GN in an allograft in a patient with known mutations in
complement regulatory genes and no preexisting para-proteinemia. Complement
activation resulting from ischemia-reperfusion injury from prolonged cold
ischemia time unabated in the setting of deficiency of two major complement
regulators likely led to the early and severe recurrence. In atypical hemolytic
uremic syndrome, the terminal complement cascade activation in the sentinel event
initiating endothelial injury; blockade at the level of C5 convertase with
eculizumab is uniformly highly effective in management. C3 glomerulopathies (C3GN
and dense deposit disease) are a more complex and heterogeneous group. The
relative degree of dysregulation at the levels of C3 and C5 convertases and
therefore response to eculizumab varies among patients. In our patient, the
clinical response to eculizumab was dramatic with recovery of allograft function
and complete resolution of proteinuria. We review all cases of recurrent C3
glomerulopathy treated with eculizumab and discuss how complement biomarkers may
aid in predicting response to therapy.
PMID- 29370421
TI - Examining the sustainability potential of a multisite pilot to integrate alcohol
screening and brief intervention within three primary care systems.
AB - The U.S. Preventive Services Task Force recommends that clinicians adopt
universal alcohol screening and brief intervention as a routine preventive
service for adults, and efforts are underway to support its widespread
dissemination. The likelihood that healthcare systems will sustain this change,
once implemented, is under-reported in the literature. This article identifies
factors that were important to postimplementation sustainability of an evidence
based practice change to address alcohol misuse that was piloted within three
diverse primary care organizations. The Centers for Disease Control and
Prevention funded three academic teams to pilot and evaluate implementation of
alcohol screening and brief intervention within multiclinic healthcare systems in
their respective regions. Following the completion of the pilots, teams used the
Program Sustainability Assessment Tool to retrospectively describe and compare
differences across eight sustainability domains, identify strengths and potential
threats to sustainability, and make recommendations for improvement. Health
systems varied across all domains, with greatest differences noted for Program
Evaluation, Strategic Planning, and Funding Stability. Lack of funding to sustain
practice change, or data monitoring to promote fit and fidelity, was an
indication of diminished Organizational Capacity in systems that discontinued the
service after the pilot. Early assessment of sustainability factors may identify
potential threats that could be addressed prior to, or during implementation to
enhance Organizational Capacity. Although this study provides a retrospective
assessment conducted by external academic teams, it identifies factors that may
be relevant for translating evidence-based behavioral interventions in a way that
assures that they are sustained within healthcare systems.
PMID- 29370422
TI - Two Novel MicroRNA Biomarkers Related to beta-Cell Damage and Their Potential
Values for Early Diagnosis of Type 1 Diabetes.
AB - Context: New strategies and biomarkers are needed in the early detection of beta
cell damage in the progress of type 1 diabetes mellitus (T1DM). Objective: To
explore whether serum microRNAs (miRNA) should be served as biomarkers for T1DM.
Design, Settings, and Patients: The miRNA profile was established with miRNA
microarray in discovery phase (six T1DM, six controls). A miRNA-based model for
T1DM diagnosis was developed using logistic regression analysis in the training
dataset (40 T1DM, 56 controls) and then validated with leave-one-out cross
validation and another independent validation dataset (33 T1DM, 29 controls).
Main Outcome Measures: Quantitative reverse transcription polymerase chain
reaction was applied to confirm the differences of candidate miRNAs between T1DM
and controls. Area under the receiver-operating characteristic (ROC) curve (AUC)
was used to evaluate diagnostic accuracy. INS-1 cells, streptozotocin-treated
mice (n = 4), and nonobese diabetic (NOD) mice (n = 12) were used to evaluate the
association of miRNAs with beta-cell damage. Results: A miRNA -based model was
established in the training dataset with high diagnostic accuracy for T1DM (AUC =
0.817) based on six candidate differential expressed miRNAs identified in
discovery phase. The validation dataset showed the model's satisfactory
diagnostic performance (AUC = 0.804). Secretions of miR-1225-5p and miR-320c were
significantly increased in streptozotocin-treated mice and INS-1 cells.
Noteworthy, the elevation of these two miRNAs was observed before glucose
elevation in the progress of diabetes in NOD mice. Conclusions: Two miRNA
biomarkers (miR-1225-5p and miR-320c) related to beta-cell damage were identified
in patients with recent-onset T1DM. The miRNA-based model established in this
study exhibited a good performance in diagnosis of T1DM.
PMID- 29370423
TI - Excitation of long-wavelength surface optical vibrational modes in films, cubes
and film/cube composite system using an atom-sized electron beam.
AB - Using spatially resolved Electron Energy-Loss Spectroscopy, we investigate the
excitation of long-wavelength surface optical vibrational modes in elementary
types of nanostructures: an amorphous SiO2 slab, an MgO cube, and in the
composite cube/slab system. We find rich sets of optical vibrational modes
strongly constrained by the nanoscale size and geometry. For slabs, we find two
surface resonances resulting from the excitation of surface phonon polariton
modes. For cubes, we obtain three main highly localized corner, edge, and face
resonances. The response of those surface phonon resonances can be described in
terms of eigenmodes of the cube and we show that the corresponding mode pattern
is recovered in the spatially resolved EELS maps. For the composite
cube/substrate system we find that interactions between the two basic structures
are weak, producing minor spectral shifts and intensity variations (transparency
behaviour), particularly for the MgO-derived modes.
PMID- 29370424
TI - Effects of the sodium-glucose co-transporter 2 inhibitor dapagliflozin in
patients with type 2 diabetes and Stages 3b-4 chronic kidney disease.
AB - Background: The sodium-glucose co-transporter 2 inhibitor dapagliflozin decreases
haemoglobin A1c (HbA1c), body weight, blood pressure (BP) and urinary
albumin:creatinine ratio (UACR) in patients with type 2 diabetes. The efficacy
and safety of this drug have not been properly defined in patients with type 2
diabetes and Stages 3b-4 chronic kidney disease (CKD). Methods: In a pooled
analysis of 11 phase 3 randomized controlled clinical trials, we determined least
square mean changes in HbA1c, body weight, BP, estimated glomerular filtration
rate (eGFR) and UACR over 102 weeks in patients with type 2 diabetes and an eGFR
between 12 to less than 45 mL/min/1.73 m2 receiving placebo (n = 69) or
dapagliflozin 5 or 10 mg (n = 151). Effects on UACR were determined in a subgroup
of patients with baseline UACR >=30 mg/g (n = 136). Results: Placebo-corrected
changes in HbA1c with dapagliflozin 5 and 10 mg were 0.03% [95% confidence
interval (CI) -0.3-0.3] and 0.03% (95% CI -0.2-0.3) during the overall 102-week
period. Dapagliflozin 5 and 10 mg compared with placebo reduced UACR by - 47.1%
(95% CI -64.8 to - 20.6) and -38.4% (95% CI -57.6 to - 10.3), respectively.
Additionally, dapagliflozin 5 and 10 mg compared with placebo reduced BP and body
weight. eGFR increased with placebo during the first 4 weeks but did not change
with dapagliflozin. There were no between-group differences in eGFR at the end of
follow-up. Adverse events associated with renal function occurred more frequently
in the dapagliflozin 10-mg group. These events were mainly asymptomatic increases
in serum creatinine. Conclusions: Dapagliflozin did not decrease HbA1c in
patients with type 2 diabetes and Stages 3b-4 CKD, but decreased UACR, BP and
body weight to a clinically meaningful extent. These results support a large
outcome trial in this population to confirm long-term safety and efficacy in
reducing adverse clinical endpoints.
PMID- 29370425
TI - Meaningful use's benefits and burdens for US family physicians.
AB - Objective: The federal meaningful use (MU) program was aimed at improving
adoption and use of electronic health records, but practicing physicians have
criticized it. This study was aimed at quantifying the benefits (ie, usefulness)
and burdens (ie, workload) of the MU program for practicing family physicians.
Materials and Methods: An interdisciplinary national panel of experts (physicians
and engineers) identified the work associated with MU criteria during patient
encounters. They conducted a national survey to assess each criterion's level of
patient benefit and compliance burden. Results: In 2015, 480 US family physicians
responded to the survey. Their demographics were comparable to US norms. Eighteen
of 31 MU criteria were perceived as useful for more than half of patient
encounters, with 13 of those being useful for more than two-thirds. Thirteen
criteria were useful for less than half of patient encounters. Four useful
criteria were reported as having a high compliance burden. Discussion: There was
high variability in physicians' perceived benefits and burdens of MU criteria. MU
Stage 1 criteria, which are more related to basic/routine care, were perceived as
beneficial by most physicians. Stage 2 criteria, which are more related to
complex and population care, were perceived as less beneficial and more
burdensome to comply with. Conclusion: MU was discontinued, but the merit-based
incentive payment system within the Medicare Access and CHIP Reauthorization Act
of 2015 adopted its criteria. For many physicians, MU created a significant
practice burden without clear benefits to patient care. This study suggests that
policymakers should not assess MU in aggregate, but as individual criteria for
open discussion.
PMID- 29370426
TI - Identifying At-Risk Subgroups for Acute Postsurgical Pain: A Classification Tree
Analysis.
AB - Objective: Acute postsurgical pain is common and has potentially negative long
term consequences for patients. In this study, we evaluated effects of presurgery
sociodemographics, pain experiences, psychological influences, and surgery
related variables on acute postsurgical pain using logistic regression vs
classification tree analysis (CTA). Design: The study design was prospective.
Setting: This study was carried out at Chongqing No. 9 hospital, Chongqing,
China. Subjects: Patients (175 women, 84 men) completed a self-report battery 24
hours before surgery (T1) and pain intensity ratings 48-72 hours after surgery
(T2). Results: An initial logistic regression analysis identified pain self
efficacy as the only presurgery predictor of postoperative pain intensity.
Subsequently, a classification tree analysis (CTA) indicated that lower vs higher
acute postoperative pain intensity levels were predicted not only by pain self
efficacy but also by its interaction with disease onset, pain catastrophizing,
and body mass index. CTA results were replicated within a revised logistic
regression model. Conclusions: Together, these findings underscored the potential
utility of CTA as a means of identifying patient subgroups with higher and lower
risk for severe acute postoperative pain based on interacting characteristics.
PMID- 29370427
TI - ALK, ROS1, and NTRK Rearrangements in Metastatic Colorectal Cancer.
AB - Background: ALK, ROS1, and NTRK fusions occur in 0.2% to 2.4% of colorectal
cancers. Pioneer cases of metastatic colorectal cancer (mCRC) patients bearing
rearrangements who benefited from anti-ALK, ROS, and TrkA-B-C therapies have been
reported previously. Here we aimed at characterizing the clinical and molecular
landscape of ALK, ROS1, and NTRK rearranged mCRC. Methods: Clinical features and
molecular characteristics of 27 mCRC patients bearing ALK, ROS1, and NTRK
rearranged tumors were compared with those of a cohort of 319 patients not
bearing rearrangements by means of Fisher's exact, chi2 test, or Mann-Whitney
test as appropriate. Overall survival curves were estimated with the Kaplan-Meier
method and compared using the log-rank test. A Cox proportional hazard model was
adopted in the multivariable analysis. Deep molecular and immunophenotypic
characterizations of rearranged cases, including those described in The Cancer
Genome Atlas database, were performed. All statistical tests were two-sided.
Results: Closely recalling the "BRAF history," ALK, ROS1, and NTRK rearrangements
more frequently occurred in elderly patients (P = .02) with right-sided tumors (P
< .001) and node-spreading (P = .03), RAS wild-type (P < .001), and MSI-high (P <
.001) cancers. All patients bearing ALK, ROS1, and NTRK fusions had shorter
overall survival (15.6 months, 95% confidence interval [CI] = 0.0 to 20.4 months)
than negative patients (33.7 months, 95% CI = 28.3 to 42.1 months), both in the
univariate (hazard ratio [HR] = 2.17, 95% CI = 1.03 to 4.57, P < .001) and
multivariable models (HR = 2.33, 95% CI = 1.10 to 4.95, P = .02). All four
evaluable patients with rearrangements showed primary resistance to anti
epidermal growth factor receptor agents. Frequent association with potentially
targetable RNF43 mutations was observed in MSI-high rearranged tumors.
Conclusions: ALK, ROS1, and NTRK rearrangements define a new rare subtype of mCRC
with extremely poor prognosis. Primary tumor site, MSI-high, and RAS and BRAF
wild-type status may help to identify patients bearing these alterations. While
sensitivity to available treatments is limited, targeted strategies inhibiting
ALK, ROS, and TrkA-B-C provided encouraging results.
PMID- 29370428
TI - The effect of high-volume online haemodiafiltration on nutritional status and
body composition: the ProtEin Stores prEservaTion (PESET) study.
AB - Background: Compared with conventional haemodialysis (HD), online
haemodiafiltration (OL-HDF) achieves a more efficient removal of uraemic toxins
and reduces inflammation, which could favourably affect nutritional status. We
evaluate the effect of OL-HDF on body composition and nutritional status in
prevalent high-flux HD (HF-HD) patients. Methods: In all, 33 adults with chronic
kidney disease (CKD) Stage 5 undergoing maintenance HF-HD were assigned to post
dilution OL-HDF (n = 17) or to remain on HF-HD (n = 16, control group) for 12
months. The primary outcome was the change in lean tissue mass (LTM),
intracellular water (ICW) and body cell mass (BCM) assessed by multifrequency
bioimpedance spectroscopy (BIS) at baseline and 4, 8 and 12 months. The rate of
change in these parameters was estimated with linear mixed-effects models.
Results: Compared with OL-HDF, patients assigned to HF-HD experienced a gradual
reduction in LTM, ICW and BCM. These differences reached statistical significance
at Month 12, with a relative difference of 7.31 kg [95% confidence interval (CI)
2.50-12.11; P = 0.003], 2.32 L (95% CI 0.63-4.01; P = 0.008) and 5.20 kg (95% CI
1.74-8.66; P = 0.004) for LTM, ICW and BCM, respectively. The normalized protein
appearance increased in the OL-HDF group compared with the HF-HD group [0.26
g/kg/day (95% CI 0.05-0.47); P = 0.002], with a relative reduction in high
sensitive C-reactive protein [-13.31 mg/dL (95% CI -24.63 to -1.98); P = 0.02] at
Month 12. Conclusions: OL-HDF for 1 year compared with HF-HD preserved muscle
mass, increased protein intake and reduced the inflammatory state related to
uraemia and dialysis, supporting the hypothesis that high convection volume can
benefit nutritional status and prevent protein-energy wasting in HD patients.
PMID- 29370429
TI - Genetic parameters of feed efficiency traits and their relationships with egg
quality traits in laying period of ducks.
AB - The objective of this study was to estimate genetic parameters for feed
efficiency and relevant traits in 2 laying duck breeds, and to determine the
relationship of residual feed intake (RFI) with feed efficiency and egg quality
traits. Phenotypic records on 3,000 female laying ducks (1,500 Shaoxing ducks and
1,500 Jinyun ducks) from a random mating population were used to estimate genetic
parameters for RFI, feed conversion ratio (FCR), feed intake (FI), BW, BW gain
(BWG), and egg mass laid (EML) at 42 to 46 wk of age. The heritability estimates
for EML, FCR, FI, and RFI were 0.22, 0.19, 0.22, and 0.27 in Shaoxing ducks and
0.14, 0.19, 0.24, and 0.24 for Jinyun ducks, respectively. RFI showed high and
positive genetic correlations with FCR (0.47 in Shaoxing ducks and 0.63 in Jinyun
ducks) and FI (0.79 in Shaoxing ducks and 0.86 in Jinyun ducks). No correlations
were found in RFI with BW, BWG, or EML at either genetic or phenotypic level. FCR
was strongly and negatively correlated with EML (-0.81 and -0.68) but
inconsistently correlated with FI (0.02 and 0.17), suggesting that EML was the
main influence on FCR. In addition, no significant differences were found between
low RFI (LRFI) and high RFI (HRFI) ducks in egg shape index, shell thickness,
shell strength, yolk color, albumen height, or Haugh unit (HU). The results
indicate that selection for LRFI could improve feed efficiency and reduce FI
without significant changes in EML or egg quality.
PMID- 29370430
TI - Social relationships and their impact on health-related outcomes in peritoneal
versus haemodialysis patients: a prospective cohort study.
AB - Background: Social relationships are important determinants of health-related
outcomes for patients with chronic conditions. However, the effects of social
networks and social support on health outcomes of dialysis patients in different
treatment modalities have been under studied. Methods: We surveyed peritoneal
dialysis (PD) and haemodialysis (HD) patients in the Choice of Renal Replacement
Therapy project about their social relationships and health-care outcomes at
baseline and 1-year follow-up. Two propensity score-matched groups (n = 353; HD =
200, PD = 153) with similar age, comorbidity level, education and employment
status were compared. We used an ego-centred Network Generator to assess
quantitative and qualitative aspects of social networks and the Berlin Social
Support Scales to evaluate dimensions of social support, and analysed the effects
of the social variables on anxiety, depression, autonomy preferences, and
physical and psychological quality of life. Results: Over time, the non-family
networks (e.g. friends) of both groups decreased (P = 0.04) and the absolute
number of types of relationships increased (P = 0.01). The family-network size,
quality of relationships and social support remained stable. Larger social
networks were associated with higher participation-seeking preferences (B = 1.39,
P = 0.002) and lower anxiety (B = -0.11, P = 0.03). Closer and more satisfying
relationships were associated with better psychological well-being (B = 3.41, P =
0.003). PD patients had larger networks, more types of relationships and received
more social support than HD patients (P <= 0.05). Conclusions: These differences
may reflect the degree of autonomy and self-care associated with the different
treatment modalities. In practice, our findings suggest that the early
identification and inclusion of persons providing social support for patients may
have a positive effect on different aspects of their care and quality of life.
PMID- 29370431
TI - Evidence from qualitative studies of youth about the impacts of tobacco control
policy on young people in Europe: a systematic review.
AB - Introduction: A range of tobacco control policies endeavour to prevent smoking
uptake in young people, yet relatively little is known about how such
interventions impact young people's engagement with smoking. We reviewed existing
qualitative evidence on young people and smoking in Europe in order to assess
whether, in what ways and why young people comply with, adapt to, resist or
circumvent tobacco control policies in their respective countries. Methods: We
undertook a systematic review of academic literature presenting qualitative
research from Europe on smoking and young people (11-18 years), published from
2000 - 2015. Bibliographic searches (PubMed, PsycInfo, SSCI) produced 1357
records, from which 43 relevant papers were assessed for quality and 39 included
in the review. Results: Most studies were from the UK (27), with a small number
(one or two each) from other European countries (Denmark, Sweden, Switzerland,
Belgium, Cyprus, Greece, Hungary, Ireland and Spain). Only 16 articles (11 from
UK) provided any evidence about the impacts of tobacco control policies on young
people's smoking. These focussed on smoke-free legislation (four), age of sale
laws (four), plain packaging (three), and black market tobacco (one).
Conclusions: There is very little qualitative evidence exploring the impacts of
tobacco control on youth smoking in Europe. To develop more effective smoking
prevention policies that take account of local political, social and cultural
contexts, more qualitative research from a wider range of European countries is
needed in order to understand how tobacco control impacts on young people's
social worlds and smoking behaviours. Implications: Smoking is the leading cause
of premature mortality in Europe. However, there is little qualitative evidence
exploring the impact of tobacco control policies on young people in Europe. Most
comes from the UK and focuses on a narrow range of policies. Thus we have a
limited understanding of how and in what ways tobacco control policies reach
young people, their engagement with these, and how local context affects their
impact. More qualitative research is needed, from a wider range of countries and
on a broader range of tobacco control policies, in order to strengthen the
evidence-base for reducing youth smoking.
PMID- 29370432
TI - Comparative phenology of dormant Japanese pear (Pyrus pyrifolia) flower buds: a
possible cause of 'flowering disorder'.
AB - Mild winters influenced by global warming have increased the incidence of erratic
flowering ('flowering disorder') in Japanese pear (Pyrus pyrifolia Nakai) trees
in Japan. To discover how, when and what kind of disorder/damage occur in pear
flower buds, we observed axillary flower buds of two cultivars, 'Kosui' (a mid
chill cultivar) and 'Niitaka' (a high-chill cultivar), grown at five locations.
We focused on the phenology from autumn 2015 to spring 2016, when temperatures
were higher than for average years, especially from September to January, and
large fluctuations occurred due to El Nino. During the blooming season in the
spring of 2016, both the percentage of blooming flower buds and the number of
florets per flower bud decreased in trees located at lower latitudes (with lower
chilling accumulation) with a more severe problem in 'Niitaka' than in 'Kosui'.
As shown by forcing excised shoots, the onset and release of endodormancy
occurred earlier in 'Kosui' than 'Niitaka' and occurred earlier in trees growing
at higher latitudes than at lower latitudes (warmer regions). The freezing
tolerance of flower buds, measured as the lethal temperature for 50% survival
(LT50), was similar for the cultivars beginning in autumn and reached maximum
levels, LT50 values of less than -12 degrees C, between late-December and mid
January in both cultivars, except for those in Kagoshima (the lowest latitude),
where the maximum LT50 was only -5 degrees C throughout the season. We propose
that warmer autumn-winter temperatures may prevent the acquisition of freezing
tolerance, disturb endodormancy progression and disrupt floral organ development,
thereby causing flowering disorder in pear trees. The risk of occurrence of
flowering disorder in pear may be higher in high-chill cultivars than in low- or
mid-chill cultivars and at lower latitudes compared with higher latitudes.
PMID- 29370433
TI - Integrating and coordinating care between the Women, Infants, and Children
Program and pediatricians to improve patient-centered preventive care for healthy
growth.
AB - New care delivery models call for integrating health services to coordinate care
and improve patient-centeredness. Such models have been embraced to coordinate
care with evidence-based strategies to prevent obesity. Both the Special
Supplemental Program for Women, Infants and Children (WIC) Program and
pediatricians are considered credible sources of preventive guidance, and
coordinating these independent siloes would benefit a vulnerable population.
Using semistructured focus groups and interviews, we evaluated practices,
messaging, and the prospect of integrating and coordinating care. Across
Pennsylvania, WIC nutritionists (n = 35), pediatricians (n = 15), and parents (N
= 28) of an infant or toddler participated in 2016. Three themes were identified:
health assessment data sharing (e.g., iron, growth measures), benefits and
barriers to integrated health services, and coordinating care to reduce
conflicting educational messages (e.g., breastfeeding, juice, introduction of
solids). Stakeholders supported sharing health assessment data and integrating
health services as strategies to enhance the quality of care, but were concerned
about security and confidentiality. Overall, integrated, coordinated care was
perceived to be an acceptable strategy to facilitate consistent, preventive
education and improve patient-centeredness.
PMID- 29370434
TI - Chlorophyll Fluorescence and Reflectance-Based Non-Invasive Quantification of
Blast, Bacterial Blight and Drought Stresses in Rice.
AB - Response of rice (Oryza sativa) exposed to both biotic and abiotic stresses can
be quantified by employing fast and accurate optical methods. In this study, the
overall stress responses of (i) 12 near-isogenic lines (NILs) in the genetic
background of the rice blast-susceptible cultivar Lijiangxintuanheigu (LTH) and
(ii) four NILs in the genetic background of the bacterial blight-susceptible
cultivar IR24, were inspected by means of Chl fluorescence (Chl-F) imaging. The
distribution of the maximum and effective quantum yield of PSII (Fv/FM and QY)
and steady-state Chl-F (Ft) were found to be effective in differentiating
symptomatic leaf tissue for both rice blast and bacterial blight, which
correlated well with 30 cycles of rice blast and six cycles of bacterial blight
previously screened using classical (manual) approaches. Subsequently, identified
Chl-F parameters allowing detection under ambient light (QY and Ft) were tested
across both biotic and abiotic (drought) stress experiments, for rice cultivars
contrasting for drought stress response (N22, IR64 and NSIC Rc 222). Their
applicability has been proven for both rice blast and bacterial blight; however,
QY failed to detect the effect of drought. In addition to Chl-F, the usefulness
of 11 selected vegetation indices (Vis) was tested on these three cultivars
exposed to particular stresses: (i) rice blast was detectable by Vis calculated
from the visible spectrum; (ii) bacterial blight by near-infrared-related Vis;
and (iii) drought by Vis calculated from the visible spectrum. The key Chl-F
parameters and/or Vis have been summarized and discussed.
PMID- 29370435
TI - Engineered cysteine antibodies: an improved antibody-drug conjugate platform with
a novel mechanism of drug-linker stability.
AB - Antibody-drug conjugates (ADCs) are fulfilling the promise of targeted therapy
with meaningful clinical success. An intense research effort is directed towards
improving pharmacokinetic profiles, toxicity and chemical stability of ADCs. The
majority of ADCs use amide and thioether chemistry to link potent cytotoxic
agents to antibodies via endogenous lysine and cysteine residues. While maleimide
cysteine conjugation is used for many clinical stage ADC programs, maleimides
have been shown to exhibit some degree of post-conjugation instability. Previous
research with site-directed mutagenic incorporation of cysteine residues for
conjugation revealed that the stability of the drug-antibody linkage depends on
the site of conjugation. Here we report on a collection of engineered cysteine
antibodies (S239C, E269C, K326C and A327C) that can be site-specifically
conjugated to potent cytotoxic agents to produce homogenous 2-loaded ADCs. These
ADCs confirm that site of conjugation impacts maleimide stability and present a
novel mechanism of thioether stabilization, effectively unlinking stability from
either local chemical environment or calculated solvent accessibility and
expanding the current paradigm for ADC drug-linker stability. These ADCs show
potent in vitro and in vivo activity while delivering half of the molar
equivalent dose of drug per antibody when compared to an average 4-loaded ADC. In
addition, our lead engineered site shields highly hydrophobic drugs, enabling
conjugation, formulation and clinical use of otherwise intractable chemotypes.
PMID- 29370436
TI - Predictors of Placebo Response in Pharmacological Clinical Trials of Negative
Symptoms in Schizophrenia: A Meta-regression Analysis.
AB - We conducted a meta-regression analysis of all double-blind, randomized, placebo
controlled clinical trials (DBRCTs) reporting effects of drug and placebo on
negative symptoms in people with stable schizophrenia and predominant or
prominent negative symptoms to assess predictors of placebo response in these
individuals. We used Preferred Reporting Items for Systematic Reviews and Meta
analyses (PRISMA) guidelines for systematic reviews and meta-analyses to conduct
a systematic literature search to identify DBRCTs assessing treatment efficacy on
negative symptoms, as primary outcome, in patients with stable schizophrenia and
predominant or prominent negative symptoms. We used Cohen's d, with 95% CIs, as
the effect size measure for placebo response, based on negative symptom change
scores from baseline to endpoint (range 4 to 24 wk) in the placebo-treated group.
We included 18 DBRCTs from 17 publications, assessing the effect of 13 drugs vs
placebo on negative symptoms and comprising 998 patients, in the meta-regression
analyses. Overall, drugs showed greater efficacy than placebo in reducing
negative symptoms, with small effect size (Cohen's d: 0.208, P = .020). Placebo
response was significant (P < .001) and clinically relevant (Cohen's d: 2.909),
but there was significant heterogeneity and high risk of publication bias.
Multivariable meta-regression analyses showed that larger numbers of arms in the
trial, larger numbers of study sites and industry sponsorship were significant
moderators of placebo response in this population. Our results suggest that some
clinical trial design and operational factors affect the level of placebo
response in such studies, thus highlighting the need for designs better suited to
assess these outcomes.
PMID- 29370437
TI - Short linear motif core and flanking regions modulate retinoblastoma protein
binding affinity and specificity.
AB - Pocket proteins retinoblastoma (pRb), p107 and p130 are negative regulators of
cellular proliferation and multifunctional proteins regulating development,
differentiation and chromatin structure. The retinoblastoma protein is a potent
tumor suppressor mutated in a wide range of human cancers, and oncogenic viruses
often interfere with cell cycle regulation by inactivating pRb. The LxCxE and pRb
AB groove short linear motifs (SLiMs) are key to many pocket protein mediated
interactions including host and viral partners. A review of available
experimental evidence reveals that several core residues composing each motif
instance are determinants for binding. In the LxCxE motif, a fourth hydrophobic
position that might allow variable spacing is required for binding. In both
motifs, flanking regions including charged stretches and phosphorylation sites
can fine-tune the binding affinity and specificity of pocket protein SLiM
mediated interactions. Flanking regions can modulate pocket protein binding
specificity, or tune the high affinity interactions of viral proteins that hijack
the pRb network. The location of SLiMs within intrinsically disordered regions
allows faster evolutionary rates that enable viruses to acquire a functional
variant of the core motif by convergent evolution, and subsequently test numerous
combinations of flanking regions towards maximizing interaction specificity and
affinity. This knowledge can guide future efforts directed at the design of
peptide-based compounds that can target pocket proteins to regulate the G1/S cell
cycle checkpoint or impair viral mediated pRb inactivation.
PMID- 29370439
TI - Is It Functional Levels, Obesity, or Something Else?: Making the Case for
Additional Research Focused on Obesity in Nursing Homes.
PMID- 29370438
TI - Impact of diffuse large B-cell lymphoma on visits to different provider
specialties among elderly Medicare beneficiaries: challenges for care
coordination.
AB - Newly diagnosed diffuse large B-cell lymphoma (DLBCL) can pose significant
challenges to care coordination. We utilized a social-ecological model to
understand the impact of DLBCL diagnosis on visits to primary care providers
(PCPs) and specialists, a key component of care coordination, over a 3-year
period of cancer diagnosis and treatment. We used hurdle models and multivariable
logistic regression with the Surveillance Epidemiology and End Result-Medicare
linked dataset to analyze visits to PCPs and specialists by DLBCL patients (n =
5,455) compared with noncancer patients (n = 14,770). DLBCL patients were more
likely to visit PCPs (adjusted odds ratio, AOR [95% confidence interval, CI]:
1.25 [1.18, 1.31]) and had greater number of visits to PCPs (beta, SE: 0.384,
0.014) than noncancer patients. Further, DLBCL patients were more likely to have
any visit to cardiologists (AOR [95% CI]: 1.40 [1.32, 1.47]), endocrinologists
(1.43, [1.21, 1.70]), and pulmonologists (1.51 [1.36, 1.67]) than noncancer
patients. Among DLBCL patients, the number of PCP visits markedly increased
during the treatment period compared with the baseline period (beta, SE: 0.491,
0.028) and then decreased to baseline levels (-0.464, -0.022). Visits to PCPs and
specialists were much more frequent for DLBCL patients than noncancer patients,
which drastically increased during the DLBCL treatment period for chronic care.
More chronic conditions, treatment side effects, and frequent testing may have
increased visits to PCPs and specialists. Interventions to improve care
coordination may need to target the DLBCL treatment period, when patients are
most vulnerable to poor care coordination.
PMID- 29370441
TI - Precision Health: Use of Omics to Optimize Self-Management of Chronic Pain in
Aging.
AB - Chronic pain has become a public health epidemic based on the number of Americans
affected and its associated health care costs. Unfortunately, there are few
efficacious treatments to manage chronic pain and as the population of older
adults and centenarians who are at high risk for chronic pain continues to grow,
the chronic pain epidemic will continue to worsen unless new therapeutic
strategies are discovered. In the current era of precision medicine, there is a
major emphasis being placed on the use of self-management and omics to discover
new therapeutic targets and design treatment strategies that are tailored to the
individual patient. This commentary discusses the current state of the science
related to omics and self-management of chronic pain in older adults, the role of
gerontological nurses in this process, and future directions. [Res Gerontol Nurs.
2018; 11(1):7-13.].
PMID- 29370442
TI - Response to the Commentary: Precision Health: Using Omics to Optimize Self
Management of Chronic Pain in Aging: From the Perspective of the NINR Intramural
Research Program.
PMID- 29370443
TI - Barriers to Promoting Mobility in Hospitalized Older Adults.
AB - Hospitalized older adults who do not receive sufficient mobility are more likely
to sustain negative health outcomes, including higher rates of mortality and
institutionalization. Accordingly, the purpose of the current secondary data
analysis was to examine the nurse-promoted mobility of hospitalized older adults
and the association between nurses' barriers and nurse-promoted mobility. In
addition, the relationship among patient severity of illness, proxy levels for
function, and nurse-promoted mobility was examined. The final study sample
included 61 nurses working in medical units caring for a total of 77 older
adults. Findings suggest nurse knowledge gaps and attitude barriers could
potentially influence the type and frequency of mobility they promote in older
patients. A relationship was found between older patients with impaired mobility
using assistive devices for mobility at home, and those at high risk for falls
and nurses promoting more sedentary activity (e.g., chair sitting, walking in the
room). Interestingly, nurses promoted significantly more sedentary mobility for
patients with physical therapy orders. [Res Gerontol Nurs. 2018; 11(1):17-27.].
PMID- 29370444
TI - Older Adult Caregiving in an Amish Community.
AB - Amish older adults represent a growing and understudied population whose current
health practices, interactions with health care systems outside of their
community, and care needs are unknown. Limited research exists on why, when, and
how Amish older adults and their caregivers experience health care services
outside of their family and community. The purpose of the current study was to
describe and explore the perspectives of Amish caregivers caring for older adults
and their experiences with health care services outside of their community. A
qualitative phenomenological research design was used in combination with a
community-based participatory framework with caregivers in a small, rural Amish
settlement. Amish caregiving of older adults is a complex phenomenon that is
influenced by cultural characteristics. Caregivers place significant cultural
value on home caregiving, emphasize the experience as a blessing, and relate
misunderstandings between themselves and outside health care providers as
significant concerns. [Res Gerontol Nurs. 2018; 11(1):29-38.].
PMID- 29370445
TI - "Maybe This Generation Here Could Help the Next Generation": Older African
American Women's Perceptions on Information Sharing to Improve Health in Younger
Generations.
AB - The prevalence of hypertension is highest among African American women, who often
occupy caregiving roles. The purpose of the current study is to describe
intergenerational caregiving and communication themes that emerged during focus
groups with African American older adult women (mean age = 73 years) on
information sharing and self-management of hypertension. Thematic analysis
revealed two overarching themes: Caregiving Responsibilities and Improving the
Health of Younger Generations. Women wanted to help younger generations better
manage their blood pressures. These findings suggest that intergenerational
relationships may have an important role in managing health conditions, such as
hypertension. Further examination of intergenerational relationships as targets
for blood pressure self-management intervention is warranted to: (a) address the
need for women to share their wisdom, and (b) help improve blood pressure
management among African American individuals across the lifespan. [Res Gerontol
Nurs. 2018; 11(1):39-47.].
PMID- 29370446
TI - Influence of Nurse Staffing Levels on Resident Weight Loss Within German Nursing
Homes.
AB - In Germany, there is no empirical evidence regarding the relationship between
nurse staffing levels and care outcomes in nursing homes. The current study
explored differences in nurse staffing levels between facilities with and without
resident weight loss. The analyses were conducted at the facility level (N = 166)
and involved weight loss assessment of 8,665 residents. Separate models for
residents with and without cognitive impairment were computed. The regression
analyses showed that nursing facilities where no weight loss occurred among
residents without cognitive impairment had a lower number of residents per RN and
additional care staff. However, no differences were found between facilities with
and without weight loss among residents with cognitive disabilities. Further
research is needed to identify factors leading to weight loss among residents
with cognitive disabilities, including teamwork and work climate in nursing
homes. [Res Gerontol Nurs. 2018; 11(1):48-56.].
PMID- 29370447
TI - Interpersonal Trust and Suicide Ideation Among Adolescent Psychiatric Inpatients:
An Indirect Effect via Perceived Burdensomeness.
AB - The identification of theoretically and empirically supported correlates of
suicide ideation is important to improve treatment approaches to suicide. This
study sought to examine the association between interpersonal trust
(theoretically conceptualized as a distal risk marker) and suicide ideation in
adolescence. Specifically, it was hypothesized that interpersonal trust would be
negatively associated with suicide ideation via perceived burdensomeness and
thwarted belongingness (conceptualized as more proximal risk markers). Data were
drawn from a cross-sectional sample of 387 adolescent inpatients between the ages
of 12 and 17 years (M = 14.72, SD = 1.49). The sample was 63.6% female, 37.5%
Hispanic, 26.9% African American/Black, and 25.8% Caucasian. Adolescents
completed a series of self-report measures to assess thwarted belongingness,
perceived burdensomeness, interpersonal trust, depressive symptoms, and suicide
ideation. A structural equation model was fit to the data, and results
demonstrated a significant indirect path from interpersonal trust to suicide
ideation via perceived burdensomeness, but not thwarted belongingness. Results
suggest that interpersonal trust may be a distal risk marker for suicide ideation
and that interventions to increase interpersonal trust may help prevent the
development of thwarted belongingness, perceived burdensomeness, and suicide
ideation.
PMID- 29370448
TI - Health care professionals' perspective on return to work in cancer survivors.
AB - OBJECTIVE: Health care professionals play a significant role in cancer survivors'
decisions regarding return to work (RTW). While there is ample research about
cancer survivors' views on RTW, little is known about the views of the
professionals who accompany them from diagnosis to recovery. The study explores
professionals' perceptions of cancer survivors in the RTW context, as well as
their views about their own role in the process. METHODS: In-depth interviews (N
= 26) with professionals specializing in physical or mental health working with
working-age cancer survivors: occupational physicians, oncologists, oncology
nurses, social workers, and psychologists specializing in oncology. RESULTS: An
analysis of the interviews revealed 2 prominent perceptual dimensions among
professionals: the cancer survivor's motivation to RTW and understanding illness
related implications upon returning to work. The 2 dimensions imply the following
4 groups of cancer survivors in the RTW context, as viewed by health
professionals: the "realist," the "enthusiast," the "switcher," and the
"worrier." The results also indicate that social workers and psychologists view
their role in terms of jointly discussing options and implications with the
cancer survivor, while physicians and nurses view their role more in terms of
providing information and suggestions. CONCLUSIONS: The training of professionals
should increase awareness of the assumptions they make about cancer survivors in
regard to RTW. Additionally, training might elaborate professionals' view of
their role in the interaction with cancer survivors regarding RTW.
PMID- 29370449
TI - The impact of parallel regulatory-health technology assessment scientific advice
on clinical development. Assessing the uptake of regulatory and health technology
assessment recommendations.
AB - AIMS: The parallel regulatory-health technology assessment scientific advice
(PSA) procedure allows manufacturers to receive simultaneous feedback from both
EU regulators and health technology assessment (HTA) bodies on development plans
for new medicines. The primary objective of the present study is to investigate
whether PSA is integrated in the clinical development programmes for which advice
was sought. METHODS: Contents of PSA provided by regulators and HTA bodies for
each procedure between 2010 and 2015 were analysed. The development of all
clinical studies for which PSA had been sought was tracked using three different
databases. The rate of uptake of the advice provided by regulators and HTA bodies
was assessed on two key variables: comparator/s and primary endpoint. RESULTS: In
terms of uptake of comparator recommendations at the time of PSA in the actual
development, our analysis showed that manufacturers implemented comparators to
address both the needs of regulators and of at least one HTA body in 12 of 21
studies. For primary endpoints, in all included studies manufacturers addressed
both the needs of the regulators and at least one HTA body. CONCLUSIONS: One of
the key findings of this analysis is that manufacturers tend to implement changes
to the development programme based on both regulatory and HTA advice with regards
to the choice of primary endpoint and comparator. It also confirms the
challenging choice of the study comparator, for which manufacturers seem to be
more inclined to satisfy the regulatory advice. Continuous research efforts in
this area are of paramount importance from a public health perspective.
PMID- 29370450
TI - Imaging-based biomarkers: Changes in the tumor interface of pancreatic ductal
adenocarcinoma on computed tomography scans indicate response to cytotoxic
therapy.
AB - BACKGROUND: The assessment of pancreatic ductal adenocarcinoma (PDAC) response to
therapy remains challenging. The objective of this study was to investigate
whether changes in the tumor/parenchyma interface are associated with response.
METHODS: Computed tomography (CT) scans before and after therapy were reviewed in
4 cohorts: cohort 1 (99 patients with stage I/II PDAC who received neoadjuvant
chemoradiation and surgery); cohort 2 (86 patients with stage IV PDAC who
received chemotherapy), cohort 3 (94 patients with stage I/II PDAC who received
protocol-based neoadjuvant gemcitabine chemoradiation), and cohort 4 (47 patients
with stage I/II PDAC who received neoadjuvant chemoradiation and were
prospectively followed in a registry). The tumor/parenchyma interface was
visually classified as either a type I response (the interface remained or became
well defined) or a type II response (the interface became poorly defined) after
therapy. Consensus (cohorts 1-3) and individual (cohort 4) visual scoring was
performed. Changes in enhancement at the interface were quantified using a
proprietary platform. RESULTS: In cohort 1, type I responders had a greater
probability of achieving a complete or near-complete pathologic response (21% vs
0%; P = .01). For cohorts 1, 2, and 3, type I responders had significantly longer
disease-free and overall survival, independent of traditional covariates of
outcomes and of baseline and normalized cancer antigen 19-9 levels. In cohort 4,
2 senior radiologists achieved a kappa value of 0.8, and the interface score was
associated with overall survival. The quantitative method revealed high
specificity and sensitivity in classifying patients as type I or type II
responders (with an area under the receiver operating curve of 0.92 in cohort 1,
0.96 in cohort 2, and 0.89 in cohort 3). CONCLUSIONS: Changes at the
PDAC/parenchyma interface may serve as an early predictor of response to therapy.
Cancer 2018;124:1701-9. (c) 2018 The Authors. Cancer published by Wiley
Periodicals, Inc. on behalf of American Cancer Society. This is an open access
article under the terms of the Creative Commons Attribution-NonCommercial
NoDerivs License, which permits use and distribution in any medium, provided the
original work is properly cited, the use is non-commercial and no modifications
or adaptations are made.
PMID- 29370451
TI - Predator identity more than predator richness structures aquatic microbial
assemblages in Sarracenia purpurea leaves.
AB - The importance of predators in influencing community structure is a well-studied
area of ecology. However, few studies test ecological hypotheses of predation in
multi-predator microbial communities. The phytotelmic community found within the
water-filled leaves of the pitcher plant, Sarracenia purpurea, exhibits a simple
trophic structure that includes multiple protozoan predators and microbial prey.
Using this system, we sought to determine whether different predators target
distinct microorganisms, how interactions among protozoans affect resource
(microorganism) use, and how predator diversity affects prey community diversity.
In particular, we endeavored to determine if protozoa followed known ecological
patterns such as keystone predation or generalist predation. For these
experiments, replicate inquiline microbial communities were maintained for seven
days with five protozoan species. Microbial community structure was determined by
16S rRNA gene amplicon sequencing (iTag) and analysis. Compared to the control
(no protozoa), two ciliates followed patterns of keystone predation by increasing
microbial evenness. In pairwise competition treatments with a generalist
flagellate, prey communities resembled the microbial communities of the
respective keystone predator in monoculture. The relative abundance of the most
common bacterial Operational Taxonomic Unit (OTU) in our system decreased
compared to the control in the presence of these ciliates. This OTU was 98%
similar to a known chitin degrader and nitrate reducer, important functions for
the microbial community and the plant host. Collectively, the data demonstrated
that predator identity had a greater effect on prey diversity and composition
than overall predator diversity.
PMID- 29370453
TI - Terbinafine-induced liver injury may be asymptomatic: need for regular
monitoring: reply from the authors.
PMID- 29370452
TI - N-Carboxyanhydride Polymerization of Glycopolypeptides That Activate Antigen
Presenting Cells through Dectin-1 and Dectin-2.
AB - The C-type lectins dectin-1 and dectin-2 contribute to innate immunity against
microbial pathogens by recognizing their foreign glycan structures. These
receptors are promising targets for vaccine development and cancer immunotherapy.
However, currently available agonists are heterogeneous glycoconjugates and
polysaccharides from natural sources. Herein, we designed and synthesized the
first chemically defined ligands for dectin-1 and dectin-2. They comprised
glycopolypeptides bearing mono-, di-, and trisaccharides and were built through
polymerization of glycosylated N-carboxyanhydrides. Through this approach, we
achieved glycopolypeptides with high molecular weights and low dispersities. We
identified structures that elicit a pro-inflammatory response through dectin-1 or
dectin-2 in antigen-presenting cells. With their native proteinaceous backbones
and natural glycosidic linkages, these agonists are attractive for translational
applications.
PMID- 29370454
TI - Health-related quality of life and care satisfaction outcomes: Informing
psychosocial oncology care among Latina and African-American young breast cancer
survivors.
AB - OBJECTIVE: When breast cancer occurs in young women, the medical, physical,
psychosocial, and overall impacts can be more severe warranting targeted medical
and psychosocial oncology care. Yet, despite their risk for poorer survival and
survivorship outcomes, little research has focused on this group with critical
gaps concerning ethnic minorities who are particularly medically vulnerable.
Therefore, this preliminary study examined demographic characteristics and
patient centered outcomes, ie, health-related quality of life (HRQOL), quality
care satisfaction to inform targeted psychosocial oncology care among African
American and Latinas young breast cancer survivors (YBCS). METHODS: A total of
116 African-American and Latina YBCS aged >=21 to 50 years were recruited from
cancer registries and community agencies. Based on prior research and the
literature, Latinas were categorized into English language proficient (ELP) and
Spanish language proficient (SLP) based on their choice of language to conduct
the study including completion of the measures. RESULTS: SLP Latinas reported
lower educational attainment and income (P < 0.001) and were more likely to
report having a mastectomy (P < 0.01) but less likely to report breast
reconstruction (P < 0.05). Satisfaction with care was correlated with patient
provider communication and overall HRQOL (P < 0.01) and physical, social/family,
emotional and functional wellbeing (P < 0.01). SLP Latinas had lower emotional
wellbeing than African-American YBCS (P < 0.01) and lower functional wellbeing
than ELP Latina YBCS (P < 0.05). SLP Latina YBCS were less satisfied with their
care compared with African-American and ELP Latina YBCS (P < 0.01). Financial
toxicity seems to directly influence both access to care and quality care and
survivorship outcomes. CONCLUSIONS: Investigating demographic characteristics and
medical outcomes including HRQOL outcomes and satisfaction with care among ethnic
minority YBCS is needed to advance the science as well as assist health
professionals with precision care delivery. Greater translational and patient
centered research must focus on at-risk population such as YBCS to inform
precision psychosocial oncology care and reduce health disparities.
PMID- 29370455
TI - Fertility preservation options in pediatric and adolescent patients with cancer.
AB - The incidence of childhood cancer has steadily increased since the 1950s, with
approximately 16,000 children diagnosed each year. However, with the advent of
more effective multimodal therapies, childhood cancer survival rates have
continued to improve over the past 40 years, with >80% of patients now surviving
into adulthood. Fertility preservation (FP) has become an important quality-of
life issue for many survivors of childhood cancer. As a result, the therapeutic
options have become less gonadotoxic over time and more patients are being
offered FP options. This review examines the indications for consultation, male
and female FP options both in the prepubertal patient and adolescent patient, and
the unique ethical issues surrounding FP in this vulnerable population. Cancer
2018;124:1867-76. (c) 2018 American Cancer Society.
PMID- 29370456
TI - Temporal trends in the risk of second primary cancers among survivors of adult
onset cancers, 1980 through 2013: An Australian population-based study.
AB - BACKGROUND: The authors' systematic review indicated an increasing trend in the
risk of second primary cancers (SPCs) from the 1980s to 2000 when considering
studies from the United States and Australia. It is uncertain whether this trend
has continued to increase since 2000. METHODS: The current study was a population
based study of 51,802 individuals with adult-onset cancers identified in the
Tasmanian Cancer Registry. Patients with a first cancer diagnosis made between
1980 and 2009 were followed up to December 2013. SPC risks were quantified using
standardized incidence ratios (SIRs) and absolute excess risks (AERs). Trends in
SPC risk were assessed using multivariable Poisson models. RESULTS: With a median
follow-up of 4.8 years (mean, 6.9 years), a total of 5339 SPCs were observed. The
SIRs for any SPC increased from 0.98 (95% confidence interval, 0.90-1.07) after a
first cancer diagnosis in 1980 through 1984 to 1.12 (95% confidence interval,
1.05-1.20) in 2005 through 2009. In multivariable Poisson models accounting for
patient sex, age at the time of the first cancer diagnosis, follow-up interval,
and first cancer type, the trend in SIRs increased significantly from 1980
through 2009 for all SPCs (P for trend <.001) and for specific SPCs of the head
and neck, lung, digestive tract, and prostate (all P for trend <.05). From 2000
onward, the AER for specific SPCs after specific first cancers was highest for
prostate cancer after first cancers of the urinary tract (AER, 54.3 per 10,000
person-years). CONCLUSIONS: In Tasmania, the risk of SPCs among survivors of
adult-onset cancers has increased with periods of first cancer diagnosis from
1980 through 2009. Increased cancer screening and improved medical imaging may
have contributed to the greater risk in recent years. Cancer 2018;124:1808-18.
(c) 2018 American Cancer Society.
PMID- 29370457
TI - Development of a novel 99m Tc-labeled small molecular antagonist for CXCR4
positive tumor imaging.
AB - The chemokine receptor 4 (CXCR4) has been an attractive molecular target for
tumor imaging, because it is overexpressed in many tumor types and involved in
tumor progression and metastasis. The purpose of this study is to examine the
CXCR4 targeting properties of 99m Tc-labeled AMD3465, a small molecule antagonist
of CXCR4. 99m Tc-AMD3465 was prepared in high yield (>95%) and stable in mice
serum at least for 4 hours. In vitro cell binding experiments were performed with
Chinese hamster ovary (CHO), MCF-7 (breast cancer), and CHO-CXCR4 (CHO stably
transfected to express CXCR4) cell lines. Small animal single photon emission
computed tomography/computed tomography imaging studies in nude mice bearing MCF
7 and CHO xenografts showed that the uptakes of the radiotracer in MCF-7 tumors
were significantly higher than those in the CXCR4-negative CHO tumors (P < 0.05),
and the MCF-7 tumors uptake could be blocked with an excess of unlabeled AMD3465
(P < 0.05). These results suggested that 99m Tc-AMD3465 could be a potential
single photon emission computed tomography radiotracer for CXCR4 imaging.
PMID- 29370458
TI - Survival by Hispanic ethnicity among patients with cancer participating in SWOG
clinical trials.
AB - BACKGROUND: Racial disparities in cancer outcomes have been described. To the
authors' knowledge, it remains unclear whether patients of Hispanic ethnicity
have better or worse survival outcomes. In the current study, the authors
evaluated whether Hispanic participants in SWOG clinical trials had different
survival outcomes compared with non-Hispanics. METHODS: Adult patients registered
in SWOG phase 2/3 clinical trials between 1986 and 2012 were analyzed. Studies of
similar histology and stage of disease were combined. Within each analysis,
Kaplan-Meier survival curves were generated to examine differences in outcome by
ethnicity. Multivariate Cox regression was used to estimate the association
between ethnicity and survival outcomes, controlling for major disease-specific
prognostic factors and demographic variables plus area-level income and education
to account for socioeconomic status. RESULTS: A total of 29,338 patients
registered to 38 trials were included; 5% of these patients were Hispanic.
Hispanic patients were more likely to be younger and from areas of lower income
and education (all P<.05). No differences in survival were observed across tumor
types except in the patients with advanced stage prostate cancer, in whom the
authors observed an association between Hispanic ethnicity and worse overall
survival (hazard ratio [HR], 1.40; P = .006), progression-free survival (HR,
1.36; P = .007), and cancer-specific survival (HR, 1.42; P = .013). After
adjusting for multiple comparisons, no differences in outcomes were noted.
CONCLUSIONS: Hispanic patients participating in SWOG trials who received uniform
treatment and follow-up were found to have similar survival outcomes compared
with non-Hispanic patients, with the single exception of those patients with
advanced stage prostate cancer. The results of the current study demonstrate that
Hispanic patients receiving uniform treatment and follow-up have similar outcomes
compared with non-Hispanics. Cancer 2018;124:1760-9. (c) 2018 American Cancer
Society.
PMID- 29370459
TI - Racial disparities in prostate cancer survival in a screened population: Reality
versus artifact.
AB - BACKGROUND: Racial disparities in prostate cancer survival (PCS) narrowed during
the prostate-specific antigen (PSA) era, suggesting that screening may induce
more equitable outcomes. However, the effects of lead time and overdiagnosis can
inflate survival even without real screening benefit. METHODS: A simulation model
of PCS in the early PSA era (1991-2000) was created. The modeled survival started
with baseline survival in the pre-PSA era (1975-1990) and added lead times and
overdiagnosis using estimates from published studies. The authors quantified 1)
discrepancies between modeled and observed PCS in the PSA era and 2) residual
period effects on PCS given specified values for screening benefit. RESULTS: Lead
time and overdiagnosis explained more of the improvement in PCS for older ages at
diagnosis (46% [95% confidence interval (CI), 44%-50%] for blacks and 51% [95%
CI, 50%-52%] for all races ages 50-54 years vs 98% [95% CI, 97%-99%] for blacks
and 100% for all races ages 75-79 years). They also explained more of the
narrowing in PCS disparities for older ages (33% [95% CI, 31%-43%] for men ages
50-54 years vs 74% [95% CI, 71%-81%] for men ages 75-79 years). The period
effects amounted to reductions of 27% to 40% among blacks and 26% to 38% among
all races in the risk of prostate cancer death, depending on the screening
benefit. CONCLUSIONS: Real improvements in survival disparities in the PSA era
are smaller than those observed and reflect similar reductions in the risk of
prostate cancer death among blacks and all races. Understanding screening
artifacts is necessary for valid interpretation of observed survival trends.
Cancer 2018;124:1752-9. (c) 2018 American Cancer Society.
PMID- 29370461
TI - Religiousness as a Predictor of Suicide: An Analysis of 162 European Regions.
AB - Research on religion as a protective factor has been marked by four recurrent
limitations: (1) an overemphasis on the United States, a nation where religiosity
is relatively high; (2) a neglect of highly secularized zones of the world, where
religiousness may be too weak to affect suicide; (3) restriction of religiousness
to religious affiliation, a construct which may miss capturing other dimensions
of religiousness such as the importance of religion in one's life; and (4) an
overwhelming use of the nation as a unit of analysis, which masks variation in
religiousness within nations. The present article addresses these limitations by
performing a cross-national test of the following hypothesis: The greater the
strength of subjective religiousness, the lower the suicide rate, using small
units of analysis for a secularized area of the world. All data refer to 162
regions within 22 European nations. Data were extracted from two large databases,
EUROSTAT and the European Social Surveys (ESS Round 4), and merged using NUTS-2
(Nomenclature of Statistical Territorial Units) regions as the unit of analysis.
Controls are incorporated for level of economic development, education, and
measures of economic strain. The results of a multiple regression analysis
demonstrated that controlling for the other constructs in the model,
religiousness is associated with lower suicide rates, confirming the hypothesis.
Even in secularized European nations, where there is a relatively weak moral
community to reinforce religion, religiousness acts as a protective factor
against suicide. Future work is needed to explore the relationship in other
culture zones of the world.
PMID- 29370460
TI - RNA Cloaking by Reversible Acylation.
AB - We describe a selective and mild chemical approach for controlling RNA
hybridization, folding, and enzyme interactions. Reaction of RNAs in aqueous
buffer with an azide-substituted acylating agent (100-200 mm) yields several 2'
OH acylations per RNA strand in as little as 10 min. This poly-acylated
("cloaked") RNA is strongly blocked from hybridization with complementary nucleic
acids, from cleavage by RNA-processing enzymes, and from folding into active
aptamer structures. Importantly, treatment with a water-soluble phosphine
triggers a Staudinger reduction of the azide groups, resulting in spontaneous
loss of acyl groups ("uncloaking"). This fully restores RNA folding and
biochemical activity.
PMID- 29370462
TI - Integrating Spirituality into MFT Training: A Reflexive Curriculum and
Qualitative Evaluation.
AB - When clients enter the therapy room they bring unique spiritual beliefs and
values in with them; however, marital and family therapists (MFTs) often lack
training to assist in this important area of diversity. Current training
recommendations encourage therapists to explore their own spirituality to
identify personal limitations that may occlude positive client outcomes; however
very little information exists on the implementation and usefulness of this type
of training. This article will describe a curriculum and report results from a
qualitative study of students who participated in a reflexive spirituality
practicum course offered in a COAMFTE accredited doctoral program at a private,
religious institution. Through this, we hope to provide a training model to
further spiritual integration activities in MFT.
PMID- 29370464
TI - Editorial.
PMID- 29370463
TI - Dasatinib dose management for the treatment of chronic myeloid leukemia.
AB - Chronic myeloid leukemia (CML) has evolved into a chronic disease that is managed
with tyrosine kinase inhibitor therapy. Now that long-term survival has been
achieved in patients with CML, the focus of treatment has shifted to dose
optimization, with the goal of maintaining response while improving quality of
life. In this review, the authors discuss optimizing the dose of the second
generation tyrosine kinase inhibitor dasatinib. Once-daily dosing regimens for
dasatinib in the first and later lines of treatment were established through long
term (5-year and 7-year) trials. Recently published data have indicated that
further dose optimization may maintain efficacy while minimizing adverse events.
Results obtained from dose optimization and discontinuation trials currently in
progress will help practitioners determine the best dose and duration of
dasatinib for patients with CML, because treatment decisions will be made through
continued discussions between physicians and patients. Cancer 2018;124:1660-72.
(c) 2018 The Authors. Cancer published by Wiley Periodicals, Inc. on behalf of
American Cancer Society. This is an open access article under the terms of the
Creative Commons Attribution-NonCommercial License, which permits use,
distribution and reproduction in any medium, provided the original work is
properly cited and is not used for commercial purposes.
PMID- 29370465
TI - Performance of 4 definitions of childhood elevated blood pressure in predicting
subclinical cardiovascular outcomes in adulthood.
AB - We aimed to compare the ability of the pediatric blood pressure (BP) standards
issued by the US Fourth Report, the recently proposed US, Chinese, and
international standards to predict adult hypertension and subclinical
cardiovascular disease (CVD). 2296 children were randomly selected from Beijing
at baseline. The follow-up survey was conducted among 1177 adults. Subclinical
adult CVD was assessed using the carotid-femoral pulse wave velocity (cfPWV),
carotid intima-media thickness (CIMT), and left ventricular mass index (LVMI).
The prevalence of pediatric elevated BP was significantly higher according to the
Chinese standards vs the Fourth Report, the updated US standards, and the
international standards (18.7% vs 14.2%, 17.5%, and 18.0%, respectively; all Ps <
.001). Children identified as elevated BP using any of the 4 standards were more
likely to have adult hypertension, high cfPWV, and high LVMI than children
without elevated BP. However, only the Chinese and updated US standards can
predict the increased risk of adult high CIMT. Our results indicated that the
Chinese standards performed equally or better compared with 3 other standards in
predicting adult hypertension and subclinical CVD.
PMID- 29370466
TI - Relationship between serum uric acid and blood pressure by adjusting dietary
factors.
PMID- 29370467
TI - Efficient analysis of phytochemical constituents in the peel of Chinese wild
citrus Mangshanju (Citrus reticulata Blanco) by ultra high performance liquid
chromatography-quadrupole time-of-flight-mass spectrometry.
AB - An efficient ultra high-performance liquid chromatography coupled with quadrupole
time-of-flight mass spectrometry method was developed for separation and
profiling of phytochemical constituents of Chinese wild mandarin Mangshanju
(Citrus reticulata Blanco). All constituents were well separated within 16 min.
Based on retention times, accurate mass, MSE fragments, and/or reference
standards as well as databases, a total of 81 compounds were unambiguously
identified or tentatively assigned including flavonoid glycosides, acylated
flavonoid glycosides, flavones, polymethoxylated flavonoids, and limonoids as
well as four other compounds. Among them, 22 polymethoxylated flavones and ten
polymethoxylated flavanones/chalcones were identified in Mangshanju, more types
than other citrus reported before. A basic procedure for identifying flavonoid-O
glycosides and the aglycones including polymethoxylated flavonoids was proposed.
In addition, this method was successfully used to analyze another four mandarin
germplasms, Cenxi suan ju, Xipi gousi gan, Nanfeng miju, and Or, showing that
Mangshanju contained two characteristic compounds distinct from the other four
citrus species. This study systematically profiled phytochemical constituents of
Mangshanju, which was helpful for further utilization of Mangshanju owing to its
abundant bioactive compounds.
PMID- 29370469
TI - Central blood pressure variability is increased in hypertensive patients with
target organ damage.
AB - We aimed to evaluate the association of aortic and brachial short-term blood
pressure variability (BPV) with the presence of target organ damage (TOD) in
hypertensive patients. One-hundred seventy-eight patients, aged 57 +/- 12 years,
33% women were studied. TOD was defined by the presence of left ventricular
hypertrophy on echocardiogram, microalbuminuria, reduced glomerular filtration
rate, or increased aortic pulse wave velocity. Aortic and brachial BPV was
assessed by 24-hour ambulatory BP monitoring (Mobil-O-Graph). TOD was present in
92 patients (51.7%). Compared to those without evidence of TOD, they had
increased night-to-day ratios of systolic and diastolic BP (both aortic and
brachial) and heart rate. They also had significant increased systolic BPV, as
measured by both aortic and brachial daytime and 24-hours standard deviations and
coefficients of variation, as well as for average real variability. Circadian
patterns and short-term variability measures were very similar for aortic and
brachial BP. We conclude that BPV is increased in hypertensive-related TOD.
Aortic BPV does not add relevant information in comparison to brachial BPV.
PMID- 29370468
TI - Association of ambulatory blood pressure variability with coronary artery
calcium.
AB - Blood pressure (BP) variability is associated with progression to clinical
atherosclerosis. The evidence is inconclusive if BP variability predicts
cardiovascular outcomes in low-risk populations. The aim of this study was to
analyze the association of 24-hour BP variability with coronary artery calcium
(CAC) among a group of individuals without coronary artery disease. The Masked
Hypertension Study targeted patients with borderline high BP (120-149 mm Hg
systolic and/or 80-95 mm Hg diastolic). Ambulatory blood pressure monitoring
(ABPM) was performed at two time-points, 8 days apart. CAC was measured at exit
visit via cardiac CT and reported as Agatston Score. Weighted standard deviations
and average real variability were calculated from ABPM. Of the 322 participants
who underwent cardiac CT, 26% (84) had CAC present, 52% (168) were female, and
21% (64) were black. BP variability did not differ by CAC group. In this low
cardiovascular risk group, CAC was not associated with 24-hour ambulatory BP
variability.
PMID- 29370471
TI - Saving 100 million lives by improving global treatment of hypertension and
reducing cardiovascular disease risk factors.
PMID- 29370470
TI - Association of betaine with blood pressure in dialysis patients.
AB - Mechanisms underlying elevated blood pressure in dialysis patients are complex as
a variety of non-traditional factors are involved. We sought to explore the
association of circulating betaine, a compound widely distributed in food, with
blood pressure in dialysis patients. We used baseline data of an ongoing cohort
study involving patients on hemodialysis. Plasma betaine was measured by high
performance liquid chromatography in 327 subjects. Blood pressure level was
determined by intradialytic ambulatory blood pressure monitoring. The mean age of
the patients was 52.6 +/- 11.9 years, and 58.4% were male. Average interdialytic
ambulatory systolic and diastolic blood pressure were 138.4 +/- 22.7 mm Hg and
84.4 +/- 12.5 mm Hg, respectively. Mean plasma betaine level was 37.6 MUmol/L.
Multiple linear regression analysis revealed significant associations of betaine
with both systolic blood pressure (beta = -3.66, P = .003) and diastolic blood
pressure (beta = -2.00, P = .004). The associations persisted even after
extensive adjustment for cardiovascular covariates. Subgroup analysis revealed
that the association between betaine and blood pressure was mainly limited to
female patients. Our data suggest that alteration of circulating betaine possibly
contributes to blood pressure regulation in these patients.
PMID- 29370472
TI - Arterial hypertension: Unmasking the real risk.
PMID- 29370473
TI - Carrier ampholyte-free isoelectric focusing on a paper-based analytical device
for the fractionation of proteins.
AB - Isoelectric focusing plays a critical role in the analysis of complex protein
samples. Conventionally, isoelectric focusing is implemented with carrier
ampholytes in capillary or immobilized pH gradient gel. In this study, we
successfully exhibited a carrier ampholyte-free isoelectric focusing on paper
based analytical device. Proof of the concept was visually demonstrated with
color model proteins. Experimental results showed that not only a pH gradient was
well established along the open paper fluidic channel as confirmed by pH
indicator strip, the pH gradient range could also be tuned by the catholyte or
anolyte. Furthermore, the isoelectric focusing fractions from the paper channel
can be directly cut and recovered into solutions for post analysis with sodium
dodecyl sulfate-polyacrylamide gel electrophoresis and matrix-assisted laser
desorption/ionization-time-of-flight mass spectrometry. This paper-based
isoelectric focusing method is fast, cheap, simple and easy to operate, and could
potentially be used as a cost-effective protein sample clean-up method for target
protein analysis with mass spectrometry.
PMID- 29370475
TI - A MAP toward increased rates of blood pressure control?
PMID- 29370474
TI - Renal denervation improves 24-hour central and peripheral blood pressures,
arterial stiffness, and peripheral resistance.
AB - Ambulatory blood pressure (BP) and central BP are better predictors for overall
cardiovascular risk and mortality than brachial BP. Renal denervation (RDN) has
been shown to reduce office brachial and central BP as well as brachial
ambulatory BP, but data on central ambulatory BP are limited. Patients (N = 94)
with treatment resistant hypertension (TRH) who underwent RDN were included.
Ambulatory BP, including central pressures, hemodynamics, and arterial stiffness
were measured at baseline and 3, 6, 12 months after RDN by an oscillometric
device (MobiloGraphTM ). At 3, 6, and 12-month follow-ups, brachial ambulatory BP
was reduced (P for all < .001). Consistently, central ambulatory BP was reduced
(P for all < .001). Ambulatory assessed averaged daytime pulse wave velocity
improved after RDN (P < .05). Total vascular resistance decreased (P for all <
.01). In patients with TRH, RDN improves brachial and central ambulatory BP,
arterial stiffness, and total vascular resistance, indicating an improvement of
cardiovascular outcome.
PMID- 29370476
TI - Right heart remodeling induced by arterial hypertension: Could strain assessment
be helpful?
AB - Left ventricular structural and functional changes in patients with arterial
hypertension are well established. However, the influence of arterial
hypertension on right ventricular (RV) remodeling is still being investigated.
The introduction of strain analysis provided an insight into RV function and
mechanics. Previous research has demonstrated the predictive value of RV
longitudinal strain in patients with various cardiovascular conditions, such as
pulmonary hypertension, heart failure, congenital heart diseases, and valvular
disease. Nowadays, we are aware of the fact that conventional echocardiographic
methods usually do not provide necessary information about RV dysfunction in
patients with arterial hypertension, which is why the evaluation of new
parameters that could detect RV subtle changes in hypertension is essential. The
present review article is an overview of the main principles of RV deformation
and a summary of the current knowledge and clinical significance of RV strain in
patients with arterial hypertension.
PMID- 29370477
TI - Prevalence and clinical outcomes of white-coat and masked hypertension: Analysis
of a large ambulatory blood pressure database.
AB - The aim of this study was to analyze prevalence and clinical outcomes of the
following clinical conditions: normotension (NT; clinic BP < 140/90 mm Hg; 24
hour BP < 130/80 mm Hg), white-coat hypertension (WCHT; clinic BP >= 140 and/or
>=90 mm Hg; 24-hour BP < 130/80 mm Hg), masked hypertension (MHT; clinic BP <
140/90 mm Hg; 24-hour BP >= 130 and/or >=80 mm Hg), and sustained hypertension
(SHT; clinic BP >= 140 and/or >=90 mm Hg; 24-hour BP >= 130 and/or >=80 mm Hg) in
a large cohort of adult untreated individuals. Systematic research throughout the
medical database of Regione Lazio (Italy) was performed to estimate incidence of
myocardial infarction (MI), stroke, and hospitalizations for HT and heart failure
(HF). Among a total study sample of 2209 outpatients, 377 (17.1%) had NT, 351
(15.9%) had WCHT, 149 (6.7%) had MHT, and 1332 had (60.3%) SHT. During an average
follow-up of 120.1 +/- 73.9 months, WCHT was associated with increased risk of
hospitalization for HT (OR 95% CI: 1.927 [1.233-3.013]; P = .04) and HF (OR 95%
CI: 3.449 [1.321-9.007]; P = .011). MHT was associated with an increased risk of
MI (OR 95% CI: 5.062 [2.218-11.550]; P < .001), hospitalization for HT (OR 95%
CI: 2.553 [1.446-4.508]; P = .001), and for HF (OR 95% CI: 4.214 [1.449-12.249];
P = .008). These effects remained statistically significant event after
corrections for confounding factors including age, BMI, gender, smoking,
dyslipidaemia, diabetes, and presence of antihypertensive therapies.
PMID- 29370478
TI - Peripheral arterial stiffness as a surrogate of central hemodynamics: A new era
for cardiovascular risk estimation?
PMID- 29370479
TI - Medical students' proficiency in performance of the resting ankle-brachial index
is not sustained at 6 months.
PMID- 29370480
TI - A patient-specific approach to assessing blood pressure management in patients
with hypertension and coronary artery disease.
AB - The objective was to improve the management of patients with hypertension (HTN)
and coronary artery disease (CAD), utilizing a model which integrates 3
determinants of coronary blood flow (CBF)-CAD severity, diastolic blood pressure
(DBP), and left ventricular (LV) mass. We validated non-parametric equations for
CBF estimation in a consecutive patient sample (N = 81) with HTN and CAD. There
was a highly significant correlation (r = .565; P < .01) between clinical DBP and
estimated CBF. Greater LV mass and more severe CAD shifted the relationship
towards less CBF at the same DBP. LV mass was more critical when DBP >70 mm Hg.
Estimated changes in CBF at different DBP considering the severity of CAD and LV
mass can be calculated. In summary, the severity of CAD from coronary CT or
coronary angiography combined with LV mass from echocardiography permits
clinicians to guide the extent of, or target for, DBP to avoid seriously
compromising CBF.
PMID- 29370481
TI - Relationship between brachial-ankle pulse wave velocity and invasively measured
aortic pulse pressure.
AB - Although brachial-ankle pulse wave velocity (baPWV) has been widely used as an
index of arterial stiffness, no consensus exists about whether baPWV can reflect
central aortic stiffness. The authors investigated the association between baPWV
and invasively measured aortic pulse pressure (APP) in a total of 109 consecutive
patients (mean age, 62.3 +/- 11.3 years; 67.9% men). Most patients (91%) had
obstructive coronary artery disease, and mean baPWV and APP values were 1535 +/-
303 cm/s and 66.8 +/- 22.5 mm Hg, respectively. In univariate analysis, there was
a significant linear correlation between baPWV and APP (r = .635, P < .001). The
correlation between baPWV and APP remained significant even after controlling for
potential confounders (beta = 0.574, P < .001; R2 = .469). Arterial stiffness
measured by baPWV showed a strong positive correlation with invasively measured
APP, independent of clinical confounders. Therefore, baPWV can be a good marker
of central aortic stiffness.
PMID- 29370482
TI - Response to: Relationship between serum uric acid and blood pressure by adjusting
dietary factors.
PMID- 29370483
TI - Reconsidering Insomnia as a Disorder Rather Than Just a Symptom in Psychiatric
Practice.
AB - Insomnia is a common feature of many psychiatric disorders but can also be a
comorbid disorder, often contributing to poor outcomes and treatment failure. For
some patients who do respond to psychiatric treatment, their insomnia persists
after their mood symptoms have remitted, indicating that their insomnia needs to
be a separate focus of treatment. In this CME Academic Highlights, Drs Ruth Benca
and Daniel Buysse discuss how to effectively evaluate patients with psychiatric
disorders for comorbid insomnia, as well as how to safely and effectively
implement both behavioral and pharmacologic treatments. .
PMID- 29370484
TI - Risk of Major Congenital Malformations Associated With the Use of Methylphenidate
or Amphetamines in Pregnancy.
AB - The use of prescription psychostimulants during pregnancy has been increasing in
recent years. One large and 3 small studies have recently examined the risk of
major congenital malformations following the use of methylphenidate and
amphetamines during the first trimester of pregnancy. The broad findings of these
studies are that first trimester gestational exposure to methylphenidate or
amphetamines is associated with an increased risk of major congenital
malformations but the associations are no longer statistically significant after
adjusting analyses for confounding variables; that first trimester exposure to
amphetamines is not associated with an increased risk of cardiovascular
malformations; and that first trimester exposure to methylphenidate may increase
the risk of cardiovascular malformations. A closer look at the data on the last
mentioned finding, however, suggests that the statistical significance of the
finding is in doubt and that even if the finding is statistically significant, it
is probably not clinically significant. Furthermore, all the findings emerged
from observational studies that cannot exclude confounding by indication and
other sources of confounding. A reasonable conclusion, therefore, is that there
is no evidence, at present, to suggest that methylphenidate and amphetamines are
teratogenic. Nevertheless, because absence of evidence of risk is not evidence of
absence of risk, the benefits of continuing psychostimulant medication during
pregnancy should be weighed against potential risks in an individualized and
shared decision-making process.
PMID- 29370485
TI - Validity of the Maudsley Staging Method in Predicting Treatment-Resistant
Depression Outcome Using the Netherlands Study of Depression and Anxiety.
AB - OBJECTIVE: We investigated if the degree of treatment resistance of depression,
as measured by the Maudsley Staging Method (MSM), is predictive of a worse
depression outcome by using a large naturalistic cohort of depressed patients.
METHODS: 643 subjects from the general population, primary care, and secondary
care who suffered from current depressive disorder were included from the
Netherlands Study of Depression and Anxiety baseline assessment. The diagnostic
criterion was major depressive disorder (MDD) in the last month, based on the
Composite Interview Diagnostic Instrument (CIDI), or a CIDI diagnosis of MDD in
the past 6 months with an Inventory of Depressive Symptomatology Self-Report
score > 24 at baseline. In these subjects, composite scores of the MSM, based on
duration, severity, and treatment history of current episode, were determined
retrospectively. We then determined if the MSM score prospectively predicted the
2-year course of depression after baseline. The primary outcomes were percentage
of follow-up time spent in a depressive episode and being "mostly depressed" (>=
50% of the follow-up) between baseline and 2-year follow-up. RESULTS: The MSM
predicted "percentage of follow-up time with depression" (P < .001) and was
associated with being "mostly depressed" (OR = 1.40; 95% CI, 1.23-1.60; P <
.001). These effects were not modified by having received treatment. CONCLUSIONS:
The current study shows that the MSM is a promising tool to predict worse
depression outcomes in depressed patients. In this study that adds to previous
work, we show the applicability of MSM in a wider range of primary and secondary
care patients with depression.
PMID- 29370486
TI - [FEMALE STEROID HORMONES - MODULATORS OF IMMUNE RESPONSE TO GENITAL CHLAMYDIA
TRACHOMATIS INFECTION.]
AB - In the recent years according to WHO, genital chlamydia is the mos't common
sexually transmitted infection. Chlamydia Trachomatis is an intracellular
parasite which target are the tubular epithelial cells of the urethra,
endocervix, endometrium, endosalpinx, conjunctiva, synovial lining of the joints,
Glisson's capsule of the liver Our study, as well as some international
researches, shows that in the cases of genital chlamydia there are changes in the
ovarian hormones (estradiol and progesterone), their impact on the immune system
and their importance for the development and the complications of the infection
with Chlamydia trachomatis. The physiological level of the steroid hormones in
its turn contributes for the normalization of the local immunity and reduces the
possibility of recurrences.
PMID- 29370487
TI - [RISK FACTORS FOR POSTPARTUM OCCULT INJURIES OF THE ANAL SPHINCTER.]
AB - introduction The overall risk of intrapartum damage the anal sphincter (IUAS) is
1% of all vaginal births. In contrast, the so-called "occult" anal sphincter
injuries (OASIS) (defects esablished by endoanal ultrasonography) occur in 33% of
primiparous women after vaginal birth. Identifying the most common risk factors
for OASIS can be the basis for selecting high-risk patients. These women should
be targeted by early pospartum diagnosis and treatment, which could reduce the
incidence of anal incontinence developed later in life. Objectives To determine
the role of common risk factors for the occurrence of OASIS in selected
population. Material and methods The study included 42 patients after vaginal
birth, born in Maternity Ljubljana in the period January to June 2009, and 38
patients from July 2009 to November 2011, born in Obstetric Clinic of the
University Hospital - Pleven, diagnosed with OASIS using endoanal
ultrasonography. Results We demonstrated that all of the independent variables
studied in our research (primiparity, gestational age over 41gw and fetal weight
over 3500 g.) are risk factors for the occurrence of OASIS. Discussion This sudy
shows that the sudied risk factors for the occurrence of OASIS may be included in
a clinical practice guideline for eady diagnosis and proper treatment of
posTpartal injuries affecting the pelvic floor.
PMID- 29370488
TI - [HISTORY OF GYNECOLOGICAL DISORDERS, OBSTERIC PATHOLOGY AND ANDROGEN LEVELS AS
PROGNOSTIC FACTORS AND INDICES OF MYOCARDIAL INJURY AMONG POSTMENOPAUSAL WOMEN
WITH ACUTE CORONARY SYNDROME.]
AB - The study ob]ective was assessment of pathogenetic and prognostic significance of
gynecologic and obstetrical pathology and the concentrations of sex steroids in
adult women with acute coronaty syndrome (ACS). The study group included 120
postmenopausal women with ACS treated in the Clinic of Cardiology, University
Hospital "Alexandrovska" between 2011 and 2013. Sex hormones were measured in 57
patients. Enzyme, electrochemiluminescent, enzyme-linked immunologic and
immunoturbodimeric methods were used for the examined indices assessment. The
history for gynecologic disorders and pregnancy complications was associated with
coronaiy atherosclerotic burden (SYNTAX score - 4,6+/-8,8 vs 8,5+/-9,3, p=0,003),
gynecologic history only - with lower 17Beta-estradiol levels (139,01+/-167,66 vs
113,51+/-304,1, p=0,004) and coronaly atherosclerosis severity (5,5+/-9,3 vs
8,0+/-10,3, p=0,058). Abnormally high endogenous concentrations of androgens were
found among the patients with ACS with ST elevation, STEMI (27,5% vs 77,8%,
p=0,004), with significantly more intense acute infiammatoty response (8,7+/-3,21
vs 11,07+/-2,85, p=0,044 3a WBC) and more extensive acute myocardial damage
(57,8+/-12,6 vs 45,3 ml, p=O,OO8 for e]ection fraction 33,7+/-37,4 vs 117+/
144,22 U/L, p=0,031 for CPK-MB; 0,89+/-8 18 vs 1,87+/-0,4 ng/ml, p=0,009 for
hsTnT). The gynecologic and obstetrical history and hyperandrogenism are related
to the extent and severity of coronary atherosclerosis, occurrence of STEMI, more
intense acute inflammatory response and myocardial injury among postmenopausal
women with ACS.
PMID- 29370489
TI - [INVESTIGATION ON ANTIFUNGAL SUSCEPTIBILITY OF CANDIDA YEASTS IN PREGNANT
PATIENTS WITH CONFIRMED VULVOVAGINAL CANDIDIASIS AND THEIR NEWBORNS.]
AB - Background Vulvovaginal candidiasis (VVU) is considered as a special risk factor
during pregnancy, with important influence on the reproductive function of the
patients and on the morbidity in the newborns from mothers with VVC. Maternal VVC
is a major risk factor for the development of candida-colonization of the infant,
which in turn is the first step towards the development of mucocutaneous or
systemic candidiasis and Candida-septicemia in the newborn. In pregnant patients,
the possible applicable local and systemic medications are limited, while the
therapeutic resistance in chronic recurrent forms of VVC increases, facts that
require precision of the diagnosic approach to optimize the therapeutic
recommendations in pregnant patients, considered as a high risk group. The aim of
this study was to investigate in vitro antifungal susceptibility of Candida
yeasts to current antifungal agents in pregnant patients with confirmed VVC
before the act of birth. Material and Methods Vaginal secretions of 23 healthy
pregnant women with proven Candida vaginitis were taken within 48 hours before
birth and the presence of yeasls of Candida was confirmed by culture examination.
Between 47-72 hours after birth, samples were taken for Candida colonization of
the oralmucosa and feces of their newborns. Samples were plated on Sabouraud agar
and cultured in an incubator for 2 to 3 days at a temperature of 25 degrees C.
Species identification of the isolated yeasts were performed by commercial API
Candida test - API 20C AUX (BioMerieux, Marcy-l'Etoile, France). Part of the
isolates was identified by commercial whale AUXACOLOR (BioRad, Mames la Coquette,
France). Antifungal sensitivity of isolated strains was examined by applying
commercial solicitation ready kit and methods of disc diffusion and E-test, as
the aim of the authors was to assess their potential for use in the diagnosis,
and the correlation between them. Results Candida albicans was the prevalent
etiological agent in pregnant patients with VVC immediately before birth (n = 22,
91.67 +/- 0.06%). Positive Candida colonization was detected in 14 (58.33%) of
their newborns (n = 24), as no statistically significant difference was
established, depending on the mode of delivery. The investigated antifungal
susceptibility with test Fungifast (ELITech Microbiology Reagents), found 100%
sensitivity of Candida albicans to Amphotericin B, Flucytosin and Voriconazole.
Intermediate susceptibility to Itraconazole was found in 6 of 23 (26%) maternal
isolates, and 5 of 23 (22%) isolates were moderately sensitive to Fluconazole.
Candida krusei showed complete resistance to Fluconazole and Itraconazole. Within
the group of antifungals for topical application (Econazole, Ketoconazole,
Miconazole, Nystatin), the results established that 100% of the studied fungi
were sensitive to Nystatin, while within the groups of azoles for vaginal and
topical use - C.krusei was 100% resistant, as the sensitivity of C. albicans
varied between 60-80%. Conclusion Our recommendation, based on the esablished
results is that in pregnant with uncomplicated VVC as a first-line therapy should
be considered the group of vaginal azoles and Nystatin, while the systemic
therapy should be considered carefully and only after the firSt trimester. In
cases of oral and intestinal candidiasis in neonatology, we recommend a therapy
with minimal absorbable antifungals as Nystatin and miconazole (amphotericin B is
available in our country), while systemic antifungal should be initiated only as
a second choice. The exact etiological diagnosis is especially important because
in our country there is a tendency for increased incidence of non-albicans fungus
resistant to therapy, and that changes the therapeutic behavior.
PMID- 29370490
TI - [LAPAROSCOPIC CERVICOISTHMIC CERCLAGE - OUR EXPERIENCE.]
AB - The authors share their experience with 7 women to whom a laparoscopic cervical
cerclage before pregnancy has been placed. No intraoperative or postoperative
complications were experienced. The indications, technique, positive and negative
features of vaginal, abdominal and laparoscopic cerclage are discussed.
PMID- 29370491
TI - [LEFT AND RIGHT UTERINE ARTERY DOPPLER AS EARLY SKREENING TEST OF THREATENED
ABORTION OUTCOME.]
AB - Human reproduction entails a fundamental paradox: although critical to the
survival of the species, many aspects are inefficient and wastage seems
excessive. Only 50-60% of all conceptions advance beyond 20 weeks of gestation.
Miscarriage was defined by WHO as a loss of pregnancy before 20 weeks of
gestation or weight of baby under 500 gr. Threatened abortion is the first,
reversible phase of miscarriage. The pregnancy outcome doesn't always correlate
to severe of its cardinal symptoms- vaginal bleeding and abdominal pain. The
measure of HHG can't accurately predict the pregnancy outcome. Ultrasound
scanning is probably the best single diagnosic and prognostic test in managing
cases of threatened abortion. Uterine artery Dopler waveforms analysis in
patients with threatened abortion is non-invasive method, which can find
pathological signs in the beginning of pregnancy. Development of a molecular
biology give an opportunity to find out problems of pregnancy in the process of
implantation, a technical progress in ultrasound give a chance to sudy changes in
uterine blood flew in early deadlines and to finding new addictions between
uterine perfusion and embryo development.
PMID- 29370492
TI - [DIFFICULTIES IN DISSECTION OF URINARY BLADDER IN TOTAL LAPAROSCOPIC
HYSTERECTOMY.]
AB - The dissection of urinary bladder is a crucial phase of the laparoscopic
operation of hysterectomy. The latter dissection may become even more difficult
in the context of history of operative interventions as cesarean section or
cervical conization, presence of cervical or isthmic myomatous nodes, as well as
upon a bad operative technique. The obeying of some basic principles (knowledge
of pelvic anatomy and topographic interrelations; considering of present risk
factors; intraoperative screening for complications and their early treatment)
results in the optimal performance of laparoscopic hysterectomy.
PMID- 29370493
TI - [CORIN - NEW HOPE FOR PREDICTION OF PREECLAMPSIA.]
AB - Preeclampsia is one of the most severe complications of the pregnancy, and trials
to estimate a panel of predictive markers are of big interest for multiple
researches. Corin is a transmembrane serine protease, localized in the heart,
which is converting pro-ANP in to active ANR. ANP is a hormone regulating salt
haemostasis and arterial bood pressure. Corin-null experimental pregnant mices
develop arterial hypertention and proteinuria, and increased Corin exprecion in
to the decidua of human uterus suggests its potential role during pregnancy. It
is proven it takes place in throphoblast invasion in humans. Further research of
this brand new marker would've been adding a value in trials to settle a
effective early screening panel for preeclapmsia prediction, but also would've
helpful in understanding its complicated pathogenesis. Promising new field for
research is to evaluate serum corin levels during first trimester in combination
with other placental factors, markers of neoangiogenesis and Doppler of uterine
arteries.
PMID- 29370494
TI - [MENOPAUSE AND CARDIOVASCULAR RISK.]
AB - Recently, in connection with the increased life expectancy; considerable interest
in the problems of menopause has risen. The climax is a natural biological period
of a woman's life during which a decrease in the production of sex hormones
occurs. In response to the sharp decline in estrogen and altered hormonal
environment characterizing menopause, a number of unfavourable changes in
metabolism develop, increasing the risk of cardiovascular disease (CVD) and
deterioration in the quality of life of menopausal women.
PMID- 29370495
TI - [SUCCESSFUL LAPAROSCOPIC TREATMENT OF HETEROTOPIC PREGNANCY AFTER ICSI / FREEZE
EMBRYO TRANSFER - A CASE REPORT.]
AB - The coexistence of extrauterine and intrauterine or haeterotopic pregnancy (HP)
is a rare condition with reported incidence of 1 in 8000 to 1 in 30 000
pregnancies in natural conceived pregnancies, but with the widespread application
of assisted reproductive technologies (ART) the incidence of HP in women with ART
has risen to about 0.09% to 1.00%. We have reported a case of a 36 old woman,
pregnant in 9 gestation week, gravidity 2, 0 para, who have achieved a pregnancy
after in-vitro fertilization - embryo-transfer of two freeze embryos, developed
after an ICSI procedure with donor eggs. The patient attended our clinic because
of acute abdominal pain. She was evaluated by trans-vaginal sonography and
coexistence of ectopic tubal and intrauterine pregnancy has been confirmed.
Emergency laparoscopy was carried out. Left tubal ectopic pregnancy and
hemoperitoneum (1200 ml) were diagnosed. Left salpingectomy was performed. At
present, the patient is at 24 gestation week and the pregnancy is developing in a
proper manner. We report such a case due to the increase of the awareness to the
condition and the possibility of a successful treatment by a laparoscopic
surgery. Intrauterine pregnancy does not exclude extrauterine pregnancy
especially in cases after in-vitro fertilization.
PMID- 29370496
TI - [BOWEL ENDOMETRIOSIS - CASE OF RECTAL LOCALISATION.]
AB - Endometriosis is a relatively common disease which rarely involves the bowel, and
even more rarely occurs with intestinal obstruction. Gastrointestinal tract is
involved in 3 to 37% of women with endometriosis such as the frequency is highest
in the rectum and the sigma (72%), small intestine (7%), cecum (3.6%) and others.
Our case concerns 49 years old woman with a picture of secondary intestinal
obsruction, deepening during the last 2-3 months. An anterior resection of the
rectum with the closure of the rectal stump has been performed with temporary
colostoma - due to the severely inflamed and distended colon as a result of
stenosis about 1 cm in diameter involving the portion from the Bauhin's valve to
the rectal ampula, caused by two fist-sized tumors in the intestinal wall - on
the rear and rear-left side of the rectum. Distally, about 2-3 cm of the tumors,
on the anterior wall of the rectum have been found two plaque-like lesions,
additionally. The histological result showed that the wall of the colon is
engaged by transmural endometriosis, involving the mucosa, muskularis propria and
serosa. The case presented differential diagnostic difficulties to exclude
malignancy. The benefits of surgical treatment of intestinal endometriosis
despite the significant volume of conducted surgery should not be underestimated,
as with medication, it significantly improves clinical symptoms and quality of
life.
PMID- 29370497
TI - [TREATMENT WITH INO OF INFANTS WITH PPHN.]
AB - PPHN is a life threatening disease that appears as a result of high pulmonary
vascular resistance and persistent right to left shunt across foramen ovale and
ductus arteriosus. The treatment of PPHN is complex and often ineffective. iNO is
important part of the pathogenetic treatment of the disease. We present six
infants with PPHN treated with iNO. The clinical effect of the drug was quick and
the hemodynamics stabilized. All infants survived without side effects and with
better neurodevelopment outcome.
PMID- 29370498
TI - [The Role of Chlamydia Trachomatis in Pregnant Women with Endocervicitis.]
AB - Infectious endocervicitis is a common disease in women of reproductive age. Itis
of great clinical importance because the infection can spread over the genital
tract and it can transfer both in horisontal way (sexual partner) and vertical
way (foetus and new-bom). One of the most common causers for the infections
endocervicitis is Chlamydia trachomatis. The aim of the study was to define the
frequency of the chlamydial endocervicitis among women with desired pregnancy and
examining them while pregnant and until the birth to define the possible
complications.
PMID- 29370499
TI - [Laparoscopic Operations in Ovarian Endometriosis.]
AB - OBJECTIVE: The Sudy aimed to analyze performed laparoscopic operations in ovarian
endometriosis. MATERIAL AND METHODS: A retrospective study was carried out
including 336 patients with pelvic endometriosis who were operated
laparoscopically in St.Marina Hospital - Pleven from January 2008 to July 2014.
RESULTS AND DISCUSSION: The ovaries are the pelvic organs most affected (76%) by
endometriosis. The most done intervention is laparoscopic cystectomy (64.9%),
followed by cystadnexectomy- (6,8%). The rarest are radical interventions -
laparoscopic hysterectomies with adnexa (4.8%). CONCLUSION: The size of the
endometriotic cyst, the severity of disease, the age and the reproductive
condition are crucial for makina decisions concernina the extent of suraical
intervention.
PMID- 29370500
TI - [Preparation of Cervical Dilatation Before with Misoprostol and Laminaria -
Advantages and Disadvantages.]
AB - The surgical hysteroscopy became popular as a minimally invasive approach to
interuterine changes. Dilatation is a real challenge during surgical hyseroscopy
especially in first delivery patients, women in menopause and women with cervical
stenosis. Apart from this, some long hysteroscopy operations such as myomectomy
require adequate dilatation in order to facilitate the multiple insertions and
drawing pulling of the resectoscope. Another technical problem is the need for a
significant degree of cervical dilatation, as well as softening of the cervix,
which will allow the extraction of the excised endometrial polyps or myomatose
parts. Mysoprostol is analogous to prostaglandin El, which is commonly used in
obstetrics to induce an abortion and birth, as well as after birth to control the
excessive vaginal bleeding. Its use in gynecology is limited. In our study it is
of vital importance. The vaginal administration of MysoproStol before surgical
hysteroscopy facilitates dilatation. The eased hysteroscopy minimizes cervical
complications. On the other hand, the natural dilatators Laminaria, made of
Laminariajaponica orLaminaria Digitata sticks, are attractive natural substances
which can cause dilatation with minimally observed systemic side effects. They
have shown efficient results during the cervical preparation before surgical
hysteroscopy. Despite of that, there are no comparative studies on the efficiency
of the two methods for cervical preparation. The aim of this Study is to compare
the efficacy of internally vaginal Mysoprostol against endocervical dilatators
Laminaria before surmical hvSterosconv in certain cases.
PMID- 29370501
TI - [New Low-Dose Oral Contraceptive with 28 Midiana.]
AB - As a representative of the low dose generation of oral contraceptives, Midiana 28
provides minimal intake of estrogens for the female organism in the presence of a
new generation getation component- Drospierenone. Midiana has an excellent
contraceptive effect - the control group of women showed no cases of impregnation
during the intake, Pearl index =0.00. It also exercises a good control over the
menstrual cycle with low frequency of intementrual bleeding /17%/ Midiana 28
shows a very good tolerability with minimal, detected, rapidly transient side
effects.
PMID- 29370502
TI - [Ultrasound Examination and Management of Twin Pregnancy.]
AB - : Twin pregnancies are found in about 3 % of all pregnancies and 2/3 are
dizygotic and 1/3 are monozygotic. In the last 30 years after the introduction of
assisted conception and increasing maternal age the rate of twin pregnancies
dramatically increased. Compared to singletons, twins have more complications
such as intrauterine demise, intrauterine selective fetal growth reStriction,
congenital anomalies, miscarriage and preterm labour. Monochorionic twins are at
high risk for unique complications because of blood exchange through vascular
communications in the shared placenta. Twin pregnancies should be considered as a
high risk pregnancies and the well-being of the two fetuses should be taken into
account with a strict protocol for follow up and management options. CONCLUSION:
Ultrasound examination olavs a maior role in fetal surveillance.
PMID- 29370504
TI - [Intrauterine Fetal Growth Restriction- Screening Model. Literature Review.]
AB - Placental dysfunction is involved in a spectrum of obs.tetric conditions
including preeclampsia, placental abrution and intrauterine fetal growth
restriction. Their timely and accurate recognition is often a chalange since
diagnostic criteria are dill based on nonspecific signs and symptomes. Fetal
growth restriction (FGR) refers to a fetus that has failed to achieve its
genetically determined growth potential and affects up to 5-10% of pregnancies.
FRR is associated with an increase in perinatal mortality and morbidity. The
diagnoslic challenge is in distinguishing SGA pregnancies from FGR pregnancies
because the majority of SGA pregnancies are associated with a good prognosis
compared to FGR pregnancies. Multifetal gegations have a high incidence of FGR.
About 20-30% of dichorionic twins will suffer from FGR, as will 40% of
monochorionic twins. Ultrasound is the benchmark for accurate pregnancy dating
and diagnosis of FGR. However, there is room for error and FGR is undetected in
about 30% of routinely scanned cases and incorrectly detected in 50% of cases. In
recent years, the main priority of the leading obstetric clinics in Europe and
the USA is drafting a universal screening model for selecting patients at high
risk of developing placental dysfunction. Now, this model is part of the standard
screening for chromosomal aneuploidies in the firs and second trimester of
pregnancy and prolonged screening in the second and third trimester in patients
at high risk.
PMID- 29370503
TI - [Chemotherapy for Malignancy During Pregnancy - Literature Review.]
AB - In today's dynamic development of modern life, we can unfortunately see more and
more often cases of malignant diseases during pregnancy. Therapy of these
conditions in pregnant women is a challenge to the doctors, due to concerns for
the mother's health, but also the possible risks for the foetus. An additional
difficulty is the fact that there are no common algorithms for the treatment. Of
great importance is the ges'tation period, because in its different parts, the
risks vary in grade. It is believed that up to 10-12th ges.tation week
chemotherapy should not be included. The optimal time for the last course is 35th
gestation week or three weeks to the due date. The purpose of this review is to
examine the benefits and risks of the treatment of malignant diseases during
pregnancy for both the mother and the fetus.
PMID- 29370505
TI - [Antibodies Against Immunologically Sequestered Antigens in Patients with
Polycystic Ovary Syndrome (PCOS).]
AB - Polycystic ovary syndrome (PCOS) is a leading cause of anovulatory infertility.
In recent times, autoimmune processes are supposed to play a role in a number of
conditions with unexplained etiology. This includes some cases of human
infertility. The attempts to detect antiovarian antibodies in patients with PCOS
generally produced negative results. Presence of non-organ specific
autoantibodies in patients with polycytosis has been reported, but the data are
till inconsistent. Meanwhile, a number of recent sludies reveal a correlation
between PCOS, hypothyroidism (manifested or subclinical) and autoimmunity. In
this respect, many authors recommend thyroid function to be regularly checked in
patients with PCOS. There are data that certain categories of women with
polycystosis show an elevated concentration of antisperm antibodies. The problem
is an interesting example how a pathological process can elucidate the complex
interactions between the immune system and various compartments of the endocrine
system that include immunologically isolated antigens.
PMID- 29370506
TI - [Introduction to Epileptology for Specialists of Obstetrics and Gynecology.]
AB - Epilepsy is the most common serious chronic neurological desease during
pregnancy. It treatment is a big challenge for the neurologists but in higher
degree for the gynecologists. Very oftej in our practice we have unfronted to the
notion of the pregnant women with epilepsy (PWWE) that he gynecologist is fully
responsible for both-her pregnancy and her epilepsy and their interconnected
effects This idea was often inculcated by some regional neurologists that are not
familiar with the problems arisin( in connection with one PWWE. The gynecologists
should know also the legal aspects deriving from failure during pregnancy of
women with epilepsy. Therefore, in the next several pages, based on ourlong
9tandin( practical experience and theoretical knowledge, we tried to summarize
the mot important specialize( medical information that/which should be known by
every gynecologisIt for epilepsy and epileptic seizure. when we helds the
treatment of PWWE.
PMID- 29370507
TI - [A Case of Isolated Massive Pre-sacral Lymph Node Metastasis in 1B1 Stage
Cervical Cancer - Case Report.]
AB - The article presents a rare case of isolated massive pre-sacral metastasis in
first stage cervical cancer. A 64 year old patient, which on occasion genital
bleeding is made D&CI and histologically proven a "cancer of the cervix." Last
period - 6 years ago. Clinically a patient diagnosed as IBI stage according to
FIGO criteria. Surgery was radical hysterectomy (Class Ill) and pelvic and
paraaortic lymph node dissection. HiStological result of the preparation is:
vagina - clean resection lines, parameters - connective tissue and vessels,
endometrium - atrophic changes, paraaortic lymph nodes - without metastases,
cervix - infiltrative ulcerous adenosquamous carcinoma size vertically -4 cm. and
-3.5 cm horizontally. Hitopathological diagnosis confirmed the clinical -
pTlblNlMx.
PMID- 29370508
TI - [Treatment of PPHN with iNO in an Infant with Trombophilia and Thrombus in PDA.
Clinical Case.]
AB - PPHN is common in 1-2/1000 newborn infants. The morbidity and mortality
accompanying the disease are extremely high. The treatment is frequently
ineffective. The therapy with iNO is the fir l strategy based therapy of PPHN. We
present the clinical case of an infant with congenital pneumonia and secondary
PPHN treated with iNO. During the clinical observation of the infant congenital
trombophilia was diagnosed, due to the presence of a thrombus in PDA. The outcome
was favorable.
PMID- 29370509
TI - Colloid Carcinoma of the Uterine Cervix and Its Immunohistochemical Analysis: A
Case Report.
AB - Colloid carcinoma, which is a very rare tumor of the uterine cervix, is composed
of an excessive amount of mucus and a relative paucity of tumoral glandular cells
within them. Herein, we report a rare case of colloid carcinoma of the cervix
with adenocarcinoma in situ (AIS), intestinal and usual types, and endocervical
adenocarcinoma (usual type) components. We also discuss the morphological and
immunohistochemical characteristics of this tumor. A 51-year-old woman was
referred to our outpatient clinic with the symptom of genital bleeding lasting
for 5 months. She had a cervix surrounded by an irregular tumor with a diameter
of 5 cm. The colloid carcinoma cells were positive for MUC2, MUC5AC, and
cytokeratin (CK) 7, focal positive for CDX2, and negative for MUC6 and CK20.
Also, the intestinal type AIS showed a similar staining pattern. Colloid
carcinoma cells producing mucin showed an intestinal phenotype and AIS. The
intestinal type can be considered as a precursor lesion of colloid carcinoma.
PMID- 29370510
TI - Importance of Individual Ghost Cells in Fine-Needle Aspiration Cytology Diagnosis
of Pilomatricoma.
AB - BACKGROUND: Although histological diagnosis of pilomatricoma is not difficult
because of its unique histological features, cytological diagnosis through fine
needle aspiration cytology (FNAC) is often problematic due to misdiagnoses as
malignancy. METHODS: We reviewed the cytological features of 14 cases of
histologically-proven pilomatricoma from Korea Cancer Center Hospital, with a
discussion on the diagnostic pitfalls of FNAC. RESULTS: Among 14 cases of
pilomatricoma, 10 (71.4%) were correctly diagnosed through FNAC, and two (14.3%)
were misdiagnosed as carcinoma. Cytologically, all cases had easily recognizable
clusters of basaloid cells and foreign body-type multinucleated cells. Although
ghost cells were also found in all cases, some were inconspicuous and hardly
recognizable due to their small numbers. CONCLUSIONS: An accurate diagnosis of
pilomatricoma in FNAC is feasible with consideration of clinical information and
close examination of ghost cells.
PMID- 29370512
TI - Self-Assembly Template Driven 3D Inverse Opal Microspheres Functionalized with
Catalyst Nanoparticles Enabling a Highly Efficient Chemical Sensing Platform.
AB - The design of semiconductor metal oxides (SMOs) with well-ordered porous
structure has attracted tremendous attention owing to their larger specific
surface area. Herein, three-dimensional inverse opal In2O3 microspheres (3D-IO
In2O3 MSs) were fabricated through one-step ultrasonic spray pyrolysis (USP)
which employed self-assembly sulfonated polystyrene (S-PS) spheres as a
sacrificial template. The spherical pores observed in the 3D-IO In2O3 MSs had
diameters of about 4 and 80 nm. Subsequently, the catalytic palladium oxide
nanoparticles (PdO NPs) were loaded on 3D-IO In2O3 MSs via a simple impregnation
method, and their gas sensing properties were investigated. In a comparison with
pristine 3D-IO In2O3 MSs, the 3D-IO PdO@In2O3 MSs exhibited a 3.9 times higher
response (Rair/Rgas = 50.9) to 100 ppm acetone at 250 degrees C and a good
acetone selectivity. The detection limit for acetone could extend down to ppb
level. Furthermore, the 3D-IO PdO@In2O3 MSs-based sensor also possess good long
term stability. The extraordinary sensing performance can be attributed to the
novel 3D periodic porous structure, highly three-dimensional interconnection,
larger specific surface area, size-tunable (meso- and macroscale) bimodal pores,
and PdO NP catalysts.
PMID- 29370511
TI - Extracellular Vesicles and the Promise of Continuous Liquid Biopsies.
AB - The rapid and accurate diagnosis of patients with minimally invasive procedures
was once only found in science fiction. However, the discovery of extracellular
vesicles (EVs) and their near ubiquity in body fluids, coupled with the advent of
inexpensive next generation sequencing techniques and EV purification protocols,
promises to make science fiction a reality. Purifying and sequencing the RNA
content of EV from routine blood draws and urine samples are likely to enable
pathologists and physicians to diagnose and track the progress of diseases in
many inaccessible tissues in the near future. Here we present the evolutionary
background of EV, summarize the biology of EV formation and cargo selection, and
discuss the current barriers to making continuous liquid biopsies through the use
of EV a science reality.
PMID- 29370513
TI - Imidazole-2yl-Phosphonic Acid Derivative Grafted onto Mesoporous Silica Surface
as a Novel Highly Effective Sorbent for Uranium(VI) Ion Extraction.
AB - A new imidazol-2yl-phosphonic acid/mesoporous silica sorbent (ImP(O)(OH)2/SiO2)
was developed and applied for uranium(VI) ion removal from aqueous solutions. The
synthesized material was characterized by fast kinetics and an extra-high
adsorption capacity with respect to uranium. The highest adsorption efficiency of
U(VI) ions was obtained for the reaction system at pH 4 and exceeded 618 mg/g.
The uranium(VI) sorption proceeds quickly in the first step within 60 min of the
adsorbent sites and ion interactions. Moreover, the equilibrium time was
determined to be 120 min. The equilibrium and kinetic characteristics of the
uranium(VI) ions uptake by synthesized sorbent was found to follow the Langmuir
Freundlich isotherm model and pseudo-second-order kinetics rather than the
Langmuir, Dubinin-Radushkevich, and Temkin models and pseudo-first-order or
intraparticle diffusion sorption kinetics. The adsorption mechanism for uranium
on the sorbent was clarified basing on the X-ray photoelectron spectroscopy (XPS)
analysis. The model of UO22+ binding to surface of the sorbent was proposed
according to the results of XPS, i.e., a 1:1 U-to-P ratio in the sorbed complex
was established. The regeneration study confirms the ImP(O)(OH)2/SiO2 sorbent can
be reused. A total of 45% of uranium ions was determined as originating from the
sorbent leaching in the acidic solutions, whereas when the basic solutions were
used, the removal efficiency was 12%.
PMID- 29370514
TI - Can CRISPR-Based Gene Drive Be Confined in the Wild? A Question for Molecular and
Population Biology.
AB - The recent discovery of CRISPR and its application as a gene editing tool has
enabled a range of gene drive systems to be engineered with greater ease. In
order for the benefits of this technology to be realized, in some circumstances
drive systems should be developed that are capable of both spreading into
populations to achieve their desired impact and being recalled in the event of
unwanted consequences or public disfavor. We review the performance of three
broad categories of drive systems at achieving these goals: threshold-dependent
drives, homing-based drive and remediation systems, and temporally self-limiting
systems such as daisy-chain drives.
PMID- 29370515
TI - Water-Soluble Organic Composition of the Arctic Sea Surface Microlayer and
Association with Ice Nucleation Ability.
AB - Organic matter in the sea surface microlayer (SML) may be transferred to the
atmosphere as sea spray and hence influence the composition and properties of
marine aerosol. Recent work has demonstrated that the SML contains material
capable of heterogeneously nucleating ice, but the nature of this material
remains largely unknown. Water-soluble organic matter was extracted from SML and
underlying seawater from the Arctic and analyzed using a combination of mass
spectrometric approaches. High performance liquid chromatography-ion trap mass
spectrometry (LC-IT-MS), and Fourier transform ion cyclotron resonance MS (FT-ICR
MS), showed seawater extracts to be compositionally similar across all stations,
whereas microlayer extracts had a different and more variable composition. LC-IT
MS demonstrated the enrichment of particular ions in the microlayer. Ice
nucleation ability (defined as the median droplet freezing temperature) appeared
to be related to the relative abundances of some ions, although the extracts
themselves did not retain this property. Molecular formulas were assigned using
LC-quadrupole time-of-flight MS (LC-TOF-MS2) and FT-ICR-MS. The ice nucleation
tracer ions were associated with elevated biogenic trace gases, and were also
observed in atmospheric aerosol collected during the summer, but not early spring
suggesting a biogenic source of ice nuclei in the Arctic microlayer.
PMID- 29370517
TI - Immobilized Seed-Mediated Growth of Two-Dimensional Array of Metallic
Nanocrystals with Asymmetric Shapes.
AB - Bottom-up fabrication of such arrays with specific orientation of nanoparticles
remains a challenge. In this paper, we report an immobilized seed-mediated growth
strategy for the fabrication of two-dimensional (2D) arrays of mono- and
bimetallic polyhedral nanocrystals with well-defined shapes and orientations on a
substrate. This method relies on the controlled solution-phase deposition of
metals (i.e., Au and Pd) on a selectively exposed surface of self-assembled seed
nanoparticles that are immobilized on a substrate through collapsed polymer
brushes. By using this approach, we demonstrated the preparation of various 2D
arrays of shaped Au nanocrystals and Au core/Pd shell nanocrystals with
asymmetric geometry of two halves and controlled orientations with respect to the
substrate. The shape evolution of seeds to final nanocrystals was systematically
monitored and evaluated by electron microscopic imaging. Our study suggests that
the shape and orientation of nanocrystals within arrays is determined by the
preferential orientation of assembled seed nanoparticles on the substrate and
controllable deposition of metals on exposed crystal facets of immobilized seeds.
The synthetic approach we developed presents an important addition to current
tools for the fabrication of substrate-supported functional nanostructures.
PMID- 29370516
TI - Few-Layer Antimonene: Anisotropic Expansion and Reversible Crystalline-Phase
Evolution Enable Large-Capacity and Long-Life Na-Ion Batteries.
AB - Two-dimensional (2D) antimonene is a promising anode material in sodium-ion
batteries (SIBs) because of its high theoretical capacity of 660 mAh g-1 and
enlarged surface active sites. However, its Na storage properties and
sodiation/desodiation mechanism have not been fully explored. Herein, we propose
the sodiation/desodiation reaction mechanism of 2D few-layer antimonene (FLA)
based on results acquired by in situ synchrotron X-ray diffraction, ex situ
selected-area electron diffraction, and theoretical simulations. Our study shows
that the FLA undergoes anisotropic volume expansion along the a/b plane and
exhibits reversible crystalline phase evolution (Sb ? NaSb ? Na3Sb) during
cycling. Density-functional theory calculations demonstrate that the FLA has a
small Na-ion diffusion barrier of 0.14 eV. The FLA delivers a larger capacity of
642 mAh g-1 at 0.1 C (1 C = 660 mA g-1) and a high rate capability of 429 mAh g-1
at 5 C and maintains a stable capacity of 620 mA g-1 at 0.5 C with 99.7% capacity
retention from the 10th to the 150th cycle. Considering the 660 mAh g-1
theoretical capacity of Sb, the electrochemical utilization of Sb atoms of FLA is
as high as 93.9% at a rate of 0.5 C for over 150 cycles, which is the highest
capacity and Sb utilization ratio reported so far. Our study discloses the Na
storage mechanism of 2D FLA, boosting promising applications of 2D materials for
advanced SIBs.
PMID- 29370518
TI - Low-Temperature Copper Bonding Strategy with Graphene Interlayer.
AB - The reliability of lead-free Cu bonding technology is often limited by high
bonding temperature and perpetual growth of intermetallic compounds between Sn
solder and Cu substrate. Here, we report a low-bonding-temperature and highly
reliable Cu bonding strategy with the use of graphene as an interlayer. By
integrating a nanoscale graphene/Cu composite on the Cu substrate prior to
thermocompression bonding, we observe a macroscale phenomenon where reliable Sn
Cu joints can be fabricated at a bonding temperature as low as 150 degrees C.
During the bonding process, nanoscale features are replicated in the Sn solder by
the Cu nanocone array morphology. Compared to microscale Sn, nanoscale Sn is
mechanically weaker and thus can distribute on the Cu substrate at a much lower
temperature. Furthermore, insertion of a graphene interlayer, which is one atom
thick, can successfully retard the intermetallic compounds' growth and preserve a
high bonding yield, following 96 h of aging, as confirmed through SEM and shear
strength analyses. Our graphene-based Cu bonding strategy demonstrated in this
work is highly reliable, cost-effective, and environmentally friendly,
representing a much closer step toward industrial applications.
PMID- 29370519
TI - Influence of Solvent Swelling on Ultrafast Structural Dynamics in
Polydimethylsiloxane Thin Films by Two-Dimensional IR Spectroscopy.
AB - Fourier transform infrared and two-dimensional IR (2D-IR) spectroscopies were
applied to polydimethylsiloxane (PDMS) cross-linked elastomer and a siloxane
oligomer without solvent and swollen or dissolved in various solvents. The
silicon hydride, which is covalently bound to the polymer chains, was the
vibrational probe for the systems studied. There is almost an absence of
vibrational solvatochromism in these systems. Frequency-frequency correlation
functions obtained by 2D-IR spectroscopy show that the insensitivity of the FTIR
spectra is due to overwhelming heterogeneity. However, the homogeneous
contribution to the FTIR spectrum is smaller for the elastomer than the oligomer
showing that the cross-linking process restricts the frequency fluctuations that
are experienced by the hydride mode. The silicon hydride mode in a cross-linked,
solvent-free PDMS film also exhibits spectral diffusion that must be due to
polymer structural motions on the ultrafast time scale that are active above the
glass transition temperature. Once solvents penetrate and swell the elastomer,
the polymer likely continues to experience these polymer structural motions.
However, we find that the vibrational dynamics are characteristic of the
infiltrating solvents, showing that at least some fraction of the measured
dynamics originate in solvent motions.
PMID- 29370520
TI - Magnetic Field Facilitated Resilient Chain-like Fe3O4/C/Red P with Superior
Sodium Storage Performance.
AB - Red phosphorus (P) has recently attracted lots of interest due to its
extraordinary theoretical capacity of 2596 mAh g-1 in sodium-ion batteries
(SIBs). However, it is challenging to solve the stability in the preparation
process, while enhancing its low conductivity and solving the structural
degradation caused by the enormous volume expansion (>490%) during cycling have
become the targeted pursuits. Here, we creatively introduced the magnetic stimuli
source to solve both of the preparation and the volume swelling force issues. In
the precedence of magnetic field, the increased pressure in the sample room
drives the homogeneous red P particles to finely deposit on the surface of
Fe3O4/C. The chain-like Fe3O4/C/red P was successfully prepared assisted by the
magnetic field. Simultaneously, considering that the speeded up movements for
both electrons and sodium ions depended on Lorentz force, the electrochemical
performance of such anode material is optimized by tuning the arrays in
collector. It is noted that the nanostructure is elastically rearranged for the
resistance of volume swelling force. Compared with the single Fe3O4/C/red P
particles, for the magnetic fabricated Fe3O4/C/P chain structure, the
electrostatic potential for reconstructing the chain-like Fe3O4/C/P is the
largest. Such configured chain-like anode material exhibits an extraordinary
cyclic performance and superior rate capability (692 mAh g-1 at 2000 mA g-1). The
magnetic stimuli source bridges both the preparation optimization and the
electrochemical performance enhancements for the red P based anode materials.
PMID- 29370521
TI - Interpolative Separable Density Fitting through Centroidal Voronoi Tessellation
with Applications to Hybrid Functional Electronic Structure Calculations.
AB - The recently developed interpolative separable density fitting (ISDF)
decomposition is a powerful way for compressing the redundant information in the
set of orbital pairs and has been used to accelerate quantum chemistry
calculations in a number of contexts. The key ingredient of the ISDF
decomposition is to select a set of nonuniform grid points, so that the values of
the orbital pairs evaluated at such grid points can be used to accurately
interpolate those evaluated at all grid points. The set of nonuniform grid
points, called the interpolation points, can be automatically selected by a QR
factorization with column pivoting (QRCP) procedure. This is the computationally
most expensive step in the construction of the ISDF decomposition. In this work,
we propose a new approach to find the interpolation points based on the
centroidal Voronoi tessellation (CVT) method, which offers a much less expensive
alternative to the QRCP procedure when ISDF is used in the context of hybrid
functional electronic structure calculations. The CVT method only uses
information from the electron density and can be efficiently implemented using a
K-Means algorithm. We find that this new method achieves comparable accuracy to
the ISDF-QRCP method, at a cost that is negligible in the overall hybrid
functional calculations. For instance, for a system containing 1000 silicon atoms
simulated using the HSE06 hybrid functional on 2000 computational cores, the cost
of the QRCP-based method for finding the interpolation points is 38.1 s, while
the CVT procedure only takes 0.7 s. We also find that the ISDF-CVT method
enhances the smoothness of the potential energy surface in the context of ab
initio molecular dynamics (AIMD) simulations with hybrid functionals.
PMID- 29370522
TI - Occupied-Orbital Fast Multipole Method for Efficient Exact Exchange Evaluation.
AB - We present an efficient algorithm for computing the exact exchange contributions
in the Hartree-Fock and hybrid density functional theory models on the basis of
the fast multipole method (FMM). Our algorithm is based on the observation that
FMM with hierarchical boxes can be efficiently used in the exchange matrix
construction, when at least one of the indices of the exchange matrix is
constrained to be an occupied orbital. Timing benchmarks are presented for alkane
chains (C400H802 and C150H302), a graphene sheet (C150H30), a water cluster
[(H2O)100], and a protein Crambin (C202H317O64N55S6). The computational cost of
the far-field exchange evaluation for Crambin is roughly 3% that of a self
consistent field iteration when the multipoles up to rank 2 are used.
PMID- 29370523
TI - Revealing the Penumbra through Imaging Elemental Markers of Cellular Metabolism
in an Ischemic Stroke Model.
AB - Stroke exacts a heavy financial and economic burden, is a leading cause of death,
and is the leading cause of long-term disability in those who survive. The
penumbra surrounds the ischemic core of the stroke lesion and is composed of
cells that are stressed and vulnerable to death, which is due to an altered
metabolic, oxidative, and ionic environment within the penumbra. Without
therapeutic intervention, many cells within the penumbra will die and become part
of the growing infarct, however, there is hope that appropriate therapies may
allow potential recovery of cells within this tissue region, or at least slow the
rate of cell death, therefore, slowing the spread of the ischemic infarct and
minimizing the extent of tissue damage. As such, preserving the penumbra to
promote functional brain recovery is a central goal in stroke research. While
identification of the ischemic infarct, and the infarct/penumbra boundary is
relatively trivial using classical histology and microscopy techniques,
accurately assessing the penetration of the penumbra zone into undamaged brain
tissue, and evaluating the magnitude of chemical alterations in the penumbra, has
long been a major challenge to the stroke research field. In this study, we have
used synchrotron-based X-ray fluorescence imaging to visualize the elemental
changes in undamaged, penumbra, and infarct brain tissue, following ischemic
stroke. We have employed a Gaussian mixture model to cluster tissue areas based
on their elemental characteristics. The method separates the core of the infarct
from healthy tissue, and also demarcates discrete regions encircling the infarct.
These regions of interest can be combined with elemental and metabolic data, as
well as with conventional histology. The cell populations defined by clustering
provide a reproducible means of visualizing the size and extent of the penumbra
at the level of the single cell and provide a critically needed tool to track
changes in elemental status and penumbra size.
PMID- 29370524
TI - l-Carnitine Inhibits Lipopolysaccharide-Induced Nitric Oxide Production of SIM-A9
Microglia Cells.
AB - Microglia are the resident immune effector cells of the central nervous system.
They account for approximately 10-15% of all cells found in the brain and spinal
cord, acting as macrophages, sensing and engaging in phagocytosis to eliminate
toxic proteins. Microglia are dynamic and can change their morphology in response
to cues from their milieu. Parkinson's disease is a neurodegenerative disease,
associated with reactive gliosis, neuroinflammation, and oxidative stress. It is
thought that Parkinson's disease is caused by the accumulation of abnormally
folded alpha-synuclein protein, accompanied by persistent neuroinflammation,
oxidative stress, and subsequent neuronal injury/death. There is evidence in the
literature for mitochondrial dysfunction in Parkinson's disease as well as fatty
acid beta-oxidation, involving l-carnitine. Here we investigate l-carnitine in
the context of microglial activation, suggesting a potential new strategy of
supplementation for PD patients. Preliminary results from our studies suggest
that the treatment of activated microglia with the endogenous antioxidant l
carnitine can reverse the effects of detrimental neuroinflammation in vitro.
PMID- 29370525
TI - Tungsten Disulfide-Gold Nanohole Hybrid Metasurfaces for Nonlinear Metalenses in
the Visible Region.
AB - Recently, nonlinear hybrid metasurface comes into an attractive new concept in
the research of nanophotonics and nanotechnology. It is composed of
semiconductors with an intrinsically large nonlinear susceptibility and
traditional plasmonic metasurfaces, offering opportunities for efficiently
generating and manipulating nonlinear optical responses. A high second-harmonic
generation (SHG) conversion efficiency has been demonstrated in the mid-infrared
region by using multiquantum-well (MQW)-based plasmonic metasurfaces. However, it
has yet to be demonstrated in the visible region. Here, we present a new type of
nonlinear hybrid metasurfaces for the visible region, which consists of a single
layer of tungsten disulfide (WS2) and a phased gold nanohole array. The results
indicate that a large SHG susceptibility of ~10-1 nm/V at 810 nm is achieved,
which is 2-3 orders of magnitude larger than that of typical plasmonic
metasurfaces. Nonlinear metalenses with the focal lengths of 30, 50, and 100 MUm
are demonstrated experimentally, providing a direct evidence for both generating
and manipulating SH signals based on the nonlinear hybrid metasurfaces. It shows
great potential applications in designing of integrated, ultrathin, compacted,
and efficient nonlinear optical devices, such as frequency converters, nonlinear
holography, and the generation of nonlinear optical vortex beams.
PMID- 29370527
TI - Iodometry-Assisted Liquid Chromatography Electrospray Ionization Mass
Spectrometry for Analysis of Organic Peroxides: An Application to Atmospheric
Secondary Organic Aerosol.
AB - Organic peroxides comprise a significant fraction of atmospheric secondary
organic aerosol (SOA). Detection and quantification of particle-phase organic
peroxides are highly challenging, and current efforts rely significantly on
filter extraction and offline mass spectrometry (MS). Here, a novel technique,
iodometry-assisted liquid chromatography electrospray ionization mass
spectrometry (iodometry-assisted LC-ESI-MS), is developed and evaluated with a
class of atmospherically relevant organic peroxides, alpha-acyloxyalkyl
hydroperoxides, synthesized via liquid ozonolysis. Iodometry-assisted LC-ESI-MS
unambiguously distinguishes organic peroxides, compensating for the lack of
functional group information that can be obtained with MS. This technique can be
versatile for a wide spectrum of environmental analytical applications for which
a molecular-level identification of organic peroxide is required. Here, iodometry
assisted LC-ESI-MS is applied to the water-soluble organic carbon (WSOC) of alpha
pinene SOA. Unexpectedly, a limited number of detectable compounds in WSOC appear
to be organic peroxides, despite the fact that spectroscopy-based iodometry
indicates 15% of WSOC mass is associated with organic peroxides. This observation
would be consistent with decomposition of multifunctional organic peroxides to
small peroxides that can be quantified by spectroscopy-based iodometry but not by
LC-ESI-MS. Overall, this study raises concerns regarding filter extraction-based
studies, showing that assignment of organic peroxides solely on the basis of MS
signatures can be misleading.
PMID- 29370526
TI - Nanoparticle-Mediated Trapping of Wnt Family Member 5A in Tumor Microenvironments
Enhances Immunotherapy for B-Raf Proto-Oncogene Mutant Melanoma.
AB - Development of an effective treatment against advanced tumors remains a major
challenge for cancer immunotherapy. Approximately 50% of human melanoma is driven
by B-Raf proto-oncogene mutation (BRAF mutant). Tumors with such mutation are
desmoplastic, highly immunosuppressive, and often resistant to immune checkpoint
therapies. We have shown that immunotherapy mediated by low-dose doxorubicin
induced immunogenic cell death was only partially effective for this type of
tumor and not effective in long-term inhibition of tumor progression. Wnt family
member 5A (Wnt5a), a signaling protein highly produced by BRAF mutant melanoma
cells, has been implicated in inducing dendritic cell tolerance and tumor
fibrosis, thus hindering effective antigen presentation and T-cell infiltration.
We hypothesized that Wnt5a is a key molecule controlling the immunosuppressive
tumor microenvironment in metastatic melanoma. Accordingly, we have designed and
generated a trimeric trap protein, containing the extracellular domain of Fizzled
7 receptor that binds Wnt5a with a Kd ~ 278 nM. Plasmid DNA encoding for the
Wnt5a trap was delivered to the tumor by using cationic lipid-protamine-DNA
nanoparticles. Expression of Wnt5a trap in the tumor, although transient, was
greater than that of any other major organs including liver, resulting in a
significant reduction of the Wnt5a level in the tumor microenvironment without
systematic toxicity. Significantly, combination of Wnt5a trapping and low-dose
doxorubicin showed great tumor growth inhibition and host survival prolongation.
Our findings indicated that efficient local Wnt5a trapping significantly
remodeled the immunosuppressive tumor microenvironment to facilitate immunogenic
cell-death-mediated immunotherapy.
PMID- 29370528
TI - Daily cycle in hepatic lipid metabolism in obese mice, Neotomodon alstoni: Sex
differences.
AB - Disruption of circadian rhythms influences the pathogenesis of obesity,
particularly with the basic regulation of food intake and metabolism. A link
between metabolism and the circadian clock is the peroxisome proliferator
activated receptors (PPARs). The Neotomodon alstoni mouse, known as the "Mexican
volcano mouse," may develop obesity if fed a normo-caloric diet. This manuscript
documents the changes in part of the hepatic lipid homeostasis in both sexes of
lean and obese N. alstoni mice, comparing the daily changes in the BMAL1 clock
protein, in regulators of lipid metabolism (PGC-1alpha, PPARalpha-gamma, SREBP
1c, and CPT-1alpha) and in free fatty acid (FFA) and hepatic triacylglyceride
(TAG) metabolites in light-dark cycles. Hepatic tissue and blood were collected
at 5, 10, 15, 19, and 24 h. Samples were analyzed by western blotting to
determine the relative presence of protein. The results indicate that obesity
affects daily changes in lipid metabolism and the BMAL1 profile in females
considerably more than in males. These results suggest that the impact of obesity
on lipid metabolism has important differences according to sex.
PMID- 29370529
TI - Temporal expression of clock genes in central and peripheral tissues of spotted
munia under varying light conditions: Evidence for circadian regulation of daily
physiology in a non-photoperiodic circannual songbird species.
AB - We investigated if the duration and/or frequency of the light period affect 24-h
rhythm of circadian clock genes in central and peripheral tissues of a non
photoperiodic songbird, the spotted munia (Lonchura punctulata), in which a
circannual rhythm regulates the reproductive cycle. We monitored activity-rest
pattern and measured 24-h mRNA oscillation of core clock (Bmal1, Clock, Per2,
Cry1 and Cry2) and clock-controlled (E4bp4, Roralpha and Rev-erbalpha) genes in
the hypothalamus, retina, liver and gut of spotted munia subjected to an aberrant
light-dark (LD) cycle (3.5L:3.5D; T7, T = period length of LD cycle) and
continuous light (LL, 24L:0D), with controls on 24-h LD cycle (T24, 12L:12D).
Munia exhibited rhythmic activity-rest pattern with period matched to T7 or T24
under an LD cycle and were arrhythmic with a scattered activity pattern and
higher activity duration under LL. At the transcriptional level, both clock and
clock-controlled genes showed a significant 24-h rhythm in all four tissues
(except Clock in the liver) under 12L:12D, suggesting a conserved tissue-level
circadian time generation in spotted munia. An exposure to 3.5L:3.5D or LL
induced arrhythmicity in transcriptional oscillation of all eight genes in the
hypothalamus (except Rev-erbalpha) and liver (except Bmal1 and Rev-erbalpha under
T7 and Cry1 under LL). In the retina, however, all genes showed arrhythmic 24-h
mRNA expression under LL, but not under T7 (except in E4bp4 and Roralpha).
Interestingly, unlike in the liver, Bmal1, Per2, Cry1, Roralpha and Rev-erbalpha
mRNA expressions were rhythmic in the gut under both T7 (except Roralpha) and LL
conditions. These results showed variable relationship of internal circadian
clocks with the external light environment and suggested a weak coupling of
circadian clocks between the central (hypothalamus and retina) and peripheral
(liver and gut) tissues. We suggest tissue-level circadian clock regulation of
daily physiology and behavior in the spotted munia.
PMID- 29370530
TI - Head First, Not Feet First: Freeman-Sheldon Syndrome as Primarily a Craniofacial
Condition.
AB - The historical and clinical basis for classification of Freeman-Sheldon syndrome
as a craniofacial syndrome and explanation of the rationale underlying this
decision is provided. Correctly classifying the condition will avoid confusion
and may help to clarify the vernacular employed and eventually aid in improving
diagnosis.
PMID- 29370531
TI - Evaluation of the plant-growth-promoting abilities of endophytic bacteria from
the psammophyte Ammodendron bifolium.
AB - The objective of this study was to assess the plant-growth-promoting abilities of
45 endophytic bacterial isolates from Ammodendron bifolium through physiological
characteristics detection and endophytic bacteria-plant interaction. Each of
these isolates exhibited 1 or more plant-growth-promoting traits, but only 11
isolates belonging to the genera Bacillus, Staphylococcus, and Kocuria were
capable of promoting seed germination and radicle growth. These results together
with the results of the correlation analysis revealed that the completion of seed
germination may not be due to IAA production, phosphate solubilization, pellicle
formation, and ACC deaminase, protease and lipase production by endophytic
bacteria, but may be closely related to amylase and cellulase production.
Further, endophytic bacterial isolates with plant-growth-promoting traits may
also provide beneficial effects to host plants at different growth stages. Thus,
these results are of value for understanding the ecological roles of endophytic
bacteria in host plant habitats and can serve as a foundation for further studies
of their potential in plant regeneration.
PMID- 29370536
TI - Traumatic brain injury: classification, models, and markers.
AB - Traumatic brain injury (TBI) is a leading cause of morbidity and mortality
worldwide. Due to its high incidence rate and often long-term sequelae, TBI
contributes significantly to increasing costs of health care expenditures
annually. Unfortunately, advances in the field have been stifled by patient and
injury heterogeneity that pose a major challenge in TBI prevention, diagnosis,
and treatment. In this review, we briefly discuss the causes of TBI, followed by
its prevalence, classification, and pathophysiology. The current imaging
detection methods and animal models used to study brain injury are examined. We
discuss the potential use of molecular markers in detecting and monitoring the
progression of TBI, with particular emphasis on microRNAs as a novel class of
molecular modulators of injury and its repair in the neural tissue.
PMID- 29370537
TI - The Use of Chromatographic Methods Coupled to Mass Spectrometry for the Study of
Emerging Pollutants in the Environment.
AB - The consumption of compounds that improve the human health and wellness is
increasing, and as a result, the residues of these substances reach the
environment or food chain. For this reason, the scientific community is looking
for new analytical methods that are more accurate and can detect the greatest
number of compounds in different matrices. This manuscript compares different
studies in abiotic and biotic matrices, where most of them (92%) uses Liquid
Chromatography to separate the compounds. For the detection, most of the studies
for both matrices uses, coupled to the chromatograph, a triple quadrupole mass
spectrometer (50%) due to the precision to quantify the concentration of these
compounds. Time of Flight (QToF) mass spectrometer is used in 24% of the
manuscripts reviewed, in both matrices. It is possible to quantify the
concentration of these compounds with the instrument but do not provide as high
accuracy as the triple quadrupole.
PMID- 29370538
TI - Exploring longitudinal course and treatment-baseline severity interactions in
secondary outcomes of smoking cessation treatment in individuals with attention
deficit hyperactivity disorder.
AB - BACKGROUND: A double blind, placebo-controlled randomized trial (NCT00253747)
evaluating osmotic-release oral system methylphenidate (OROS-MPH) for smoking
cessation revealed a significant interaction effect in which participants with
higher baseline ADHD severity had better abstinence outcomes with OROS-MPH while
participants with lower baseline ADHD severity had worse outcomes. OBJECTIVES:
This current report examines secondary outcomes that might bear on the mechanism
for this differential treatment effect. METHODS: Longitudinal analyses were
conducted to evaluate the effect of OROS-MPH on three secondary outcomes (ADHD
symptom severity, nicotine craving, and withdrawal) in the total sample (N = 255,
56% Male), and in the high (N = 134) and low (N = 121) baseline ADHD severity
groups. RESULTS: OROS-MPH significantly improved ADHD symptoms and nicotine
withdrawal symptoms in the total sample, and exploratory analyses showed that in
both higher and lower baseline severity groups, OROS-MPH statistically
significantly improved these two outcomes. No effect on craving overall was
detected, though exploratory analyses showed statistically significantly
decreased craving in the high ADHD severity participants on OROS-MPH. No
treatment by ADHD baseline severity interaction was detected for the outcomes.
CONCLUSIONS: Methylphenidate improved secondary outcomes during smoking cessation
independent of baseline ADHD severity, with no evident treatment-baseline
severity interaction. Our results suggest divergent responses to smoking
cessation treatment in the higher and lower severity groups cannot be explained
by concordant divergence in craving, withdrawal and ADHD symptom severity, and
alternative hypotheses may need to be identified.
PMID- 29370535
TI - Effects of prenatal alcohol exposure (PAE): insights into FASD using mouse models
of PAE.
AB - The potential impact of prenatal alcohol exposure (PAE) varies considerably among
exposed individuals, with some displaying serious alcohol-related effects and
many others showing few or no overt signs of fetal alcohol spectrum disorder
(FASD). In animal models, variables such as nutrition, genetic background,
health, other drugs, and stress, as well as dosage, duration, and gestational
timing of exposure to alcohol can all be controlled in a way that is not possible
in a clinical situation. In this review we examine mouse models of PAE and focus
on those with demonstrated craniofacial malformations, abnormal brain
development, or behavioral phenotypes that may be considered FASD-like outcomes.
Analysis of these data should provide a valuable tool for researchers wishing to
choose the PAE model best suited to their research questions or to investigate
established PAE models for FASD comorbidities. It should also allow recognition
of patterns linking gestational timing, dosage, and duration of PAE, such as
recognizing that binge alcohol exposure(s) during early gestation can lead to
severe FASD outcomes. Identified patterns could be particularly insightful and
lead to a better understanding of the molecular mechanisms underlying FASD.
PMID- 29370540
TI - Industrial potential of carotenoid pigments from microalgae: Current trends and
future prospects.
AB - Microalgae are rich source of various bioactive molecules such as carotenoids,
lipids, fatty acids, hydrocarbons, proteins, carbohydrates, amino acids, etc. and
in recent Years carotenoids from algae gained commercial recognition in the
global market for food and cosmeceutical applications. However, the production of
carotenoids from algae is not yet fully cost effective to compete with synthetic
ones. In this context the present review examines the technologies/methods in
relation to mass production of algae, cell harvesting for extraction of
carotenoids, optimizing extraction methods etc. Research studies from different
microalgal species such as Spirulina platensis, Haematococcus pluvialis,
Dunaliella salina, Chlorella sps., Nannochloropsis sps., Scenedesmus sps.,
Chlorococcum sps., Botryococcus braunii and Diatoms in relation to carotenoid
content, chemical structure, extraction and processing of carotenoids are
discussed. Further these carotenoid pigments, are useful in various health
applications and their use in food, feed, nutraceutical, pharmaceutical and
cosmeceutical industries was briefly touched upon. The commercial value of algal
carotenoids has also been discussed in this review. Possible recommendations for
future research studies are proposed.
PMID- 29370539
TI - Correlates of employment and postsecondary education enrolment in Afghanistan and
Iraq veterans with traumatic brain injuries.
AB - PRIMARY OBJECTIVE: About 20% of Iraq and Afghanistan Veterans have sustained a
traumatic brain injury (TBI), which can result in postconcussive symptoms and
difficulty transitioning from the military to civilian employment and
postsecondary education. To better inform programs help Veterans transition back
into civilian life, we evaluated correlates of employment and postsecondary
education enrolment among treatment-seeking Veterans with a history of TBI.
RESEARCH DESIGN: A cross-sectional design, using an archival database of VA
medical records, was used to answer these research questions. METHODS AND
PROCEDURES: We examined demographic, TBI-related, postconcussive, psychiatric,
and neuropsychological factors in 390 Veterans (86% with mild TBI) to determine
what factors were associated with employment or enrolment in postsecondary
education. Bivariate correlations and multivariate regression were used. MAIN
OUTCOMES AND RESULTS: age, minority status, and service connected disability
ratings were significantly associated with employment and postsecondary education
enrolment in a multivariate context, whereas TBI-related factors and
neurocognitive, postconcussive, and psychiatric symptom severity were not
associated with employment or postsecondary education outcomes. CONCLUSIONS:
Further research is needed to confirm these findings and to evaluate the
contribution of age, minority status, and disability on successful return to work
and/or school for Veterans with a history of TBI.
PMID- 29370541
TI - Effects of lunar phases on short-term, explosive physical performance among young
trained athletes.
AB - Beliefs that lunar phases affect human physiology started in ancient times.
Research has recently revealed that a physical fitness index increased in
sedentary students at the new moon (NM) and full moon (FM) compared to other moon
phases. However, the effect of lunar cycle (moon illumination and gravitational
pull) on physical performance in athletes was not examined. Therefore, this study
aimed to evaluate whether short-term explosive performance can be influenced by
the different phases of the lunar cycle. Fourteen young male Taekwondo athletes
(age: 16.9 +/- 0.7 years, height: 159.7 +/- 50.6 cm, body mass: 62.85 +/- 7.84
kg) performed the following tests to assess the explosive physical performance
during the different phases of the lunar cycle (NM, FQ (first quarter), FM, and
LQ (last quarter)): maximal isometric manual contraction (dominant hand (MIMCD)
and non-dominant hand (MIMCND)), maximal back isometric contraction (MBIC), squat
jump (SJ), countermovement jump (CMJ), and 10-m sprint (10 m). The testing
sessions during the different moon phases were performed in a counterbalanced
order. The order of tests remained the same (MIMCD, MIMCND, MBIC, SJ, CMJ, and 10
m), and all sessions were performed in the evening (6:00 to 8:00 p.m.) on the
first day of each evaluated lunar phase. Each parameter was measured over two
consecutive lunar months in the calendar. Analysis of variance tests showed that
there was no significant effect of lunar cycle on all explosive test measures, p
> 0.05. Our results failed to identify any effect of lunar phase on evening
explosive performance (mainly involving phosphagen pathway-based efforts) among
young trained athletes. Therefore, it appears that moon phase/illumination does
not affect short-term physical performance in young trained adolescents.
PMID- 29370543
TI - Driving under the influence of alcohol and drugs in the eastern part of Denmark
in 2015 and 2016: Abuse patterns and trends.
AB - OBJECTIVES: The objective of this study was to examine the frequency of
psychoactive drugs and alcohol in drivers under suspicion of driving under the
influence of drugs and alcohol in 2015 and 2016 in the eastern part of Denmark.
The trends in the number of traffic cases sent for drug analysis since 2000 and
alcohol analysis since 2011 are also discussed. METHODS: Blood samples from
drivers suspected of being under the influence of alcohol and/or medication
and/or illicit drugs in 2015 and 2016 were investigated as requested by the
police. The blood samples were screened for alcohol and/or tetrahydrocannabinol
(THC) alone, for other drugs (covering all drugs, except THC, listed in the
Danish list of narcotic drugs), or for THC and other drugs. Age and gender were
also recorded. The number of drug traffic cases since 2000 and the number of
alcohol cases since 2011 were extracted from our Laboratory Information
Management System (LIMS). RESULTS: In total, 11,493 traffic cases were
investigated. Alcohol and/or drugs exceeded the legal limit in 9,657 (84%) cases.
Men constituted 95% of the drivers investigated for drugs and 88% of the alcohol
cases. The drivers investigated for drugs consisted primarily of young men,
whereas drivers investigated for alcohol were older. The frequency was higher for
positive alcohol cases above the legal limit (87%) than for drug cases (76%)
above the fixed concentration limit. THC (67-69%) was the most frequently
detected drug above the legal limit, followed by cocaine (27-28.5%), amphetamine
(17%), and clonazepam (6-7%) in both years. Morphine (5.4%), included among the 5
most frequent drugs in 2015, was replaced by methadone (4.6%) in 2016. Few new
psychoactive drugs (NPS) were detected. The number of traffic cases sent for drug
analysis has increased more than 30-fold since 2000-2006, and the number of
traffic cases submitted in 2016 for drug analysis was higher than the number for
alcohol analysis; the latter has decreased since 2011. CONCLUSION: Overall,
alcohol was the most frequent compound detected above the legal limit in both
years, followed by the well-known illicit drugs THC, cocaine, and amphetamine.
NPS were seldom seen. One consequence of the increased focus on drugs in traffic
has been an immense increase in drug traffic cases sent for analysis since 2006
in the eastern part of Denmark. Although this survey revealed only minimal
changes compared to earlier investigations, surveys like this are invaluable for
monitoring abuse patterns and trends in drugged and drunken driving.
PMID- 29370542
TI - Capacity of ceruloplasmin to scavenge products of the respiratory burst of
neutrophils is not altered by the products of reactions catalyzed by
myeloperoxidase.
AB - CP is a copper-containing ferroxidase of blood plasma, which acts as an acute
phase reactant during inflammation. The effect of oxidative modification of CP
induced by oxidants produced by MPO, such as HOCl, HOBr, and HOSCN, on its
spectral, enzymatic, and anti-inflammatory properties was studied. We monitored
the chemiluminescence of lucigenin and luminol along with fluorescence of
hydroethidine and scopoletin to assay the inhibition by CP of the neutrophilic
respiratory burst induced by PMA or fMLP. Superoxide dismutase activity of CP and
its capacity to reduce the production of oxidants in respiratory burst of
neutrophils remained virtually unchanged upon modifications caused by HOCl, HOBr,
and HOSCN. Meanwhile, the absorption of type I copper ions at 610 nm became
reduced, along with a drop in the ferroxidase and amino oxidase activities of CP.
Likewise, its inhibitory effect on the halogenating activity of MPO was
diminished. Sera of either healthy donors or patients with Wilson disease were co
incubated with neutrophils from healthy volunteers. In these experiments, we
observed an inverse relationship between the content of CP in sera and the rate
of H2O2 production by activated neutrophils. In conclusion, CP is likely to play
a role of an anti-inflammatory factor tempering the neutrophil respiratory burst
in the bloodstream despite the MPO-mediated oxidative modifications.
PMID- 29370544
TI - Evaluation Criteria and Surgical Technique for Transoral Access to the Thyroid
Gland: Experimental Study.
AB - BACKGROUND: The development of endoscopic techniques motivated surgeons to search
for alternative and more cosmetic approaches for thyroid and parathyroid surgery.
Several variations of transoral access to the anterior neck areas were applied in
recent years. One of them is a premandibular access proposed by Nakajo et al, it
is performed through the oral vestibule by creating the working space by means of
the lifting method. MATERIALS AND METHODS: Nineteen unfixed human cadavers were
used to develop the endoscopic access to the thyroid gland and surgical
techniques of its resection. The Nakajo access was modified by altering the
spatial location of the working instruments, resulting in practically no impact
on the cosmetic outcome but providing a more comfortable operation for the
thyroid gland. We determined evaluation criteria of the cadaver model before
initiation of the experimental trial. Parameters that influence on alteration of
these criteria were registered. RESULTS: Of the 19 operated objects, the first 7
were used to practice the access technique from the incision in the oral
vestibule to the thyroid gland in order to evaluate the possibility of endoscopic
dissection of the important anatomical. The next 12 surgeries were carried out by
manipulating the thyroid gland in the settings maximally close to real. Access
implied good cosmetic results, less surgical traumatic due to a smaller area of
detachment of the musculocutaneous flap. CONCLUSIONS: The achieved results show
feasibility of introducing the described modification of transoral thyroidectomy
into clinical practice.
PMID- 29370545
TI - A Study of the Use of Psychopharmacologic Agents by Acutely Medically Ill Older
Adults.
AB - This retrospective study sought to investigate issues related to the safety of
psychopharmacological agents used by acutely medically ill hospitalized older
adults. It explored if there were any associations between commonly prescribed
psychiatric medications that medically ill hospitalized older adults received and
adverse events. It also sought to compare the safety of antidepressants,
sedative/hypnotics, and antipsychotics, when used as a standing (on a preset
schedule) and as needed pro re nata (PRN) basis. The study found that
psychopharmacological agents are frequently prescribed for medically ill
hospitalized older adults. No statistically significant difference was found as
far as safety was concerned. The number of PRN medications that were used, and
the total number of medications was associated with increased risk of transfer
within the hospital to a higher level of care or transfer upon discharge to long
term-care/rehabilitation or hospice. Overall, the use of psychopharmacological
agents did not appear to be related to serious adverse events.
PMID- 29370546
TI - An Environmental Scan of the Role of Nurses in Preventing Fetal Alcohol Spectrum
Disorders.
AB - Nurses are in an ideal position to talk to their patients of reproductive age
about alcohol use and encourage the prevention of alcohol-exposed pregnancies.
Effective conversations can be efficiently included in the clinical encounter to
identify alcohol misuse and offer appropriate follow-up. This report presents
results of an environmental scan of resources relevant to nursing professionals
and nurses' role in addressing alcohol misuse. Gaps in nursing education and
practice guidelines with regard to defining the nursing role in preventing
alcohol-exposed pregnancies were revealed. Findings identified a need to promote
adoption among nurses of evidence-based preventive practices to prevent alcohol
misuse.
PMID- 29370547
TI - Depressive Symptoms and Influencing Factors in Low-Risk Mothers.
AB - INTRODUCTION: Depressive symptoms burden not only postpartum women, but can also
compromise partner relationships and mother-infant interactions. With
approximately 15% of women affected by perinatal mood and anxiety disorders
(PMADs) each year, maternity care providers must be sensitive to personal
characteristics and complaints that influence women's potential to develop
postpartum depression (PPD). The purpose of the study was to identify personal
characteristics and modifiable factors associated with depressive symptoms in low
risk postpartum women. METHODS: A descriptive, correlational design was used to
identify predictors of depressive symptoms among mothers who were considered to
be at low risk for PPD. Self-report data related to mood, stress, sleep
disturbance, and fatigue were collected near the sixth week post-delivery from
women (N = 62) in a southern US state. RESULTS: The levels of stress and reports
of sleep disturbance approached clinical concern in the low-risk sample (n = 62),
in addition to being positively correlated depressive symptoms. Cesarean delivery
was also associated with increased depressive symptoms and predictive of
depression symptoms when combined with perceived chronic stress, sleep
disturbance, and fatigue. DISCUSSION: The findings underscore the importance of
assessing maternal mental health and adjustment during the postpartum period.
While some mothers may not report depressive symptoms at post-delivery visits,
they may be experiencing symptoms that predispose them to a mood disorder. Using
a more holistic approach to postpartum care, with concern for both physical and
mental well-being, may be a necessary shift to promote health in new mothers and
families.
PMID- 29370548
TI - American Values, Social Policy, Health and Well-Being.
PMID- 29370549
TI - The Formation of a Community Academic Partnership to Address Health Disparities
and Improve Outcomes for Latino Family Caregivers Living in a Rural Community.
PMID- 29370550
TI - The Transition from University Completion to Employment for Students with Autism
Spectrum Disorder.
AB - A scoping review of articles published from 2000 to 2017 was conducted with the
aim to identify what had been discussed and researched related to the transition
from completion of university to employment for students with ASD. The review
also included identification of published data on the type of degrees studied by
university students with ASD. A paucity of discussion and no specific research
was identified related to the aims of the review.
PMID- 29370551
TI - Tumor Biological Aspects of a Large-Scale Retrospective Study: The Role of Tumor
Cell Heterogeneity, Inflammation and Aging in Survival.
PMID- 29370552
TI - The Role of the Registered Nurse Working in Substance Use Disorder Treatment: A
Hermeneutic Study.
AB - Substance Use Disorders (SUDs) are a national public health crisis. However,
there is minimal existing research literature on the role of the nurse working in
SUD treatment. The purpose of this study was to describe the meaning of the
professional role of the registered nurse working in the SUD setting. The study
utilized a Heideggerian Phenomenological approach with a modified Colaizzi method
for analysis and interpretation. The nine study participants, two males and seven
females, aged 27-60 had worked in SUD treatment for 1-37 years. Three major
themes, with sub-themes, emerged: Defining the Role for Self; Learning the Role;
and Navigating with Ease in an Unchangeable Culture. Findings demonstrated that
nurses in SUD treatment value their role and the care of patients with SUDs.
Patient recovery or relapse influenced job satisfaction. Significantly, the
findings highlighted an outdated role with rigid boundaries and no real
contemporary identity. Findings can be used to address the need for a
contemporary SUD nursing identity and to support ongoing involvement of nurses in
health policy related to SUDs.
PMID- 29370553
TI - Wu-Wei: Concept Analysis of a Conceptual Paradox.
AB - The Taoist concept of wu-wei is explored through a concept analysis, as
delineated by Walker and Avant. The paradoxical nature of wu-wei is explored
through different uses, defining attributes, a model case, related and
illegitimate cases, antecedents and consequences, and empirical referents.
Practical applications for mental health nursing assessment and interventions are
offered to show potential improved outcomes with the stance of wu-wei in
practice. Wu-wei arises in the emptying of the vessel (oneself) of ego, thus
allowing more skillful, adaptable, collaborative mental health nursing in the
moment.
PMID- 29370554
TI - Assessing Intimate Partner Abuse: Associated Factors and Health Consequences
among Jordanian Women.
AB - In this cross-sectional study, we assessed levels and types of psychological and
physical intimate partner abuse (IPA), and the association of IPA with socio
demographic factors and health consequences. The Abusive Behavior Inventory was
completed by 471 Jordanian women. IPA was higher among older women who were: of
older age, of younger age at marriage, married to unemployed spouses, living in
urban residence, and of lower educational level. IPA was associated with most of
the health problems except dental injuries and burns. We recommend educational
programs that raise women's awareness to their rights to education, free choices
in marital age, and policies that mitigate IPA in Jordan and similar patriarchal
societies.
PMID- 29370555
TI - The Effectiveness of Cognitive Behavioral Therapy versus Psychoeducation in the
Management of Depression among Patients Undergoing Haemodialysis.
AB - The aim of this randomized controlled trial was to compare the effectiveness of
psychoeducation versus cognitive behavioral therapy (CBT) in the management of
depression among renal dialysis patients. A sample of 130 dialysis patients
participated in the study and they were assigned at random to one of two
therapies: psychoeducation (N = 65) or CBT (N = 65). Hamilton depression rating
scale was completed by the participants in both groups prior to the therapies and
after completion. Both therapies were effective in the management of depression,
although psychoeducation was superior. Psychoeducation is recommended over CBT in
the management of depression among renal dialysis patients.
PMID- 29370556
TI - Multiple Evanescent White Dot Syndrome Following Intradermal Influenza
Vaccination.
AB - PURPOSE: To present a case report of MEWDS following an intradermal influenza
vaccination. METHODS: Review of the clinical, laboratory, photographic, and
angiographic records of a patient with MEWDS. RESULTS: 27-year-old female that
presented to our clinic with photopsias OD. These symptoms began 2 weeks
following an influenza intradermal vaccination. Fundus examination and diagnostic
testing were consistent with MEWDS. CONCLUSION: While rare, MEWDS can occur
following influenza vaccination.
PMID- 29370557
TI - Patient- and caregiver-identified preferences: Dimensions of change in
developmental therapy treatment goals.
AB - OBJECTIVE: To describe patterns in preferred dimensions of change in therapy
goals identified by individuals with developmental disability and their
caregivers. METHODS: A retrospective chart review of Goal Attainment Scaling
(GAS) goals for patients aged 2-32 years (n = 124) participating in a program of
episodic care was conducted. Dimensions of change were analyzed through a mixed
methods study design. Co-occurrence rates and descriptor-to-code comparisons were
computed in order to relate the dimension of change to diagnosis, International
Classification of Functioning, Disability, and Health (ICF) goal domain, gender,
age, and goal setter. RESULTS: Decreased level of assistance was the most
commonly identified preferred dimension of change, cited in 31.0% of goals.
Decreased level of assistance remained the most frequently reported dimension of
change in multiple subgroup analyses. CONCLUSION: Independence is highly valued
by parents and individuals with developmental disability. This finding should
help guide therapy plans or program development addressing task performance.
PMID- 29370559
TI - Resilience in Caregivers of Partners With Young Onset Dementia: A Concept
Analysis.
AB - INTRODUCTION: Over 200,000 Americans diagnosed with young onset dementia (YOD),
dementia diagnosed prior to age 65, are cared for by family members. This can be
costly to caregivers' physical and psychological health. Some adapt well to the
caregiver role and are said to be resilient. Aim/Question: This paper builds on
current understanding of the concept of resilience and applies this to caregivers
of partners diagnosed with YOD. METHOD: Concept analysis. RESULTS: Resilient
caregivers exhibit attributes including determination, flexibility, positive
thinking, self-efficacy, resourcefulness, social support and spirituality.
DISCUSSION: YOD affects caregiver's health. Much research has been done on
interventions for dementia caregivers. These interventions do not necessarily
meet the needs of YOD caregivers as they do not account for dynamics in the
family. By recognizing what is resiliency in YOD caregivers, interventions can be
developed that focus on characteristics that build these attributes. IMPLICATIONS
FOR PRACTICE: Understanding the concept of resilience related to caregiving for a
partner diagnosed with YOD allows for future development, measurement, and
evaluation of nursing interventions. Nursing staff are in a strategic position to
provide effective interventions to enhance resilience among caregivers of YOD.
PMID- 29370558
TI - Pim-3 enhances melanoma cell migration and invasion by promoting STAT3
phosphorylation.
AB - Melanoma is the deadliest form of commonly encountered skin cancer, and has fast
propagating and highly invasive characteristics. Pim-3, a highly expressed
oncogene in melanoma, is a highly conserved serine/threonine kinase with various
biological activities, such as proliferation-accelerating and anti-apoptosis
effects on cancer progression. However, whether Pim-3 regulates melanoma
metastasis has not been determined. Here, we constructed a Pim-3-silencing short
hairpin RNA (sh-Pim-3), a TLR7-stimulating ssRNA and a dual-function vector
containing a sh-Pim-3 and a ssRNA, and transfected them into the B16F10 melanoma
cell line to investigate the effects of Pim-3 on migration and invasion in
melanoma. We found that sh-Pim-3 inhibited B16F10 cell migration and invasion in
vitro. In a tumor-bearing mouse model, sh-Pim-3 significantly downregulated
pulmonary metastasis of B16F10 melanoma cell in vivo. Mechanistically, sh-Pim-3
inhibited metastasis by regulating the expression of genes related to epithelial
mesenchymal transition (EMT). Further study revealed that by promoting the
phosphorylation of STAT3 (signal transducer and activator of transcription 3),
Pim-3 induced the expression of Slug, Snail, and ZEB1, which enhanced EMT-related
changes and induced melanoma migration and invasion. Our study suggests that Pim
3 is a potential effective target for melanoma therapy.
PMID- 29370560
TI - Unique Practice, Unique Place: Exploring Two Assertive Community Treatment Teams
in Maine.
AB - Assertive Community Treatment (ACT) is a model of care that provides
comprehensive community-based psychiatric care for persons with serious mental
illness. This model has been widely documented and has shown to be an evidence
based model of care for reducing hospitalizations for this targeted population.
Critical ingredients of the ACT model are the holistic nature of their services,
a team based approach to treatment and nurses who assist with illness management,
medication monitoring, and provider collaboration. Although the model remains
strong there are clear differences between urban and rural teams. This article
describes present day practice in two disparate ACT programs in urban and rural
Maine. It offers a new perspective on the evolving and innovative program of
services that treat those with serious mental illness along with a review of
literature pertinent to the ACT model and future recommendations for nursing
practice. The success and longevity of these two ACT programs are testament to
the quality of care and commitment of staff that work with seriously mentally ill
consumers. Integrative care models such as these community-based treatment teams
and nursing driven interventions are prime elements of this successful model.
PMID- 29370561
TI - Nursing Case Management Strategies for Adults with Serious Mental Illness Seeking
Dental Services.
AB - A university-community partnership initiated a dental screening and nursing case
management program for Medicaid-insured adults with serious mental illness (SMI).
Forty-three adults with SMI participated in dental screening; 72% participated in
case management. Per client, an average of six case management contacts was made.
After 6 months, 87% (27/31) had attended at least one dental appointment, with a
13% no-show rate; 8 completed treatment, 4 had ongoing treatment, 12 had
interrupted care, and 3 were lost to follow-up. Adults with SMI experienced high
unmet dental needs; nursing case management strategies aided clients to initiate
and complete dental care.
PMID- 29370562
TI - "This is not a Life Anyone would want"-A Qualitative Study of Norwegian ACT
Service users' Experience with Mental Health Treatment.
AB - We discuss Norwegian service users' experiences with community mental health
treatment in general, and the interprofessional Assertive Community Treatment
(ACT) model in particular. To gain the right to treatment, service users have to
accept certain limitations, such as medication and community treatment orders
(CTOs). Seventy participants responded to five open-ended questions. In addition,
eight of them participated in either focus group or interviews. A collaborative
approach, using Stepwise-Deductive Induction (SDI) method was used to analyze the
participants' experiences. The results showed that the treatment contributes to
an experience of autonomy but also one of restriction. It provides service users
with enhanced normalcy, but simultaneously a feeling of deviance. There needs to
be an ongoing reflection and discussion about those paradoxes in treatment, and
service users have to be involved.
PMID- 29370563
TI - Depression, Quality of Life, Physical Activity, and the Impact of Drugs on Sexual
Activity in a Population-Based Sample, Ages 20-59 Years.
AB - Sexual quality of life is important for many individuals; therefore, this study
examined the frequency of depression in a younger and middle-aged population
based sample, and the impact on physical activity, quality of life, and sexual
activity, and the role of antidepressant and cardiac drugs on sexual function.
The sample, ages 20 to 59 years, completed relevant items for depression, sexual
activity, physical activity, quality of life, and drugs. Data were analyzed using
descriptive statistics, Pearson correlations, t-tests, and analysis of variance.
Those sexually active had lower mean depression scores and higher ratings of
quality of life, while those sexually inactive had greater depression and took
more drugs. Thorough assessment of drugs, depression, cardiac and other medical
conditions, and interest and engagement in sexual activity should be routinely
assessed in all patients, regardless of age.
PMID- 29370564
TI - Epidemic Retinitis.
AB - PURPOSE: To study demography, seasonal variation, clinical presentation, and
treatment outcome of "retinitis post febrile illness." (RpFI) Method: Case
records of patients diagnosed as RpFI, from July 2009 to May 2017 were studied
retrospectively. Patients with complete follow up were evaluated for treatment
and visual outcomes. RESULTS: Two hundred and five eyes of 119 patients were
studied. The peak incidence of RpFI was from November to March each year.
Diagnosis of fever largely remained unknown. Chikungunya IgM, Dengue IgM, and
Weil-Felix test was positive in 22.22%, 15.38%, and 39.75%, respectively, in
investigated cases. The mean visual acuity at presentation and at resolution was
20/63 and 20/32, respectively, in 122 eyes with complete follow up. CONCLUSION:
We suggest a term "Epidemic Retinitis" for RpFI due to its seasonal variation and
to differentiate it from other sporadic forms of retinitis. Although RpFI has
aggressive presentation, it resolves over 3-4 months and the overall visual
outcome is satisfactory.
PMID- 29370565
TI - Clinical Profile of Scleritis in Children.
AB - PURPOSE: To describe the clinical pattern of scleritis in patients <=16 years of
age at a tertiary care eye hospital in India. METHODS: The medical records of 24
eyes of 20 children with scleritis were included in this retrospective study.
Collected data included age, subsets of uveitis, best corrected visual acuities
(BCVA), detailed laboratory investigations and treatment. RESULTS: Mean age at
presentation was 12.2 +/- 2.5 years. Scleritis was unilateral in 80% patients and
an equal gender distribution was observed. Posterior scleritis (41.7%) was the
most common subtypes of scleritis and nodular scleritis was the most common type
of anterior scleritis (33.3%). Necrotizing scleritis was seen in 16.7% eyes.
Tuberculosis was the only systemic association and found in three (15%) patients.
CONCLUSIONS: Clinical profile of scleritis in children can be different from that
of adults.
PMID- 29370566
TI - Total Corneal Melt in Patient with Porphyria Cutanea Tarda in Presence of another
Risk Factor.
AB - PURPOSE: To report a case of two siblings affected by porphyria cutanea tarda
(PCT) presenting with varying severity of ocular disease and evaluation of
difference in the presence of risk factors. METHODS: Case report Result: A 42
year-old Indian male diagnosed with PCT and history of daily intake of alcohol,
presented with sudden decrease in vision of right eye. Examination showed
perforated cornea surrounded by rim of 360-degree avascular necrotic sclera, with
no evidence of infection. Similar systemic history was present in his elder
brother. He did not consume alcohol and presented with mere localized scleral
thinning. Since the patient's sibling acted as a natural control for the
evaluation of alcohol as an independent risk factor, the difference in severity
of disease can be explained on the basis of difference in alcohol intake.
CONCLUSION: In the presence of progression of the disease, other risk factors
like intake of alcohol should be evaluated.
PMID- 29370567
TI - Complications in Intermediate Uveitis: Prevalence, Time of Onset, and Effects on
Vision in Short-Term and Long-Term Follow-Up.
AB - PURPOSE: To study the prevalence and time of onset of ocular complications in
intermediate uveitis (IU) and to assess their effects on visual outcome in short
term and long-term follow-up. METHODS: A retrospective cohort study of patients
with IU who had a minimal follow-up of one year. RESULTS: 96 patients (174 eyes,
70% females) were included with a mean age at presentation of 30 years. Children
constituted 38% of all patients. Mean follow- up was 64.9 months. Pars planitis
was the most common form followed by sarcoidosis and multiple sclerosis. Cystoid
macular edema (CME), cataract, epiretinal membrane and posterior synechiae were
the most prevalent complications. Posterior synechiae, band keratopathy, cataract
and papillitis at presentation were associated with worse presenting visual
acuity (VA). Of the late-onset complications, glaucoma/ocular hypertension (OHT)
was the most significantly associated with worse long-term VA. Most sight
threatening complications (namely CME and glaucoma) were diagnosed at
presentation while late complications predominantly affected the posterior
segment and included among others peripheral retinal elevations,
vasoproliferative tumors, and vitreous hemorrhage. Median LogMAR VA improved at
short-term and long-term follow-up, from 0.2 to 0.1 (p<0.001). Subgroup analysis
revealed significant VA improvement for eyes with all the different complications
except for eyes with glaucoma/OHT. CONCLUSION: IU is a chronic low-grade uveitis
that may be associated with protean early and late complications of the anterior
or posterior segments or both. Optimal treatment regimens are imperative for the
strict control of inflammation and proper management of complications thus
allowing a favorable long-term prognosis.
PMID- 29370568
TI - The Experiences of Older Adults with Dual Diagnosis in an Inner Melbourne
Community Mental Health Service.
AB - Less is known about the experiences of older adults (65+ years of age) with co
occurring mental health and alcohol and other drug use disorders (dual diagnosis)
than is known about the experiences of their younger counterparts. This
exploratory qualitative study sought to interview individuals receiving case
management from an inner Melbourne community mental health service to determine
their experiences of living with dual diagnosis and explore their interactions
with mental health and addiction treatment, and general medical services alike.
Six older adults with a dual mental health and substance disorder agreed to
participate in a semi-structured interview process and provided their
perspectives about living with complex mental illness and alcohol and other drug
use. Several key themes emerged throughout the interview process, mirroring the
notion of dual diagnosis being a complex phenomenon involving a number of
interrelated factors: these include medical complexity, poor service engagement
and long-term use of alcohol and other drugs. Interviews also demonstrate the
challenges inherent in providing care to this cohort, with the participants
frequently describing their experiences with services as being fraught with
difficulty. The increased understanding of the perspectives of older adults with
dual diagnosis provides the foundation for further research into this population
in addition to influencing future nursing care provided to this cohort.
PMID- 29370569
TI - Redactions in protocols for drug trials: what industry sponsors concealed.
AB - Objective To describe the redactions in contemporary protocols for industry
sponsored randomised drug trials with patient relevant outcomes and to evaluate
whether there was a legitimate rationale for the redactions. Design Cohort study.
Under the Freedom of Information Act, we requested access to trial protocols
approved by a research ethics committee in Denmark from October 2012 to March
2013. We received 17 consecutive protocols, which had been redacted before we got
them, and nine protocols without redactions. In five additional cases, the
companies refused to let the committees give us access, and in three other cases,
documents were missing. Participants Not applicable. Setting Not applicable. Main
outcome measure Amount and nature of redactions in 22 predefined key protocol
variables. Results The redactions were most widespread in those sections of the
protocol where there is empirical evidence of substantial problems with the
trustworthiness of published drug trials: data analysis, handling of missing
data, detection and analysis of adverse events, definition of the outcomes,
interim analyses and premature termination of the study, sponsor's access to
incoming data while the study is running, ownership to the data and
investigators' publication rights. The parts of the text that were redacted
differed widely, both between companies and within the same company. Conclusions
We could not identify any legitimate rationale for the redactions. The current
mistrust in industry-sponsored drug trials can only change if the industry offers
unconditional access to its trial protocols and other relevant documents and
data.
PMID- 29370571
TI - An Intraocular Inflammatory Profile of Rubella Associated Uveitis.
AB - PURPOSE: To analyze intraocular cytokine levels and cell profiles in patients
with rubella virus-associated uveitis (RVU). METHODS: We collected intraocular
fluid samples from patients with RVU (n = 10), uveitis of other causes (n = 27),
and cataract (n = 22). Levels of 15 cytokines (IL-1beta, IL-1ra, IL-2, IL-6, IL
6ralpha, IL-7, IL-8, IL-10, IL-17A, IL-23, TARC, MCP-1, TNF-alpha, PlGF, and
VEGF) were measured using multiplex assay, and intraocular cell populations were
determined by multiparameter flowcytometry. Clinical characteristics of RVU
patients were collected and compared to laboratory outcomes. RESULTS: RVU
patients exhibited high intraocular levels of MCP-1, IL-6ralpha, and TARC, whilst
patients with noninfectious uveitis were characterized by high levels of PlGF.
Cataract patients showed high levels of IL-2 and IL-23. Intraocular cell
population of RVU patients disclosed mainly T-cells and monocytes/macrophages and
B-cells were scarcely detected. CONCLUSION: RVU patients exhibit a cytokine
profile distinct from noninfectious uveitis and cataract.
PMID- 29370570
TI - PIK3R3 promotes chemotherapeutic sensitivity of colorectal cancer through
PIK3R3/NF-kB/TP pathway.
AB - Phosphoinositide-3-kinase regulatory subunit 3(PIK3R3) is overexpressed in
different types of human cancer. We previously reported the important role of
PIK3R3 in colorectal cancer (CRC). However, the prognosis effect of PIK3R3 in CRC
is still remaining unclear. In this study, we explored online clinical databases
to analyze the prognosis differences between higher and lower expression of
PIK3R3 in CRC patients. Interestingly, we found that better disease-free survival
(DFS) were occurred in patients with higher expression of PIK3R3, but there is no
significant difference in overall survival (OS). For further, we showed that
PIK3R3 could enhance 5-FU induced apoptosis by regulating the expression of
thymmidine phosphorylase (TP). In conclusion, PIK3R3 could be considered as a
predictor of 5-FU sensitivity for personalized treatment, and a therapeutic
target for colorectal cancer.
PMID- 29370572
TI - Use of Gevokizumab in Patients with Behcet's Disease Uveitis: An International,
Randomized, Double-Masked, Placebo-Controlled Study and Open-Label Extension
Study.
AB - PURPOSE: The purpose of this article is to demonstrate the superiority of
gevokizumab as compared to placebo, on top of current standard of care, in
reducing the risk of Behcet's disease uveitis (BDU) exacerbations. METHODS:
Randomized, double-masked, placebo-controlled, parallel group, event-driven trial
in BDU patients having recently experienced an ocular exacerbation, subsequently
undergoing a tapering procedure from high-dose corticosteroids and receiving 60
mg gevokizumab or placebo every 4 weeks subcutaneously (EYEGUARD B
ClinicalTrials.gov NCT 01965145). RESULTS: A total of 83 patients (40
gevokizumab, 43 placebo) were included. Gevokizumab did not significantly affect
the risk of occurrence of ocular exacerbations. However, data suggested that
gevokizumab could preserve visual acuity, reduce the uveitis severity, decrease
the emergence of macular edema, and have a corticosteroid sparing effect.
Gevokizumab was well tolerated. CONCLUSIONS: While the primary efficacy endpoint
was not met with gevokizumab, the control of IL-1beta pathway in patients with
BDU may still be a relevant target.
PMID- 29370573
TI - A crosstalk between extracellular ATP and jasmonate signaling pathways for plant
defense.
AB - Damage-associated molecular patterns (DAMPs), such as extracellular ATP, act as
danger signals in response to biotic and abiotic stresses. Extracellular ATP is
perceived by a plant purinoceptor, P2 receptor kinase 1 (P2K1), inducing
downstream signaling for defense responses. How ATP induces these defense
responses has not been well studied. A recent study by Tripathi et al. (Plant
Physiology, 176: 511-523, 2018) revealed a synergistic interaction between
extracellular ATP and jasmonate (JA) signaling during plant defense responses.
This signaling crosstalk requires the formation of secondary messengers, i.e.,
cytosolic calcium, reactive oxygen species, and nitric oxide. This finding has
given a new direction towards understanding the defense signals activated by
DAMPs. In this addendum, we discuss possible insights into how extracellular ATP
signaling interacts with the JA signaling pathway for plant defense responses.
PMID- 29370574
TI - The protective effect of ticagrelor on renal function in a mouse model of sepsis
induced acute kidney injury.
AB - Platelets are traditionally considered to be essential components of primary
hemostasis. Recent investigations have revealed that platelets can be activated
in patients with sepsis and are implicated in the development of sepsis and
sepsis-induced-acute kidney injury (SAKI). In the present study, ticagrelor was
used to induce a mouse model of SAKI by cecal ligation and puncture. It was found
that ticagrelor could inhibit platelet activity, decrease the levels of
interleukin-1beta and serum creatinine, reduce infiltration of neutrophils in
renal tissue, and attenuate cell apoptosis in the kidney. The results suggested
that ticagrelor could protect renal function by inhibiting inflammation,
recruitment of neutrophils into the kidney, and cell apoptosis in renal tissue.
Thus, the findings might provide new strategies for preventing SAKI.
PMID- 29370575
TI - Nanoparticle concentrations and composition in a dental office and dental
laboratory: A pilot study on the influence of working procedures.
AB - During material treatment in dentistry particles of different size are released
in the air. To examine the degree of particle exposure, air scanning to dental
employees was performed by the Scanning Mobility Particle Sizer. The size, shape
and chemical composition of particles collected with a low-pressure impactor were
determined by scanning electronic microscopy and X-ray dispersive analysis. The
average concentrations of nanoparticles during working periods in a clean dental
laboratory (45,000-56,000 particles/cm3), in an unclean dental laboratory (28,000
74,000 particles/cm3), and in a dental office (21,000-50,000 particles/cm3), were
significantly higher compared to average concentrations during nonworking periods
in the clean dental laboratory (11,000-24,000 particles/cm3), unclean laboratory
(14,000-40,000 particles/cm3), and dental office (13,000-26,000 particles/cm3).
Peak concentration of nanoparticles in work-intensive periods were found
significantly higher (up to 773,000 particles/cm3), compared to the non-working
periods (147,000 particles/cm3) and work-less intensive periods (365,000
particles/cm3). The highest mass concentration value ranged from 0.055-0.166
mg/m3. X-ray dispersive analysis confirmed the presence of carbon, potassium,
oxygen, iron, aluminum, zinc, silicon, and phosphorus as integral elements of
dental restorative materials in form of nanoparticle clusters, all smaller than
100 nm. We concluded that dental employees are exposed to nanoparticles in their
working environment and are therefore potentially at risk for certain respiratory
and systematic diseases.
PMID- 29370576
TI - Circumscription and phylogeny of the Lepidostromatales (lichenized Basidiomycota)
following discovery of new species from China and Africa.
AB - Based on an exhaustive sampling of all known Lepidostromatales, a lineage of
clavarioid lichen-forming basidiomycetes, we assess (i) the phylogenetic
affinities of the six Chinese species currently accommodated in Multiclavula
(Cantharellales) based on inferences from the 18S and 28S subunits of the nuclear
ribosomal DNA repeat and (ii) the phylogenetic structure among Chinese
populations of Lepidostromatales, based on the nuc rDNA ITS1-5.8S-ITS2 (internal
transcribed spacer [ITS]) regions. Multiclavula fossicola and M. sinensis belong
to the Lepidostromatales and are transferred to Sulzbacheromyces. Chinese reports
of M. clara and M. vernalis belong to species of Lepidostromatales, and specimens
identified as M. mucida belong to the nonlichenized genus Clavaria. Hence,
evidence of Multiclavula occurring in China is lacking. Similarly, L. calocerum
is excluded from the Chinese flora. The recently described L. asianum should be
regarded as conspecific with S. sinensis. Three new species of Sulzbacheromyces
are described: S. bicolor and S. yunnanensis from China and S. miomboensis from
the Democratic Republic of Congo. Consequently, Sulzbacheromyces is new to Asia
and Africa. A worldwide key to the species of Lepidostromatales is provided.
PMID- 29370578
TI - Microbial hazards during harvesting and processing at an outdoor United States
cannabis farm.
AB - Cannabis cultivation is an emerging industry within the United States. Organic
dust derived in part from naturally occurring microorganisms is known to cause
byssinosis in the hemp industry. In this pilot study, bacteria and fungi
encountered by workers at an outdoor cannabis farm that utilized organic
practices were elucidated by 16 S ribosomal RNA (rRNA) and Internal Transcribed
Spacer (ITS) region sequencing, respectively. Area (n = 14) and personal air
samples (n = 12) were collected during harvesting and processing activities. 16 S
rRNA and ITS regions of extracted bacterial and fungal genomic DNA were amplified
and sequenced using Sanger sequencing. Bacterial sequencing resolved 1,077
sequences that were clustered into 639 operational taxonomic units (OTUs) and
predominantly placed in the phylum, Actinobacteria (46%). Personal air samples
revealed higher bacterial and Actinobacteria diversity compared to outdoor area
samples collected within the facility (p < 0.05). A high degree of dissimilarity
between bacteria was identified within and between samples. Fungal sequences (n =
985) were identified and predominantly clustered in the phylum Ascomycota (53%).
Of the 216 fungal OTUs elucidated, the cannabis plant pathogenic species,
Botrytis cinerea, was the most prevalent and accounted for 34% of all fungal
sequences. The relative abundance of B. cinerea was highest in personal air
samples (59%) compared to area samples collected in the drying room (19%),
greenhouse (18%), and outdoor environment (6%). There was 49% sample similarity
between fungi identified within personal air samples, but higher dissimilarity
coefficients were observed within and between greenhouse, drying room, and
outdoor area air samples. The results of this pilot study suggest that the
cannabis farm workers are potentially exposed to Actinobacteria as well as the
cannabis plant pathogen, B. cinerea during harvesting, bud-stripping, and hand
trimming processes.
PMID- 29370577
TI - Maternal-fetal transmission of the zika virus: An intriguing interplay.
AB - In this review, we give an overview of aspects related to the congenital
transmission of the Zika virus (ZIKV). Although we acknowledge that important
advances in research on ZIKV pathogenesis have come from studies using animal
models, particularly non-human primates, this review emphasizes studies using ex
vivo human cells and tissues as well as natural infections in pregnant women. The
possible routes used by ZIKV to cross or breach the placental barrier and infect
the fetal central nervous system are presented. Understanding the viral infection
biology and ZIKV pathogenesis during pregnancy may guide the design of affordable
antiviral strategies to benefit pregnant women in areas at risk.
PMID- 29370580
TI - Trial of Short-Course Antimicrobial Therapy for Intraabdominal Infection.
PMID- 29370579
TI - Epichloe hybrida, sp. nov., an emerging model system for investigating fungal
allopolyploidy.
AB - Endophytes of the genus Epichloe (Clavicipitaceae, Ascomycota) frequently occur
within cool-season grasses and form interactions with their hosts that range from
mutualistic to antagonistic. Many Epichloe species have arisen via interspecific
hybridization, resulting in species with two or three subgenomes that retain all
or nearly all of their original parental genomes, a process termed
allopolyploidization. Here, we characterize Epichloe hybrida, sp. nov., a
mutualistic species that has increasingly become a model system for investigating
allopolyploidy in fungi. The Epichloe species so far identified as the closest
known relatives of the two progenitors of E. hybrida are E. festucae var. lolii
and E. typhina. We confirm that the nuclear genome of E. hybrida contains two
homeologs of most protein-coding genes from E. festucae and E. typhina, with
genome-wide gene expression analysis indicating a slight bias in overall gene
expression from the E. typhina subgenome. Mitochondrial DNA is detectable only
from E. festucae, whereas ribosomal DNA is detectable only from E. typhina.
Inheriting ribosomal DNA from just one parent might be expected to preferentially
favor interactions with ribosomal proteins from the same parent, but we find that
ribosomal protein genes from both parental subgenomes are nearly all expressed
equally in E. hybrida. Finally, we provide a comprehensive set of resources for
this model system that are intended to facilitate further study of fungal
hybridization by other researchers.
PMID- 29370581
TI - The effects of two assistive technologies on reading comprehension accuracy and
rate.
AB - This study compared the effectiveness of two assistive technologies to
accommodate the word reading skills of four middle school students with reading
learning disabilities. Kurzweil 3000 is a continuous text-to-speech (TTS)
computer software program that allows students to follow along on a computer
monitor while passages are read aloud. A reading pen is a discontinuous TTS
assistive technology (AT) device that allows students to scan and hear selected
words read aloud. An adapted alternating treatments design was implemented to
compare the effects of listening-while-reading using continuous TTS AT,
discontinuous TTS AT, and silently reading without accommodation on reading
comprehension accuracy and rate. Results indicate that in three of the four
participants, continuous TTS technology led to the greatest improvements in both
comprehension accuracy and rate when compared to silent reading with effect sizes
reaching 0.70 and 0.99, respectively. The fourth participant demonstrated the
highest comprehension accuracy and rate in the discontinuous TTS condition. The
discontinuous TTS condition led to the lowest comprehension rates across all four
students. Additionally, participants generally found the continuous TTS AT to be
the more acceptable of the two accommodations. Discussion focuses on possible
theoretical explanations for the results and implications for future research.
PMID- 29370582
TI - First report of the post-fire morel Morchella exuberans in eastern North America.
AB - Reports of true morels (Morchella) fruiting on conifer burn sites are common in
western North America where five different fire-adapted species of black morels
(Elata Clade) have been documented based on multilocus phylogenetic analyses.
Fruiting of post-fire morels in eastern North America, by comparison, are rare
and limited to a report from Minnesota in 1977 and eastern Ontario in 1991. Here,
nuc rDNA internal transcribed spacer (ITS1-5.8S-ITS2 = ITS) sequences were used
to identify the post-fire morel that fruited in great abundance the year
following the 2012 Duck Lake Fire in the Upper Peninsula of Michigan and after
the 2016 large-scale fire in the Great Smoky Mountains National Park in Tennessee
as M. exuberans. A preliminary phylogenetic analysis suggests that the
collections from eastern North America may be more closely related to those from
Europe than from western North America, Europe, and China.
PMID- 29370583
TI - Immune gene expression and response to chemotherapy in advanced breast cancer.
AB - BACKGROUND: Transcriptomic profiles have shown promise as predictors of response
to neoadjuvant chemotherapy in breast cancer (BC). This study aimed to explore
their predictive value in the advanced BC (ABC) setting. METHODS: In a Phase 3
trial of first-line chemotherapy in ABC, a fine needle aspiration biopsy (FNAB)
was obtained at baseline. Intrinsic molecular subtypes and gene modules related
to immune response, proliferation, oestrogen receptor (ER) signalling and
recurring genetic alterations were analysed for association with objective
response to chemotherapy. Gene-set enrichment analysis (GSEA) of responders vs
non-responders was performed independently. Lymphocytes were enumerated in FNAB
smears and the absolute abundance of immune cell types was calculated using the
Microenvironment Cell Populations counter method. RESULTS: Gene expression data
were available for 109 patients. Objective response to chemotherapy was
statistically significantly associated with an immune module score (odds ratio
(OR)=1.62; 95% confidence interval (CI), 1.03-2.64; P=0.04). Subgroup analysis
showed that this association was restricted to patients with ER-positive or
luminal tumours (OR=3.54; 95%, 1.43-10.86; P=0.012 and P for interaction=0.04).
Gene-set enrichment analysis confirmed that in these subgroups, immune-related
gene sets were enriched in responders. CONCLUSIONS: Immune-related
transcriptional signatures may predict response to chemotherapy in ER-positive
and luminal ABC.
PMID- 29370584
TI - The size, morphology, site, and access score predicts critical outcomes of
endoscopic mucosal resection in the colon.
AB - BACKGROUND: The SMSA (size, morphology, site, access) polyp scoring system is a
method of stratifying the difficulty of polypectomy through assessment of four
domains. The aim of this study was to evaluate the ability of SMSA to predict
critical outcomes of endoscopic mucosal resection (EMR). METHODS: We
retrospectively applied SMSA to a prospectively collected multicenter database of
large colonic laterally spreading lesions (LSLs) >= 20 mm referred for EMR.
Standard inject-and-resect EMR procedures were performed. The primary end points
were correlation of SMSA level with technical success, adverse events, and
endoscopic recurrence. RESULTS: 2675 lesions in 2675 patients (52.6 % male)
underwent EMR. Failed single-session EMR occurred in 124 LSLs (4.6 %) and was
predicted by the SMSA score (P < 0.001). Intraprocedural and clinically
significant postendoscopic bleeding was significantly less common for SMSA 2 LSLs
(odds ratio [OR] 0.36, P < 0.001 and OR 0.23, P < 0.01) and SMSA 3 LSLs (OR 0.41,
P < 0.001 and OR 0.60, P = 0.05) compared with SMSA 4 lesions. Similarly,
endoscopic recurrence at first surveillance was less likely among SMSA 2 (OR
0.19, P < 0.001) and SMSA 3 (OR 0.33, P < 0.001) lesions compared with SMSA 4
lesions. This also extended to second surveillance among SMSA 4 LSLs. CONCLUSION:
SMSA is a simple, readily applicable, clinical score that identifies a subgroup
of patients who are at increased risk of failed EMR, adverse events, and adenoma
recurrence at surveillance colonoscopy. This information may be useful for
improving informed consent, planning endoscopy lists, and developing quality
control measures for practitioners of EMR, with potential implications for EMR
benchmarking and training.
PMID- 29370585
TI - Anti-glioma Efficacy and Mechanism of Action of Tripolinolate A from Tripolium
pannonicum.
AB - Tripolinolate A as a new bioactive phenolic ester was previously isolated from a
halophyte of Tripolium pannonicum. However, the in vitro and in vivo anti-glioma
effects and mechanism of tripolinolate A have not been investigated. This study
has demonstrated that (1) tripolinolate A inhibited the proliferation of
different glioma cells with IC50 values of 7.97 to 14.02 uM and had a significant
inhibitory effect on the glioma growth in U87MG xenograft nude mice, (2)
tripolinolate A induced apoptosis in glioma cells by downregulating the
expressions of antiapoptotic proteins and arrested glioma cell cycle at the G2/M
phase by reducing the expression levels of cell cycle regulators, and (3)
tripolinolate A also remarkably reduced the expression levels of several glioma
metabolic enzymes and transcription factors. All data together suggested that
tripolinolate A had significant in vitro and in vivo anti-glioma effects and the
regulation of multiple tumor-related regulators and transcription factors might
be responsible for the activities of tripolinolate A against glioma.
PMID- 29370586
TI - [Vertebral Body Height after Balloon Kyphoplasty vs. Radiofrequency Kyphoplasty].
AB - BACKGROUND: Kyphoplasty is used to alleviate pain and to restore the initial
height of osteoporotic vertebral fractures (OVF). One of the most recent
procedures is radiofrequency-targeted vertebral augmentation (RFTVA). We
investigated whether restoration with this method is similar and as adequate as
with the established procedure of balloon kyphoplasty (BKP), as assessed by the
anatomical height of the vertebral body. The aim of our study was to compare the
intravertebral angles (base-endplate) post- and preoperatively with these two
procedures. PATIENTS AND METHODS: The base and endplate angles were measured on
142 vertebral bodies treated by kyphoplasty (67 BKP and 75 RFTVA), on the basis
of pre- and postoperative X-rays in the upright position in 87 volunteers (46 BKP
and 41 RFTVA). The main object was to detect the degree of correction (Deltapost
preop) with BKP compared to RFTVA. Furthermore, the sagittal alignment of the
adjacent heathy levels were measured. RESULTS: Significant correction was
detected with both BKP (BKPpre: 11.5 +/- 6.0 degrees , BKPpost: 6.2 +/- 4.6
degrees , p < 0.001) and RFTVA (RFTVApre: 9.9 +/- 6.2 degrees , RFTVApost: 6.3 +/
4.4 degrees , p < 0.001). Potential correction was greater with BKP than with
RFTVA (Deltapost-pre BKP: - 5.3 +/- 4.4 degrees , Deltapost-pre RFK: - 3.6 +/-
4.4 degrees , p = 0.03). Neither procedures gave a significant change in the
sagittal angle in the adjacent segment (segment BKPpre: 13.8 +/- 8.0 degrees ,
Segment BKPpost: 12.5 +/- 9,2 degrees , p = 0.638; Segment RFTVApre: 18,8 +/-
14,3 degrees , Segment RFTVApost: 15.0 +/- 13.2 degrees , p = 0.330). CONCLUSION:
BKP gave significantly better correction, even though both methods were able to
restore significant improvement in the kyphotic angle. In the adjacent levels,
correction of the sagittal angle was not significant, although the influence of
the intervention on alignment tended to be less.
PMID- 29370587
TI - [The impact of the androgen receptor splice variant AR-V7 on the prognosis and
treatment of advanced prostate cancer].
AB - A recently discovered mechanism enabling prostate cancer cells to escape the
effects of endocrine therapies consists in the synthesis of C-terminally
truncated, constitutively active androgen receptor (AR) splice variants (AR-V).
Devoid of a functional C-terminal hormone/ligand binding domain, various AR-Vs
are insensitive to therapies targeting the androgen/AR signalling axis.
Preliminary studies suggest that AR-V7, the most common AR-V, is a promising
predictive tumour marker and a relevant selection marker for the treatment of
advanced prostate cancer. This review critically outlines recent advances in AR
V7 diagnostics and presents an overview of current AR-V7 targeted therapies.
PMID- 29370589
TI - Optimal management of radial artery grafts in CABG: Patient and target vessel
selection and anti-spasm therapy.
AB - The current literature on radial artery grafting is reviewed focusing on the
optimal deployment of radial artery grafts in coronary artery bypass surgery with
specific attention to the selection of patients and target vessels for radial
artery grafting.
PMID- 29370588
TI - Asymptomatic non-occlusive thrombosis of the aortic root.
PMID- 29370590
TI - HSR Begins a New Era.
PMID- 29370593
TI - Re: AlliumTM TPS-A New Prostatic Stent for the Treatment of Patients with Benign
Prostatic Obstruction: The First Report.
PMID- 29370592
TI - Re: Hypovitaminosis D is Associated with Lower Urinary Tract Symptoms and Benign
Prostate Hyperplasia in Type 2 Diabetes.
PMID- 29370591
TI - Trends in Gallbladder Cancer Incidence and Survival in Korea.
AB - PURPOSE: The current study was undertaken to examine the trend in gallbladder
cancer (GBC) incidence and survival in Korea. Materials and Methods: GBC
incidence data by histologic typewere obtained from the Korea Central Cancer
Registry. Age-standardized incidence rates were calculated using the mid-year
Korean population of 2000 as a standard population, and a joinpoint regression
model was used to calculate the annual percent change (APC) in incidence rates.
Incidence by Surveillance, Epidemiology and End results (SEER) summary stage and
by geographical areas and female-to-male incidence rate ratios was also
described. RESULTS: The number of new GBC cases increased between 1999 and 2013.
Nevertheless, the agestandardized incidence rate decreased by 0.5% per year in
men (p < 0.01), whereas the incidence rate in women did not change significantly
over the same period (APC, -0.2; p=0.6). The most common histologic type was
adenocarcinoma in both sexes. Based on the SEER stage, the distant stage was the
most frequent stage (41%), followed by the regional stage (37%). Ulsan
(4.31/100,000 for men and 4.09/100,000 forwomen in 2009- 2013) and Gyeongsangnam
do (4.15/100,000 for men and 3.54/100,000 for women) showed the highest GBC
incidence, whereas the lowest incidence was observed in Seoul and Gyeonggi-do.
There were no significant sex differences in the incidence of GBC (femaleto-male
incidence rate ratio, 0.96). CONCLUSION: The overall incidence of GBC in Korea
did not change significantly over the 15-year period. Incidence for men and women
was similar. However, geographical variation was found.
PMID- 29370594
TI - Re: Bee Venom Suppresses Testosterone-Induced Benign Prostatic Hyperplasia by
Regulating the Inflammatory Response and Apoptosis.
PMID- 29370595
TI - Re: Public Perceptions of Overlapping Surgery.
PMID- 29370596
TI - Re: Operating Room Fires and Surgical Skin Preparation.
PMID- 29370597
TI - Re: Sex on the Brain! Associations between Sexual Activity and Cognitive Function
in Older Age.
PMID- 29370598
TI - Re: The Use of Portable Video Media vs Standard Verbal Communication in the
Urological Consent Process: A Multicentre, Randomised Controlled, Crossover
Trial.
PMID- 29370599
TI - Re: Sexual Health and Positive Subjective Well-Being in Partnered Older Men and
Women.
PMID- 29370600
TI - Re: Prevalence of Positive Screening Test for Cognitive Impairment among Elderly
Urogynecologic Patients.
PMID- 29370601
TI - Re: Frailty and Post-Operative Outcomes in Older Surgical Patients: A Systematic
Review.
PMID- 29370602
TI - Re: Anesthesia Exposure and Risk of Dementia and Alzheimer's Disease: A
Prospective Study.
PMID- 29370603
TI - Re: Complications and Quality of Life in Elderly Patients with Several
Comorbidities Undergoing Cutaneous Ureterostomy with Single Stoma or Ileal
Conduit after Radical Cystectomy.
PMID- 29370604
TI - Re: Understanding the Use of Prostate Biopsy among Men with Limited Life
Expectancy in a Statewide Quality Improvement Collaborative.
PMID- 29370606
TI - Re: Bacterial Resistance in Urinary Tract Infections in Patients with Diabetes
Matched with Patients without Diabetes.
PMID- 29370605
TI - Re: Small (< 4 cm) Renal Tumors with Predominantly Low Signal Intensity on T2
Weighted Images: Differentiation of Minimal-Fat Angiomyolipoma from Renal Cell
Carcinoma.
PMID- 29370607
TI - Re: Prospective Randomized Trial Comparing Titanium Clips to Bipolar Coagulation
in Sealing Lymphatic Vessels during Pelvic Lymph Node Dissection at the Time of
Robot-Assisted Radical Prostatectomy.
PMID- 29370608
TI - Re: Safety and Early Oncologic Effectiveness of Primary Robotic Retroperitoneal
Lymph Node Dissection for Nonseminomatous Germ Cell Testicular Cancer.
PMID- 29370609
TI - Re: Sexual Function after Cervical Spine Surgery: Independent Predictors of
Functional Impairment.
PMID- 29370611
TI - Re: Female Sexual Dysfunction (FSD): Prevalence and Impact on Quality of Life
(QoL).
PMID- 29370610
TI - Re: Hypoactive Sexual Desire Dysfunction in Community-Dwelling Older Women.
PMID- 29370612
TI - Re: Relationship between Age and Erectile Dysfunction Diagnosis or Treatment
Using Real-World Observational Data in the USA.
PMID- 29370613
TI - Re: Hypoactive Sexual Desire Disorder: International Society for the Study of
Women's Sexual Health (ISSWSH) Expert Consensus Panel Review.
PMID- 29370614
TI - Re: Association between Treatment for Erectile Dysfunction and Death or
Cardiovascular Outcomes after Myocardial Infarction.
PMID- 29370615
TI - Re: Subclinical Vascular Disease and Subsequent Erectile Dysfunction: The
Multiethnic Study of Atherosclerosis (MESA).
PMID- 29370616
TI - Re: Men's Sexual Response to Female Partner's Intranasal Oxytocin Administration
for Hypoactive Sexual Desire Disorder: An Open Prospective Cohort Study.
PMID- 29370617
TI - Re: Validity of Premature Ejaculation Diagnostic Tool and its Association with
International Index of Erectile Function-15 in Chinese Men with Evidence-Based
Defined Premature Ejaculation.
PMID- 29370618
TI - Re: p-Values and Reproductive Health: What Can Clinical Researchers Learn from
the American Statistical Association?
PMID- 29370619
TI - Re: Combination of Mesenchymal Stem Cell Injection with Icariin for the Treatment
of Diabetes-Associated Erectile Dysfunction.
PMID- 29370620
TI - Re: Clinical Decision-Making in Azoospermic Men: In Search of the Ideal
Prediction Model.
PMID- 29370622
TI - Re: Analysis of Semen Parameters during 2 Weeks of Daily Ejaculation: A First in
Humans Study.
PMID- 29370623
TI - Re: Prediction Model for Live Birth in ICSI Using Testicular Extracted Sperm.
PMID- 29370621
TI - Re: Prediction Model for Obtaining Spermatozoa with Testicular Sperm Extraction
in Men with Non-Obstructive Azoospermia.
PMID- 29370624
TI - Re: Moderate Aerobic Exercise Training for Improving Reproductive Function in
Infertile Patients: A Randomized Controlled Trial.
PMID- 29370625
TI - Re: Men's Knowledge of Their Own Fertility: A Population-Based Survey Examining
the Awareness of Factors that are Associated with Male Infertility.
PMID- 29370626
TI - Re: Associations between Physical Activity and Semen Quality in Young Healthy
Men.
PMID- 29370627
TI - Re: Zika Virus Causes Testis Damage and Leads to Male Infertility in Mice.
PMID- 29370628
TI - Re: Novel Centrifugal Technology for Measuring Sperm Concentration in the Home.
PMID- 29370629
TI - Re: Comparison of Diagnostic Performance of CT and MRI for Abdominal Staging of
Pediatric Renal Tumors: A Report from the Children's Oncology Group.
PMID- 29370630
TI - "Targeted Top Down" Approach for the Investigation of UTI: A 10-Year Follow-up
Study in a Cohort of 1000 Children.
PMID- 29370631
TI - Re: Low Prevalence of Latex Allergy in Children with Spinal Dysraphism in Non
Latex-Free Environment.
PMID- 29370632
TI - Re: Early Steroid Withdrawal Results in Improved Patient and Graft Survival and
Lower Risk of Post-Transplant Cardiovascular Risk Profiles: A Single-Center 10
Year Experience.
PMID- 29370633
TI - Re: A Qualitative Exploration of the Experiences of Children with Spina Bifida
and Their Parents around Incontinence and Social Participation.
PMID- 29370634
TI - Re: Are Octogenarians with End-Stage Renal Disease Candidates for Renal
Transplantation?
PMID- 29370635
TI - Re: Risk of Renal Cell Carcinoma among Kidney Transplant Recipients in the United
States.
PMID- 29370637
TI - Re: National Health Spending: Faster Growth in 2015 as Coverage Expands and
Utilization Increases.
PMID- 29370636
TI - Re: At Federally Funded Health Centers, Medicaid Expansion was Associated with
Improved Quality of Care.
PMID- 29370638
TI - Re: The Selection of Procedures in One-Stage Urethroplasty for Treatment of
Coexisting Urethral Strictures in Anterior and Posterior Urethra.
PMID- 29370639
TI - Re: Low-Volume vs High-Volume Centers and Management of Fournier's Gangrene in
Washington State.
PMID- 29370640
TI - Re: Outcomes for Management of Lichen Sclerosus Urethral Strictures by 3
Different Techniques.
PMID- 29370641
TI - Re: Stone Former Urine Proteome Demonstrates a Cationic Shift in Protein
Distribution Compared to Normal.
PMID- 29370642
TI - Re: Total Phallic Reconstruction Using the Radial Artery Based Forearm Free Flap
after Traumatic Penile Amputation.
PMID- 29370643
TI - Re: Oxalate Content of Taro Leaves Grown in Central Vietnam.
PMID- 29370644
TI - Re: Multiple Sclerosis and Nephrolithiasis: A Matched-Case Comparative Study.
PMID- 29370645
TI - Re: Use of Polymer Conjugates for the Intraperoxisomal Delivery of Engineered
Humanalanine:Glyoxylate Aminotransferase as a Protein Therapy for Primary
Hyperoxaluria Type I.
PMID- 29370646
TI - Re: Mortality, Morbidity and Healthcare Expenditures after Local Tumour Ablation
or Partial Nephrectomy for T1A Kidney Cancer.
PMID- 29370647
TI - Re: Findings and Impact of Early Imaging after Partial Nephrectomy.
PMID- 29370648
TI - Re: Genital Human Papillomavirus Infection Progression to External Genital
Lesions: The HIM Study.
PMID- 29370649
TI - Re: Papillary Recurrence of Bladder Cancer at First Evaluation after Induction
Bacillus Calmette-Guerin Therapy: Implication for Clinical Trial Design.
PMID- 29370650
TI - Re: Padeliporfin Vascular-Targeted Photodynamic Therapy versus Active
Surveillance in Men with Low-Risk Prostate Cancer (CLIN1001 PCM301): An Open
Label, Phase 3, Randomised Controlled Trial.
PMID- 29370651
TI - Re: Change in Salvage Radiotherapy Management Based on Guidance with FACBC
(Fluciclovine) PET/CT in Postprostatectomy Recurrent Prostate Cancer.
PMID- 29370652
TI - Re: Paclitaxel, Ifosfamide, and Cisplatin Efficacy for First-Line Treatment of
Patients with Intermediate- or Poor-Risk Germ Cell Tumors.
PMID- 29370653
TI - Re: The Genomic Landscape of Renal Oncocytoma Identifies a Metabolic Barrier to
Tumorigenesis.
PMID- 29370654
TI - Re: Mg(II)-Catechin Nanoparticles Delivering siRNA Targeting EIF5A2 Inhibit
Bladder Cancer Cell Growth In Vitro and In Vivo.
PMID- 29370655
TI - Re: SOX2 Promotes Lineage Plasticity and Antiandrogen Resistance in TP53- and RB1
Deficient Prostate Cancer.
PMID- 29370656
TI - Re: Sacral Neuromodulation: An Effective Treatment for Lower Urinary Tract
Symptoms in Multiple Sclerosis.
PMID- 29370657
TI - Re: Symptom Improvement after Prolapse and Incontinence Graft Removal in a Case
Series of 306 Patients.
PMID- 29370658
TI - Re: Indoor Cold Exposure and Nocturia: A Cross-Sectional Analysis of the HEIJO
KYO Study.
PMID- 29370659
TI - Re: Influence of Voluntary Pelvic Floor Muscle Contraction and Pelvic Floor
Muscle Training on Urethral Closure Pressures: A Systematic Literature Review.
PMID- 29370660
TI - [The Predictive Factors of Stent Failure in the Treatment of Malignant Extrinsc
Ureteral Obstruction Using Internal Ureteral Stents].
AB - In this study, we retrospectively reviewed the experiences at our single
institute in the treatment of malignant extrinsic ureteral obstruction (MUO)
using ureteral stents to investigate the clinical outcomes and the predictive
factors of stent failure. In 52 ureters of 38 patients who had radiologically
significant hydronephrosis due to MUO, internal ureteral stents (The BARD(R)
INLAY(TM) ureteral stent set) were inserted. The median follow-up interval after
the initial stent insertion was 124.5 days (4-1,120). Stent failure occurred in 8
ureters (15.4%) of the 7 patients. The median interval from the first stent
insertion to stent failure was 88 days (1-468). A Cox regression multivariate
analysis showed that the significant predictors of stent failure were bladder
invasion. Based on the possibility of stent failure, the adaptation of the
internal ureteral stent placement should be considered especially in a patient
with MUO combined with bladder invasion.
PMID- 29370661
TI - [The Study of Surgical Outcome for Robotic-Assisted Laparoscopic Radical
Prostatectomy : Experience of a Single Surgeon with 70 Initial Cases].
AB - We investigated the surgical outcome for robotic-assisted laparoscopic radical
prostatectomy (RALP) withinitial 70 prostate cancer cases performed by a single
surgeon between June 2014 and May 2016. The surgeon had a previous experience of
more than 400 cases of laparoscopic radical prostatectomy (LRP). Comparative
study of the surgical outcome was made between the first 35 patients (group 1)
and the second 35 patients (group 2). The console time without lymph node
dissection significantly decreased from group 1 to 2 (193 min vs 158 min,
p=0.002). Among specific 3 parts of the console operation (part 1 : until the
bladder neck transection, part 2 : until the prostate removal and part 3 :
urethrovesical anastomosis), duration of parts 1 and 2 similarly decreased witha
significant difference of 0. 001 and 0. 002, respectively. Continence recovery
rates 1 month after RALP were significantly higher in group 2 than in group 1
(group1 : 48.5% vs group 2 : 74.2%, p=0.02). Between groups 1 and 2, the positive
surgical margin rates of both pT2 and pT3 were similar (group 1 : 20. 8 and 50.
0%, group 2 : 17. 2 and 50. 0%, respectively). The perioperative 8 complications
(11.4%) were classified into Clavien-Dindo grades 1 and 2. Our surgical outcome
of initial 70 RALP cases was considered as comparable to that reported from the
high volume centers.
PMID- 29370662
TI - [Availability of Local Therapy to Castration-Resistant Prostate Cancer for M0
Patients with Initial Prostate Specific Antigen 100 ng/ml or Higher].
AB - Prostate cancer patients with initial PSA 100 ng/ml or greater who received
transrectal ultrasoundguided prostate biopsy and were staged as M0 by imaging
studies from 2011 to 2014 in seven hospitals, were enrolled in the study.
Castration-resistant prostate cancer (CRPC)-free survival was compared between
the two treatment groups : androgen deprivation therapy (ADT) alone and ADT plus
local therapy. Of 142 prostate cancer patients with initial PSA 100 ng/ml or
greater, 49 (34.5%) had no metastases and final analysis was performed on 46
patients. Thirty one M0 patients received ADT alone, and 15 received ADT plus
local therapy. During follow-up (median 31 months, range 1-56 months) 13 patients
(42%) in the ADT alone group progressed to CRPC. One- and two-year CRPC-free
survival rates were 72.5 and 53%, respectively. No patients with ADT plus local
therapy developed CRPC, and time to CRPC was prolonged significantly (p=0.002).
On multivariate analysis for the group with ADT alone, PSA nadir of more than 0.
2 ng/ml and cN1 were independent predictors for progression to CRPC (p=0.009,
0.031). About one third of prostate cancer patients with initial PSA 100 ng/ml or
greater had clinically no metastases. Local therapy to prostate combined with ADT
may prolong time to CRPC compared with ADT alone. A subset of men with a PSA
nadir of more than 0.2 ng/ml after ADT and cN1 could benefit from local therapy.
PMID- 29370663
TI - [A Case of Retroperitoneal Cavernous Hemangioma Difficult to Differentiate from
Retroperitoneal Liposarcoma].
AB - We report a case of retroperitoneal cavernous hemangioma. A 77-year-old woman
complaining of nausea was admitted to a different hospital in September 2013.
Computed tomography (CT) detected a retroperitoneal mass in the left pararenal
space. Three years later, repeated CT showed that the tumor had gradually grown
in size. On dynamic contrast-enhanced magnetic resonance imaging (MRI), the tumor
demonstrated radiographic signs of a liposarcoma. Resection of the mass with left
nephrectomy was performed in June 2016, and histopathology showed cavernous
hemangioma. Clinical diagnosis of cavernous hemangioma is difficult, and imaging
modalities, including CT and MRI, may not be conclusive. The final diagnosis in
most cases is established through surgery. This is the 29th case of
retroperitoneal cavernous hemangioma to be reported in Japan.
PMID- 29370664
TI - [A Case of Retroperitoneal Teratoma Difficult to Distinguish from Adrenal Tumor].
AB - Retroperitoneal tumor is a rare tumor, with an incidence of 0.2 to 0.8%. Among
such tumors, the frequency of teratomas ranges from 6 to 18%, and adult cases are
extremely rare. We report a mature teratoma that occurred in the retroperitoneum
of 43-year-old woman. She experienced back pain and a left adrenal gland mass was
detected on computed tomography. Computed tomography and magnetic resonance
imaging findings showed a cyst made of fat and calcification, but it was
difficult to distinguish retroperitoneal teratoma from adrenal tumor in this
case. The tumor was removed, and was mainly composed of a hair ball and fat.
Pathological examination showed that the tumor was composed of stratified
squamous epithelium, keratinizing component, cartilage, and bronchial epithelium,
while no continuity with the adrenal gland was observed. Therefore, the tumor was
diagnosed as a retroperitoneal teratoma.
PMID- 29370665
TI - [A Case of Right Pyonephrosis Caused by Renal Pelvic Cancer].
AB - A 47-year-old woman who was diagnosed with right pyelonephritis by a local
physician, but failed to respond to antimicrobial chemotherapy, was referred to
our hospital. Here, the diagnosis of right pyonephrosis was confirmed byabdominal
computed tomography(CT). Retrograde pyelography(RP) revealed a severe stricture
at the ureteropelvic junction, and it was considered difficult to advance a
guidewire through the stricture. Urine cytologywas pseudo-positive ; thus, the
possibilityof a malignant tumor of the urinarytract could not be ruled out.
Therefore, right nephroureterectomywas performed. The final, histopathological
diagnosis was urothelial carcinoma, (G2, pT3). After surgery, the signs and
symptoms of the infection were rapidlyameliorated ; however, swelling of the
lymph-nodes between the aorta and vena cava was observed, which was considered to
be metastasis. Therefore, 4 courses of gemcitabine +cisplatin therapywere
administered, which resulted in complete resolution of the lymph-node swelling.
The patient has remained free of recurrence for 2 years after surgery.
PMID- 29370666
TI - [Urethral Hemangioma with Repeated Urinary Retention by Posterection Hematuria].
AB - A 73-year-old man presented to our hospital due to postejaculation gross
hematuria and dysuria. Three months after onset, urinary retention occurred
repeatedly. Under general anesthesia, cystourethroscopy following drug-induced
erection was performed. A solitary sessile lesion with varicosis was found
between the verumontanum and external sphincter. The tumor was resected
endoscopically and recurrence was not observed during the follow-up period.
Histological examination revealed a cavernous hemangioma of the urethra.
Urologists should keep in mind that urethral hemangioma can be a cause of
hematuria after erection or ejaculation.
PMID- 29370668
TI - The potential role of exercise in chronic stress-related changes in AMPA receptor
phenotype underlying synaptic plasticity.
AB - PURPOSE: Chronic stress can cause disturbances in synaptic plasticity, such as
longterm potentiation, along with behavioral defects including memory deficits.
One major mechanism sustaining synaptic plasticity involves the dynamics and
contents of alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid receptors
(AMPARs) in the central nervous system. In particular, chronic stress-induced
disruption of AMPARs includes it abnormal expression, trafficking, and calcium
conductance at glutamatergic synapses, which contributes to synaptic plasticity
at excitatory synapses. Exercise has the effect of promoting synaptic plasticity
in neurons. However, the contribution of exercise to AMPAR behavior under chronic
stressful maladaptation remains unclear. METHODS: The present article reviews the
information about the chronic stress-related synaptic plasticity and the role of
exercise from the previous-published articles. RESULTS: AMPAR-mediated synaptic
transmission is an important for chronic stress-related changes of synaptic
plasticity, and exercise may at least partly contribute to these episodes.
CONCLUSION: The present article discusses the relationship between AMPARs and
synaptic plasticity in chronic stress, as well as the potential role of exercise.
PMID- 29370667
TI - Nutritional needs in the professional practice of swimming: a review.
AB - PURPOSE: Swimming requires developing a high aerobic and anaerobic capacity for
strength and technical efficiency. The purpose of this study was to establish the
nutritional requirements and dietary strategies that can optimize swimming
performance. METHODS: Several related studies retrieved from the databases,
Dialnet, Elsevier, Medline, Pubmed, and Web of Science, through keyword search
strategies were reviewed. RESULTS: The recommended carbohydrate intake ranges
between 6-10-12 g/kg/d, protein 2 g/kg/d, and fat should surpass 20-25% of the
daily intake. CONCLUSION: Performance can be optimized with a hydration plan, as
well as adequate periodization of supplements, such as caffeine, creatine, sodium
bicarbonate, B-alanine, beetroot juice, Vitamin D, bovine colostrum, and HMB.
PMID- 29370669
TI - The role of habitual physical activity on arterial stiffness in elderly
Individuals: a systematic review and meta-analysis.
AB - PURPOSE: Physical inactivity behavior at middle age or older is a major risk
factor for cardiovascular disease. However, the effects of levels of habitual
physical activity on arterial stiffness in elderly population remain unclear
currently. Therefore, the purpose of this study was to demonstrate whether the
effects of habitual physical activity could attenuate arterial stiffness in
elderly individuals via a meta-analysis. METHODS: We searched the Medline and
Embase databases from January 1997 through November 2017, using the medical
subject headings "older population", "physical activity" (e.g., walking, cycling,
climbing, and any participation in sports), "arterial stiffness", "pulse wave
velocity", and "cardiovascular health" published in English. Six articles (2,932
participants) were included in this meta-analysis. We investigated the effects of
habitual physical activity on arterial stiffness, which was measured by the pulse
wave velocity. RESULTS: Results confirmed heterogeneity (Q-value = 160.691, p =
0.000, I2 = 96.888) between individual studies. The effect size was calculated
using random effect model. It has shown that physically active individuals have
significantly lower arterial stiffness than their sedentary peers do
(standardized mean difference: -1.017 +/- 0.340, 95% confidence interval: -1.684
~ -0.350, p = 0.003). CONCLUSION: Findings of our systematic review and meta
analysis indicate that habitual physical activity can significantly ameliorate
arterial stiffness in the elderly population.
PMID- 29370670
TI - Comparison of body composition between fashion models and women in general.
AB - PURPOSE: The present study compared the physical characteristics and body
composition of professional fashion models and women in general, utilizing the
skinfold test. METHODS: The research sample consisted of 90 professional fashion
models presently active in Korea and 100 females in the general population, all
selected through convenience sampling. Measurement was done following
standardized methods and procedures set by the International Society for the
Advancement of Kinanthropometry. Body density (mg/ mm) and body fat (%) were
measured at the biceps, triceps, subscapular, and suprailiac areas. RESULTS: The
results showed that the biceps, triceps, subscapular, and suprailiac areas of
professional fashion models were significantly thinner than those of women in
general (p<.001), and that their waist size was also significantly smaller
(p<.001). However, hip circumference showed no significant difference. Body mass
index, waist-to-hip ratio, and body fat (%) in professional fashion models were
significantly lower than those in women in general (p<.001), while the body
density in professional fashion models was significantly greater (p<.001).
CONCLUSION: Body density in professional fashion models is higher, due to taller
stature, than in women in general. Moreover, there is an effort on the part of
fashion models to lose weight in order to maintain a thin body and a low weight
for occupational reasons.
PMID- 29370671
TI - The synergistic effect of protein complex supplementation combined with 12 weeks
of resistance training on isokinetic muscular function in untrained young males.
AB - PURPOSE: Resistance exercise training (RET) and an additional intake of dietary
protein supplements may improve muscle mass and muscular function, and reduce
inflammatory markers. The types, amount, and timing of dietary protein
supplements are important for the synergistic effects of resistance training and
dietary protein supplements. We hypothesized that a 25.1 g protein complex
supplement taken for 12 weeks, immediately before and after resistance exercise,
would enhance fat free mass and isokinetic muscular function in young untrained
males. METHODS: Eighteen participants were randomly assigned to a placebo (n=8)
or protein complex supplement groups (n=10). The RET was a supervised progressive
program, 3 times per week for 12-weeks, and was performed progressing 80% of
their one repetition maximum (1-RM). Body composition, blood pressure, plasma
inflammatory markers, lipid level and isokinetic muscular function were assessed
before and after the study period. RESULTS: There was a significant interaction
effect in C-reactive protein (CRP) (p =0.044) among blood vessel inflammatory
markers. The protein complex supplement group had shown more effective
improvement at 12 weeks intervention compared to the placebo group in isokinetic
muscular function. There was a significant interaction effect in peak torque at
60 degrees/sec leg extension (p =0.044), total work at 240 degrees/sec leg
extension (p =0.025), and total work at 240 degrees/sec leg flexion (p =0.011).
CONCLUSION: Protein complex supplementation during RET appears more effective
than RET alone in improving isokinetic muscular function for 12 weeks in
untrained young men.
PMID- 29370672
TI - Weight reduction does not induce an undesirable decrease in muscle mass, muscle
strength, or physical performance in men with obesity: a pilot study.
AB - PURPOSE: To date, there have been no reports on whether weight reduction causes
decreases in muscle mass, muscle strength, or physical performance that could
lead to health problems. Thus, in this pilot study, we investigated the
appropriateness of the changes in muscle mass, muscle strength and physical
performance after weight reduction. METHODS: Obese men who completed a weight
reduction program to decrease and maintain a body mass index (BMI) of less than
25 kg/m2 for one year were recruited for the study. One year after the completion
of a weight reduction program, the participants' muscle mass, muscle strength,
and physical performance were compared with those in a reference group composed
of individuals whose BMI was less than 25 kg/m2. Whole-body scanning was
performed using dual-energy X-ray absorptiometry to analyze muscle mass. Handgrip
strength and knee extensor strength were measured to evaluate arm and leg muscle
strength, respectively. For physical performance, a jump test was employed.
RESULTS: The results showed that the biceps, triceps, subscapular, and suprailiac
areas of professional fashion models were significantly thinner than those of
women in general (p<.001), and that their waist size was also significantly
smaller (p<.001). However, hip circumference showed no significant difference.
Body mass index, waist-to-hip ratio, and body fat (%) in professional fashion
models were significantly lower than those in women in general (p<.001), while
the body density in professional fashion models was significantly greater
(p<0.001). CONCLUSION: Weight reduction participants showed an average reduction
in body weight of -16.47%. Normalized arm muscle mass and handgrip strength were
significantly greater in the weight reduction group than in the reference group;
however, no significant differences were detected between the two groups with
respect to the other variables. After one year, there were no significant
differences between the two groups.
PMID- 29370673
TI - Arc/Arg3.1 protein expression in dorsal hippocampal CA1, a candidate event as a
biomarker for the effects of exercise on chronic stress-evoked behavioral
abnormalities.
AB - PURPOSE: Chronic stress is a risk factor for behavioral deficits, including
impaired memory processing and depression. Exercise is well known to have
beneficial impacts on brain health. METHODS: Mice were forced to treadmill
running (4-week) during chronic restraint stress (6h/21d), and then behavioral
tests were conducted by Novel object recognition, forced swimming test: FST,
sociality test: SI. Dissected brain was stained with anti-calbindin-d28k and anti
Arc antibodies. Also, mice were treated with CX546 intraperitoneally during
chronic restraint stress, and behavioral tests were assessed using Morris water
maze, FST, and SI. Dissected brain was stained with anti-Arc antibody. RESULTS:
The current study demonstrated that chronic stress-induced impairment of memory
consolidation and depression-like behaviors, along with the changes in calbindin
d28k and Arc protein levels in the hippocampal CA1 area, were attenuated by
regular treadmill running. Further, prolonged ampakine treatment prevented
chronic stress-evoked behavioral abnormalities and nuclear Arc levels in
hippocampal CA1 neurons. Nuclear localization of Arc protein in hippocampal CA1
neurons, but not total levels, was correlated with behavioral outcome in
chronically stressed mice in response to a regular exercise regimen. CONCLUSION:
These results suggest that nuclear levels of Arc are strongly associated with
behavioral changes, and highlight the role of exercise acting through an alpha
amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid (AMPA) receptor (AMPAR)
mediated mechanisms in a chronic stress-induced maladaptive condition.
PMID- 29370674
TI - Effects of acute voluntary loaded wheel running on BDNF expression in the rat
hippocampus.
AB - PURPOSE: Voluntary loaded wheel running involves the use of a load during a
voluntary running activity. A muscle-strength or power-type activity performed at
a relatively high intensity and a short duration may cause fewer apparent
metabolic adaptations but may still elicit muscle fiber hypertrophy. This study
aimed to determine the effects of acute voluntary wheel running with an
additional load on brain-derived neurotrophic factor (BDNF) expression in the rat
hippocampus. METHODS: Ten-week old male Wistar rats were assigned randomly to a
(1) sedentary (Control) group; (2) voluntary exercise with no load (No-load)
group; or (3) voluntary exercise with an additional load (Load) group for 1-week
(acute period). The expression of BDNF genes was quantified by real-time PCR.
RESULTS: The average distance levels were not significantly different in the No
load and Load groups. However, the average work levels significantly increased in
the Load group. The relative soleus weights were greater in the No-load group.
Furthermore, loaded wheel running up-regulated the BDNF mRNA level compared with
that in the Control group. The BDNF mRNA levels showed a positive correlation
with workload levels (r=0.75), suggesting that the availability of multiple
workload levels contributes to the BDNF-related benefits of loaded wheel running
noted in this study. CONCLUSION: This novel approach yielded the first set of
findings showing that acute voluntary loaded wheel running, which causes muscular
adaptation, enhanced BDNF expression, suggesting a possible role of high
intensity short-term exercise in hippocampal BDNF activity.
PMID- 29370676
TI - A clinical update on metformin and lung cancer in diabetic patients.
AB - Diabetes mellitus (DM) is frequently increased in many countries and become a
serious health problem worldwide. Diabetes is associated with dysfunction of
different organs such as heart, eyes, blood vessels, nerves, and kidneys. There
is a strong connection between diabetes and cancer. Metformin is one of the most
commonly prescribed oral antidiabetic medicines and it is suggested as the first
line therapy due to its comparatively safe, inexpensive, effective and well
tolerated. Some of the in vitro and in vivo investigations proved that metformin
may have a direct anticancer action by preventing the proliferation of malignant
cells and formations of the colony, inducing arrest of cell cycle and apoptosis
and suppressing tumor growth. The antiproliferative mechanism of metformin alone
or in combination with various chemotherapeutic agents is complex and involves
several beneficial roles. In this regard, clinical studies are required to
explain these roles. In the coming future, the use of metformin, alone or in
combination with current chemotherapy, might be a conventional approach to
effectually manage lung cancer. This mini-review provides a critical overview of
currently available clinical trials investigating the effects of metformin in
lung cancer.
PMID- 29370675
TI - High blood pressure, Alzheimer disease and antihypertensive treatment.
AB - Alzheimer's disease (AD), the most common form of dementia, is a complex disease,
the mechanisms of which are poorly understood. AD represents 70% of all dementia
cases, affecting up to 50% of elderly persons aged 85 or older, with functional
dependence, poor quality of life, institutionalization and mortality. Advanced
age is the main risk factor of AD, that is why population ageing, due to life
expectancy improvements, increases AD incidence and prevalence, as well as the
economic, social, and emotional costs associated with this illness. Existing anti
AD drugs present some limitations, as they target specific downstream
neurochemical abnormalities while the upstream underlying pathology continues
unchecked. Chronic hypertension has been suggested as one of the largest
modifiable risk factors for developing AD. At least 25% of all adults and more
than 50% of those over 60 years of age have hypertension. Epidemiological studies
have shown that hypertension is a risk factor for dementia and AD, but the
association is complex. Some studies have demonstrated that antihypertensive
drugs can reduce the risk of AD. This review focuses on current knowledge about
the relationship between chronic hypertension and AD as well as antihypertensive
treatment effect on AD pathogenesis and its clinical outcomes.
PMID- 29370677
TI - Current updates on biological and pharmacological activities of doxycycline.
PMID- 29370678
TI - Advancements in nano drug delivery systems: a challenge for biofilms in
respiratory diseases.
PMID- 29370679
TI - Fecal calprotectin: beyond intestinal organic diseases.
AB - Fecal calprotectin (FC) is a calcium-binding protein with antimicrobic,
imunomodulatory and antiproliferative properties that is mainly found in the
cytoplasm of neutrophil granulocytes. During the last decades, FC became an
increasingly useful tool both for gastroenterologists and for general
practitioners for distinguishing inflammatory bowel disease (IBD) from irritable
bowel syndrome. FC correlates with clinical scoring systems and endoscopic
lesions in IBD and is considered a reliable biomarker for the prediction of
clinical relapse or remission. However, FC elevation could be observed also in
other gastrointestinal pathological conditions including infective colitis,
microscopic colitis, eosinophilic colitis, adenomas and colorectal cancer. In
addition, there are several non-pathological conditions that can lead to altered
FC values. In this review, we aimed to point out individual, environmental and
method-related factors that can affect FC measurement and thus its clinical
interpretation.
PMID- 29370682
TI - Rapid qualitative review of ethical issues surrounding healthcare for pregnant
women or women of reproductive age in epidemic outbreaks.
AB - This article describes, categorizes, and discusses the results of a rapid
literature review aiming to provide an overview of the ethical issues and
corresponding solutions surrounding pregnancies in epidemic outbreaks. The review
was commissioned by the World Health Organization to inform responses to the Zika
outbreak that began in 2015. Due to the urgency of the response efforts that
needed to be informed by the literature search, a rapid qualitative review of the
literature published in PubMed was conducted. The search and analysis were based
on the operationalization of 3 key concepts: ethics, pregnancy, and epidemic
outbreak. Ethical issues and solutions were interpreted within a principlist
framework. The data were analyzed using qualitative content analysis. The search
identified 259 publications, of which the full text of 23 papers was read. Of
those, 20 papers contained a substantive part devoted to the topic of interest
and were therefore analyzed further. We clustered the ethical issues and
solutions around 4 themes: uncertainty, harms, autonomy/liberty, and
effectiveness. Recognition of the identified ethical issues and corresponding
solutions can inform and improve response efforts, public health planning,
policies, and decision-making, as well as the activities of medical staff and
counselors who practice before, during, or after an epidemic outbreak that
affects pregnant women or those of reproductive age. The rapid review format
proved to be useful despite its limited data basis and expedited review process.
PMID- 29370680
TI - Health effects of environmental pollution in population living near industrial
complex areas in Korea.
AB - Several epidemiological studies have reported an association between
environmental pollution and various health conditions in individuals residing in
industrial complexes. To evaluate the effects of pollution from industrial
complex on human health, we performed a pooled analysis of environmental
epidemiologic monitoring data for residents living near national industrial
complexes in Korea. The respiratory and allergic symptoms and the prevalence of
acute and chronic diseases, including cancer, were used as the outcome variables
for health effects. Multiple logistic regression analysis was used to analyze the
relationship between exposure to pollution from industrial complexes and health
conditions. After adjusting for age, sex, smoking status, occupational exposure,
level of education, and body mass index, the residents near the industrial
complexes were found to have more respiratory symptoms, such as cough (odds ratio
[OR], 1.18; 95% confidence interval [CI], 1.06 to 1.31) and sputum production
(OR, 1.13; 95% CI, 1.03 to 1.24), and symptoms of atopic dermatitis (OR, 1.10;
95% CI, 1.01 to 1.20). Among residents of the industrial complexes, the
prevalence of acute eye disorders was approximately 40% higher (OR, 1.39; 95% CI,
1.04 to 1.84) and the prevalence of lung and uterine cancer was 3.45 times and
1.88 times higher, respectively, than those among residents of the control area.
This study showed that residents living in the vicinity of industrial complexes
have a high risk of acute and chronic diseases including respiratory and allergic
conditions. These results can be used as basic objective data for developing
health management measures for individuals residing near industrial complexes.
PMID- 29370683
TI - Systematic review of the published data on the worldwide prevalence of John
Cunningham virus in patients with multiple sclerosis and neuromyelitis optica.
AB - OBJECTIVES: John Cunningham virus (JCV) is a polyoma virus that infects humans,
mainly in childhood or adolescence, and presents no symptomatic manifestations.
JCV can cause progressive multifocal leukoencephalopathy (PML) in
immunosuppressed individuals, including those undergoing treatment for multiple
sclerosis (MS) and neuromyelitis optica (NMO). PML is a severe and potentially
fatal disease of the brain. The prevalence of JCV antibodies in human serum has
been reported to be between 50.0 and 90.0%. The aim of the present study was to
review worldwide data on populations of patients with MS and NMO in order to
establish the rates of JCV seropositivity in these individuals. METHODS: The
present review followed the PRISMA (Preferred Reporting Items for Systematic
Reviews and Meta-Analyses) guidelines and used the following search terms: "JCV"
OR "JC virus" AND "multiple sclerosis" OR "MS" OR "NMO" OR "neuromyelitis optica"
AND "prevalence." These terms were searched for both in smaller and in larger
clusters of words. The databases searched included PubMed, MEDLINE, SciELO,
LILACS, Google Scholar, and Embase. RESULTS: After the initial selection, 18
papers were included in the review. These articles reported the prevalence of JCV
antibodies in the serum of patients with MS or NMO living in 26 countries. The
systematic review identified data on 29,319 patients with MS/NMO and found that
57.1% of them (16,730 individuals) were seropositive for the anti-JCV antibody
(range, 40.0 to 69.0%). CONCLUSIONS: The median worldwide prevalence of JCV among
adults with MS or NMO was found to be 57.1%.
PMID- 29370684
TI - Epidemiological characteristics of scrub typhus on the Jeju Island.
PMID- 29370685
TI - The current status of health data on Korean children and adolescents.
AB - Childhood and adolescence are critical periods that affect adults' health status.
Therefore, the factors influencing the health of children and adolescents should
be analyzed. In Korea, a wide range of youth-related health data has been
obtained, both on the regional level and on the national level. This report
summarizes the current status of studies related to the health of Korean children
and adolescents. Data for which open access is offered include the Korea Youth
Risk Behavior Web-based Study, the Panel Study on Korean Children, the Korean
Youth Panel Survey, the Korean Children and Youth Panel Survey, and the Student
Health Examination. In addition, the Health Examination of Korean Youth Outside
of School, the Korean Children and Adolescents Obesity Cohort Study, the Korean
Children's Environmental Health Study, the Korea Youth Media Use and Harmful
Environment Survey, the Comprehensive Survey of Korean Youth, and the
Multicultural Adolescents Panel Study are summarized.
PMID- 29370686
TI - Experience of 16 years and its associated challenges in the Field Epidemiology
Training Program in Korea.
AB - OBJECTIVES: The field epidemiologist system of South Korea, which employs public
health doctors who are relatively more readily available, was created in 1999 to
ensure a ready supply of experts for epidemiological investigations and enable an
effective response for new and reemerging infectious diseases. However, the 2015
outbreak of Middle East Respiratory Syndrome revealed limitations in the existing
systems of management of field epidemiologists and communicable diseases.
METHODS: The present study aims to evaluate data on current states,
administrative reports, and other literature on the field epidemiologist system
that has been in place in South Korea for 16 years since 1999 and to suggest
appropriate future improvements in this system. RESULTS: By suggesting methods to
evaluate the field epidemiologist system and training programs and by suggesting
ways for the Korea Centers for Disease Control and Prevention to conduct
evaluations on its own, the present study provides supporting evidence for
improvement of systems for training of experts in epidemiological investigations.
Moreover, based on the findings, this study also suggests methods to
systematically train experts in communicable diseases management and a
sustainable system to establish the basis of and develop strategies for a
systematic and phased management of field epidemiologist training programs.
CONCLUSIONS: The present study suggests the possibility of establishing dedicated
training facilities, revising the guidelines on training and improvement of the
competency of public health experts, while not limiting the scope of application
to communicable diseases.
PMID- 29370687
TI - Mechanisms and Physiological Roles of Mitophagy in Yeast.
AB - Mitochondria are responsible for supplying of most of the cell's energy via
oxidative phosphorylation. However, mitochondria also can be deleterious for a
cell because they are the primary source of reactive oxygen species, which are
generated as a byproduct of respiration. Accumulation of mitochondrial and
cellular oxidative damage leads to diverse pathologies. Thus, it is important to
maintain a population of healthy and functional mitochondria for normal cellular
metabolism. Eukaryotes have developed defense mechanisms to cope with aberrant
mitochondria. Mitochondria autophagy (known as mitophagy) is thought to be one
such process that selectively sequesters dysfunctional or excess mitochondria
within double-membrane autophagosomes and carries them into lysosomes/vacuoles
for degradation. The power of genetics and conservation of fundamental cellular
processes among eukaryotes make yeast an excellent model for understanding the
general mechanisms, regulation, and function of mitophagy. In budding yeast, a
mitochondrial surface protein, Atg32, serves as a mitochondrial receptor for
selective autophagy that interacts with Atg11, an adaptor protein for selective
types of autophagy, and Atg8, a ubiquitin-like protein localized to the isolation
membrane. Atg32 is regulated transcriptionally and post-translationally to
control mitophagy. Moreover, because Atg32 is a mitophagy-specific protein,
analysis of its deficient mutant enables investigation of the physiological roles
of mitophagy. Here, we review recent progress in the understanding of the
molecular mechanisms and functional importance of mitophagy in yeast at multiple
levels.
PMID- 29370688
TI - Development of Research into Autophagic Lysosome Reformation.
AB - Autophagy is a lysosome-dependent degradation process that is essential for
maintaining cellular homeostasis. In recent years, more studies have focused on
the late stages of autophagy. Our group discovered and studied the terminal step
of autophagy, namely autophagic lysosome reformation (ALR). ALR is the process
that regenerates functional lysosomes from autolysosomes, thus maintaining
lysosome homeostasis. ALR involves clathrin-mediated membrane budding from
autolysosomes, elongation of membrane tubules along microtubules with the pulling
force provided by the motor protein KIF5B, proto-lysosome scission by dynamin 2,
and finally maturation of proto-lysosomes to functional lysosomes. In this
review, we will summarize progress in unveiling the molecular mechanisms
underlying ALR and its potential pathophysiological roles.
PMID- 29370689
TI - A Molecular Approach to Mitophagy and Mitochondrial Dynamics.
AB - Mitochondrial quality control systems are essential for the maintenance of
functional mitochondria. At the organelle level, they include mitochondrial
biogenesis, fusion and fission, to compensate for mitochondrial function, and
mitophagy, for degrading damaged mitochondria. Specifically, in mitophagy, the
target mitochondria are recognized by the autophagosomes and delivered to the
lysosome for degradation. In this review, we describe the mechanisms of mitophagy
and the factors that play an important role in this process. In particular, we
focus on the roles of mitophagy adapters and receptors in the recognition of
damaged mitochondria by autophagosomes. In addition, we also address a functional
association of mitophagy with mitochondrial dynamics through the interaction of
mitophagy adaptor and receptor proteins with mitochondrial fusion and fission
proteins.
PMID- 29370690
TI - A Structural View of Xenophagy, a Battle between Host and Microbes.
AB - The cytoplasm in mammalian cells is a battlefield between the host and invading
microbes. Both the living organisms have evolved unique strategies for their
survival. The host utilizes a specialized autophagy system, xenophagy, for the
clearance of invading pathogens, whereas bacteria secrete proteins to defend and
escape from the host xenophagy. Several molecules have been identified and their
structural investigation has enabled the comprehension of these mechanisms at the
molecular level. In this review, we focus on one example of host autophagy and
the other of bacterial defense: the autophagy receptor, NDP52, in conjunction
with the sugar receptor, galectin-8, plays a critical role in targeting the
autophagy machinery against Salmonella; and the cysteine protease, RavZ secreted
by Legionella pneumophila cleaves the LC3-PE on the phagophore membrane. The
structure-function relationships of these two examples and the directions of
future research will be discussed.
PMID- 29370692
TI - The Role of Autophagy in Systemic Metabolism and Human-Type Diabetes.
AB - Autophagy is critical for the maintenance of organelle function and intracellular
nutrient environment. Autophagy is also involved in systemic metabolic
homeostasis, and its dysregulation can lead to or accelerate the development of
metabolic disorders. While the role of autophagy in the global metabolism of
model organisms has been investigated mostly using site-specific genetic knockout
technology, the impact of dysregulated autophagy on systemic metabolism has been
unclear. Here, we review recent papers showing the role of autophagy in systemic
metabolism and in the development of metabolic disorders. Also included are data
suggesting the role of autophagy in human-type diabetes, which are different in
several key aspects from murine models of diabetes. The results shown here
support the view that autophagy modulation could be a new modality for the
treatment of metabolic syndrome associated with lipid overload and human-type
diabetes.
PMID- 29370691
TI - Autophagy Dysregulation and Obesity-Associated Pathologies.
AB - Autophagy is one of the major degradative mechanisms that can eliminate excessive
nutrients, toxic protein aggregates, damaged organelles and invading
microorganisms. In response to obesity and obesity-associated lipotoxic,
proteotoxic and oxidative stresses, autophagy plays an essential role in
maintaining physiological homeostasis. However, obesity and its associated stress
insults can often interfere with the autophagic process through various
mechanisms, which result in further aggravation of obesity-related metabolic
pathologies in multiple metabolic organs. Paradoxically, inhibition of autophagy,
within specific contexts, indirectly produces beneficial effects that can
alleviate several detrimental consequences of obesity. In this minireview, we
will provide a brief discussion about our current understanding of the impact of
obesity on autophagy and the role of autophagy dysregulation in modulating
obesity-associated pathological outcomes.
PMID- 29370693
TI - Biological Roles of Alternative Autophagy.
AB - Atg5 and Atg7 have long been considered as essential molecules for autophagy.
However, we found that cells lacking these molecules still form autophagic
vacuoles and perform autophagic protein degradation when subjected to certain
stressors. During this unconventional autophagy pathway, autophagosomes appeared
to be generated in a Rab9-dependent manner by the fusion of vesicles derived from
the trans-Golgi and late endosomes. Therefore, mammalian autophagy can occur via
at least two different pathways; the Atg5/Atg7-dependent conventional pathway and
an Atg5/Atg7-independent alternative pathway.
PMID- 29370696
TI - An Additive-Free, Base-Catalyzed Protodesilylation of Organosilanes.
AB - We report an additive-free, base-catalyzed C-, N-, O-, and S-Si bond cleavage of
various organosilanes in mild conditions. The novel catalyst system exhibits high
efficiency and good functional group compatibility, providing the corresponding
products in good to excellent yields with low catalyst loadings. Overall, this
transition-metal-free process may offer a convenient and general alternative to
current employing excess bases, strong acids, or metal-catalyzed systems for the
protodesilylation of organosilanes.
PMID- 29370694
TI - Pexophagy: Molecular Mechanisms and Implications for Health and Diseases.
AB - Autophagy is an intracellular degradation pathway for large protein aggregates
and damaged organelles. Recent studies have indicated that autophagy targets
cargoes through a selective degradation pathway called selective autophagy.
Peroxisomes are dynamic organelles that are crucial for health and development.
Pexophagy is selective autophagy that targets peroxisomes and is essential for
the maintenance of homeostasis of peroxisomes, which is necessary in the
prevention of various peroxisome-related disorders. However, the mechanisms by
which pexophagy is regulated and the key players that induce and modulate
pexophagy are largely unknown. In this review, we focus on our current
understanding of how pexophagy is induced and regulated, and the selective
adaptors involved in mediating pexophagy. Furthermore, we discuss current
findings on the roles of pexophagy in physiological and pathological responses,
which provide insight into the clinical relevance of pexophagy regulation.
Understanding how pexophagy interacts with various biological functions will
provide fundamental insights into the function of pexophagy and facilitate the
development of novel therapeutics against peroxisomal dysfunction-related
diseases.
PMID- 29370697
TI - Cotranslational Incorporation into Proteins of a Fluorophore Suitable for smFRET
Studies.
AB - Single-molecule FRET (smFRET) is a powerful tool to investigate conformational
changes of biological molecules. In general, smFRET studies require protein
samples that are site-specifically double-labeled with a pair of donor and
acceptor fluorophores. The common approaches to produce such samples cannot be
applied when studying the synthesis and folding of the polypeptide chain on the
ribosome. The best strategy is to incorporate two fluorescent amino acids
cotranslationally using cell-free protein synthesis systems. Here, we demonstrate
the cotranslational site-specific incorporation into a model protein of Atto633,
a dye with excellent photophysical properties, suitable for single molecule
spectroscopy, together with a second dye using a combination of the sense
cysteine and the nonsense amber codon. In this work we show that cotranslational
incorporation of good fluorophores into proteins is a viable strategy to produce
suitable samples for smFRET studies.
PMID- 29370695
TI - Autophagy and Longevity.
AB - Autophagy is an evolutionally conserved cytoplasmic degradation system in which
varieties of materials are sequestered by a double membrane structure,
autophagosome, and delivered to the lysosomes for the degradation. Due to the
wide varieties of targets, autophagic activity is essential for cellular
homeostasis. Recent genetic evidence indicates that autophagy has a crucial role
in the regulation of animal lifespan. Basal level of autophagic activity is
elevated in many longevity paradigms and the activity is required for lifespan
extension. In most cases, genes involved in autophagy and lysosomal function are
induced by several transcription factors including HLH-30/TFEB, PHA-4/FOXA and
MML-1/Mondo in long-lived animals. Pharmacological treatments have been shown to
extend lifespan through activation of autophagy, indicating autophagy could be a
potential and promising target to modulate animal lifespan. Here we summarize
recent progress regarding the role of autophagy in lifespan regulation.
PMID- 29370699
TI - Vibrational Coherence Controls Molecular Fragmentation: Ultrafast Photodynamics
of the [Ag2Cl]+ Scaffold.
AB - The recently introduced pump-probe fragmentation action spectroscopy reveals a
unique observation of excited state vibrational coherence (430-460 fs) in the
isolated metal complex [Ag2(Cl)(dcpm)2)]+ (dcpm =
bis(dicyclohexylphosphino)methane) containing the [Ag2Cl]+ scaffold. After
photoexcitation by an 1XMCT transition (260 nm) in an ion trap, an unexpected
correlation between specific fragment ions (loss of HCl/Cl- vs loss of dcpm) and
the phase of the wave packet is probed (1150 nm). Based on ab initio
calculations, we assign the primary electronically excited state and ascribe the
observed coherences (72-78 cm-1) to contain predominantly Ag-Ag stretch
character. We propose specific probe absorption and vibronic coupling at the
classical turning points to switch remarkably early on between the different
fragmentation pathways. The overall excited state dynamics are fitted to a
multiexponential decay with time constants: 0.2-0.4/3-4/19-26/104-161 ps. These
findings open new perspectives for further dynamics investigations and possible
applications in photocatalysis.
PMID- 29370700
TI - Well-Ordered 4CzIPN ((4s,6s)-2,4,5,6-Tetra(9-H-carbazol-9-yl)isophthalonitrile)
Layers: Molecular Orientation, Electronic Structure, and Angular Distribution of
Photoluminescence.
AB - We fabricated a well-ordered homogeneous monolayer of disk-shaped, carbazolyl
dicyanobenzene (CDCB)-based thermally activated delayed fluorescence (TADF)
molecule, i.e., 4CzIPN((4s,6s)-2,4,5,6-tetra(9Hcarbazol-9-yl)isophthalonitrile)
at room temperature on flat Ag(111), Au(111), and Cu(111) surfaces. The second
layer of the 4CzIPN was also found to be well ordered. The electronic states of
the well-ordered monolayer and multilayer of 4CzIPN were found to be nearly
unchanged from that of the isolated molecule calculated by the density functional
theory (DFT), suggesting that the ordered layers retain the TADF properties.
Indeed, we demonstrated the delayed fluorescence and the nearly perfect in-plane
alignment of the transition dipole moment of a 4CzIPN thin film on glass
substrate even in an ambient condition. These results indicate that the well
ordered films of the disc-shaped carbazole-based TADF molecules could potentially
be utilized in organic light-emitting diode (OLED) devices with high light
outcoupling efficiency.
PMID- 29370698
TI - Discovery of a Novel Dibromoquinoline Compound Exhibiting Potent Antifungal and
Antivirulence Activity That Targets Metal Ion Homeostasis.
AB - Globally, invasive fungal infections pose a significant challenge to modern human
medicine due to the limited number of antifungal drugs and the rise in resistance
to current antifungal agents. A vast majority of invasive fungal infections are
caused by species of Candida, Cryptococcus, and Aspergillus. Novel antifungal
molecules consisting of unexploited chemical scaffolds with a unique mechanism
are a pressing need. The present study identifies a dibromoquinoline compound
(4b) with broad-spectrum antifungal activity that inhibits the growth of
pertinent species of Candida (chiefly C. albicans), Cryptococcus, and Aspergillus
at a concentration of as low as 0.5 MUg/mL. Furthermore, 4b, at a subinhibitory
concentration, interfered with the expression of two key virulence factors
(hyphae and biofilm formation) involved in C. albicans pathogenesis. Three yeast
deletion strains ( cox17Delta, ssa1Delta, and aft2Delta) related to metal ion
homeostasis were found to be highly sensitive to 4b in growth assays, indicating
that the compound exerts its antifungal effect through a unique, previously
unexploited mechanism. Supplementing the media with either copper or iron ions
reversed the strain sensitivity to 4b, further corroborating that the compound
targets metal ion homeostasis. 4b's potent antifungal activity was validated in
vivo, as the compound enhanced the survival of Caenorhabditis elegans infected
with fluconazole-resistant C. albicans. The present study indicates that 4b
warrants further investigation as a novel antifungal agent.
PMID- 29370701
TI - Quasiharmonic Analysis of the Energy Landscapes of Dihydrofolate Reductase from
Piezophiles and Mesophiles.
AB - A quasiharmonic analysis (QHA) method is used to compare the potential energy
landscapes of dihydrofolate reductase (DHFR) from a piezophile (pressure-loving
organism), Moritella profunda (Mp), and a mesophile, Escherichia coli (Ec). The
QHA method considers atomic fluctuations of the protein as motions of an atom in
a local effective potential created by neighboring atoms and quantitates it in
terms of effective force constants, isothermal compressibilities, and thermal
expansivities. The analysis indicates that the underlying potential energy
surface of MpDHFR is inherently softer than that of EcDHFR. In addition, on
picosecond time scales, the energy surfaces become more similar under the growth
conditions of Mp and Ec. On these time scales, DHFR behaves as expected; namely,
increasing temperature makes the effective energy minimum less steep because
thermal fluctuations increase the available volume, whereas increasing pressure
steepens it because compression reduces the available volume. Our longer
simulations show that, on nanosecond time scales, increasing temperature has a
similar effect as on picosecond time scales because thermal fluctuations increase
the volume even more on a longer time scale. However, these simulations also
indicate that, on nanosecond time scales, pressure makes the local potential less
steep, contrary to picosecond time scales. Further examination of the QHA
indicates the nanosecond pressure response may originate at picosecond time
scales at the exterior of the protein, which suggests that protein-water
interactions may be involved. The results may lead to understanding adaptations
in enzymes made by piezophiles that enable them to function at higher pressures.
PMID- 29370704
TI - Changes for Volume 81.
PMID- 29370702
TI - Discovery and Preclinical Development of IIIM-290, an Orally Active Potent Cyclin
Dependent Kinase Inhibitor.
AB - Rohitukine (1), a chromone alkaloid isolated from Indian medicinal plant
Dysoxylum binectariferum, has inspired the discovery of flavopiridol and
riviciclib, both of which are bioavailable only via intravenous route. With the
objective to address the oral bioavailability issue of this scaffold, four series
of rohitukine derivatives were prepared and screened for Cdk inhibition and
cellular antiproliferative activity. The 2,6-dichloro-styryl derivative IIIM-290
(11d) showed strong inhibition of Cdk-9/T1 (IC50 1.9 nM) kinase and Molt
4/MIAPaCa-2 cell growth (GI50 < 1.0 MUM) and was found to be highly selective for
cancer cells over normal fibroblast cells. It inhibited the cell growth of
MIAPaCa-2 cells via caspase-dependent apoptosis. It achieved 71% oral
bioavailability with in vivo efficacy in pancreatic, colon, and leukemia
xenografts at 50 mg/kg, po. It did not have CYP/efflux-pump liability, was not
mutagenic/genotoxic or cardiotoxic, and was metabolically stable. The preclinical
data presented herein indicates the potential of 11d for advancement in clinical
studies.
PMID- 29370705
TI - An Exciting Year Ahead for ACS Sensors.
PMID- 29370707
TI - Effect of newborn's admission to intensive care unit on "quality of life" of
mother: an Indian perspective.
AB - BACKGROUND: Newborn hospitalized in the neonatal intensive care unit (NICU)
raises a mother's risk of reduced health-related quality of life (QoL). We
explored the contributors inducing stress among mothers related to NICU.
METHODOLOGY: One hundred thirty-five mothers, whose newborn has completed 48
hours of NICU stay, were interviewed using parental stress scale: neonatal
intensive care unit and SF-36 health survey questionnaire for further assessment.
RESULTS: The look and behavior of the baby were predominant contributor to the
decreased QoL among mothers (p= <.001). A moderate negative association between
parental stresses related to the NICU environment and their QoL rho = -.467 was
observed. CONCLUSION: It is required to look back at the interiors of the
Intensive Care Unit (ICU), the duration provided for the mother and child's
physical bonding, the unnecessary noise of the alarms and the jungle of wires
around the baby to optimize the QoL of mothers. The further policy requires
focusing on building a relation of the mother and child, especially when a child
needs supportive care.
PMID- 29370708
TI - Amendment on the findings of two previously published articles.
PMID- 29370709
TI - The Young Community of the European Association of Preventive Cardiology: The
future in motion.
PMID- 29370710
TI - Detection of glucose metabolism disorders in coronary patients enrolled in
cardiac rehabilitation: Is glycated haemoglobin useful? Data from the prospective
REHABDIAB study.
AB - Introduction Diabetes and pre-diabetes are highly prevalent in patients with a
history of acute coronary syndrome. This is why screening for glucose metabolism
disorders is recommended in patients following an acute coronary syndrome. The
aim of our study was to determine whether glycated haemoglobin alone compared
with the oral glucose tolerance test could allow effective screening for glucose
metabolism disorders in acute coronary syndrome patients undergoing cardiac
rehabilitation. Patients and methods Among 347 patients with a recent history of
acute coronary syndrome enrolled in our cardiac rehabilitation centre, 267
patients without previously known diabetes were recruited for this prospective
study with performance of both oral glucose tolerance test and glycated
haemoglobin measurement. The patients were divided into three groups: newly
diagnosed diabetes mellitus, pre-diabetes and normoglycaemia according to the
oral glucose tolerance test and glycated haemoglobin results. The results
obtained with glycated haemoglobin were compared with those obtained with the
oral glucose tolerance test, considered as the reference. Results For the
diagnosis of diabetes, glycated haemoglobin had a sensitivity of 72% and a
specificity of 100%. Positive and negative predictive values were high at 100%
and 96%, respectively. However, for the diagnosis of pre-diabetes the sensitivity
of glycated haemoglobin was low at 64% as were the specificity (53%) and the
positive predictive values (37%). Glycated haemoglobin overdiagnosed pre-diabetes
(52% vs 30%, p < 0.0001). For the diagnosis of normoglycaemia, the sensitivity of
glycated haemoglobin was also low (48%). Conclusion According to our study,
glycated haemoglobin has low sensitivity and specificity for the detection of pre
diabetes in patients with coronary disease enrolled in cardiac rehabilitation,
and glycated haemoglobin over-diagnoses pre-diabetes in comparison with the oral
glucose tolerance test.
PMID- 29370711
TI - Magnetic nanoparticles: recent developments in drug delivery system.
AB - Nanostructured functional materials have demonstrated their great potentials in
medical applications, attracting increasing attention because of the
opportunities in cancer therapy and the treatment of other ailments. This article
reviews the problems and recent advances in the development of magnetic NPs for
drug delivery.
PMID- 29370712
TI - Effect of preeclampsia on human milk cytokine levels.
AB - INTRODUCTION: Preeclampsia (PE) is a systemic inflammatory disease, and its
effect on human milk immune components is poorly understood. OBJECTIVE: To
investigate whether PE affects human milk cytokine levels. METHODS: This was a
prospective observational study involving mothers diagnosed with PE and with
singleton pregnancy with no fetal malformation. The following cases were
excluded: diabetes, chorioamnionitis, use of illicit drugs and alcohol, mastitis
and congenital infection. In total, 228 mothers were studied and divided into two
groups matched by gestational age: PE (n = 114) and normotensive (control, n =
114). Colostrum was collected from 24-72 hours postpartum, and mature milk was
collected at the end of the first month. Cytokines (IL-1beta, IL-6, IL-8, IL-10,
IL-12, and TNF-alpha) were measured using flow cytometry. A generalized linear
model with a gamma distribution was used to analyze the differences between
groups versus time interaction. RESULTS: The mean gestational age was 36 weeks.
Increased IL-1 and IL-6 levels and reduced IL-12 levels in the colostrum were
detected in PE, while in the mature milk, the IL-6 and IL-8 levels were lower
than those of the control group. CONCLUSIONS: PE is associated with increased
levels of inflammatory cytokines in colostrum and decreased levels in mature
milk.
PMID- 29370713
TI - Dynamic viscoelasticity of protease-treated rice batters for gluten-free rice
bread making.
AB - Papain (cysteine protease), subtilisin (Protin SD-AY10, serine protease), and
bacillolysin (Protin SD-NY10, metallo protease) increased the specific volume of
gluten-free rice breads by 19-63% compared to untreated bread. In contrast,
Newlase F (aspartyl protease) did not expand the volume of the rice bread. In a
rheological analysis, the viscoelastic properties of the gluten-free rice batters
also depended on the protease categories. Principal component analysis (PCA)
analysis suggested that the storage and loss moduli (G' and G", respectively) at
35 degrees C, and the maximum values of G' and G", were important factors in the
volume expansion. Judging from the PCA of the viscoelastic parameters of the rice
batters, papain and Protin SD-AY10 improved the viscoelasticity for gluten-free
rice bread making, and Protin SD-NY effectively expanded the gluten-free rice
bread. The rheological properties differed between Protin SD-NY and the other
protease treatments.
PMID- 29370714
TI - How much confidence do we need in animal experiments? Statistical assumptions in
sample size estimation.
AB - Statistical sample size calculation is a crucial part of planning nonhuman animal
experiments in basic medical research. The 3R principle intends to reduce the
number of animals to a sufficient minimum. When planning experiments, one may
consider the impact of less rigorous assumptions during sample size determination
as it might result in a considerable reduction in the number of required animals.
Sample size calculations conducted for 111 biometrical reports were repeated. The
original effect size assumptions remained unchanged, but the basic properties
(type 1 error 5%, two-sided hypothesis, 80% power) were varied. The analyses
showed that a less rigorous assumption on the type 1 error level (one-sided 5%
instead of two-sided 5%) was associated with a savings potential of 14% regarding
the original number of required animals. Animal experiments are predominantly
exploratory studies. In light of the demonstrated potential reduction in the
numbers of required animals, researchers should discuss whether less rigorous
assumptions during the process of sample size calculation may be reasonable for
the purpose of optimizing the number of animals in experiments according to the
3R principle.
PMID- 29370715
TI - Effect of cadence on volume and myoelectric activity during agonist-antagonist
paired sets (supersets) in the lower body.
AB - The aim of this study was to investigate the effect of cadence on volume load
(VLoad) and muscle activity during agonist -antagonist paired sets (APS) in the
lower body. Twelve trained men (24.0 +/- 3.3 years; 78.3 +/- 9.7 kg; 1.77 +/-
0.58 m) volunteered to participate in this study. After the 10 maximum repetition
test for leg extension and seated leg curl, participants performed three
experimental protocols: first protocol (traditional)-three sets of only leg
extension (60 bpm); second protocol (fast cadence)-three sets of leg extension,
with each set preceded by one set on the seated leg curl with fast cadence (90
bpm); third protocol (slow cadence)-similar to the second, but seated leg curl
with slow cadence (40 bpm). The total number of repetitions, VLoad and the
electromyography activity for the vastus lateralis, vastus medialis and rectus
femoris muscles were recorded. The VLoad was higher during APS than the
traditional method, but the cadence (fast or slow) of antagonist exercise did not
influence VLoad. Muscle activity of vastus lateralis was higher during
traditional protocol; on the other hand, rectus femoris showed higher activity on
APS fast cadence protocol, indicating that APS has a different influence on
quadriceps components.
PMID- 29370716
TI - Helios: History and Anatomy of a Successful In-House Enterprise High-Throughput
Screening and Profiling Data Analysis System.
AB - We describe the main characteristics of the Novartis Helios data analysis
software system (Novartis, Basel, Switzerland) for plate-based screening and
profiling assays, which was designed and built about 11 years ago. It has been in
productive use for more than 10 years and is one of the important standard
software applications running for a large user community at all Novartis
Institutes for BioMedical Research sites globally. A high degree of automation is
reached by embedding the data analysis capabilities into a software ecosystem
that deals with the management of samples, plates, and result data files,
including automated data loading. The application provides a series of analytical
procedures, ranging from very simple to advanced, which can easily be assembled
by users in very flexible ways. This also includes the automatic derivation of a
large set of quality control (QC) characteristics at every step. Any of the raw,
intermediate, and final results and QC-relevant quantities can be easily explored
through linked visualizations. Links to global assay metadata management, data
warehouses, and an electronic lab notebook system are in place. Automated
transfer of relevant data to data warehouses and electronic lab notebook systems
are also implemented.
PMID- 29370717
TI - Chemical constituents of Brazilian Propolis from the state of Bahia and their
growth inhibitory activities against cancer cells.
AB - A novel 2-phenoxychromone (1) and five known flavones (2-6) were isolated from
northeastern Brazilian propolis in the state of Bahia. The chemical structures of
these six compounds were determined by spectroscopic investigations and single
crystal X-ray analysis. The isolated compounds showed growth-inhibitory
activities, in varying degrees, against human tumor cell lines. This is the first
report on the discovery of a novel 2-phenoxychromone from propolis.
PMID- 29370719
TI - Immunization with dendritic cell-based DNA vaccine pRSC-NLDC145.gD-IL21 protects
mice against herpes simplex virus keratitis.
AB - AIM: The aim of this study is to investigate the effects of constructed dendritic
cell (DC)-based DNA vaccine (pRSC-NLDC145.gD-IL21) carried by chitosan
nanoparticle in preventing primary or recurrent herpes simplex virus keratitis
(HSK) in mice. METHODS: The expression of constructed plasmid 'pRSC-NLDC145.gD
IL21' was verified by western blot and immunofluorescence. Plasmids that were
embedded in chitosan were inoculated to test its therapeutic effect against
primary or recurrent HSK in mice. Humoral and cellular immune response, clinical
scores of herpes keratitis and inflammatory infiltration were measured. RESULTS:
The expressed glycoprotein D (gD) of pRSC-NLDC145.gD-IL21 DNA/chitosan
nanoparticle vaccine could effectively target corneal DCs and significantly
alleviate the symptoms of both primary and recurrent HSK mice via eliciting
strong humoral and cellular immune response. CONCLUSION: Our data suggested that
DC-based DNA vaccine could be a better choice for HSK treatment in the future.
PMID- 29370720
TI - Immune modulation by apoptotic dental pulp stem cells in vivo.
AB - Mesenchymal stem cells (MSCs) show considerable promise as a cellular
immunotherapy for the treatment of a number of autoimmune and inflammatory
disorders. However, the precise physiologically and therapeutically relevant
mechanism(s) by which MSCs mediate immune modulation remains elusive. Dental pulp
stem cells are a readily available source of MSCs that have been reported to show
similar immune modulation in vitro as bone marrow MSCs. To test their potential
in vivo, we used a clinically relevant humanized mouse model of GvHD in which
only human T cells engraft. In this model, we found no effects on either T-cell
proliferation, T-cell phenotype or disease progression. To determine if this lack
of efficacy was related to a failure of engraftment or persistence of the cells,
we used viability dependent radioactive cell tracking and showed that no cells
were detectable after 24-h postinjection. Given the apparent failure of MSC to
survive following intravenous injection, we hypothesized that their apoptosis may
account for the widely reported therapeutic effect in numerous experimental
models in vivo. To address this, we employed a well-established model of allergic
airway inflammation to compare the efficacy of live and apoptotic MSCs in a fully
immunocompetent model. In this model, both live and apoptotic dental pulp MSCs
induced a robust immune suppressive reaction that was substantially greater with
apoptotic cells. We propose that the mechanism of immune modulation following
systemic application of MSCs is a result of cell entrapment and apoptosis
occurring in the lungs.
PMID- 29370721
TI - Intratumoral administration of IL2- and TNF-based fusion proteins cures cancer
without establishing protective immunity.
AB - AIM: The combination of tumor-targeting IL2- and TNF-based antibody-cytokine
fusions has exhibited encouraging results in mouse and men. Here, we studied
their combination to assess efficacy and mechanism of action in four different
immunocompetent mouse models of cancer. METHODS: Mice receiving a single
intratumoral injection of F8-IL2, F8-TNF or the combination were investigated for
tumor-infiltrating leukocytes and rechallenged when cured. RESULTS: In three
models, a proportion of treated animals could be cured, most probably by
infiltrating NK and CD8+ T cells. Most of the cured mice did not acquire
protective immunity when rechallenged with the same tumor cell line. CONCLUSION:
Immunocompetent mouse tumor models may not be adequate enough to predict the
search for more efficacious therapy regimens.
PMID- 29370722
TI - Immunotherapy using regulatory T cells in cancer suggests more flavors of
hypersensitivity type IV.
AB - Regulatory T cells (Tregs) profoundly affect tumor microenvironment and exert
dominant suppression over antitumor immunity in response to self-antigen
expressed by tumor. Immunotherapy targeting Tregs lead to a significant
improvement in antitumor immunity. Intradermal injection of tumor antigen results
in negative delayed-type hypersensitivity (DTH) type IV. However, anti-Tregs
treatment/use of adjuvant along with tumor antigens turns DTH to positive.
Considering Tregs as the earliest tumor sensor/responders, tumor can be regarded
as Treg-mediated type IV hypersensitivity and negative DTH to tumor antigen is
due to anti-inflammatory action of Tregs to tumor antigens at the injection site.
Such a view would help us in basic and clinical situations to testify a candidate
vaccine via dermal administration and evaluation of Treg proportion at injection
site.
PMID- 29370723
TI - Isolated immune-related pancreatic exocrine insufficiency associated with
pembrolizumab therapy.
AB - We report a case of isolated immune-related pancreatic exocrine insufficiency in
a patient treated with pembrolizumab for metastatic melanoma. This patient
presented with explosive diarrhea and was treated with high dose corticosteroids
for possible immune-related colitis. However, biopsies from colon and duodenum
did not show any histological evidence of colitis/enteritis. Serum amylase and
lipase were not elevated. There was no evidence of pancreatitis or pancreatic
metastases on imaging. Significantly lower fecal elastase test on two occasions
confirmed the diagnosis of pancreatic exocrine insufficiency. He was treated with
pancreatic enzyme supplementation with complete resolution of diarrhea. This case
reinforces the importance of awareness and anticipation of unusual immune-related
adverse events related to checkpoint inhibitors.
PMID- 29370724
TI - Do immune checkpoint inhibitors increase sensitivity to salvage chemotherapy?
PMID- 29370725
TI - Pooled in vivo screens for cancer immunotherapy target discovery.
PMID- 29370726
TI - Cancer immunotherapy using tumor antigen-reactive T cells.
AB - Studies over the last 30 years have shown the promise of cancer immunotherapy
using T cells. In particular, since the report by Rosenberg and colleagues in
2002 that adoptive T-cell therapy (ACT) under lymphopenic conditions
substantially increased response rates in melanoma patients, ACT has become a
promising immunotherapeutic route to cancer treatment. Here we provide a brief
history of ACT and review the characteristics of T-cell therapeutics that are
specific to this approach. Since every T-cell treatment has its own unique
properties in terms of number and type of target antigens, and number of epitopes
and type of T cells, we review the main strategies for designing ACT: how Ag
specificity is determined, how is it standardized and the need for
lymphodepletion to induce epitope spreading. We also briefly consider the next
generation of ACT.
PMID- 29370727
TI - Chimeric antigen receptor T-cell therapy for cancer: a basic research-oriented
perspective.
AB - Chimeric antigen receptor (CAR) T cells have outstanding therapeutic potential
for treating blood cancers. The prospects for this technology have accelerated
basic research, clinical translation and Big Pharma's investment in the field of
T-cell therapeutics. This interest has led to the discovery of key factors that
affect CAR T-cell efficacy and play pivotal roles in T-cell immunology. Herein,
we introduce advances in adoptive immunotherapy and the birth of CAR T cells, and
review CAR T-cell studies that focus on three important features: CAR constructs,
target antigens and T-cell phenotypes. At last, we highlight novel strategies
that overcome the tumor microenvironment and circumvent CAR T-cell side effects,
and consider the future direction of CAR T-cell development.
PMID- 29370728
TI - Feature Extraction with GMDH-Type Neural Networks for EEG-Based Person
Identification.
AB - The brain activity observed on EEG electrodes is influenced by volume conduction
and functional connectivity of a person performing a task. When the task is a
biometric test the EEG signals represent the unique "brain print", which is
defined by the functional connectivity that is represented by the interactions
between electrodes, whilst the conduction components cause trivial correlations.
Orthogonalization using autoregressive modeling minimizes the conduction
components, and then the residuals are related to features correlated with the
functional connectivity. However, the orthogonalization can be unreliable for
high-dimensional EEG data. We have found that the dimensionality can be
significantly reduced if the baselines required for estimating the residuals can
be modeled by using relevant electrodes. In our approach, the required models are
learnt by a Group Method of Data Handling (GMDH) algorithm which we have made
capable of discovering reliable models from multidimensional EEG data. In our
experiments on the EEG-MMI benchmark data which include 109 participants, the
proposed method has correctly identified all the subjects and provided a
statistically significant ([Formula: see text]) improvement of the identification
accuracy. The experiments have shown that the proposed GMDH method can learn new
features from multi-electrode EEG data, which are capable to improve the accuracy
of biometric identification.
PMID- 29370729
TI - Metaplasticity: A Promising Tool to Disentangle Chronic Disorders of
Consciousness Differential Diagnosis.
AB - The extent of cortical reorganization after brain injury in patients with
Vegetative State/Unresponsive Wakefulness Syndrome (UWS) and Minimally Conscious
State (MCS) depends on the residual capability of modulating synaptic plasticity.
Neuroplasticity is largely abnormal in patients with UWS, although the fragments
of cortical activity may exist, while patients MCS show a better cortical
organization. The aim of this study was to evaluate cortical excitability in
patients with disorders of consciousness (DoC) using a transcranial direct
current stimulation (TDCS) metaplasticity protocol. To this end, we tested motor
evoked potential (MEP) amplitude, short intracortical inhibition (SICI), and
intracortical facilitation (ICF). These measures were correlated with the level
of consciousness (by the Coma Recovery Scale-Revised, CRS-R). MEP amplitude,
SICI, and ICF strength were significantly modulated following different
metaplasticity TDCS protocols only in the patients with MCS. SICI modulations
showed a significant correlation with the CRS-R score. Our findings demonstrate,
for the first time, a partial preservation of metaplasticity properties in some
patients with DoC, which correlates with the level of awareness. Thus,
metaplasticity assessment may help the clinician in differentiating the patients
with DoC, besides the clinical evaluation. Moreover, the responsiveness to
metaplasticity protocols may identify the subjects who could benefit from
neuromodulation protocols.
PMID- 29370730
TI - Shared meanings of success, happiness, and health among adults with cerebral
palsy and physiotherapists: implications for practice and research.
AB - PURPOSE: To describe shared meanings of success, happiness, and health of adults
with cerebral palsy and physiotherapists. MATERIALS AND METHODS: Ethnography
employed open ended/semi-structured interviews and structured questionnaires
(Satisfaction with Life Scale, Beck Depression Inventory-II(r), Oxford Happiness
Questionnaire, Life Habits Questionnaire, Medical Outcomes Study-Social Support
Survey, and PROMIS(r) Pain Interference Scale). Content analysis of qualitative
data and principal components analysis of questionnaire responses identified
shared meanings. RESULTS: Fourteen adults with cerebral palsy and 15
physiotherapists (median age 46) had similar levels of education. For both
groups, social achievements, personal goals, employment, and supporting a family
defined success. Adults with cerebral palsy more frequently identified tenacity
and persistence as important for success. Both groups described happiness as
spending time with loved ones, recreational activities, and having purpose in
life. Adults with cerebral palsy identified the importance of self-acceptance for
happiness. For both, health included self-care of mind/spirit, cardiovascular and
musculoskeletal wellness, and physical fitness (the ability to perform physical
tasks). Analysis of questionnaire responses identified shared meanings
(eigenvalue 41, 95% explained variance). CONCLUSIONS: Adults with cerebral palsy
and physiotherapists share similar experiences, behaviors, and feelings about
success, happiness, and health. This knowledge may improve communication, enhance
evidence-based practice, and foster services to support wellbeing. Implications
for rehabilitation Cerebral palsy is a life-long condition, but we know little
about social and physical outcomes for adults with cerebral palsy. Lack of
understanding about meanings of success, happiness, and health may be a barrier
for consumers accessing and for providers delivering evidence-based services.
Physiotherapists and adults with cerebral palsy share similar meanings (feelings,
experiences, beliefs, behaviors) of success, happiness, and health- or wellbeing.
Knowledge of this common ground may result in improved communication between
providers and consumers, and foster more relevant and meaningful services to
support the wellbeing of adults with cerebral palsy.
PMID- 29370731
TI - Possibilities of kinesio taping to prevent injuries of professional dancers.
AB - A literature review of the application of kinesio taping in the prevention of
professional dancers' injuries indicated frequent dance-related and overuse
injuries and a lack of organized information about this issue. This study aimed
to assess the impact of kinesio taping on the musculoskeletal system of dancers,
based on scientific research data from 2015-2017. The analysis revealed that
kinesio taping can effectively reduce muscle spasms, rebuild muscle strength of
the injured extremity, improve static and dynamic balance and ease pain, due to
its ability to improve proprioception of joints and regulate muscle tone. These
effects reduce muscle imbalance and joint instability, thus increasing treatment
efficacy and shortening the physical load limitation. Kinesio taping
significantly reduces the risk of overuse syndromes and dance-related injuries
during dance training and strenuous exercises of people with chronic
musculoskeletal diseases. Therefore, kinesio taping has broad utility in primary
and secondary prevention of dance-related injuries.
PMID- 29370732
TI - Closing the barrier between disease and health outcomes in Africa through
research and capacity development.
AB - BACKGROUND: While the burden of disease in Africa is high, health research
emanating from the continent is low. Building human capacity and research
infrastructure to close the gap between research and disease is thus of great
imporatance. OBJECTIVE: In order to improve research outputs and postgraduate
training in the Faculty of Health Sciences, University of the Witwatersrand, the
Health Sciences Research Office put in place a series of strategic initiatives
over time. METHODS: A range of strategic activities, for both postgraduate
students and academic staff, were developed in parallel and sequentially over a
period of approximately nine years (2008-2016). The latter years were a time of
consolidation of the programmes. Outcomes of these activities were 'measured' by
increases in publications, decreases in time to graduation and enrichment of the
research environment. RESULTS: A doubling of research publications and an
increase in citations occurred over the period under review. In addition, there
was a decrease in the time postgraduate students took to graduate. CONCLUSIONS: A
varied, but structured research management plan may be of value in African and
other developing health sciences institutions to enable the increase in research
outputs and capacity development, desperately needed to close the barrier between
disease and health.
PMID- 29370733
TI - Food-derived regulatory factors against obesity and metabolic syndrome.
AB - Obesity is a key factor in metabolic syndrome. The study of metabolic syndrome
focuses on the anti-weight gain properties of physiological mechanisms and food
components. Abnormal energy metabolism is a major risk factor of metabolic
syndrome. Chronic inflammation is a feature of obesity; cytokines from
hypertrophied adipocytes cause inflammation in both adipose tissue and blood
vessels, resulting in symptoms of metabolic syndrome. Tumor necrosis factor-alpha
causes insulin resistance in adipocytes and regression of brown adipocytes,
resulting in abnormal energy metabolism. Functional foods can serve as a strategy
for prevention and treatment of obesity linked with metabolic processes in white
and brown adipose tissues. Diet-induced thermogenesis caused by certain food
components stimulates burning of stored fat within adipose tissues. A mechanistic
understanding of dietary thermogenesis via the sympathetic nerve system will
prove valuable for the development of precise strategies for the practical
prevention of metabolic syndrome.
PMID- 29370734
TI - Seasoning ingredients in a medium-fat diet regulate lipid metabolism in
peripheral tissues via the hypothalamic-pituitary axis in growing rats.
AB - We fed rats noodle (N) -diet containing 30 wt.% instant noodle with a 26% fat-to
energy ratio for 30 days (N-group). Compared with rats that were fed the same
amount of nutrients (C-group), the N-group showed lower liver triacylglycerol
levels and higher fecal cholesterol levels. We then analyzed transcriptome of the
hypothalamic-pituitary (HP), the liver and the white adipose tissue (WAT).
Thyroid stimulating hormone (Tshb), and its partner, glycoprotein hormone genes
were up-regulated in the HP of N-group. Sterol regulatory element binding
transcription factors were activated in the liver of N-group, while an up
regulation of the angiogenic signal occurred in the WAT of N-group. N-group
showed higher urine noradrenaline (NA) level suggesting that these tissue signals
are regulated by NA and Tshb. The N-diet contains 0.326 wt.% glutamate, 0.00236
wt.% 6-shogaol and Maillard reaction products. Our results suggest that these
ingredients may affect lipid homeostasis via the HP axis.
PMID- 29370735
TI - Creating a Faculty Publications Database Using Sci-Matetm.
AB - Faculty publication are reflection of research activity at a medical school and
are thus very important. When a more organized and detailed record of faculty
productivity was desired at the University of Nevada School of Medicine, manual
methods as well as generic database manger were seen as too cumbersome. Instead,
automating the collection using Sci-Mate, tm since it will accept downloaded
citations, was seen as the more efficient solution to producing and keeping eh
list up-to-date. This article will discuss the design and the methods used to
create the database.
PMID- 29370736
TI - The Faculty Research Interests Roster.
AB - Profiles of the research interests of faculty at Thomas Jefferson University
(TJU) were gathered and organized into the three-part faculty research interests
roster. Faculty completed a questionnaire detailing their current research
activities and areas of technical expertise. The article describe difficulties
encountered in gathering and organizing the information. Two editions of the
Roster were complied. The second, revised edition consists of section listing
faculty by department with their research interests as they describe them, by
broader subject arrangement, and by areas of technical expertise. The article
concludes wit the description of the positive and negative results generated by
the Roster and a plan for improving the publication in the future.
PMID- 29370737
TI - DIOGENES.
AB - This paper describes the DIOGENES database available though BRS Information
Technologies. The database focuses on drug and medical device regulatory
information from the Food and Drug Administration. The specific strengths of
DIOGENES are mentioned as well as some plans for further development of the
database.
PMID- 29370740
TI - Letter to the Editor.
AB - No abstract available for this article.
PMID- 29370739
TI - CD-ROM.
AB - What makes CD-ROM attractive or unattractive in the library setting? What are its
advantages or disadvantages? How will the technology develop? What has been the
experience of libraries who have tested and introduced CD-ROM products to their
users? The following two-part article addresses these questions. Part 1 explores
the development of disk technology, its advantages and disadvantages and
potential library applications. The actual testing and use of one of the CD-ROM
products, PsycLIT, is the focus of Part II.
PMID- 29370742
TI - Creating Education Programs in Libraries.
AB - No abstract available for this article.
PMID- 29370743
TI - Sharewars.
AB - No abstract available for this article.
PMID- 29370744
TI - Protocol for a cluster randomised controlled trial on information technology
enabled nutrition intervention among urban adults in Chandigarh (India): SMART
eating trial.
AB - Nutrition is an important determinant of health. At present, nutrition programs
in India mainly emphasize improving maternal and child nutrition. Adult nutrition
has not received due attention, though diseases like hypertension and diabetes
are largely preventable through changes in dietary and physical activity
behaviour. Little is known about the best approaches to improve dietary
behaviours, especially the role of modern information technology (IT) in health
education. We describe the protocol of the SMART Eating (Small, Measurable and
Achievable dietary changes by Reducing fat, sugar and salt consumption and Trying
different fruits and vegetables) health promotion intervention. A Cluster
Randomised Controlled Trial will evaluate the effect of an IT-enabled
intervention on nutrition behaviour among urban adults of Chandigarh, India.
Formative research using a qualitative exploratory approach was undertaken to
inform the intervention. The IT-enabled intervention programme includes website
development, Short Message Service (SMS), e-mail reminders and interactive help
by mobile and landline phones. The IT-enabled intervention will be compared to
the traditional nutrition education program of distributing pamphlets in the
control group. The primary outcome will be the percentage of study participants
meeting the dietary intake guidelines of the National Institute of Nutrition,
Hyderabad, India and the change in intake of fat, sugar, salt, fruit and
vegetables after the intervention. The difference in differences method will be
used to determine the net change in dietary intakes resulting from the
interventions. Measurements will be made at baseline and at 6 months post
intervention, using a food frequency questionnaire. The formative research led to
the development of a comprehensive intervention, focusing on five dietary
components and using multi-channel communication approach including the use of IT
to target urban North Indians from diverse socio-economic backgrounds. The
Cluster Randomised Controlled Trial design is suitable for evaluating the
effectiveness of this IT-enabled intervention for dietary behaviour change.
PMID- 29370745
TI - Design and fabrication of injectable microcarriers composed of acellular
cartilage matrix and chitosan.
AB - Cartilage is an avascular tissue with limited self-repair ability. Since the
methods for treatment of cartilage defects have not been effective, new therapies
based on tissue engineering are considered over the recent years. In this study,
human cartilage tissue was decellularized and porous injectable microcarriers
(MCs) composed of acellular extracellular matrix (ECM) of cartilage tissue and
chitosan (CS), with different ECM weight ratios, were fabricated by
electrospraying technique to be used in the treatment of articular cartilage
defects. Various properties of ECM/CS MCs such as microstructure, mechanical
strength, water uptake behaviour, and biodegradability rate were investigated.
MCs with 1% ECM and 2% CS show appropriate characteristics in terms of pore size,
density, porosity, and mechanical properties. MTT cytotoxicity assays performed
on chondrocyte cells cultured on ECM/CS MCs with various amounts of ECM showed
that the sample with 1% ECM content had the greatest cell attachment. Overall, it
can be concluded that the prepared MCs with 1% ECM could be a potential candidate
to be used in cartilage tissue engineering applications.
PMID- 29370746
TI - Preface.
PMID- 29370747
TI - Editorial: Corticotropin Releasing Factor (CRF) and Its Receptors: From Structure
to Function in Health and Disease (Part II).
PMID- 29370749
TI - Intraventricular infusion of clusterin ameliorated cognition and pathology in
Tg6799 model of Alzheimer's disease.
AB - BACKGROUND: Alzheimer's disease (AD) is characterized by the deposition of
amyloid-beta (Abeta) in brain parenchyma and cerebral blood vessels as cerebral
amyloid angiopathy (CAA). Clusterin, a chaperon protein associated with Abeta
aggregation, toxicity and transport through blood-brain barrier, may play a key
role in the development of AD. Recently, clusterin peptide D-[113-122] was shown
to mimic clusterin's function and exerted therapeutic effect in atherosclerosis.
In this study, we investigated whether this clusterin peptide also affected
(Abeta) deposition in AD transgenic mouse. RESULTS: Using a micropump, synthetic
peptide 113-122 of clusterin protein (20 MUg/200 MUl) was infused into the
lateral ventricle of 8-month 5 * FAD transgenic mouse model (Tg6799), for 2
weeks. Water-maze testing showed an improved cognitive function of the Tg6799
mice treated with clusterin. Immunocytochemistry and quantitative analysis
revealed that intraventricular (icv) administration of clusterin peptide in
Tg6799 mouse reduced Abeta plaques as well the severity of cerebral amyloid
angiopathy. Enzyme-linked immunosorbent assay demonstrated a decreased in the
soluble levels of Abeta (Abeta40 and Abeta42) in the brain. Western-blot revealed
an increased level of LRP-2 after clusterin peptide treatment. CONCLUSION: These
results suggest that icv infusion of clusterin peptide D-[113-122] offers a
promising therapeutic approach to reduce Abeta deposition as well as CAA. The
LRP2-mediated clearance system might be involved in the mechanism of these
effects.
PMID- 29370750
TI - CONFOLD2: improved contact-driven ab initio protein structure modeling.
AB - BACKGROUND: Contact-guided protein structure prediction methods are becoming more
and more successful because of the latest advances in residue-residue contact
prediction. To support contact-driven structure prediction, effective tools that
can quickly build tertiary structural models of good quality from predicted
contacts need to be developed. RESULTS: We develop an improved contact-driven
protein modelling method, CONFOLD2, and study how it may be effectively used for
ab initio protein structure prediction with predicted contacts as input. It
builds models using various subsets of input contacts to explore the fold space
under the guidance of a soft square energy function, and then clusters the models
to obtain the top five models. CONFOLD2 obtains an average reconstruction
accuracy of 0.57 TM-score for the 150 proteins in the PSICOV contact prediction
dataset. When benchmarked on the CASP11 contacts predicted using CONSIP2 and
CASP12 contacts predicted using Raptor-X, CONFOLD2 achieves a mean TM-score of
0.41 on both datasets. CONCLUSION: CONFOLD2 allows to quickly generate top five
structural models for a protein sequence when its secondary structures and
contacts predictions at hand. The source code of CONFOLD2 is publicly available
at https://github.com/multicom-toolbox/CONFOLD2/ .
PMID- 29370748
TI - A SNP panel for identification of DNA and RNA specimens.
AB - BACKGROUND: SNP panels that uniquely identify an individual are useful for
genetic and forensic research. Previously recommended SNP panels are based on DNA
profiles and mostly contain intragenic SNPs. With the increasing interest in RNA
expression profiles, we aimed for establishing a SNP panel for both DNA and RNA
based genotyping. RESULTS: To determine a small set of SNPs with maximally
discriminative power, genotype calls were obtained from DNA and blood-derived RNA
sequencing data belonging to healthy, geographically dispersed, Dutch
individuals. SNPs were selected based on different criteria like genotype call
rate, minor allele frequency, Hardy-Weinberg equilibrium and linkage
disequilibrium. A panel of 50 SNPs was sufficient to identify an individual
uniquely: the probability of identity was 6.9 * 10- 20 when assuming no family
relations and 1.2 * 10- 10 when accounting for the presence of full sibs. The
ability of the SNP panel to uniquely identify individuals on DNA and RNA level
was validated in an independent population dataset. The panel is applicable to
individuals from European descent, with slightly lower power in non-Europeans.
Whereas most of the genes containing the 50 SNPs are expressed in various
tissues, our SNP panel needs optimization for other tissues than blood.
CONCLUSIONS: This first DNA/RNA SNP panel will be useful to identify sample mix
ups in biomedical research and for assigning DNA and RNA stains in crime scenes
to unique individuals.
PMID- 29370751
TI - Association mapping for total polyphenol content, total flavonoid content and
antioxidant activity in barley.
AB - BACKGROUND: The interest has been increasing on the phenolic compounds in plants
because of their nutritive function as food and the roles regulating plant
growth. However, their underlying genetic mechanism in barley is still not clear.
RESULTS: A genome-wide association study (GWAS) was conducted for total phenolic
content (TPC), total flavonoid content (FLC) and antioxidant activity (AOA) in 67
cultivated and 156 Tibetan wild barley genotypes. Most markers associated with
phenolic content were different in cultivated and wild barleys. The markers bPb
0572 and bPb-4531 were identified as the major QTLs controlling phenolic
compounds in Tibetan wild barley. Moreover, the marker bPb-4531 was co-located
with the UDP- glycosyltransferase gene (HvUGT), which is a homolog to Arabidopsis
UGTs and involved in biosynthesis of flavonoid glycosides . CONCLUSIONS: GWAS is
an efficient tool for exploring the genetic architecture of phenolic compounds in
the cultivated and Tibetan wild barleys. The DArT markers applied in this study
can be used in barley breeding for developing new barley cultivars with higher
phenolics content. The candidate gene (HvUGT) provides a potential route for deep
understanding of the molecular mechanism of flavonoid synthesis.
PMID- 29370752
TI - The pivotal role of aristaless in development and evolution of diverse antennal
morphologies in moths and butterflies.
AB - BACKGROUND: Antennae are multi-segmented appendages and main odor-sensing organs
in insects. In Lepidoptera (moths and butterflies), antennal morphologies have
diversified according to their ecological requirements. While diurnal butterflies
have simple, rod-shaped antennae, nocturnal moths have antennae with protrusions
or lateral branches on each antennal segment for high-sensitive pheromone
detection. A previous study on the Bombyx mori (silk moth) antenna, forming two
lateral branches per segment, during metamorphosis has revealed the dramatic
change in expression of antennal patterning genes to segmentally reiterated,
branch-associated pattern and abundant proliferation of cells contributing almost
all the dorsal half of the lateral branch. Thus, localized cell proliferation
possibly controlled by the branch-associated expression of antennal patterning
genes is implicated in lateral branch formation. Yet, actual gene function in
lateral branch formation in Bombyx mori and evolutionary mechanism of various
antennal morphologies in Lepidoptera remain elusive. RESULTS: We investigated the
function of several genes and signaling specifically in lateral branch formation
in Bombyx mori by the electroporation-mediated incorporation of siRNAs or
morpholino oligomers. Knock down of aristaless, a homeobox gene expressed
specifically in the region of abundant cell proliferation within each antennal
segment, during metamorphosis resulted in missing or substantial shortening of
lateral branches, indicating its importance for lateral branch formation.
aristaless expression during metamorphosis was lost by knock down of Distal-less
and WNT signaling but derepressed by knock down of Notch signaling, suggesting
the strict determination of the aristaless expression domain within each antennal
segment by the combinatorial action of them. In addition, analyses of pupal
aristaless expression in antennae with various morphologies of several
lepidopteran species revealed that the aristaless expression pattern has a
striking correlation with antennal shapes, whereas the segmentally reiterated
expression pattern was observed irrespective of antennal morphologies.
CONCLUSIONS: Our results presented here indicate the significance of aristaless
function in lateral branch formation in B. mori and imply that the
diversification in the aristaless expression pattern within each antennal segment
during metamorphosis is one of the significant determinants of antennal
morphologies. According to these findings, we propose a mechanism underlying
development and evolution of lepidopteran antennae with various morphologies.
PMID- 29370753
TI - Genome-wide association analysis and QTL mapping reveal the genetic control of
cadmium accumulation in maize leaf.
AB - BACKGROUND: Accumulation of cadmium (Cd) in maize (Zea mays L.) poses a
significant risk to human health as it is ingested via the food chain. A genome
wide association study (GWAS) was conducted in a population of 269 maize
accessions with 43,737 single nucleotide polymorphisms (SNPs) to identify
candidate genes and favorable alleles for controlling Cd accumulation in maize.
RESULTS: When grown in contaminated soil, accessions varied significantly in leaf
Cd concentration at both the seeding and maturing stages with phenotypic
variation and the coefficient of variation all above 48%. The co-localized region
between SYN27837 (147,034,650 bp) and SYN36598 (168,551,327 bp) on chromosome 2
was associated with leaf Cd under three soil conditions varying in Cd content in
2015 and 2016. The significant SNP (SYN25051) at position 161,275,547 could
explained 27.1% of the phenotype variation. Through QTL mapping using the
IBMSyn10 double haploid (DH) population, we validated the existence of a major
QTL identified by GWAS; qLCd2 could explain the 39.8% average phenotype variation
across the experiments. Expression of GRMZM2G175576 encoding a cadmium/zinc
transporting ATPase underlying the QTL was significantly increased in roots,
stems and leaves of B73, a low Cd accumulation line in response to Cd stress.
CONCLUSIONS: Our findings provide new insights into the genetic control of Cd
accumulation and could aid rapid development of maize genotypes with low-Cd
accumulation by manipulation of the favorable alleles.
PMID- 29370754
TI - Cardiac valve calcification and risk of cardiovascular or all-cause mortality in
dialysis patients: a meta-analysis.
AB - BACKGROUND: Vascular calcification is a risk factor for the pathogenesis of
cardiovascular disease and mortality in dialysis patients. Nevertheless, the
association between cardiac valve calcification (CVC) and the outcome of dialysis
is still illusive. The purpose of this meta-analysis is to evaluate the
association between theCVC and cardiovascular or all-cause mortality in dialysis
patients. METHODS: Literatures involving the baseline CVC and cardiovascular or
all-cause mortality in dialysis patients were searchedfrom the PubMed, Embase, as
well as two Chinese databases (i.e. Wanfang and CNKI databases). Articles
published before November 2016were eligible to the study. RESULTS: Ten studies
involving 2686 participants were included. CVC was correlated with increased risk
of cardiovascular mortality (hazard risk [HR]: 2.81; 95% confidence intervals
[CI]: 1.92-4.10) and all-cause mortality (HR: 1.73; 95% CI: 1.42-2.11). Subgroup
analysis showed an excess risk of all-cause mortality (HR: 1.35; 95% CI: 1.02
1.79) among patients with one CVC, and increased risk of all-cause mortality in
patients with two CVCs (HR 2.15; 95% CI 1.57-2.94). CONCLUSIONS: CVC is
correlated with higher cardiovascular and all-cause mortality risk in dialysis
patients. Regular follow-up monitoring of CVC may be helpful for risk
stratification of patients underwent dialysis.
PMID- 29370755
TI - Associations between intraoperative ventilator settings during one-lung
ventilation and postoperative pulmonary complications: a prospective
observational study.
AB - BACKGROUND: The interest in perioperative lung protective ventilation has been
increasing. However, optimal management during one-lung ventilation (OLV) remains
undetermined, which not only includes tidal volume (VT) and positive end
expiratory pressure (PEEP) but also inspired oxygen fraction (FIO2). We aimed to
investigate current practice of intraoperative ventilation during OLV, and
analyze whether the intraoperative ventilator settings are associated with
postoperative pulmonary complications (PPCs) after thoracic surgery. METHODS: We
performed a prospective observational two-center study in Japan. Patients
scheduled for thoracic surgery with OLV from April to October 2014 were eligible.
We recorded ventilator settings (FIO2, VT, driving pressure (DeltaP), and PEEP)
and calculated the time-weighted average (TWA) of ventilator settings for the
first 2 h of OLV. PPCs occurring within 7 days of thoracotomy were investigated.
Associations between ventilator settings and the incidence of PPCs were examined
by multivariate logistic regression. RESULTS: We analyzed perioperative
information, including preoperative characteristics, ventilator settings, and
details of surgery and anesthesia in 197 patients. Pressure control ventilation
was utilized in most cases (92%). As an initial setting for OLV, an FIO2 of 1.0
was selected for more than 60% of all patients. Throughout OLV, the median TWA
FIO2 of 0.8 (0.65-0.94), VT of 6.1 (5.3-7.0) ml/kg, DeltaP of 17 (15-20) cm H2O,
and PEEP of 4 (4-5) cm H2O was applied. Incidence rate of PPCs was 25.9%, and
FIO2 was independently associated with the occurrence of PPCs in multivariate
logistic regression. The adjusted odds ratio per FIO2 increase of 0.1 was 1.30
(95% confidence interval: 1.04-1.65, P = 0.0195). CONCLUSIONS: High FIO2 was
applied to the majority of patients during OLV, whereas low VT and slight degree
of PEEP were commonly used in our survey. Our findings suggested that a higher
FIO2 during OLV could be associated with increased incidence of PPCs.
PMID- 29370756
TI - Centre- versus home-based exercise among people with mci and mild dementia: study
protocol for a randomized parallel-group trial.
AB - BACKGROUND: Worldwide, almost 50million people lived with dementia in 2016. A
cure or disease modifying pharmaceutical treatment for dementia remains elusive
so alternative therapies are of critical importance. Mounting evidence supports
exercise in the prevention and therapy of dementia. However, the cognitive,
physical, and psychological challenges common to dementia along with a poor
understanding and accommodation of dementia in the community are major barriers
to exercise. Consequently, effective delivery options need to be identified. The
primary objective of this study is to compare the effectiveness of center-based
(CB) exercise versus home-based (HB) exercise for achievement of physical
activity guidelines among people with MCI or mild dementia. METHODS: This is a
randomized parallel-group trial comparing the effects of CB and HB exercise
adherence among community-dwelling adults >=50 years with a clinical diagnosis of
MCI or mild dementia. Participants will be randomized to either CB or HB
exercise. The CB group will meet weekly for small group exercise and will be
prescribed additional exercise to be completed independently. Participants in the
HB group will be given a physical activity prescription to be completed
independently in the community. Participants in HB will also be contacted by
phone monthly to adjust exercise prescriptions. The primary outcome will be
achievement of exercise guidelines (150 min/wk. of moderate activity) assessed
using an activity monitor. Secondary objectives will evaluate cost-effectiveness
and the influence of individual and environmental factors on the primary outcome.
Tertiary outcomes include physical function, cognition, mood, and quality of
life. DISCUSSION: There is scant research to indicate the most effective way to
deliver exercise to people with MCI and mild dementia, which is needed
specifically because these groups face significant barriers to exercise. To
capitalize on the benefits of exercise, feasible exercise delivery options need
to be identified. The results of this study will directly complement ongoing
clinical trials and will be essential to implementing exercise recommendations
specific to the prevention and therapy of dementia in a feasible and cost
effective manner when they emerge. TRIAL REGISTRATION: Clinicatrials.gov ;
Identifier: NCT02774720 (version updated December 12, 2016).
PMID- 29370757
TI - Expression of two barley proteinase inhibitors in tomato promotes endogenous
defensive response and enhances resistance to Tuta absoluta.
AB - BACKGROUND: Plants and insects have coexisted for million years and evolved a set
of interactions which affect both organisms at different levels. Plants have
developed various morphological and biochemical adaptations to cope with
herbivores attacks. However, Tuta absoluta (Meyrick) (Lepidoptera: Gelechiidae)
has become the major pest threatening tomato crops worldwide and without the
appropriated management it can cause production losses between 80 to 100%.
RESULTS: The aim of this study was to investigate the in vivo effect of a serine
proteinase inhibitor (BTI-CMe) and a cysteine proteinase inhibitor (Hv-CPI2) from
barley on this insect and to examine the effect their expression has on tomato
defensive responses. We found that larvae fed on tomato transgenic plants co
expressing both proteinase inhibitors showed a notable reduction in weight.
Moreover, only 56% of these larvae reached the adult stage. The emerged adults
showed wings deformities and reduced fertility. We also investigated the effect
of proteinase inhibitors ingestion on the insect digestive enzymes. Our results
showed a decrease in larval trypsin activity. Transgenes expression had no
harmful effect on Nesidiocoris tenuis (Reuter) (Heteroptera: Miridae), a predator
of Tuta absoluta, despite transgenic tomato plants attracted the mirid. We also
found that barley cystatin expression promoted plant defense by inducing the
expression of the tomato endogenous wound inducible Proteinase inhibitor 2 (Pin2)
gene, increasing the production of glandular trichomes and altering the emission
of volatile organic compounds. CONCLUSION: Our results demonstrate the usefulness
of the co-expression of different proteinase inhibitors for the enhancement of
plant resistance to Tuta absoluta.
PMID- 29370758
TI - Transcriptome sequencing of the human pathogen Corynebacterium diphtheriae NCTC
13129 provides detailed insights into its transcriptional landscape and into DtxR
mediated transcriptional regulation.
AB - BACKGROUND: The human pathogen Corynebacterium diphtheriae is the causative agent
of diphtheria. In the 1990s a large diphtheria outbreak in Eastern Europe was
caused by the strain C. diphtheriae NCTC 13129. Although the genome was sequenced
more than a decade ago, not much is known about its transcriptome. Our aim was to
use transcriptome sequencing (RNA-Seq) to close this knowledge gap and gain
insights into the transcriptional landscape of a C. diphtheriae tox+ strain.
RESULTS: We applied two different RNA-Seq techniques, one to retrieve 5'-ends of
primary transcripts and the other to characterize the whole transcriptional
landscape in order to gain insights into various features of the C. diphtheriae
NCTC 13129 transcriptome. By examining the data we identified 1656 transcription
start sites (TSS), of which 1202 were assigned to genes and 454 to putative novel
transcripts. By using the TSS data promoter regions recognized by the
housekeeping sigma factor sigmaA and its motifs were analyzed in detail,
revealing a well conserved -10 but an only weakly conserved -35 motif,
respectively. Furthermore, with the TSS data 5'-UTR lengths were explored. The
observed 5'-UTRs range from zero length (leaderless transcripts), which make up
20% of all genes, up to over 450 nt long leaders, which may harbor regulatory
functions. The C. diphtheriae transcriptome consists of 471 operons which are
further divided into 167 sub-operon structures. In a differential expression
analysis approach, we discovered that genetic disruption of the iron-sensing
transcription regulator DtxR, which controls expression of diphtheria toxin (DT),
causes a strong influence on general gene expression. Nearly 15% of the genome is
differentially transcribed, indicating that DtxR might have other regulatory
functions in addition to regulation of iron metabolism and DT. Furthermore, our
findings shed light on the transcriptional landscape of the DT encoding gene tox
and present evidence for two tox antisense RNAs, which point to a new way of
transcriptional regulation of toxin production. CONCLUSIONS: This study presents
extensive insights into the transcriptome of C. diphtheriae and provides a basis
for future studies regarding gene characterization, transcriptional regulatory
networks, and regulation of the tox gene in particular.
PMID- 29370759
TI - Identification of Gossypium hirsutum long non-coding RNAs (lncRNAs) under salt
stress.
AB - BACKGROUND: Long non-coding RNAs (lncRNAs) represent a class of riboregulators
that either directly act in long form or are processed into shorter microRNAs
(miRNAs) and small interfering RNAs. Long noncoding RNAs (lncRNAs) are
arbitrarily defined as RNA genes larger than 200 nt in length that have no
apparent coding potential. lncRNAs have emerged as playing important roles in
various biological regulatory processes and are expressed in a more tissue
specific manner than mRNA. Emerging evidence shows that lncRNAs participate in
stress-responsive regulation. RESULTS: In this study, in order to develop a
comprehensive catalogue of lncRNAs in upland cotton under salt stress, we
performed whole-transcriptome strand-specific RNA sequencing for three-leaf stage
cotton seedlings treated with salt stress (S_NaCl) and controls (S_CK). In total
we identified 1117 unique lncRNAs in this study and 44 differentially expressed
RNAs were identified as potential non-coding RNAs. For the differentially
expressed lncRNAs that were identified as intergenic lncRNAs (lincRNA), we
analysed the gene ontology enrichment of cis targets and found that cis target
protein-coding genes were mainly enriched in stress-related categories. Real-time
quantitative PCR confirmed that all selected lincRNAs responsive to salt stress.
We found lnc_388 was likely as regulator of Gh_A09G1182. And lnc_883 may
participate in regulating tolerance to salt stress by modulating the expression
of Gh_D03G0339 MS_channel. We then predicted the target mimics for miRNA in
Gossypium. six miRNAs were identified, and the result of RT-qPCR with lncRNA and
miRNA suggested that lnc_973 and lnc_253 may regulate the expression of ghr
miR399 and ghr-156e as a target mimic under salt stress. CONCLUSIONS: We
identified 44 lincRNAs that were differentially expressed under salt stress.
These lincRNAs may target protein-coding genes via cis-acting regulation. We also
discovered that specifically-expressed lincRNAs under salt stress may act as
endogenous target mimics for conserved miRNAs. These findings extend the current
view on lincRNAs as ubiquitous regulators under stress stress.
PMID- 29370760
TI - Scuba: scalable kernel-based gene prioritization.
AB - BACKGROUND: The uncovering of genes linked to human diseases is a pressing
challenge in molecular biology and precision medicine. This task is often
hindered by the large number of candidate genes and by the heterogeneity of the
available information. Computational methods for the prioritization of candidate
genes can help to cope with these problems. In particular, kernel-based methods
are a powerful resource for the integration of heterogeneous biological
knowledge, however, their practical implementation is often precluded by their
limited scalability. RESULTS: We propose Scuba, a scalable kernel-based method
for gene prioritization. It implements a novel multiple kernel learning approach,
based on a semi-supervised perspective and on the optimization of the margin
distribution. Scuba is optimized to cope with strongly unbalanced settings where
known disease genes are few and large scale predictions are required.
Importantly, it is able to efficiently deal both with a large amount of candidate
genes and with an arbitrary number of data sources. As a direct consequence of
scalability, Scuba integrates also a new efficient strategy to select optimal
kernel parameters for each data source. We performed cross-validation experiments
and simulated a realistic usage setting, showing that Scuba outperforms a wide
range of state-of-the-art methods. CONCLUSIONS: Scuba achieves state-of-the-art
performance and has enhanced scalability compared to existing kernel-based
approaches for genomic data. This method can be useful to prioritize candidate
genes, particularly when their number is large or when input data is highly
heterogeneous. The code is freely available at https://github.com/gzampieri/Scuba
.
PMID- 29370761
TI - Hepatitis C-related cryoglobulinemic neuropathy: potential role of oxcarbazepine
for pain control.
AB - BACKGROUND: Peripheral neuropathy is one most common, limiting and invalidating
neurological symptom in subjects with hepatitis C virus and mixed
cryoglobulinemia. Notably, the medical therapy proposed to eradicate HCV, can
frequently exacerbate the painful neuropathy. Therefore, neuropathy therapies are
insufficient and inadequate, and comprise immunosuppressive drugs, such as
steroid or cyclosporine, intravenous immunoglobulin or plasma exchange. These
have shown variable success in case reports, with a presumably temporary effect,
but with major side effects. METHODS: We assessed the effects of oxcarbazepine
treatment in 67 cases of cryoglobulinemia related neuropathy, who did not respond
to either steroid or Gabapentin, or Pregabalin. Oxcarbazepine was chosen based on
the promising preliminary results. RESULTS: Patients treated with Oxcarbazepine
showed a rapid, discrete and persistent relief of polyneuropathic signs, without
consistent side effects, and with a limited interaction with concomitant drugs.
CONCLUSIONS: These data favor the use of oxcarbazepine as a useful tool in the
management of neuropathic pain associated with Hepatitis-C cryoglobulin
neuropathy.
PMID- 29370762
TI - Circular stripes were more common in Barrett's esophagus after acetic acid
staining.
AB - BACKGROUND: The diagnosis of Barrett's esophagus (BE) is disturbed by numerous
factors, including correct gastroesophageal junction judgment, the initial
location of the Z-line and the biopsy result above it. The acetic acid (AA) could
help to diagnose BE better than high resolution imaging technology or magnifying
endoscopy, by providing enhanced contrast of different epithelium. We have
noticed AA could produce multiple white circular lines, forming circular stripes
(CS), at lower esophagus, which hasn't been reported by others. This study aimed
to investigate whether the CS is a special marker in BE patients. METHODS: A
total of 47 BE patients and 63 healthy people were enrolled from March 2016 to
October 2016, and 2% AA staining had been operated routinely at lower esophagus
under high resolution gastroscopy. We observed whether there were CS after AA
staining and the images were compared between the two groups. RESULTS: CS were
confirmed in 42 patients (89.36%) in the BE group and 5 (7.94) in the control
group ((chi2 = 72.931, P < 0.001)). The average width of CS was 0.76 +/- 0.25 cm
in BE group, which was similar to that in the control group (0.88 +/- 0.11 cm).
Villous or punctate or reticular pattern usually existed above or below the CS.
CONCLUSIONS: CS could be found at lower esophagus in most BE patients with AA
staining, and this special feature might be valuable in diagnosing, evaluating
and following up of BE patients.
PMID- 29370763
TI - Ubiquitin-related genes are differentially expressed in isogenic lines
contrasting for pericarp cell size and grain weight in hexaploid wheat.
AB - BACKGROUND: There is an urgent need to increase global crop production.
Identifying and combining specific genes controlling distinct biological
processes holds the potential to enhance crop yields. Transcriptomics is a
powerful tool to gain insights into the complex gene regulatory networks that
underlie such traits, but relies on the availability of a high-quality reference
sequence and accurate gene models. Previously, we identified a grain weight QTL
on wheat chromosome 5A (5A QTL) which acts during early grain development to
increase grain length through cell expansion in the pericarp. In this study, we
performed RNA-sequencing on near isogenic lines (NILs) segregating for the 5A QTL
and used the latest gene models to identify differentially regulated genes and
pathways that potentially influence pericarp cell size and grain weight in wheat.
RESULTS: We sampled grains at 4 and 8 days post anthesis and found that genes
associated with metabolism, biosynthesis, proteolysis and the defence response
are upregulated during this stage of grain development in both NILs. We
identified a specific set of 112 transcripts differentially expressed (DE)
between 5A NILs at either time point, including eight potential candidates for
the causal 5A gene and its downstream targets. The 112 DE transcripts had
functional annotations including non-coding RNA, transposon-associated, cell
cycle control, ubiquitin-related, heat-shock, transcription and histone-related.
Many of the genes identified belong to families that have been previously
associated with seed/grain development in other species. Notably, we identified
DE transcripts at almost all steps of the pathway associated with ubiquitin
mediated protein degradation. In the promoters of a subset of DE transcripts we
identified enrichment of binding sites associated with C2H2, MYB/SANT, YABBY, AT
HOOK and Trihelix transcription factor families. CONCLUSIONS: In this study, we
identified DE transcripts with a diverse range of predicted biological functions,
reflecting the complex nature of the pathways that control early grain
development. Few of these are the direct orthologues of grain size genes in other
species and none have been previously characterised in wheat. Further functional
characterisation of these candidates and how they interact will provide novel
insights into the control of grain size in cereals.
PMID- 29370764
TI - Key components of the delirium syndrome and mortality: greater impact of acute
change and disorganised thinking in a prospective cohort study.
AB - BACKGROUND: Delirium increases the risk of mortality during an acute hospital
admission. Full syndromal delirium (FSD) is associated with greatest risk and
subsyndromal delirium (SSD) is associated with intermediate risk, compared to
patients with no delirium - suggesting a dose-response relationship. It is not
clear how individual diagnostic symptoms of delirium influence the association
with mortality. Our objectives were to measure the prevalence of FSD and SSD, and
assess the effect that FSD, SSD and individual symptoms of delirium (from the
Confusion Assessment Method-short version (s-CAM)) have on mortality rates.
METHODS: Exploratory analysis of a prospective cohort (aged >=70 years) with
acute (unplanned) medical admission (4/6/2007-4/11/2007). The outcome was
mortality (data censored 6/10/2011). The principal exposures were FSD and SSD
compared to no delirium (as measured by the CAM), along with individual delirium
symptoms on the CAM. Cox regression was used to estimate the impact FSD and SSD
and individual CAM items had on mortality. RESULTS: The cohort (n = 610) mean age
was 83 (SD 7); 59% were female. On admission, 11% had FSD and 33% had SSD. Of the
key diagnostic symptoms for delirium, 17% acute onset, 19% inattention, 17%
disorganised thinking and 17% altered level of consciousness. Unadjusted analysis
found FSD had an increased hazard ratio (HR) of 2.31 (95% CI 1.71, 3.12), for SSD
the HR was 1.26 (1.00, 1.59). Adjusted analysis remained significant for FSD
(1.55 95% CI 1.10, 2.18) but nonsignificant for SSD (HR = 0.92 95% CI 0.70,
1.19). Two CAM items were significantly associated with mortality following
adjustment: acute onset and disorganised thinking. CONCLUSION: We observed a dose
response relationship between mortality and delirium, FSD had the greatest risk
and SSD having intermediate risk. The CAM items "acute-onset" and "disorganised
thinking" drove the associations observed. Clinically, this highlights the
necessity of identifying individual symptoms of delirium.
PMID- 29370765
TI - Place of death for people with HIV: a population-level comparison of eleven
countries across three continents using death certificate data.
AB - BACKGROUND: With over 1 million HIV-related deaths annually, quality end-of-life
care remains a priority. Given strong public preference for home death, place of
death is an important consideration for quality care. This 11 country study aimed
to i) describe the number, proportion of all deaths, and demographics of HIV
related deaths; ii) identify place of death; iii) compare place of death to
cancer patients iv), determine patient/health system factors associated with
place of HIV-related death. METHODS: In this retrospective analysis of death
certification, data were extracted for the full population (ICD-10 codes B20-B24)
for 1-year period: deceased's demographic characteristics, place of death,
healthcare supply. RESULTS: i) 19,739 deaths were attributed to HIV. The highest
proportion (per 1000 deaths) was for Mexico (9.80/00), and the lowest Sweden
(0.20/00). The majority of deaths were among men (75%), and those aged <50
(69.1%). ii) Hospital was most common place of death in all countries: from 56.6%
in the Netherlands to 90.9% in South Korea. The least common places were hospice
facility (3.3%-5.7%), nursing home (0%-17.6%) and home (5.9%-26.3%).iii) Age
standardised relative risks found those with HIV less likely to die at home and
more likely to die in hospital compared with cancer patients, and in most
countries more likely to die in a nursing home. iv) Multivariate analysis found
that men were more likely to die at home in UK, Canada, USA and Mexico; a greater
number of hospital beds reduced the likelihood of dying at home in Italy and
Mexico; a higher number of GPs was associated with home death in Italy and
Mexico. CONCLUSIONS: With increasing comorbidity among people ageing with HIV, it
is essential that end-of-life preferences are established and met. Differences in
place of death according to country and diagnosis demonstrate the importance of
ensuring a "good death" for people with HIV, alongside efforts to optimise
treatment.
PMID- 29370766
TI - Description of an advance care planning intervention in nursing homes: outcomes
of the process evaluation.
AB - BACKGROUND: Advance Care Planning (ACP) is the repeated communication and
decision-making process between the patient, family, and healthcare
professionals. This study describes an ACP intervention in nursing homes and
evaluates the outcomes of the implementation process. METHODS: The ACP
intervention was part of a 4-month complex, cluster randomized controlled trial
(COSMOS). 37 Norwegian nursing homes with 72 units (1 cluster = 1 unit) and 765
patients were invited to participate and eligible units were randomised to the
intervention group or control. Nursing home staff in the intervention group was
offered a standardized education programme to learn early and repeated
communication with patients and families and to implement ACP in their units. We
used a train-the-trainer approach to educate staff in the units, supported by
regular telephone calls and a midway seminar after two months. Individual patient
logs consisting of different communication deliverables were used to evaluate the
implementation process. Supported by Qualitative Content Analyses, we identified
facilitators and barriers of the ACP implementation based on feedback during
midway seminars and individual patient logs. RESULTS: The ACP intervention was
conducted in 36 NH units (n = 297); 105 healthcare providers participated at the
education seminar prior to the study, and 3-4 employees from each unit
participated in the midway seminar. NH staff reported the educational material
relevant for the implementation strategy. The patient logs showed that ACP was
successfully implemented in 62% (n = 183) of the patients using our predefined
implementation criteria. The staff emphasized the clear communication of the
relevance of ACP addressed to leaders and staff as important facilitators, along
with the clearly defined routines, roles and responsibilities. Identified
barriers included lack of competence, perceived lack of time, and conflicting
culture and staff opinions. CONCLUSION: Monthly communication with the family was
the most frequently conducted communication, and the predefined criteria of
successfully implemented ACP were largely achieved. Nursing home routines and
engagement of leaders and staff were crucial facilitators, whereas lack of time
and competence reduced the implementation success. TRIAL REGISTRATION: The COSMOS
trial was registered in the ClinicalTrials.gov ( NCT02238652 ) July 7th, 2014.
PMID- 29370767
TI - Medical and moral considerations regarding complex medical decisions in older
patients with multimorbidity: a compact deliberation framework.
AB - In health care for older adults, patients with multimorbidity usually receive the
same interventions as those patients without multimorbidity. However, standard
curative or life-sustaining treatment options have to be considered carefully in
view of the maximally attainable result in older and frail patients. To guide
such complex medical decisions, we present a compact deliberation framework that
could assist physician(s) in charge of the medical treatment of a specific
elderly patient to systematize his own thinking about treatment and decisional
responsibilities, in case of an intercurrent disease.The framework includes four
questions to be addressed when deciding on a single urgent standard curative or
life-sustaining intervention in acute medical problems of an elderly patient with
multimorbidity: 1) What is known about the patient's aims and preferences? 2)
Will the intervention be effective? 3) Will the intervention support the aims and
preferences of the patient? 4) In view of the aims and preferences, will the
risks and benefits be in balance?If all four considerations are answered
favorably, the intervention will fit patient-centered and appropriate care for
frail older patients with multimorbidity.Application to a patient case
illustrates how our framework can improve the quality of the shared decision
making process in care for older people and helps clarify medical and moral
considerations regarding how to appropriately treat the individual patient.
PMID- 29370768
TI - Cost-effectiveness of increasing vaccination in high-risk adults aged 18-64
Years: a model-based decision analysis.
AB - BACKGROUND: Adults aged 18-64 years with comorbid conditions are at high risk for
complications of certain vaccine-preventable diseases, including influenza and
pneumococcal disease. The 4 PillarsTM Practice Transformation Program (4 Pillars
Program) increases uptake of pneumococcal polysaccharide vaccine, influenza
vaccine and tetanus-diphtheria-acellular pertussis vaccine by 5-10% among adults
with high-risk medical conditions, but its cost-effectiveness is unknown.
METHODS: A decision tree model estimated the cost-effectiveness of implementing
the 4 Pillars Program in primary care practices compared to no program for a
population of adults 18-64 years of age at high risk of illness complications
over a 10 year time horizon. Vaccination rates and intervention costs were
derived from a randomized controlled cluster trial in diverse practices in 2 U.S.
cities. One-way and probabilistic sensitivity analyses were conducted. RESULTS:
From a third-party payer perspective, which considers direct medical costs, the 4
Pillars Program cost $28,301 per quality-adjusted life year gained; from a
societal perspective, which adds direct nonmedical and indirect costs, the
program was cost saving and more effective than no intervention. Cost
effectiveness results favoring the program were robust in sensitivity analyses.
From a public health standpoint, the model predicted that the intervention
reduced influenza cases by 1.4%, with smaller decreases in pertussis and
pneumococcal disease cases. CONCLUSION: The 4 Pillars Practice Transformation
Program is an economically reasonable, and perhaps cost saving, strategy for
protecting the health of adults aged < 65 years with high-risk medical
conditions.
PMID- 29370769
TI - Informal carers' perspectives on the delivery of acute hospital care for patients
with dementia: a systematic review.
AB - BACKGROUND: Providing high quality acute hospital care for patients with dementia
is an increasing challenge as the prevalence of the disease rises. Informal
carers of people with dementia are a critical resource for improving inpatient
care, due to their insights into patients' needs and preferences. We summarise
informal carers' perspectives of acute hospital care to inform best practice
service delivery. METHODS: We conducted a systematic search of bibliographic
databases and sought relevant grey literature. We used thematic synthesis
analysis to assimilate results of the studies and describe components of care
that influence perceived quality. RESULTS: Twenty papers met the inclusion
criteria. Findings identified four overarching components of care that influenced
carer experience and their perceptions of care quality: 'Patient care', 'Staff
interactions', 'Carer's situation' and 'Hospital environment'. Need for
improvement was identified in staff training, provision of help with personal
care needs, and dignified treatment of patients. Carers need to be informed,
involved and supported during hospital admission in order to promote the most
positive experience. CONCLUSION: This review identifies common perspectives of
informal carers of people with dementia in the acute hospital setting and
highlights important areas to address to improve the experience of an admission
for both carer and patient.
PMID- 29370770
TI - Defeat and entrapment: more than meets the eye? Applying network analysis to
estimate dimensions of highly correlated constructs.
AB - BACKGROUND: Defeat and entrapment have been shown to be of central relevance to
the development of different disorders. However, it remains unclear whether they
represent two distinct constructs or one overall latent variable. One reason for
the unclarity is that traditional factor analytic techniques have trouble
estimating the right number of clusters in highly correlated data. In this study,
we applied a novel approach based on network analysis that can deal with
correlated data to establish whether defeat and entrapment are best thought of as
one or multiple constructs. METHODS: Explanatory graph analysis was used to
estimate the number of dimensions within the 32 items that make up the defeat and
entrapment scales in two samples: an online community sample of 480 participants,
and a clinical sample of 147 inpatients admitted to a psychiatric hospital after
a suicidal attempt or severe suicidal crisis. Confirmatory Factor analysis (CFA)
was used to test whether the proposed structure fits the data. RESULTS: In both
samples, bootstrapped exploratory graph analysis suggested that the defeat and
entrapment items belonged to different dimensions. Within the entrapment items,
two separate dimensions were detected, labelled internal and external entrapment.
Defeat appeared to be multifaceted only in the online sample. When comparing the
CFA outcomes of the one, two, three and four factor models, the one factor model
was preferred. CONCLUSIONS: Defeat and entrapment can be viewed as distinct, yet,
highly associated constructs. Thus, although replication is needed, results are
in line with theories differentiating between these two constructs.
PMID- 29370771
TI - Characterization of Staphylococcus aureus isolated from patients with burns in a
regional burn center, Southeastern China.
AB - BACKGROUND: S.aureus is a predominant pathogen that causes infection in
critically ill patients, but little information exists regarding the
characterization of S. aureus from different sources in burn patients in
southeastern China. METHODS: We enrolled 125 patients with S. aureus infection in
burns center between Jan 2014 and Dec 2015. S. aureus isolates were characterized
by antimicrobial susceptibility test, toxin gene detection, and molecular typing
with multilocus sequence type, staphylococcal protein A (spa) type, and
staphylococcal cassette chromosome mec (SCCmec) type. RESULTS: Sixty-eight MRSA
were isolated from SSTI and 31 from non-SSTI patients, respectively. Overall, the
drug-resistant ability of S. aureus isolated from SSTI was higher than that from
non-SSTI groups. SCCmecIII-CC239-t030 was the most common clone (38 from SSTIs,
and 8 from non-SSTIs). Seg was the most common enterotoxin gene (21 from SSTIs
and 33 from non-SSTIs). Isolates from SSTIs was more likely to carry seb (P =
0.04), while those from non-SSTIs tended to carry sea and seg (P = 0.002 and
0.01, respectively). Although isolates carried four hemolysin genes, there was no
significant difference between them (P > 0.05). CONCLUSION: SCCmecIII-CC239-t030
was the most common clone in Jiangxi burns center, China. The molecular
characterization of S. aureus was quite different between SSTI and non-SSTI
groups.
PMID- 29370772
TI - Genome-wide characterization of genetic variants and putative regions under
selection in meat and egg-type chicken lines.
AB - BACKGROUND: Meat and egg-type chickens have been selected for several generations
for different traits. Artificial and natural selection for different phenotypes
can change frequency of genetic variants, leaving particular genomic footprints
throghtout the genome. Thus, the aims of this study were to sequence 28 chickens
from two Brazilian lines (meat and white egg-type) and use this information to
characterize genome-wide genetic variations, identify putative regions under
selection using Fst method, and find putative pathways under selection. RESULTS:
A total of 13.93 million SNPs and 1.36 million INDELs were identified, with more
variants detected from the broiler (meat-type) line. Although most were located
in non-coding regions, we identified 7255 intolerant non-synonymous SNPs, 512
stopgain/loss SNPs, 1381 frameshift and 1094 non-frameshift INDELs that may alter
protein functions. Genes harboring intolerant non-synonymous SNPs affected
metabolic pathways related mainly to reproduction and endocrine systems in the
white-egg layer line, and lipid metabolism and metabolic diseases in the broiler
line. Fst analysis in sliding windows, using SNPs and INDELs separately,
identified over 300 putative regions of selection overlapping with more than 250
genes. For the first time in chicken, INDEL variants were considered for
selection signature analysis, showing high level of correlation in results
between SNP and INDEL data. The putative regions of selection signatures revealed
interesting candidate genes and pathways related to important phenotypic traits
in chicken, such as lipid metabolism, growth, reproduction, and cardiac
development. CONCLUSIONS: In this study, Fst method was applied to identify high
confidence putative regions under selection, providing novel insights into
selection footprints that can help elucidate the functional mechanisms underlying
different phenotypic traits relevant to meat and egg-type chicken lines. In
addition, we generated a large catalog of line-specific and common genetic
variants from a Brazilian broiler and a white egg layer line that can be used for
genomic studies involving association analysis with phenotypes of economic
interest to the poultry industry.
PMID- 29370773
TI - Experiences with and expectations of maternity waiting homes in Luapula Province,
Zambia: a mixed-methods, cross-sectional study with women, community groups and
stakeholders.
AB - BACKGROUND: Luapula Province has the highest maternal mortality and one of the
lowest facility-based births in Zambia. The distance to facilities limits
facility-based births for women in rural areas. In 2013, the government
incorporated maternity homes into the health system at the community level to
increase facility-based births and reduce maternal mortality. To examine the
experiences with maternity homes, formative research was undertaken in four
districts of Luapula Province to assess women's and community's needs, use
patterns, collaboration between maternity homes, facilities and communities, and
promising practices and models in Central and Lusaka Provinces. METHODS: A cross
sectional, mixed-methods design was used. In Luapula Province, qualitative data
were collected through 21 focus group discussions with 210 pregnant women,
mothers, elderly women, and Safe Motherhood Action Groups (SMAGs) and 79
interviews with health workers, traditional leaders, couples and partner agency
staff. Health facility assessment tools, service abstraction forms and registers
from 17 facilities supplied quantitative data. Additional qualitative data were
collected from 26 SMAGs and 10 health workers in Central and Lusaka Provinces to
contextualise findings. Qualitative transcripts were analysed thematically using
Atlas-ti. Quantitative data were analysed descriptively using Stata. RESULTS:
Women who used maternity homes recognized the advantages of facility-based
births. However, women and community groups requested better infrastructure,
services, food, security, privacy, and transportation. SMAGs led the construction
of maternity homes and advocated the benefits to women and communities in
collaboration with health workers, but management responsibilities of the homes
remained unassigned to SMAGs or staff. Community norms often influenced women's
decisions to use maternity homes. Successful maternity homes in Central Province
also relied on SMAGs for financial support, but the sustainability of these
models was not certain. CONCLUSIONS: Women and communities in the selected
facilities accept and value maternity homes. However, interventions are needed to
address women's needs for better infrastructure, services, food, security,
privacy and transportation. Strengthening relationships between the managers of
the homes and their communities can serve as the foundation to meet the needs and
expectations of pregnant women. Particular attention should be paid to ensuring
that maternity homes meet quality standards and remain sustainable.
PMID- 29370774
TI - A complex scenario of tuberculosis transmission is revealed through genetic and
epidemiological surveys in Porto.
AB - BACKGROUND: Tuberculosis (TB) incidence is decreasing worldwide and eradication
is becoming plausible. In low-incidence countries, intervention on migrant
populations is considered one of the most important strategies for elimination.
However, such measures are inappropriate in European areas where TB is largely
endemic, such as Porto in Portugal. We aim to understand transmission chains in
Porto through a genetic characterization of Mycobacterium tuberculosis strains
and through a detailed epidemiological evaluation of cases. METHODS: We genotyped
the M. tuberculosis strains using the MIRU-VNTR system. We performed an
evolutionary reconstruction of the genotypes with median networks, used in this
context for the first time. TB cases from a period of two years were evaluated
combining genetic, epidemiological and georeferencing information. RESULTS: The
data reveal a unique complex scenario in Porto where the autochthonous population
acts as a genetic reservoir of M. tuberculosis diversity with discreet episodes
of transmission, mostly undetected using classical epidemiology alone.
CONCLUSIONS: Although control policies have been successful in decreasing
incidence in Porto, the discerned complexity suggests that, for elimination to be
a realistic goal, strategies need to be adjusted and coupled with a continuous
genetic characterization of strains and detailed epidemiological evaluation, in
order to successfully identify and interrupt transmission chains.
PMID- 29370775
TI - Case report: mechanisms of HIV elite control in two African women.
AB - BACKGROUND: The majority of people living with HIV require antiretroviral therapy
(ART) for controlling viral replication, however there are rare HIV controllers
who spontaneously and durably control HIV in the absence of treatment.
Understanding what mediates viral control in these individuals has provided us
with insights into the immune mechanisms that may be important to induce for a
vaccine or functional cure for HIV. To date, few African elite controllers from
high incidence settings have been described. We identified virological
controllers from the CAPRISA 002 cohort of HIV-1 subtype C infected women in
KwaZulu Natal, South Africa, two (1%) of whom were elite controllers. We examined
the genetic, clinical, immunological and virological characteristics of these two
elite HIV controllers in detail, to determine whether they exhibit features of
putative viral control similar to those described for elite controllers reported
in the literature. CASE PRESENTATION: In this case report, we present clinical
features, CD4+ T cell and viral load trajectories for two African women over 7
years of HIV infection. Viral load became undetectable 10 months after HIV
infection in Elite Controller 1 (EC1), and after 6 weeks in Elite Controller 2
(EC2), and remained undetectable for the duration of follow-up, in the absence of
ART. Both elite controllers expressed multiple HLA Class I and II haplotypes
previously associated with slower disease progression (HLA-A*74:01, HLA-B*44:03,
HLA-B*81:01, HLA-B*57:03, HLA-DRB1*13). Fitness assays revealed that both women
were infected with replication competent viruses, and both expressed higher mRNA
levels of p21, a host restriction factor associated with viral control. HIV
specific T cell responses were examined using flow cytometry. EC1 mounted high
frequency HIV-specific CD8+ T cell responses, including a B*81:01-restricted Gag
TL9 response. Unusually, EC2 had evidence of pre-infection HIV-specific CD4+ T
cell responses. CONCLUSION: We identified some features typical of elite
controllers, including high magnitude HIV-specific responses and beneficial HLA.
In addition, we made the atypical finding of pre-infection HIV-specific immunity
in one elite controller, that may have contributed to very early viral control.
This report highlights the importance of studying HIV controllers in high
incidence settings.
PMID- 29370776
TI - The Alu-insertion progesterone receptor gene polymorphism is not associated with
breast cancer: a meta-analysis.
AB - BACKGROUND: The role of progesterone receptor (PGR) gene polymorphisms in breast
cancer is still controversial. Here, we performed a meta-analysis to determine
whether the Alu insertion is associated with an increased risk of breast cancer
and, further, whether the Alu insertion contributes to the development of breast
cancer. METHODS: Using database searches, we selected 10 controlled case studies
that met a rigorous set of inclusion criteria; these studies included 2106 cases
and 1660 controls. We generated odds ratios and 95% confidence intervals in order
to determine the strength of the relationship between the Alu insertion and
breast cancer incidence. We also performed additional subgroup analyses and
sensitivity analyses to further clarify the relationship. RESULTS: Using a random
effects model, we concluded that the Alu insertion was not associated with the
risk of breast cancer under the dominant genetic model; the pooled OR was 1.025
(95% CI = 0.526-1.994, p = 0.943). When a subgroup analysis was performed
according to ethnicity, we found that the Alu insertion was associated with
breast cancer incidence in Indians and Indo-European mixed racial groups, but the
association disappeared for patients of Caucasian or Latino decent. CONCLUSIONS:
Our meta-analysis showed that the Alu-insertion progesterone receptor gene
polymorphism was not associated with breast cancer. These results provide further
information regarding the association between the Alu insertion in the PGR gene
and the incidence of breast cancer.
PMID- 29370777
TI - Neutrophil to lymphocyte ratio and platelet to lymphocyte ratio can predict the
severity of gallstone pancreatitis.
AB - BACKGROUND: Neutrophil to lymphocyte ratio (NLR) and platelet to lymphocyte ratio
(PLR) predict severity in various diseases. In this study, we evaluated the value
of NLR and PLR as prognostic factors in acute pancreatitis (AP). METHODS:
Patients with AP were prospectively enrolled from March 2014 to September 2016 at
Yonsei University Wonju College of Medicine. NLR and PLR were obtained at
admission and were compared with other known prognostic scoring systems. RESULTS:
A total of 243 patients were enrolled with an etiology of gallstone (n = 134) or
alcohol (n = 109). NLR (17.7 +/- 18.3 vs. 8.8 +/- 8.4, P < 0.001) and PLR (344.1
+/- 282.6 vs. 177.8 +/- 150.1, P < 0.001) were significantly higher in the
gallstone AP group than in the alcoholic AP group. For gallstone AP, NLR and PLR
were significantly higher in severe AP, whereas high NLR and PLR were not related
to severe AP in alcoholic AP. For the gallstone AP group, NLR and PLR
demonstrated a predictive value significantly superior to C-reactive protein
(CRP), whereas NLR, PLR, and CRP were not significant predictors for alcoholic
AP. CONCLUSION: Our study demonstrated that NLR and PLR can predict the severity
of AP, but only in gallstone AP.
PMID- 29370778
TI - Association between socioeconomic status and post-stroke functional outcome in
deprived rural southern China: a population-based study.
AB - BACKGROUND: Data on the association between socioeconomic status and post-stroke
functional outcome in developing countries is lacking. We aimed to evaluate the
association in stroke survivors in deprived rural Southern China. METHODS: We
conducted door-to-door interviews and collected data using a structured
questionnaire in stroke survivors from five fourth-class rural areas of Guangdong
Province through a non-government initiated registry from August 2014 to March
2015. Descriptive statistics were used to provide information on the demographic,
socioeconomic and clinical characteristics of the selected population. Univariate
and multivariate logistic regression were used to examine the relationship of
socioeconomic status indexed by self-reported average family income and
functional impairment defined as a modified Rankin Scale of 3 to 5. RESULTS:
Among the 425 stroke survivors, 52.7% lived below the poverty line set by the
local government. About 50% of patients suffered from functional impairment and
required assistance in their daily life. Compared with their wealthier
counterpart, stroke survivors with lower income were more likely to have
functional impairment (OR 2.85, 95% CI 1.93-4.23). The effect size increased and
remained significant after adjusting for possible confounding factors (OR 3.17,
95% CI 2.04-4.91). CONCLUSIONS: Poorer patients tend to have poorer post-stroke
functional outcome. Primary and secondary strategies targeting underprivileged
populations in less-developed areas are thus urgently needed in China.
PMID- 29370779
TI - HIV/AIDS workplace policy addressing epidemic drivers through workplace programs.
AB - BACKGROUND: HIV workplace policies have become an important tool in addressing
the HIV Pandemic in Sub-Saharan Africa. In Zambia, the National AIDS Council has
been advocating for establishing of HIV/AIDS workplace policies to interested
companies, however no formal evaluation has been done to assess uptake and
implementation. The study aimed to establish the existence of HIV/AIDS policies
and programs in the private sector and to understand implementation factors and
experiences in addressing HIV epidemic drivers through these programs. METHODS: A
mixed method assessment of the availability of policies was conducted in 128
randomly selected member companies of Zambia Federation of Employers in Lusaka.
Categorized variables were analysed on Policy and programs using Stata version
12.0 for associations: Concurrently, 28 in-depth interviews were conducted on
purposively sampled implementers. Qualitative results were analysed thematically
before integrating them with qualitative findings. RESULTS: Policies were found
in 47/128 (36.72%) workplaces and the private sector accounted for 34/47 (72.34%)
of all workplaces with a policy. Programs were available in 56/128 (43.75%)
workplaces. The availability of policy was 2.7 times more likely to occur with
increased size of a workplace, P value = 0.0001, (P < 0.05). Management support
was 0.253 times more likely to occur in workplaces with policy, P value = 0.013,
(P < 0.05) compared to those without. Having a specific budget for programs was
0.23 times more likely to occur in workplaces with a policy (P < 0.05) than those
without a policy. Implementation was hindered by reduced funding, lack of time,
sensitisation and lack of monitoring/evaluation systems. HIV awareness (56/56,
100%) and HIV/AIDS/Stigma (47/56, 83.93%) were the most addressed epidemic
drivers through programs while Mother to Child Transmission (30/56 53.57%) and
Males having sex with males were the least addressed (18/56, 32.14%). CONCLUSION:
HIV/AIDS policies exist in the private sector at a very low proportion but policy
translation was very high suggesting that workplaces with polices are likely to
implement programs. The eradication of HIV/AIDS by 2030, requires addressing
epidemic drivers with a focus on marginalised populations, gender integration, a
wellness and rights based approach within the context of the legal framework.
PMID- 29370780
TI - Prevalence and intensity of Ascaris lumbricoides infections in relation to
undernutrition among children in a tea plantation community, Sri Lanka: a cross
sectional study.
AB - BACKGROUND: Ascaris lumbricoides infections are one of the commonnest intestinal
nematode infections in the world, with a profound negative effect on nutritional
status among underprivileged populations. In Sri Lanka, Ascaris infections and
low nutritional status still persist in the plantation sector. However, research
regarding the association between Ascaris infections and nutritional status is
scarce. The main purpose of this study was to determine the association between
Ascaris infections and physical growth among children in a plantation sector in
Sri Lanka. METHODS: A cross sectional study was conducted among 489 children aged
between 1 and 12 years ina plantation sector, Sri Lanka, from January to April
2013. Anthropometric measurements were collected to assess height-for-age (HAZ),
weight-for-age (WAZ) and weight-for-height (WHZ) to determine stunting,
underweight and wasting respectively. Data on socio-demographic and
antihelminthic treatment were ascertained using an interviewer administrated
structured questionnaire. Stool samples were subjected to wet mount preparation
followed byformaldehyde-ether sedimentation technique to diagnose Ascaris
infection and a Kato Katz technique was performed to determine the eggs
intensity. AnthroPlus, EpiInfo and SPSS software was used to analyze data.
RESULTS: Of the study sample, 38.4% showed Ascaris lumbricoides infections. Light
intensity infections (51%) were common in the infected children, followed by
moderate (30%) and heavy (19%) infections. Prevalence of Ascaris infections was
significantly associated with de-worming more than six months prior to the study.
Prevalence of undernutrition among children was 61.7%. Forty-five per cent were
underweight, while 24.1% and 21.5% of children were stunted and wasted
respectively. However, no significant association was found between Ascaris
infections status and undernutrition. Meanwhile, heavy intensity infections were
associated with decreased values of WHZ (p = 0.020). CONCLUSIONS: Ascaris
infections and undernutrition are still highly prevalent and a major public
health problem in the plantation sector in Sri Lanka. Health and nutrition
intervention programs should be implemented to increase the nutritional status of
children.
PMID- 29370781
TI - The PANDA study: a randomized phase II study of first-line FOLFOX plus
panitumumab versus 5FU plus panitumumab in RAS and BRAF wild-type elderly
metastatic colorectal cancer patients.
AB - BACKGROUND: Few data are available regarding the treatment of metastatic
colorectal cancer elderly patients with anti-EGFR agents in combination with
chemotherapy. FOLFOX plus panitumumab is a standard first-line option for RAS
wild-type metastatic colorectal cancer. Slight adjustments in chemo-dosage are
commonly applied in clinical practice to elderly patients, but those modified
schedules have never been prospectively tested. Clinical definition of elderly
(>=70 years old) patients that may deserve a more or less intensive combination
therapy is still debated. Several geriatric screening tools have been developed
to predict survival and risk of toxicity from treatment. Among those, the G8
screening tool has been tested in cancer patients showing the strongest
prognostic value for overall survival, while the CRASH score can stratify
patients according to an estimated risk of treatment-related toxicities. METHODS:
The PANDA study is a prospective, open-label, multicenter, randomized phase II
trial of first-line therapy with panitumumab in combination with dose-adjusted
FOLFOX or with 5-fluorouracil monotherapy, in previously untreated elderly
patients (>=70 years) with RAS and BRAF wild-type unresectable metastatic
colorectal cancer. RAS and BRAF analyses are centralized. Geriatric assessment by
means of G8 and CRASH score is planned at baseline and G8 will be re-evaluated at
disease progression. The primary endpoint is duration of progression-free
survival in both arms. Secondary endpoints include prospective evaluation of the
prognostic role of G8 score and the correlation of CRASH risk categories with
toxicity. DISCUSSION: The PANDA study aims at exploring safety and efficacy of
panitumumab in combination with FOLFOX or with 5FU/LV in elderly patients
affected by RAS and BRAF wild-type metastatic colorectal cancer, to identify the
most promising treatment strategy in this setting. Additionally, this is the
first trial in which the prognostic role of the G8 score will be prospectively
evaluated. Results of this study will drive further experimental developments for
one or both combinations. TRIAL REGISTRATION: PANDA is registered at
Clinicaltrials.gov : NCT02904031 , July 11, 2016. PANDA is registered at EudraCT
No.: 2015-003888-10, September 3, 2015.
PMID- 29370783
TI - Breast cancer risk in a screening cohort of Asian and white British/Irish women
from Manchester UK.
AB - BACKGROUND: The differences between breast cancer risk factors in white
British/Irish and Asian women attending screening in the UK are not well
documented. METHODS: Between 2009-15 ethnicity and traditional breast cancer risk
factors were self-identified by a screening cohort from Greater Manchester, with
follow up to 2016. Risk factors and incidence rates were compared using age
standardised statistics (European standard population). RESULTS: Eight hundred
and seventy-nine Asian women and 51,779 unaffected white British/Irish women aged
46-73 years were recruited. Asian women were at lower predicted breast cancer
risk from hormonal and reproductive risk factors than white British/Irish women
(mean 10 year risk 2.6% vs 3.1%, difference 0.4%, 95%CI 0.3-0.5%). White
British/Irish women were more likely to have had a younger age at menarche, be
overweight or obese, taller, used hormone replacement therapy and not to have had
children.. However, despite being less overweight Asian women had gained more
weight from age 20 years and were less likely to undertake moderate physical
activity. Asian women also had a slightly higher mammographic density. Asian age
standardised incidence was 3.2 (95%CI 1.6-5.2, 18 cancers) per thousand
women/year vs 4.5 (95%CI 4.2-4.8, 1076 cancers) for white British/Irish women.
CONCLUSIONS: Asian women attending screening in Greater Manchester are likely to
have a lower risk of breast cancer than white British/Irish women, but they
undertake less physical activity and have more adult weight gain.
PMID- 29370782
TI - Leptin-induced ER-alpha-positive breast cancer cell viability and migration is
mediated by suppressing CCN5-signaling via activating JAK/AKT/STAT-pathway.
AB - BACKGROUND: In menopausal women, one of the critical risk factors for breast
cancer is obesity/adiposity. It is evident from various studies that leptin, a 16
kDa protein hormone overproduced in obese people, plays the critical role in
neovascularization and tumorigenesis in breast and other organs. However, the
mechanisms by which obesity influences the breast carcinogenesis remained
unclear. In this study, by analyzing different estrogen receptor-alpha (ER-alpha)
positive and ER-alpha-negative BC cell lines, we defined the role of CCN5 in the
leptin-mediated regulation of growth and invasive capacity. METHODS: We analyzed
the effect of leptin on cell viability of ER-alpha-positive MCF-7 and ZR-75-1
cell lines and ER-alpha-negative MDA-MB-231 cell line. Additionally, we also
determined the effect of leptin on the epithelial-mesenchymal transition (EMT)
bio-markers, in vitro invasion and sphere-formation of MCF-7 and ZR-75-1 cell
lines. To understand the mechanism, we determined the impact of leptin on CCN5
expression and the functional role of CCN5 in these cells by the treatment of
human recombinant CCN5 protein(hrCCN5). Moreover, we also determined the role of
JAK-STAT and AKT in the regulation of leptin-induced suppression of CCN5 in BC
cells. RESULTS: Present studies demonstrate that leptin can induce cell
viability, EMT, sphere-forming ability and migration of MCF-7 and ZR-75-1 cell
lines. Furthermore, these studies found that leptin suppresses the expression of
CCN5 at the transcriptional level. Although the CCN5 suppression has no impact on
the constitutive proliferation of MCF-7 and ZR-75-1 cells, it is critical for
leptin-induced viability and necessary for EMT, induction of in vitro migration
and sphere formation, as the hrCCN5 treatment significantly inhibits the leptin
induced viability, EMT, migration and sphere-forming ability of these cells.
Mechanistically, CCN5-suppression by leptin is mediated via activating
JAK/AKT/STAT-signaling pathways. CONCLUSIONS: These studies suggest that CCN5
serves as a gatekeeper for leptin-dependent growth and progression of luminal
type (ER-positive) BC cells. Leptin may thus need to destroy the CCN5-barrier to
promote BC growth and progression via activating JAK/AKT/STAT signaling.
Therefore, these observations suggest a therapeutic potency of CCN5 by
restoration or treatment in obese-related luminal-type BC growth and progression.
PMID- 29370784
TI - Students' perception of interprofessional education in the bachelor programme
"Interprofessional Health Care" in Heidelberg, Germany: an exploratory case
study.
AB - BACKGROUND: Interprofessional education is receiving increased attention
worldwide. This has led to the development of a bachelor programme
"Interprofessional Health Care" at the University of Heidelberg, Germany
beginning in the winter semester 2011. Aim of this study was to evaluate the
students' perception of this innovative programme regarding interprofessional
learning. METHODS: An exploratory case study was conducted. A semi-structured
guideline was developed and seven focus groups were performed with the students
of the first three cohorts in 2012-2014. Data was transcribed and analyzed using
content analysis leading to main categories, one of which was titled
"interprofessional learning". This article presents the results focussing on the
students' experiences regarding interprofessional education and learning during
their first two semesters of the programme. RESULTS: Four main categories related
to interprofessional learning were developed inductively. Students assessed
"interprofessional learning" in general as positive and wished to encounter a
more intense experience and collaboration with different health professions
during their studies. Students reported to benefit from the programme due to a
better understanding of other professions and their different perspectives. They
described decreased hesitance to approach other health professions in every day
practice. Results are in line with the four domains of the Interprofessional Core
Competencies. CONCLUSION: All in all students at an early stage recognized the
benefit of interprofessional learning for their studies and their everyday work
in practice showing the way forward for the bachelor programme and encouraging
more interprofessional encounters with students from other health care
programmes.
PMID- 29370785
TI - Potential loss of revenue due to errors in clinical coding during the
implementation of the Malaysia diagnosis related group (MY-DRG(r)) Casemix system
in a teaching hospital in Malaysia.
AB - BACKGROUND: The accuracy of clinical coding is crucial in the assignment of
Diagnosis Related Groups (DRGs) codes, especially if the hospital is using
Casemix System as a tool for resource allocations and efficiency monitoring. The
aim of this study was to estimate the potential loss of income due to an error in
clinical coding during the implementation of the Malaysia Diagnosis Related Group
(MY-DRG(r)) Casemix System in a teaching hospital in Malaysia. METHODS: Four
hundred and sixty-four (464) coded medical records were selected, re-examined and
re-coded by an independent senior coder (ISC). This ISC re-examined and re-coded
the error code that was originally entered by the hospital coders. The pre- and
post-coding results were compared, and if there was any disagreement, the codes
by the ISC were considered the accurate codes. The cases were then re-grouped
using a MY-DRG(r) grouper to assess and compare the changes in the DRG assignment
and the hospital tariff assignment. The outcomes were then verified by a casemix
expert. RESULTS: Coding errors were found in 89.4% (415/424) of the selected
patient medical records. Coding errors in secondary diagnoses were the highest,
at 81.3% (377/464), followed by secondary procedures at 58.2% (270/464),
principal procedures of 50.9% (236/464) and primary diagnoses at 49.8% (231/464),
respectively. The coding errors resulted in the assignment of different MY-DRG(r)
codes in 74.0% (307/415) of the cases. From this result, 52.1% (160/307) of the
cases had a lower assigned hospital tariff. In total, the potential loss of
income due to changes in the assignment of the MY-DRG(r) code was RM654,303.91.
CONCLUSIONS: The quality of coding is a crucial aspect in implementing casemix
systems. Intensive re-training and the close monitoring of coder performance in
the hospital should be performed to prevent the potential loss of hospital
income.
PMID- 29370786
TI - Disease progression in idiopathic pulmonary fibrosis with mild physiological
impairment: analysis from the Australian IPF registry.
AB - BACKGROUND: Idiopathic pulmonary fibrosis (IPF) is a progressive and fatal
fibrosing lung disease of unknown cause. The advent of anti-fibrotic medications
known to slow disease progression has revolutionised IPF management in recent
years. However, little is known about the natural history of IPF patients with
mild physiological impairment. We aimed to assess the natural history of these
patients using data from the Australian IPF Registry (AIPFR). METHODS: Using our
cohort of real-world IPF patients, we compared FVC criteria for mild
physiological impairment (FVC >= 80%) against other proposed criteria: DLco >=
55%; CPI <=40 and GAP stage 1 with regards agreement in classification and
relationship with disease outcomes. Within the mild cohort (FVC >= 80%), we also
explored markers associated with poorer prognosis at 12 months. RESULTS: Of the
416 AIPFR patients (mean age 70.4 years, 70% male), 216 (52%) were classified as
'mild' using FVC >= 80%. There was only modest agreement between FVC and DLco (k
= 0.30), with better agreement with GAP (k = 0.50) and CPI (k = 0.48). Patients
who were mild had longer survival, regardless of how mild physiologic impairment
was defined. There was, however, no difference in the annual decline in FVC%
predicted between mild and moderate-severe groups (for all proposed criteria).
For patients with mild impairment (n = 216, FVC >= 80%), the strongest predictor
of outcomes at 12 months was oxygen desaturation on a 6 min walk test.
CONCLUSION: IPF patients with mild physiological impairment have better survival
than patients with moderate-severe disease. Their overall rate of disease
progression however, is comparable, suggesting that they are simply at different
points in the natural history of IPF disease.
PMID- 29370788
TI - Comparison of single-dose radial extracorporeal shock wave and local
corticosteroid injection for treatment of carpal tunnel syndrome including mid
term efficacy: a prospective randomized controlled trial.
AB - BACKGROUND: Recent studies have reported that radial extracorporeal shock wave
therapy (rESWT) reduces pain and improves function in patients with mild to
moderately severe carpal tunnel syndrome (CTS) compared to a placebo. However,
most of those studies used multi-session rESWT combined with wrist support and
evaluation of efficacy was limited to a maximum of 14 weeks. METHODS: The
prospective randomized controlled trial compared efficacy in relieving pain and
improving clinical function between single-dose rESWT and local corticosteroid
injection (LCsI) over the mid-term (24 weeks). Twenty-five patients with mild to
moderately severe CTS were randomized to receive either single-dose rESWT (n =
13) or LCsI (n = 12). Primary outcomes were evaluated using the Boston self
assessment questionnaire (BQ), while secondary outcomes used the Visual analogue
scale (VAS) and electrodiagnostic parameters. Evaluations at baseline and at 1,
4, 12 and 24 weeks after treatment were performed. RESULTS: There was
significantly greater improvement in symptom severity scores, functional scores
and Boston questionnaire scores at weeks 12 to 24 in the rESWT group compared to
the LCsI group. When compared to the baseline, there was significant reduction of
VAS and functional score in the rESWT group at weeks 12 and 24. The LCsI group
had no statistically significant differences in VAS reduction and functional
score of the same period. CONCLUSIONS: Treatment of CTS using single-dose rESWT
has a carry-over effect lasting up to 24 weeks suggesting that single-dose rESWT
is appropriate for treatment of mild to moderate CTS and provides longer-lasting
benefits than LCsI. TRIAL REGISTRATION: ( TCTR20150709001 ). Registered 9 July
2015.
PMID- 29370787
TI - The association between electrodermal activity (EDA), depression and suicidal
behaviour: A systematic review and narrative synthesis.
AB - BACKGROUND: Electrodermal activity (EDA) and other peripheral autonomic
electrical parameters have been used as indicators of emotional states, including
depressive states and suicidal state. We aimed to review EDA research
systematically, focusing on EDA's usefulness as a biomarker for depression and
suicidal behaviour. METHODS: We searched MEDLINE, Scopus, Cochrane Library, and
Web of Science databases, following PRISMA guidelines. The initial screening of
articles was based on titles and abstracts; then the full text was reviewed. A
preliminary synthesis of findings was developed using tables, thematic analysis
and quality ratings. RESULTS: 1287 articles were screened and 77 relevant studies
were identified and included in the systematic review. The studies were fairly
consistent in maintaining that hypoactive electrodermal response is an
established feature of patients affected by depression. There is also preliminary
evidence that monitoring EDA may help to differentiate the phases of mood
disorders. A few studies provided evidence that EDA can be used to differentiate
acutely suicidal subjects from depressed patients who are not severely suicidal.
Although EDA has been shown to be a valid, sensitive marker of suicidal ideation,
suicide attempts and violent suicidal behaviour, it also seems to be influenced
to some extent by antidepressant treatment. CONCLUSIONS: Most of the studies
summarised in this review are quite outdated and employed a variety of designs
and methods to evaluate EDA. This limits the generalisability of the results and
makes it difficult to draw clear conclusions about the role of EDA in real-world
settings. Electrodermal hypoactivity seems to be a reliable feature of depression
and a valid marker of suicidal risk. Nevertheless, the potential utility of EDA
in diagnosis, prevention, and treatment planning for depression and suicidal
behaviour, should be thoroughly studied.
PMID- 29370789
TI - Patterns and predictors of adherence to colorectal cancer screening
recommendations in Alberta's Tomorrow Project participants stratified by risk.
AB - BACKGROUND: Colorectal cancer (CRC) screening is an important modifiable
behaviour for cancer control. Regular screening, following recommendations for
the type, timing and frequency based on personal CRC risk, contributes to earlier
detection and increases likelihood of successful treatment. METHODS: To determine
adherence to screening recommendations in a large provincial cohort of adults,
participants in Alberta's Tomorrow Project (n = 9641) were stratified based on
increasing level of CRC risk: age (Age-only), family history of CRC (FamilyHx),
personal history of bowel conditions (PersonalHx), or both (Family/PersonalHx)
using self-reported information from questionnaires. Provincial and national
guidelines for timing and frequency of screening tests were used to determine if
participants were up-to-date based on their CRC risk. Screening status was
compared between enrollment (2000-2006) and follow-up (2008) to determine
screening pattern over time. RESULTS: The majority of participants (77%) fell
into the average risk Age-only strata. Only a third of this strata were up-to
date for screening at baseline, but the proportion increased across the higher
risk strata, with > 90% of the highest risk Family/PersonalHx strata up-to-date
at baseline. There was also a lower proportion (< 25%) of the Age-only group who
were regular screeners over time compared to the higher risk strata, though age,
higher income and uptake of other screening tests (e.g. mammography) were
associated with a greater likelihood of regular screening in multinomial logistic
regression. CONCLUSIONS: The low (< 50%) adherence to regular CRC screening in
average and moderate risk strata highlights the need to further explore barriers
to uptake of screening across different risk profiles.
PMID- 29370790
TI - Oncological and functional results after surgical treatment of bone metastases at
the proximal femur.
AB - BACKGROUND: Metastatic lesions to the proximal femur occur frequently (about 10%
of patients with cancer) and require surgical treatment. There are many surgical
methods of treatment, however, use of the tumor modular endoprostheses seems to
be particularly promising. The aim of study was to evaluate oncological and
functional results of treatment in patients with proximal femur metastases.
Oncological results were evaluated considering the survival of patients and the
number of local recurrences. Functional results were assessed as pain intensity
in VAS score and performance in Karnofsky and MSTS score. METHODS: Between 2010
and 2016, 122 patients with metastatic tumour to the proximal femur were treated
in our hospital. Majority of the patients were women - 77 patients. The mean age
was 67 years for women and 72 years for men. Pathological fracture was diagnosed
in 98 cases. Metastatic bone tumors commonly develop from breast cancer - 48 and
myeloma - 24. One hundred one patients underwent tumor resection and in 21 cases
metastatic tumors was not resected. In 75 patients wide tumour resection and
modular endoprosthetic replacement were prefomed. Twenty-one patients underwent
standard or long stem hip endoprosthetic replacement. Intramedullary gamma nails
were implanted in 20 cases and DHS plate in 6 cases. In 92 cases 3-4 weeks after
surgery patients undergo external beam radiotherapy (8Gy). Functional results
were assessed as pain intensity in VAS score and performance in Karnofsky and
MSTS score. Oncological results were evaluated considering the survival of
patients and the number of local recurrences. RESULTS: The mean follow-up of
patients was 27 months (min 4, max 51). Forty-five patients died before last
visit in hospital. The mean survival after modular endoprosthetic replacement was
860 days and after bone fixations 360 days. We noticed 9 cases of local
recurrences or progressions, 6 in patients who had no radiotherapy. Three
patients after modular endoprosthesis replacement and 6 after bone fixations.
After surgery, all patients experienced improvement in the comfort of life
resulting from reduction in pain. Mean VAS score before modular endoprosthetic
replacement was 6.8 and after 3.4; before standard prothesoplasty 4.9 and after
2.8; and before and after bone fixation 6.9 -5.1. Mean MSTS score was
respectively 6.4-19.8; 8.8-22.4 and 10.8-18.2. In 6 patients after modular
endoprosthesis replacement, delayed wound healing were observed. Infectious
complications were not observed after fixation with nails and plates. In 3 cases,
the fixation was failed. The systemic complications affected 12 patients.
CONCLUSIONS: Results of surgical treatment for metastases to the proximal femur
are particularly good in patients after standard or modular endoprostheses
replacement. The author considers this treatment method to be optimal in patients
with good prognosis.
PMID- 29370791
TI - The use of external change agents to promote quality improvement and
organizational change in healthcare organizations: a systematic review.
AB - BACKGROUND: External change agents can play an essential role in healthcare
organizational change efforts. This systematic review examines the role that
external change agents have played within the context of multifaceted
interventions designed to promote organizational change in healthcare
specifically, in primary care settings. METHODS: We searched PubMed, CINAHL,
Cochrane, Web of Science, and Academic Search Premier Databases in July 2016 for
randomized trials published (in English) between January 1, 2005 and June 30,
2016 in which external agents were part of multifaceted organizational change
strategies. The review was conducted according to PRISMA guidelines. A total of
477 abstracts were identified and screened by 2 authors. Full text articles of
113 studies were reviewed. Twenty-one of these studies were selected for
inclusion. RESULTS: Academic detailing (AD) is the most prevalently used
organizational change strategy employed as part of multi-component implementation
strategies. Out of 21 studies, nearly all studies integrate some form of audit
and feedback into their interventions. Eleven studies that included practice
facilitation into their intervention reported significant effects in one or more
primary outcomes. CONCLUSIONS: Our results demonstrate that practice facilitation
with regular, tailored follow up is a powerful component of a successful
organizational change strategy. Academic detailing alone or combined with audit
and feedback alone is ineffective without intensive follow up. Provision of
educational materials and use of audit and feedback are often integral components
of multifaceted implementation strategies. However, we didn't find examples where
those relatively limited strategies were effective as standalone interventions.
System-level support through technology (such as automated reminders or alerts)
is potentially helpful, but must be carefully tailored to clinic needs.
PMID- 29370792
TI - What do we know about managing Dupuytren's disease cost-effectively?
AB - BACKGROUND: Dupuytren's disease (DD) is a common and progressive,
fibroproliferative disorder of the palmar and digital fascia of the hand. Various
treatments have been recommended for advanced disease or to retard progression of
early disease and to prevent deterioration of the finger contracture and quality
of life. Recent studies have tried to evaluate the clinical and cost
effectiveness of therapies for DD, but there is currently no systematic
assessment and appraisal of the economic evaluations. METHODS: A systematic
literature review was conducted, following PRISMA guidelines, to identify studies
reporting economic evaluations of interventions for managing DD. Databases
searched included the Ovid MEDLINE/Embase (without time restriction), National
Health Service (NHS) Economic Evaluation Database (all years) and the National
Institute for Health Research (NIHR) Journals Library) Health Technology
Assessment (HTA). Cost-effectiveness analyses of treating DD were identified and
their quality was assessed using the CHEERS assessment tool for quality of
reporting and Phillips checklist for model evaluation. RESULTS: A total of 103
studies were screened, of which 4 met the study inclusion criteria. Two studies
were from the US, one from the UK and one from Canada. They all assessed the same
interventions for advanced DD, namely collagenase Clostridium histolyticum
injection, percutaneous needle fasciotomy and partial fasciectomy. All studies
conducting a cost-utility analysis, two implemented a decision analytic model and
two a Markov model approach. None of them were based on a single randomised
controlled trial, but rather synthesised evidence from various sources. Studies
varied in their time horizon, sources of utility estimates and perspective of
analysis. The overall quality of study reporting was good based on the CHEERS
checklist. The quality of the model reporting in terms of model structure, data
synthesis and model consistency varied across the included studies. CONCLUSION:
Cost-effectiveness analyses for patients with advanced DD are limited and have
applied different approaches with respect to modelling. Future studies should
improve the way they are conducted and report their findings according to
established guidance for conducting economic modelling of health care
technologies. TRIAL REGISTRATION: The protocol was registered ( CRD42016032989 ;
date 08/01/2016) with the PROSPERO international prospective register of
systematic reviews.
PMID- 29370793
TI - Cross-validation of a learning climate instrument in a non-western postgraduate
clinical environment.
AB - BACKGROUND: In postgraduate training, there is a need to continuously assess the
learning and working conditions to optimize learning. Students or trainees
respond to the learning climate as they perceive it. The Dutch Residency
Educational Climate Test (D-RECT) is a learning climate measurement tool with
well-substantiated validity. However, it was originally designed for Dutch
postgraduate trainees and it remains to be shown whether extrapolation to non
Western settings is viable. The dual objective of this study was to revalidate D
RECT outside of a Western setting and to evaluate the factor structure of a
recently revised version of the D-RECT containing 35 items. METHODS: We invited
Filipino internal medicine residents from 96 hospitals to complete the revised 35
item D-RECT. Subsequently, we performed a confirmatory factor analysis to check
the fit of the 9 scale model of the revised 35-item D-RECT. Inter-rater
reliability was assessed using generalizability theory. RESULTS: Confirmatory
factor analysis unveiled that the factor structure of the revised 35-item D-RECT
provided a reasonable fit to the Filipino data, after removal of 7 items. Five to
seven evaluations of individual residents were needed per scale to obtain a
reliable result. CONCLUSION: Even in a non-Western setting, the D-RECT exhibited
psychometric validity. This study validated the factor structure of the revised
35-item D-RECT after some modifications. We recommend that its application be
extended to other Asian countries and specialties.
PMID- 29370794
TI - Anti-proliferative and cytotoxic activities of Allium autumnale P. H. Davis
(Amaryllidaceae) on human breast cancer cell lines MCF-7 and MDA-MB-231.
AB - BACKGROUND: Natural products obtained from plants can be potent sources for
developing a variety of pharmaceutical products. Allium species have been widely
studied for their anti-cancer effects and presented promising results as
potential anti-cancer agents. Breast cancer (BCa) is one of the most commonly
diagnosed types of cancer in women. In this study, we aimed to investigate the
anti-proliferative, cytotoxic and anti-metastatic effects of bulb and stem
extracts from Allium autumnale P. H. Davis (Amaryllidaceae), an endemic Allium
species to the island of Cyprus, in a comparative approach to weakly metastatic
MCF-7 and strongly metastatic MDA-MB-231 breast cancer (BCa) cell lines. METHODS:
Possible cytotoxic, anti-proliferative and anti-metastatic effects of the Allium
extracts on MCF-7 and MDA-MB-231 cells were tested using trypan blue exclusion,
MTT and wound heal assays, respectively. Gas Chromatography Mass Spectroscopy (GC
MS) analysis was performed to determine the prominent medically important
compounds in Allium autumnale bulb (AAB) and Allium autumnale stem (AAS)
extracts. Student unpaired t-test or ANOVA followed by Newman-Keuls post hoc
analysis (INSTAT Software) was used where appropriate. RESULTS: Our results
demonstrate that AAB extract (24, 48 and 72 h) exerts significant anti
proliferative effect on both MCF-7 and MDA-MB-231 cells where this effect for AAS
extract was observed only at high (5000 and 10,000 MUg/mL) concentrations. Cell
viability experiments revealed that AAB extract incubations caused more
cytotoxicity on both BCa cell lines compared to the AAS. In contrast, there was
no effect on lateral motilities of either cell line. CONCLUSION: Overall, our
studies demonstrated the anti-cancer activities associated with Allium autumnale,
revealing it's cytotoxic and anti-proliferative potential to be further utilized
in in vivo studies.
PMID- 29370795
TI - Preparing for a trial to test a postpartum weight retention intervention among
low income women: feasibility of a protocol in a community-based organization.
AB - BACKGROUND: Postpartum weight retention (PPWR) causes intergenerational harm,
negatively affecting a mother's cardiovascular health and ability to have future
healthy pregnancies. Low-income minority women are at highest risk for PPWR with
little guidance concerning timeline or strategy to lose weight after delivery. An
academic-community partnership conducted observational and focus group work to
develop an intervention for PPWR among low-income mothers. This study's objective
is to determine the feasibility of implementing a PPWR intervention trial in
partnership with a community-based organization (CBO) serving low-income families
with social service support. METHODS: We analyzed five implementation outcomes in
this feasibility study: acceptability, adoption, appropriateness, penetration,
and sustainability. Other secondary outcomes were the change in psychosocial and
clinical outcomes from baseline to one year following the intervention delivery.
RESULTS: An academic-community partnership developed and piloted a postpartum
weight retention intervention among 17 participants that included 1) six weeks of
interactive daily health texting, 2) exercise assistance with baby carrier, home
exercise program, and pedometer provision, 3) two live healthy eating and baby
feeding workshops, and 4) two 45-min home visits over one year to provide social
support and acquire followup data. Implementation outcomes demonstrate an
intervention supported by the organization and accepted by end-users, with
increased capacity of the CBO to test and deliver an effective intervention.
Weight loss was achieved by the majority of participants at one year (Md - 5
pounds (IQR = - 14.5 - 0.3). CONCLUSION: We made protocol enhancements to the
developed intervention based on the analysis of this study, and now prepare for a
funded randomized controlled trial (RCT) in a community-based setting. Our
central hypothesis is that low-income women who participate in a multi-component,
low cost-intervention delivered by a CBO will have less postpartum weight
retention than those women who do not participate in the program. TRIAL
REGISTRATION: The trial was retrospectively registered, ID NCT02867631, 8/11/16.
PMID- 29370796
TI - Changes in patient-centered attitude and confidence in communicating with
patients: a longitudinal study of resident physicians.
AB - BACKGROUND: Patient-centered care has been one of the most frequently discussed
principles in medical practice. However, there is a serious concern that the
patient-centered attitudes of physicians diminish over the course of their
medical education. This longitudinal study examined changes in resident
physicians' patient-centered attitudes and their confidence in communicating with
patients, and explored the relationship between the two traits. METHODS: The
study participants were resident physicians at a university hospital in Tokyo.
Participants' patient-centered attitudes (as measured by the Patient-Practitioner
Orientation Scale [PPOS]), and their confidence in communicating with patients
(as per the Physician Confidence in the Medical Interview scale: [PCMI]) were
assessed through self-reported questionnaires completed at the beginning of
residency (n = 204) and again at the end of the first year (n = 95). RESULTS:
PPOS scores declined significantly during the year, both in terms of attitude
toward sharing information and decision-making with patients, and attitude of
caring for patients' expectations and emotions. The shift in caring attitude
differed significantly by gender. The increase in PCMI score was greater for
those with a smaller decrease in PPOS score. CONCLUSIONS: As seen in previous
studies of medical students, resident physicians' patient-centered attitudes
declined during their first year of residency, while there may be a gender-based
difference within the shift. The increase in physicians' confidence in
communicating with patients was greater for those who showed a smaller decline in
patient-centered attitude. Additional studies are needed to detail the changes in
physicians' attitudes, confidence, and communication skills over the course of
their medical training, and to develop systematic assessment and training
programs.
PMID- 29370797
TI - The impact of a private sector living wage intervention on consumption and
cardiovascular disease risk factors in a middle income country.
AB - BACKGROUND: A positive association of socioeconomic position and health is well
established in high-income countries. In poorer nations, however, higher income
individuals often have more cardiovascular risk factors (including obesity) than
do those with less income. Our study goal was to estimate the effects of
receiving a living wage (340% higher income) on short-term changes in consumption
and cardiovascular risk factors among low-wage workers in a middle-income
country. METHODS: This cross-sectional study matched workers at an apparel
factory (n=105) in the Dominican Republic with those at a similar factory (n=99)
nearby, 15 months after the intervention factory introduced a substantially
higher living wage. Statistical matching on non-time varying individual
characteristics (childhood health, childhood living conditions, work experience,
demographic factors) strengthened causal inference. Primary outcomes were blood
pressure (systolic and diastolic), pulse rate, body mass index and waist
circumference. Secondary outcomes were dietary consumption and spending on
services, consumables and durable goods. RESULTS: Receiving the living wage was
associated with increased consumption of protein, dairy, soda and juice and
sugars, but not with cardiovascular risk factors. Intervention factory workers
spent more on grocery items and household durable goods. CONCLUSIONS: While
having a higher income in a middle-income country might be expected to increase
obesity and its associated health risks, the current study found no short-term
negative associations. There may be possible longer-term negative health
consequences of increases in consumption of soda, juice and sugars, however. It
is important to consider complementary interventions to support healthy dietary
intake in areas with increasing wages.
PMID- 29370798
TI - Barriers and prospects of India's conditional cash transfer program to promote
institutional delivery care: a qualitative analysis of the supply-side
perspectives.
AB - BACKGROUND: Under the National Health Mission (NHM) of India, Janani Suraksha
Yojana (JSY) offers conditional cash transfer and support services to pregnant
women to use institutional delivery care facilities. This study aims to
understand community health workers' (ASHAs) and program officials' perceptions
regarding barriers to and prospects for the uptake of facilities offered under
the JSY. METHODS: Fifty in-depth interviews of a purposively selected sample of
ASHAs (n = 12), members of Village Health and Sanitation Committees (n = 11), and
officials at different tiers of healthcare facilities (n = 27) were conducted in
three Indian states. The data were analyzed thematically using ATLAS.ti software.
RESULTS: Although the JSY has triggered considerable advancement on the Indian
maternal and child health front, there are several barriers to be resolved
pertaining to i) delivering quality care at health-facility; ii) linkages between
home and health-facility; and iii) the community/household context. At the
facility level, respondents cited an inability to treat birth complications as a
barrier to JSY uptake, resulting in referrals to other (mostly private)
facilities. Despite increased investment in health infrastructure under the
program, shortages in emergency obstetric-care facilities, specialists and staff,
essential drugs, diagnostics, and necessary equipment persisted. Weaker linkages
between various vertical (standalone) elements of maternal and primary healthcare
programs, and nearly uniform resource allocation to all facilities irrespective
of caseloads and actual need also constrained the provision of quality
healthcare. Barriers affecting the linkages between home and facility arose
mainly due to the mismatch between the multiple demands and the availability of
transport facilities, especially in emergency situations. Regarding
community/household context, several socio-cultural issues such as resistance
towards the ASHA's efforts of counselling, particularly from elderly family
members, often adversely affected people's decision to seek healthcare.
CONCLUSION: Adequate interventions at the community level, capacity building for
healthcare providers, and measures to address underlying structural and systemic
barriers are needed to improve the uptake of institutional maternal healthcare.
PMID- 29370799
TI - Regulation of Locomotor activity in fed, fasted, and food-restricted mice lacking
tissue-type plasminogen activator.
AB - BACKGROUND: Circadian rhythms of physiology and behavior are driven by a
circadian clock located in the suprachiasmatic nucleus of the hypothalamus. This
clock is synchronized to environmental day/night cycles by photic input, which is
dependent on the presence of mature brain-derived neurotrophic factor (BDNF) in
the SCN. Mature BDNF is produced by the enzyme plasmin, which is converted from
plasminogen by the enzyme tissue-type plasminogen activator (tPA). In this study,
we evaluate circadian function in mice lacking functional tPA. RESULTS: tPA-/-
mice have normal circadian periods, but show decreased nocturnal wheel-running
activity. This difference is eliminated or reversed on the second day of a 48-h
fast. Similarly, when placed on daily cycles of restricted food availability the
genotypic difference in total wheel-running activity disappears, and tPA-/- mice
show equivalent amounts of food anticipatory activity to wild type mice.
CONCLUSIONS: These data suggest that tPA regulates nocturnal wheel-running
activity, and that tPA differentially affects SCN-driven nocturnal activity
rhythms and activity driven by fasting or temporal food restriction.
PMID- 29370800
TI - A novel immortalized hepatocyte-like cell line (imHC) supports in vitro liver
stage development of the human malarial parasite Plasmodium vivax.
AB - BACKGROUND: Eradication of malaria is difficult because of the ability of
hypnozoite, the dormant liver-stage form of Plasmodium vivax, to cause relapse in
patients. Research efforts to better understand the biology of P. vivax
hypnozoite and design relapse prevention strategies have been hampered by the
lack of a robust and reliable model for in vitro culture of liver-stage
parasites. Although the HC-04 hepatoma cell line is used for culturing liver
stage forms of Plasmodium, these cells proliferate unrestrictedly and detach from
the culture dish after several days, which limits their usefulness in a long-term
hypnozoite assay. METHODS: A novel immortalized hepatocyte-like cell line (imHC)
was evaluated for the capability to support P. vivax sporozoite infection. First,
expression of basic hepatocyte markers and all major malaria sporozoite
associated host receptors in imHC was investigated. Next, in vitro hepatocyte
infectivity and intracellular development of sporozoites in imHC were determined
using an indirect immunofluorescence assay. Cytochrome P450 isotype activity was
also measured to determine the ability of imHC to metabolize drugs. Finally, the
anti-liver-stage agent primaquine was used to test this model for a drug
sensitivity assay. RESULTS: imHCs maintained major hepatic functions and
expressed the essential factors CD81, SR-BI and EphA2, which are required for
host entry and development of the parasite in the liver. imHCs could be
maintained long-term in a monolayer without overgrowth and thus served as a good,
supportive substrate for the invasion and growth of P. vivax liver stages,
including hypnozoites. The observed high drug metabolism activity and potent
responses in liver-stage parasites to primaquine highlight the potential use of
this imHC model for antimalarial drug screening. CONCLUSIONS: imHCs, which
maintain a hepatocyte phenotype and drug-metabolizing enzyme expression,
constitute an alternative host for in vitro Plasmodium liver-stage studies,
particularly those addressing the biology of P. vivax hypnozoite. They
potentially offer a novel, robust model for screening drugs against liver-stage
parasites.
PMID- 29370801
TI - Expression of cocoa genes in Saccharomyces cerevisiae improves cocoa butter
production.
AB - BACKGROUND: Cocoa butter (CB) extracted from cocoa beans (Theobroma cacao) is the
main raw material for chocolate production, but CB supply is insufficient due to
the increased chocolate demand and limited CB production. CB is mainly composed
of three different kinds of triacylglycerols (TAGs), 1,3-dipalmitoyl-2-oleoyl
glycerol (POP, C16:0-C18:1-C16:0), 1-palmitoyl-3-stearoyl-2-oleoyl-glycerol (POS,
C16:0-C18:1-C18:0) and 1,3-distearoyl-2-oleoyl-glycerol (SOS, C18:0-C18:1-C18:0).
In general, Saccharomyces cerevisiae produces TAGs as storage lipids, which
consist of C16 and C18 fatty acids. However, cocoa butter-like lipids (CBL, which
are composed of POP, POS and SOS) are not among the major TAG forms in yeast. TAG
biosynthesis is mainly catalyzed by three enzymes: glycerol-3-phosphate
acyltransferase (GPAT), lysophospholipid acyltransferase (LPAT) and
diacylglycerol acyltransferase (DGAT), and it is essential to modulate the yeast
TAG biosynthetic pathway for higher CBL production. RESULTS: We cloned seven GPAT
genes and three LPAT genes from cocoa cDNA, in order to screen for CBL
biosynthetic gene candidates. By expressing these cloned cocoa genes and two
synthesized cocoa DGAT genes in S. cerevisiae, we successfully increased total
fatty acid production, TAG production and CBL production in some of the strains.
In the best producer, the potential CBL content was eightfold higher than the
control strain, suggesting the cocoa genes expressed in this strain were
functional and might be responsible for CBL biosynthesis. Moreover, the potential
CBL content increased 134-fold over the control Y29-TcD1 (IMX581 sct1Delta
ale1Delta lro1Delta dga1Delta with TcDGAT1 expression) in strain Y29-441 (IMX581
sct1Delta ale1Delta lro1Delta dga1Delta with TcGPAT4, TcLPAT4 and TcDGAT1
expression) further suggesting cocoa GPAT and LPAT genes functioned in yeast.
CONCLUSIONS: We demonstrated that cocoa TAG biosynthetic genes functioned in S.
cerevisiae and identified cocoa genes that may be involved in CBL production.
Moreover, we found that expression of some cocoa CBL biosynthetic genes improved
potential CBL production in S. cerevisiae, showing that metabolic engineering of
yeast for cocoa butter production can be realized by manipulating the key enzymes
GPAT, LPAT and DGAT in the TAG biosynthetic pathway.
PMID- 29370802
TI - Phylogenomics of the olive tree (Olea europaea) reveals the relative contribution
of ancient allo- and autopolyploidization events.
AB - BACKGROUND: Polyploidization is one of the major evolutionary processes that
shape eukaryotic genomes, being particularly common in plants. Polyploids can
arise through direct genome doubling within a species (autopolyploidization) or
through the merging of genomes from distinct species after hybridization
(allopolyploidization). The relative contribution of both mechanisms in plant
evolution is debated. Here we used phylogenomics to dissect the tempo and mode of
duplications in the genome of the olive tree (Olea europaea), one of the first
domesticated Mediterranean fruit trees. RESULTS: Our results depict a complex
scenario involving at least three past polyploidization events, of which two-at
the bases of the family Oleaceae and the tribe Oleeae, respectively-are likely to
be the result of ancient allopolyploidization. A more recent polyploidization
involves specifically the olive tree and relatives. CONCLUSION: Our results show
the power of phylogenomics to distinguish between allo- and auto polyploidization
events and clarify the contributions of duplications in the evolutionary history
of the olive tree.
PMID- 29370803
TI - Modelling and observing the role of wind in Anopheles population dynamics around
a reservoir.
AB - BACKGROUND: Wind conditions, as well as other environmental conditions, are
likely to influence malaria transmission through the behaviours of Anopheles
mosquitoes, especially around water-resource reservoirs. Wind-induced waves in a
reservoir impose mortality on aquatic-stage mosquitoes. Mosquitoes' host-seeking
activity is also influenced by wind through dispersion of [Formula: see text].
However, no malaria transmission model exists to date that simulated those
impacts of wind mechanistically. METHODS: A modelling framework for simulating
the three important effects of wind on the behaviours of mosquito is developed:
attraction of adult mosquitoes through dispersion of [Formula: see text]
([Formula: see text] attraction), advection of adult mosquitoes (advection), and
aquatic-stage mortality due to wind-induced surface waves (waves). The framework
was incorporated in a mechanistic malaria transmission simulator, HYDREMATS. The
performance of the extended simulator was compared with the observed population
dynamics of the Anopheles mosquitoes at a village adjacent to the Koka Reservoir
in Ethiopia. RESULTS: The observed population dynamics of the Anopheles
mosquitoes were reproduced with some reasonable accuracy in HYDREMATS that
includes the representation of the wind effects. HYDREMATS without the wind model
failed to do so. Offshore wind explained the increase in Anopheles population
that cannot be expected from other environmental conditions alone. CONCLUSIONS:
Around large water bodies such as reservoirs, the role of wind in the dynamics of
Anopheles population, hence in malaria transmission, can be significant.
Modelling the impacts of wind on the behaviours of Anopheles mosquitoes aids in
reproducing the seasonality of malaria transmission and in estimation of the risk
of malaria around reservoirs.
PMID- 29370804
TI - Measuring and stimulating progress on implementing widely recommended food
environment policies: the New Zealand case study.
AB - BACKGROUND: Monitoring the degree of implementation of widely recommended food
environment policies by national governments is an important part of stimulating
progress towards better population nutritional health. METHODS: The Healthy Food
Environment Policy Index (Food-EPI) was applied for the second time in New
Zealand in 2017 (initially applied in 2014) to measure progress on implementation
of widely recommended food environment policies. A national panel of 71
independent (n = 48) and government (n = 23) public health experts rated the
extent of implementation of 47 policy and infrastructure support good practice
indicators by the Government against international best practice, using an
extensive evidence document verified by government officials. Experts proposed
and prioritised concrete actions needed to address the critical implementation
gaps identified. RESULTS: Inter-rater reliability was good (Gwet's AC2 > 0.8).
Approximately half (47%) of the indicators were rated as having 'low' or 'very
little, if any' implementation compared to international benchmarks, a decrease
since 2014 (60%). A lower proportion of infrastructure support (29%) compared to
policy (70%) indicators were rated as having 'low' or 'very little, if any'
implementation. The experts recommended 53 actions, prioritising nine for
immediate implementation; three of those prioritised actions were the same as in
2014. The vast majority of experts agreed that the Food-EPI is likely to
contribute to beneficial policy change and increased their knowledge about food
environments and policies. CONCLUSION: The Food-EPI has the potential to increase
accountability of governments to implement widely recommended food environment
policies and reduce the burden of obesity and diet-related diseases.
PMID- 29370805
TI - Molecular and physiological analysis of Anopheles funestus swarms in Nchelenge,
Zambia.
AB - BACKGROUND: Anopheles funestus has been recognized as a major malaria vector in
Africa for over 100 years, but knowledge on many aspects of the biology of this
species is still lacking. Anopheles funestus, as with most other anophelines,
mate through swarming. A key event that is crucial for the An. funestus male to
mate is genitalia rotation. This involves the 135 degrees to 180 degrees
rotation of claspers, which are tipped with claws. This physical change then
enables the male to grasp the female during copulation. The aim of this
investigation was to molecularly characterize wild An. funestus swarms from
Zambia and examine the degree of genitalia rotation within the swarm. METHODS:
Anopheles funestus swarms were collected from Nchelenge, northern Zambia, during
dusk periods in May 2016. All the adults from the swarm were analysed
morphologically and identified to species level using a multiplex PCR assay.
Anopheles funestus s.s. specimens were molecularly characterized by restriction
fragment length polymorphism type and Clade type assays. The different stages of
genitalia rotation were examined in the adult males. RESULTS: A total of six
swarms were observed during the study period and between 6 and 26 mosquitoes were
caught from each swarm. Species analysis revealed that 90% of the males from the
swarms were An. funestus s.s. MW-type, with 84% belonging to clade I compared to
14% clade II and 2% failed to amplify. Very few specimens (3.4%) were identified
as Anopheles gambiae s.s. Eighty percent of the males from the swarm had complete
genitalia rotation. CONCLUSIONS: This is the first time that An. funestus swarms
have been molecularly identified to species level. Anopheles funestus swarms
appear to be species-specific with no evidence of clade-type differentiation
within these swarms. The An. funestus swarms consist mainly of males with fully
rotated genitalia, which strongly suggests that swarming behaviour is triggered
primarily when males have matured.
PMID- 29370807
TI - Voltammetric analysis for fast and inexpensive diagnosis of urinary tract
infection: a diagnostic study.
AB - BACKGROUND: Dipstick test is widely used to support the diagnosis of urinary
tract infections (UTI). It is effective in ruling out UTI, but urine culture is
needed for diagnosis confirmation. In this study we compared the accuracy of
voltammetric analysis (VA) with that of DT to detect UTI (diagnosed using urine
culture), and its usefulness as a second-stage test in people with positive DT.
METHODS: 142 patients were enrolled with no exclusion criteria. VA was performed
using the BIONOTE device. Partial Least Square Discrimination Analysis was used
to predict UTI based on VA data; diagnostic performance was evaluated using
sensitivity, specificity, positive and negative predictive values (PPV and NPV,
respectively), positive and negative likelihood ratios (LR), accuracy, diagnostic
odds ratio (DOR). RESULTS: Mean age was 76.6 years (SD 12.6), 57% were male. VA
had a better overall performance respect to DT in detecting UTI with accuracy
81.7% vs 75.9%, specificity 90.8% vs 82.5%, PPV 75% vs 61.4%, positive LR 6.68 vs
3.5, DOR 17.7 vs 7.47; sensibility, NPV and negative LR of the two tests were
similar. VA had an accuracy of 82.4% in discriminating bacterial from fungal
infections. When added as a second-stage test, VA identified 9 of the 17 false
positive patients, with a net specificity of 91.7%, sensitivity 54%, PPV 75% and
NPV 81%. CONCLUSIONS: VA is a quick and easy method that may be used as a second
stage after DT to reduce the number of urine culture and of inappropriate
antibiotic prescriptions.
PMID- 29370806
TI - The progression rate of spinocerebellar ataxia type 2 changes with stage of
disease.
AB - BACKGROUND: Spinocerebellar ataxia type 2 (SCA2) affects several neurological
structures, giving rise to multiple symptoms. However, only the natural history
of ataxia is well known, as measured during the study duration. We aimed to
describe the progression rate of ataxia, by the Scale for the Assessment and
Rating of Ataxia (SARA), as well as the progression rate of the overall
neurological picture, by the Neurological Examination Score for Spinocerebellar
Ataxias (NESSCA), and not only during the study duration but also in a disease
duration model. Comparisons between these models might allow us to explore
whether progression is linear during the disease duration in SCA2; and to look
for potential modifiers. RESULTS: Eighty-eight evaluations were prospectively
done on 49 symptomatic subjects; on average (SD), study duration and disease
duration models covered 13 (2.16) months and 14 (6.66) years of individuals'
life, respectively. SARA progressed 1.75 (CI 95%: 0.92-2.57) versus 0.79 (95% CI
0.45 to 1.14) points/year in the study duration and disease duration models.
NESSCA progressed 1.45 (CI 95%: 0.74-2.16) versus 0.41 (95% CI 0.24 to 0.59)
points/year in the same models. In order to explain these discrepancies, the
progression rates of the study duration model were plotted against disease
duration. Then an acceleration was detected after 10 years of disease duration:
SARA scores progressed 0.35 before and 2.45 points/year after this deadline (p =
0.013). Age at onset, mutation severity, and presence of amyotrophy,
parkinsonism, dystonic manifestations and cognitive decline at baseline did not
influence the rate of disease progression. CONCLUSIONS: NESSCA and SARA
progression rates were not constant during disease duration in SCA2: early phases
of disease were associated with slower progressions. Modelling of future clinical
trials on SCA2 should take this phenomenon into account, since disease duration
might impact on inclusion criteria, sample size, and study duration. Our database
is available online and accessible to future studies aimed to compare the present
data with other cohorts.
PMID- 29370808
TI - Pharmacists' role in harm reduction: a survey assessment of Kentucky community
pharmacists' willingness to participate in syringe/needle exchange.
AB - BACKGROUND: Pharmacists' role in harm reduction is expanding in many states, yet
there are limited data on pharmacists' willingness to participate in harm
reduction activities. This study assessed community pharmacists' willingness to
participate in one harm reduction initiative: syringe/needle exchange. METHODS:
In 2015, all Kentucky pharmacists with active licenses were emailed a survey that
examined attitudes towards participation in syringe/needle exchange. Response
frequencies were calculated for community pharmacist respondents. Ordinal
logistic regression estimated the impact of community pharmacist characteristics
and attitudes on willingness to provide clean needles/syringes to people who
inject drugs and to dispose of used syringes/needles, where both dependent
variables were defined as Likert-type questions on a scale of 1 (not at all
willing) to 6 (very willing). RESULTS: Of 4699 practicing Kentucky pharmacists,
1282 pharmacists responded (response rate = 27.3%); the majority (n = 827) were
community pharmacists. Community pharmacists were divided on willingness to
provide clean needles/syringes, with 39.1% not willing (score 1 or 2 of 6) and
30% very willing (score 5 or 6 of 6). Few were willing to dispose of used
needles/syringes, with only 18.7% willing. Community pharmacists who agreed that
pharmacists could have significant public health impact by providing access to
clean needles expressed 3.56 times more willingness to provide clean needles (95%
CI 3.06-4.15), and 2.04 times more willingness to dispose of used needles (95% CI
1.77-2.35). Chain/supermarket pharmacists (n = 485, 58.6% of community
pharmacies) were 39% less likely to express willingness to dispose of used
needles (95% CI 0.43-0.87) when compared with independent community pharmacists
(n = 342, 41.4% of community pharmacies). Independent pharmacists reported
different barriers (workflow) than their chain/supermarket pharmacist colleagues
(concerns of clientele). CONCLUSIONS: Kentucky community pharmacists were more
willing to provide clean needles than to dispose of used needles. Strategies to
mitigate barriers to participation in syringe/needle exchange are warranted.
PMID- 29370809
TI - The no-go zone: a qualitative study of access to sexual and reproductive health
services for sexual and gender minority adolescents in Southern Africa.
AB - BACKGROUND: Adolescents have significant sexual and reproductive health needs.
However, complex legal frameworks, and social attitudes about adolescent
sexuality, including the values of healthcare providers, govern adolescent access
to sexual and reproductive health services. These laws and social attitudes are
often antipathetic to sexual and gender minorities. Existing literature assumes
that adolescents identify as heterosexual, and exclusively engage in
(heteronormative) sexual activity with partners of the opposite sex/gender, so
little is known about if and how the needs of sexual and gender minority
adolescents are met. METHODS: In this article, we have analysed data from fifty
in-depth qualitative interviews with representatives of organisations working
with adolescents, sexual and gender minorities, and/or sexual and reproductive
health and rights in Malawi, Mozambique, Namibia, Zambia and Zimbabwe. RESULTS:
Sexual and gender minority adolescents in these countries experience double
marginalisation in pursuit of sexual and reproductive health services: as
adolescents, they experience barriers to accessing LGBT organisations, who fear
being painted as "homosexuality recruiters," whilst they are simultaneously
excluded from heteronormative adolescent sexual and reproductive health services.
Such barriers to services are equally attributable to the real and perceived
criminalisation of consensual sexual behaviours between partners of the same
sex/gender, regardless of their age. DISCUSSION/ CONCLUSION: The combination of
laws which criminalise consensual same sex/gender activity and the social stigma
towards sexual and gender minorities work to negate legal sexual and reproductive
health services that may be provided. This is further compounded by age-related
stigma regarding sexual activity amongst adolescents, effectively leaving sexual
and gender minority adolescents without access to necessary information about
their sexuality and sexual and reproductive health, and sexual and reproductive
health services.
PMID- 29370810
TI - Global action for training in malaria elimination.
AB - The Rethinking Malaria Leadership Forum, held at Harvard Business School in
February 2017 with collaboration of the Barcelona Institute for Global Health and
the Swiss Tropical and Public Health Institute, identified this training gap as a
high priority for both analysis and action. The gap in human resource training
for malaria elimination needs to be addressed in order to assure continued
progress. This paper identifies major gaps in skills and human resources,
suggests institutions that can assist in filling the training gaps, and proposes
global actions to implement expanded training for malaria elimination in endemic
countries.
PMID- 29370811
TI - Harm, benefit and costs associated with low-dose glucocorticoids added to the
treatment strategies for rheumatoid arthritis in elderly patients (GLORIA trial):
study protocol for a randomised controlled trial.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a chronic inflammatory disease of the
joints affecting 1% of the world population. It has major impact on patients
through disability and associated comorbidities. Current treatment strategies
have considerably improved the prognosis, but recent innovations (especially
biologic drugs and the new class of so-called "JAK/STAT inhibitors") have
important safety issues and are very costly. Glucocorticoids (GCs) are highly
effective in RA, and could reduce the need for expensive treatment with biologic
agents. However, despite more than 65 years of clinical experience, there is a
lack of studies large enough to adequately document the benefit/harm balance. The
result is inappropriate treatment strategies, i.e. both under-use and over-use of
GCs, and consequently suboptimal treatment of RA. METHODS: The GLORIA study is a
pragmatic multicentre, 2-year, randomised, double-blind, clinical trial to assess
the safety and effectiveness of a daily dose of 5 mg prednisolone or matching
placebo added to standard of care in elderly patients with RA. Eligible
participants are diagnosed with RA, have inadequate disease control (disease
activity score, DAS28 >= 2.6), and are >= 65 years. The primary outcome measures
are the time-averaged mean value of the DAS28 and the occurrence of serious
adverse events or adverse events of special interest. During the trial, change in
antirheumatic therapy is permitted as clinically indicated, except for GCs. Cost
effectiveness and cost-utility are secondary outcomes. The main challenge is the
interpretation of the trial result with two primary endpoints and the pragmatic
trial design that allows co-interventions. Another challenge is the definition of
safety and the relative lack of power to detect differences between treatment
groups. We have chosen to define safety as the number of patients experiencing at
least one serious adverse event. We also specify a decision tree to guide our
conclusion on the balance of benefit and harm, and our methodology to combat
potential confounding caused by co-interventions. DISCUSSION: Pragmatic trials
minimise impact on daily practice and maximise clinical relevance of the results,
but analysis and interpretation of the results is challenging. We expect that the
results of this trial are of importance for all rheumatologists who treat elderly
patients with RA. TRIAL REGISTRATION: ClinicalTrials.gov, NCT02585258 .
Registered on 20 October 2015.
PMID- 29370813
TI - A randomized matched-pairs study of feasibility, acceptability, and effectiveness
of systems consultation: a novel implementation strategy for adopting clinical
guidelines for Opioid prescribing in primary care.
AB - BACKGROUND: This paper reports on the feasibility, acceptability, and
effectiveness of an innovative implementation strategy named "systems
consultation" aimed at improving adherence to clinical guidelines for opioid
prescribing in primary care. While clinical guidelines for opioid prescribing
have been developed, they have not been widely implemented, even as opioid abuse
reaches epidemic levels. METHODS: We tested a blended implementation strategy
consisting of several discrete implementation strategies, including audit and
feedback, academic detailing, and external facilitation. The study compares four
intervention clinics to four control clinics in a randomized matched-pairs
design. Each systems consultant aided clinics on implementing the guidelines
during a 6-month intervention consisting of monthly site visits and
teleconferences/videoconferences. The mixed-methods evaluation employs the RE-AIM
(Reach, Effectiveness, Adoption, Implementation, Maintenance) framework.
Quantitative outcomes are compared using time series analysis. Qualitative
methods included focus groups, structured interviews, and ethnographic field
techniques. RESULTS: Seven clinics were randomly approached to recruit four
intervention clinics. Each clinic designated a project team consisting of six to
eight staff members, each with at least one prescriber. Attendance at
intervention meetings was 83%. More than 80% of staff respondents agreed or
strongly agreed with the statements: "I am more familiar with guidelines for safe
opioid prescribing" and "My clinic's workflow for opioid prescribing is easier."
At 6 months, statistically significant improvements were noted in intervention
clinics in the percentage of patients with mental health screens, treatment
agreements, urine drug tests, and opioid-benzodiazepine co-prescribing. At 12
months, morphine-equivalent daily dose was significantly reduced in intervention
clinics compared to controls. The cost to deliver the strategy was $7345 per
clinic. Adaptations were required to make the strategy more acceptable for
primary care. Qualitatively, intervention clinics reported that chronic pain was
now treated using approaches similar to those employed for other chronic
conditions, such as hypertension and diabetes. CONCLUSIONS: The systems
consultation implementation strategy demonstrated feasibility, acceptability, and
effectiveness in a study involving eight primary care clinics. This multi
disciplinary strategy holds potential to mitigate the prevalence of opioid
addiction and ultimately may help to improve implementation of clinical
guidelines across healthcare. TRIAL REGISTRATION: ClinicalTrials.gov
(NCT02433496). https://clinicaltrials.gov/ct2/show/NCT02433496 Registered May 5,
2015.
PMID- 29370812
TI - Atrial fibrillation in a patient with Zika virus infection.
AB - BACKGROUND: Zika virus is an emerging arbovirus of the family Flaviviridae and
genus Flavivirus that until 2007 was restricted to a few cases of mild illness in
Africa and Asia. CASE PRESENTATION: We report a case of atrial fibrillation
disclosed during an acute Zika virus infection in a 49-year-old man. Different
biological samples were analyzed for the molecular diagnosis of Zika by real-time
PCR, however only the saliva specimen was positive. The patient's wife tested
positive in the serum sample, although she was an asymptomatic carrier. Moreover,
a complete overview of patient's biomarkers, including cytokines, chemokines, and
growth-factors levels, was analyzed and compared to gender and age matching non
infected controls, as well as other Zika infected patients, considering the 95%CI
of the mean values. Elevated levels of CXCL8, CCL11, CCL2, CXCL10, IL-1beta, IL
6, TNF-alpha, IFN-gamma, IL-17, IL-1Ra, IL-4, IL-9, FGF-basic, PDGF, G-CSF, and
GM-CSF were observed in the Atrial fibrillation patient, in contrast to
uninfected controls. Furthermore, increased levels of CCL5, IL-1beta, TNF-alpha,
IFN-gamma, IL-9, G-CSF, and GM-CSF were observed only in the atrial fibrillation
patient, when compared to other Zika patients. CONCLUSIONS: To our knowledge,
this is the first description of this type of cardiac disorder in Zika patients
which may be considered another atypical manifestation during Zika virus
infection.
PMID- 29370814
TI - Concurrent renal cell carcinoma and urothelial carcinoma: long-term follow-up
study of 27 cases.
AB - BACKGROUND: To investigate the clinical manifestation, diagnosis, treatment, and
outcome of simultaneous occurrence of renal cell carcinoma (RCC) and urothelial
carcinoma. METHODS: Twenty-seven consecutive patients with synchronous renal cell
carcinoma and urothelial carcinoma treated in two tertiary medical centers from
March 2005 to December 2015 were retrospectively reviewed. Their clinical,
pathological, and prognostic features were evaluated. Kaplan-Meier curves were
used to estimate overall survival. RESULTS: The median age was 69 years (range,
37-79 years). Seventeen patients presented with macroscopic hematuria, and 10
patients were asymptomatic. B-ultrasound, computed tomography (CT), and
cystoscopy initially indicated RCC concurrent with ipsilateral upper tract
urothelial carcinoma (UTUC) in 5 cases, RCC concurrent with contralateral UTUC in
1 case, RCC concurrent with bladder tumor in 17 cases, RCC concurrent with both
ipsilateral UTUC and bladder tumor in 1 case, RCC in 2 cases and ureter carcinoma
in 1 case. Different treatments were performed. The median follow-up time after
surgery was 23 months. For patients with synchronous RCC and bladder tumor, there
was no significant survival difference between patients treated with partial
nephrectomy and radical nephrectomy. During follow up, four patients died of RCC,
three patients died of non-oncological disease, one patient died of ureter
carcinoma. The 3-year overall survival rate was 80.8%. CONCLUSIONS: Concurrence
of RCC and urothelial carcinoma is clinically rare. Treatments should be
individualized. The prognosis for a patient with synchronous RCC and urothelial
carcinoma is possibly associated with the more aggressive one.
PMID- 29370815
TI - Breast implant-associated anaplastic large cell lymphoma in a Li-FRAUMENI
patient: a case report.
AB - BACKGROUND: Breast implant-associated anaplastic large cell lymphoma (BIA-ALCL)
is a rare malignancy, recently recognized as a provisional entity by the World
Health Organization. Although increasing data have been published on this entity
in recent years, a great number of patients and health professionals remain
unaware of this diagnosis. CASE PRESENTATION: We herein report the case of a 56
year-old female with Li-FRAUMENI syndrome who presented with late right-sided
recurrent breast swelling after prophylactic adenomastectomy with implant
reconstruction. Imaging scans revealed an heterogeneous mass adjacent to the
implant fibrous capsule. A biopsy of the lesion rendered the diagnosis of a BIA
ALCL. CONCLUSIONS: This case presents similarities with previous reports, but
also some particularities, which should be stressed in order to make the
diagnosis the earliest possible. The most distinct feature is that this is the
second report of BIA-ALCL arising in the setting of Li-FRAUMENI syndrome.
PMID- 29370816
TI - Prevalence of lower limb deep venous thrombosis among adult HIV positive patients
attending an outpatient clinic at Mulago Hospital.
AB - BACKGROUND: Deep venous thrombosis (DVT) and its major complication pulmonary
embolism (PE) are collectively known as venous thromboembolism. In Uganda, the
prevalence of DVT among HIV patients has not been previously published. The aim
of the study was to determine the prevalence and sonographic features of lower
limb deep venous thrombosis among HIV positive patients on anti-retroviral
treatment (ART). METHODS: This was a cross sectional study in which HIV positive
patients on ART were recruited from an out-patient HIV clinic at Mulago National
Referral Hospital. Patients were randomly selected and enrolled until a sample
size of 384 was reached. Study participants underwent compression and Doppler
ultrasound studies of both lower limb deep veins using Medison Sonoacer7
ultrasound machine. RESUTS: We found a prevalence of DVT of 9.1% (35 of 384
participants) among HIV patients on ART. The prevalence of latent (asymptomatic)
DVT was 2.3%. Among 35 patients with DVT, 42.8% had chronic DVT; 31.1% had acute
DVT and the rest had latent DVT. Among the risk factors, the odds of occurrence
of DVT among patients with prolonged immobility were 4.81 times as high as in
those with no prolonged immobility (p = 0.023; OR = 4.81; 95% CI 1.25-18.62).
Treatment with second line anti-retroviral therapy (ART) including protease
inhibitors (PIs) was associated with higher odds of DVT occurrence compared with
first line ART (p = 0.020; OR = 2.38; 95% CI 1.14-4.97). The odds of DVT
occurrence in patients with a lower CD4 count (< 200 cells/ul) were 5.36 times as
high as in patients with CD4 counts above 500 cells/ul (p = 0.008). About 48.6%
patients with DVT had a low risk according to Well's score. CONCLUSION: DVT was
shown in nearly 10% of HIV patients attending an out-patient clinic in an urban
setting in Uganda. Risk factors included protease inhibitors in their ART
regimen, prolonged immobility, and low CD4 count (< 200 cells/ul). Clinicians
should have a low threshold for performing lower limb Doppler ultrasound scan
examination on infected HIV patients on ART who are symptomatic for DVT.
Therefore, clinicians should consider anti-coagulant prophylaxis and lower deep
venous ultrasound screening of patients who are on second line ART regimen with
low CD4 cell counts and/or with prolonged immobility or hormonal contraception.
PMID- 29370817
TI - Establishment and genomic characterizations of patient-derived esophageal
squamous cell carcinoma xenograft models using biopsies for treatment
optimization.
AB - BACKGROUND: Squamous cell carcinoma is the dominant type of esophageal cancer in
China with many patients initially diagnosed at advanced stage. Patient-derived
xenografts (PDX) models have been developed to be an important platform for
preclinical research. This study aims to establish and characterize PDX models
using biopsy tissue from advanced esophageal cancer patients to lay the
foundation of preclinical application. METHODS: Fresh endoscopic biopsy tissues
were harvested from patients with advanced esophageal cancer and implanted
subcutaneously into NOD/SCID mice. Then, the PDXs were serially passaged for up
to four generations. Transplantation was analyzed and genomic characteristics of
xenografts were profiled using next-generation sequencing. RESULTS: Twenty-five
PDX models were established (13.3%, 25/188). The latency period was 75.12 +/-
19.87 days (50-120 days) for the first passage and it decreased with increasing
passaging. Other than tumor stages, no differences were found between
transplantations of xenografts and patient characteristics, irrespective of
chemotherapy. Histopathological features and chemosensitivity of PDXs were in
great accordance with primary patient tumors. Each PDX was assessed for molecular
characteristics including copy number variations, somatic mutations, and
signaling pathway abnormalities and these were similar to patient results.
CONCLUSIONS: Our PDX models were established from real time biopsies and
molecularly profiled. They might be promising for drug development and
individualized therapy.
PMID- 29370818
TI - The effects of an activity-based lifestyle intervention on moderate sleep
complaints among older adults: study protocol for a randomized controlled trial.
AB - BACKGROUND: Moderate sleep complaints are major gerontological issue affecting as
many as 80% of older adults. More intriguing findings have indicated that
moderate sleep complaints were associated with cognitive decline, functional
deterioration, clinical depression, and even morbidity and mortality among older
adults. The aim of this study is to evaluate the effects of an activity-based
lifestyle intervention on moderate sleep complaint among community-dwelling older
adults. METHODS/DESIGN: This sequential quantitative-qualitative mixed method
study will randomly allocate 224 individuals to receive either the 16-week group
based moderate-intensity stepping exercise or 16-week health education. The
exercise group receives three 60-min stepping exercises per week, whereas the
education group receives weekly educative talks on health topics other than
sleep. The primary outcomes are sleep quality as measured by the Pittsburgh Sleep
Quality Index (PSQI) and sleep pattern as measured by the actiwatch. Physical
fitness and mood status are measured as mediating variables by using the Rockport
walking test and Profile of Mood States. The qualitative part will invite 30
individuals from the exercise group who have different sleep-related treatment
responses to participate in individual interviews to explore their overall
perception of using stepping exercise as a lifestyle intervention to improve
sleep. Mixed effects model with intention-to-treat analysis will be used for
quantitative data. Inductive thematic analysis with a prior coding framework will
be used for the qualitative data. DISCUSSION: By investigating the effects and
the mediating mechanism of a moderate-intensity exercise program on moderate
sleep complaints among older adults, this study will generate evidence of high
scientific value and important public health implication. Understanding the sleep
promoting effects and acceptability of exercise informs how to apply lifestyle
promotion as a public health practice to improve late-life moderate sleep
complaints and forestall its progression to level of clinical severity. TRIAL
REGISTRATION: Clinical Trial Registry Team, Center for Clinical Research and
Biostatistics CUHK, CCRB00491 . Registered on 1 December 2015.
PMID- 29370819
TI - Single-inhaler fluticasone furoate/umeclidinium/vilanterol versus fluticasone
furoate/vilanterol plus umeclidinium using two inhalers for chronic obstructive
pulmonary disease: a randomized non-inferiority study.
AB - BACKGROUND: Single-inhaler fluticasone furoate/umeclidinium/vilanterol
(FF/UMEC/VI) 100/62.5/25 MUg has been shown to improve lung function and health
status, and reduce exacerbations, versus budesonide/formoterol in patients with
chronic obstructive pulmonary disease (COPD). We evaluated the non-inferiority of
single-inhaler FF/UMEC/VI versus FF/VI + UMEC using two inhalers. METHODS:
Eligible patients with COPD (aged >=40 years; >=1 moderate/severe exacerbation in
the 12 months before screening) were randomized (1:1; stratified by the number of
long-acting bronchodilators [0, 1 or 2] per day during run-in) to receive 24-week
FF/UMEC/VI 100/62.5/25 MUg and placebo or FF/VI 100/25 MUg + UMEC 62.5 MUg; all
treatments/placebo were delivered using the ELLIPTA inhaler once-daily in the
morning. Primary endpoint: change from baseline in trough forced expiratory
volume in 1 s (FEV1) at Week 24. The non-inferiority margin for the lower 95%
confidence limit was set at - 50 mL. RESULTS: A total of 1055 patients (844 [80%]
of whom were enrolled on combination maintenance therapy) were randomized to
receive FF/UMEC/VI (n = 527) or FF/VI + UMEC (n = 528). Mean change from baseline
in trough FEV1 at Week 24 was 113 mL (95% CI 91, 135) for FF/UMEC/VI and 95 mL
(95% CI 72, 117) for FF/VI + UMEC; the between-treatment difference of 18 mL (95%
CI -13, 50) confirmed FF/UMEC/VI's was considered non-inferior to FF/VI + UMEC.
At Week 24, the proportion of responders based on St George's Respiratory
Questionnaire Total score was 50% (FF/UMEC/VI) and 51% (FF/VI + UMEC); the
proportion of responders based on the Transitional Dyspnea Index focal score was
similar (56% both groups). A similar proportion of patients experienced a
moderate/severe exacerbation in the FF/UMEC/VI (24%) and FF/VI + UMEC (27%)
groups; the hazard ratio for time to first moderate/severe exacerbation with
FF/UMEC/VI versus FF/VI + UMEC was 0.87 (95% CI 0.68, 1.12). The incidence of
adverse events was comparable in both groups (48%); the incidence of serious
adverse events was 10% (FF/UMEC/VI) and 11% (FF/VI + UMEC). CONCLUSIONS: Single
inhaler triple therapy (FF/UMEC/VI) is non-inferior to two inhalers (FF/VI +
UMEC) on trough FEV1 change from baseline at 24 weeks. Results were similar on
all other measures of efficacy, health-related quality of life, and safety. TRIAL
REGISTRATION: GSK study CTT200812; ClinicalTrials.gov NCT02729051 (submitted 31
March 2016).
PMID- 29370820
TI - Quality improvement as a framework for behavior change interventions in HIV
predisposed communities: a case of adolescent girls and young women in northern
Uganda.
AB - BACKGROUND: Despite the conventional approaches to HIV prevention being the
bedrock for early reductions in HIV infections in Uganda, innovations that
demonstrate reduction in risk to infection in vulnerable populations need to be
embraced urgently. In the past 2 years, a USAID-funded project tested a quality
improvement for behavior change model (QBC) to address barriers to behavioral
change among adolescent girls and young women (AGYW) at high risk of HIV
infection. The model comprised skills building to improve ability of AGYW to stop
risky behavior; setting up and empowering community quality improvement (QI)
teams to mobilize community resources to support AGYW to stop risky behavior; and
service delivery camps to provide HIV prevention services and commodities to AGYW
and other community members. METHODS: We recruited and followed a cohort of 409
AGYW at high risk of HIV infection over a 2-year period to examine the effect of
the QBC model on risky behaviors. High-risk behavior was defined to include
transactional sex, having multiple sexual partners, and non-use of condoms in
high-risk sex. We documented unique experiences over the period to assess the
effect of QBC model in reducing risky behavior. We analyzed for variances in risk
factors over time using repeated measures ANOVA. RESULTS: There were
statistically significant declines in high-risk behavior among AGYW over the QBC
roll-out period (p < 0.05). Univariate analysis indicated reduction in AGYW
reporting multiple sexual partners from 16.6% at baseline to 3.2% at follow up
and transactional sex from 13.2 to 3.6%. The proportion of AGYW experiencing
sexual and other forms of gender based violence reduced from 49% a baseline to
19.5% at follow up due to the complementary targeting of parents and partners by
QI teams. CONCLUSION: The QBC model is appropriate for the context of northern
Uganda because it provides a framework for the community to successfully drive
HIV prevention efforts and therefore is recommended as a model for HIV prevention
in high-risk groups.
PMID- 29370821
TI - PhenoDis: a comprehensive database for phenotypic characterization of rare
cardiac diseases.
AB - BACKGROUND: Thoroughly annotated data resources are a key requirement in
phenotype dependent analysis and diagnosis of diseases in the area of precision
medicine. Recent work has shown that curation and systematic annotation of human
phenome data can significantly improve the quality and selectivity for the
interpretation of inherited diseases. We have therefore developed PhenoDis, a
comprehensive, manually annotated database providing symptomatic, genetic and
imprinting information about rare cardiac diseases. RESULTS: PhenoDis includes
214 rare cardiac diseases from Orphanet and 94 more from OMIM. For phenotypic
characterization of the diseases, we performed manual annotation of diseases with
articles from the biomedical literature. Detailed description of disease symptoms
required the use of 2247 different terms from the Human Phenotype Ontology (HPO).
Diseases listed in PhenoDis frequently cover a broad spectrum of symptoms with
28% from the branch of 'cardiovascular abnormality' and others from areas such as
neurological (11.5%) and metabolism (6%). We collected extensive information on
the frequency of symptoms in respective diseases as well as on disease-associated
genes and imprinting data. The analysis of the abundance of symptoms in patient
studies revealed that most of the annotated symptoms (71%) are found in less than
half of the patients of a particular disease. Comprehensive and systematic
characterization of symptoms including their frequency is a pivotal prerequisite
for computer based prediction of diseases and disease causing genetic variants.
To this end, PhenoDis provides in-depth annotation for a complete group of rare
diseases, including information on pathogenic and likely pathogenic genetic
variants for 206 diseases as listed in ClinVar. We integrated all results in an
online database ( http://mips.helmholtz-muenchen.de/phenodis/ ) with multiple
search options and provide the complete dataset for download. CONCLUSION:
PhenoDis provides a comprehensive set of manually annotated rare cardiac diseases
that enables computational approaches for disease prediction via decision support
systems and phenotype-driven strategies for the identification of disease causing
genes.
PMID- 29370822
TI - Slowly progressive dementia caused by MAPT R406W mutations: longitudinal report
on a new kindred and systematic review.
AB - BACKGROUND: The MAPT c.1216C > T (p.Arg406Trp; R406W) mutation is a known cause
of frontotemporal dementia with Parkinsonism linked to chromosome 17 tau with
Alzheimer's disease-like clinical features. METHODS: We compiled clinical data
from a new Swedish kindred with R406W mutation. Seven family members were
followed longitudinally for up to 22 years. Radiological examinations were
performed in six family members and neuropathological examinations in three. We
systematically reviewed the literature and compiled clinical, radiological, and
neuropathological data on 63 previously described R406W heterozygotes and 3
homozygotes. RESULTS: For all cases combined, the median age of onset was 56
years and the median disease duration was 13 years. Memory impairment was the
most frequent symptom, behavioral disturbance and language impairment were less
common, and Parkinsonism was rare. Disease progression was most often slow. The
most frequent clinical diagnosis was Alzheimer's disease. R406W homozygotes had
an earlier age at onset and a higher frequency of behavioral symptoms and
Parkinsonism than heterozygotes. In the new Swedish kindred, a consistent imaging
finding was ventromedial temporal lobe atrophy, which was evident also in early
disease stages as a widening of the collateral sulcus with ensuing atrophy of the
parahippocampal gyrus. Unlike previously published R406W carriers, all three
autopsied patients from the novel family showed neuropathological similarities
with progressive supranuclear palsy, with predominant four-repeat (exon 10+) tau
isoform (4R) tauopathy and neurofibrillary tangles accentuated in the basal
medial temporal lobe. Amyloid-beta pathology was absent. CONCLUSIONS: Dominance
of 4R over three-repeat (exon 10-) tau isoforms contrasts with earlier reports of
R406W patients and was not sufficiently explained by the presence of H1/H2
haplotypes in two of the autopsied patients. R406W patients often show a long
course of disease with marked memory deficits. Both our neuropathological results
and our imaging findings revealed that the ventromedial temporal lobes were
extensively affected in the disease. We suggest that this area may represent the
point of origin of tau deposition in this disease with relatively isolated
tauopathy.
PMID- 29370823
TI - Identification of protein lysine methylation readers with a yeast three-hybrid
approach.
AB - BACKGROUND: Protein posttranslational modifications (PTMs) occur broadly in the
human proteome, and their biological outcome is often mediated indirectly by
reader proteins that specifically bind to modified proteins and trigger
downstream effects. Particularly, many lysine methylation sites among histone and
nonhistone proteins have been characterized; however, the list of readers
associated with them is incomplete. RESULTS: This study introduces a modified
yeast three-hybrid system (Y3H) to screen for methyllysine readers. A lysine
methyltransferase is expressed together with its target protein or protein domain
functioning as bait, and a human cDNA library serves as prey. Proof of principle
was established using H3K9me3 as a bait and known H3K9me3 readers like the
chromodomains of CBX1 or MPP8 as prey. We next conducted an unbiased screen using
a library composed of human-specific open reading frames. It led to the
identification of already known lysine methylation-dependent readers and of novel
methyllysine reader candidates, which were further confirmed by co-localization
with H3K9me3 in human cell nuclei. CONCLUSIONS: Our approach introduces a cost
effective method for screening reading domains binding to histone and nonhistone
proteins which is not limited by expression levels of the candidate reading
proteins. Identification of already known and novel H3K9me3 readers proofs the
power of the Y3H assay which will allow for proteome-wide screens of PTM readers.
PMID- 29370824
TI - Home-based physical activity incentive and education program in subacute phase of
stroke recovery (Ticaa'dom): study protocol for a randomized controlled trial.
AB - BACKGROUND: Stroke causes functional decline, psychological disorders and
cognitive impairments that affect activities of daily living and quality of life.
Although physical activity (PA) is beneficial in stroke recovery, PA
recommendations are rarely met after hospital discharge. There is presently no
standard strategy for monitoring and inciting PA at home during the subacute
phase of stroke recovery. The main aim of this study is to evaluate the effects
of a home-based physical activity incentive and education program (Ticaa'dom) on
functional capacity in subacute stroke patients. METHODS: This study is a
comparative prospective, observer-blinded, monocentric, parallel, randomized
controlled clinical trial. This study will include 84 patients: 42 patients in
the home-based physical activity incentive group (HB-PAI) and 42 in the control
group (CG). The intervention group will follow the HB-PAI program over 6 months:
their PA will be monitored with an accelerometer during the day at home while
they record their subjective perception of PA on a chart; they will observe a
weekly telephone call and a home visit every three weeks. The CG will receive
traditional medical care over 12 months. The main study outcome will be the
distance on a 6-minute walk test. Secondary outcomes will include measurements of
lower limb strength, independence level, body composition, cardiac analysis,
fatigue and depression state. DISCUSSION: The results of this trial will
demonstrate the value of implementing the Ticaa'dom program during the subacute
phase of stroke recovery. TRIAL REGISTRATION: ClinicalTrials.gov, NCT01822938 .
Registered on 25 March 2013.
PMID- 29370825
TI - A novel language-neutral Visual Cognitive Assessment Test (VCAT): validation in
four Southeast Asian countries.
AB - BACKGROUND: Cognitive screeners are imperative for early diagnosis of dementia.
The Visual Cognitive Assessment Test (VCAT) is a language-neutral, visual-based
test which has proven useful for a multilingual population in a single-center
study. However, its performance utility is unknown in a wider and more diverse
Southeast Asian cohort. METHODS: We recruited 164 healthy controls (HC) and 120
cognitively impaired (CI) subjects- 47 mild cognitive impairment (MCI) and 73
mild Alzheimer's disease (AD) dementia participants, from four countries between
January 2015 and August 2016 to determine the usefulness of a single version of
the VCAT, without translation or adaptation, in a multinational, multilingual
population. The VCAT was administered along with established cognitive
evaluation. RESULTS: The VCAT, without local translation or adaptation, was
effective in discriminating between HC and CI subjects (MCI and mild AD
dementia). Mean (SD) VCAT scores for HC and CI subjects were 22.48 (3.50) and
14.17 (5.05) respectively. Areas under the curve for Montreal Cognitive
Assessment (0.916, 95% CI 0.884-0.948) and the VCAT (0.905, 95% CI 0.870-0.940)
in discriminating between HCs and CIs were comparable. The multiple languages
used to administer VCAT in four countries did not significantly influence test
scores. CONCLUSIONS: The VCAT without the need for language translation or
cultural adaptation showed satisfactory discriminative ability and was effective
in a multinational, multilingual Southeast Asian population.
PMID- 29370826
TI - BANK1 alters B cell responses and influences the interactions between B cells and
induced T regulatory cells in mice with collagen-induced arthritis.
AB - BACKGROUND: Functional variants of the B cell gene, B cell scaffold protein with
ankyrin repeats 1 (BANK1) contribute to rheumatoid arthritis (RA) susceptibility,
but their influences on B cell responses are unclear. Moreover, the function of
induced T regulatory cells (iTregs) in the inflammatory milieu in a collagen
induced arthritis (CIA) model is unknown. This study was performed to investigate
the roles of BANK1 in CIA and the interaction between B cells and iTregs.
METHODS: The changes in BANK1 mRNA and protein levels and their correlation with
disease severity in CIA were determined. Next, the antigen-presenting function
and autoantibody production in B cells were evaluated by co-culture with effector
T cells and iTregs, respectively, both in vitro and in vivo. Then, the mechanisms
underlying these interactions were studied by adding neutralizing antibodies or
transwell inserts and by adoptive transfer to B-cell-depleted CIA mice. RESULTS:
The BANK1 level decreased in the peripheral blood, spleen and lymph nodes of CIA
mice, particularly during the acute stage of arthritis, and exhibited negative
correlation with disease severity and autoantibody production. B cell responses
were enhanced by this decrease. B cells from CIA mice (CIA-B cells) promoted
iTreg differentiation, proliferation and cytotoxic T lymphocyte-associated
protein-4 (CTLA-4) expression. Meanwhile, BANK1 expression in CIA-B cells
increased after co-culture with iTregs, limiting B cell responses. All these
interactions depended on cell contact with CTLA-4-overexpressing iTregs but were
independent of CTLA-4 cytokine. CONCLUSION: Decreased BANK1 expression promotes B
cell responses, resulting in an increased antigen presentation ability and
autoantibody production that subsequently influences the communication between B
cells and iTregs through a cell-contact-dependent and CTLA-4- cytokine
independent mechanism in CIA mice.
PMID- 29370828
TI - Prevalence and antimicrobial susceptibility pattern of Salmonella and Shigella
species among asymptomatic food handlers working in Haramaya University
cafeterias, Eastern Ethiopia.
AB - OBJECTIVE: Salmonellosis and Shigellosis remain a major public health problem
across the globe, particularly in developing countries like Ethiopia, where hand
hygiene and food microbiology are still below the required standards. The growing
problem of antimicrobial resistance species also continues to pose public health
challenges. This study assessed the prevalence and antimicrobial susceptibility
pattern of Salmonella and Shigella species among asymptomatic food handlers. A
cross-sectional study was conducted among 417 randomly selected asymptomatic food
handlers. Data were collected using a structured questionnaire. The stool
specimens collected were examined for Salmonella and Shigella species using
standard bacteriological methods. Descriptive statistics were used to describe
the basic features of the data. RESULTS: The overall prevalence of Salmonella and
Shigella species was 5.04%. Salmonella and Shigella species were 76.2% resistant
to both co-trimoxazole and tetracycline, 71.4% to amoxicillin and 66.7% to
chloramphenicol. Moreover, 85.7% of Salmonella and Shigella species were
multidrug resistant. The findings highlighted the food handlers as potential
sources of food borne infections, which demands the establishment of appropriate
hygiene and sanitary control measures at the University cafeterias.
PMID- 29370827
TI - Impact of question order on prioritisation of outcomes in the development of a
core outcome set: a randomised controlled trial.
AB - BACKGROUND: Core outcome set (COS) developers increasingly employ Delphi surveys
to elicit stakeholders' opinions of which outcomes to measure and report in
trials of a particular condition or intervention. Research outside of Delphi
surveys and COS development demonstrates that question order can affect response
rates and lead to 'context effects', where prior questions determine an item's
meaning and influence responses. This study examined the impact of question order
within a Delphi survey for a COS for oesophageal cancer surgery. METHODS: A
randomised controlled trial was nested within the Delphi survey. Patients and
health professionals were randomised to receive a survey including clinical and
patient-reported outcomes (PROs), where the PRO section appeared first or last.
Participants rated (1-9) the importance of 68 items for inclusion in a COS
(ratings 7-9 considered 'essential'). Analyses considered the impact of question
order on: (1) survey response rates; (2) participants' responses; and (3) items
retained at end of the survey. RESULTS: In total, 116 patients and 71
professionals returned completed surveys. Question order did not affect response
rates among patients, but fewer professionals responded when clinical items
appeared first (difference = 31.3%, 95% confidence interval [CI] = 13.6-48.9%, P
= 0.001). Question order led to different context effects within patients and
professionals. While patients rated clinical items highly, irrespective of
question order, more PROs were rated essential when appearing last rather than
first (difference = 23.7%, 95% CI = 10.5-40.8%). Among professionals, the
greatest impact was on clinical items; a higher percentage rated essential when
appearing last (difference = 11.6%, 95% CI = 0.0-23.3%). An interaction between
question order and the percentage of PRO/clinical items rated essential was
observed for patients (P = 0.025) but not professionals (P = 0.357). Items
retained for further consideration at the end of the survey were dependent on
question order, with discordant items (retained by one question order group only)
observed in patients (18/68 [26%]) and professionals (20/68 [29%]). CONCLUSIONS:
In the development of a COS, participants' ratings of potential outcomes within a
Delphi survey depend on the context (order) in which the outcomes are asked,
consequently impacting on the final COS. Initial piloting is recommended with
consideration of the randomisation of items in the survey to reduce potential
bias. TRIAL REGISTRATION: The randomised controlled trial reported within this
paper was nested within the development of a core outcome set to investigate
processes in core outcome set development. Outcomes were not health-related and
trial registration was not therefore applicable.
PMID- 29370829
TI - Text4Heart II - improving medication adherence in people with heart disease: a
study protocol for a randomized controlled trial.
AB - BACKGROUND: Cardiac rehabilitation (CR) is an essential component of contemporary
management for patients with coronary heart disease, including following an acute
coronary syndrome (ACS). CR typically involves education and support to assist
people following an ACS to make lifestyle changes and prevent subsequent events.
Despite its benefits, uptake and participation in tradition CR programs is low.
The use of mobile technologies (mHealth) offers the potential to improve reach,
access, and delivery of CR support. We aim to determine the effectiveness and
cost-effectiveness of a text-messaging intervention (Text4Heart II) to improve
adherence to medication and lifestyle change in addition to usual care in people
following an ACS. A second aim is to use the RE-AIM framework to inform the
potential implementation of Text4Heart II within health services in New Zealand.
METHODS: Text4Heart II is a two-arm, parallel, superiority randomized controlled
trial conducted in two large metropolitan hospitals in Auckland, New Zealand.
Three hundred and thirty participants will be randomized to either a 24-week
theory- and evidence-based personalized text message program to support self
management in addition to usual CR, or usual CR alone (control). Outcomes are
assessed at 6 and 12 months. The primary outcome is the proportion of
participants adhering to medication at 6 months as measured by dispensed records.
Secondary outcomes include medication adherence at 12 months, the proportion of
participants adhering to self-reported healthy behaviors (physical activity,
fruit and vegetable consumption, moderating alcohol intake and smoking status)
measured using a composite health behavior score, self-reported medication
adherence, cardiovascular risk factors (lipids, blood pressure), readmissions and
related hospital events at 6 and 12 months. A cost-effectiveness analysis will
also be conducted. Using the RE-AIM framework, we will determine uptake and
sustainability of the intervention. DISCUSSION: The Text4Heart II trial will
determine the effectiveness of a text-messaging intervention to improve adherence
to medication and lifestyle behaviors at both 6 and 12 months. Using the RE-AIM
framework this trial will provide much needed data and insight into the potential
implementation of Text4Heart II. This trial addresses many limitations/criticisms
of previous mHealth trials; it builds on our Text4Heart pilot trial, it is
adequately powered, has sufficient duration to elicit behavior change, and the
follow-up assessments (6 and 12 months) are long enough to determine the
sustained effect of the intervention. TRIAL REGISTRATION: Australian New Zealand
Clinical Trials Registry, ID: ACTRN12616000422426 . Registered retrospectively on
1 April 2016.
PMID- 29370830
TI - A comparison of meta-analytic methods for synthesizing evidence from explanatory
and pragmatic trials.
AB - BACKGROUND: The pragmatic-explanatory continuum indicator summary version 2
(PRECIS-2) tool has recently been developed to classify randomized clinical
trials (RCTs) as pragmatic or explanatory based on their design characteristics.
Given that treatment effects in explanatory trials may be greater than those
obtained in pragmatic trials, conventional meta-analytic approaches may not
accurately account for the heterogeneity among the studies and may result in
biased treatment effect estimates. This study investigates if the incorporation
of PRECIS-2 classification of published trials can improve the estimation of
overall intervention effects in meta-analysis. METHODS: Using data from 31
published trials of intervention aimed at reducing obesity in children, we
evaluated the utility of incorporating PRECIS-2 ratings of published trials into
meta-analysis of intervention effects in clinical trials. Specifically, we
compared random-effects meta-analysis, stratified meta-analysis, random-effects
meta-regression, and mixture random-effects meta-regression methods for
estimating overall pooled intervention effects. RESULTS: Our analyses revealed
that mixture meta-regression models that incorporate PRECIS-2 classification as
covariate resulted in a larger pooled effect size (ES) estimate (ES = - 1.01,
95%CI = [- 1.52, - 0.43]) than conventional random-effects meta-analysis (ES = -
0.15, 95%CI = [- 0.23, - 0.08]). CONCLUSIONS: In addition to the original intent
of PRECIS-2 tool of aiding researchers in their choice of trial design, PRECIS-2
tool is useful for explaining between study variations in systematic review and
meta-analysis of published trials. We recommend that researchers adopt mixture
meta-regression methods when synthesizing evidence from explanatory and pragmatic
trials.
PMID- 29370831
TI - The impact of financial incentives on physical activity in adults: a systematic
review protocol.
AB - BACKGROUND: Most adults fail to meet global physical activity guidelines set out
by the World Health Organization. In recent years, behavioural economic
principles have been used to design novel interventions that increase physical
activity. Immediate financial rewards, for instance, can motivate an individual
to change physical activity behaviour by lowering the opportunity costs of
exercise. This systematic review will summarise the evidence about the
effectiveness of financial incentive interventions for improving physical
activity in adults. METHODS: We will search MEDLINE, Embase, Cochrane Central
Register of Controlled Trials, the Cumulative Index to Nursing and Allied Health
Literature, Web of Science, Scopus, PsycINFO, EconLit, SPORTDiscus, the National
Health Service Economic Evaluation Database, ClinicalTrials.gov and the World
Health Organization International Clinical Trials Registry Platform from
inception using a comprehensive, electronic search strategy. The search strategy
will include terms related to 'financial incentive' and 'physical activity'. Only
randomised controlled trials that investigate the effect of financial incentives
on physical activity in adult populations and that are written in the English
language will be included. Two review authors will independently screen abstracts
and titles, complete full text reviews and extract data on objective and self
reported physical activity outcomes. The authors will also assess the study
quality using the Cochrane risk of bias tool and provide a systematic
presentation and synthesis of the included studies' characteristics and results.
If more than two studies are sufficiently similar in population, settings and
interventions, we will pool the data to conduct a meta-analysis. If we are unable
to perform a meta-analysis, we will conduct a narrative synthesis of the results
and produce forest plots for individual studies. Our subgroup analyses will
examine the differential effects of an intervention in healthy populations
compared to populations with disease pathology and compare the effects of
interventions using financial rewards to interventions using financial penalties.
DISCUSSION: This systematic review will determine the effectiveness of positive
and negative financial incentives on physical activity in adults. Findings will
help inform the development of public health interventions and research in this
field. SYSTEMATIC REVIEW REGISTRATION: PROSPERO 2017: CRD42017068263.
PMID- 29370832
TI - Children's, parents' and other stakeholders' perspectives on early dietary self
management to delay disease progression of chronic disease in children: a
protocol for a mixed studies systematic review with a narrative synthesis.
AB - BACKGROUND: Chronic disease of childhood may be delayed by early dietary
intervention. The purpose of this systematic review is to provide decision-makers
with a perspective on the role of early dietary intervention, as a form of self
management, to delay disease progression in children with early chronic disease,
as described by children, parents and other stakeholders. METHODS: The study will
systematically review empirical research (qualitative, quantitative and mixed
method designs), including grey literature, using a narrative synthesis. A four
stage search process will be conducted involving a scoping search, the Scottish
Intercollegiate Guidelines Network (SIGN) Patient Issues search filter on
MEDLINE, the search of seven databases using a chronic disease and chronic kidney
disease (CKD) search strategy, and hand searching the reference lists of
identified papers for additional studies. All studies retrieved during the search
process will undergo a screening and selection process against the
inclusion/exclusion criteria. Methodological quality of relevant studies will be
assessed using a validated Mixed Studies Review scoring system, before inclusion
in the review. Relevant grey literature will be assessed for methodological
quality and relative importance using McGrath et al.'s framework and the Academy
Health advisory committee categories, respectively. Data extraction will be
guided by the Centre for Review and Dissemination guidance and Popay et al.'s
work. The narrative synthesis of the findings will use elements of Popay et al.'s
methodology of narrative synthesis, applying recognised tools for each of the
four elements: (1) developing a theory of how the intervention works, why and for
whom; (2) developing a preliminary synthesis of findings of included studies; (3)
exploring relationships in the data; and (4) assessing the robustness of the
synthesis. DISCUSSION: This mixed studies systematic review with a narrative
synthesis seeks to elucidate the gaps in current knowledge and generate a fresh
explanation of research findings on early dietary self-management in chronic
disease, with particular application to CKD, from the stakeholders' perspective.
The review will provide an important platform to inform future research,
identifying the facilitators and barriers to implementing early dietary
interventions. Ultimately, the review will contribute vital information to inform
future improvements in chronic disease. The lead author has a particular interest
in CKD paediatric service delivery. SYSTEMATIC REVIEW REGISTRATION: The review
has been registered with PROSPERO (CRD42017078130).
PMID- 29370833
TI - Synaptic proteins in CSF as potential novel biomarkers for prognosis in prodromal
Alzheimer's disease.
AB - BACKGROUND: We investigated whether a panel of 12 potential novel biomarkers
consisting of proteins involved in synapse functioning and immunity would be able
to distinguish patients with Alzheimer's disease (AD) and patients with mild
cognitive impairment (MCI) from control subjects. METHODS: We included 40 control
subjects, 40 subjects with MCI, and 40 subjects with AD from the Amsterdam
Dementia Cohort who were matched for age and sex (age 65 +/- 5 years, 19 [48%]
women). The mean follow-up of patients with MCI was 3 years. Two or three tryptic
peptides per protein were analyzed in cerebrospinal fluid using parallel reaction
monitoring mass spectrometry. Corresponding stable isotope-labeled peptides were
added and used as reference peptides. Multilevel generalized estimating equations
(GEEs) with peptides clustered per subject and per protein (as within-subject
variables) were used to assess differences between diagnostic groups. To assess
differential effects of individual proteins, we included the diagnosis * protein
interaction in the model. Separate GEE analyses were performed to assess
differences between stable patients and patients with progressive MCI (MCI-AD).
RESULTS: There was a main effect for diagnosis (p < 0.01) and an interaction
between diagnosis and protein (p < 0.01). Analysis stratified according to
protein showed higher levels in patients with MCI for most proteins, especially
in patients with MCI-AD. Chromogranin A, secretogranin II, neurexin 3, and
neuropentraxin 1 showed the largest effect sizes; beta values ranged from 0.53 to
0.78 for patients with MCI versus control subjects or patients with AD, and from
0.67 to 0.98 for patients with MCI-AD versus patients with stable MCI. In
contrast, neurosecretory protein VGF was lower in patients with AD than in
patients with MCI (beta = -0.93 [SE 0.22]) and control subjects (beta = 0.46 [SE
0.19]). CONCLUSIONS: Our results suggest that several proteins involved in
vesicular transport and synaptic stability are elevated in patients with MCI,
especially in patients with MCI progressing to AD dementia. This may reflect
early events in the AD pathophysiological cascade. These proteins may be valuable
as disease stage or prognostic markers in an early symptomatic stage of the
disease.
PMID- 29370834
TI - Highly selective inhibition of Bruton's tyrosine kinase attenuates skin and brain
disease in murine lupus.
AB - BACKGROUND: Systemic lupus erythematosus (SLE) is a systemic autoimmune disease
that affects different end organs, including skin and brain. We and others have
previously shown the importance of macrophages in the pathogenesis of cutaneous
and neuropsychiatric lupus. Additionally, autoantibodies produced by autoreactive
B cells are thought to play a role in both the skin and central nervous system
pathologies associated with SLE. METHODS: We used a novel inhibitor of Bruton's
tyrosine kinase (BTK), BI-BTK-1, to target both macrophage and B cell function in
the MRL-lpr/lpr murine model of SLE, and examined the effect of treatment on skin
and brain disease. RESULTS: We found that treatment with BI-BTK-1 significantly
attenuated the lupus associated cutaneous and neuropsychiatric disease phenotypes
in MRL/lpr mice. Specifically, BI-BTK-1 treated mice had fewer macroscopic and
microscopic skin lesions, reduced cutaneous cellular infiltration, and diminished
inflammatory cytokine expression compared to control mice. BTK inhibition also
significantly improved cognitive function, and decreased accumulation of T cells,
B cells, and macrophages within the central nervous system, specifically the
choroid plexus. CONCLUSIONS: Directed therapies may improve the response rate in
lupus-driven target organ involvement, and decrease the dangerous side effects
associated with global immunosuppression. Overall, our results suggest that
inhibition of BTK may be a promising therapeutic option for cutaneous and
neuropsychiatric disease associated with SLE.
PMID- 29370836
TI - Successful eradication of newly acquired MRSA in six of seven patients with
cystic fibrosis applying a short-term local and systemic antibiotic scheme.
AB - BACKGROUND: In individuals with cystic fibrosis (CF), colonization with
methicillin-resistant Staphylococcus aureus (MRSA) was reported to be associated
with a deterioration of pulmonary disease as reflected by an accelerated decline
in lung function. Thus, an early eradication of MRSA could be beneficial in these
patients. Here, we report on an intensified MRSA eradication protocol. METHODS:
Since 2012 a protocol for the eradication of newly acquired MRSA has been used in
our CF Clinic, combining oral rifampicin and fusidic acid, inhaled vancomycin,
nasal mupirocin, local antiseptic treatment and hygienic directives all of which
are applied for only 7 days during an inpatient hospital stay. RESULTS: Since
2012 seven patients (3 male, 4 female; age range 4 to 30 years) newly acquired
MRSA. In 6 of the 7 patients (86%) successful eradication of MRSA was achieved
upon first treatment using the protocol described above. In one patient a second
course of treatment was performed which, however, also failed to eliminate the
colonizing MRSA. CONCLUSIONS: Our protocol led to an eradication rate of 86%. The
impact of each individual component of the protocol remains to be determined.
PMID- 29370835
TI - Lifetime utilization of mammography among Maltese women: a cross-sectional
survey.
AB - BACKGROUND: The knowledge of Maltese women not attending the Maltese Breast
Screening Programme (MBSP) for mammography screening is scarce. Previous research
has identified two distinct groups of non-attendees: those who do not attend
because a mammogram was taken elsewhere and those who never attended for
mammography anywhere. It is however unknown which determinants are predictive of
lifetime attendance 'anywhere' and 'real' non-attendance. The present study
examines the relationship between ever-using (Lifetime attendees) or never using
mammography (Lifetime non-attendees) and psychosocial - as well as
sociodemographic factors, with the aim to identify predictors that can inform
practice. METHODS: Women's characteristics, knowledge, health beliefs and illness
perceptions were compared, based on prior data of 404 women, aged 50-60 years at
the time of their first MBSP invitation. The main variable of interest described
women's attendance to mammography (LIFETIME ATTENDEES) and no mammography
(LIFETIME NON-ATTENDEES). Data were analyzed using descriptive statistics, chi
square tests, Mann Whitney test, Independent Samples t-test, Shapiro Wilk test
and logistic regression. RESULTS: During their lifetime, 86.1% of Maltese women
(n = 348) were attendees, while 13.9% (n = 56) were non-attendees. Non-attendees
were more likely to be women with a lower family income (chi2 = 13.1, p = 0.011),
widowers (chi2 = 9.0, p = 0.030), non-drivers (chi2 = 7.7, p = 0.006), without a
breast condition (chi2 = 14.2, p < 0.001), who had no relatives or close friends
with cancer (chi2 = 8.3, p = 0.016), and who were less encouraged by a physician
(chi2 = 4.9, p = 0.027), unsure of the screening frequency (chi2 = 28.5, p <
0.001), more anxious (p = 0.040) and fearful (p = 0.039). Perceived benefits,
barriers, cues to action, self-efficacy and emotional representations were the
most significant variables to describe the differences between lifetime attendees
and non-attendees. Perceived barriers and cues to action were the strongest
predictors for lifetime non-attendance (p < 0.05 respectively). CONCLUSIONS: The
health beliefs of women who have never attended for mammography during their
lifetime should be targeted, particularly perceived barriers and cues to action.
Further research should focus on understanding knowledge gaps, attitudinal
barriers and emotional factors among 'real' non-attendees who require a more
targeted approach.
PMID- 29370837
TI - Utilization of health care services among Medicare beneficiaries who visit
federally qualified health centers.
AB - BACKGROUND: Previous studies have disagreed on whether patients who receive
primary care from federally qualified health centers (FQHCs) have different
utilization patterns than patients who receive care elsewhere. Our objective was
to compare patterns of healthcare utilization between Medicare beneficiaries who
received primary care from FQHCs and Medicare beneficiaries who received primary
care from another source. METHODS: We compared characteristics and ambulatory,
emergency department (ED), and inpatient utilization during 2013 between 130,637
Medicare beneficiaries who visited an FQHC for the majority of their primary care
in 2013 (FQHC users) and a random sample of 1,000,000 Medicare fee-for-service
(FFS) beneficiaries who did not visit an FQHC (FQHC non-users). We then created a
propensity-matched sample of 130,569 FQHC users and 130,569 FQHC non-users to
account for differences in observable patient characteristics between the two
groups and repeated all comparisons. RESULTS: Before matching, the two samples
differed in terms of age (42% below age 65 for FQHC users vs. 16% among FQHC non
users, p < 0.001 for all comparisons), disability (52% vs. 24%), eligibility for
Medicaid (56% vs. 21%), severe mental health disorders (17% vs. 10%), and
substance abuse disorders (6% vs. 3%). FQHC users had fewer ambulatory visits to
primary care or specialist providers (10.0 vs. 12.0 per year), more ED visits
(1.2 vs. 0.8), and fewer hospitalizations (0.3 vs. 0.4). In the matched sample,
FQHC users still had slightly lower utilization of ambulatory visits to primary
care or specialist providers (10.0 vs. 11.2) and slightly higher utilization of
ED visits (1.2 vs. 1.0), compared to FQHC users. Hospitalization rates between
the two groups were similar (0.3 vs. 0.3). CONCLUSIONS: In this population of
Medicare FFS beneficiaries, FQHC users had slightly lower utilization of
ambulatory visits and slightly higher utilization of ED visits, compared to FQHC
non-users, after accounting for differences in case mix. This study suggests that
FQHC care and non-FQHC care are associated with broadly similar levels of
healthcare utilization among Medicare FFS beneficiaries.
PMID- 29370838
TI - Extended FTLD pedigree segregating a Belgian GRN-null mutation: neuropathological
heterogeneity in one family.
AB - BACKGROUND: In this paper, we describe the clinical and neuropathological
findings of nine members of the Belgian progranulin gene (GRN) founder family. In
this family, the loss-of-function mutation IVS1 + 5G > C was identified in 2006.
In 2007, a clinical description of the mutation carriers was published that
revealed the clinical heterogeneity among IVS1 + 5G > C carriers. We report our
comparison of our data with the published clinical and neuropathological
characteristics of other GRN mutations as well as other frontotemporal lobar
degeneration (FTLD) syndromes, and we present a review of the literature.
METHODS: For each case, standardized sampling and staining were performed to
identify proteinopathies, cerebrovascular disease, and hippocampal sclerosis.
RESULTS: The neuropathological substrate in the studied family was compatible in
all cases with transactive response DNA-binding protein (TDP) proteinopathy type
A, as expected. Additionally, most of the cases presented also with primary age
related tauopathy (PART) or mild Alzheimer's disease (AD) neuropathological
changes, and one case had extensive Lewy body pathology. An additional finding
was the presence of cerebral small vessel changes in every patient in this
family. CONCLUSIONS: Our data show not only that the IVS1 + 5G > C mutation has
an exclusive association with FTLD-TDP type A proteinopathy but also that other
proteinopathies can occur and should be looked for. Because the penetrance rate
of the clinical phenotype of carriers of GRN mutations is age-dependent, further
research is required to investigate the role of co-occurring age-related
pathologies such as AD, PART, and cerebral small vessel disease.
PMID- 29370839
TI - Efficacy of T-DM1 for leptomeningeal and brain metastases in a HER2 positive
metastatic breast cancer patient: new directions for systemic therapy - a case
report and literature review.
AB - BACKGROUND: Herein, we report a complete response after whole brain radiotherapy
(WBRT) and concomitant T-DM1 in a patient with HER2-positive metastatic breast
cancer (MBC) and extensive brain and leptomeningeal involvement. CASE
PRESENTATION: A 46 years old Caucasian woman with HER2-positive MBC and no
baseline CNS involvement, started in August 2015 1st line therapy with Pertuzumab
Trastuzumab-Docetaxel, with partial response. However, in April 2016 the patient
eventually progressed with emergence of brain and leptomeningeal metastases.
Hence, she started in May 2016 2nd line therapy with T-DM1 and concomitant WBRT,
with complete response (CR) after 3 courses of therapy, with complete resolution
of neurological symptoms and no relevant toxicities. The CR is lasting over 13
months and the patient is out of corticosteroid use. CONCLUSIONS: To the best of
our knowledge, this is the first case reporting interesting antitumor activity of
T-DM1 and concomitant WBRT in both brain and leptomeningeal metastases, with a
favorable safety profile and prolonged extracranial disease control. Further
prospective studies should confirm these findings.
PMID- 29370840
TI - Identification of compound heterozygous variants in the noncoding RNU4ATAC gene
in a Chinese family with two successive foetuses with severe microcephaly.
AB - BACKGROUND: Whole-exome sequencing (WES) over the last few years has been
increasingly employed for clinical diagnosis. However, one caveat with its use is
that it inevitably fails to detect disease-causative variants that occur within
noncoding RNA genes. Our experience in identifying pathogenic variants in the
noncoding RNU4ATAC gene, in a Chinese family where two successive foetuses had
been affected by severe microcephaly, is a case in point. These foetuses
exhibited remarkably similar phenotypes in terms of their microcephaly and brain
abnormalities; however, the paucity of other characteristic phenotypic features
had made a precise diagnosis impossible. Given that no external causative factors
had been reported/identified during the pregnancies, we sought a genetic cause
for the phenotype in the proband, the second affected foetus. RESULTS: A search
for chromosomal abnormalities and pathogenic copy number variants proved
negative. WES was also negative. These initial failures prompted us to consider
the potential role of RNU4ATAC, a noncoding gene implicated in microcephalic
osteodysplastic primordial dwarfism type-1 (MOPD1), a severe autosomal recessive
disease characterised by dwarfism, severe microcephaly and neurological
abnormalities. Subsequent targeted sequencing of RNU4ATAC resulted in the
identification of compound heterozygous variants, one being the most frequently
reported MOPD1-causative mutation (51G>A), whereas the other was a novel 29T>A
variant. Four distinct lines of evidence (allele frequency in normal populations,
evolutionary conservation of the affected nucleotide, occurrence within a known
mutational hotspot for MOPD1-causative variants and predicted effect on RNA
secondary structure) allowed us to conclude that 29T>A is a new causative variant
for MOPD1. CONCLUSIONS: Our findings highlight the limitations of WES in failing
to detect variants within noncoding RNA genes and provide support for a role for
whole-genome sequencing as a first-tier genetic test in paediatric medicine.
Additionally, the identification of a novel RNU4ATAC variant within the
mutational hotspot for MOPD1-causative variants further strengthens the critical
role of the 5' stem-loop structure of U4atac in health and disease. Finally, this
analysis enabled us to provide prenatal diagnosis and genetic counselling for the
mother's third pregnancy, the first report of its kind in the context of
inherited RNU4ATAC variants.
PMID- 29370842
TI - General service and child immunization-specific readiness assessment of
healthcare facilities in two selected divisions in Bangladesh.
AB - BACKGROUND: Service readiness of health facilities is an integral part of
providing comprehensive quality healthcare to the community. Comprehensive
assessment of general and service-specific (i.e. child immunization) readiness
will help to identify the bottlenecks in healthcare service delivery and gaps in
equitable service provision. Assessing healthcare facilities readiness also helps
in optimal policymaking and resource allocation. METHODS: A health facility
survey was conducted between March 2015 and December 2015 in two purposively
selected divisions in Bangladesh; i.e. Rajshahi division (high performing) and
Sylhet division (low performing). A total of 123 health facilities were randomly
selected from different levels of service, both public and private, with
variation in sizes and patient loads from the list of facilities. Data on various
aspects of healthcare facility were collected by interviewing key personnel.
General service and child immunization specific service readiness were assessed
using the Service Availability and Readiness Assessment (SARA) manual developed
by World Health Organization (WHO). The analyses were stratified by division and
level of healthcare facilities. RESULTS: The general service readiness index for
pharmacies, community clinics, primary care facilities and higher care facilities
were 40.6%, 60.5%, 59.8% and 69.5%, respectively in Rajshahi division and 44.3%,
57.8%, 57.5% and 73.4%, respectively in Sylhet division. Facilities at all levels
had the highest scores for basic equipment (ranged between 51.7% and 93.7%) and
the lowest scores for diagnostic capacity (ranged between 0.0% and 53.7%). Though
facilities with vaccine storage capacity had very high levels of service
readiness for child immunization, facilities without vaccine storage capacity
lacked availability of many tracer items. Regarding readiness for newly
introduced pneumococcal conjugate vaccine (PCV) and inactivated polio vaccine
(IPV), most of the surveyed facilities reported lack of sufficient funding and
resources (antigen) for training programs. CONCLUSIONS: Our study suggested that
health facilities suffered from lack of readiness in various aspects, most
notably in diagnostic capacity. Conversely, with very few challenges, nearly all
the health facilities designated to provide immunization services were ready to
deliver routine childhood immunization services as well as newly introduced PCV
and IPV.
PMID- 29370841
TI - Expression of functional inhibitory neurotransmitter transporters GlyT1, GAT-1,
and GAT-3 by astrocytes of inferior colliculus and hippocampus.
AB - Neuronal inhibition is mediated by glycine and/or GABA. Inferior colliculus (IC)
neurons receive glycinergic and GABAergic inputs, whereas inhibition in
hippocampus (HC) predominantly relies on GABA. Astrocytes heterogeneously express
neurotransmitter transporters and are expected to adapt to the local requirements
regarding neurotransmitter homeostasis. Here we analyzed the expression of
inhibitory neurotransmitter transporters in IC and HC astrocytes using whole-cell
patch-clamp and single-cell reverse transcription-PCR. We show that most
astrocytes in both regions expressed functional glycine transporters (GlyTs).
Activation of these transporters resulted in an inward current (IGly) that was
sensitive to the competitive GlyT1 agonist sarcosine. Astrocytes exhibited
transcripts for GlyT1 but not for GlyT2. Glycine did not alter the membrane
resistance (RM) arguing for the absence of functional glycine receptors (GlyRs).
Thus, IGly was mainly mediated by GlyT1. Similarly, we found expression of
functional GABA transporters (GATs) in all IC astrocytes and about half of the HC
astrocytes. These transporters mediated an inward current (IGABA) that was
sensitive to the competitive GAT-1 and GAT-3 antagonists NO711 and SNAP5114,
respectively. Accordingly, transcripts for GAT-1 and GAT-3 were found but not for
GAT-2 and BGT-1. Only in hippocampal astrocytes, GABA transiently reduced RM
demonstrating the presence of GABAA receptors (GABAARs). However, IGABA was
mainly not contaminated by GABAAR-mediated currents as RM changes vanished
shortly after GABA application. In both regions, IGABA was stronger than IGly.
Furthermore, in HC the IGABA/IGly ratio was larger compared to IC. Taken
together, our results demonstrate that astrocytes are heterogeneous across and
within distinct brain areas. Furthermore, we could show that the capacity for
glycine and GABA uptake varies between both brain regions.
PMID- 29370843
TI - Validation of the 24-item recovery assessment scale-revised (RAS-R) in the
Norwegian language and context: a multi-centre study.
AB - BACKGROUND: The Recovery Assessment Scale-revised (RAS-R) is a self-report
instrument measuring mental health recovery. The purpose of the present study was
to translate and adapt the RAS-R into the Norwegian language and to investigate
its psychometric properties in terms of factor structure, convergent and
discriminant validity and reliability in the Norwegian context. METHODS: The
present study is a cross-sectional multi-centre study. After a pilot test, the
Norwegian version of the RAS-R was distributed to 231 service users in mental
health specialist and community services. The factor structure of the instrument
was investigated by a confirmatory factor analysis (CFA), and internal
consistency was assessed by Cronbach's alpha. RESULTS: The RAS-R was found to be
acceptable and feasible for service users. The original five-factor structure was
confirmed. All model fit indices, including the standardised root mean square
residual (SRMR), which is independent of the chi2-test, met the criteria for an
acceptable model fit. Internal consistencies within sub-scales as measured by
Cronbach's alpha ranged from 0.65 to 0.85. Cronbach's alpha for the total scale
was 0.90. As expected, some redundancy between factors existed (in particular
among the factors Personal confidence and hope, Goal and success orientation and
Not dominated by symptoms). CONCLUSIONS: The Norwegian RAS-R showed acceptable
psychometric properties in terms of convergent validity and reliability, and fit
indices from the CFA confirmed the original factor structure. We recommend the
Norwegian RAS-R as a tool in service users' and health professionals'
collaborative work towards the service users' recovery goals and as an outcome
measure in larger evaluations.
PMID- 29370844
TI - Efficacy of artesunate-amodiaquine, dihydroartemisinin-piperaquine and artemether
lumefantrine for the treatment of uncomplicated Plasmodium falciparum malaria in
Maradi, Niger.
AB - BACKGROUND: Malaria endemic countries need to assess efficacy of anti-malarial
treatments on a regular basis. Moreover, resistance to artemisinin that is
established across mainland South-East Asia represents today a major threat to
global health. Monitoring the efficacy of artemisinin-based combination therapies
is of paramount importance to detect as early as possible the emergence of
resistance in African countries that toll the highest burden of malaria morbidity
and mortality. METHODS: A WHO standard protocol was used to assess efficacy of
the combinations artesunate-amodiaquine (AS-AQ Winthrop(r)), dihydroartemisinin
piperaquine (DHA-PPQ, Eurartesim(r)) and artemether-lumefantrine (AM-LM,
Coartem(r)) taken under supervision and respecting pharmaceutical
recommendations. The study enrolled for each treatment arm 212 children aged 6-59
months living in Maradi (Niger) and suffering with uncomplicated falciparum
malaria. The Kaplan-Meier 42-day PCR-adjusted cure rate was the primary outcome.
A standardized parasite clearance estimator was used to assess delayed parasite
clearance as surrogate maker of suspected artemisinin resistance. RESULTS: No
early treatment failures were found in any of the study treatment arms. The day
42 PCR-adjusted cure rate estimates were 99.5, 98.4 and 99.0% in the AS-AQ, DHA
PPQ and AM-LM arms, respectively. The reinfection rate (expressed also as Kaplan
Meier estimates) was higher in the AM-LM arm (32.4%) than in the AS-AQ (13.8%)
and the DHA-PPQ arm (24.9%). The parasite clearance rate constant was 0.27, 0.26
and 0.25 per hour for AS-AQ, DHA-PPQ and AM-LM, respectively. CONCLUSIONS: All
the three treatments evaluated largely meet WHO criteria (at least 95% efficacy).
AS-AQ and AL-LM may continue to be used and DHA-PPQ may be also recommended as
first-line treatment for uncomplicated falciparum malaria in Maradi. The parasite
clearance rate were consistent with reference values indicating no suspected
artemisinin resistance. Nevertheless, the monitoring of anti-malarial drug
efficacy should continue. Trial registration details Registry number at
ClinicalTrial.gov: NCT01755559.
PMID- 29370846
TI - Exacerbations and healthcare resource utilization among COPD patients in a
Swedish registry-based nation-wide study.
AB - BACKGROUND: Exacerbations of chronic obstructive pulmonary disease (COPD) are an
important measure of disease severity in terms of impaired disease progression,
increased recovery time, healthcare resource utilization, overall morbidity and
mortality. We aimed to quantify exacerbation and healthcare resource utilization
rates among COPD patients in Sweden with respect to baseline treatments,
exacerbation history, and comorbidities. METHODS: Patients with a COPD or chronic
bronchitis (CB) diagnosis in secondary care at age of >=40 years on 1.7.2009 were
identified and followed until 1.7.2010 or death. Severe exacerbations were
defined as hospitalizations due to respiratory disease, and healthcare resource
utilization was measured by all-cause hospitalizations and secondary care visits.
Poisson regression was used adjusting for age, gender, time since COPD/CB
diagnosis, and Charlson comorbidity index. RESULTS: In 88,548 patients (54%
females, mean age 72 years), previous respiratory hospitalizations and current
high use of COPD medication (double or triple therapy) predicted an 8.3-fold
increase in severe exacerbation rates and 1.8-fold increase in healthcare
resource utilization rates in the following year, compared to patients without
combination treatment and/or history of severe exacerbations. CONCLUSIONS:
COPD/CB patients with history of severe exacerbations and high use of COPD
medication experienced a significantly increased rate of severe exacerbations and
healthcare resource utilization during the one-year follow-up.
PMID- 29370845
TI - Quality improvement initiatives for hospitalised small and sick newborns in low-
and middle-income countries: a systematic review.
AB - BACKGROUND: An estimated 2.6 million newborns died in 2016; over 98.5% of deaths
occurred in low- and middle-income countries (LMICs). Neonates born preterm and
small for gestational age are particularly at risk given the high incidence of
infectious complications, cardiopulmonary, and neurodevelopmental disorders in
this group. Quality improvement (QI) initiatives can reduce the burden of
mortality and morbidity for hospitalised newborns in these settings. We undertook
a systematic review to synthesise evidence from LMICs on QI approaches used,
outcome measures employed to estimate effects, and the nature of implementation
challenges. METHODS: We searched Medline, EMBASE, WHO Global Health Library,
Cochrane Library, WHO ICTRP, and ClinicalTrials.gov and scanned the references of
identified studies and systematic reviews. Searches covered January 2000 until
April 2017. Search terms were "quality improvement", "newborns", "hospitalised",
and their derivatives. Studies were excluded if they took place in high-income
countries, did not include QI interventions, or did not include small and sick
hospitalised newborns. Cochrane Risk of Bias tools were used to quality appraise
the studies. RESULTS: From 8110 results, 28 studies were included, covering 23
LMICs and 65,642 participants. Most interventions were meso level (district and
clinic level); fewer were micro (patient-provider level) or macro (above district
level). In-service training was the most common intervention subtype; service
organisation and distribution of referencing materials were also frequently
identified. The most commonly assessed outcome was mortality, followed by length
of admission, sepsis rates, and infection rates. Key barriers to implementation
of quality improvement initiatives included overburdened staff and lack of
sufficient equipment. CONCLUSIONS: The frequency of meso level, single centre,
and educational interventions suggests that these interventions may be easier for
programme planners to implement. The success of some interventions in reducing
morbidity and mortality rates suggests that QI approaches have a high potential
for benefit to newborns. Going forward, there are opportunities to strengthen the
focus of QI initiatives and to develop improved, larger-scale, collaborative
research into implementation of quality improvement initiatives for this high
risk group. TRIAL REGISTRATION: PROSPERO CRD42017055459 .
PMID- 29370847
TI - Rethinking Disability.
AB - Disability as a health outcome deserves more attention than it has so far
received. With people living longer and the epidemiological transition from
infectious to noncommunicable diseases as the major cause of health burden, we
need to focus attention on disability - the non-fatal impact of heath conditions
over and above our concern for causes of mortality.With the first Global Burden
of Disease study, WHO provided a metric that enabled the comparison of the impact
of diseases, drawing on a model of disability that focused on decrements of
health. This model has since been elaborated in the International Classification
of Functioning, Disability and Health as being either a feature of the individual
or arising out of the interaction between the individual's health condition and
contextual factors. The basis of WHO's ongoing work is a set of principles: that
disability is a universal human experience; that disability is not determined
solely by the underlying health condition or predicated merely on the presence of
specific health conditions; and finally, that disability lies on a continuum from
no to complete disability. To determine whether interventions at individual or
population levels are effective, an approach to disability measurement that
allows for an appropriate and fair comparison across health conditions is needed.
WHO has designed the Model Disability Survey (MDS) to collect information
relevant to understand the lived experience of disability, including the person's
capacity to perform tasks actions in daily life, their actual performance, the
barriers and facilitators in the environment they experience, and their health
conditions. As disability gains prominence within the development agenda in the
United Nations Sustainable Development Goals, and the implementation of the
United Nations Convention on the Rights of Persons with Disabilities, the MDS
will provide the data to monitor the progress of countries on meeting their
obligations.The lesson learned from WHO's activities is that disability is a
universal human experience, in the sense that everyone can be placed on a
continuum of functioning and either currently experiences or is vulnerable to
experiencing disability over the course of their lives. This understanding of
disability is the key to mainstreaming disability within the public discourse.
PMID- 29370848
TI - Computed tomography and magnetic resonance imaging evaluation of pelvic lymph
node metastasis in bladder cancer.
AB - BACKGROUND: Accurate evaluation of lymph node metastasis in bladder cancer (BCa)
is important for disease staging, treatment selection, and prognosis prediction.
In this study, we aimed to evaluate the diagnostic accuracy of computed
tomography (CT) and magnetic resonance imaging (MRI) for metastatic lymph nodes
in BCa and establish criteria of imaging diagnosis. METHODS: We retrospectively
assessed the imaging characteristics of 191 BCa patients who underwent radical
cystectomy. The data regarding size, shape, density, and diffusion of the lymph
nodes on CT and/or MRI were obtained and analyzed using Kruskal-Wallis test and
chi2 test. The optimal cutoff value for the size of metastatic node was
determined using the receiver operating characteristic (ROC) curve analysis.
RESULTS: A total of 184 out of 3317 resected lymph nodes were diagnosed as
metastatic lymph nodes. Among 82 imaging-detectable lymph nodes, 51 were
confirmed to be positive for metastasis. The detection rate of metastatic nodes
increased along with more advanced tumor stage (P < 0.001). Once the ratio of
short- to long-axis diameter <= 0.4 or fatty hilum was observed in lymph nodes on
imaging, it indicated non-metastases. Besides, lymph nodes with spiculate or
obscure margin or necrosis indicated metastases. Furthermore, the short diameter
of 6.8 mm was the optimal threshold to diagnose metastatic lymph node, with the
area under ROC curve of 0.815. CONCLUSIONS: The probability of metastatic nodes
significantly increased with more advanced T stages. Once lymph nodes are
detected on imaging, the characteristic signs should be paid attention to. The
short diameter > 6.8 mm may indicate metastatic lymph nodes in BCa.
PMID- 29370849
TI - Predictors of one-year mortality after hospitalization for an exacerbation of
COPD.
AB - BACKGROUND: Hospitalization for a severe exacerbation of COPD (eCOPD) is an
important event in the natural history of COPD. Identifying factors related to
mortality 1 year after hospitalization could help determine interventions to
reduce mortality. METHODS: In a prospective, observational, multicentre study, we
evaluated data from two cohorts: the Spanish audit of hospital COPD exacerbation
care (our derivation sample) and the Spanish cohort of the European audit of COPD
exacerbation care (our validation sample). The endpoint was all-cause mortality.
Mortality was determined by local research managers of the participating
hospitals and matched the official national index records in Spain. RESULTS: In
the multivariate analysis, factors independently related to an increase in
mortality were older age, cardio-cerebro-vascular and/or dementia comorbidities,
PaCO2 > 55 mmHg measured at emergency department arrival, hospitalizations for
COPD exacerbations in the previous year, and hospital characteristics. The area
under the receiver-operating curve for this model was 0.75 in the derivation
cohort and 0.76 in the validation cohort. CONCLUSION: One-year mortality
following the index hospitalization for an exacerbation of COPD was related to
clinical characteristics of the patient and of the index event, previous events
of similar severity, and characteristics of the hospital where the patient was
treated.
PMID- 29370850
TI - Reporting of pain by people with chronic obstructive pulmonary disease (COPD):
comparative results from the HUNT3 population-based survey.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is often associated with
chronic pain, but pain in COPD remains poorly understood, particularly in
comparison to pain in other groups. We compared the pain reported by people with
COPD with that reported by arthritis, heart disease, diabetes, and those not
reporting any disease, while adjusting for the effects of selected
sociodemographic and lifestyle factors, comorbidities, anxiety, and depression.
METHODS: Using cross-sectional data from a population-based health survey in
Norway (HUNT3; n = 50,807), we included participants with COPD (n = 1199),
participants without COPD, but with arthritis (n = 8582), heart disease (n =
4109), or diabetes (n = 1254), and participants without any disease (n = 18,811).
Logistic and linear regression analyses were performed to estimate the
probability of reporting chronic pain and the level of pain intensity in the
different groups adjusting for other relevant factors. RESULTS: Approximately
half (51.8%) of people with COPD reported chronic pain, which was a significantly
higher rate than in the diabetes and non-disease groups, and similar to the heart
disease group. People with arthritis had a chronic pain rate of 75.4%, which was
higher than all other groups, including COPD. Analyses of pain intensity yielded
similar findings, with the COPD group having higher pain intensity than the
diabetes and non-disease groups, similar pain intensity as the heart disease
group, and less pain intensity than the arthritis group. The likelihood of
chronic pain and the intensity of pain were generally higher among women, people
employed in occupations with low educational requirements, smokers, and those
with comorbidity. Chronic pain rates and pain intensity increased with age and
higher anxiety and depression scores, and were inversely related to physical
activity. CONCLUSIONS: People with COPD are at increased risk for chronic pain
and higher pain intensity, second only to those with arthritis among the disease
groups included in this study. The findings indicate a close relationship between
pain and anxiety and depression. The relationships between pain and socioeconomic
and lifestyle factors (e.g., smoking and exercise) suggest the need for efforts
at the societal level to reduce inequality in health.
PMID- 29370851
TI - Subclinical hypothyroidism would not lead to female sexual dysfunction in Chinese
women.
AB - BACKGROUND: There is dearth of research about female sexual dysfunction (FSD),
especially in China, because of conservative beliefs. Previous studies indicated
the relationship between subclinical hypothyroidism and anxiety and depression.
However, there is dearth of research regarding the relationship between
subclinical hypothyroidism and FSD in Chinses women. METHOD: A hospital-based
research was conducted. Female sexual function was measured by CVFSFI which
includes 19 items. Participants were identified as FSD if CVFSFI <= 23.45.
Logistics analysis was used to determine risk factor of FSD. All of them finished
CVFSFI, Beck Depression Inventory (BDI) self-reporting questionnaires and had
thyroid hormone tests. Based on presence and absence of subclinical
hypothyroidism, participants were divided into two groups. Risk factors of FSD
were identified. RESULT: One thousand one hundred nineteen participants with
CVFSFI score 25.8 +/- 3.9 were enrolled in final analysis. Incidence of
subclinical hypothyroidism and FSD in Chinese women was 15.0% and 26.5%
respectively. There were no significant difference between subclinical
hypothyroidism and control group in FSFI score and prevalence of FSD. Age,
Depression (medium risk) was identified as risk factors for nearly all types of
FSD, and Income (ranges from 40,000 to 100,000 RMB/year) as protective factor.
Subclinical hypothyroidism had no significant relationship with FSD. CONCLUSION:
Subclinical hypothyroidism is not the risk factor for FSD in urban women of
China.
PMID- 29370852
TI - Multiple mini interview (MMI) for general practice training selection in
Australia: interviewers' motivation.
AB - BACKGROUND: Multiple Mini Interviews (MMIs) are being used by a growing number of
postgraduate training programs and medical schools as their interview process for
selection entry. The Australian General Practice and Training (AGPT) used a
National Assessment Centre (NAC) approach to selection into General Practice (GP)
Training, which include MMIs. Interviewing is a resource intensive process, and
implementation of the MMI requires a large number of interviewers, with a number
of candidates being interviewed simultaneously. In 2015, 308 interviewers
participated in the MMI process - a decrease from 340 interviewers in 2014, and
310 in 2013. At the same time, the number of applicants has steadily increased,
with 1930 applications received in 2013; 2254 in 2014; and 2360 in 2015. This has
raised concerns regarding the increasing recruitment needs, and the need to
retain interviewers for subsequent years of MMIs. In order to investigate
interviewers' reasons for participating in MMIs, we utilised self-determination
theory (SDT) to consider interviewers' motivation to take part in MMIs at
national selection centres. METHODS: In 2015, 308 interviewers were recruited
from 17 Regional Training Providers (RTPs) to participate in the MMI process at
one of 15 NACs. For this study, a convenience sample of NAC sites was used. Forty
interviewers were interviewed (n = 40; 40/308 = 13%) from five NACs. Framework
analysis was used to code and categorise data into themes. RESULTS: Interviewers'
motivation to take part as interviewers were largely related to their sense of
duty, their desire to contribute their expertise to the process, and their desire
to have input into selection of GP Registrars; a sense of duty to their
profession; and an opportunity to meet with colleagues and future trainees.
Interviewers also highlighted factors hindering motivation, which sometimes
included the large number of candidates seen in one day. CONCLUSION:
Interviewers' motivation for contributing to the MMIs was largely related to
their desire to contribute to their profession, and ultimately improve future
patient care. Interviewers recognised the importance of interviewing, and felt
their individual roles made a crucial contribution to the profession of general
practice. Good administration and leadership at each NAC is needed. By gaining an
understanding of interviewers' motivation, and enhancing this, engagement and
retention of interviewers may be increased.
PMID- 29370853
TI - Treatment of cervical cancer in HIV-seropositive women from developing countries:
a protocol for a systematic review.
AB - BACKGROUND: Cervical cancer has become the most common cancer affecting women in
Africa. Significantly, 85% of these annual deaths occur in the developing world,
with the majority being middle-aged women. Research has shown that in sub-Saharan
Africa, cervical cancer trends are on the rise in the past two decades because of
HIV and this has resulted in an increase in cervical cancer cases among young
women. However, little or no information exists that has shown that any of the
available treatment methods are more effective than others when it comes to
treating cervical cancer in HIV-seropositive women. The aim of this protocol is
to offer a plan on how to systematically review cervical cancer treatment methods
available for HIV-seropositive women in developing countries. METHODS/DESIGN: The
Preferred Reporting Items for Systematic Reviews and Meta-Analyses Protocols
(PRISMA-P) statement was used to develop the protocol for the systematic review
which will be reported in accordance with the PRISMA guidelines. A number of
databases, Embase, MEDLINE, PubMed, CINAHL and Cochrane Library, will be searched
for relevant studies, and citation and reference list tracking will be used to
search for additional studies. Prospective and retrospective cohort studies, case
control, randomised controlled trials and cross-sectional studies that were
carried out in and for the developing world will be eligible for inclusion. Peer
reviewed studies and grey literature examining cervical cancer treatment
modalities in HIV-seropositive women will be included. Descriptive statistics and
tables will be used to summarise results, and meta-analysis will be used where
appropriate. DISCUSSION: The review findings will provide the current picture of
the existing treatment methods being used to treat cervical cancer in HIV
seropositive women in developing countries. The findings might be used for the
establishment of evidence-based guidelines for treatment of cervical cancer in
seropositive women as well as prompt policy-makers and governments to decide and
support future research in a way to find a lasting solution. SYSTEMATIC REVIEW
REGISTRATION: PROSPERO CRD42017054676
https://www.crd.york.ac.uk/PROSPERO/display_record.php?RecordID=54676.
PMID- 29370854
TI - Dual function of active constituents from bark of Ficus racemosa L in wound
healing.
AB - BACKGROUND: Different parts including the latex of Ficus racemosa L. has been
used as a medicine for wound healing in the Ayurveda and in the indigenous system
of medicine in Sri Lanka. This plant has been evaluated for its wound healing
potential using animal models. The aim of this study was to obtain an insight
into the wound healing process and identify the potential wound healing active
substance/s present in F. racemosa L. bark using scratch wound assay (SWA) as the
in-vitro assay method. METHOD: Stem bark extracts of F. racemosa were evaluated
using scratch wound assay (SWA) on Baby Hamster Kidney (BHK 21) and Madin-Darby
Canine Kidney (MDCK) cell lines and Kirby Bauer disc diffusion assay on common
bacteria and fungi for cell migration enhancing ability and antimicrobial
activity respectively. Dichloromethane and hexanes extracts which showed cell
migration enhancement activity on SWA were subjected to bioactivity directed
fractionation using column chromatography followed by preparative thin layer
chromatography to identify the compounds responsible for the cell migration
enhancement activity. RESULTS: Dichloromethane and hexanes extracts showed cell
migration enhancement activity on both cell lines, while EtOAc and MeOH extracts
showed antibacterial activity against Staphylococcus and Bacillus species and
antifungal activity against Saccharomyces spp. and Candida albicans. Lupeol (1)
and beta-sitosterol (2) were isolated as the potential wound healing active
compounds which exhibited significant cell migration enhancement activity on BHK
21 and MDCK cell lines (> 80%) in par with the positive control, asiaticoside at
a concentration of 25 MUM. The optimum concentration of each compound required
for the maximum wound healing has been determined as 30 MUM and 35 MUM for 1 and
2 respectively on both cell lines. It is also established that lupeol acetate (3)
isolated from the hexanes extract act as a pro-drug by undergoing hydrolysis into
lupeol in the vicinity of cells. CONCLUSION: Different chemical constituents
present in stem bark of Ficus racemosa L show enhancement of cell migration
(which corresponds to the cell proliferation) as well as antimicrobial activity.
This dual action of F. racemosa stem bark provides scientific support for its
traditional use in wound healing.
PMID- 29370856
TI - Ultrasonography and magnetic resonance imaging changes in patients with
polymyalgia rheumatica treated by tocilizumab.
AB - BACKGROUND: This study assessed inflammatory changes using ultrasound (US) and
magnetic resonance imaging (MRI) in patients taking tocilizumab for polymyalgia
rheumatica (PMR). METHODS: Eighteen patients were included in the prospective
open-label TENOR study and received three tocilizumab infusions, without
corticosteroids. B-mode and power Doppler US and MRI (T1 and T2-short time
inversion recuperation weighted sequences) of the hips and shoulders were
performed at weeks 0, 2, and 12. Subacromial, trochanteric, and iliopsoas
bursitis and intraarticular glenohumeral and coxofemoral effusions/synovitis were
scored from 0 to 3. Changes over time and US-MRI correlations were evaluated.
RESULTS: At baseline, the proportions of shoulders and hips with bursitis were 93
and 100% by MRI and 61 and 13% by US; and the corresponding proportions for
intraarticular effusions/synovitis were 100 and 100% by MRI and 57 and 53% by US.
Imaging findings did not improve during the first two treatment weeks. From
baseline to week 12, bursitis improved significantly at all four joints by MRI (P
= 0.005) and US (P = 0.029) and intraarticular effusions/synovitis by US only (P
= 0.001). The proportion of abnormalities that improved by week 12 was 42% by MRI
and 37% by US. MRI detected bursitis in a larger proportion of hips (73% versus
13%) and US in a larger proportion of shoulders (57% versus 28%), whereas no
difference was found for intraarticular effusions/synovitis. At baseline,
agreement between US and MRI findings was poor. CONCLUSIONS: US and MRI showed
significant improvements in inflammatory lesions during tocilizumab treatment of
PMR.
PMID- 29370855
TI - Of dogs and hookworms: man's best friend and his parasites as a model for
translational biomedical research.
AB - We present evidence that the dog hookworm (Ancylostoma caninum) is underutilised
in the study of host-parasite interactions, particularly as a proxy for the human
hookworm relationship. The inability to passage hookworms through all life stages
in vitro means that adult stage hookworms have to be harvested from the gut of
their definitive hosts for ex vivo research. This makes study of the human
hookworm interface difficult for technical and ethical reasons. The historical
association of humans, dogs and hookworms presents a unique triad of positive
evolutionary pressure to drive the A. caninum-canine interaction to reflect that
of the human-hookworm relationship. Here we discuss A. caninum as a proxy for
human hookworm infection and situate this hookworm model within the current
research agenda, including the various 'omics' applications and the search for
next generation biologics to treat a plethora of human diseases. Historically,
the dog hookworm has been well described on a physiological and biochemical
level, with an increasing understanding of its role as a human zoonosis. With its
similarity to human hookworm, the recent publications of hookworm genomes and
other omics databases, as well as the ready availability of these parasites for
ex vivo culture, the dog hookworm presents itself as a valuable tool for
discovery and translational research.
PMID- 29370857
TI - Environmental resistance development to influenza antivirals: a case exemplifying
the need for a multidisciplinary One Health approach including physicians.
AB - A multidisciplinary approach is a prerequisite for One Health. Physicians are
important players in the One Health team, yet they are often hard to convince of
the benefits of the One Health approach. Here, the case for multidisciplinarity
including physicians is made using the example of environmental resistance
development to influenza antivirals. Neuraminidase inhibitors are the major class
of anti-influenza pharmaceuticals, and extensively stockpiled globally as a
cornerstone of pandemic preparedness, especially important in the first phase
before vaccines can be mass-produced. The active metabolite of oseltamivir that
is excreted from treated patients degrades poorly in conventional sewage
treatment processes and has been found in river waters. Dabbling ducks constitute
the natural influenza A virus reservoir and often reside near sewage treatment
plant outlets, where they may be exposed to neuraminidase inhibitor residues. In
vivo experiments using influenza-infected Mallards exposed to neuraminidase
inhibitors present in their water have shown resistance development and
persistence, demonstrating that resistance may be induced and become established
in the influenza strains circulating in natural hosts. Neuraminidase inhibitor
resistance genes may become part of a human-adapted influenza virus with pandemic
potential through reassortment or direct transmission. A pandemic caused by a
neuraminidase inhibitor-resistant influenza virus is a serious threat as the
first line defense in pandemic preparedness would be disarmed. To assess the risk
for environmental influenza resistance development, a broad multidisciplinary
team containing chemists, social scientists, veterinarians, biologists,
ecologists, virologists, epidemiologists, and physicians is needed. Information
about One Health early in high school and undergraduate training, an active
participation of One Health-engaged physicians in the debate, and more One Health
adapted funding and publication possibilities are suggested to increase the
possibility to engage physicians.
PMID- 29370859
TI - Further analysis of the relationship between atmospheric lead emissions and
aggressive crime: an ecological study.
PMID- 29370860
TI - Colonoscopy procedure simulation: virtual reality training based on a real time
computational approach.
AB - BACKGROUND: Colonoscopy plays an important role in the clinical screening and
management of colorectal cancer. The traditional 'see one, do one, teach one'
training style for such invasive procedure is resource intensive and ineffective.
Given that colonoscopy is difficult, and time-consuming to master, the use of
virtual reality simulators to train gastroenterologists in colonoscopy operations
offers a promising alternative. METHODS: In this paper, a realistic and real-time
interactive simulator for training colonoscopy procedure is presented, which can
even include polypectomy simulation. Our approach models the colonoscopy as thick
flexible elastic rods with different resolutions which are dynamically adaptive
to the curvature of the colon. More material characteristics of this deformable
material are integrated into our discrete model to realistically simulate the
behavior of the colonoscope. CONCLUSION: We present a simulator for training
colonoscopy procedure. In addition, we propose a set of key aspects of our
simulator that give fast, high fidelity feedback to trainees. We also conducted
an initial validation of this colonoscopic simulator to determine its clinical
utility and efficacy.
PMID- 29370861
TI - Toolbox for Research, or how to facilitate a central data management in small
scale research projects.
AB - BACKGROUND: In most research projects budget, staff and IT infrastructures are
limiting resources. Especially for small-scale registries and cohort studies
professional IT support and commercial electronic data capture systems are too
expensive. Consequently, these projects use simple local approaches (e.g. Excel)
for data capture instead of a central data management including web-based data
capture and proper research databases. This leads to manual processes to merge,
analyze and, if possible, pseudonymize research data of different study sites.
RESULTS: To support multi-site data capture, storage and analyses in small-scall
research projects, corresponding requirements were analyzed within the MOSAIC
project. Based on the identified requirements, the Toolbox for Research was
developed as a flexible software solution for various research scenarios.
Additionally, the Toolbox facilitates data integration of research data as well
as metadata by performing necessary procedures automatically. Also, Toolbox
modules allow the integration of device data. Moreover, separation of personally
identifiable information and medical data by using only pseudonyms for storing
medical data ensures the compliance to data protection regulations. This
pseudonymized data can then be exported in SPSS format in order to enable
scientists to prepare reports and analyses. CONCLUSIONS: The Toolbox for Research
was successfully piloted in the German Burn Registry in 2016 facilitating the
documentation of 4350 burn cases at 54 study sites. The Toolbox for Research can
be downloaded free of charge from the project website and automatically installed
due to the use of Docker technology.
PMID- 29370858
TI - Chronic diseases, inflammation, and spices: how are they linked?
AB - Extensive research within the last several decades has revealed that the major
risk factors for most chronic diseases are infections, obesity, alcohol, tobacco,
radiation, environmental pollutants, and diet. It is now well established that
these factors induce chronic diseases through induction of inflammation. However,
inflammation could be either acute or chronic. Acute inflammation persists for a
short duration and is the host defense against infections and allergens, whereas
the chronic inflammation persists for a long time and leads to many chronic
diseases including cancer, cardiovascular diseases, neurodegenerative diseases,
respiratory diseases, etc. Numerous lines of evidence suggest that the
aforementioned risk factors induced cancer through chronic inflammation. First,
transcription factors NF-kappaB and STAT3 that regulate expression of
inflammatory gene products, have been found to be constitutively active in most
cancers; second, chronic inflammation such as pancreatitis, prostatitis,
hepatitis etc. leads to cancers; third, activation of NF-kappaB and STAT3 leads
to cancer cell proliferation, survival, invasion, angiogenesis and metastasis;
fourth, activation of NF-kappaB and STAT3 leads to resistance to chemotherapy and
radiation, and hypoxia and acidic conditions activate these transcription
factors. Therefore, targeting these pathways may provide opportunities for both
prevention and treatment of cancer and other chronic diseases. We will discuss in
this review the potential of various dietary agents such as spices and its
components in the suppression of inflammatory pathways and their roles in the
prevention and therapy of cancer and other chronic diseases. In fact,
epidemiological studies do indicate that cancer incidence in countries such as
India where spices are consumed daily is much lower (94/100,000) than those where
spices are not consumed such as United States (318/100,000), suggesting the
potential role of spices in cancer prevention.
PMID- 29370863
TI - Application of the international league against rheumatism classification
criteria for systemic juvenile idiopathic arthritis as a prognostic factor in
patients with adults-onset Still's disease.
AB - BACKGROUND: Adult-onset Still's disease (AOSD) is an adult form of systemic
juvenile idiopathic arthritis (JIA) that differs from the latter in its
classification. This study evaluated the concordance between the International
League Against Rheumatism (ILAR) criteria for systemic JIA and the Yamaguchi
criteria and then compared their possible prognostic value in patients with AOSD.
METHODS: In a retrospective review of 169 adults with suspected AOSD, patients
were classified according to the Yamaguchi or ILAR criteria. Then the concordance
in cross-referencing the other group with the different criteria was investigated
and the sensitivity and specificity of each set of criteria were determined.
Disease activity markers in AOSD patients were correlated with positivity
according to both systems. RESULTS: Concordance was good in patients with
suspected AOSD (k = 0.7144, p < 0.001) and low in those with a diagnosis of AOSD
(k = 0.3787, p < 0.001). The sensitivity of the ILAR criteria in AOSD patients
was 0.8864 (95% confidence interval (CI): 0.8322-0.9405), and the specificity was
0.7838 (0.6511, 0.9164). Positivity according to the ILAR criteria correlated
with the systemic score (r = 0.763, p < 0.0001) and C-reactive protein levels (r
= 0.183, p = 0.0356) and was associated with a relapse (odds ratio: 1.589, 95%
CI: 1.043-2.421), macrophage activation syndrome (MAS; odds ratio: 1.993, 95% CI:
1.218-3.263) and care in the intensive care unit (ICU; odds ratio: 2.087, 95% CI:
1.086-4.011). CONCLUSIONS: In AOSD patients, there is fair concordance between
the Yamaguchi and ILAR criteria for systemic JIA. Positive ILAR criteria may be
useful for identifying AOSD patients at high risk for relapse, MAS and the need
for ICU care. Further studies including larger populations from several centers
are needed to confirm our results regarding the utility of the ILAR criteria in
AOSD patients.
PMID- 29370862
TI - Towards a universal influenza vaccine: different approaches for one goal.
AB - Influenza virus infection is an ongoing health and economic burden causing
epidemics with pandemic potential, affecting 5-30% of the global population
annually, and is responsible for millions of hospitalizations and thousands of
deaths each year. Annual influenza vaccination is the primary prophylactic
countermeasure aimed at limiting influenza burden. However, the effectiveness of
current influenza vaccines are limited because they only confer protective
immunity when there is antigenic similarity between the selected vaccine strains
and circulating influenza isolates. The major targets of the antibody response
against influenza virus are the surface glycoprotein antigens hemagglutinin (HA)
and neuraminidase (NA). Hypervariability of the amino acid sequences encoding HA
and NA is largely responsible for epidemic and pandemic influenza outbreaks, and
are the consequence of antigenic drift or shift, respectively. For this reason,
if an antigenic mismatch exists between the current vaccine and circulating
influenza isolates, vaccinated people may not be afforded complete protection.
There is currently an unmet need to develop an effective "broadly-reactive" or
"universal" influenza vaccine capable of conferring protection against both
seasonal and newly emerging pre-pandemic strains. A number of novel influenza
vaccine approaches are currently under evaluation. One approach is the
elicitation of an immune response against the "Achille's heel" of the virus, i.e.
conserved viral proteins or protein regions shared amongst seasonal and pre
pandemic strains. Alternatively, other approaches aim toward eliciting a broader
immune response capable of conferring protection against the diversity of
currently circulating seasonal influenza strains.In this review, the most
promising under-development universal vaccine approaches are discussed with an
emphasis on those targeting the HA glycoprotein. In particular, their strengths
and potential short-comings are discussed. Ultimately, the upcoming clinical
evaluation of these universal vaccine approaches will be fundamental to determine
their effectiveness against preventing influenza virus infection and/or reducing
transmission and disease severity.
PMID- 29370864
TI - Leishmaniasis in Sri Lanka: spatial distribution and seasonal variations from
2009 to 2016.
AB - BACKGROUND: Leishmaniasis is listed as one of the eight neglected tropical
diseases by the World Health Organization and the number of cases in endemic
areas has seen a sharp rise in the past decade. More alarmingly, reports have
shown that leishmaniasis is spreading to non-endemic areas of the world due to co
infection with HIV. In Sri Lanka, leishmaniasis is considered as a notifiable
disease from 2008 and has seen a rising trend of incidence since then. This is
the first study describing the burden, seasonal variation and spatial
distribution of leishmaniasis in Sri Lanka since the disease has been included as
a notifiable disease. METHODS: Data on health statistics from 2009 to 2016 were
obtained from published databases maintained by the Epidemiology Unit of the
Ministry of Health in Sri Lanka. Climatic data for Sri Lanka were obtained from
the Department of Meteorology and the populations in administrative districts
were obtained from the Department of Census and Statistics, Sri Lanka.
Descriptive spatiotemporal analysis, correlation between leishmaniasis incidence
and climatic variables were analyzed using SPSS statistical software. RESULTS:
The total number of people reported with leishmaniasis during the study period
was 8487. Cutaneous leishmaniasis is the prominent form in Sri Lanka while few
visceral and muco-cutaneous cases were reported. Although leishmaniasis patients
were identified from all 25 districts in the island, almost 90% of the total
caseload was reported from Anuradhapura, Hambantota, Polonnaruwa, Kurunegala and
Matara districts. The highest number of patients was reported from the
Anuradhapura district and the highest incidence per 100,000 persons was reported
from the Hambantota district. The disease has a seasonal trend, a peak of
leishmaniasis occur in July to September in the north-central region and in
October to December in the southern region. Maximum temperature, humidity and
wind speed are significantly associated climatic variables with leishmaniasis in
endemic regions. CONCLUSIONS: Leishmaniasis is an emerging public health problem
in north-central and southern Sri Lanka. Public awareness programs for the
prevention and control of the disease in endemic regions are essential to reduce
the incidence of leishmaniasis.
PMID- 29370865
TI - A mechanism-based pharmacokinetic model of fenofibrate for explaining increased
drug absorption after food consumption.
AB - BACKGROUND: Oral administration of drugs is convenient and shows good compliance
but it can be affected by many factors in the gastrointestinal (GI) system.
Consumption of food is one of the major factors affecting the GI system and
consequently the absorption of drugs. The aim of this study was to develop a
mechanistic GI absorption model for explaining the effect of food on fenofibrate
pharmacokinetics (PK), focusing on the food type and calorie content. METHODS:
Clinical data from a fenofibrate PK study involving three different conditions
(fasting, standard meals and high-fat meals) were used. The model was developed
by nonlinear mixed effect modeling method. Both linear and nonlinear effects were
evaluated to explain the impact of food intake on drug absorption. Similarly, to
explain changes in gastric emptying time for the drug due to food effects was
evaluated. RESULTS: The gastric emptying rate increased by 61.7% during the first
6.94 h after food consumption. Increased calories in the duodenum increased the
absorption rate constant of the drug in fed conditions (standard meal = 16.5%,
high-fat meal = 21.8%) compared with fasted condition. The final model displayed
good prediction power and precision. CONCLUSIONS: A mechanistic GI absorption
model for quantitatively evaluating the effects of food on fenofibrate absorption
was successfully developed, and acceptable parameters were obtained. The
mechanism-based PK model of fenofibrate can quantify the effects of food on drug
absorption by food type and calorie content.
PMID- 29370866
TI - Trait self-awareness predicts perceptions of choice meaningfulness in a decision
making task.
AB - OBJECTIVE: Seminal theorists such as Erikson, Bruner, Frankl and Rogers have
underscored the importance of meaning in psychological life. However contemporary
scholars interested in meaning have noted that further investigation of the
individual differences associated with meaning-making is still needed. In the
present study we explored whether individual differences in trait self-awareness
were associated with perceptions of choice meaningfulness in a decision-making
task. RESULTS: All participants engaged in a decision-making task wherein they
were asked to choose their preferred painting out of pairs of sequentially
presented abstract paintings. Participants in the experimental condition were
provided with feedback that their choices had been diagnostic of important
personality characteristics whereas those in the control condition were not. All
participants were then prompted to reflect on their choices before rating the
subjective meaningfulness that they associated with their choices and completing
measures to assess trait self-awareness. As anticipated, persons with higher
levels of trait self-awareness tended to seek out and find more meaning compared
to those lower in trait self-awareness. However contrary to expectations,
feedback about the self-relevance of choices did not moderate perceptions of
choice meaningfulness. Implications of these findings as well as directions for
future research are also discussed.
PMID- 29370867
TI - Hybrid blade and locking plate fixation for proximal humerus fractures: a
comparative biomechanical analysis.
AB - BACKGROUND: Open reduction and internal fixation of proximal humerus fractures
can be difficult to achieve adequate, complication free results due to osteopenia
of the proximal humerus and unstable fracture patterns. This study aimed to
compare the biomechanical properties of a novel hybrid fixed angle blade plate
(Fx plate) with an established fixed angle locking plate (PHILOS plate). METHODS:
A two-part fracture was simulated in synthetic composite humeri by creating a
transverse osteotomy and 10 mm fracture gap at the surgical neck. After treating
the fractures with either an Fx plate or a PHILOS plate, humeral head was fixed
and the shaft was displaced in a cantilever fashion. For elastic tests, loading
was along the frontal and sagittal plane to achieve varus/valgus and
extension/flexion, respectively. In plastic tests, loading was in a varus
direction to determine the constructs' resistance to varus collapse. RESULTS: In
elastic tests, both construct types had higher peak load and stiffness in
extension/flexion than varus/valgus. Fx plate constructs were significantly
stiffer than PHILOS constructs in varus/valgus (mean: 7.590/6.900 vs. 6.609/6.091
N/mm; p < 0.001 for both) but significantly less stiff in extension/flexion
(8.770/9.541 vs. 9.533/9.997 N/mm; p < 0.001 for extension, p < 0.05 for
flexion). In varus plastic tests, significantly higher peak loads were reported
for Fx plate than PHILOS (134.391 vs. 115.531 N; p < 0.001). CONCLUSIONS: In this
fracture gap model, humeri implanted with a novel Fx plate provided higher
varus/valgus stiffness but lower extension/flexion stiffness than a more
traditional proximal humeral locking plate design (PHILOS).
PMID- 29370869
TI - A new enzyme-linked immunosorbent assay for neurofilament light in cerebrospinal
fluid: analytical validation and clinical evaluation.
AB - BACKGROUND: Cerebrospinal fluid (CSF) neurofilament light (NfL) is a reliable
marker of neuro-axonal damage in different neurological disorders that is related
to disease severity. To date, all recent studies performed in human CSF have used
the same enzyme-linked immunosorbent assay (ELISA). To confirm the large body of
evidence for NfL, we developed a new ELISA method and here we present the
performance characteristics of this new ELISA for CSF NfL in different
neurological disorders. METHODS: We produced two monoclonal antibodies (NfL21 and
NfL23) directed against the NfL core domain, and developed a novel sandwich ELISA
method that we evaluated in patients with: 1) inflammatory demyelinating diseases
(IDD; n = 97), including multiple sclerosis (MS; n = 59), clinically isolated
syndrome (CIS; n = 32), and radiologically isolated syndrome (RIS; n = 6); 2)
Alzheimer's disease (AD; n = 72), including mild cognitive impairment due to AD
(MCI-AD, n = 36) and probable AD dementia (AD-dem; n = 36); 3) Parkinson's
disease (PD; n = 30); and 4) other neurological noninflammatory and non
neurodegenerative diseases (OND; n = 30). RESULTS: Our new NfL ELISA showed a
good analytical performance (inter-plate coefficient of variation (CV) < 13%),
with no cross-reactivity with neurofilament medium and heavy (NfM and NfH). With
respect to the other available ELISAs, CSF NfL showed the same range of values
with a strong correlation (r = 0.9984, p < 0.001) between the two methods. CSF
NfL levels were significantly higher in MCI-AD/AD-dem and IDD patients as
compared with both PD and OND patients. The highest discriminative power was
obtained between IDD and OND patients (area under the curve (AUC) 0.87, 95%
confidence interval (CI) 0.80-0.95). Within the IDD group, CSF NfL positively
correlated with several clinical and radiological disease severity parameters.
CONCLUSIONS: These results show a good analytical performance of the new ELISA
for quantification of NfL concentrations in the CSF. CSF NfL is confirmed to be a
reliable marker in AD and MS, and a disease-severity marker in MS patients.
PMID- 29370868
TI - mRNA export in the apicomplexan parasite Toxoplasma gondii: emerging divergent
components of a crucial pathway.
AB - Control of gene expression is crucial for parasite survival and is the result of
a series of processes that are regulated to permit fine-tuning of gene expression
in response to biological changes during the life-cycle of apicomplexan
parasites. Control of mRNA nuclear export is a key process in eukaryotic cells
but is poorly understood in apicomplexan parasites. Here, we review recent
knowledge regarding this process with an emphasis on T. gondii. We describe the
presence of divergent orthologs and discuss structural and functional differences
in export factors between apicomplexans and other eukaryotic lineages.
Undoubtedly, the use of the CRISPR/Cas9 system in high throughput screenings
associated with the discovery of mRNA nuclear export complexes by proteomic
analysis will contribute to identify these divergent factors. Ligand-based or
structure-based strategies may be applied to investigate the potential use of
these proteins as targets for new antiprotozoal agents.
PMID- 29370870
TI - Diffusion kurtosis imaging allows the early detection and longitudinal follow-up
of amyloid-beta-induced pathology.
AB - BACKGROUND: Alzheimer's disease (AD) is a progressive neurodegenerative disorder
and the most common cause of dementia in the elderly population. In this study,
we used the APP/PS1 transgenic mouse model to explore the feasibility of using
diffusion kurtosis imaging (DKI) as a tool for the early detection of
microstructural changes in the brain due to amyloid-beta (Abeta) plaque
deposition. METHODS: We longitudinally acquired DKI data of wild-type (WT) and
APP/PS1 mice at 2, 4, 6 and 8 months of age, after which these mice were
sacrificed for histological examination. Three additional cohorts of mice were
also included at 2, 4 and 6 months of age to allow voxel-based co-registration
between diffusion tensor and diffusion kurtosis metrics and
immunohistochemistry. RESULTS: Changes were observed in diffusion tensor (DT) and
diffusion kurtosis (DK) metrics in many of the 23 regions of interest that were
analysed. Mean and axial kurtosis were greatly increased owing to Abeta-induced
pathological changes in the motor cortex of APP/PS1 mice at 4, 6 and 8 months of
age. Additionally, fractional anisotropy (FA) was decreased in APP/PS1 mice at
these respective ages. Linear discriminant analysis of the motor cortex data
indicated that combining diffusion tensor and diffusion kurtosis metrics permits
improved separation of WT from APP/PS1 mice compared with either diffusion tensor
or diffusion kurtosis metrics alone. We observed that mean kurtosis and FA are
the critical metrics for a correct genotype classification. Furthermore, using a
newly developed platform to co-register the in vivo diffusion-weighted magnetic
resonance imaging with multiple 3D histological stacks, we found high
correlations between DK metrics and anti-Abeta (clone 4G8) antibody, glial
fibrillary acidic protein, ionised calcium-binding adapter molecule 1 and myelin
basic protein immunohistochemistry. Finally, we observed reduced FA in the septal
nuclei of APP/PS1 mice at all ages investigated. The latter was at least
partially also observed by voxel-based statistical parametric mapping, which
showed significantly reduced FA in the septal nuclei, as well as in the corpus
callosum, of 8-month-old APP/PS1 mice compared with WT mice. CONCLUSIONS: Our
results indicate that DKI metrics hold tremendous potential for the early
detection and longitudinal follow-up of Abeta-induced pathology.
PMID- 29370871
TI - Data-driven identification of endophenotypes of Alzheimer's disease progression:
implications for clinical trials and therapeutic interventions.
AB - BACKGROUND: Given the complex and progressive nature of Alzheimer's disease (AD),
a precision medicine approach for diagnosis and treatment requires the
identification of patient subgroups with biomedically distinct and actionable
phenotype definitions. METHODS: Longitudinal patient-level data for 1160 AD
patients receiving placebo or no treatment with a follow-up of up to 18 months
were extracted from an integrated clinical trials dataset. We used latent class
mixed modelling (LCMM) to identify patient subgroups demonstrating distinct
patterns of change over time in disease severity, as measured by the Alzheimer's
Disease Assessment Scale-cognitive subscale score. The optimal number of
subgroups (classes) was selected by the model which had the lowest Bayesian
Information Criterion. Other patient-level variables were used to define these
subgroups' distinguishing characteristics and to investigate the interactions
between patient characteristics and patterns of disease progression. RESULTS: The
LCMM resulted in three distinct subgroups of patients, with 10.3% in Class 1,
76.5% in Class 2 and 13.2% in Class 3. While all classes demonstrated some degree
of cognitive decline, each demonstrated a different pattern of change in
cognitive scores, potentially reflecting different subtypes of AD patients. Class
1 represents rapid decliners with a steep decline in cognition over time, and who
tended to be younger and better educated. Class 2 represents slow decliners,
while Class 3 represents severely impaired slow decliners: patients with a
similar rate of decline to Class 2 but with worse baseline cognitive scores.
Class 2 demonstrated a significantly higher proportion of patients with a history
of statins use; Class 3 showed lower levels of blood monocytes and serum calcium,
and higher blood glucose levels. CONCLUSIONS: Our results, 'learned' from
clinical data, indicate the existence of at least three subgroups of Alzheimer's
patients, each demonstrating a different trajectory of disease progression. This
hypothesis-generating approach has detected distinct AD subgroups that may prove
to be discrete endophenotypes linked to specific aetiologies. These findings
could enable stratification within a clinical trial or study context, which may
help identify new targets for intervention and guide better care.
PMID- 29370872
TI - Cyathostomine egg reappearance period following ivermectin treatment in a cohort
of UK Thoroughbreds.
AB - BACKGROUND: In spite of the emergence of populations of drug-resistant
cyathostomines worldwide, little is known of parasite species responsible for
'early egg shedding' in cohorts of horses subjected to treatment with widely used
anthelmintics, e.g. ivermectin (IVM). In this study, we determined the
cyathostomine egg reappearance period (ERP) after IVM treatment in a cohort of
yearlings from a large Thoroughbred (TB) stud farm in the United Kingdom, and
identified species of cyathostomines with reduced ERP using a combination of
fundamental parasitology techniques coupled with advanced molecular tools.
METHODS: Individual faecal samples were collected from TB yearlings with
cyathostomine infection prior to IVM treatment, as well as at 14, 21, 28, 35, 42
and 49 days post-treatment. Faecal egg counts (FEC) were performed for each
individual sample for determination of ERPs. In addition, individual larval
cultures were performed and representative numbers of third-stage larvae (L3s)
harvested from each culture were subjected to molecular species identification
via PCR-Reverse Line Blot (RLB). RESULTS: Prior to IVM treatment, 11
cyathostomine species were detected in faecal samples from TB horses enrolled in
this study, i.e. Cyathostomum catinatum, Cylicostephanus longibursatus,
Cylicostephanus goldi, Cylicocyclus nassatus, Cylicostephanus calicatus,
Cyathostomum pateratum, Cylicocyclus radiatus, Paraposteriostomum mettami,
Coronocyclus labratus, Cylicocyclus insigne and Cylicocyclus radiatus variant A.
Of these, eggs of Cya. catinatum, Cys. longibursatus, Cyc. nassatus and Cyc.
radiatus could be detected at 28 days post-treatment, while from day 42 onwards,
cyathostomine species composition reflected data obtained pre-IVM treatment, with
the exception of eggs of Cor. labratus and Cyc. insigne which could no longer be
detected post-IVM administration. CONCLUSIONS: This study provides valuable data
on the occurrence of IVM-resistance in cyathostomines in the UK. Nevertheless,
further investigations are needed to shed light on the prevalence and incidence
of drug-resistance in this country, as well as other areas of the world where
equine trade is substantial.
PMID- 29370873
TI - Phylogenetic analysis of apicomplexan parasites infecting commercially valuable
species from the North-East Atlantic reveals high levels of diversity and
insights into the evolution of the group.
AB - BACKGROUND: The Apicomplexa from aquatic environments are understudied relative
to their terrestrial counterparts, and the seminal work assessing the
phylogenetic relations of fish-infecting lineages is mostly based on freshwater
hosts. The taxonomic uncertainty of some apicomplexan groups, such as the
coccidia, is high and many genera were recently shown to be paraphyletic,
questioning the value of strict morphological and ecological traits for parasite
classification. Here, we surveyed the genetic diversity of the Apicomplexa in
several commercially valuable vertebrates from the North-East Atlantic, including
farmed fish. RESULTS: Most of the sequences retrieved were closely related to
common fish coccidia of Eimeria, Goussia and Calyptospora. However, some lineages
from the shark Scyliorhinus canicula were placed as sister taxa to the Isospora,
Caryospora and Schellakia group. Additionally, others from Pagrus caeruleostictus
and Solea senegalensis belonged to an unknown apicomplexan group previously found
in the Caribbean Sea, where it was sequenced from the water column, corals, and
fish. Four distinct parasite lineages were found infecting farmed Dicentrarchus
labrax or Sparus aurata. One of the lineages from farmed D. labrax was also found
infecting wild counterparts, and another was also recovered from farmed S. aurata
and farm-associated Diplodus sargus. CONCLUSIONS: Our results show that marine
fish apicomplexans are diverse, and we highlight the need for a more extensive
assessment of parasite diversity in this phylum. Additionally, parasites
recovered from S. canicula were recovered as basal to their piscine counterparts
reflecting hosts phylogeny.
PMID- 29370876
TI - The Development and Psychometric Evaluation of the Group Schema Therapy Rating
Scale - Revised.
AB - BACKGROUND: Recent research has supported the efficacy of schema therapy as a
treatment for personality disorders. A group format has been developed (group
schema therapy; GST), which has been suggested to improve both the clinical and
cost-effectiveness of the treatment. AIMS: Efficacy studies of GST need to assess
treatment fidelity. The aims of the present study were to improve, describe and
evaluate a fidelity measure for GST, the Group Schema Therapy Rating Scale -
Revised (GSTRS-R). METHOD: Following a pilot study on an initial version of the
scale (GSTRS), items were revised and guidelines were modified in order to
improve the reliability of the scale. Students highly experienced with the scale
rated recorded GST therapy sessions using the GSTRS-R in addition to a group
cohesion measure, the Harvard Community Health Plan Group Cohesiveness Scale - II
(GCS-II). The scores were used to assess internal consistency and inter-rater
reliability. Discriminant validity was assessed by comparing the scores on the
GSTRS-R with the GCS-II. RESULTS: The GSTRS-R displayed substantial internal
consistency and inter-rater reliability, and adequate discriminate validity,
evidenced by a weak positive correlation with the GCS-II. CONCLUSIONS: Overall,
the GSTRS-R is a reliable tool that may be useful for evaluating therapist
fidelity to GST model, and assisting GST training and supervision. Initial
validity was supported by a weak association with GCS-II, indicating that
although associated with cohesiveness, the instrument also assesses factors
specific to GST. Limitations are discussed.
PMID- 29370877
TI - Can history improve big bang health reform? Commentary.
AB - At present, the professional skills of the historian are rarely relied upon when
health policies are being formulated. There are numerous reasons for this, one of
which is the natural desire of decision-makers to break with the past when
enacting big bang policy change. This article identifies the strengths
professional historians bring to bear on policy development using the
establishment and subsequent reform of universal health coverage as an example.
Historians provide pertinent and historically informed context; isolate the
forces that have historically allowed for major reform; and separate the truly
novel reforms from those attempted or implemented in the past. In addition, the
historian's use of primary sources allows potentially new and highly salient
facts to guide the framing of the policy problem and its solution. This paper
argues that historians are critical for constructing a viable narrative of the
establishment and evolution of universal health coverage policies. The lack of
this narrative makes it difficult to achieve an accurate assessment of systemic
gaps in coverage and access, and the design or redesign of universal health
coverage that can successfully close these gaps.
PMID- 29370875
TI - Substance use patterns and in-hospital care of adolescents and young adults
attending music concerts.
AB - BACKGROUND: Few studies describe medical complaints and substance use patterns
related to attending music concerts. As such, the objective of this study is to
describe patient demographics, substance use and intoxication patterns, and
medical interventions provided to adolescents and young adults assessed in an
emergency department (ED) for complaints directly related to concert attendance.
METHODS: A retrospective chart review of patients 13-30 years old who were
transported to the ED directly from music concerts between January 2011 and
December 2015 was conducted. Descriptive statistics and logistic regression were
used to analyze patient demographic, intervention, and substance use data.
RESULTS: There were 115 concerts identified, of which 48 (42%) were linked to 142
relevant ED visits; the total number of attendees at each concert is unknown. The
mean age of the 142 described patients was 19.5 years (SD 3.3) with 72% < 21 and
33% < 18; 71% of patients were female and 96% of visits were substance-use
related. Mean blood alcohol level was 242 mg/dL (range 104-412, SD 70). Glasgow
Coma Scale (GCS) scores ranged from 3 to 15, with a mean of 14. Two patients
required intubation and 61% of patients received interventions, including
medications (47%), intravenous fluids (46%), specialty consultation (20%),
restraints (14%), imaging (6%), and laceration repair (3%). Attendance at pop and
electronic dance music concerts was associated with the widest ranges of GCS
scores (8-15 and 6-14 respectively), mass casualty incident declarations, and
among the highest mean blood alcohol levels (246 and 244 mg/dL, respectively).
CONCLUSIONS: Substance use is the predominant reason for music concert related ED
visits and patients may have serious levels of intoxication, receiving multiple
medical interventions. These data demonstrate the need for additional large-scale
studies to confirm trends and increase awareness of this important public health
problem.
PMID- 29370874
TI - International practices in the dietary management of fructose 1-6 biphosphatase
deficiency.
AB - BACKGROUND: In fructose 1,6 bisphosphatase (FBPase) deficiency, management aims
to prevent hypoglycaemia and lactic acidosis by avoiding prolonged fasting,
particularly during febrile illness. Although the need for an emergency regimen
to avoid metabolic decompensation is well established at times of illness, there
is uncertainty about the need for other dietary management strategies such as
sucrose or fructose restriction. We assessed international differences in the
dietary management of FBPase deficiency. METHODS: A cross-sectional questionnaire
(13 questions) was emailed to all members of the Society for the Study of Inborn
Errors of Metabolism (SSIEM) and a wide database of inherited metabolic disorder
dietitians. RESULTS: Thirty-six centres reported the dietary prescriptions of 126
patients with FBPase deficiency. Patients' age at questionnaire completion was: 1
10y, 46% (n = 58), 11-16y, 21% (n = 27), and >16y, 33% (n = 41). Diagnostic age
was: <1y, 36% (n = 46); 1-10y, 59% (n = 74); 11-16y, 3% (n = 4); and >16y, 2% (n
= 2). Seventy-five per cent of centres advocated dietary restrictions. This
included restriction of: high sucrose foods only (n = 7 centres, 19%); fruit and
sugary foods (n = 4, 11%); fruit, vegetables and sugary foods (n = 13, 36%).
Twenty-five per cent of centres (n = 9), advised no dietary restrictions when
patients were well. A higher percentage of patients aged >16y rather than <=16y
were prescribed dietary restrictions: patients aged 1-10y, 67% (n = 39/58), 11
16y, 63% (n = 17/27) and >16y, 85% (n = 35/41). Patients classified as having a
normal fasting tolerance increased with age from 30% in 1-10y, to 36% in 11-16y,
and 58% in >16y, but it was unclear if fasting tolerance was biochemically
proven. Twenty centres (56%) routinely prescribed uncooked cornstarch (UCCS) to
limit overnight fasting in 47 patients regardless of their actual fasting
tolerance (37%). All centres advocated an emergency regimen mainly based on
glucose polymer for illness management. CONCLUSIONS: Although all patients were
prescribed an emergency regimen for illness, use of sucrose and fructose
restricted diets with UCCS supplementation varied widely. Restrictions did not
relax with age. International guidelines are necessary to help direct future
dietary management of FBPase deficiency.
PMID- 29370878
TI - Does the distribution frequency matter? A subgroup specific analysis of the
effectiveness of the EU School Fruit and Vegetable Scheme in Germany comparing
twice and thrice weekly deliveries.
AB - OBJECTIVE: The present study aimed to examine the effectiveness of two different
implementation forms of the EU School Fruit and Vegetable Scheme (SFVS). DESIGN:
A quasi-experimental design was applied including a thrice as well as a twice
weekly intervention group. Repeated 24 h dietary recalls were used to measure
children's fruit and vegetable (F&V) intake. Effects were analysed on days with
and without F&V deliveries using hierarchical linear regression models. SETTING:
Twelve primary schools in North Rhine-Westphalia, Germany. SUBJECTS: Third and
fourth graders (n 664). RESULTS: Average daily F&V intake at pre-intervention was
0.84 frequencies in the thrice weekly intervention group, 0.90 frequencies in the
twice weekly intervention group and 1.25 frequencies in the control group.
Providing children thrice weekly with F&V increased children's F&V intake on
average by 0.96 (P<0.001) frequencies/d. The effects were higher on days with
(1.07; P<0.001) than on days without (0.75; P<0.001) F&V deliveries. Distributing
F&V twice weekly resulted in an increase of 0.75 (P<0.001) frequencies/d on
average, again with higher effects on days with (1.30; P<0.001) than without
(0.48; P<0.003) F&V deliveries. Subgroup analysis revealed some indications for
differential effectiveness only in the twice weekly intervention group.
CONCLUSIONS: The SFVS with thrice or twice weekly deliveries of F&V led to a
significant increase in children's F&V intake on days with and without
deliveries. The latter might provide an indication of positive long-term effects
of the scheme. The scheme shows equal efficiency for almost all subgroups.
PMID- 29370880
TI - Association Between HLA genotypes and Oxcarbazepine-induced Cutaneous Adverse
Drug Reactions: A Systematic Review and Meta-Analysis.
AB - PURPOSE: To systematically review and quantitatively synthesize associations
between HLA genotypes and oxcarbazepine-induced cutaneous adverse drug reactions
(OXC-cADRs), including Stevens-Johnson syndrome (SJS) and maculopapular rash.
METHODS: Studies investigating associations between HLA genotypes and OXC-cADRs
were systematically searched irrespective of language, in PubMed, HuGENet (Human
Genome Epidemiology Network), and the Cochrane Library from their inception until
January, 2017. Inclusion criteria were studies investigating associations between
HLA genotypes and OXC-cADRs that reported sufficient data for calculating the
frequency of HLA genotype carriers among cases and controls. Overall odds ratios
(ORs) with corresponding 95%CIs were calculated using a random-effects model to
determine the association between HLA genotypes and OXC-cADRs. RESULTS: The
initial searches identified 91 articles, of which 6 studies met the selection
criteria. The studies included 229 patients with OXC-cADRs, 251 OXC-tolerant
patients, and 2,358 participants from general populations of Han Chinese, Korean,
and Thai ethnicities. Associations between HLA-B*1502 and OXC-induced SJS were
found in both the general population [OR=30.2 (95%CI=3.45-264)] and in OXC
tolerant individuals [OR=26.4 (95%CI=7.98-87.6)]. An association between the HLA
B*1502 and OXC-induced maculopapular rash was found in the general population
[OR=5.67 (95%CI=2.03-15.9)] while HLA-A*3101 also associated with OXC-induced
maculopapular rash [overall OR=29.2 (95%CI=6.70-128)]. CONCLUSIONS: Strong
associations between the HLA-B*1502 and OXC-cADRs (SJS and maculopapular rash)
were found in both controls from general population and OXC-tolerant groups.
There was also an association between HLA-B*3101 and OXC-induced maculopapular
rash. For patient safety, genetic screening especially for HLA-B*1502 prior to
OXC therapy at least in these closely related ethnicities is warranted. Further
studies need to better define other ethnicities at risk and a wider range of MHC
gene subtypes. This article is open to POST-PUBLICATION REVIEW. Registered
readers (see "For Readers") may comment by clicking on ABSTRACT on the issue's
contents page.
PMID- 29370881
TI - Discussion of "Dual energy computed tomography should be the first line
preoperative localization imaging test for primary hyperparathyroidism patients".
PMID- 29370879
TI - Differential epitope masking reveals synapse-specific complexes of TRPM1.
AB - The transient receptor potential channel TRPM1 is required for synaptic
transmission between photoreceptors and the ON subtype of bipolar cells (ON-BPC),
mediating depolarization in response to light. TRPM1 is present in the somas and
postsynaptic dendritic tips of ON-BPCs. Monoclonal antibodies generated against
full-length TRPM1 were found to have differential labeling patterns when used to
immunostain the mouse retina, with some yielding reduced labeling of dendritic
tips relative to the labeling of cell bodies. Epitope mapping revealed that those
antibodies that poorly label the dendritic tips share a binding site (N2d) in the
N-terminal arm near the transmembrane domain. A major splice variant of TRPM1
lacking exon 19 does not contain the N2d binding site, but quantitative
immunoblotting revealed no enrichment of this variant in synaptsomes. One
explanation of the differential labeling is masking of the N2d epitope by
formation of a synapse-specific multiprotein complex. Identifying the binding
partners that are specific for the fraction of TRPM1 present at the synapses is
an ongoing challenge for understanding TRPM1 function.
PMID- 29370882
TI - Metastases treated with surgery alone: A dream come true?
PMID- 29370883
TI - Discussion of "Institutional review of the implementation and use of a
Clostridium difficile infection bundle and probiotics in adult trauma patients".
PMID- 29370884
TI - Risk of major complications following thyroidectomy and parathyroidectomy:
Utility of the NSQIP surgical risk calculator.
AB - BACKGROUND: The primary objective of this study was to determine rates of
reoperation, ED visits, and hospital readmission after thyroid and parathyroid
surgery at a tertiary hospital. A secondary objective was to determine if scores
from the American College of Surgeons Surgical Risk Calculator (ACS SRC)
predicted these events. METHODS: We retrospectively reviewed the records of
patients undergoing parathyroid and thyroid surgery between 2011 and 2014.
Patients who underwent an unplanned reoperation, returned to the ED, or were
readmitted to hospital were evaluated using the ACS SRC. RESULTS: 436 patients
underwent thyroid and parathyroid operations. Rates of re-operations, ED visits
and hospital readmissions after thyroid and parathyroid surgery were: 3.4%, 0.6%
and 3.0% and 2.2%, 0% and 1.4%, respectively. 71% of patients who experienced
post-operative complications scored below average on the ACS SRC, 17% scored
above average and 12% scored average risk. CONCLUSIONS: The SRC did not predict
re-operation, ED visits, or hospital readmission after thyroid or parathyroid
operations.
PMID- 29370885
TI - Assessment of extracranial and intracranial atherosclerosis: Don't dismiss old
school autopsy.
PMID- 29370886
TI - Functional outcome and health related quality of life after dual mobility cup
total hip replacement for displaced femoral neck fractures in middle aged
Egyptian patients.
AB - AIM: This study was done to assess the functional and clinical results after one
year of cemented THR with dual mobility cup for the treatment of fracture neck
femur in active middle-aged patients in Egypt (Middle Eastern population).
PATIENTS AND METHODS: This study included 31 patients (32 hips) with displaced
femoral neck fractures that were admitted to El Hadara University Hospital,
Alexandria, Egypt. Their mean age was 66.4 +/- 5.9 years. Fifteen patients were
females. All the patients were treated with total hip replacement using a
cemented dual mobility cup (Ecofit(r) 2 M, Implantcast GmbH, Germany) total hip
replacement through the standard posterior approach. Functional assessment was
done using Harris Hip Score (HHS), SF-36 questionnaire for health related quality
of life (HRQoL) with assistance of a physiotherapist. RESULTS: The mean HHS
improved over the follow up period from 79.04 +/- 7.9 at 12 weeks to an average
of 92.8 +/- 11.1 at 1 year follow up. HRQoL measures showed a pattern of initial
drop at 3 months postoperatively, then a steady rise to be restored at 1 year as
compared to the preoperative baseline measures. There were no dislocations
encountered in this series over one year follow up. The following complications
were encountered; 1 deep infection, 2 deep vein thrombosis, 2 heterotopic
ossifications, and 1 patient died within one year after surgery. CONCLUSIONS:
Dual mobility cup total hip replacement is an acceptable method for treatment of
displaced femoral neck fracture in active middle aged patients in Egypt as it
provides pain relief and good function without compromising the stability.
PMID- 29370887
TI - The Myasthenia Gravis-specific Activities of Daily Living scale as a useful
outcome measure and in routine clinical management in Polish patients.
AB - INTRODUCTION: The Myasthenia Gravis-Activities of Daily Living scale (MG-ADL) is
a short, and easy to use disease-specific quality of life during daily routine
tool in myasthenia gravis. OBJECTIVES: The purpose of our work was to evaluate
neurological condition patients with myasthenia gravis using the form MG-ADL in
order to enable the introduction in common use of an instrument which allows for
the assessment of patients with myasthenia gravis. PATIENTS AND METHODS: The
total number of 50 patients with MG were qualified for the examination. Each
patient underwent neurological examination and completed the quality of life
evaluation questionnaire MQ-ADL. Additionally, each patient was asked to evaluate
the quality of his/her life by means of questionnaire MG-QOL 15 and MG Composite
in Polish language version. RESULTS: Our analysis showed a positive correlation
with other scales used - MG-QOL 15, MGFA, MG Composite. The intensification of
neurological symptoms showed significant relation with obtained higher number of
points in MG-ADL questionnaire. The MG-ADL was found to have high internal
consistency, test-retest reliability, and concurrent validity. CONCLUSION: We
confirmed reliability and dependability of the questionnaire in the the test
retest assessment. The MG-ADL is accepted to be a reliable and valuable tool for
measuring disease-specific QOL in Polish patients with MG.
PMID- 29370888
TI - Lack of association between rheumatoid arthritis and genetic variants rs10889677,
rs11209026 and rs2201841 of IL-23R gene.
AB - INTRODUCTION: Rheumatoid arthritis (RA) is an autoimmune diseases, where
different genetic variants in cytokine genes may play a pathogenic role. A GWAS
in autoimmune diseases highlighted the IL-23R gene as a one of the susceptibility
factors. We examined three candidate single nucleotide polymorphisms (SNPs)
rs10889677, rs11209026 and rs2201841 of the IL-23R gene, as well as determined
their possible association with RA in a Polish population. PATIENTS AND METHODS:
The IL-23R gene polymorphisms were genotyped for 422 RA patients and 348 healthy
individuals using TaqMan SNP genotyping assay. RESULTS: The genotypes frequency
did not deviate from HWE in each examined group. A comparison of the allele as
well as genotype frequencies of the IL-23R polymorphisms under codominant,
dominant and recessive genetic model revealed no significant differences between
RA patients and healthy subjects. We also demonstrated that IL-23R rs2201841 and
rs11209026 as well as rs11209026 and rs10889677 were in complete linkage
disequilibrium (D'=1.0). Our genotype-phenotype analysis demonstrated that in
carriers of rs10889677C and/or rs2201841A allele the RF, extra-articular
manifestations and erosion were more frequent present than in patients with
rs10889677A and/or rs2201841A allele, although this association was not
significant. DISCUSSION: Present findings indicated that the autoimmune disease
associated genetic variants in IL-23R gene are not associated with RA in the
Polish population.
PMID- 29370889
TI - Alveolar Macrophages.
AB - Alveolar macrophages are the most abundant innate immune cells in the distal lung
parenchyma, located on the luminal surface of the alveolar space. They are the
first to encounter incoming pathogens and pollutants and to help orchestrate the
initiation and resolution of the immune response in the lung. Similar to other
tissue-resident macrophages, alveolar macrophages also perform non-immune, tissue
specific, homeostatic functions, most notably clearance of surfactant. In this
review we will discuss how ontogeny and local lung environment shape the role of
alveolar macrophages in health and disease.
PMID- 29370890
TI - Clinical outcomes after tracheoplasty in patients with congenital tracheal
stenosis in 1997-2014.
AB - BACKGROUND: Mortality and morbidity of congenital tracheal stenosis (CTS) remain
high. The aim of this study was to determine the factors predicting 12-month
survival and 2-month successful extubation after tracheoplasty in patients with
CTS. METHODS: Retrospective chart reviews were conducted in patients with CTS
undergoing tracheoplasty at a single institution between 1997 and 2014. Patients'
characteristics at disease onset and tracheoplasty were summarized. Twelve-month
survival rate and 2-month extubation rate without tracheotomy after tracheoplasty
were analyzed. RESULTS: We reviewed 81 patients' records. Multivariate analysis
for 12-month survival revealed that older age (>2 months, hazard ratio [HR]:
0.08, 95% confidence interval [CI]: 0.02-0.36) or heavier body weight (>4.4 kg,
HR: 0.13, 95% CI: 0.02-0.73) at tracheoplasty was a predictive factor for
survival. Body weight at tracheoplasty (>8.2 kg, HR: 3.83, 95% CI: 1.88-7.79),
preoperative balloon dilatation (HR: 0.30, 95% CI: 0.12-0.78), and carina
involvement (HR: 0.36, 95% CI: 0.19-0.69) were predictive factors for successful
extubation. CONCLUSIONS: Although CTS management is individualized, age or body
weight at tracheoplasty needs to be considered and assessed for survival, as well
as preoperative balloon dilatation, and carina involvement for successful
extubation. LEVELS OF EVIDENCE: Level III.
PMID- 29370891
TI - Risk factors for venous thromboembolic events in pediatric surgical patients:
Defining indications for prophylaxis.
AB - BACKGROUND: Venous thromboembolism (VTE) in pediatric surgical patients is a rare
event. The risk factors for VTE in pediatric general surgery patients undergoing
abdominopelvic procedures are unknown. STUDY DESIGN: The American College of
Surgeon's National Surgical Quality Improvement Program-Pediatric (NSQIP-P)
database (2012-2015) was queried for patients with VTE after abdominopelvic
general surgery procedures. Patient and operative variables were assessed to
identify risk factors associated with VTE and develop a pediatric risk score.
RESULTS: From 2012-2015, 68 of 34,813 (0.20%) patients who underwent
abdominopelvic general surgery procedures were diagnosed with VTE. On
multivariate analysis, there was no increased risk of VTE based on concomitant
malignancy, chemotherapy, inflammatory bowel disease, or laparoscopic surgical
approach, while a higher rate of VTE was identified among female patients. The
odds of experiencing VTE were increased on stepwise regression for patients older
than 15 years and those with preexisting renal failure or a diagnosis of septic
shock, patients with American Society of Anesthesia (ASA) classification >= 2,
and for anesthesia time longer than 2 h. The combination of age > 15 years, ASA
classification >= 2, anesthesia time > 2 h, renal failure, and septic shock was
included in a model for predicting risk of VTE (AUC = 0.907, sensitivity 84.4%,
specificity 88.2%). CONCLUSION: VTE is rare in pediatric patients, but prediction
modeling may help identify those patients at heightened risk. Additional studies
are needed to validate the factors identified in this study in a risk assessment
model as well as to assess the efficacy and cost-effectiveness of prophylaxis
methods. LEVEL OF EVIDENCE: Level III, retrospective comparative study.
PMID- 29370892
TI - Laparoscopic one port appendectomy: Evaluation in pediatric surgery.
AB - BACKGROUND: Appendectomy is a well-established surgical procedure in pediatric
surgery used in the management of acute appendicitis. With the continuous
advancement in the field of minimal invasive surgery, the recent focus is on
single incision laparoscopic (SIL) surgery. SILA also goes further in order to
decrease pain, improve recovery and enhance patient satisfaction. However, this
approach is still not a well-established technique and not widely practiced,
especially in pediatric surgery. METHODS: We prospectively recorded the data in
our pediatric universitary hospital center since January, 01 2017 to July, 01
2017. Patients included in this study were randomized in two groups: SILA group
(managed by one-port laparoscopy, n=40) and LA group (conventional laparoscopy
using three trocars, n=40). RESULTS: The mean operative time for SILA was
significantly lower. There were no postoperative complications in SILA group. If
peritonitis was associated with appendicitis, the operative duration was not
significantly different between each group. The duration in recovery room after
surgery was significantly lower in SILA group. The morphine consumption was
significantly lower for SILA group according to patient weight. SILA is less
painful significantly than CLA for the first postoperative 6 h. After, even if
SILA appears less painful, difference is not significant. The hospital length of
stay was significantly higher in LA than SILA group CONCLUSIONS: SILA procedure
for appendectomy appears to be safe and efficient for appendicitis management in
children. This technique could be applied in routine as in emergency tome. TYPE
OF STUDY: Prospective comparative study LEVEL OF EVIDENCE: II.
PMID- 29370893
TI - Reply to Letter to the Editor.
PMID- 29370894
TI - Continence after posterior sagittal anorectoplasty for anorectal malformations:
comparison of different scores.
AB - PURPOSE: To evaluate bowel function in patients with anorectal malformations
(ARM) comparing existing scoring systems. METHODS: Parents of ARM patients
treated at our institution were asked to fill in Holschneider, Kricknebeck, and
Rintala questionnaires. Scores obtained from the questionnaires were expressed
per cent and analyzed depending on the age and type of ARM according to
Krickenbeck classification. Patients younger than 3 years of age or with
developmental delay were excluded. RESULTS: Eighty patients (42 males: 52%) were
included. Median age was 7.6 years (range 3-22). Twenty eight patients (35%) had
perineal fistula, 13 (16%) bulbar, 7 (9%) prostatic, 5 (6%) rectobladder neck, 15
(19%) vestibular, 7 (9%) had a cloaca and 5 (6%) imperforate anus without
fistula. Using Holschneider, Krickenbeck, and Rintala, average scores were
respectively 72, 71 and 73 (p = 0.4 with ANOVA). Using the three questionnaires
patients with perineal fistula scored 82, 76 and 84 respectively (p = 0.003),
with bulbar 70, 71, 73 (p = 0.8), with prostatic 52,69,59 (p = 0.06), with
bladder neck 56, 80, 57 (p = 0.004), with vestibular 75,67,75 (p = 0.02), with
cloaca 64, 67, 65 (p = 0.9), and with imperforate anus without fistula 61,49, 53
(p = 0.12). Patients from 3 to 6 years of age scored 74,72 and 76 (p = 0.37),
from 7 to 12: 70,71 and 71 (p = 0.87), and older than twelve: 74,66 e 73 (p =
0.08). CONCLUSION: The scores obtained using Holschneider, Rintala, and
Krickenbeck questionnaires are significantly lower with increasing severity of
the ARM. For each type of ARM there are some differences in the results obtained
using the three questionnaires. In general, Krickenbeck and Pena questionnaires
tend to give lower scores in patients with ARMs that have good prognosis, and
higher scores for ARMs with poor prognosis. Age is not significantly related to
the score obtained. LEVEL OF EVIDENCE: III TYPE OF STUDY: Diagnostic study.
PMID- 29370895
TI - Pelvic and lower extremity immobilization for cloacal exstrophy bladder and
abdominal closure in neonates and older children.
AB - INTRODUCTION: Successful bladder closure in cloacal exstrophy (CE) is best
accomplished through a multidisciplinary team and attention to pre- and
postoperative technique. This study from a high volume exstrophy center
investigates outcomes and complications of primary and reoperative bladder
closures in patients immobilized with spica cast or patients with external
fixation (EF) and skin traction. METHODS: The authors reviewed an institutionally
approved and daily updated database of 1311 patients with exstrophy-epispadias
complex and identified patients with cloacal exstrophy born between 1975 and 2015
who had undergone primary or reoperative bladder closures. Only the closures that
used spica casting or external fixation were included for analysis. Demographic,
operative, and outcomes data were compared between patients with spica cast only
and patients with external fixation and skin traction. RESULTS: Out of 140
patients with CE or a CE variant, a total of 71 patients with 94 bladder closures
(66 primary and 28 reoperative) met inclusion criteria. Median follow-up time was
8.8 years (range 1.5-29.1). There were 37 closures performed at the authors'
institution and 58 from outside hospitals. Pelvic osteotomy was undertaken in 66
(70.2%) of all closures, and in 36 (97.3%) of closures at the authors'
institution. Postoperative immobilization was achieved with spica cast alone in
46 (48.9%) closures, external fixation and skin traction in 43 (45.7%), and spica
cast and external fixation in 5 (5.3%) closures. For all closures, there were 33
failures (71.7%) among those immobilized with spica cast alone versus 4 failures
(9.3%) for those immobilized with external fixation and skin traction (p<0.001).
When restricted to closures performed with osteotomy, the failure rates were
50.0% and 9.3% respectively (p=0.002). There was minimal differences in
complication rates between spica and external fixation groups (8.7% versus 23.3%,
p=0.059). CONCLUSION: Failure of CE closure can occur with any form of pelvic and
lower extremity immobilization. This study, however, provides continued evidence
that external fixation with skin traction is an optimal, secure technique (3.8%
failure rate) for postoperative management in an older child (1-2 years). LEVEL
OF EVIDENCE: Level III, Retrospective comparative study STUDY TYPE: Therapeutic
study.
PMID- 29370896
TI - Reply to Letter to the Editor.
PMID- 29370897
TI - Letter to the Editor.
PMID- 29370898
TI - Postoperative complications of pediatric patients with inflammatory bowel disease
treated with vedolizumab.
AB - BACKGROUND: Vedolizumab is a biologic, which inhibits leukocyte adhesion in the
gut and is used to treat ulcerative colitis (UC) and Crohn's disease (CD). Little
is known of the surgical outcomes in patients treated with vedolizumab. We
reviewed the postoperative complications in a cohort of pediatric UC and CD
patients treated with vedolizumab. METHODS: We identified pediatric UC and CD
patients treated with vedolizumab at our institution from 2014 to 2016. We
compared postoperative outcomes in the vedolizumab exposed group to a cohort of
vedolizumab naive patients who required diverting ileostomy. RESULTS: Of the 31
patients who were treated with vedolizumab, 13 patients required surgery. Eight
of 13 (62%) vedolizumab exposed patients had a postoperative complication,
including mucocutaneous separation at the stoma (3), readmission for
pain/dehydration (2), bowel obstruction at the ostomy, and intraoperative colonic
perforation. In comparison, four of 16 (25%) vedolizumab naive patients had a
postoperative complication, including readmission for ileus and for high stoma
output with mucocutaneous separation. p=0.07. CONCLUSIONS: At our institution,
patients treated with vedolizumab prior to surgery have a high prevalence of
postoperative complications, notably mucocutaneous separation of the stoma. A
prospective, multicenter study is needed to determine if these observed
complications are attributable to vedolizumab. LEVEL OF EVIDENCE: Level III.
PMID- 29370899
TI - Reliability-based robust dynamic positioning for a turret-moored floating
production storage and offloading vessel with unknown time-varying disturbances
and input saturation.
AB - In this paper, we derived a mathematical model for a floating production storage
and offloading (FPSO) vessel and its buoy mooring system and developed a new
robust positioning controller to keep vessels in a desired region in the presence
of unknown time-varying disturbances with uncertainties and input saturation.
Different materials (chain and polyester) and buoys are considered in the model
of mooring system to make the developed model more realistic. We employed a
disturbance observer to estimate the disturbances and designed an auxiliary
dynamic system integrated with the structural reliability's derivative to
quantify the input saturation's influence, and its states are used to the control
design. Our proposed controller can keep the structural reliability and heading
at desired values with arbitrarily small errors while guaranteeing the uniform
ultimate boundedness of all signals in the closed-loop control system. It is
easier for the control design because disturbances and input saturation are
handled simultaneously and so is the stability analysis because only one Lyapunov
function is needed. Simulations are conducted to demonstrate our proposed
controller's effectiveness and a comparison with a robust controller based on
hyperbolic tangent functions shows our proposed controller can avoid steady
errors with desired control goals.
PMID- 29370900
TI - Continuous erector spinae plane block for analgesia in pediatric thoracic
surgery: A case report.
AB - Erector spinae plane block has been recently described and it appears as a very
promising regional analgesia technique. We report the first continuous erector
spinae plane block performed in a pediatric patient for thoracic surgery. A 15
month-old boy, diagnosed with a paracardiac teratoma was scheduled for a tumor
resection with a thoracotomy approach. After general anesthesia induction, a
continuous erector spinae plane block at T5 level was performed with ropivacaine
0.2%. After surgery, a continuous thoracic interfascial infusion of ropivacaine
0.1% along with multimodal rescue analgesia was initiated. The patient tolerated
the procedure well with no complications. It appears that this is a good
alternative to thoracic epidural and paravertebral block, given the simple
reproducibility and potential greater safety of this technique.
PMID- 29370901
TI - Massive right hemothorax due to idiopathic spontaneous rupture of a phrenic
artery following cardiac surgery.
AB - We report a case of a 35-years-old man who presented a massive haemothorax and
hypovolemic shock following cardiac surgery, from spontaneous rupture of a
phrenic artery. A quick diagnosis and immediate intervention is crucial to manage
the patient.
PMID- 29370902
TI - Opioid free anesthesia with BIS/EMG monitored propofol-ketamine.
PMID- 29370903
TI - LKB1 inactivation occurs in a subset of esophageal adenocarcinomas and is
sufficient to drive tumor cell proliferation.
AB - BACKGROUND: The incidence of esophageal adenocarcinoma (EAC) has increased over
the last several decades. Apart from mutations in TP53 gene, there are little
data on genetic drivers of EAC. Liver kinase B1 (LKB1) has emerged as a
multifunctional tumor suppressor regulating cell growth, differentiation, and
metabolism. Somatic inactivation of LKB1 has been described in several tumor
types; however, whether LKB1 inactivation has a role in EAC is unknown. Here we
analyzed patient tumors to assess the prevalence of LKB1 loss in EAC. METHODS:
Chromosomal deletion and expression of LKB1 in EAC were investigated using
publicly available genomic data. Protein expression was assessed by
immunohistochemistry (IHC) analysis for LKB1 in a tissue microarray (TMA)
containing esophageal tumor specimens, including EAC. LKB1 was suppressed in EAC
cells to determine the effects on cell growth in vitro. RESULTS: Analysis of EAC
data in The Cancer Genome Atlas dataset revealed significant deletion of
chromosome 19p13.3, containing the LKB1 gene locus. Single copy loss (shallow
deletion) of LKB1 was present in 58% of EAC samples. Expression of LKB1 was
significantly lower in EAC tumors compared with normal esophagus. IHC analysis
showed reduced LKB1 protein expression in EAC. Suppression of LKB1 was sufficient
to enhance EAC cell growth in vitro. CONCLUSIONS: Our data suggest that
inactivation of LKB1 frequently occurs in EAC. Based on the reported oncogenic
effects of LKB1 inactivation, our data indicate that LKB1 loss may play a
significant role in EAC tumorigenesis, and point to the need for future studies.
PMID- 29370904
TI - Leads leading leaflets to leak.
PMID- 29370906
TI - Do radiopaque markers make a difference after coronary artery bypass grafting?
PMID- 29370905
TI - Right ventricular involution: What can we learn from nature's model of
compensated hypertrophy?
AB - BACKGROUND: Right ventricular (RV) failure (RVF) is a vexing problem facing
patients with various disease processes and carries a high mortality. RVF is a
poorly understood phenomenon with limited treatment options. In mammalian fetal
circulation, the right ventricle is the systemic ventricle. In neonates, however,
the left ventricle assumes that role and gradually thickens compared with the
right ventricle. This process, known as right ventricular involution (RVI), is
poorly understood. We sought to define the time course and identify mechanisms
involved in RVI. METHODS: Wild-type mice were bred and sacrificed on day of life
(DOL) 1, 4, 8, 16, and 30 to evaluate left ventricular (LV) and RV wall thickness
and apoptosis. A terminal deoxynucleotidyl transferase nick-end labeling assay
and RNA sequencing were performed to measure changes during RVI. RESULTS:
Morphometric analysis demonstrated the changes in RV and LV wall thickness
occurring between DOL 1 and DOL 16 (RV:LV, 0.53:0.44; P = .03). In addition,
apoptosis was most active early, with the highest percentage of apoptotic cells
on DOL 1 (1.0%) and a significant decrease by DOL 30 (0.23%) (P = .02).
Similarly, expression of the proapoptotic genes BCL2l11 and Pawr were increased
at DOL 1, and the antiapoptotic genes Nol3 and Naip2 were significantly increased
at DOL 30. CONCLUSIONS: RVI is a misnomer, but significant changes occur early
(by DOL 16) in neonatal mouse hearts. Apoptosis plays a role in RVI, but whether
manipulation of apoptotic pathways can prevent or reverse RVI is unknown and
warrants further investigation.
PMID- 29370907
TI - Developing a robotic mitral program: What's past is prologue.
PMID- 29370908
TI - Reconstructive aortic valve surgery in the elderly: Another example of better
being the enemy of good?
PMID- 29370909
TI - No need to tailor: Paraplegia risk is intrinsic to complex aortic intervention.
PMID- 29370910
TI - Nomogram: An analogue tool to deliver digital knowledge.
PMID- 29370911
TI - Surgical site infections after coronary artery bypass grafting-Does "never"
really mean "never"?
PMID- 29370912
TI - Norwood valved Sano shunt: Early reward versus late penalty?
PMID- 29370913
TI - Hypoplastic left heart syndrome and the allure of a valved conduit.
PMID- 29370914
TI - Management of type Ia endoleak: Back to the future?
PMID- 29370915
TI - Is it about time to forget about intravascular lead?
PMID- 29370916
TI - Valve-sparing aortic root replacement and the bicuspid aortic valve: The details
matter.
PMID- 29370917
TI - Are minimum volume standards appropriate for lung and esophageal surgery?
AB - BACKGROUND: Several medical systems have adopted minimum volume standards for
surgical procedures, including lung and esophageal resection. We sought to
determine whether these proposed hospital cutoffs are associated with differences
in outcomes. METHODS: Analyzing the State Inpatient Databases and Healthcare Cost
and Utilization Project of the Agency for Healthcare Research and Quality, we
evaluated all patients (aged >= 18 years) who underwent lobectomy/pneumonectomy
or esophagectomy for cancer in California, Florida, and New York (2009-2011).
Hospitals were defined as low volume for each procedure per proposed minimum
volume standards by year: <40 lung resections and <20 esophagectomies. We
compared demographic data and determined the incidence of complications and
mortality between patients operated on at low- versus high-volume hospitals.
Propensity matching (of demographic characteristics, income, payer, and
comorbidities) was performed to balance the cohorts for analysis. RESULTS: During
the time period, 20,138 patients underwent lobectomy/pneumonectomy of which
12,432 operations (61.7%) were performed at low-volume hospitals (n = 456) and
7706 operations were performed at high-volume hospitals (n = 48). Of 1324
patients undergoing esophagectomy, 1087 operations (82.1%) were performed at low
volume hospitals (n = 184), whereas only 237 operations were at high-volume
hospitals (n = 6). After propensity matching (lung 1:1 and esophagus 2:1), no
major differences were apparent for in-hospital mortality nor major complications
for either lung or esophageal resection. Length of stay was longer in low-volume
hospitals after lung resection (median 6 vs 5 days; P < .001), but not after
esophageal resection. DISCUSSION: Although several groups have publicly called
for minimum volume requirements for surgical procedures, the majority of patients
undergo lung and esophageal resection at hospitals below the proposed cutoffs.
The proposed standards for lung and esophageal resection are not associated with
a difference in outcomes in this large administrative database. Efforts should be
made to determine more meaningful minimum volume requirements and to determine
whether such standards are appropriate.
PMID- 29370918
TI - Preparation of perfusive chromatographic materials via shear-induced reactive
gelation.
AB - A simple method for producing highly porous materials suitable for
chromatographic applications is discussed. Starting from a dispersion of polymer
core-shell nanoparticles (latex), micrometer sized clusters (100 MUm) are
produced via shear-induced Reactive Gelation. Thanks to their fractal structure,
these aggregates exhibit highly porous structures, with pore size distribution
ranging from 0.1 to several micrometers. The effect of different properties of
the primary nanoparticles on the qualities of the final products is also
investigated. Particle architecture, namely the ratio between the hard, highly
crosslinked core, and the soft, poorly crosslinked shell, turned out to be the
most important parameter to be tuned in order to obtain highly porous and
mechanically resistant clusters. The final materials can be easily slurry-packed
into conventional chromatographic columns. In comparison to other commercial
stationary phases, these materials show not only much lower pressure drops at
very high flow rates (i.e. <0.2 bar/cm at 6 mL/min), but also HETP profiles
independent of fluid velocity when measured with tracers of sizes comparable to
typical bio-macromolecules. Moreover, these materials, while offering the key
advantage of being in a slurry form and thus easily packable and scalable, have a
behavior that closely resembles that of monoliths, in which convective flow
contribution dominates.
PMID- 29370919
TI - Simultaneous quantification of epoxy and hydroxy fatty acids as oxidation
products of triacylglycerols in edible oils.
AB - Epoxy and hydroxy fatty acids are important intermediates during lipid oxidation;
quantification of both structures may help evaluate the extent of competition
among various lipid oxidation pathways. This article describes a method to
simultaneously determine saturated- and unsaturated- epoxy and hydroxy fatty
acids derived from oxidation of vegetable oils. The experimental procedures
employed transesterification with sodium methoxide, separation of epoxy and
hydroxy fatty acid methyl esters (FAME) using solid-phase extraction (SPE), and
trimethylsilyl (TMS) derivatization of hydroxy groups. GC-MS was used to identify
the epoxy and hydroxy FAME in two different SPE fractions, while GC-flame
ionization detection (GC-FID) was used to determine their quantities. Epoxy
octadecanoate/octadecenoate and hydroxy
octadecanoate/octadecenoate/octadecadienoate were determined as lipid oxidation
products generated from oxidation of sunflower and canola oils. An isomer of
methyl 13-hydroxyoctadeca-9,11-dienoate (13-HODE) TMS ether co-eluted with methyl
15-hydroxyoctadeca-9,12-dienoate TMS ether, which was only present in canola oil;
thus, GC-MS-selected ion monitoring (GC-MS-SIM) was used to determine the
concentration of 13-HODE. The proposed method has been successfully applied to
monitor epoxy and hydroxy fatty acids in sunflower oil and canola oil oxidized at
40 degrees C.
PMID- 29370920
TI - Use of counter-current chromatography as a selective extractor for the
diterpenequinone 7alpha-hydroxyroyleanone from Tetradenia riparia.
AB - A solvent system was developed for selective isolation by high-speed counter
current chromatography (HSCCC) of the benzoquinone 7alpha-hydroxyroileanone, 1, a
bioactive diterpene from a dichloromethane extract of Tetradenia riparia leaves.
Several solvent systems were initially studied, including hexane-ethyl acetate
methanol-water in several ratios, hexane-acetone-methanol-water, hexane-ethanol
water and hexane-acetonitrile-methanol, which gave recovery rates for the target
compound between 13.4 and 35.9%. The new solvent system hexane-5% aqueous Na2CO3
(1:1) was developed based on the chemical ionization reaction of the benzoquinone
hydroxyl group in the basic pH of the carbonate solution, prompted by the
extraction procedure used for the extraction of lapachol (a natural
naphtoquinone) from a Tabebuia species wood. By using the HSCCC chromatograph as
a liquid-liquid extractor with the above mentioned solvent system the recovery
rate of 1 increased to 81.8%, affording the quinone with 97% purity.
PMID- 29370921
TI - Multilayer affinity adsorption of albumin on polymer brushes modified membranes
in a continuous-flow system.
AB - Polymer brushes modified surfaces have been widely used for protein
immobilization and isolation. Modification of membranes with polymer brushes
increases the surface concentration of affinity ligands used for protein binding.
Albumin is one of the transporting proteins and shows a high affinity to bile
acids. In this work, the modified membranes with cholic acid-containing polymer
brushes can be facilely prepared by the immobilization of cholic acid on the
poly(2-hydroxyethyl methacrylate) grafted microporous polypropylene membranes
(MPPMs) for affinity adsorption of albumin. ATR/FT-IR and X-ray photoelectron
spectroscopy were used to characterize the chemical composition of the modified
membranes. Water contact angle measurements were used to analyze the
hydrophilic/hydrophobic properties of the membrane surface. The modified MPPMs
show a high affinity to albumin and have little non-specific adsorption of
hemoglobin. The dynamic binding capacity of albumin in the continous-flow system
increases with the cycle number and feed rate as the binding degree of cholic
acid is moderate. The highest binding capacity of affinity membranes is about
52.49 g/m2 membrane, which is about 24 times more than the monolayer binding
capacity. These results reveal proteins could be captured in multilayers by the
polymer brushes containing affinity ligands similar to the polymer brushes
containing ion-exchange groups, which open up the potential of the polymer
brushes containing affinity ligands in protein or another components separation.
And the cholic acid containing polymer brushes modified membranes has the
promising potential for albumin separation and purification rapidly from serum or
fermented solution in medical diagnosis and bioseparation.
PMID- 29370922
TI - Incidence and Prognosis of Pericarditis After ST-Elevation Myocardial Infarction
(from the Acute Coronary Syndrome Israeli Survey 2000 to 2013 Registry Database).
AB - There are scarce contemporary data regarding the incidence and prognosis of early
postmyocardial infarction pericarditis (PMIP). Thus, we retrospectively analyzed
6,282 patients with ST-segment elevation myocardial infarction (STEMI) enrolled
with known PMIP status in the Acute Coronary Syndrome Israeli Survey 2000 to 2013
registry. The primary outcome was the composite of all-cause mortality, nonfatal
myocardial infarction, cerebrovascular event, stent thrombosis, or
revascularization. The secondary outcomes were mortality and length of stay
during the acute hospitalization. Overall, 76 patients with STEMI had PMIP
(1.2%). PMIP incidence gradually decreased from 170 per 10,000 in 2000 to 110 per
10,000 in 2013, respectively (35% reduction, p for trend = 0.035). Patients with
PMIP were younger (median 58.0 vs 61.0; p = 0.045), had less hypertension, higher
cardiac biomarkers, and more frequently reduced left ventricular ejection
fraction (87.0% vs 67.0%; p = 0.001). Patients with PMIP had longer time to
reperfusion (225 minutes vs 183 minutes; p = 0.016) and length of stay (7.0 vs
5.0 days; p < 0.001). The composite end point occurred similarly in patients with
and without PMIP (10.5% vs 13.2%, respectively). There was no significant
difference in 30-day, 1-year, and 5-year survival. In conclusion, PMIP is a
relatively rare complication of STEMI in the coronary reperfusion era, portends
worse short-term but not long-term outcomes, and is associated with bigger
infarct size.
PMID- 29370923
TI - The Role of Mechanical Circulatory Support During Percutaneous Coronary
Intervention in Patients Without Severely Depressed Left Ventricular Function.
AB - Currently, there are no data on the use of mechanical circulatory support (MCS)
in patients without severely depressed left ventricular ejection fraction (LVEF)
during high-risk percutaneous coronary intervention (PCI). We analyzed data from
the global catheter-based ventricular assist device (cVAD) registry on the
clinical use of MCS in high-risk PCI in patients without severely depressed LVEF,
defined as LVEF > 35%. Patients without cardiogenic shock from the catheter-based
ventricular assist device registry, who underwent elective or urgent PCI with an
Impella 2.5 or Impella CP, were included. Patients who received MCS after the
start of the PCI were excluded. A total of 891 patients were included, of whom
661 had LVEF <= 35% and 230 had LVEF > 35%. Patients with LVEF > 35% compared
with patients with LVEF <= 35% were older (72.12 +/- 11.70 years vs 68.68 +/-
11.01 years; p <0.001), had more extensive coronary artery disease with more
diseased vessels (1.90 +/- 0.71 vs 1.73 +/- 0.79; p = 0.005), more multivessel
intervention (1.74 +/- 0.69 vs 1.55 +/- 0.73; p <0.001), and more use of
rotational atherectomy (21.21% vs 14.90%; p = 0.046), respectively. Additionally,
they had a high prevalence of high-risk clinical features such as renal failure
(24.89%) and diabetes mellitus (45.37%). Despite these high-risk features, the
major adverse cardiovascular and cerebral event rates were favorable overall,
with no differences between the 2 groups (3.48% vs 4.54%; p = 0.574). Despite
having LVEF > 35%, this selected group of patients had severe co-morbidities and
complex angiographic features; hence, PCI with hemodynamic support was deemed
necessary. In addition, PCI with elective MCS was feasible and safe in this
patient population.
PMID- 29370924
TI - Comparison of Survival After In-Hospital Cardiac Arrest in Patients With Versus
Without Diabetes Mellitus.
AB - Diabetes mellitus (DM) increases the risk of sudden cardiac death, but the extent
to which it influences survival after an in-hospital cardiac arrest (IHCA)
remains unclear. We assessed the association of DM and survival after IHCA. The
study included 1,009,073 patients aged >=18 years who underwent cardiopulmonary
resuscitation for IHCA between January 2003 and December 2013, recorded in the
Nationwide Inpatient Sample database. The outcomes were survival to hospital
discharge and discharge disposition assessed using multivariable logistic
regression accounting for relevant covariates and clustering. Of the patients
with IHCA, 30.8% (310,825) had DM and were more likely to be older and to have a
higher prevalence of co-morbidities including hypertension, dyslipidemia, chronic
kidney disease, and previous cardiovascular disease (all p <0.001). The rates of
survival to hospital discharge after IHCA were 27.0% and 25.1% in patients with
and without DM, respectively. After multivariable adjustment, DM was associated
with a modestly lower risk-adjusted survival to hospital discharge (adjusted OR
[aOR] 0.96, 95% confidence interval [95% CI] 0.95 to 0.97, p <0.001). This
association was influenced by a number of factors (all interaction p <0.001),
including a lower risk of survival among patients with DM who were younger (aOR
0.93, 95% CI 0.92 to 0.94 among those aged <75 years), those with a primary
cardiovascular diagnosis (aOR 0.88, 95% CI 0.86 to 0.89), and those with
ventricular fibrillation/ventricular tachycardia as the cardiac arrest rhythm
(aOR 0.88, 95% CI 0.79 to 0.82). Patients with DM had lower odds of being
discharged home with self-care after surviving an IHCA (p <0.001). In conclusion,
preexisting DM was associated with a modestly lower risk-adjusted chance of
survival after an IHCA.
PMID- 29370925
TI - Reply.
PMID- 29370926
TI - Disparities in managing emotions when facing a diagnosis of breast cancer:
Results of screening program of couples distress.
AB - INTRODUCTION: Distress screening is now required for cancer center accreditation.
Understanding patient and caregiver stress is critical to successful cancer care.
This study examines the perceived emotional impact of breast cancer on both
patients and partners. METHODS: From March 2011-February 2016, patients and
partners undertook an electronic, 48-point distress screen during their first
visit at a surgical breast clinic. Distress was measured via self-reported
concerns on a five point Likert scale. Respondents were also asked about
preferred interventions. The ability of the patient and partner to manage
emotions was assessed in relation to education, ethnicity, fatigue, anxiety, and
depression using ordered logistic regression. RESULTS: Of the 665 individuals
screened, 51.7%(n = 344) were patients, while 48.3%(n = 321) were partners.
Patients were more distressed than partners regarding fatigue, anxiety,
depression, and worrying about the future (p < 0.005). Only 19.7% of partners
requested information with regards to "managing emotions" compared to 46.3% of
patients. In the univariate analysis, being a partner was protective (OR 0.49
(95%CI 0.34-0.70, p < 0.000) as was holding an advanced educational degree (OR
0.36 (95%CI 0.14-0.93) p = 0.035). In the multivariate regression, having more
education remained protective, while being a partner was no longer protective (OR
0.93(95%CI 0.62-1.39, p = 0.789). Financial concerns, anxiety, depression, and
worrying about the future remained statistically significant. Partners asked for
help less than patients (OR 0.28 (95%CI 0.17-0.48), p < 0.000). CONCLUSION: While
partners have similar concerns as patients, they do not seek information or help
in managing emotions as often as do patients. Both patient and partners with less
education and increased financial distress were likely to report difficulty in
managing emotions. This study identifies groups who would benefit from supportive
measures even in the absence of a request for help.
PMID- 29370927
TI - Racial and regional disparity in liver transplant allocation.
AB - BACKGROUND: Sources of liver transplant disparities are not understood
adequately, particularly in terms of race and region. METHODS: Fixed effects
multivariate logistic regression augmented by modified forward and backward
stepwise regression of transplanted patients from the United Network for Organ
Sharing Standard Transplant Analysis and Research database (1985-2016) was
performed to assess causal inference of such disparities. RESULTS: In the study
sample (N = 258,602), significant disparities in the odds of receiving a liver
were found: African Americans odds ratio 1.12 (95% confidence interval, 1.08
1.17), Asians 1.12 (95% confidence interval, 1.07-1.18), females 0.80 (95%
confidence interval, 0.78-0.83), and malignancy 1.18 (95% confidence interval,
1.13-1.22). Region 7 (IL, MN, ND, SD, and WI) was set as the reference level
since its transplantation rate most closely approximated the sex and race-matched
rate of the national post-Share 35 average. Significant racial disparities by
region were identified using Caucasian Region 7 as the reference: Hispanic Region
9 (New York, West Vermont) 1.22 (1.02-1.45), Hispanic Region 1 (New England) 1.26
(1.01-1.57), Hispanic Region 4 (Oklahoma, TX) 1.23 (1.05-1.43), and Asian Region
4 (Oklahoma, TX) 1.35 (1.05-1.73). CONCLUSION: Despite numerous adjustments to
liver allocation, we identified with causal inference statistics on a large
dataset spanning >=30 years there remain racial and regional overweighting.
PMID- 29370928
TI - Discussion.
PMID- 29370929
TI - Liver transplant offers a survival benefit over margin negative resection in
patients with small unifocal hepatocellular carcinoma and preserved liver
function.
AB - BACKGROUND: Studies comparing orthotopic liver transplantation to margin negative
resection for patients with small unifocal hepatocellular carcinoma have not
controlled for degree of cirrhosis. METHODS: The National Cancer Database was
used to identify patients with preserved liver function (Model for End-stage
Liver Disease score <=12) who underwent orthotopic liver transplantation or
margin negative resection for American Joint Committee on Cancer stage I
hepatocellular carcinoma lesions <3 cm between 2010 and 2013. Multivariable and
Cox regression adjusting for age, demographics, comorbid disease burden, Model
for End-stage Liver Disease score, tumor size, and operation were used to compare
overall survival between cohorts. RESULTS: In the study, 241 (53%) patients
underwent orthotopic liver transplantation. In addition, 219 (47%) underwent
margin negative resection. On multivariable regression, patients having a
Charlson comorbidity score >=2 were more likely to undergo orthotopic liver
transplantation, (odds ratio 1.94, P=.03). African American patients (odds ratio
0.44, P=.02), and patients of advanced age (odds ratio 0.92, P<.001) were more
likely to undergo margin negative resection. Patients undergoing orthotopic liver
transplantation had longer overall survival than those undergoing margin negative
resection (median OS not reached versus 67.6 months, P<.001). Multivariable Cox
regression identified surgical procedure as the only independent determinant of
survival with margin negative resection conferring a nearly 3-fold increased risk
of death (hazard ratio 2.86, P<.001). CONCLUSION: Orthotopic liver
transplantation offers a survival advantage relative to margin negative resection
for patients with small unifocal hepatocellular carcinoma and preserved liver
function.
PMID- 29370931
TI - Discussion.
PMID- 29370930
TI - Expression of phosphorylated sphingosine kinase 1 is associated with diffuse type
and lymphatic invasion in human gastric cancer.
AB - BACKGROUND: Sphingosine-1-phosphate, a pleiotropic bioactive lipid mediator, is
an important player in cancer progression. Previous studies suggested that
sphingosine-1-phosphate produced by sphingosine kinase 1, which is activated by
phosphorylation, plays important roles in the progression of disease and
metastasis. The association between phospho-sphingosine-1-phosphate produced by
sphingosine kinase 1 and clinical parameters in human gastric cancer have not
been fully investigated to date. METHODS: We created phospho-sphingosine-1
phosphate produced by sphingosine kinase expression profiles by
immunohistochemistry for 136 patients who underwent operative intervention for
gastric cancer in 2007-2009. Phospho-sphingosine-1-phosphate produced by
sphingosine kinase expression and compared clinicopathologic factors by
univariate and multivariate analyses. RESULTS: The univariate analysis revealed
that phospho-sphingosine-1-phosphate produced by sphingosine kinase expression
was correlated significantly with depth of tumor invasion, lymph node metastasis,
distant metastasis, histologic type, and lymphatic invasion. The multivariate
analysis revealed that the diffuse type (odds ratio 2.210; 95% confidence
interval, 1.045-4.671, P=.038) and the presence of lymphatic invasion (odds ratio
3.697; 95% confidence interval, 1.161-8.483, P=.002) were associated
independently with phospho-sphingosine-1-phosphate produced by sphingosine kinase
expression in patients with gastric cancer. The 5-year rate of disease-specific
survival was 79.3% in patients with phospho-sphingosine-1-phosphate produced by
sphingosine kinasephospho-sphingosine-1-phosphate produced by sphingosine kinase
positive expression and 98.3% in those with phospho-sphingosine-1-phosphate
produced by sphingosine kinase-negative expression (P=.002). In multivariate
analysis, however, high phospho-sphingosine-1-phosphate produced by sphingosine
kinase expression was not an independent prognostic factor for disease-specific
survival (hazard ratio 5.540; 95% confidence interval, 0.717-42.81, P=.100).
CONCLUSION: We provide the first evidence that diffuse histologic type and
lymphatic invasion were independently associated with high phospho-sphingosine-1
phosphate produced by sphingosine kinase expression in gastric cancer patients,
indicating a role of sphingosine-1-phosphate in disease progression among
patients with gastric cancer. (Surgery 2017;160:XXX-XXX.).
PMID- 29370932
TI - Lifetime and Aging of Chromatography Resins during Biopharmaceutical Manufacture.
AB - Poor understanding of the events leading to chromatography column aging makes it
difficult to monitor column lifetimes. The lack of established procedures in this
area has made it difficult to establish industry standards. Therefore, it is
important to understand resin aging mechanisms and techniques to monitor column
aging during operation.
PMID- 29370933
TI - Assessment of the charlson comorbidity index score, CHADS2 and CHA2DS2-VASc
scores in predicting death in patients with thoracic empyema.
AB - BACKGROUND: Patients with thoracic empyema have an increased risk of mortality,
but their absolute rate of mortality depends on age and comorbidities. OBJECTIVE:
This study seeks to assess the predictive value of the Charlson Comorbidity Index
score (CCIS), CHADS2 and CHA2DS2-VASc scores for mortality risk in patients with
empyema thoracis. METHODS: From Taiwan's National Health Insurance Research
Database we identified a total of 484 participants diagnosed with thoracic
empyema. The CCIS, CHADS2 and CHA2DS2-VASc scores were used to stratify mortality
risk. RESULTS: The incidence rate of mortality in the present study was 20.39 per
1000 person-months. A strong correlation was found between thoracic empyema and
CCIS score. CONCLUSIONS: Our results show that patients with thoracic empyema
have a significantly high incidence rate of mortality and that CCIS can be used
as an indicator of risk for mortality.
PMID- 29370934
TI - Mutation analysis of the TIA1 gene in Chinese patients with amyotrophic lateral
sclerosis and frontotemporal dementia.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative disease
characterized by the progressive loss of motor neurons in the brain and spinal
cord. Frontotemporal dementia (FTD) is a group of dementia syndromes
characterized by the progressive deterioration of behaviors, executive
dysfunction, and verbal impairment. Increasing evidence indicates that these 2
diseases share a common genetic etiology and pathophysiological mechanism.
Recently, rare mutations in the low-complexity domain of the RNA-binding protein
T-cell-restricted intracellular antigen-1 (TIA1) gene were identified in
Caucasian ALS and ALS-FTD patients. However, no comprehensive mutation analysis
of the TIA1 gene has been performed in Chinese patients with ALS and FTD. In this
study, we screened the low-complexity domain of TIA1 in a cohort of 241 ALS and
51 FTD patients in mainland China. As a result, 2 novel missense mutations
(p.P352L and p.I300T) were identified in 2 sporadic patients with ALS, while no
mutation was found in FTD cases. To the best of our knowledge, this report
presented the first mutation analysis of the TIA1 gene in patients with ALS and
FTD in Chinese population. Our findings broaden the known mutational spectrum in
patients with ALS and further confirm TIA1 as a novel causative gene of ALS.
PMID- 29370935
TI - Short-term variability of fish condition and growth in estuarine and shallow
coastal areas.
AB - Short-term variability in condition factor: relative condition factor Kn;
biochemical condition: RNA:DNA and protein content; and instantaneous growth
rates were determined in estuarine and coastal fish. Dicentrarchus labrax, Solea
senegalensis and Pomatoschistus microps were sampled in the Tejo estuary, while
Trachurus trachurus was sampled in an adjacent shallow coastal area. Variation of
condition indices was more frequent at the week scale (sampling periods with
fortnight intervals) than at the daily scale (consecutive days in each sampling
period) in all species. Water temperature was correlated with biochemical
indices, while salinity showed no effect, evidencing the influence of
environmental short-term variation (temperature) on biochemical condition in
natural populations. Yet, decreasing individual variability in fish condition was
observed along the sampled weeks, resulting in a more homogeneous condition of
populations, particularly for T. trachurus likely due to a more stable coastal
environment. Biochemical indices proved to be sensitive to short-term
environmental variability, despite species-specific responses.
PMID- 29370936
TI - Black guillemot ecology in relation to tidal stream energy generation: An
evaluation of current knowledge and information gaps.
AB - The black guillemot Cepphus grylle has been identified as a species likely to
interact with marine renewable energy devices, specifically tidal turbines, with
the potential to experience negative impacts. This likelihood is primarily based
on the species being a diving seabird, and an inshore, benthic forager often
associating with tidal streams. These behavioural properties may bring them into
contact with turbine blades, or make them susceptible to alterations to tidal
current speed, and/or changes in benthic habitat structure. We examine the
knowledge currently available to assess the potential impacts of tidal stream
turbines on black guillemot ecology, highlight knowledge gaps and make
recommendations for future research. The key ecological aspects investigated
include: foraging movements, diving behaviour, seasonal distribution, other
sources of disturbance and colony recovery. Relating to foraging behaviour,
between studies there is heterogeneity in black guillemot habitat use in relation
to season, tide, diurnal cycles, and bathymetry. Currently, there is also little
knowledge regarding the benthic habitats associated with foraging. With respect
to diving behaviour, there is currently no available research regarding how black
guillemots orientate and manoeuvre within the water column. Black guillemots are
considered to be a non-migratory species, however little is known about their
winter foraging range and habitat. The effect of human disturbance on breeding
habitat and the metapopulation responses to potential mortalities are unknown. It
is clear further understanding of black guillemot foraging habitat and behaviour
is needed to provide renewable energy developers with the knowledge to
sustainably locate tidal turbines and mitigate their impacts.
PMID- 29370937
TI - [Public health interventions against hate violence].
PMID- 29370938
TI - NaV1.7 as a Pharmacogenomic Target for Pain: Moving Toward Precision Medicine.
AB - Chronic pain is a global unmet medical need. Most existing treatments are only
partially effective or have side effects that limit their use. Rapid progress in
elucidating the contribution of specific genes, including those that encode
peripheral voltage-gated sodium channels, to the pathobiology of chronic pain
suggests that it may be possible to advance pain pharmacotherapy. Focusing on
voltage-gated sodium channel NaV1.7 as an example, this article reviews recent
progress in developing patient-specific induced pluripotent stem cells (iPSCs)
and their differentiation into sensory neurons, together with advances in
structural modeling, that have provided a basis for first-in-human translational
studies. These new approaches will hopefully transform the treatment of pain from
trial-and-error toward genomically guided, precision pharmacotherapy.
PMID- 29370939
TI - Knowledge needed about the exchange physiology of the placenta.
AB - There is now a basic understanding of the driving forces and mechanisms
underlying rates of solute exchange across the placenta but there are still major
gaps in knowledge. Here we summarise this basic understanding, whilst
highlighting gaps in knowledge. We then focus on two particular areas where more
knowledge is needed: (1) the electrical potential difference (PD) across the
placenta and (2) the paracellular permeability of the placenta to hydrophilic
solutes. In many species a PD has been recorded between a catheter in a maternal
blood vessel and one in a fetal vessel. However, the key question is whether this
PD is the same as that across the placental exchange barrier. We addressed this
in the human placenta using microelectrodes to measure the PD in isolated villi
in vitro; the transtrophoblast PD so measured had a median value of -3 mV (range
0-15 mV). There have been no subsequent studies to validate this measurement. The
syncytiotrophoblast of haemochorial placentas lacks any obvious extracellular
water filled paracellular space between the syncytial nuclei. However, in mouse,
rat, guinea pig and human there is an inverse relationship between the rate of
diffusion of inert hydrophilic solutes across the placenta and their molecular
size. The simplest explanation is that a paracellular route exists but its
morphological identity is still uncertain. Areas of syncytial denudation could
provide a paracellular route but this has not been proven. Answers to these and
similar questions are required to fully understand the exchange physiology of the
normal placenta and how this is affected in pathology.
PMID- 29370940
TI - Corrigendum to "Identification of potential early biomarkers of preeclampsia"
[Placenta (2018) 61-71].
PMID- 29370941
TI - Has the risk of mortality related to short-term exposure to particles changed
over the past years in Athens, Greece?
AB - Although the health effects of short-term exposure to ambient particles have been
well documented, there is a need to update scientific knowledge due to the
continuously changing profile of the air pollution mixture. Furthermore the
effect of the severe economic crisis in Greece that started in 2008 on previously
reported associations has not been studied. We assessed the change in mortality
risk associated with short-term exposure to PM10 in Athens, Greece during 2001
12. Time-series data on the daily concentrations of regulated particles and all
cause, cardiovascular and respiratory mortality were analyzed using overdispersed
Poisson regression models, controlling for time-varying confounders such as
seasonality, meteorology, influenza outbreaks, summer holidays and day of the
week. We assessed changes in risk over time by inclusion of an interaction term
between particles' levels and time or predefined periods, i.e. 2001-07 and 2008
12. While the related mortality risks increased over the analyzed period, the
difference before and after 2008 was significant only for total mortality (p
value for interaction .03) and driven by the difference observed among those >=75
years. An interquartile increase in PM10 before 2008 was associated with 1.51%
increase in deaths among >=75 years (95% Confidence interval (CI): 0.62%, 2.40%),
while after 2008 with a 2.61% increase (95%CI: 1.72%, 3.51%) (p-value for
interaction .01). Our results indicate that despite the decline in particles'
concentration in Athens, Greece during 2001-12 the associated mortality risk has
possibly increased, suggesting that the economic crisis initiated in 2008 may
have led to changes in the particles' composition due to the ageing of the
vehicular fleet and the increase in the use of biomass fuel for heating.
PMID- 29370942
TI - Maintaining Apical Patency Does Not Increase Postoperative Pain in Molars with
Necrotic Pulp and Apical Periodontitis: A Randomized Controlled Trial.
AB - INTRODUCTION: This prospective, single-center, single-blind, randomized
controlled trial aimed to evaluate whether maintaining apical patency (AP) during
endodontic treatment increases postoperative pain in molar teeth with necrotic
pulp and apical periodontitis. METHODS: Three hundred twenty qualified patients
between 21 and 45 years of age were randomized into 1 of 2 groups (the AP group
and the nonapical patency [NAP] group) using a series of random numbers in a 1:1
ratio. Qualified patients were selected from patients who had necrotic pulp and
apical periodontitis in the maxillary or mandibular molar teeth. The primary
outcome was to assess postoperative pain severity, and the secondary outcome was
to evaluate analgesic consumption during the 7-day follow-up period using the
visual analog scale (VAS). The VAS consisted of a 100-mm line. Pain severity was
evaluated as no pain (0-4 mm), mild pain (5-44 mm), moderate pain (45-74 mm), and
severe pain (75-100 mm). The Student t test was used to identify statistically
significant differences between the study groups (P < .05). RESULTS: The mean VAS
scores were significantly lower in the AP group in the first 5 postoperative days
(P < .05); after which, it was nonsignificant. In the NAP group, the
postoperative pain increased between 12 and 24 hours, whereas the postoperative
pain decreased in the AP group during that period. At 12 and 24 hours, the mean
VAS scores for the AP group were 42.90 and 37.78 mm, respectively. The mean VAS
scores for the NAP group were 64.46 and 65.74 mm, respectively. None of the
patients had severe postoperative pain during the follow-up period. No
significant difference was found in analgesic consumption (P > .05) between the
groups. CONCLUSIONS: The maintenance of AP in molar teeth with necrotic pulp and
apical periodontitis was associated with less postoperative pain when compared
with NAP.
PMID- 29370943
TI - Evaluation of the Biodistribution of Human Dental Pulp Stem Cells Transplanted
into Mice.
AB - INTRODUCTION: Several studies have attempted to use human dental pulp stem cells
(hDPSCs) for pulp-dentin complex regeneration in vitro. However, the safety of
such applications should be first evaluated in vivo before their use in clinical
trials. The purpose of this study was to investigate the in vivo fate of
intrapulpally transplanted hDPSCs. METHODS: hDPSCs were isolated and cultured
from impacted third molars. In vivo experiments were performed using 7-week-old
male BALB/c nude mice. Under deep anesthesia, 1 * 105 hDPSCs were transplanted in
mice via the tail vein for intravenous injection or into the pulp chamber for
intrapulpal transplantation. A total of 56 mice, 28 per group, were used. Mice
were sacrificed at different time points, and the numbers of hDPSCs in the organs
were analyzed quantitatively. In addition, qualitative analysis was performed to
detect intrapulpally transplanted hDPSCs. RESULTS: Intravenously injected hDPSCs
were mostly distributed to the lungs and rarely detected in other organs at all
observed time points. The hDPSCs transplanted into the pulp chamber rarely
migrated to other organs over time. CONCLUSIONS: These data indicate a
differential distribution of transplanted hDPSCs between the intravenous and
intrapulpal route and show the safety of pulpal transplantation of hDPSCs.
PMID- 29370944
TI - Pigmentary keratopathy in a child: Histopathologic analysis.
PMID- 29370945
TI - [Usefulness of thiopurine methyltransferase polymorphism study and metabolites
measurement for patients treated by azathioprine].
AB - Azathioprine is widely used in internal medicine and frequently implicated in
occurrence of adverse events. Among these adverse events the bone marrow
suppression, a dose-related one, is the most serious because of is potential
morbidity and mortality. Severe myelosuppression, associated with abnormal AZA
metabolism, is linked to the thiopurine methyltransferase (TPMT) genetic
polymorphism that results in a high variability of its activity with 89% of
patients with a normal activity, 11% with an intermediate activity, and 0.3% with
very low activity leading to a very high risk of bonne marrow suppression. TPMT
status can be assessed prior to AZA treatment by measuring enzyme activity or
genotyping techniques to identify patients for which the standard dose is not
advisable. Furthermore, azathioprine metabolites monitoring is helpful for the
follow up of patients, especially in therapeutic failure, to distinguish non
compliant patients from under-dosed, "shunters" or resistant patients.
PMID- 29370946
TI - Retrospective Chart Review of the Triage Algorithm for Psychiatric Screening
(TAPS) for Patients Who Present to Emergency Departments With Psychiatric Chief
Complaints.
AB - INTRODUCTION: There is a growing number of mental health illnesses (MHIs) in the
nation and no standardization of the medical screening examination (MSE) in the
emergency department. Many health care organizations are at the tipping point of
discarding a battery of laboratory workups. A triage tool-specific to psychiatric
chief complaints and cost effective-is needed for the emergency department.
METHODS: A nonexperimental, retrospective overlay of the Triage Algorithm for
Psychiatric Screening (TAPS) onto previous psychiatric patients' records was
performed to determine the sensitivity and specificity of the TAPS in ruling out
acute medical illness. The laboratory test results, length of stay (LOS), and
cost of treatment for all psychiatric patients were examined to determine if
there was a correlation with their TAPS scores or if the use of the TAPS would
have resulted in efficient care and cost savings. RESULTS: This study shows the
TAPS tool can be used reliably to rule out acute medical illness in patients with
psychiatric chief complaints in a community hospital setting with an on-site
inpatient psychiatric unit. The TAPS tool appropriately identified low-acuity
patients without significant medical illness (TAPS of 0). As such, the TAPS tool
could be used in selected settings to expedite psychiatric care and reduce
unnecessary laboratory testing. IMPLICATIONS FOR PRACTICE: The results of this
project represent a valuable step forward in improving the triage of adult
patients who present to the emergency department with psychiatric chief
complaints. A reproducible study of the TAPS method was the next practice step in
determining feasibility. Use of the TAPS tool can be a method to decease costs
and ED crowding. For research and quality improvement projects in the future,
addiction chief complaints should be treated as a separate category from
psychiatric or medical complaints, as they meet the criteria of both.
PMID- 29370949
TI - Heterogeneous structure and surface tension effects on mechanical response in
pulmonary acinus: A finite element analysis.
AB - BACKGROUND: The pulmonary acinus is a dead-end microstructure that consists of
ducts and alveoli. High-resolution micro-CT imaging has recently provided
detailed anatomical information of a complete in vivo acinus, but relating its
mechanical response with its detailed acinar structure remains challenging. This
study aimed to investigate the mechanical response of acinar tissue in a whole
acinus for static inflation using computational approaches. METHODS: We performed
finite element analysis of a whole acinus for static inflation. The acinar
structure model was generated based on micro-CT images of an intact acinus. A
continuum mechanics model of the lung parenchyma was used for acinar tissue
material model, and surface tension effects were explicitly included. An
anisotropic mechanical field analysis based on a stretch tensor was combined with
a curvature-based local structure analysis. FINDINGS: The airspace of the acinus
exhibited nonspherical deformation as a result of the anisotropic deformation of
acinar tissue. A strain hotspot occurred at the ridge-shaped region caused by a
rod-like deformation of acinar tissue on the ridge. The local structure becomes
bowl-shaped for inflation and, without surface tension effects, the surface of
the bowl-shaped region primarily experiences isotropic deformation. Surface
tension effects suppressed the increase in airspace volume and inner surface
area, while facilitating anisotropic deformation on the alveolar surface.
INTERPRETATION: In the lungs, the heterogeneous acinar structure and surface
tension induce anisotropic deformation at the acinar and alveolar scales. Further
research is needed on structural variation of acini, inter-acini connectivity, or
dynamic behavior to understand multiscale lung mechanics.
PMID- 29370948
TI - Current opinion: What is a nanoplastic?
AB - With the large amount of attention being given to microplastics in the
environment, several researchers have begun to consider the fragmentation of
plastics down to lower scales (i.e., the sub-micrometer scale). The term
"nanoplastics" is still under debate, and different studies have set the upper
size limit at either 1000 nm or 100 nm. The aim of the present work is to propose
a definition of nanoplastics, based on our recently published and unpublished
research definition of nanoplastics. We define nanoplastics as particles
unintentionally produced (i.e. from the degradation and the manufacturing of the
plastic objects) and presenting a colloidal behavior, within the size range from
1 to 1000 nm.
PMID- 29370950
TI - [Notions of epidemiology of value in dermatology].
AB - Epidemiology, which was initially confined to the distribution of diseases in
populations (descriptive epidemiology) and the factors responsible for such
distribution (analytical epidemiology), also involves the evaluation of
diagnostic and therapeutic strategies, as well as prognostic factors.
Epidemiology provides elements that form the basis for medical and public health
decisions. Information is collected from systematic records, which include large
databases, or through specific surveys. Descriptive studies utilise mortality
rates, as well as incidence and prevalence figures. The aim of aetiological
epidemiology is to demonstrate a causal relationship between exposure and
disease. It is necessary to check that studies do not present any major bias and
to seek evidence in favour of causality. The strength of a causal relationship is
calculated using relative risk or odds ratio. Other measurements of risk include
attributable risk and aetiological fraction. Screening and diagnostic strategies
are evaluated using the concepts of sensitivity, specificity, and above all,
levels of likelihood that help determine the probability of a given patient
becoming ill as a result of his or her personal characteristics and of test
results. Evaluation of prognostic factors enables scores to be constructed
allowing the probability of a given clinical outcome to be calculated as a
function of patient characteristics.
PMID- 29370947
TI - Caught with One's Zinc Fingers in the Genome Integrity Cookie Jar.
AB - Zinc finger (ZnF) domains are present in at least 5% of human proteins. First
characterized as binding to DNA, ZnFs display extraordinary binding plasticity
and can bind to RNA, lipids, proteins, and protein post-translational
modifications (PTMs). The diverse binding properties of ZnFs have made their
functional characterization challenging. While once confined to large and poorly
characterized protein families, proteomic, cellular, and molecular studies have
begun to shed light on their involvement as protectors of the genome. We focus
here on the emergent roles of ZnF domain-containing proteins in promoting genome
integrity, including their involvement in telomere maintenance and DNA repair.
These findings have highlighted the need for further characterization of ZnF
proteins, which can reveal the functions of this large gene class in normal cell
function and human diseases, including those involving genome instability such as
aging and cancer.
PMID- 29370951
TI - Reducing patient and provider knowledge gaps: An evaluation of a community
informed hepatitis C online course.
AB - OBJECTIVES: Hepatitis C (HCV) knowledge gaps are associated with lower levels of
engagement in (HCV) care which contributes to HCV-related morbidity and
mortality. Knowledge gaps may be exacerbated by rapid changes in HCV
care/treatment. Cost-effective, timely and easy-to-implement education is needed
to address knowledge gaps and foster HCV engagement. METHODS: We developed a
free, one-hour, online course for patients and providers. Online and facilitated
course events were evaluated. Outcome measures included: pre/post-scores,
perceived knowledge gains and increased capacity to educate/encourage engagement
in HCV care. RESULTS: Total pre-post-test gains were significant (p < .001)
across groups. Over 50% of participants reported: perceived knowledge gains of "A
lot" or higher; the course increased their capacity to educate and encourage
client engagement in care by "A lot" or higher. CONCLUSIONS: The evaluation
confirmed ongoing patient and provider HCV knowledge gaps, significantly reduced
those gaps, and increased provider's capacity to educate and encourage client
engagement in HCV care. PRACTICE IMPLICATIONS: The course is an effective tool to
address knowledge gaps that might lower engagement in care. It is available to
patients to use in the privacy of their own home or for providers for their
personal use, to use with individuals or patient groups.
PMID- 29370952
TI - A seminested PCR assay for detection and typing of human papillomavirus based on
E1 gene sequences.
AB - HPV infection is considered one of the leading causes of cervical cancer in the
world. To date, more than 180 types of HPV have been described and viral typing
is critical for defining the prognosis of cancer. In this work, a seminested PCR
which allow fast and inexpensively detection and typing of HPV is presented. The
system is based on the amplification of a variable length region within the viral
gene E1, using three primers that potentially anneal in all HPV genomes. The
amplicons produced in the first step can be identified by high resolution
electrophoresis or direct sequencing. The seminested step includes nine specific
primers which can be used in multiplex or individual reactions to discriminate
the main types of HPV by amplicon size differentiation using agarose
electrophoresis, reducing the time spent and cost per analysis.
PMID- 29370954
TI - How to disentangle psychobiological stress reactivity and recovery: A comparison
of model-based and non-compartmental analyses of cortisol concentrations.
AB - This article seeks to address the prevailing issue of how to measure specific
process components of psychobiological stress responses. Particularly the change
of cortisol secretion due to stress exposure has been discussed as an
endophenotype of many psychosomatic health outcomes. To assess its process
components, a large variety of non-compartmental parameters (i.e., composite
measures of substance concentrations at different points in time) like the area
under the concentration-time curve (AUC) are commonly utilized. However, a
systematic evaluation and validation of these parameters based on a
physiologically plausible model of cortisol secretion has not been performed so
far. Thus, a population pharmacokinetic (mixed-effects stochastic differential
equation) model was developed and fitted to densely sampled salivary cortisol
data of 10 males from Montreal, Canada, and sparsely sampled data of 200 mixed
sex participants from Dresden, Germany, who completed the Trier Social Stress
Test (TSST). Besides the two major process components representing (1) stress
related cortisol secretion (reactivity) and (2) cortisol elimination (recovery),
the model incorporates two additional, often disregarded components: (3) the
secretory delay after stress onset, and (4) deviations from the projected steady
state concentration due to stress-unrelated fluctuations of cortisol secretion.
The fitted model (R2 = 99%) was thereafter used to investigate the correlation
structure of the four individually varying, and readily interpretable model
parameters and eleven popular non-compartmental parameters. Based on these
analyses, we recommend to use the minimum-maximum cortisol difference and the
minimum concentration as proxy measures of reactivity and recovery, respectively.
Finally, statistical power analyses of the reactivity-related sex effect
illustrate the consequences of using impure non-compartmental measures of the
different process components that underlie the cortisol stress response.
PMID- 29370953
TI - Spread of colistin resistance gene mcr-1 in Italy: characterization of the mcr
1.2 allelic variant in a colistin-resistant blood isolate of Escherichia coli.
AB - mcr-1.2, an allelic variant of the transferable colistin resistance gene mcr-1,
was characterized in a colistin-resistant blood isolate of Escherichia coli. It
was harbored by an IncX4-type plasmid (33,293 bp). Despite its low prevalence,
the potentially worrying spread of the mcr-1 gene, particularly its mcr-1.2
variant, in Italy requires increasing surveillance.
PMID- 29370955
TI - A novel contour-based registration of lateral cephalogram and profile photograph.
AB - A contour-based automatic registration method of lateral cephalograms and profile
photographs is proposed to facilitate the accurate measurement of anteroposterior
(AP) position of maxillary central incisors relative to the forehead. There are
mainly three steps in the proposed method. First, a hierarchical contour
detection algorithm is employed to obtain contours of forehead and nose in
lateral cephalograms and profile photographs, respectively. Curve deviation
around Nasion of Soft Tissue (Ns) is further corrected using an iterative
polynomial curve fitting algorithm according to the characteristics of
cephalograms. Second, the coarse registration is performed based on four landmark
pairs using a routine least squares method for each image pair, and then the
contours are roughly matched. Finally, the coarse registration is further refined
by applying the coherent point drift (CPD) algorithm to all the contour points.
Using image pairs of lateral cephalograms and profile photographs from 49 Chinese
patients, we show that the proposed method achieves higher performance in terms
of accuracy and robustness than the existing methods.
PMID- 29370956
TI - Pediatric constrictive asphyxia a rare form of child abuse: A report of two
cases.
AB - We present two cases of infants who died under suspicious circumstances. After
clinical and legal investigations, non-accidental constrictive asphyxia inflicted
by one of the parents was established. The first case presents a to date not yet
reported, unique mechanism of trauma. In order to stop his daughter from crying,
the father admitted that he sometimes sat on his baby while she was lying on the
bed. Occasionally increasing his force by pulling with his hands on the bottom of
the bed. In the second case tight swaddling and encircling chest compression was
the causative mechanism. In both cases the father was sentenced to imprisonment
with mandate psychiatric care. Only two previous reports of this uncommon and
relatively unknown cause of child abuse, called constrictive asphyxia, are known.
In all reported cases static loading of the chest resulted in rib fractures and
demise of the child. This rare abusive mechanism should be known to pediatric
radiologists and pathologists.
PMID- 29370957
TI - Effect of Bearing Type on Taper Material Loss in Hips From 1 Manufacturer.
AB - BACKGROUND: Numerous studies have reported on clinical significant volumes of
material loss and corrosion at the head-stem junction of metal-on-metal (MOM)
hips; less is understood about metal-on-polyethylene (MOP) hips. We compared the
effect of bearing type (MOM vs MOP) on taper material loss for a hip system of a
single design. METHODS: In this cohort study, we recruited retrieved MOM (n = 30)
and MOP (n = 22) bearing hips that were consecutively received at our center. We
prospectively collected associated clinical and imaging data. We measured the
severity of corrosion and volumes of material loss at each head taper surface and
used multivariate statistical analysis to investigate differences between the 2
bearing types. RESULTS: The median rate of material loss for the MOM and MOP
groups was 0.81 mm3/y (0.01-3.45) and 0.03 mm3/y (0-1.07), respectively (P <
.001). Twenty-nine of 30 MOM hips were revised for adverse metal reactions,
compared with 1 of 22 MOP hips. CONCLUSION: MOP hips lost significantly less
material from their taper junctions than MOM hips. Our results can reassure
patients with MOP Pinnacle hips that they are unlikely to experience clinically
significant problems related to material loss from the taper junction.
PMID- 29370958
TI - Simultaneous homonuclear and heteronuclear spin decoupling in magic-angle
spinning solid-state NMR.
AB - We show here an effective way of implementing simultaneously homonuclear and
heteronuclear dipolar decoupling in magic-angle spinning (MAS) solid-state NMR.
Whilst the homonuclear spin decoupling is applied on the 1H channel,
heteronuclear spin decoupling is applied on the 13C channel. The 1H spins are
observed in a windowed fashion in this case. The resultant 1H spectrum has higher
resolution due to the attenuation of broadening arising from both homonuclear 1H
1H and heteronuclear 1H-13C interactions, with the latter normally leading to
additional line broadening in 13C labelled samples. The experiments are performed
at MAS frequencies of ca. 60 kHz.
PMID- 29370959
TI - S.T.I.C.K.: A Quality Improvement Pediatric IV Infiltration Prevention Bundle.
AB - Peripheral intravenous (PIV) catheters are commonly used in pediatric medical
surgical orthopedic and neurology populations but are at risk of dislodgement
with subsequent infiltration of fluids and/or medications. This quality
improvement project sought to decrease the incidence of infiltration by creating
an educational awareness program for both staff nurses and families using the
S.T.I.C.K. mnemonic bundle. Rates of PIV catheter infiltration on a pediatric
medical-surgical orthopedic and neurology unit were found to decrease when staff
nurses utilized the S.T.I.C.K. mnemonic bundle while managing PIV catheter care
for pediatric medical-surgical orthopedic and neurology patients.
PMID- 29370960
TI - Video-based Education to Reduce Distress and Improve Understanding among
Pediatric MRI Patients: A Randomized Controlled Study.
AB - PURPOSE: Evaluate the effectiveness of an educational video vs. standard of care
in improving relaxation and procedural understanding among pediatric patients
undergoing a magnetic resonance imaging (MRI) procedure. DESIGN AND METHODS: This
pilot randomized controlled trial was conducted in a large, urban academic
children's hospital. Pediatric patients were randomized to receive either a 7
minute educational video or standard of care. Standardized surveys, which
consisted of a 1-10 visual analog scale and open-ended questions were
administered to patients to measure their level of relaxation, understanding of
the procedure, and perceptions of the MRI education received. Bivariate
statistics were used to compare changes in relaxation score and baseline
understanding scores between study groups. Open-ended questions were analyzed
using content analysis. RESULTS: A total of 50 pediatric patients completed the
study. Improvements in relaxation scores and baseline procedural understanding
scores were significantly higher among children 13-17 years of age who received
the intervention compared to those that did not (P < 0.05). No statistically
significant differences were noted in relaxation scores and procedural
understanding scores among children < 13 years of age between study groups. A
total of 26 patients, half from the control group and half from the intervention
group responded to open-ended survey questions. Content analysis revealed that
nearly all respondents perceived the educational video to increase their
understanding of the MRI procedure. CONCLUSIONS: Video-based education
effectively improved the relaxation and procedural understanding of children 13
17 years of age undergoing a MRI. PRACTICE IMPLICATIONS: Nurses may use video
based education to supplement existing MRI education among older children.
PMID- 29370962
TI - Evidence of noise-induced subclinical hearing loss using auditory brainstem
responses and objective measures of noise exposure in humans.
AB - Exposure to loud sound places the auditory system at considerable risk,
especially when the exposure is routine. The current study examined the impact of
routine auditory overexposure in young human adults with clinically-normal
audiometric thresholds by measuring the auditory brainstem response (ABR), an
electrophysiological measure of peripheral and central auditory processing. Sound
exposure was measured objectively with body-worn noise dosimeters over a week.
Participants were divided into low-exposure and high-exposure groups, with the
low-exposure group having an average daily noise exposure dose of ~11% of the
recommended exposure limit compared to the high-exposure group average of nearly
500%. Compared to the low-exposure group, the high-exposure group had delayed
ABRs to suprathreshold click stimuli and this prolongation was evident at ABR
waves I and III but strongest for V. When peripheral differences were corrected
using the I-V interpeak latency, the high-exposure group showed greater taxation
at faster stimulus presentation rates than the low-exposure group, suggestive of
neural conduction inefficiencies within central auditory structures. Our findings
are consistent with the hypothesis that auditory overexposure affects peripheral
and central auditory structures even before changes are evident on standard
audiometry. We discuss our findings within the context of the larger debate on
the mechanisms and manifestations of subclinical hearing loss.
PMID- 29370961
TI - CXCR1 expression predicts benefit from tyrosine kinase inhibitors therapy in
patients with metastatic renal cell carcinoma.
AB - PURPOSE: CXCR1 signaling promotes tumor progression in various cancers, and
clinical trial has proved efficacy of CXCR1 inhibitor in metastatic breast
cancer. Therefore, we investigated the prognostic value of CXCR1 in patients with
metastatic renal cell carcinoma (mRCC) receiving tyrosine kinase inhibitors
(TKIs) therapy. MATERIALS AND METHODS: Patients treated with sunitinib or
sorafenib were retrospectively enrolled (n = 111). CXCR1 expression was assessed
by immunohistochemical staining of tissue microarrays of primary tumor, and its
association with prognosis and therapeutic response were evaluated. To explore
possible mechanism related to CXCR1 expression, gene set enrichment analysis was
performed based on The Cancer Genome Atlas cohort. RESULTS: High CXCR1 expression
was associated with poorer overall survival (P = 0.015) and was an independent
prognostic factor for patients with mRCC treated by TKIs (Hazard Ratio = 1.683,
95% Confidence Interval: 1.109-2.553, P = 0.014). CXCR1 expression was also
associated with worse therapeutic response of TKIs (P = 0.017). Thirteen
pathways, including hypoxia and angiogenesis, were identified to be enriched in
CXCR1 positive patients. CONCLUSIONS: High CXCR1 expression indicates reduced
benefit from TKIs therapy in patients with mRCC. The mechanism may be attributed
to the enriched pathways of hypoxia and angiogenesis in CXCR1 positive patients.
CXCR1 may be a potential therapeutic target for mRCC, but further studies are
required.
PMID- 29370963
TI - Comparisons of visual attention in school-age children with cochlear implants
versus hearing peers and normative data.
AB - OBJECTIVE: Previous research has found that preschoolers with hearing loss have
worse visual attention and elevated rates of behavior problems when compared to
typically hearing peers (Barker et al., 2009). However, little is known about
these deficits in school-age children with cochlear implants (CIs). We evaluated
visual selective attention in school-age children with CIs and hearing peers and
examined the link between visual attention and behavior problems. METHOD: Data
were drawn from the Childhood Development after Cochlear Implantation (CDaCI)
study, the largest longitudinal, multi-site study of children with CIs. Visual
attention was measured using d prime (d') on a continuous performance test (The
Gordon CPT), which requires participants to watch a stream of digits and hit a
button after seeing a certain target (a 9 following a 1). The CPT captures the
probability of a hit (pressing button for a target) vs a false alarm (pressing
the button for a non-target) while accounting for chance responding. In addition,
predictors of visual attention, including IQ (using Processing Speed and
Perceptional Reasoning on the WISC-IV), age at implantation, gender, and device
management were examined. Externalizing problems were assessed using parent
report on the BASC-2. Data were drawn from 60 months post-implantation. RESULTS:
Children with CIs (n = 106) showed significantly worse visual selective attention
than hearing peers. The difference in d' was driven by higher rates of false
alarms. In the CI group, the Processing Speed Index on the WISC was correlated
with total omissions, total commissions and d'. Within the CI group, d'
significantly predicted parent-reported externalizing behavior problems. This
finding was primarily driven by elevated Hyperactivity in the CI group.
CONCLUSION: Children with CIs continue to display deficits in visual attention
when compared to their hearing peers. Despite improvements in oral language,
these problems have critical implications for academic performance and social
competence. Currently, cochlear implant teams do not focus on these other
dimensions of development and thus, may not be positioned to address them.
Assessment of attention and behavior should be incorporated into routine, annual
visits soon after implant surgery, and remediation of these deficits should be
included in early intervention programs.
PMID- 29370966
TI - Step by Step, One by One.
PMID- 29370964
TI - The effect of community socioeconomic status on sepsis-attributable mortality.
AB - PURPOSE: Community factors may play a role in determining individual risk for
sepsis, as well as sepsis-related morbidity and mortality. We sought to define
the relationship between community socioeconomic status and mortality due to
sepsis in an urban locale. METHODS: Using community statistical areas of
Baltimore City, we dichotomized neighborhoods at median household income, and
compared distribution of outcomes of interest within the two income categories.
We performed multivariable regression analyses to determine the relationship
between socioeconomic variables and sepsis-attributable mortality. RESULTS: The
collective median household income was $38,660 (IQR $32,530, 54,480), family
poverty rate was 28.4% (IQR 13.5, 38.8%), and rate of death from sepsis was 3.1
per 10,000 persons (IQR 2.60, 4.10). Lower household income communities
demonstrated higher rates of death from sepsis (3.65 (IQR 2.78, 4.40)) than
higher household income communities (2.80 (IQR 2.05, 3.55)) (p = .02). In
regression models, household income (beta = -8.42, p = .006) and percentage of
poverty in communities (beta = 2.71, p = .01) demonstrated associations with
sepsis-attributable mortality. DISCUSSION: Our findings suggest that
socioeconomic variables play significant role in sepsis-attributable mortality.
Such confirmation of regional disparities in mortality due to sepsis warrants
further consideration, as well as integration, for future national sepsis
policies.
PMID- 29370965
TI - Preventing brachial plexus injury during shoulder surgery: a real-time cadaveric
study.
AB - BACKGROUND: Brachial plexopathy is not uncommon after shoulder surgery. Although
thought to be due to stretch neuropathy, its etiology is poorly understood. This
study aimed to identify arm positions and maneuvers that may risk causing
brachial plexopathy during shoulder arthroplasty. METHODS: Tensions in the cords
of the brachial plexuses of 6 human cadaveric upper limbs were measured using
load cells while each limb was placed in different arm positions and while they
underwent shoulder hemiarthroplasty and revision reverse arthroplasty.
Arthroplasty procedures in 4 specimens were performed with standard limb
positioning (unsupported), and 2 specimens were supported from under the elbow
(supported). Each cord then underwent biomechanical testing to identify tension
corresponding to 10% strain (the stretch neuropathy threshold in animal models).
RESULTS: Tensions exceeding 15 N, 11 N, and 9 N in the lateral, medial, and
posterior cords, respectively, produced 10% strain. Shoulder abduction >70
degrees and combined external rotation >60 degrees with extension >50 degrees
increased medial cord tension above the 10% strain threshold. Medial cord
tensions (mean +/- standard error of the mean) in unsupported specimens increased
over baseline during hemiarthroplasty (sounder insertion [4.7 +/- 0.6 N, P =
.04], prosthesis impaction [6.1 +/- 0.8 N, P = .04], and arthroplasty reduction
[5.0 +/- 0.7 N, P = .04]) and revision reverse arthroplasty (retractor
positioning [7.2 +/- 0.8 N, P = .02]). Supported specimens experienced lower
tensions than unsupported specimens. CONCLUSIONS: Shoulder abduction >70 degrees
, combined external rotation >60 degrees with extension >50 degrees , and
downward forces on the humeral shaft may risk causing brachial plexopathy.
Retractor placement, sounder insertion, humeral prosthesis impaction, and
arthroplasty reduction increase medial cord tensions during shoulder
arthroplasty. Supporting the arm from under the elbow protected the brachial
plexus in this cadaveric model.
PMID- 29370967
TI - [Reproducibility of bladder diary in patients with multiple sclerosis].
AB - INTRODUCTION: Multiple sclerosis (MS) is a neurological condition characterized
by variable levels of symptoms. This variability could also affect bladder
dysfunction and impact on the reproducibility or stability of bladder diary (BD).
The aim of the study is to investigate the reproducibility and reliability of BD
in MS, and then determine its linked factors. METHODS: The MS patient provided a
21 days BD and they documented the time of every void. The main criterion was
assessed by voiding frequency, represented by the coefficient of variation
(CV=average/standard deviation), expressed as a percentage. Two groups were
described: stable BD group (CV<=15 %) and instable BD group (CV>15 %). Secondary
criteria were completion of BD (complete or not) and the difference of voiding
frequency variation between weekend and week. RESULTS: Thirty-one patients were
included (mean age 51.06 years, SD 11.33) with 65 % of women. Mean CV is 23 % (SD
0.11). The mean completion of BD was 19.35 days (SD 3.99). 8 patients had a
CV<=15 %. Stable group was older than instable group (P=0.03). There was no other
difference between the 2 groups. There is a strong correlation between mean
voiding frequency weekend and week (rho=0.94, P<0.05). The longer duration of BD,
the less reliability is notified. CONCLUSION: BD is not a stable reproducible in
MS population. Both lack of compliance or variability of LUTS in MS may explain
the unreliability of BD. However, BD utility should be discussed in this
population. LEVEL OF EVIDENCE: 4.
PMID- 29370968
TI - Floc behavior and removal mechanisms of cross-linked Durio zibethinus seed starch
as a natural flocculant for landfill leachate coagulation-flocculation treatment.
AB - This study investigated the behavior and mechanisms of cross-linked Durio
zibethinus seed starch (CDSS) flocculants for landfill leachate treatment. A
physical-chemical treatment method of coagulation-flocculation process and starch
modification were implemented in treating stabilized leachate from Matang
Landfill, Perak, Malaysia. In practical, the removal performance of color, COD,
suspended solid and turbidity for CDSS flocculants were evaluated by combining
with primary coagulant of polyaluminium chloride (PAC). In this study, the
application of crosslinking modification for Durio zibethinus seed waste starch
flocculants showed good improvement. The impurities removal for colour, COD,
suspended solid and turbidity were increased by the addition of CDSS flocculants.
Furthermore, the average size of the floc was also increased from 60.24 um to
89.5 um. Despite, the addition of CDSS flocculants produced a reduction of PAC
coagulant from 2700 mg/L to 2200 mg/L, with 500 mg/L reduction on the PAC dosage
dependency. Therefore, these results affirmed the potentials of crosslinked
modification for Durio zibethinus seed waste starch flocculants in landfill
leachate treatment.
PMID- 29370969
TI - Recycling of plastic wastes with poly (ethylene-co-methacrylic acid) copolymer as
compatibilizer and their conversion into high-end product.
AB - This paper deals with the utilization of plastic wastes to a useful product. The
major plastic pollutants that are considered to be in maximum use i.e. PET bottle
and PE bags have been taken for consideration for recycling. As these two plastic
wastes are not compatible, poly (ethylene-co-methacrylic acid) copolymer has been
used as compatibilizer to process these two plastic wastes. Effect of dose of
poly (ethylene-co-methacrylic acid) copolymer as compatibilizer has been studied
here. It has been shown that only 3 wt% of poly (ethylene-co-methacrylic acid)
copolymer is sufficient to make 3:1 mass ratio of PET bottle and polyethylene
bags compatible. Compatibility has been examined through mechanical testing,
thermal and morphological analysis. After analysing the property of recyclates,
better mechanical and thermal property has been observed. Almost 500% of tensile
property has been improved by addition of 3 wt% of poly (ethylene-co-methacrylic
acid) copolymer in 3:1 mass ratio blend of PET bottle and PE bags than that of
pristine blend. Morphological analysis by FESEM and AFM has also confirmed the
compatibility of the blend. Experimental data showed better performance than
available recycling process.
PMID- 29370970
TI - Association of long pentraxin-3 with pulmonary hypertension and primary graft
dysfunction in lung transplant recipients.
PMID- 29370971
TI - High-dose catecholamine donor support and outcomes after heart transplantation.
AB - BACKGROUND: Higher dose norepinephrine donor support is a frequent reason for
donor heart decline, but its associations with outcomes after heart
transplantation are unclear. METHODS: We retrospectively analyzed 965 patients
transplanted between 1992 and 2015 in the Heart Transplant Program Vienna.
Stratification was performed according to donor norepinephrine dose administered
before organ procurement (Group 0: 0 ug/kg/min; Group 1: 0.01 to 0.1 ug/kg/min;
Group 2: >0.1 ug/kg/min). Sub-stratification of Group 2 was performed for
comparison of high-dose subgroups (Group HD 1: 0.11 to 0.4 ug/kg/min; Group HD 2:
>0.4 ug/kg/min). Associations between groups and outcome variables were
investigated using a multivariable Cox proportional hazards model and logistic
regression analyses. RESULTS: Donor norepinephrine dose groups were not
associated with overall mortality (Group 1 vs 0: hazard ratio [HR] 1.12, 95%
confidence interval [CI] 0.87 to 1.43; Group 2 vs 0: HR 1.07, 95% CI 0.82 to
1.39; p = 0.669). No significant group differences were found for rates of 30-day
mortality (p = 0.35), 1-year mortality (p = 0.897), primary graft dysfunction (p
= 0.898), prolonged ventilation (p = 0.133) and renal replacement therapy (p =
0.324). Groups 1 and 2 showed higher rates of prolonged intensive care unit stay
(18.9% vs 28.5% vs 27.5%, p = 0.005). High-dose subgroups did not differ
significantly in 1-year mortality (Group HD 1: 14.3%; Group HD 2: 17.8%; p =
0.549). CONCLUSIONS: Acceptance of selected donor hearts supported by higher
doses of norepinephrine may be a safe option to increase the donor organ pool.
PMID- 29370972
TI - Optimal extent of surgical and pathologic lymph node evaluation for resected
intrahepatic cholangiocarcinoma.
AB - BACKGROUND: Lymph node (LN) status is an important predictor of overall survival
for resected IHCC, yet guidelines for the extent of LN dissection are not
evidence-based. We evaluated whether the number of LNs resected at the time of
surgery is associated with overall survival for IHCC. METHODS: Patients
undergoing curative-intent (R0 or R1) resection for IHCC between 2004 and 2012
were identified within the US National Cancer Database. LN thresholds were
evaluated using maximal chi-square testing and five-year overall survival was
modeled using Kaplan-Meier and Cox regressions. RESULTS: 57% (n = 1,132) of 2,000
patients had one or more LNs resected and pathologically examined. In the 631
patients undergoing R0 resection with pN0 disease, maximal chi-square testing
identified >=3 LNs as the threshold most closely associated with overall
survival. Only 39% of resections reached this threshold. On multivariable
survival analysis, no threshold of LNs was associated with overall survival,
including >=3 LNs (p = 0.186) and the current American Joint Committee on Cancer
recommendation of >=6 LNs (p = 0.318). CONCLUSION: In determining the extent of
lymphadenectomy at the time of curative-intent resection for IHCC, surgeons
should carefully consider the prognostic yield in the absence of overall survival
benefit.
PMID- 29370973
TI - Induction of calcite precipitation through heightened production of extracellular
carbonic anhydrase by CO2 sequestering bacteria.
AB - The thermo-alkalotolerant bacterium exhibiting heightened extracellular carbonic
anhydrase (CA) activity, survived at 100 mM sodium bicarbonateand 5% gaseous CO2
was identified as Bacillus sp. by 16S rRNA sequencing. Extracellular carbonic
anhydrase was purified by ammonium sulfate precipitation, gel filtration
chromatography and affinity chromatography with a yield of 46.61% and specific
activity of 481.66 U/mg. The size of purified carbonic anhydrase was
approximately 28 kDa in SDS-PAGE gel filtration and further their role in calcium
carbonate production was correlated. The purified enzyme was stable with half
life of 25.36 min at 90 degrees C and pH 8. KM and Vmax values of the enzyme
were 1.77 mg/mL and 385.69 U/mg respectively. The production of calcite was
confirmed by Scanning Electron Microscopy (SEM) analysis, FTIR, and Energy
Dispersive X-ray (EDX) analysis. Carbonic anhydrase and calcite deposition
coupled with CO2 fixingbacteria is a significant approach for CO2 sequestration.
PMID- 29370974
TI - Membrane concentrate treatment by photosynthetic bacteria: Feasibility and
tolerance mechanism analysis.
AB - Refractory membrane concentrate generated from the membrane bioreactor (MBR)
process remains a big challenge. With high pollution loads, high salinity and low
biodegradability, membrane concentrates are difficult to be treated by
conventional biological treatments. In this work, photosynthetic bacteria (PSB)
were employed to handle this problem. The results showed that PSB could
simultaneously remove COD, NH3-N, NO3--N, salinity and chroma from the membrane
concentrate. The removal efficiency of COD, NH3-N, NO3--N, salinity and chroma
reached 24.0%, 78.0%, 81.6%, 57.0% and 60.0% respectively. Dark-aerobic condition
was more beneficial for pollutants removal. The tolerance mechanism of PSB in
treating membrane concentrate was then analyzed. The contents of protein and
carotenoid in PSB increased by 38.7% and 20.7% due to the defense stress effects.
The content of bacteriochlorin decreased by 42.9% while the content of coenzyme
Q10 was stable at 8.4-8.8%.
PMID- 29370975
TI - Design and synthesis of novel senkyunolide analogues as neuroprotective agents.
AB - A class of senkyunolide analogues bearing benzofuranone fragment were designed,
synthesized and evaluated for their neuroprotective effect in models of oxygen
glucose deprivation (OGD) and oxidative stress. All tested compounds showed
neuroprotection profile based on the cell viability assay. In particular,
derivatives 1f-1i possessing furoxan-based nitric oxide releasing functionality
exhibited significant biological activities in OGD models. More importantly,
compound 1g containing short linker with furoxan displayed the most potent
neuroprotection at the concentration of 100 MUM (cell survival up to 145.2%).
Besides, 1g also showed the middle level neuroprotective effect in model of
oxidative stress.
PMID- 29370976
TI - Risk factors in childhood arterial ischaemic stroke: Findings from a population
based study in Germany.
AB - OBJECTIVE: Acute treatment of childhood arterial ischaemic stroke and prevention
strategies for recurrent stroke episodes depend strongly on each child's
individual risk profile. The aim of this study is to characterize risk factors
for childhood stroke, their occurrence in isolation or combination, and to
identify possible common risk factor patterns. METHODS: This population-based
study was conducted via ESPED, a surveillance unit for rare paediatric diseases
in Germany. Children aged >28days and <18 years with an acute arterial ischaemic
stroke occurring between January 2015 and December 2016 were included. RESULTS:
Among 99 reported children with arterial ischaemic stroke, 56 children were male.
Male predominance was significant in adolescents from 12 years old onward.
Arterial ischaemic stroke was more common in very young children <2 years of age
and in adolescence. No risk factor was identified in 27 children. Hypercoagulable
states (29%), cardiac disorders (24%), and arteriopathies (21%) were the most
common risk factors. Some risk factor categories were more likely to be
identified in isolation (i.e. cardiac disorders, prothrombotic abnormalities and
chronic head and neck disorders) than others. The number of risk factors (n = 0
4) per patient and risk factor categories did not differ by age. CONCLUSION:
Although we could not identify common patterns of risk factor combinations,
several risk factors occurred more likely in isolation than others. Further
research should focus on the impact of isolated presumed childhood stroke risk
factors like certain prothrombotic abnormalities, migraine or a patent foramen
ovale. With regard to different age groups, stroke mechanisms in male adolescents
require particular attention.
PMID- 29370977
TI - Severe dysautonomia as a main feature of anti-GAD encephalitis: Report of a
paediatric case and literature review.
AB - INTRODUCTION: Anti-glutamic acid decarboxylase (anti-GAD65) antibodies are a rare
cause of autoimmune encephalitis. This entity is mainly recognized in adults and
very few cases were reported in children. We report on a paediatric case of anti
GAD encephalitis with severe presentation and uncontrollable dysautonomia. CASE
STUDY: A 9-year-old girl was referred to our department for refractory seizures
and behavioral disturbances. Brain magnetic resonance imaging (MRI) was normal.
Repeat screening for antineuronal antibodies showed negative results for anti
NMDA receptor antibodies but positive results for anti-GAD65 with a low
positivity of anti-Ma2 antibodies. Although a transient improvement was noticed
after immunomodulatory treatment, the patient developed severe intractable
autonomic imbalance including dysrythmia, alternating bradycardia/tachycardia,
hypotension/hypertension, hypothermia/hyperthermia and hyperhidrosis. She
deceased six months after onset. CONCLUSION: Our report intends to raise
awareness of autoimmune encephalitis with anti-GAD65 antibodies which may involve
extralimbic brain regions and manifest with fatal dysautonomia. We highlight the
need for prompt diagnosis and aggressive management for this underdiagnosed
entity in children.
PMID- 29370978
TI - Comparing the Outcomes of Stereotactic Ablative Radiotherapy and Non-Stereotactic
Ablative Radiotherapy Definitive Radiotherapy Approaches to Thoracic Malignancy:
A Systematic Review and Meta-Analysis.
AB - Stereotactic ablative body radiotherapy (SABR) is popular because of the high
rates of local control with low toxicity seen in lung cancer patients. In this
study we compared clinically significant toxicity and overall survival for SABR
and non-SABR definitive radiotherapy (conformal radiotherapy) patients. A PUBMED
search of all human, English language articles on SABR and non-SABR radically
treated early stage lung cancer patients was performed until June 2016. Results
of these searches were filtered in accordance with a set of eligibility criteria
and analyzed in accordance with the Preferred Reporting Items for Systematic
Reviews and Meta-Analyses guidelines. Eighty-seven SABR and 25 non-SABR articles
were reviewed. There was no significant difference in pneumonitis rates between
patients receiving SABR (11.4%; 95% confidence interval [CI], 9.7-13.3) and non
SABR treatment (14.4%; 95% CI, 10.6-18.8; P = .20). Esophagitis was the most
common mediastinal toxicity reported with 15% of all non-SABR patients versus 1%
of all SABR patients reporting developing Grade >=2 toxicity. The proportion of
patient surviving at 2 and 3 years was superior for SABR patients (P < .001).
Treatment-related deaths were rare (approximately 1% for both treatments). Both
radiotherapy approaches had low rates of pneumonitis, mediastinal toxicity, and
treatment-related deaths. However, significant heterogeneity in the patient
population and study regimens limit the power of direct comparison, showing that
further high-quality studies are required to define the role of SABR in higher
risk and operable patients.
PMID- 29370979
TI - Bilateral Ischemic Stroke Due to Carotid Artery Compression by Abnormally
Elongated Styloid Process at Both Sides: A Case Report.
AB - Abnormal elongation of styloid process (Eagle syndrome) may cause compression of
the adjacent structures in the neck, the most important of which is the carotid
artery. This condition may cause damage to the wall of carotid artery and result
in cerebrovascular ischemic event. Bilateral carotid artery damage and cerebral
stroke because of overgrown styloid processes at both sides is a rare condition.
In this article, we report a case of bilateral ischemic stroke because of carotid
compression by elongated styloid process at both sides treated by surgical
resection of both processes.
PMID- 29370980
TI - Body balance at static posturography in vestibular migraine.
AB - INTRODUCTION: Migraine is one of the most frequent and incapacitating headaches,
with a high degree of impairment in quality of life. Its association with
vestibular symptoms is common, including imbalance and postural instability.
OBJECTIVE: To evaluate the body balance of patients with vestibular migraine
through a static posturography test. METHODS: An experimental group of 31
patients with a medical diagnosis of vestibular migraine in the intercritical
period of the disease, and a control group of 31 healthy individuals, matched for
age and gender, were submitted to the eight sensory conditions of the Tetrax
Interactive Balance System. The parameters analyzed were: stability index, which
measures the amount of sway, global stability and ability to compensate postural
modifications; weight distribution index, which compares deviations in weight
distribution; synchronization index, which measures the symmetry in the weight
distribution; postural sway frequency, which indicates the frequency range with
more sway; and fall risk index, which expresses the probability of falls.
RESULTS: The stability index was higher in the experimental group in all eight
sensory conditions, with a significant difference between the groups in six of
them. The weight distribution index was higher in the experimental group in all
conditions, with a significant difference in three of them. The number of cases
with preferential sway in F2-F4 was significantly higher in the experimental
group in three conditions, and in F5-F6 in two, while the fall risk was
significantly higher in the experimental group than in the control group.
CONCLUSION: Patients with vestibular migraine showed compromised body balance at
the static posturography test.
PMID- 29370981
TI - Enhanced production of extracellular inulinase by the yeast Kluyveromyces
marxianus in xylose catabolic state.
AB - The production of extracellular proteins by the thermotolerant yeast
Kluyveromyces marxianus, which utilizes various sugars, was investigated using
media containing sugars such as glucose, galactose, and xylose. SDS-PAGE analysis
of culture supernatants revealed abundant production of an extracellular protein
when cells were grown in xylose medium. The N-terminal sequence of the
extracellular protein was identical to a part of the inulinase encoded by INU1 in
the genome. Inulinase is an enzyme hydrolyzing beta-2,1-fructosyl bond in inulin
and sucrose and is not required for xylose assimilation. Disruption of INU1 in
the strain DMKU 3-1042 lost the production of the extracellular protein and
resulted in growth defect in sucrose and inulin media, indicating that the
extracellular protein was inulinase (sucrase). In addition, six K. marxianus
strains among the 16 strains that were analyzed produced more inulinase in xylose
medium than in glucose medium. However, expression analysis indicated that the
INU1 promoter activity was lower in the xylose medium than in the glucose medium,
suggesting that enhanced production of inulinase is controlled in a post
transcriptional manner. The production of inulinase was also higher in cultures
with more agitation, suggesting that oxygen supply affects the production of
inulinase. Taken together, these results suggest that both xylose and oxygen
supply shift cellular metabolism to enhance the production of extracellular
inulinase.
PMID- 29370982
TI - Production of lutein, and polyunsaturated fatty acids by the acidophilic
eukaryotic microalga Coccomyxa onubensis under abiotic stress by salt or
ultraviolet light.
AB - In this study, the effect of abiotic stress on the acidophilic eukaryotic
microalga, Coccomyxa onubensis, was analyzed for the production of lutein and
PUFAs (polyunsaturated fatty acids). It grows autotrophically at a pH of 2.5. It
showed a growth rate of 0.30 d-1, and produced approximately 122.50 mg.L-1.d-1
biomass, containing lipids (300.39 mg g-1dw), lutein (5.30 mg g-1dw), and beta
carotene (1.20 mg g-1dw). The fatty acid methyl ester (FAME) fraction was 89.70
mg g-1dw with abundant palmitic acid (28.70%) and linoleic acid (37.80%). The
addition of 100 mM NaCl improved the growth rate (0.54 d-1), biomass productivity
(243.75 mg.L-1.d-1), and lipids accumulation (416.16 mg g-1dw). The microalga
showed a lutein content of 6.70 mg g-1dw and FAME fraction of 118.90 mg g-1dw;
68% of the FAMEs were PUFAs. However, when 200-500 mM salt was added, its growth
was inhibited but there was a significant induction of lutein (up to 7.80 mg g
1dw). Under continuous illumination with PAR (photosynthetically active
radiations) +UVA (ultraviolet A, 8.7 W m-2), C. onubensis showed a growth rate of
0.40 d-1, and produced 226.3 mg.L-1.d-1 biomass, containing lipids, (487.26 mg g
1dw), lutein (7.07 mg g-1dw), and FAMEs (232.9 mg g-1dw); 48.4% of the FAME were
PUFAs. The illumination with PAR + UVB (ultraviolet B, 0.16 W m-2) was toxic for
cells. These results indicate that C. onubensis biomass is suitable as a
supplement for functional foods and/or source of high added value products.
PMID- 29370983
TI - Naturally Thin You: Weight Loss and Psychological Symptoms After a Six-Week
Online Clinical EFT (Emotional Freedom Techniques) Course.
AB - BACKGROUND/OBJECTIVE: Traditional methods of delivering therapeutic interventions
have increasingly been supplemented by online courses. The current study
investigated the effects of Clinical EFT (Emotional Freedom Techniques) in 76
participants enrolled in a six-week online course called Naturally Thin You.
Weight, restraint, the power of food in the external environment, happiness, and
posttraumatic stress disorder (PTSD) symptoms were assessed before and after the
course and at one-year follow-up. METHOD: Participants received six live group
teleclasses, access to online course materials and a private social media group,
and a year of monthly support teleclasses. No particular diet was recommended;
the course focused instead on controlling emotional eating, and using EFT to
treat the emotional triggers associated with food. Clinical EFTs Borrowing
Benefits protocol, in which the group facilitator works with a single participant
while others simultaneously self-apply EFT, was used during the teleclasses.
RESULTS: Repeated measures ANOVA compared scores pre- to 12-month follow-up, and
significant improvements were found for body weight (P < .001), depression
symptoms (P = 0.010), restraint (P = 0.025), and the subjective power of food in
the external environment (P = 0.018). Weight decreased an average of 1lb/week
during the course, and 2lb/month between pretest and one-year follow-up. On
follow-up, no change was observed in PTSD symptoms measured by a brief civilian
trauma checklist, or anxiety, and increases in happiness were non-significant.
The results indicate Clinical EFTs utility to address the influence of food in
the external environment and assist weight loss, and to promote beneficial long
term change when delivered in an online format.
PMID- 29370985
TI - Skin CanceR Brachytherapy vs External beam radiation therapy (SCRiBE) meta
analysis.
AB - BACKGROUND AND PURPOSE: To compare cosmesis and local recurrence (LR) of
definitive external beam radiation therapy (EBRT) vs brachytherapy (BT) for
indolent basal cell carcinoma (BCC) and squamous cell carcinoma (SCC) of the
skin. MATERIALS AND METHODS: Studies including patients with T1-2 N0 SCCs/BCCs
treated with definitive EBRT/BT and >=10 months follow-up were analyzed. The
primary endpoint was post-treatment cosmesis, categorized as "good," "fair," or
"poor." The secondary endpoint was LR. Mixed effects regression models were used
to estimate weighted linear relationships between biologically equivalent doses
with alpha/beta = 3 (BED3) and cosmetic outcomes. RESULTS: A total of 9965
patients received EBRT and 553 received BT across 24 studies. Mean age was 73
years, median follow-up was 36 months, and median dose was 45 Gy/10 fractions at
4.4 Gy/fraction. At BED3 of 100 Gy, "good" cosmesis was more frequently observed
in patients receiving BT, 95% (95% CI: 88-100%) vs 79% (95% CI: 60-82%), p <
0.05. Similar results were found for "good" cosmesis at BED3 >100 Gy. No
difference in "poor" cosmesis was noted at any BED3. LR was <7% for both at one
year. CONCLUSION: BT has favorable cosmesis over EBRT for skin SCCs/BCCs at
common fractionation regimens. Prospective studies comparing EBRT vs BT are
warranted.
PMID- 29370984
TI - Photon vs. proton radiochemotherapy: Effects on brain tissue volume and
perfusion.
AB - BACKGROUND AND PURPOSE: To compare the structural and hemodynamic changes of
healthy brain tissue in the cerebral hemisphere contralateral to the tumor
following photon and proton radiochemotherapy. MATERIALS AND METHODS: Sixty-seven
patients (54.9 +/-14.0 years) diagnosed with glioblastoma undergoing adjuvant
photon (n = 47) or proton (n = 19) radiochemotherapy with temozolomide after
tumor resection underwent T1-weighted and arterial spin labeling MRI. Changes in
volume and perfusion before and 3 to 6 months after were compared between
therapies. RESULTS: A decrease in gray matter (GM) (-2.2%, P<0.001) and white
matter (WM) (-1.2%, P<0.001) volume was observed in photon-therapy patients
compared to the pre-radiotherapy baseline. In contrast, for the proton-therapy
group, no significant differences in GM (0.3%, P = 0.64) or WM (-0.4%, P = 0.58)
volume were observed. GM volume decreased with 0.9% per 10 Gy dose increase
(P<0.001) and differed between the radiation modalities (P<0.001). Perfusion
decreased in photon-therapy patients (-10.1%, P = 0.002), whereas the decrease in
proton-therapy patients, while comparable in magnitude, did not reach statistical
significance (-9.1%, P = 0.12). There was no correlation between perfusion
decrease and either dose (P = 0.64) or radiation modality (P = 0.94).
CONCLUSIONS: Our results show that the tissue volume decrease depends on
radiation dose delivered to the healthy hemisphere and differs between treatment
modalities. In contrast, the decrease in perfusion was comparable for both
irradiation modalities. We conclude that proton therapy may reduce brain-volume
loss when compared to photon therapy.
PMID- 29370986
TI - Palliative radiotherapy for locally advanced non-metastatic head and neck cancer:
A systematic review.
AB - OBJECTIVES: The objective of this systematic review was to identify and appraise
the existing evidence of role of palliative radiotherapy for locally advanced non
metastatic head and neck cancer. METHODS: A systematic search of the literature
was conducted using Medline, Embase and Cochrane databases and relevant
references were included. RESULTS: Literature search revealed a wide variation in
dose fractionation regimens. Reported outcomes showed high efficacy and low rate
of significant side effects, except in studies utilising higher doses of
radiotherapy where higher grade toxicities were seen. Reported median overall
survival was in the range of 3.3-17 months, but most studies reported median
survival of around 6 months. CONCLUSIONS: The choice of palliative radiotherapy
varies significantly. This is in contrast to regimens of curative radiotherapy
for locally advanced head and neck cancer, which are well standardised. Given the
reported relatively short overall survival of this patient group, an ideal
treatment should be of the shortest possible duration whilst ensuring effective
palliation and minimal side effects. Future well designed trials are needed to
evaluate quality of life and duration of side effects in addition to survival and
severity of toxicities in this group of patients.
PMID- 29370987
TI - Optimization of combined proton-photon treatments.
AB - PURPOSE: Proton treatment slots are a limited resource. Therefore, we consider
combined proton-photon treatments in which most fractions are delivered with
photons and only a few with protons. We demonstrate how both modalities can be
combined to optimally capitalize on the proton's ability to reduce normal tissue
dose. METHODS: An optimal combined treatment must account for fractionation
effects. We therefore perform simultaneous optimization of intensity-modulated
proton (IMPT) and photon (IMRT) plans based on their cumulative biologically
effective dose (BED). We demonstrate the method for a sacral chordoma patient, in
whom the gross tumor volume (GTV) abuts bowel and rectum. RESULTS: In an optimal
combination, proton and photon fractions deliver similar doses to bowel and
rectum to protect these dose-limiting normal tissues through fractionation.
However, proton fractions deliver, on average, higher doses to the GTV. Thereby,
the photon dose bath is reduced. An optimized 30-fraction treatment with 10 IMPT
fractions achieved more than 50% of the integral dose reduction in the
gastrointestinal tract that is possible with 30 IMPT fractions (compared to 33%
for a simple proton-photon combination in which both modalities deliver the same
target dose). CONCLUSIONS: A limited number of proton fractions can best be used
if protons hypofractionate parts of the GTV while maintaining near-uniform
fractionation in dose-limiting normal tissues.
PMID- 29370988
TI - Certolizumab pegol-induced palmoplantar pustulosis: A case report and review of
the literature.
PMID- 29370989
TI - Madelung's deformity.
PMID- 29370990
TI - International access to major US cancer database halted.
PMID- 29370991
TI - A start towards immunotherapy in sarcomas?
PMID- 29370993
TI - Building capacity and wellbeing in vulnerable/marginalised mothers: A qualitative
study.
AB - PROBLEM: The persistence of health inequalities in pregnancy and infancy amongst
vulnerable/marginalised groups in the UK. BACKGROUND: During pregnancy and early
motherhood some women experience severe and multiple psychosocial and economic
disadvantages that negatively affect their wellbeing and make them at increased
risk of poor maternal and infant health outcomes. AIM: To explore
vulnerable/marginalised women's views and experiences of receiving targeted
support from a specialist midwifery service and/or a charity. METHODS: A mixed
methods study was undertaken that involved analysis of routinely collected birth
related/outcome data and interviews with a sample of vulnerable/marginalised
women who had/had not received targeted support from a specialist midwifery
service and/or a charity. In this paper we present in-depth insights from the 11
women who had received targeted support. FINDINGS: Four key themes were
identified; 'enabling needs-led care and support', 'empowering through knowledge,
trust and acceptance', 'the value of a supportive presence' and 'developing
capabilities, motivation and confidence'. DISCUSSION: Support provided by a
specialist midwifery service and/or charity improved the maternity and parenting
experiences of vulnerable/marginalised women. This was primarily achieved by
developing a provider-woman relationship built on mutual trust and understanding
and through which needs-led care and support was provided - leading to improved
confidence, skills and capacities for positive parenting and health. CONCLUSION:
The collaborative, multiagency, targeted intervention provides a useful model for
further research and development. It offers a creative, salutogenic and health
promoting approach to provide support for the most vulnerable/marginalised women
as they make the journey into parenthood.
PMID- 29370992
TI - Nivolumab with or without ipilimumab treatment for metastatic sarcoma (Alliance
A091401): two open-label, non-comparative, randomised, phase 2 trials.
AB - BACKGROUND: Patients with metastatic sarcoma have limited treatment options.
Nivolumab and ipilimumab are monoclonal antibodies targeting PD-1 and CTLA-4,
respectively. We investigated the activity and safety of nivolumab alone or in
combination with ipilimumab in patients with locally advanced, unresectable, or
metastatic sarcoma. METHODS: We did a multicentre, open-label, non-comparative,
randomised, phase 2 study that enrolled patients aged 18 years or older and had
central pathology confirmation of sarcoma with at least one measurable lesion by
Response Evaluation Criteria In Solid Tumors (RECIST) 1.1, evidence of
metastatic, locally advanced or unresectable disease, an ECOG performance status
of 0-1, and received at least one previous line of systemic therapy. Patients
were assigned to treatment in an unblinded manner, as this trial was conducted as
two independent, non-comparative phase 2 trials. Enrolled patients were assigned
(1:1) via a dynamic allocation algorithm to intravenous nivolumab 3 mg/kg every 2
weeks, or nivolumab 3 mg/kg plus ipilimumab 1 mg/kg every 3 weeks for four doses.
Thereafter, all patients received nivolumab monotherapy (3 mg/kg) every 2 weeks
for up to 2 years. The primary endpoint was the proportion of patients with
locally advanced, unresectable or metastatic soft tissue sarcoma achieving a
confirmed objective response. Analysis was per protocol. This study is ongoing
although enrolment is closed. It is registered with ClinicalTrials.gov, number
NCT02500797. FINDINGS: Between Aug 13, 2015, and March 17, 2016, 96 patients from
15 sites in the USA underwent central pathology review for eligibility and 85
eligible patients, including planned over-enrolment, were allocated to receive
either nivolumab monotherapy (43 patients) or nivolumab plus ipilimumab (42
patients). The primary endpoint analysis was done according to protocol
specifications in the first 76 eligible patients (38 patients per group). The
number of confirmed responses was two (5% [92% CI 1-16] of 38 patients) in the
nivolumab group and six (16% [7-30] of 38 patients) in the nivolumab plus
ipilimumab group. The most common grade 3 or worse adverse events were anaemia
(four [10%] patients), decreased lymphocyte count (three [7%]), and dehydration,
increased lipase, pain, pleural effusion, respiratory failure, secondary benign
neoplasm, and urinary tract obstruction (two [5%] patients each) among the 42
patients in the nivolumab group and anaemia (eight [19%] patients), hypotension
(four [10%] patients), and pain and urinary tract infection (three [7%] patients
each) among the 42 patients in the nivolumab plus ipilimumab group. Serious
treatment-related adverse events occurred in eight (19%) of 42 patients receiving
monotherapy and 11 (26%) of 42 patients receiving combination therapy, and
included anaemia, anorexia, dehydration, decreased platelet count, diarrhoea,
fatigue, fever, increased creatinine, increased alanine aminotransferase,
increased aspartate aminotransferase, hyponatraemia, pain, pleural effusion, and
pruritus. There were no treatment-related deaths. INTERPRETATION: Nivolumab alone
does not warrant further study in an unselected sarcoma population given the
limited efficacy. Nivolumab combined with ipilimumab demonstrated promising
efficacy in certain sarcoma subtypes, with a manageable safety profile comparable
to current available treatment options. The combination therapy met its
predefined primary study endpoint; further evaluation of nivolumab plus
ipilimumab in a randomised study is warranted. FUNDING: Alliance Clinical Trials
in Oncology, National Cancer Institute Cancer Therapy Evaluation Program, Bristol
Myers Squibb, Cycle for Survival.
PMID- 29370994
TI - [Lower-extremity artery disease (LEAD)].
AB - Women have a risk of LEAD (lower-extremity artery disease)similar to men's risk.
Symptoms are often absent, atypical or underestimated, leading to diagnosis in
the most severe stages. Medical care is often less well adapted. In cases of
revascularization, women have a higher morbidity rate than men, regardless of the
severity grade and procedure chosen.
PMID- 29370995
TI - American Society for Metabolic and Bariatric Surgery estimation of metabolic and
bariatric procedures performed in the United States in 2016.
AB - BACKGROUND: Bariatric surgery, despite being the most successful long-lasting
treatment for morbid obesity, remains underused as only approximately 1% of all
patients who qualify for surgery actually undergo surgery. To determine if
patients in need are receiving appropriate therapy, the American Society for
Metabolic and Bariatric Surgery created a Numbers Taskforce to specify annual
rate of use for obesity treatment interventions. OBJECTIVES: The objective of
this study was to determine metabolic and bariatric procedure trends since 2011
and to provide the best estimate of the number of procedures performed in the
United States in 2016. SETTING: United States. METHODS: We reviewed data from the
Metabolic and Bariatric Surgery Accreditation and Quality Improvement Program,
National Surgical Quality Improvement Program, Bariatric Outcomes Longitudinal
Database, and Nationwide Inpatient Sample. In addition, data from industry and
outpatient centers were used to estimate outpatient center activity. Data from
2016 were compared with the previous 5 years of data. RESULTS: Compared with
2015, the total number of metabolic and bariatric procedures performed in 2016
increased from approximately 196,000 to 216,000. The sleeve gastrectomy trend is
increasing, and it continues to be the most common procedure. The gastric bypass
and gastric band trends continued to decrease as seen in previous years. The
percentage of revision procedures and biliopancreatic diversion with duodenal
switch procedures increased slightly. Finally, intragastric balloons placement
emerged as a significant contributor to the cumulative total number of procedures
performed. CONCLUSIONS: There is increasing use of metabolic and bariatric
procedures performed in the United States from 2011 to 2016, with a nearly 10%
increase noted from 2015 to 2016.
PMID- 29370997
TI - Department of Error.
PMID- 29370996
TI - Endoscopic stent placement for treatment of sleeve gastrectomy leak: a single
institution experience with fully covered stents.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (SG) has risen in prevalence as a
standalone surgical option for treating obesity over the last 15 years. One of
the most worrisome complications is development of a leak at the gastrectomy
staple line. OBJECTIVE: The objective of this report is to describe our single
institution experience in managing SG staple-line leaks with fully covered
endoscopic stents. SETTING: Academic medical center, United States. METHODS: Data
for all patients who underwent endoscopic stent placement for an SG leak between
2010 and 2016 at a single academic institution were retrospectively reviewed.
Patient medical history, perioperative information, stent placement details,
outcomes, and subsequent interventions were recorded. RESULTS: Twenty-four
patients with SG staple-line leaks treated with fully covered endoscopic stents
were identified. Leaks were identified at a median of 31.5 days postoperatively
(range, 1-1615 d). The majority of patients underwent other treatment(s) for
their leak before stent placement at our institution. Stents remained in place
for an average of 28.8 +/- 16.8 days. Migration occurred in 22% of all stent
placements. Three patients were lost to follow-up, and 14 of the remaining 21
patients (66.7%) healed after stent placement. Five patients (23.8%) ultimately
required operative revision with partial gastrectomy and Roux-en-Y
esophagojejunostomy for management of persistent leaks. CONCLUSION: Endoscopic
management using fully covered stents for staple-line leaks after SG is effective
in the majority of patients. However, algorithms are needed for the management of
chronic staple-line leaks, which are less likely to heal with stent placement.
PMID- 29370998
TI - Optimal hemoglobin A1C cutoff value for diabetes mellitus and pre-diabetes in
Pudong New Area, Shanghai, China.
AB - AIMS: Due to the diversity of the Chinese population, it requires considerable
research to evaluate HbA1c diagnostic threshold for diagnosis of hyperglycemia.
METHODS: We included 7909 subjects aged >=15 without known diabetes from the
baseline of Pudong community cohort in 2013. Participants took oral glucose
tolerance test (OGTT) and HbA1c assay. Receiver operating characteristic curve
determined the HbA1c threshold in the diagnosis of hyperglycemia. RESULTS: The
optimal HbA1C threshold for diagnosing newly diagnosed diabetes (NDD) and pre
diabetes in this population was 6.0% (AUC=0.798, 95%CI: 0.779-0.818) and 5.6%
(AUC=0.655, 95%CI: 0.638-0.671). When compared with elderly age group (>=70
years), HbA1c for detecting NDD performed better in youth (15-39 years: P=0.003,
40-49 years: P<0.001). There were 13.81% and 13.34% of participants would be
newly detected as NDD and pre-diabetes via HbA1c criteria; meanwhile 3.20% and
15.52% diagnosed as NDD and pre-diabetes by OGTT criteria would be missed
diagnosis. CONCLUSIONS: The optimal HbA1c thresholds for NDD and pre-diabetes
were lower than ADA criteria. It is necessary to carefully consider whether
choose HbA1c as a diagnostic criterion or combine two diagnostic standards. Age
specific diagnostic thresholds should be considered when HbA1c was recommended as
diagnostic standard.
PMID- 29370999
TI - A comparison of three apolipoprotein B methods and their associations with
incident coronary heart disease risk over a 12-year follow-up period: The Multi
Ethnic Study of Atherosclerosis.
AB - BACKGROUND: Apolipoprotein B-100 (ApoB) is a well-researched lipoprotein marker
used in assessing the risk of coronary heart disease (CHD) development. Despite
its continued use at the bedside, ApoB methodologies have not been thoroughly
compared and may differentially discriminate CHD risk, resulting in patient
misclassification. OBJECTIVE: This study compared 3 ApoB immunoassays and their
associations with incident CHD risk over a 12-year follow-up period in the Multi
Ethnic Study of Atherosclerosis. METHODS: Plasma ApoB concentrations were
measured in 4679 participants of Multi-Ethnic Study of Atherosclerosis at
baseline, using 3 immunoturbidimetric methods. Roche and Kamiya reagent-based
methods were analyzed on a Roche modular P analyzer, and the Diazyme reagent
based method was analyzed on a Siemens Dimension analyzer. Cox proportional
analysis estimated ApoB-related risk of incident CHD over a median follow-up
period of 12.5 years with adjustments for nonlipid CHD risk factors. ApoB
concentrations were examined as continuous variables but were also dichotomized
based on clinical designations of borderline (100 mg/dL), high (120 mg/dL), and
very high ApoB levels (140 mg/dL). RESULTS: Moderate to strong correlations among
ApoB methods were observed (r = 0.79-0.98). ApoB concentrations (per standard
deviation) were similarly associated with CHD risk and hazard ratio (95%
confidence interval): Roche: 1.16 (1.03-1.30); Kamiya: 1.14 (1.02-1.28); and
Diazyme: 1.14 (1.02-1.28). CONCLUSION: Although all 3 ApoB were similarly
associated with risk of incident CHD over the study period regardless of the
reagent type, the bias between methods suggests that these reagents are not
fungible, and assay harmonization may be warranted.
PMID- 29371000
TI - Pasireotide in acromegaly by aggressive tumors, description of four clinical
cases. Towards a personalized medicine.
PMID- 29371001
TI - Change over time in the incidence of type 1 diabetes mellitus in Spanish
children.
PMID- 29371002
TI - The predictive value of NT-proBNP and hs-TnT for risk of death in cardiac
surgical patients.
AB - BACKGROUND: European System for Cardiac Operative Risk Evaluation II (EuroSCORE
II) is used for risk stratification before cardiac surgery, but whether N
terminal pro-B-type natriuretic peptide (NT-proBNP) and high-sensitivity troponin
T (hs-TnT) may add prognostic information to EuroSCORE II is not known. METHODS:
Preoperative (n=640) and postoperative (n=629) blood samples were available from
cardiac surgical patients with 961-day follow-up (FINNAKI Heart study; cohort
#1). The accuracy of a parsimonious risk model with NT-proBNP measurements was
also tested in 90 patients with respiratory failure after cardiac surgery
(FINNALI study; cohort #2). RESULTS: Sixty-one patients (9.5%) died during follow
up in cohort #1. Preoperative NT-proBNP and hs-TnT concentrations correlated
(rho=0.58; p<0.001) and were higher in non-survivors compared to survivors:
median 2027 (Q1-3 478-5387) vs. 373 (134-1354) ng/L [NT-proBNP] and 39 (16-191)
vs. 13 (8-32) ng/L [hs-TnT]; p<0.001 for both. Preoperative NT-proBNP
concentrations were associated with time to death after adjustment for EuroSCORE
II (HR [lnNT-proBNP] 1.33 [95% CI 1.08-1.64]), p=0.008 and reclassified patients
on top of EuroSCORE II (net reclassification index 0.39 [95% CI 0.14-0.64],
p=0.003). Pre- and postoperative NT-proBNP concentrations were closely correlated
(rho=0.80, p<0.001) and postoperative NT-proBNP concentrations were also
associated with long-term mortality after adjustment for EuroSCORE II. A
parsimonious risk model that included age, creatinine clearance, chronic
pulmonary disease, and NT-proBNP measurements provided comparable prognostic
accuracy as EuroSCORE II in cohort #1 and #2 for risk of long-term mortality. hs
TnT measurements did not add to NT-proBNP measurements CONCLUSION: NT-proBNP
measurements could improve and simplify risk prediction in cardiac surgical
patients.
PMID- 29371003
TI - How Sensors, Devices, and Biomarkers Can Transform Precision Medicine:
Perspectives From a Clinical and Translational Science Institute.
AB - PURPOSE: The ability of sophisticated sensors and medical devices to monitor
critical biomarkers has the potential to greatly advance precision medicine
initiatives. A stakeholder event was organized to develop working models for the
evolution of the field. METHODS: A workshop devoted to the subject matter was
held at the Tufts Clinical and Translational Science Institute involving
clinicians, device developers, regulators, engineers, and scientists. FINDINGS:
Several areas for collaborative development were identified and interested teams
offered resources for development of research programs. IMPLICATIONS: The
diversity of relevant stakeholders presents a major opportunity and challenge in
translational research. It is evident that the CTSI national network can take a
leadership role in the rapidly advancing and potentially transformative field of
digital biomarkers.
PMID- 29371005
TI - Perception and Practice Among Emergency Medicine Health Care Providers Regarding
Discharging Patients After Opioid Administration.
AB - PURPOSE: This study aimed to determine the current attitudes, perceptions, and
practices of emergency medicine providers and nurses (RNs) regarding the
discharge of adult patients from the emergency department (ED) after
administration of opioid analgesics. METHODS: A cross-sectional survey was
administered at 3 hospital sites with a combined annual ED census of >180,000
visits per year. All 59 attending emergency physicians (EPs), 233 RNs, and 23
advanced practice clinicians (APCs) who worked at these sites were eligible to
participate. FINDINGS: Thirty-five EPs (59.3%), 88 RNs (37.8%), and 14 APCs
(60.9%) completed the survey for an overall response rate of 51.75%. Most
respondents were female (95 [69.9%]). The factor ranked most important to
consider when discharging a patient from the ED after administration of opioids
was the patient's functional status and vital signs (median, 2.00; interquartile
range, 2.00-3.50). More RNs (84 [96.6%]) than EPs (29 [82.9%]) reported that
developing an ED policy or guideline for safe discharge after administration of
opioids is important to clinical practice (P = 0.02). Only 8 physicians (23.5%)
reported that they did not prescribe intramuscular morphine, and 15 (42.9%)
reported that they did not prescribe intramuscular hydromorphone. EPs (7 [20.0%])
and RNs (3 [3.4%]) differed in regard to whether they were aware if any patients
to whom they administered an opioid had experienced an adverse drug-related event
(P = 0.01). Most EPs (24 [68.6%]) and RNs (54 [61.4%]) believed that the decision
for patient discharge should be left to both the emergency medicine provider and
the RN. IMPLICATIONS: Most study participants believed that developing a policy
or guideline for safe discharge after administration opioids in the ED is
important to clinical practice. Only a few physicians reported that they did not
prescribe intramuscular hydromorphone or morphine. Most participants believed the
discharge decision after administration of opioids in the ED should be primarily
determined by both the emergency medicine provider and the RN.
PMID- 29371004
TI - Gender Differences in Pain Experience and Treatment after Motor Vehicle
Collisions: A Secondary Analysis of the CRASH Injury Study.
AB - PURPOSE: Little is known about gender differences in the treatment of pain after
motor vehicle collisions (MVCs) in an emergency department (ED). We aimed to
describe gender differences in pain experiences and treatment, specifically the
use of opioids and benzodiazepines after ED discharge, for MVC-related pain.
METHODS: This was a secondary analysis of previously collected data from the
CRASH Injury studies. We included patients who were seen and discharged from an
ED after an MVC and who were enrolled in 1 of 2 multicenter longitudinal
prospective cohort studies (1 black/non-Hispanic and 1 white/non-Hispanic).
First, we compared the experience of pain as defined by self-reported moderate-to
severe axial pain, widespread pain, number of somatic symptoms, pain
catastrophizing, and peritraumatic distress between women and men using bivariate
analyses. We then determined whether there were gender differences in the receipt
of prescription medications for post-MVC pain symptoms (opioids and
benzodiazepines) using multivariate logistic regression adjusting for demographic
characteristics, pain, and collision characteristics. FINDINGS: In total, 1878
patients were included: 61.4% were women. More women reported severe symptoms on
the pain catastrophizing scale (36.8% vs 31.0%; P = 0.032) and peritraumatic
distress following the MVC (59.7% vs 42.5%; P < 0.001), and women reported more
somatic symptoms than men (median, 3.9; interquartile range, 3.7-4.0 vs median,
3.3; interquartile range, 3.1-3.5; P < 0.001). Unadjusted, similar proportions of
women and men were given opioids (29.2% vs 29.7%; P = 0.84). After adjusting for
covariates, women and men remained equally likely to receive a prescription for
opioids (relative risk = 0.83; 95% confidence interval, 0.58-1.19). Women were
less likely than men to receive a benzodiazepine at discharge from an ED
(relative risk = 0.53; 95% confidence interval, 0.32-0.88). IMPLICATIONS: In a
large, multicenter study of ED patients treated for MVC, there were gender
differences in the acute psychological response to MVC with women reporting more
psychological and somatic symptoms. Women and men were equally likely to receive
opioid prescriptions at discharge. Future research should investigate potential
gender-specific interventions to reduce both posttraumatic distress and the risk
of developing negative long-term outcomes like chronic pain.
PMID- 29371006
TI - [Circumflex arising from the right coronary sinus: echocardiographic image].
PMID- 29371007
TI - Efficacy and Safety of Ranibizumab 0.5 mg for the Treatment of Macular Edema
Resulting from Uncommon Causes: Twelve-Month Findings from PROMETHEUS.
AB - PURPOSE: To evaluate the efficacy and safety of ranibizumab 0.5 mg in adult
patients with macular edema (ME) resulting from any cause other than diabetes,
retinal vein occlusion, or neovascular age-related macular degeneration. DESIGN:
A phase 3, 12-month, double-masked, randomized, sham-controlled, multicenter
study. PARTICIPANTS: One hundred seventy-eight eligible patients aged >=18 years.
METHODS: Patients were randomized 2:1 to receive either ranibizumab 0.5 mg (n =
118) or sham (n = 60) at baseline and month 1. From month 2, patients in both
arms received open-label individualized ranibizumab treatment based on disease
activity. A preplanned subgroup analysis was conducted on the primary end point
on 5 predefined baseline ME etiologies (inflammatory/post-uveitis, pseudophakic
or aphakic, central serous chorioretinopathy, idiopathic, and miscellaneous).
MAIN OUTCOME MEASURES: Changes in best-corrected visual acuity (BCVA; Early
Treatment Diabetic Retinopathy Study letters) from baseline to month 2 (primary
end point) and month 12 and safety over 12 months. RESULTS: Overall, 156 patients
(87.6%) completed the study. The baseline characteristics were well balanced
between the treatment arms. Overall, ranibizumab showed superior efficacy versus
sham from baseline to month 2 (least squares mean BCVA, +5.7 letters vs. +2.9
letters; 1-sided P = 0.0111), that is, a treatment effect (TE) of +2.8 letters.
The mean BCVA gain from baseline to month 12 was 9.6 letters with ranibizumab.
The TE at month 2 was variable in the 5 predefined etiology subgroups, ranging
from >5-letter gain to 0.5-letter loss. The safety findings were consistent with
the well-established safety profile of ranibizumab. CONCLUSIONS: The primary end
point was met and ranibizumab showed superiority in BCVA gain over sham in
treating ME due to uncommon causes, with a TE of +2.8 letters versus sham at
month 2. At month 12, the mean BCVA gain was high (9.6 letters) in the
ranibizumab arm; however, the TE was observed to be variable across the different
etiology subgroups, reaching a >1-line TE in BCVA in patients with ME resulting
from inflammatory conditions/post-uveitis or after cataract surgery. Overall,
ranibizumab was well tolerated with no new safety findings up to month 12.
PMID- 29371008
TI - Myopia Prevention and Outdoor Light Intensity in a School-Based Cluster
Randomized Trial.
AB - PURPOSE: To investigate the effectiveness of a school-based program promoting
outdoor activities in Taiwan for myopia prevention and to identify protective
light intensities. DESIGN: Multi-area, cluster-randomized intervention controlled
trial. PARTICIPANTS: A total 693 grade 1 schoolchildren in 16 schools
participated. Two hundred sixty-seven schoolchildren were in the intervention
group and 426 were in the control group. METHODS: Initially, 24 schools were
randomized into the intervention and control groups, but 5 and 3 schools in the
intervention and control groups, respectively, withdrew before enrollment. A
school-based Recess Outside Classroom Trial was implemented in the intervention
group, in which schoolchildren were encouraged to go outdoors for up to 11 hours
weekly. Data collection included eye examinations, cycloplegic refraction,
noncontact axial length measurements, light meter recorders, diary logs, and
questionnaires. MAIN OUTCOME MEASURES: Change in spherical equivalent and axial
length after 1 year and the intensity and duration of outdoor light exposures.
RESULTS: The intervention group showed significantly less myopic shift and axial
elongation compared with the control group (0.35 diopter [D] vs. 0.47 D; 0.28 vs.
0.33 mm; P = 0.002 and P = 0.003) and a 54% lower risk of rapid myopia
progression (odds ratio, 0.46; 95% confidence interval [CI], 0.28-0.77; P =
0.003). The myopic protective effects were significant in both nonmyopic and
myopic children compared with controls. Regarding spending outdoor time of at
least 11 hours weekly with exposure to 1000 lux or more of light, the
intervention group had significantly more participants compared with the control
group (49.79% vs. 22.73%; P < 0.001). Schoolchildren with longer outdoor time in
school (>=200 minutes) showed significantly less myopic shift (measured by light
meters; >=1000 lux: 0.14 D; 95% CI, 0.02-0.27; P = 0.02; >=3000 lux: 0.16 D; 95%
CI, 0.002-0.32; P = 0.048). CONCLUSIONS: The school-based outdoor promotion
program effectively reduced the myopia change in both nonmyopic and myopic
children. Outdoor activities with strong sunlight exposure may not be necessary
for myopia prevention. Relatively lower outdoor light intensity activity with
longer time outdoors, such as in hallways or under trees, also can be considered.
PMID- 29371009
TI - Genetic Background of Iris Melanomas and Iris Melanocytic Tumors of Uncertain
Malignant Potential.
AB - PURPOSE: Uveal melanoma (UM) is the most common primary intraocular malignancy in
adults. Iris melanoma comprises 4% to 10% of all UMs and has a lower mortality
rate. The genetic changes in iris melanoma are not as well characterized as
ciliary body or choroidal melanoma. The aim of this study was to gain more
insight into the genetic background of iris melanoma and iris nevi. DESIGN:
Multicenter, retrospective case series. PARTICIPANTS: Patients diagnosed with
iris melanoma or iris nevi who underwent surgical intervention as primary or
secondary treatment. METHODS: Next-generation sequencing of GNAQ, GNA11, EIF1AX,
SF3B1, BAP1, NRAS, BRAF, PTEN, c-Kit, TP53, and TERT was performed on 30 iris
melanomas and 7 iris nevi. Copy number status was detected using single
nucleotide polymorphisms (SNPs) included in the next-generation sequencing (NGS)
panel, SNP array, or fluorescent in situ hybridization. BAP1 immunohistochemistry
was performed on all samples. MAIN OUTCOME MEASURES: Mutation and copy number
status were analyzed. Results of BAP1 immunohistochemistry were used for survival
analysis. RESULTS: In 26 of the 30 iris melanoma and all iris nevi, at least 1
mutation was identified. Multiple mutations were detected in 23 iris melanoma and
5 nevi, as well as mutations in GNAQ and GNA11. Furthermore, 13 of 30 BAP1, 5 of
30 EIF1AX, and 2 of 30 SF3B1 mutations were identified in iris melanoma. No
correlation between BAP1 status and disease-free survival was found. The iris
nevi showed 1 EIF1AX and 3 BAP1 mutations. Two of the nevi, with a BAP1 mutation,
were histologically borderline malignant. Mutations in NRAS, BRAF, PTEN, c-KIT,
and TP53 were detected in 6 iris melanomas and 4 iris nevi. CONCLUSIONS:
Mutations that are often found in uveal and cutaneous melanoma were identified in
this cohort of iris melanomas and iris nevi. Therefore, iris melanomas harbor a
molecular profile comparable to both choroidal melanoma and cutaneous melanoma.
These findings may offer adjuvant targeted therapies for iris melanoma. There was
no prognostic significance of BAP1 expression as seen in choroidal melanoma.
Consequently, iris melanoma is a distinct molecular subgroup of UM. Histologic
borderline malignant iris nevi can harbor BAP1 mutations and may be designated
iris melanocytic tumors of uncertain malignant potential.
PMID- 29371010
TI - The Discrepancy between Subjective and Objective Measures of Convergence
Insufficiency in Whiplash-Associated Disorder versus Control Participants.
AB - PURPOSE: Motor vehicle accidents (MVAs) are a pandemic associated with human
suffering and a burden to national economies. Whiplash-associated disorders
(WADs) after MVAs are associated commonly with disability claims, many of which
are related to vision. Convergence insufficiency (CI) leads to visual disability
associated with symptoms of ocular discomfort. We examined the incidence of
symptoms and findings consistent with CI in a cohort of patients after MVA
related WAD compared with age-matched control participants. DESIGN: Prospective
cohort study. PARTICIPANTS: Patients with WAD after MVA were recruited from the
Orthopedic Emergency Department between July 2014 and March 2017. Control
participants were recruited among hospital personnel and relatives of WAD
patients. METHODS: The Convergence Insufficiency Symptom Survey (CISS)
questionnaire was completed by each participant, followed by a detailed visual
examination including measurements of distance and near best-corrected Snellen
visual acuity, distance and near cover test, Randot stereopsis, Maddox distance
and Maddox-Thorington near heterophoria, near point of convergence, base-out step
fusional reserves, and amplitude of accommodation using the push-away method.
MAIN OUTCOME MEASURES: The CISS score and binocular measure findings of CI were
recorded and analyzed using Student's t test, the chi-square test, and multiple
logistic regression adjusted for age and gender. RESULTS: A pathologic CISS score
of 16 or more occurred in 26 of 57 WAD patients (45.6%) compared with 6 of 39
control participants (15.4%; P = 0.002). Absolute CISS score was higher in the
WAD group compared with the control group (15.3+/-10.0 vs. 7.7+/-7.7; P < 0.001).
Findings consistent with CI occurred in 7.0% of WAD patients and 7.7% of control
participants (P = 0.90). CONCLUSIONS: Visual symptoms suggestive of CI were
reported more frequently among WAD patients compared with control participants,
yet the incidence of examination findings indicating weakness of convergence was
not increased. The discrepancy between subjective and objective measures of CI in
WAD patients versus control participants stresses the importance of training
healthcare personnel to assess disability using objective, validated standards of
examination.
PMID- 29371011
TI - Progression of Myopic Maculopathy during 18-Year Follow-up.
AB - PURPOSE: To examine the progression pattern of myopic maculopathy. DESIGN:
Retrospective, observational case series. PARTICIPANTS: Highly myopic patients
who had been followed up for 10 years or more. METHODS: Using fundus photographs,
myopic features were differentiated according to Meta-analysis of Pathologic
Myopia (META-PM) Study Group recommendations. MAIN OUTCOME MEASURES: Progression
pattern of maculopathy. RESULTS: The study included 810 eyes of 432 patients
(mean age, 42.3+/-16.8 years; mean axial length, 28.8+/-1.9 mm; mean follow-up,
18.7+/-7.1 years). The progression rate of myopic maculopathy was 47.0 per 1000
eye-years. Within the pathologic myopia (PM) group (n = 521 eyes), progression of
myopic maculopathy was associated with female gender (odds ratio [OR], 2.21; P =
0.001), older age (OR, 1.03; P = 0.002), longer axial length (OR, 1.20; P =
0.007), greater axial elongation (OR, 1.45; P = 0.005), and development of
parapapillary atrophy (PPA; OR, 3.14; P < 0.001). Diffuse atrophy, found in 217
eyes without choroidal neovascularization (CNV) or lacquer cracks (LCs) at
baseline, progressed in 111 (51%) eyes, leading to macular diffuse atrophy (n =
64; 64/111 or 58%), patchy atrophy (n = 59; 53%), myopic CNV (n = 18; 16%), LCs
(n = 9; 5%), and patchy-related macular atrophy (n = 3; 3%). Patchy atrophy,
detected in 63 eyes without CNV or LCs at baseline, showed progression in 60 eyes
(95%), leading to enlargement of original patchy atrophy (n = 59; 59/60 or 98%),
new patchy atrophy (n = 29; 48%), CNV-related macular atrophy (n = 13; 22%), and
patchy-related macular atrophy (n = 5; 8%). Of 66 eyes with LCs, 43 eyes (65%)
showed progression with development of new patchy atrophy (n = 38; 38/43 or 88%)
and new LCs (n = 7; 16%). Reduction in best-corrected visual acuity (BCVA) was
associated mainly (all P < 0.001) with the development of CNV or CNV-related
macular atrophy and enlargement of macular atrophy. CONCLUSIONS: The most
frequent progression patterns were an extension of peripapillary diffuse atrophy
to macular diffuse atrophy in diffuse atrophy, enlargement of the original
atrophic lesion in patchy atrophy, and development of patchy atrophy in LCs. Main
risk factors for progression were older age, longer axial length, and development
of PPA.
PMID- 29371012
TI - Association Between Magnetic Resonance Imaging-Measured Intercondylar Notch
Dimensions and Anterior Cruciate Ligament Injury: A Meta-analysis.
AB - PURPOSE: To explore the association between the magnetic resonance imaging (MRI)
measured intercondylar notch dimensions, including the intercondylar notch width
(NW) and intercondylar notch width index (NWI), and the risk of anterior cruciate
ligament (ACL) injury by performing a meta-analysis of studies that relied on the
multiplanar imaging and soft-tissue visualization strengths of MRI. METHODS: The
MEDLINE, Embase, and SportDiscus databases were searched from inception to March
2017. Observational studies reporting on the associations of the NWI and NW with
ACL injury were retrieved. A random-effects model was used to calculate the
overall weighted mean difference (WMD) between the ACL injury group and control
group. RESULTS: A total of 20 studies were included in this meta-analysis. The
combined data showed that subjects with ACL injury, as compared with the control
group, had a significantly decreased NW (pooled WMD, -1.53 [95% confidence
interval, -1.81 to -1.25]; P < .00001) and NWI (pooled WMD, -0.02 [95% confidence
interval, -0.03 to -0.01]; P < .00001). Similar findings were observed in
subgroup analyses in terms of different injury mechanisms. No significant
difference in NWI was found in the axial view. A significantly decreased NW and
NWI were found in other plane views. The sensitivity analyses after the exclusion
of studies enrolling only athletes or skeletally immature subjects reached
similar outcomes. The Begg rank correlation test showed no publication bias.
CONCLUSIONS: With the accumulation of evidence, this meta-analysis concluded that
the NW and/or NWI measured by MRI was significantly lower in ACL-injured patients
than in control subjects. For persons with a narrow intercondylar notch,
preventive measures can be prepared for the prevention of ACL injuries. LEVEL OF
EVIDENCE: Level III, meta-analysis of Level II and III studies.
PMID- 29371013
TI - Factors Affecting Cost, Outcomes, and Tendon Healing After Arthroscopic Rotator
Cuff Repair.
AB - BACKGROUND: The purpose of this study was to simultaneously examine costs,
functional outcomes, and tendon healing after arthroscopic rotator cuff repair.
METHODS: This was a retrospective, single-surgeon, single-hospital study. Pre-
and postoperative Simple Shoulder Test (SST), visual analog scale (VAS) pain, and
American Shoulder and Elbow Surgeons (ASES) scores, and postoperative magnetic
resonance images (MRIs) were obtained. Direct costs were derived using a unique,
validated tool. Costs included overall total direct cost, which included facility
use costs, medication costs, supply costs, and other ancillary costs. RESULTS: 85
patients had a minimum 1-year follow-up of functional outcomes (mean of 1.24
years, range 1-3.2 years) and 56 of 85 (66%) had postoperative MRI healing data
at an average follow-up of 1.3 years (range 1-3.2 years). Increased direct cost
was associated with ASA class III (P < .001) compared with ASA class I,
procedures performed at the main operative room (P = .017) compared with those at
the surgical center, single-row repair (P < .001) compared with double-row
repair, medium and large tear sizes (P < .001 and P = .001) compared with small
tear, and increased number of anchors (P <= .001 or P < .039 for each
additional). Arthroscopic biceps tenodesis was associated with decreased
improvement in SST, VAS-pain, and ASES scores (P < .001, .012, and .024), whereas
infraspinatus atrophy and large/massive tear size was associated with decreased
improvement in ASES scores (P = .03). Obesity (P = .004) and smoking (P = .034)
were associated with greater improvement in VAS-pain scores as these were
associated with decreased preoperative scores. Seventy percent of tears healed.
CONCLUSIONS: Within our study, factors that increased direct costs were outcome
neutral, and factors that improved outcome were cost neutral. LEVEL OF EVIDENCE:
Level IV, retrospective.
PMID- 29371014
TI - Rotavirus strain distribution in Ghana pre- and post- rotavirus vaccine
introduction.
AB - BACKGROUND: Ghana introduced the monovalent rotavirus vaccine (Rotarix) into its
national paediatric vaccination programme in May2012. Vaccine introduction was
initiated nationwide and achieved >85% coverage within a few months. Rotavirus
strain distribution pre- and post-RV vaccine introduction is reported. METHODS:
Stool samples were collected from diarrhoeic children <5 years of age
hospitalized between 2009 and 2016 at sentinel sites across Ghana and analyzed
for the presence of group A rotavirus by enzyme immunoassay. Rotavirus strains
were characterized by RT-PCR and sequencing. RESULTS: A total of 1363 rotavirus
EIA-positive samples were subjected to molecular characterization. These were
made up of 823 (60.4%) and 540 (39.6%) samples from the pre- and post-vaccine
periods respectively. Rotavirus VP7 genotypes G1, G2 and G3, and VP4 genotypes
P[6] and P[8] constituted more than 65% of circulating G and P types in the pre
vaccine period. The common strains detected were G1P[8] (20%), G3P[6] (9.2%) and
G2P[6] (4.9%). During the post-vaccine period, G12, G1 and G10 genotypes,
constituted more than 65% of the VP7 genotypes whilst P[6] and P[8] made up more
than 75% of the VP4 genotypes. The predominant circulating strains were G12P[8]
(26%), G10P[6] (10%) G3P[6] (8.1%) and G1P[8] (8.0%). We also observed the
emergence of the unusual rotavirus strain G9P[4] during this period. CONCLUSION:
Rotavirus G1P[8], the major strain in circulation during the pre-vaccination era,
was replaced by G12P[8] as the most predominant strain after vaccine
introduction. This strain replacement could be temporary and unrelated to vaccine
introduction since an increase in G12 was observed in countries yet to introduce
the rotavirus vaccine in West Africa. A continuous surveillance programme in the
post-vaccine era is necessary for the monitoring of circulating rotavirus strains
and the detection of unusual/emerging genotypes.
PMID- 29371015
TI - Reactive vaccination as a control strategy for pneumococcal meningitis outbreaks
in the African meningitis belt: Analysis of outbreak data from Ghana.
AB - Streptococcus pneumoniae is increasingly recognised as an important cause of
bacterial meningitis in the African meningitis belt. The World Health
Organization sets guidelines for response to outbreaks of meningococcal
meningitis, but there are no current guidelines for outbreaks where S. pneumoniae
is implicated. We aimed to evaluate the impact of using a similar response to
target outbreaks of vaccine-preventable pneumococcal meningitis in the meningitis
belt. Here, we adapt a previous model of reactive vaccination for meningococcal
outbreaks to estimate the potential impact of reactive vaccination in a recent
pneumococcal meningitis outbreak in the Brong-Ahafo region of central Ghana using
weekly line list data on all suspected cases over a period of five months. We
determine the sensitivity and specificity of various epidemic thresholds and
model the cases and deaths averted by reactive vaccination. An epidemic threshold
of 10 suspected cases per 100,000 population per week performed the best,
predicting large outbreaks with 100% sensitivity and more than 85% specificity.
In this outbreak, reactive vaccination would have prevented a lower number of
cases per individual vaccinated (approximately 15,300 doses per case averted)
than previously estimated for meningococcal outbreaks. Since the burden of death
and disability from pneumococcal meningitis is higher than that from
meningococcal meningitis, there may still be merit in considering reactive
vaccination for outbreaks of pneumococcal meningitis. More outbreak data are
needed to refine our model estimates. Whatever policy is followed, we emphasize
the importance of timely laboratory confirmation of suspected cases to enable
appropriate decisions about outbreak response.
PMID- 29371016
TI - High glucose up-regulates microRNA-34a-5p to aggravate fibrosis by targeting
SIRT1 in HK-2 cells.
AB - Tubulointerstitial fibrosis (TIF) is crucial in the development of renal fibrosis
in diabetic nephropathy(DN). Previous data shows that SIRT1 plays an important
role on fibrosis, but the effect on TIF in DN and underlying mechanisms remains
uncertain. In this study, we evaluated the vital role of SIRT1 and identified
SIRT1 as a downstream target gene of microRNA-34a-5p (miR-34a-5p) in TIF of DN.
The result revealed that expression of miR-34a-5p, fibronectin(FN),collagen type
I (COL1) and transforming growth factor beta1 (TGF-beta1) were up-regulated
accompanied by the corresponding down-regulation of SIRT1 in renal tissues of
high fat diet and streptozotocin(HFD/STZ)induced diabetic mice with DN, and that
the SIRT1 mRNA level was negatively correlated with miR-34a-5p expression in high
glucose stimulated human proximal tubule cell line(HK-2) cells. We then
demonstrated that overexpression of SIRT1 reduced, whereas small interfering RNA
targeting SIRT1 enhanced the expressions of TGF-beta1 and fibrosis-related genes
including FN and COL1 in HK-2 cells. Furthermore, we identified that miR-34a-5p
directly suppressed SIRT1 to increase the profibrogenic effects of TGFbeta1
through targeting the 3'untranslated region of SIRT1. The functional correlation
of miR-34a-5p induced SIRT1 decrease was supported by overexpression and
inhibition of miR-34a-5p in HK-2 cells. All the results reveal that SIRT1 which
is vital in the evolution of renal TIF in DN can be directly suppressed by miR
34a-5p, and suggest that miR-34a-5p is a new target for DN treatment.
PMID- 29371018
TI - Hepatitis B virus reactivation after direct-acting antivirals for chronic
hepatitis C infection.
PMID- 29371017
TI - Hepatitis B virus reactivation during direct-acting antiviral therapy for
hepatitis C: a systematic review and meta-analysis.
AB - BACKGROUND: Direct-acting antiviral (DAA) therapy for chronic hepatitis C virus
(HCV) infection might pose a risk for hepatitis B virus (HBV) reactivation in
patients coinfected with chronic or resolved HBV infection. The need for HBV
antiviral prophylaxis during DAA treatment remains controversial. We aimed to
analyse the absolute risk of HBV reactivation in patients with active or resolved
HBV infection treated with DAAs for HCV infection. METHODS: For this systematic
review and meta-analysis, we searched PubMed, Ovid MEDLINE, the Cochrane Central
Register of Controlled Trials, and Web of Science from Oct 1, 2010, to Sept 30,
2017, to identify studies of patients with chronic or resolved HBV infection at
baseline treated with DAAs for chronic HCV infection. Conference proceedings,
abstract books, and references from relevant reviews were also examined for
potential studies. Two independent researchers extracted data and assessed
quality and risk of bias. Data were pooled by use of random-effects models. The
primary outcome was HBV reactivation defined by standardised nomenclature. This
study is registered with PROSPERO, number CRD42017065882. FINDINGS: We identified
17 observational studies involving 1621 patients with chronic (n=242) or resolved
(n=1379) HBV infection treated with different DAAs. The pooled proportion of
patients who had HBV reactivation was 24% (95% CI 19-30) in patients with chronic
HBV infection and 1.4% (0.8-2.4) in those with resolved HBV infection. In
patients with chronic HBV infection, the pooled proportion of patients with HBV
reactivation-related hepatitis was 9% (95% CI 5-16) and the relative risk (RR) of
HBV-reactivation-related hepatitis was significantly lower in patients with HBV
DNA below the lower limit of quantification at baseline than in those with
quantifiable HBV DNA (RR 0.17, 95% CI 0.06-0.50; p=0.0011). Three major clinical
events related to HBV reactivation in patients with chronic HBV infection were
reported (one patient had liver decompensation and two had liver failure, one of
whom required liver transplantation). In patients with resolved HBV infection, no
HBV-reactivation-related hepatitis was reported. INTERPRETATION: HBV reactivation
occurs frequently in patients with chronic HBV and HCV coinfection receiving DAA
therapy but is rare among patients with resolved HBV infection. Use of antiviral
prophylaxis might be warranted in patients who test positive for hepatitis B
surface antigen (HBsAg), particularly those with quantifiable HBV DNA. FUNDING:
None.
PMID- 29371019
TI - Stable and sustained release liposomal formulations of celecoxib: In vitro and in
vivo anti-tumor evaluation.
AB - Celecoxib (CLX) is a selective COX-2 inhibitor with anticancer potential in a COX
2 dependent and independent manner. CLX's low water solubility has a dose
limiting effect on its utilization in cancer treatment. Here, we developed
liposomal drug delivery systems to allow a systemic administration and increase
tumor accumulation of CLX based on the enhanced permeability and retention (EPR)
mechanism. Nine liposomal formulations has been prepared with different
phospholipid compositions; among them three sets of liposomal formulations were
selected based on characterization and stability for further studies. Anti-tumor
effects of CLX-entrapped liposomal formulations were tested in vitro by
cytotoxicity test and in vivo in BALB/c mice bearing C26 colon carcinoma.
Biodistribution of liposomal-CLX has been studied by radiolabeling of CLX with
I125.The selected formulations had average size of about 100 nm, a narrow
monomodal distribution with storage stability of at least one year at 4 degrees
C. The HSPC/DSPG/cholesterol/DSPE-PEG2000/CLX (65/10/10/5/10 M ratio) liposomal
formulation had slowest release profile and greatest antitumor effects in vivo.
This liposomal I125CLX formulation had a three times more accumulation in tumor
site in comparison to the free I125CLX. Liposomal CLX may serve as a safe, slow
release and effective anti-tumor agent and merits further investigation.
PMID- 29371020
TI - Liquid crystalline drug delivery vehicles for oral and IV/subcutaneous
administration of poorly soluble (and soluble) drugs.
AB - Poorly soluble drug molecules often have low bioavailability issues and
absorption problems in the clinical setting. As the number of poorly soluble
drugs increases from discovery, developing technologies to enhance their
solubility, while also controlling their release is one of the many challenges
facing the pharmaceutical industry today. Liquid crystalline systems,
nanoparticulate or macro-matrix, self-assemble in the presence of an aqueous
environment and can provide a solubility enhancement, while also controlling the
drug release rate. This review examines the fundamentals of liquid crystalline
systems through the representative literature, concluding with examples of liquid
crystalline systems in clinical trials development. The review focus is on the
potential of utilizing liquid crystalline systems for poorly soluble drugs, in
the areas of oral delivery and IV/subcutaneous, followed by water soluble
molecules. Key considerations in utilizing liquid crystalline systems advantages
while also discussing potential areas of key research that may be needed will be
highlighted.
PMID- 29371021
TI - The interplay between noncoding RNAs and insulin in diabetes.
AB - Noncoding RNAs (ncRNAs), including microRNAs, long noncoding RNAs and circular
RNAs, regulate various biological processes and are involved in the initiation
and progression of human diseases. Insulin, a predominant hormone secreted from
pancreatic beta cells, is an essential factor in regulation of systemic
metabolism through multifunctional insulin signaling. Insulin production and
action are tightly controlled. Dysregulations of insulin production and action
can impair metabolic homeostasis, and eventually lead to the development of
multiple metabolic diseases, especially diabetes. Accumulating data indicates
that ncRNAs modulate beta cell mass, insulin synthesis, secretion and signaling,
and their role in diabetes is dramatically emerging. This review summarizes our
current knowledge of ncRNAs as regulators of insulin, with particular emphasis on
the implications of this interplay in the development of diabetes. We outline the
role of ncRNAs in pancreatic beta cell mass and function, which is critical for
insulin production and secretion. We also highlight the involvement of ncRNAs in
insulin signaling in peripheral tissues including liver, muscle and adipose, and
discuss ncRNA-mediated inter-organ crosstalk under diabetic conditions. A more in
depth understanding of the interplay between ncRNAs and insulin may afford
valuable insights and novel therapeutic strategies for treatment of diabetes, as
well as other human diseases.
PMID- 29371022
TI - Single cell transcriptome sequencing: A new approach for the study of mammalian
sex determination.
AB - Mammalian sex determination is a highly complex developmental process that is
particularly difficult to study due to the limited number of gonadal cells
present at the bipotential stage, the large cellular heterogeneity in both testis
and ovaries and the rapid sex-dependent differentiation processes. Single-cell
RNA-sequencing (scRNA-seq) circumvents the averaging artifacts associated with
methods traditionally used to profile bulk populations of cells. It is a powerful
tool that allows the identification and classification of cell populations in a
comprehensive and unbiased manner. In particular, scRNA-seq enables the tracing
of cells along developmental trajectories and characterization of the
transcriptional dynamics controlling their differentiation. In this review, we
describe the current state-of-the-art experimental methods used for scRNA-seq and
discuss their strengths and limitations. Additionally, we summarize the multiple
key insights that scRNA-seq has provided to the understanding of mammalian sex
determination. Finally, we briefly discuss the future of this technology, as well
as complementary applications in single cell -omics in the context of mammalian
sex determination.
PMID- 29371023
TI - Selective attention to smoking cues in former smokers.
AB - Repeated drug use modifies the emotional and cognitive processing of drug
associated cues. These changes are supposed to persist even after prolonged
abstinence. Several studies demonstrated that smoking cues selectively attract
the attention of smokers, but empirical evidence for such an attentional bias
among successful quitters is inconclusive. Here, we investigated whether
attentional biases persist after smoking cessation. Thirty-eight former smokers,
34 current smokers, and 29 non-smokers participated in a single experimental
session. We used three measures of attentional bias for smoking stimuli: A visual
probe task with short (500ms) and long (2000ms) picture stimulus durations, and a
modified Stroop task with smoking-related and neutral words. Former smokers and
current smokers, as compared to non-smokers, showed an attentional bias in visual
orienting to smoking pictures in the 500ms condition of the visual probe task.
The Stroop interference index of smoking words was negatively related to nicotine
dependence in current smokers. Former smokers and mildly dependent smokers, as
compared to non-smokers, showed increased interference by smoking words in the
Stroop task. Neither current nor former smokers showed an attentional bias in
maintained attention (2000ms visual probe task). In conclusion, even after
prolonged abstinence smoking cues retain incentive salience in former smokers,
who differed from non-smokers on two attentional bias indices. Attentional biases
in former smokers operate mainly in early involuntary rather than in controlled
processing, and may represent a vulnerability factor for relapse. Therefore,
smoking cessation programs should strengthen self-control abilities to prevent
relapses.
PMID- 29371025
TI - Home Non-Invasive Ventilation for COPD: How, Who and When?
AB - Patients with chronic obstructive pulmonary disease (COPD) and chronic
respiratory failure have high levels of morbidity and mortality. The clinical
efficacy of long term home oxygen therapy has been well documented in this
patient group but despite the efficacy of non-invasive ventilation (NIV) during
acute decompensated respiratory failure the addition of home NIV has been
associated with equivocal results. The physiological efficacy of home NIV to
improve gas exchange in chronic stable hypercapnic respiratory failure has been
proven in small studies but larger clinical trials failed to translate this into
clinical efficacy. Criticisms of early clinical trials include the use of
marginally hypercapnic patients and failure to demonstrate effective delivery of
home NIV. When considering recent trial data it is important to clearly evaluate
the patient phenotype and timing and delivery of NIV. Recent data supports the
delivery of home NIV in patients with chronic hypercapnia (PaCO2>7kPa or 50mmHg)
and the frequent or infrequent exacerbator phenotype. Importantly in the frequent
exacerbator the timing of the assessment needs to be in the recovery phase, 2-4
weeks after resolution of acute acidosis, to delineate transient from persistent
hypercapnia. In patient with persistent hypercapnia NIV must be titrated to
achieve control of sleep disordered breathing with the aim of improving daytime
respiratory failure. Furthermore there are observational data to support the use
of home positive airway pressure therapy (NIV or continuous positive airway
pressure; CPAP) in patients with COPD and obstructive sleep apnoea (OSA) both
with and without hypercapnia.
PMID- 29371026
TI - Olfactory identification deficit and its relationship with hedonic traits in
patients with first-episode schizophrenia and individuals with schizotypy.
AB - OBJECTIVE: Olfactory identification impairments have been consistently found in
schizophrenia patients. However, few previous studies have investigated this in
first-episode patients. There are also inconsistent findings regarding olfactory
identification ability in psychometrically-defined schizotypy individuals. In
this study, we directly compared the olfactory identification ability of first
episode schizophrenia patients with schizotypy individuals. The relationship
between olfactory identification impairments and hedonic traits was also
examined. METHODS: Thirty-five first-episode schizophrenia patients, 40
schizotypy individuals as defined by the Chapman's Anhedonia Scales and 40
demographically matched controls were recruited. The University of Pennsylvania
Smell Identification Test was administered. Hedonic capacity was assessed using
the Temporal Experience of Pleasure Scale (TEPS). RESULTS: The results showed
that both the schizophrenia and schizotypy groups showed poorer olfactory
identification ability than controls, and the impairment was significantly
correlated with reduced pleasure experiences. CONCLUSION: Our findings support
olfactory identification impairment as a trait marker for schizophrenia.
PMID- 29371027
TI - Atlantic forests to the all Americas: Biogeographical history and divergence
times of Neotropical Ficus (Moraceae).
AB - Ficus (Moraceae) is well diversified in the Neotropics with two lineages
inhabiting the wet forests of this region. The hemiepiphytes of section
Americanae are the most diversified with c. 120 species, whereas section
Pharmacosycea includes about 20 species mostly with a terrestrial habit. To
reconstruct the biogeographical history and diversification of Ficus in the
Americas, we produced a dated Bayesian phylogenetic hypothesis of Neotropical
Ficus including two thirds of the species sequenced for five nuclear regions
(At103, ETS, G3pdh, ITS/5.8S and Tpi). Ancestral range was estimated using all
models available in Biogeobears and Binary State Speciation and Extinction
analysis was used to evaluate the role of the initial habit and propagule size in
diversification. The phylogenetic analyses resolved both Neotropical sections as
monophyletic but the internal relationships between species in section Americanae
remain unclear. Ficus started their diversification in the Neotropics between the
Oligocene and Miocene. The genus experienced two bursts of diversification: in
the middle Miocene and the Pliocene. Colonization events from the Amazon to
adjacent areas coincide with the end of the Pebas system (10 Mya) and the
connection of landmasses. Divergence of endemic species in the Atlantic forest is
inferred to have happened after its isolation and the opening and consolidation
of the Cerrado. Our results suggest a complex diversification in the Atlantic
forest differing between postulated refuges and more instable areas in the South
distribution of the forest. Finally the selection for initial hemiepiphytic habit
and small to medium propagule size influenced the diversification and current
distribution of the species at Neotropical forests marked by the historical
instability and long-distance dispersal.
PMID- 29371028
TI - [E.G. Simon in reply to the article by L. Hejl et al.: Vaginal delivery in case
of breech presentation: impact of a service's incentive. Gynecol Obstet Fertil
Senol 2017;45(11):596-603].
PMID- 29371029
TI - Melanoma antigen-D2 controls cell cycle progression and modulates the DNA damage
response.
AB - Overexpression of the ubiquitous type II melanoma antigen-D2 (MAGED2) in numerous
types of cancer suggests that this protein contributes to carcinogenesis, a well
documented characteristic of other MAGE proteins. Modification of MAGED2
intracellular localization during cell cycle phases and following treatment with
camptothecin (CPT) and phosphorylation by ATM/ATR following ionizing irradiation
led us to investigate the molecular functions of MAGED2 in the cellular response
to DNA damage. Cell cycle regulators, cell cycle progression, and
bromodeoxyuridine (BrdU) incorporation were compared between MAGED2-sufficient
and -depleted U2OS cells following exposure to CPT. At 24 h post-CPT removal,
MAGED2-depleted cells had lower levels of p21 and p27, and there was an increase
in S phase BrdU-positive cells with a concurrent decrease in cells in G2. These
cell cycle modifications were p21-independent, but ATR-, SKP2-, and CDC20
dependent. Importantly, while MAGED2 depletion reduced CHK2 phosphorylation after
8 h of CPT treatment, it enhanced and prolonged CHK1 phosphorylation after a 24 h
recovery period, indicating sustained ATR activation. MAGED2 depletion had no
impact on cell survival under our experimental conditions. In summary, our data
indicate that MAGED2 reduced CPT-related replicative stress, suggesting a role
for this protein in genomic stability.
PMID- 29371024
TI - From neuroscience to evidence based psychological treatments - The promise and
the challenge, ECNP March 2016, Nice, France.
AB - This ECNP meeting was designed to build bridges between different constituencies
of mental illness treatment researchers from a range of backgrounds with a
specific focus on enhancing the development of novel, evidence based,
psychological treatments. In particular we wished to explore the potential for
basic neuroscience to support the development of more effective psychological
treatments, just as this approach is starting to illuminate the actions of drugs.
To fulfil this aim, a selection of clinical psychologists, psychiatrists and
neuroscientists were invited to sit at the same table. The starting point of the
meeting was the proposition that we know certain psychological treatments work,
but we have only an approximate understanding of why they work. The first task in
developing a coherent mental health science would therefore be to uncover the
mechanisms (at all levels of analysis) of effective psychological treatments.
Delineating these mechanisms, a task that will require input from both the clinic
and the laboratory, will provide a key foundation for the rational optimisation
of psychological treatments. As reviewed in this paper, the speakers at the
meeting reviewed recent advances in the understanding of clinical and cognitive
psychology, neuroscience, experimental psychopathology, and treatment delivery
technology focussed primarily on anxiety disorders and depression. We started by
asking three rhetorical questions: What has psychology done for treatment? What
has technology done for psychology? What has neuroscience done for psychology? We
then addressed how research in five broad research areas could inform the future
development of better treatments: Attention, Conditioning, Compulsions and
addiction, Emotional Memory, and Reward and emotional bias. Research in all these
areas (and more) can be harnessed to neuroscience since psychological therapies
are a learning process with a biological basis in the brain. Because current
treatment approaches are not fully satisfactory, there is an imperative to
understand why not. And when psychological therapies do work we need to
understand why this is the case, and how we can improve them. We may be able to
improve accessibility to treatment without understanding mechanisms. But for
treatment innovation and improvement, mechanistic insights may actually help.
Applying neuroscience in this way will become an additional mission for ECNP.
PMID- 29371030
TI - Regulation on SIRT1-PGC-1alpha/Nrf2 pathway together with selective inhibition of
aldose reductase makes compound hr5F a potential agent for the treatment of
diabetic complications.
AB - (R,E)-N-(3-(2-acetamido-3-(benzyloxy) propanamido)propyl)-2-cyano-3-(4-hydroxy
phenyl)acrylamide (hr5F) was design-synthesized based on bioactivity focus
strategy as a potential agent to treat diabetic complicates. With in vitro enzyme
assay, it is confirmed that hr5F is an effective ALR2 inhibitor with IC50 value
of 2.60 +/- 0.15 nM, and selectivity index of 86.0 over ALR1, which is a little
bit better than the reference Epalrestat (Epa). hr5F inhibits the increase of
ALR2 enzyme activity and expression in human lens epithelial cells (HLECs)
induced by high glucose. By applying western blot, it was found that hr5F
alleviates the high glucose-induced superoxide overproduction insults by
regulating SIRT1-PGC-1alpha/Nrf2 pathway, together with regulating NRF-1, mtTFA,
Bax/Bcl-2 to ameliorate cell apoptosis. The in vivo effects of hr5F on short term
streptozocin (STZ)-induced diabetic mice confirm the same functions disclosed in
vitro. All the evidences support that hr5F may serve as a promising agent in the
treatment of diabetic complications with close efficacy and broader indication
than the reference Epa.
PMID- 29371031
TI - Prominent Canadian Radiologist Receives the Order of Canada.
PMID- 29371033
TI - Influence of the Super Bowl on the United States birth sex ratio.
AB - INTRODUCTION: Many factors have been shown to influence the male to female ratio
at birth (M/T). Celebrations increase M/T nine months later, putatively due to
elevated coital rates. The Super Bowl (SBS) is consistently held in the first
Sunday in February. This study was carried out in order to ascertain whether SBS
results in a rise in M/T nine months later, and whether any such changes are
influenced by race and census region. METHODS: Births were studied for the period
2003-2015. Seasonality adjustment was applied in order to identify true outlier
values over and above those due to natural seasonal M/T variation. RESULTS: This
study analysed 53,105,069 births. M/T was elevated in all regions, for all races
for 11/2006, 9/2009, 10/2009, 10/2010, 12/2010, 11/2011, 11/2013 and 12/2013. A
similar effect is observed in the West Region for all births. For the various
ethnic groups, the effect is strongest for Whites in regions Northeast, South and
West, and for Black/African American births in regions Midwest and South.
DISCUSSION: Events which increase coital activity elevate M/T nine months later
as M/T follows a U-shaped regression on cycle day of insemination, with male
conceptions occurring more frequently at the beginning (and end) of the menstrual
cycle. SBS is a significant annual celebratory event in contemporary American
culture. It is possible that increased coital rates during this period (perhaps
due to a combination of celebration and inebriation) may result in the M/T rises
nine months later observed in this study.
PMID- 29371032
TI - The DSL ligand APX-1 is required for normal ovulation in C. elegans.
AB - DSL ligands activate the Notch receptor in many cellular contexts across metazoa
to specify cell fate. In addition, Notch receptor activity is implicated in post
mitotic morphogenesis and neuronal function. In C. elegans, the DSL family ligand
APX-1 is expressed in a subset of cells of the proximal gonad lineage, where it
can act as a latent proliferation-promoting signal to maintain proximal germline
tumors. Here we examine apx-1 in the proximal gonad and uncover a role in the
maintenance of normal ovulation. Depletion of apx-1 causes an endomitotic oocyte
(Emo) phenotype and ovulation defects. We find that lag-2 can substitute for apx
1 in this role, that the ovulation defect is partially suppressed by loss of ipp
5, and that lin-12 depletion causes a similar phenotype. In addition, we find
that the ovulation defects are often accompanied by a delay of spermathecal
distal neck closure after oocyte entry. Although calcium oscillations occur in
the spermatheca, calcium signals are abnormal when the distal neck does not close
completely. Moreover, oocytes sometimes cannot properly transit through the
spermatheca, leading to fragmentation of oocytes once the neck closes. Finally,
abnormal oocytes and neck closure defects are seen occasionally when apx-1 or lin
12 activity is reduced in adult animals, suggesting a possible post-developmental
role for APX-1 and LIN-12 signaling in ovulation.
PMID- 29371035
TI - Eroding Abscess After use of MYNX Closure Device.
PMID- 29371034
TI - [The cost of obstetric and neonatal care: Case study of the Jason Sendwe hospital
maternity in Lubumbashi, Democratic Republic of Congo in 2015].
AB - BACKGROUND: The aim of this study was to determine the user cost for obtaining
obstetric and neonatal care during childbirth in the Jason Sendwe hospital in the
city of Lubumbashi, Democratic Republic of Congo. METHODS: We conducted a cross
sectional study at the maternity of the Jason Sendwe hospital in Lubumbashi,
reviewing charts and using a questionnaire given to 145 women who gave birth from
1st August to 30th September 2015. We calculated the cost based on the amounts
paid by users for obtaining care, expressed in US dollars ($) at an exchange rate
of 900 Congolese Francs (CDF) for $1. RESULTS: The average age of parturients was
27+/-6 years (m+/-SD). Nearly 9 out of 10 women were married (84.8%), 24.1% had a
primary school educational level. The majority (62.1%) had no occupational
activity and the average monthly income of those employed was $28. Many of their
spouses were self-employed (36.6%) with an average monthly income of $113.
Hemorrhage was the most common complication (12.4%); perinatal mortality was
12.4%, and was only registered in cases of dystocia. Cost of care for eutocic
delivery was 5 times greater than for complicated vaginal delivery that in turn
had a 2-fold lower cost than caesarean section. It follows from this study that
the cost of care for eutocic delivery, complicated vaginal delivery and cesarean
section was, respectively: 1.4%, 7.5%, and 13.4% of annual household income. In
general, in case of childbirth, 51%, 40.7%, and 34.4% of households devoted more
than 5%, 10% and 20% respectively of their annual income to obtain obstetric and
neonatal care. CONCLUSION: The cost of obstetric and neonatal care is
catastrophically high for households in Lubumashi. Undoubtedly, those who seek
hospital care for childbirth must cope with financial problems related to the
incurred debt. The State should review its healthcare financial policy to ensure
access to quality care for all.
PMID- 29371036
TI - Mechanisms of Arterial Calcification: The Role of Matrix Vesicles.
AB - Vascular calcification is related to vascular diseases, for example,
atherosclerosis, and its comorbidities, such as diabetes and chronic kidney
disease. In each condition, a distinctive histological pattern can be recognised
that may influence technical choices, possible intra-operative complications, and
procedure outcomes, no matter if the intervention is performed by open or
endovascular means. This review considers the classification and initiating
mechanisms of vascular calcification. Dystrophic and metastatic calcifications,
Monckeberg's calcification, and genetic forms are firstly outlined, followed by
their alleged initiation mechanisms; these include (a) ineffective macrophage
efferocytosis; (b) ectopic osteogenesis driven by modified resident or
circulating osteoprogenitors. As in physiological bio-mineralisation, active
calcification starts with the deposition of cell derived matrix vesicles into the
extracellular matrix. To substantiate this belief, an in depth ultra-structural
documentation of hydroxyapatite crystal deposition on such vesicles is provided
in an ex-vivo human vascular cell model. Revealing the vesicle composition and
phenotype in normal and pathological vascular conditions will be essential for
the development of new therapeutic strategies, in order to prevent and treat
vascular calcification.
PMID- 29371037
TI - Predictors of Change in the Ankle Brachial Index with Exercise.
AB - OBJECTIVE: A 20% or greater decrease in the ankle brachial index (ABI) with
exercise is suggestive of peripheral artery disease (PAD), and could identify
patients with an increased mortality risk. The predictors of a change in the ABI
with exercise have received little attention. METHODS: This was a cross-sectional
analysis. Two hundred and sixty five participants of the San Diego Population
Study with a resting ABI between 0.90 and 1.10 performed 50 heel raises and
immediately had their ABIs measured again. The relationship between the change in
the ABI with exercise and multiple potential risk prediction variables were
examined using linear regression. In addition, the categorical percent change in
the ABI with exercise was analysed by multinomial logistic regression. RESULTS:
The mean age of participants was 71.8 years, and 80.4% were female. At rest, the
average ABI was 1.04 (SD 0.04) before and 0.94 (SD 0.13) after exercise; a mean
decrease of 9.5%. In analyses of ABI change as a continuous variable, higher age,
any smoking history, and a diagnosis of chronic obstructive pulmonary disease
(COPD) were associated with a significant decrease in the ABI with exercise (p =
.01, .04, and .03, respectively). Categorical analyses of the risk factors
associated with a 20% or greater ABI decrease with exercise confirmed these
results. Congestive heart failure was associated with an increased ABI with
exercise (p = .04) in continuous ABI change analyses only. CONCLUSIONS: Older
age, a positive history of smoking, and a history of COPD were independently and
significantly associated with a greater ABI decrease with exercise. These risk
variables may help identify persons with subclinical PAD.
PMID- 29371038
TI - Reply.
PMID- 29371039
TI - Invited Commentary.
PMID- 29371040
TI - Total Artificial Heart Using Bilateral Paracorporeal Pulsatile Ventricular Assist
Devices in an 8.2-kg Child.
AB - We present a case report illustrating a novel method of placing a total
artificial heart in a small child.
PMID- 29371042
TI - The quantum physics of synaptic communication via the SNARE protein complex.
AB - Twenty five years ago, Sir John Carew Eccles together with Friedrich Beck
proposed a quantum mechanical model of neurotransmitter release at synapses in
the human cerebral cortex. The model endorsed causal influence of human
consciousness upon the functioning of synapses in the brain through quantum
tunneling of unidentified quasiparticles that trigger the exocytosis of synaptic
vesicles, thereby initiating the transmission of information from the presynaptic
towards the postsynaptic neuron. Here, we provide a molecular upgrade of the Beck
and Eccles model by identifying the quantum quasiparticles as Davydov solitons
that twist the protein alpha-helices and trigger exocytosis of synaptic vesicles
through helical zipping of the SNARE protein complex. We also calculate the
observable probabilities for exocytosis based on the mass of this quasiparticle,
along with the characteristics of the potential energy barrier through which
tunneling is necessary. We further review the current experimental evidence in
support of this novel bio-molecular model as presented.
PMID- 29371041
TI - Teratogenic and anticonvulsant effects of zinc and copper valproate complexes in
zebrafish.
AB - Valproic acid (VPA) is an antiepileptic drug (AED) that has the broadest spectrum
across all types of seizures and epileptic syndromes. Unfortunately,
approximately 30% of epileptic patients are refractory to the classical AED.
Metal ions have been frequently incorporated into pharmaceuticals for therapeutic
or diagnostic purposes and research. In this preliminary study, we assess the
embryo toxicity and the anticonvulsant activity of 4 novel metallodrugs, with
Zn+2 and Cu+2, a derivative of valproic acid and the N-donor ligand in an adult
zebrafish epileptic seizure model induced by pentylenetetrazole. The most toxic
complex was [Cu(Valp)2Bipy], in which the LC50 was 0.22 MUM at 48 h post
fertilization (HPF) and 0.12 MUM at 96 HPF, followed by [Zn(Valp)2Bipy] (LC50 =
10 MUM). These same metallodrugs ([Cu(Valp)2Bipy] 10 mM/kg and [Zn(Valp)2Bipy] 30
mM and 100 mM/kg) displayed superior activity, thus reducing the seizure
intensity by approximately 20 times compared to sodium valproate (175 mM/kg).
Overall, [Cu(Valp)2Bipy] showed the best anticonvulsant effects. However, because
of the toxicity of copper, [Zn(Valp)2Bipy] is considered the most promising
anticonvulsant for future studies.
PMID- 29371043
TI - Quantitative discoloration assessment of peri-implant soft tissue around zirconia
and other abutments with different colours: A systematic review and meta
analysis.
AB - OBJECTIVES: The implant abutments, which had their own colour, might cause the
discoloration of peri-implant mucosa. We aimed to appraise trails comparing the
discoloration of peri-implant soft tissue around zirconia and titanium or golden
abutments, the tints of which were vastly different. DATA: We included randomized
controlled trials (RCTs), controlled clinical trials (CCTs), cohort studies with
patients rehabilitated with zirconia, titanium or golden implant abutments,
quantitatively comparing the discoloration of peri-implant soft tissue according
to CIE-Lab colour scale. SOURCES: A systematic search was conducted in PubMed,
EMBASE, CDSR, and CENTRAL databases without any restriction on September 23,
2017. "Grey" literatures were also searched. A manual search was carried out as
well. STUDY SELECTION: Of 584 articles initially retrieved, eight were eligible
for inclusion. After data extraction, meta-analyses with mean differences (MDs)
and their 95% confidence intervals (CIs) were employed. Moreover, the risk of
bias within or across studies was assessed by Cochrane Collaboration's tool for
assessing risk, the Newcastle-Ottawa scale, funnel plots, or Egger's test.
RESULTS: Four RCTs and four cohort studies were included. Soft-tissue
discoloration around zirconia abutments was significantly less likely compared to
that around titanium abutments (MD = -1.84; 95% CI = -3.62 to -0.07; P = 0.04 <
0.05) or golden abutments (MD = -0.90; 95% CI = -1.60 to -0.20; P = 0.01 < 0.05).
CONCLUSIONS: Zirconia abutments with white tint compared to grey titanium or
golden abutments seem to restore a more appropriate colour match between peri
implant mucosa and natural teeth. CLINICAL SIGNIFICANCE: Based on the present
evidence, the "nature-like" zirconia abutments should be applied more often in
the clinic. PROSPERO REGISTRATION NUMBER: CRD42017075930.
PMID- 29371044
TI - D-Dimer and thrombus burden in acute pulmonary embolism.
AB - BACKGROUND: Thrombus burden in pulmonary embolism (PE) is associated with higher
D-Dimer-levels and poorer prognosis. We aimed to investigate i) the influence of
right ventricular dysfunction (RVD), deep venous thrombosis (DVT), and high-risk
PE-status on D-Dimer-levels and ii) effectiveness of D-Dimer to predict RVD in
normotensive PE patients. METHODS: Overall, 161 PE patients were analyzed
retrospectively, classified in 5 subgroups of thrombus burden according to
clinical indications and compared regarding D-Dimer-levels. Linear regression
models were computed to investigate the association between D-Dimer and the
groups. In hemodynamically stable PE patients, a ROC curve was calculated to
assess the effectiveness of D-Dimer for predicting RVD. RESULTS: Overall, 161
patients (60.9% females, 54.0% aged >70 years) were included in this analysis.
The D-Dimer-level was associated with group-category in a univariate linear
regression model (beta 0.050 (95%CI 0.002-0.099), P = .043). After adjustment for
age, sex, cancer, and pneumonia in a multivariate model we observed an
association between D-Dimer and group-category with borderline significance (beta
0.047 (95%CI 0.002-0.096), P = .058). The Kruskal-Wallis test demonstrated that D
Dimer increased significantly with higher group-category. In 129 normotensive
patients, patients with RVD had significantly higher D-Dimer values compared to
those without (1.73 (1.11/3.48) vs 1.17 (0.65/2.90) mg/l, P = .049). A ROC curve
showed an AUC of 0.61, gender non-specific, with calculated optimal cut-off of
1.18 mg/l. Multi-variate logistic regression model confirmed an association
between D-Dimer >1.18 mg/l and RVD (OR2.721 (95%CI 1.196-6.190), P = .017).
CONCLUSIONS: Thrombus burden in PE is related to elevated D-Dimer levels, and D
Dimer values >1.18 mg/l were predictive for RVD in normotensive patients. D-Dimer
levels were influenced by DVT, but not by cancer, pneumonia, age, or renal
impairment.
PMID- 29371045
TI - Pediatric emergency department triage-based pain guideline utilizing intranasal
fentanyl: Effect of implementation.
AB - BACKGROUND: Pain management guidelines in the emergency department (ED) may
reduce time to analgesia administration (TTA). Intranasal fentanyl (INF) is a
safe and effective alternative to intravenous opiates. The effect of an ED pain
management guideline providing standing orders for nurse-initiated administration
of intranasal fentanyl (INF) is not known. The objective of this study was to
determine the impact of a pediatric ED triage-based pain protocol utilizing
intranasal fentanyl (INF) on time to analgesia administration (TTA) and patient
and parent satisfaction. METHODS: This was a prospective study of patients 3-17
years with an isolated orthopedic injury presenting to a pediatric ED before and
after instituting a triage-based pain guideline allowing for administration of
INF by triage nurses. Our primary outcome was median TTA and secondary outcomes
included the proportion of patients who received INF for pain, had unnecessary IV
placement, and patient and parent satisfaction. RESULTS: We enrolled 132
patients; 72 pre-guideline, 60 post-guideline. Demographics were similar between
groups. Median TTA was not different between groups (34.5 min vs. 33 min, p =
.7). Utilization of INF increased from 41% pre-guideline to 60% post-guideline (p
= .01) and unnecessary IV placement decreased from 24% to 0% (p = .002). Patients
and parents preferred the IN route for analgesia administration. CONCLUSION: A
triage-based pain protocol utilizing INF did not reduce TTA, but did result in
increased INF use, decreased unnecessary IV placement, and was preferred by
patients and parents to IV medication. INF is a viable analgesia alternative for
children with isolated extremity injuries.
PMID- 29371047
TI - Intracellular glutathione-depleting polymeric micelles for cisplatin prodrug
delivery to overcome cisplatin resistance of cancers.
AB - The intrinsic or acquired cisplatin resistance of cancer cells frequently limits
the final therapeutic efficacy. Detoxification by the high level of intracellular
glutathione (GSH) plays critical roles in the majority of cisplatin-resistant
cancers. In this report, we designed an amphiphilic diblock copolymer composed of
poly(ethylene glycol) (PEG) and polymerized phenylboronic ester-functionalized
methacrylate (PBEMA), PEG-b-PBEMA, which can self-assemble into micelles in
aqueous solutions to load hydrophobic cisplatin prodrug (Pt(IV)). Pt(IV)-loaded
PEG-b-PBEMA micelles (PtBE-Micelle) reverse cisplatin-resistance of cancer cells
through improving cellular uptake efficiency and reducing intracellular GSH
level. We found that the cellular uptake amount of platinum from PtBE-Micelle was
6.1 times higher than that of free cisplatin in cisplatin-resistant human lung
cancer cells (A549R). Meanwhile, GSH concentration of A549R cells was decreased
to 32% upon treatment by PEG-b-PBEMA micelle at the phenyl borate-equivalent
concentration of 100MUM. PtBE-Micelle displayed significantly higher cytotoxicity
toward A549R cells with half maximal inhibitory concentration (IC50) of cisplatin
equivalent 0.20MUM compared with free cisplatin of 33.15MUM and Pt(IV)-loaded PEG
b-poly(epsilon-caprolactone) micelles of cisplatin-equivalent 0.75MUM. PtBE
Micelle can inhibit the growth of A549R xenograft tumors effectively.
Accordingly, PEG-b-PBEMA micelles show great potentials as drug delivery
nanocarriers for platinum-based chemotherapy toward cisplatin-resistant cancers.
PMID- 29371046
TI - Preparation of amorphous indomethacin nanoparticles by aqueous wet bead milling
and in situ measurement of their increased saturation solubility.
AB - The aim of this study was to prepare amorphous indomethacin nanoparticles in
aqueous media and to determine in situ their increased saturation solubility and
dissolution rate. Drug nanosuspensions with a Z-average of ~300 nm were prepared
by wet media milling and afterwards freeze-dried. The drug solid state was
analyzed by DSC, XRD and FTIR before and after the milling process. Milling of
amorphous indomethacin with polyvinylpyrrolidone (PVP) as stabilizer resulted in
an amorphous nanosuspension which could not be redispersed in the nanosize range
after freeze-drying. The combination of PVP and poloxamer 407 resulted in
crystalline nanoparticles: poloxamer 407, a polymer with high molecular weight,
competed with PVP for surface coverage, and hindered the interaction between PVP
and indomethacin. This indicated the importance of sufficient drug-PVP
interactions on the drug particle surface for amorphous state stabilization.
Redispersable amorphous indomethacin nanoparticles were obtained by combining the
anti-recrystallization effect of PVP with the particle size stabilization
provided by sodium dodecyl sulfate. Solubility studies were performed in situ.
The solubility of crystalline micronized indomethacin of 6.7 +/- 1.3 ug/mL was
increased up to 17.3 +/- 2.8 ug/mL by its amorphization, with a factor of
increase of 2.6. Indomethacin amorphization increased its dissolution rate by a
factor of 30. Indomethacin nanocrystals resulted in an increased solubility of
2.6 times, with a solubility of 17.2 +/- 0.4 ug/mL. The highest increase was
obtained with amorphous indomethacin nanoparticles with a solubility of 35 +/-
1.6 ug/mL and 5.2 times higher than the solubility of the original indomethacin.
Amorphous indomethacin nanoparticles resulted in the highest dissolution rate,
which increased from 0.003 ug/(mL s) to 2.328 ug/(mL s). The synergistic effect
obtained by the combination of nanosize and amorphous solid state was
demonstrated.
PMID- 29371048
TI - Serum microRNA screening and functional studies reveal miR-483-5p as a potential
driver of fibrosis in systemic sclerosis.
AB - OBJECTIVE: MicroRNAs (miRNAs) are regulatory molecules, which have been addressed
as potential biomarkers and therapeutic targets in rheumatic diseases. Here, we
investigated the miRNA signature in the serum of systemic sclerosis (SSc)
patients and we further assessed their expression in early stages of the disease.
METHODS: The levels of 758 miRNAs were evaluated in the serum of 26 SSc patients
as compared to 9 healthy controls by using an Openarray platform. Three miRNAs
were examined in an additional cohort of 107 SSc patients and 24 healthy donors
by single qPCR. MiR-483-5p expression was further analysed in the serum of
patients with localized scleroderma (LoS) (n = 22), systemic lupus erythematosus
(SLE) (n = 33) and primary Sjogren's syndrome (pSS) (n = 23). The function of miR
483-5p was examined by transfecting miR-483-5p into primary human dermal
fibroblasts and pulmonary endothelial cells. RESULTS: 30 miRNAs were
significantly increased in patients with SSc. Of these, miR-483-5p showed
reproducibly higher levels in an independent SSc cohort and was also elevated in
patients with preclinical-SSc symptoms (early SSc). Notably, miR-483-5p was not
differentially expressed in patients with SLE or pSS, whereas it was up-regulated
in LoS, indicating that this miRNA could be involved in the development of skin
fibrosis. Consistently, miR-483-5p overexpression in fibroblasts and endothelial
cells modulated the expression of fibrosis-related genes. CONCLUSIONS: Our
findings showed that miR-483-5p is up-regulated in the serum of SSc patients,
from the early stages of the disease onwards, and indicated its potential
function as a fine regulator of fibrosis in SSc.
PMID- 29371049
TI - TH17 cell plasticity: The role of dendritic cells and molecular mechanisms.
AB - Upon interaction with dendritic cells (DCs), naive CD4 T cells differentiate into
distinct subsets and orchestrate the development of a physiological immune
response. When uncontrolled by cellular and molecular mechanisms, CD4 T cells can
also lead to immune mediated inflammatory diseases (IMIDs). Initially, these
distinct CD4 T-cell subsets were defined according to the expression of a limited
number of cytokines. Later it was revealed that CD4 T cells can acquire much more
complex functional phenotypes than previously thought. Experimental data showed
that the CD4 T-cell subset TH17 can secrete IFN-gamma and IL-4, which are
signature molecules of other T-cell subsets. Furthermore, some TH17 cells can
also explore an anti-inflammatory fate and participate in the resolution of the
immune response. A more flexible theory has therefore evolved with the scope to
better represent the plastic biology of CD4 T cells. In this context, several
aspects still remain unclear. The goal of this review is to discuss the role of
extrinsic and intrinsic cellular and molecular mechanisms, which can drive the
plasticity of TH17 cells. In particular, we will outline the role of DCs and the
function of transcriptional factors in shaping the fate of TH17 cells towards
either a pathogenic or a regulatory phenotype. Finally, we will discuss whether
TH17 cell plasticity could be a target for new therapies for IMIDs. We indeed
envision that when the cellular and molecular mechanisms controlling TH17
plasticity are known, new therapies, which aim to reset the immune system, will
be developed. This will be achieved by either selectively depleting only the
pathogenic TH17 cells or, if possible, re converting these cells from pathogenic
to regulatory. This will overcome the challenge posed by the immune suppressive
side effects caused by the current therapies, which impair the function of CD4
cells or delete all of them, to the detriment of the patient.
PMID- 29371050
TI - Laparoscopic transabdominal preperitoneal approach for giant inguinal hernias.
AB - BACKGROUND: Many surgical techniques have been developed to treat inguinal
hernia. In recent years, the laparoscopic transabdominal preperitoneal (TAPP)
approach has been widely performed to repair inguinal hernia. Giant inguinal
hernia (GIH) is an extremely rare disease that is a challenge for general
surgeons. GIH appears when patients neglect the treatment for many years and it
is defined as an inguinal hernia that extends below the midpoint of inner thigh
in standing position. According to previous publications, the Lichtenstein
tension-free hernioplasty is recommended to repair GIH. In this article, we
describe consecutive four cases of GIH repaired via the TAPP approach. METHODS:
From April 2015 to March 2017, 200 patients underwent hernioplasty against
inguinal hernia at our hospital. Inguinal hernias were treated via the TAPP
approach in principle. We performed hernioplasty via the TAPP approach in all 4
patients (2%) who met the definition of Type 1 GIH. Demographic information,
maximum diameter of hernia sac, hernia orifice size, and surgical data were
obtained. RESULTS: The mean operative time was 135 min. No intraoperative
complications were encountered. All patients could walk from postoperative day 1
and were discharged home early, but they all had scrotal seromas. Three patients
did not need puncture or drainage, but one of them required puncture. All seromas
disappeared within 6 months. There was no recurrence in the 8- to 24-month follow
up. CONCLUSION: The TAPP approach is a feasible, safe therapeutic option that may
reduce wound size and pain following surgical treatment of Type 1 GIH.
PMID- 29371051
TI - Effects of quercitrin on bacterial translocation in a rat model of experimental
colitis.
AB - BACKGROUND: This study aimed to analyze the effects of quercitrin, which has anti
inflammatory properties, on bacterial translocation in inflammatory bowel
diseases by using an experimental colitis model. METHODS: Forty male Wistar
Albino rats were used in the study. Rats were divided into 4 groups (control,
colitis, treatment 1 and 2 groups). The rats in the control group were given
normal drinking water. In the colitis group, colitis was induced by 5% DSS in
drinking water. The control and colitis groups underwent operation on Day 7. In
the 2 treatment groups, 5% DSS was added to drinking water for the first 7 days
and the groups were treated with quercitrin at the doses of 1 and 5 mg/kg/day for
the following 10 days. Treatment groups operated on Day 18. Blood samples were
taken for blood culture and left colectomy was performed. The inflammation in the
colon was macroscopically and microscopically evaluated and graded. Tissue
samples were taken (liver, spleen and mesenteric lymph nodes (MLN)) for tissue
culturing in order to assess bacterial translocation. Tissue myeloperoxidase
(MPO), serum tumor necrosis factor-alpha (TNF-alpha) and plasma endotoxin levels
were measured. RESULTS: When the control and colitis groups were compared,
observed that colitis was induced by DSS (p < 0.05). When the colitis and
treatment groups were compared, it was found that quercitrin had a significant
therapeutic effect (p < 0.05). CONCLUSION: In the experimental colitis model
established by using DSS, treatment with quercitrin resulted in a
histopathological improvement and reduction in biochemical parameters,
inflammation and in bacterial translocation (p < 0.05).
PMID- 29371052
TI - Analytical performance of a commercial multiplex Luminex-based cytokine panel in
the rat.
AB - INTRODUCTION: Multiplex immunoassays are an important tool in biomarker research
during preclinical drug development. However, information regarding analytical
performance of commercial multiplex assays for animal species is often limited.
To be able to correctly interpret study results, a fit-for-purpose validation
approach is recommended. The objective of our study was to provide a realistic
example of what level of validation can be expected from this type of assay,
using a rat cytokine panel. METHODS: The analytical performance of a commercial
Luminex-based multiplex assay comprising IFN-gamma, IL-6, IL-10, IL-12p70, IP-10
and TNF-alpha was evaluated in Sprague-Dawley rat plasma and serum. Calibration
curve, working range, precision, accuracy, selectivity, parallelism, dilutional
linearity, prozone effect and sample stability were assessed. RESULTS: Analytical
performance in plasma and serum was comparable. Precision and accuracy results
for all analytes were acceptable with coefficient of variation (CV) and relative
error (RE) often below 15%, except for serum IL-6. Selectivity results varied per
analyte with several cytokines showing CV>30% and no single minimum required
dilution (MRD) could be identified. In addition, some striking differences
between recombinant and endogenous protein results were observed. A pronounced
prozone effect was detected for IP-10. Analytes in samples stored at -70 degrees
C were stable (RE<30%) from 1 up to 6months depending on the analyte. DISCUSSION:
The results illustrate the challenges encountered during validation of commercial
animal Luminex-based multiplex assays, revealing analytical limitations such as
matrix and prozone effects. The Milliplex rat cytokine panel under investigation
was deemed suitable for relative quantification of exploratory type biomarkers.
PMID- 29371053
TI - Striatal norepinephrine efflux in l-DOPA-induced dyskinesia.
AB - l-DOPA remains the primary treatment for Parkinson's disease (PD). Unfortunately,
its therapeutic benefits are compromised by the development of abnormal
involuntary movements (AIMs) known as l-DOPA-induced dyskinesia (LID). The
norepinephrine (NE) system originating in the locus coeruleus is profoundly
affected in PD and known to influence dopamine (DA) signaling. However, the
effect of noradrenergic loss on l-DOPA-induced striatal monoamine efflux and
Parkinsonian motor behavior remains controversial and is frequently overlooked in
traditional animal models of LID. Thus, the current study sought to determine
whether degeneration of the DA and/or NE system(s) altered l-DOPA-induced
striatal monoamine efflux in hemiparkinsonian rats with additional NE loss
induced by the potent NE-toxin alpha DA beta hydroxylase (DBH)-saporin. Sham-, DA
, NE-, and dual DA + NE-lesioned rats were treated with l-DOPA (6 mg/kg, s.c.)
for 2 weeks. Thereafter, l-DOPA-mediated striatal monoamine efflux was measured
with in vivo microdialysis, and concurrent AIMs testing occurred to determine
responsiveness to l-DOPA. Noradrenergic lesions exacerbated parkinsonian motor
deficits but did not significantly alter LID expression or corresponding l-DOPA
induced striatal monoamine efflux. Interestingly, l-DOPA-induced striatal NE
efflux rather than DA efflux, corresponded more closely with dyskinesia severity.
Moreover, marked reductions in striatal NE tissue concentration did not appear to
impact l-DOPA-induced striatal NE efflux. The current study implicates l-DOPA
induced striatal NE as an important factor in LID expression and demonstrates the
importance of developing treatment strategies that co-modulate the NE and DA
systems.
PMID- 29371054
TI - Proactive contact lens prescribing - Which approach is more effective?
PMID- 29371055
TI - Extracellular matrix remodeling and cardiac fibrosis.
AB - Cardiac fibrosis, characterized by excessive deposition of extracellular matrix
(ECM) proteins in the myocardium, distorts the architecture of the myocardium,
facilitates the progression of arrhythmia and cardiac dysfunction, and influences
the clinical course and outcome in patients with heart failure. This review
describes the composition and homeostasis in normal cardiac interstitial matrix
and introduces cellular and molecular mechanisms involved in cardiac fibrosis. We
also characterize the ECM alteration in the fibrotic response under diverse
cardiac pathological conditions and depict the role of matricellular proteins in
the pathogenesis of cardiac fibrosis. Moreover, the diagnosis of cardiac fibrosis
based on imaging and biomarker detection and the therapeutic strategies are
addressed. Understanding the comprehensive molecules and pathways involved in ECM
homeostasis and remodeling may provide important novel potential targets for
preventing and treating cardiac fibrosis.
PMID- 29371056
TI - Pneumococcal and influenza vaccine uptake in adults with inflammatory bowel
disease in France: Results from a web-based study.
AB - BACKGROUND: Despite specific immunization guidelines for immunocompromised
patients, there is a dearth of studies on inflammatory bowel disease (IBD)
population in France. AIMS: To estimate the prevalence and predictors of
influenza and pneumococcal vaccination rates in a sample of French IBD adults.
METHODS: An anonymous online survey was submitted to members of several French
immunocompromised patients' associations during the winter 2016. RESULTS:
Overall, there were 199/1625 (12%) participants with an IBD. Among these, 32%
were <30 years old, 85% were male, and 62% were treated with immunosuppressive
therapy. Self-reported influenza vaccine uptake was 34% (95% CI [28-41]) and 38%
(95% CI [31-44]) for pneumococcal vaccines. Healthcare provider's (HCP)
recommendation for vaccination (adjusted OR 12.7 95% CI [5.6-28.8]),
immunosuppressive therapy (aOR 2.3 [1.1-5.3]), better knowledge of vaccination
(aOR 3.2 [1.1-9.2]) and favorable attitudes towards vaccination (aOR 3.4 [1.2
9.5]) were positively associated with influenza vaccine uptake. Vaccine
recommendation by HCPs was the only independently associated factor with
pneumococcal vaccines uptake (OR 187.7 [24.8-1422.5]). CONCLUSION: Immunization
rates in our sample do not reach recommended levels. Factors associated with
vaccination included high knowledge, favorable attitudes towards vaccination and
recommendation for vaccination. This underlines the role of health care providers
in contact with IBD patients.
PMID- 29371057
TI - Genetic and clinical data predict onset of cryoglobulinemia in HCV patients and
cryoglobulins clearance.
PMID- 29371058
TI - Podoconiosis: Nonfilarial endemic elephantiasis.
PMID- 29371060
TI - Association between unplanned readmission to a different hospital and all-cause
mortality among older patients with ischemic heart disease: A nationwide claim
study.
PMID- 29371059
TI - COPD exacerbations in the emergency department: Epidemiology and related costs. A
retrospective cohort multicentre study from the Italian Society of Emergency
Medicine (SIMEU).
AB - : Acute exacerbations of chronic obstructive pulmonary disease (AECOPDs)
frequently cause patients with COPD to access the emergency department and have a
negative impact on the course of the disease. The objectives of our study were:
1) describing the socio-demographic and clinical characteristics, and the
clinical management, of patients with AECOPD, when they present to the emergency
department; and 2) estimating the costs related to the management of these
patients. We conducted a retrospective cohort study in Italy, collecting data on
4396 patients, from 34 centres. Patients had a mean (SD) age of 76,6 (10.6)
years, and 61.2% of them where males. >70% of the patients had a moderate to very
high comorbidity burden, and heart failure was present in 26.4% of the cohort.
The 64.6% of patients were admitted to hospital wards, with a mean (SD) length of
stay of 10.8 (9.8) days. The estimated cost per patient was 2617 ?. CONCLUSIONS:
Patients attending the ED for an AECOPD are old and present important
comorbidities. The rate of admission is high, and costs are remarkable.
PMID- 29371063
TI - Cortical gamma oscillations in isolated dystonia.
AB - We describe a novel electrophysiologic signal from the motor cortex of patients
with generalized dystonia - a discrete gamma-band oscillation induced by movement
and associated with emergence of dystonia. This was observed using both invasive
and non-invasive methods. This phenomenon is similar to the gamma oscillation
reported in parkinsonian dyskinesia.
PMID- 29371062
TI - Mechanistic DNA damage simulations in Geant4-DNA Part 2: Electron and proton
damage in a bacterial cell.
AB - We extended a generic Geant4 application for mechanistic DNA damage simulations
to an Escherichia coli cell geometry, finding electron damage yields and proton
damage yields largely in line with experimental results. Depending on the
simulation of radical scavenging, electrons double strand breaks (DSBs) yields
range from 0.004 to 0.010 DSB Gy-1 Mbp-1, while protons have yields ranging from
0.004 DSB Gy-1 Mbp-1 at low LETs and with strict assumptions concerning
scavenging, up to 0.020 DSB Gy-1 Mbp-1 at high LETs and when scavenging is
weakest. Mechanistic DNA damage simulations can provide important limits on the
extent to which physical processes can impact biology in low background
experiments. We demonstrate the utility of these studies for low dose radiation
biology calculating that in E. coli, the median rate at which the radiation
background induces double strand breaks is 2.8 * 10-8 DSB day-1, significantly
less than the mutation rate per generation measured in E. coli, which is on the
order of 10-3.
PMID- 29371061
TI - A randomized controlled trial to prevent excessive gestational weight gain and
promote postpartum weight loss in overweight and obese women: Health In Pregnancy
and Postpartum (HIPP).
AB - BACKGROUND: Interventions to prevent excessive gestational weight gain and
promote postpartum weight loss have yielded modest results, particularly in
overweight and obese women. OBJECTIVES: To examine the impact of a theory-based
lifestyle intervention on gestational weight gain, postpartum weight loss, and
related maternal and child outcomes and to examine race differences in these
outcomes. DESIGN: A randomized controlled trial (target N=400; 200 intervention,
200 standard care; 200 African American, 200 white). METHODS: Overweight and
obese African American and white women <=16weeks gestation are recruited from
obstetrics and gynecology clinics in South Carolina. Intervention participants
receive two in-depth counseling sessions (early pregnancy and postpartum),
telephone counseling, behavioral podcasts, and social media support that target
weight self-monitoring and increasing physical activity and healthy dietary
behavior practices, guided by Social Cognitive Theory. Standard care participants
receive monthly mailings and a matched number of podcasts on non-weight related
topics. All intervention activities last from <=18weeks gestation to 6months
after delivery. Gestational weight gain is the primary outcome. Secondary
outcomes are meeting gestational weight gain guidelines (inadequate, adequate,
excessive), weekly rate of gestational weight gain, postpartum weight retention,
physical activity and dietary behaviors, health-related quality of life, and
offspring adiposity. Participants are assessed at baseline (<=16weeks gestation),
32weeks gestation, and 6 and 12months postpartum, and offspring are assessed at 6
and 12months. SUMMARY: HIPP is an innovative study that addresses significant
gaps in the literature. Primary outcome results are expected in 2019.
PMID- 29371064
TI - Metagenomic analysis for detecting Fusarium solani in a case of fungal keratitis.
AB - PURPOSE: To report a difficult-to-identify case of keratitis due to Fusarium
solani, diagnosed with the help of exhaustive gene analysis. CASE: A 47-year-old
woman attended our hospital with a refractory corneal ulcer associated with
contact lens wear in her left eye that had appeared two weeks earlier. On her
initial visit, slit lamp examination revealed a small double-ringed irregular
hyphate ulcer in the center of the cornea, which had no epithelial defect,
suggesting fungal infection. Microscopic visualization and culture of corneal
scrapings were performed repeatedly, but the results were negative. Despite
intensive antifungal treatment, infiltration of the cornea worsened and
therapeutic keratoplasty was performed. Specimens from a corneal button were
microbiologically and histologically negative for microorganisms. During
irrigation of the anterior chamber with amphotericin B, aspirated corneal
infiltrations were submitted for culture and metagenomic analysis. Genes
belonging to F. solani were identified by metagenomic analysis, and an isolate
presumed to be a Fusarium species was cultured. Genotypic identification of the
isolates confirmed F. solani. CONCLUSION: The detection of microorganisms,
especially fungi, can be extremely difficult. In difficult-to-culture cases,
metagenomic analysis seems to be a promising technique for the identification of
microbial pathogens.
PMID- 29371065
TI - Three cases of Candida fermentati fungemia following hematopoietic stem cell
transplantation.
AB - Bloodstream infection with non-Candida albicans Candida species is one of the
serious complications among patients with hematological malignancies who receive
long-term prophylactic antifungal agents. Here we describe three cases of Candida
fermentati (C. fermentati) candidemia after allogeneic stem cell transplantation
for hematological malignancies. Case 1 is fluconazole-breakthrough C. fermentati
fungemia, which was well controlled with liposomal amphotericin B. Case 2 and 3
were caspofungin-breakthrough C. fermentati fungemia. In case 2, blood culture
turned negative for Candida responding to liposomal amphotericin B. Although in
vitro susceptibility data for the isolated pathogen suggested the efficacy of
both caspofungin and liposomal amphotericin B in all three cases, clinically
liposomal amphotericin B seemed to have been more effective for eradication of
the pathogen from blood stream. C. fermentati needs to be considered as a
possible cause for breakthrough candidemia among post-transplant patients with
prolonged antifungal prophylaxis. Discrepancy between in vitro and in vivo
susceptibility to antifungals, especially to echinocandins, might provide a clue
for the optimal choice of antifungals for C. fermentati infections.
PMID- 29371068
TI - Treating chlamydial infections in pregnancy and preventing adverse birth
outcomes.
PMID- 29371067
TI - Chlamydia trachomatis and the risk of spontaneous preterm birth, babies who are
born small for gestational age, and stillbirth: a population-based cohort study.
AB - BACKGROUND: Chlamydia trachomatis is one of the most commonly diagnosed sexually
transmitted infections worldwide, but reports in the medical literature of an
association between genital chlamydia infection and adverse obstetric outcomes
are inconsistent. METHODS: The Western Australia Data Linkage Branch created a
cohort of women of reproductive age by linking records of birth registrations
with the electoral roll for women in Western Australia who were born from 1974 to
1995. The cohort was then linked to both chlamydia testing records and the state
perinatal registry for data on preterm births and other adverse obstetric
outcomes. We determined associations between chlamydia testing, test positivity,
and adverse obstetric outcomes using multivariate logistic regression analyses.
FINDINGS: From 2001 to 2012, 101 558 women aged 15 to 38 years had a singleton
birth. Of these women, 3921 (3.9%) had a spontaneous preterm birth, 9762 (9.6% of
101 371 women with available data) had a baby who was small for gestational age,
and 682 (0.7%) had a stillbirth. During their pregnancy, 21 267 (20.9%) of these
women had at least one chlamydia test record, and 1365 (6.4%) of those tested
were positive. Before pregnancy, 19 157 (18.9%) of these women were tested for
chlamydia, of whom 1595 (8.3%) tested positive for chlamydia. Among all women
with a test record, after adjusting for age, ethnicity, maternal smoking, and
history of other infections, we found no significant association between a
positive test for chlamydia and spontaneous preterm birth (adjusted odds ratio
1.08 [95% CI 0.91-1.28]; p=0.37), a baby who was small for gestational age (0.95
[0.85-1.07]; p=0.39), or stillbirth (0.93 [0.61-1.42]; p=0.74). INTERPRETATION: A
genital chlamydia infection that is diagnosed and, presumably, treated either
during or before pregnancy does not substantially increase a woman's risk of
having a spontaneous preterm birth, having a baby who is small for gestational
age, or having a stillbirth. FUNDING: Australian National Health and Medical
Research Council.
PMID- 29371066
TI - International Summit on Laparoscopic Pancreatic Resection (ISLPR) "Coimbatore
Summit Statements".
AB - The International Summit on Laparoscopic Pancreatic Resection (ISLPR) was held in
Coimbatore, India, on 7th and 8th of October 2016 and thirty international
experts who regularly perform laparoscopic pancreatic resections participated in
ISPLR from four continents, i.e., South and North America, Europe and Asia. Prior
to ISLPR, the first conversation among the experts was made online on August
26th, 2016 and the structures of ISPLR were developed. The aims of ISPLR were; i)
to identify indications and optimal case selection criteria for minimally
invasive pancreatic resection (MIPR) in the setting of both benign and malignant
diseases; ii) standardization of techniques to increase the safety of MIPR; iii)
identification of common problems faced during MIPR and developing associated
management strategies; iv) development of clinical protocols to allow early
identification of complications and develop the accompanying management plan to
minimize morbidity and mortality. As a process for interactive discussion, the
experts were requested to complete an online questionnaire consisting of 65
questions about the various technical aspects of laparoscopic pancreatic
resections. Two further web-based meetings were conducted prior to ISPLR. Through
further discussion during ISPLR, we have created productive statements regarding
the topics of Disease, Implementation, Patients, Techniques, and Instrumentations
(DIPTI) and hereby publish them as "Coimbatore Summit Statements".
PMID- 29371069
TI - Growing evidence supports 4CMenB effectiveness.
PMID- 29371070
TI - Immunogenicity and safety of the multicomponent meningococcal B vaccine (4CMenB)
in children and adolescents: a systematic review and meta-analysis.
AB - BACKGROUND: The multicomponent meningococcal serogroup B vaccine (4CMenB) has
been licensed in more than 35 countries. However, uncertainties remain about the
lowest number of doses required to induce satisfactory, persistent immune
responses. We did a systematic review and meta-analysis to provide quantitative
estimates for the immunogenicity, persistence of immunogenicity, and safety of
4CMenB vaccine in children and adolescents. METHODS: For this systematic review
and meta-analyses (proportion, head to head, and network), we searched MEDLINE,
Scopus, Embase, and ClinicalTrials.gov from database inception to June 30, 2017,
for randomised trials that compared the immunogenicity or safety of the 4CMenB
vaccine with its originator meningococcal B recombinant vaccine or routine
vaccines in children or adolescents. For proportion meta-analyses, we also
included single arm trials and follow-up studies of randomised controlled trials.
Trials that assessed immunogenicity against at least one of four Neisseria
meningitidis serogroup B reference strains (44-76/SL, 5/99, NZ98/254, and M10713)
and included participants younger than 18 years who had received two or more
doses of the 4CMenB vaccine were eligible for inclusion. We requested individual
patient-level data from study authors and extracted data from published reports
and online trial registries. We did meta-analyses to assess 4CMenB safety and
immunogenicity against the four reference strains 30 days after a primary
immunisation course (three doses for children, two doses for adolescents), 30
days after the primary course plus one booster dose (children only), 6 months or
more after primary course, and 6 months or more after the booster dose. FINDINGS:
736 non-duplicate records were screened, and ten randomised trials and eight
follow-on extension trials on 4CMenB met the inclusion criteria. In intention-to
treat analyses, the overall proportion of children and adolescents who achieved
seroconversion 30 days after the primary course of 4CMenB was 92% (95% CI 89-95
[I2=95%, p<0.0001]) for the 44/76-SL strain, 91% (87-95 [I2=95%, p<0.0001]) for
the 5/99 strain, 84% (77-90 [I2=97%, p<0.0001]) for the NZ98-254 strain, and 87%
(68-99 [I2=97%, p<0.0001]) for the M10713 strain. 6 months after the primary
course, the immunogenicity remained adequate to high against all three tested
strains (5/99, 44/76-SL, and NZ98/254) in adolescents (>=77%), and against two of
four strains (5/99 and 44/76-SL) in children (>=67%): the proportion of patients
who achieved seroconversion substantially declined for M10713 (<50%) and NZ98/254
(<35%). A booster dose re-enhanced the proportion of patients who achieved
seroconversion (>=93% for all strains). However, immunogenicity remained high 6
months after the booster dose for strains 5/99 (95%) and M10713 (75%) only,
whereas the proportion of patients who achieved seroconversion against strains
44/76-SL and NZ98/254 returned to similar proportions recorded 6 months after the
primary course (62% for 44/76-SL, 35% for NZ98/254). The incidence of potentially
vaccine-related, acute serious adverse events in individuals receiving 4CMenB was
low (5.4 per 1000 individuals), but was significantly higher than routine
vaccines (1.2 per 1000 individuals). INTERPRETATION: 4CMenB has an acceptable
short-term safety profile. The primary course is sufficient to achieve a
satisfactory immune response within 30 days of vaccination. A booster dose is
required for children to prolong the protection against strain M10713, and the
long-term immunogenicity against strain NZ98/254 remains suboptimal. FUNDING:
None.
PMID- 29371071
TI - Leukocyte adhesion deficiency-I: A comprehensive review of all published cases.
PMID- 29371072
TI - Apixaban Causing Leukocytoclastic Vasculitis.
PMID- 29371073
TI - Skin Testing for Suspected Iodinated Contrast Media Hypersensitivity.
AB - BACKGROUND: The management of iodinated contrast medium (ICM) hypersensitivity
has been a matter of debate. Skin testing to identify a subgroup of ICM allergic
patients has been proposed, in addition to complete avoidance, provocation
testing, or premedication. OBJECTIVE: The objective of this study was to assess
the negative predictive value (NPV) of skin testing for ICM. METHODS: Patients
with a hypersensitivity reaction to ICM who underwent skin testing during a 13.5
year period at a single center were evaluated for re-exposure to a negatively
skin-tested ICM. Premedication, consisting of second-generation H1-antihistamines
twice a day 48 hours before the examination, was advised only for patients with
mast cell disorder or chronic urticaria who had negative skin tests. RESULTS: A
total of 597 patients tested for 423 (70.9%) immediate, 118 (19.7%) nonimmediate,
and 56 (9.4%) hypersensitivity reactions with undetermined chronology were
included. Eighty (13.4%) patients were skin test positive. Re-exposure to ICM
occurred in 233 (39.0%) patients and was tolerated in 16 of 17 (94.1%) with at
least 1 positive skin test and 201 of 216 (93.1%) with all negative skin tests.
Reaction intensity was similar in 4, milder in 10, unknown in 1, and worse in 1
patient although this reaction was deemed to be nonallergic in hindsight.
Premedication was administered in 20.7% of patients and associated with more
reactions (19.4% vs 5.7%, P = .01). The overall NPV of skin testing for ICM was
93.1% (95% confidence interval [CI] 89.1% to 96.0%), and for immediate and
nonimmediate hypersensitivity reactions 94.2% (95% CI 89.6% to 97.2%) and 86.1%
(95% CI 72.1% to 94.7%), respectively. We cannot exclude some challenges occurred
with a different than the initial culprit ICM, possibly overestimating the NPV.
CONCLUSIONS: Skin testing for potential ICM hypersensitivity can identify safe
alternative(s) for ICM re-exposure especially in patients with an immediate
hypersensitivity reaction and/or skin test-proven ICM drug allergy. Reactions on
re-exposure were infrequent, mostly milder, and occurred in some patients despite
premedication.
PMID- 29371074
TI - Behavioral risks associated with food allergy management in an urban pediatric
population.
PMID- 29371075
TI - Does the temperature of water ingested during exertional-heat stress influence
gastrointestinal injury, symptoms, and systemic inflammatory profile?
AB - OBJECTIVES: The study aimed to determine the effects of temperature of ingested
water during exertional-heat stress on gastrointestinal injury, symptoms and
systemic inflammatory responses. DESIGN: Randomised cross-over study. METHODS:
Twelve endurance runners completed 2h running at 60% vO2max in 35 degrees C
ambient temperature on three separate occasions, consuming 250+/-40mL water
before and every 15min during running at either 0.4+/-0.4 degrees C (COLD), 7.3+/
0.8 degrees C (COOL), or 22.1+/-1.2 degrees C (TEMP). Rectal temperature and
gastrointestinal symptoms were recorded every 10min during exercise. Blood was
collected pre, immediately and 1h post-exercise to determine plasma intestinal
fatty-acid binding protein (I-FABP), cortisol, and inflammatory cytokine
concentrations. RESULTS: Compared to TEMP, COLD and COOL blunted the rise in
rectal temperature (2.0+/-0.5 degrees C vs. 1.6+/-0.4 degrees C and 1.7+/-0.4
degrees C, respectively; trial*time, p=0.033). I-FABP increased post-exercise
(419%, p<0.001), with a trend for reduced I-FABP on COLD and COOL (mean reduction
460pgmL-1 and 430pgmL-1, respectively), compared to TEMP (p=0.066). No
differences were observed between trials for gastrointestinal symptoms, albeit a
trend for increased upper-gastrointestinal symptoms on TEMP (p=0.087) compared to
COLD and COOL was observed. IL-6, IL-1beta, IL-8, IL-10 and IL-1ra increased post
exercise (p<0.05); however no differences were observed between trials.
CONCLUSIONS: COLD and COOL water ingestion during exertional-heat stress
ameliorates thermoregulatory strain compared to TEMP. However, this appears to
have no effect on cytokine profile and minimal effect on intestinal epithelial
injury and gastrointestinal symptoms.
PMID- 29371077
TI - Keeping it off: the challenge of weight-loss maintenance.
PMID- 29371076
TI - Prevalence of obesity, hypertension, and diabetes, and cascade of care in sub
Saharan Africa: a cross-sectional, population-based study in rural and urban
Malawi.
AB - BACKGROUND: Sub-Saharan Africa is in rapid demographic transition, and non
communicable diseases are increasingly important causes of morbidity and
mortality. We investigated the burden of diabetes, overweight and obesity,
hypertension, and multimorbidity, their treatment, and their associations with
lifestyle and other factors in Malawi, a very poor country with a predominantly
rural-but rapidly growing urban-population, to identify high-risk populations and
inform appropriate interventions. METHODS: In this cross-sectional, population
based study, we enrolled all adults (>=18 years) residing in two defined
geographical areas within Karonga District and Lilongwe city. All adults self
defining as usually resident in the study areas were eligible, and recruited at
household level. Participants were interviewed, had anthropometry and blood
pressure measured, and had fasting blood samples collected. The study outcomes
were prevalence estimates and risk ratios for diabetes (defined as fasting blood
glucose of at least 7.0 mmol/L or self-report of a previous diagnosis of
diabetes), hypertension (systolic blood pressure of at least 140 mm Hg, diastolic
blood pressure of at least 90 mm Hg, or self-report of current antihypertensive
medication), overweight (BMI of 25.0-29.9 kg/m2) and obesity (BMI of 30.0 kg/m2
or more), and multimorbidity (two or more of the above conditions) by location
specific (urban vs rural), age-specific, and sex-specific groups, calculated
using negative binomial regression. We used chi2 likelihood ratio tests to assess
heterogeneity by age, location, and sex. FINDINGS: Between May 16, 2013, and Feb
8, 2016, we enrolled 15 013 (62%) of 24 367 eligible urban adults in Lilongwe and
13 878 (88%) of 15 806 eligible rural adults in Karonga District. Overweight and
obesity, hypertension, and diabetes were highly prevalent, more so in urban
residents, the less poor, and better educated than in rural, the poorest, and
least educated participants. 18% of urban men (961 of 5211 participants) and 44%
(4115 of 9282) of urban women, and 9% (521 of 5834) of rural men and 27% (2038 of
7497) of rural women were overweight or obese; 16% (859 of 5212), 14% (1349 of
9793), 13% (787 of 5847), and 14% (1101 of 8025) had hypertension; and 3% (133 of
3928), 3% (225 of 7867), 2% (84 of 5004), and 2% (124 of 7116) had diabetes,
respectively. Of 566 participants with diabetes, 233 (41%) were undiagnosed, and
of 4096 participants with hypertension, 2388 (58%) were undiagnosed. Fewer than
half the participants on medication for diabetes or hypertension had well
controlled diabetes (84 [41%] of 207 participants) or blood pressure (440 [37%]
of 1183 participants). Multimorbidity was highest in urban women (n=519, 7%).
INTERPRETATION: Overweight and obesity, hypertension, and diabetes are highly
prevalent in urban and rural Malawi, yet many patients are undiagnosed and
management is limited. Local-evidence-informed multisectoral, innovative, and
targeted interventions are needed urgently to manage the already high burden.
FUNDING: Wellcome Trust.
PMID- 29371078
TI - NCD risk factors in Malawi: population characteristics matter.
PMID- 29371079
TI - Schizencephaly in children: A single medical center retrospective study.
AB - BACKGROUND: The aim of this study was to evaluate the clinical, and neuroimaging
features, outcomes, and other associated systemic disorders in children with
schizencephaly at a single medical center in Taiwan. METHODS: We retrospectively
reviewed the medical records and magnetic resonance images (MRI) of children with
schizencephaly between January 2000 and December 2014. The MRI findings of
schizencephaly were recorded along with the presence of associated cerebral
disorders. Clinical, electroencephalographic and additional systemic disorders
were also recorded. RESULTS: A total of 21 patients (13 males and 8 females) were
included in the study. According to the location of schizencephaly, the patients
were classified into two groups: unilateral (n = 16) and bilateral (n = 5). The
majority of the patients with neurological deficits were detected before 1 year
of age, especially in bilateral clefts. The most common initial presentation was
hemiparesis in unilateral schizencephaly, and seizures in bilateral
schizencephalies. Ventriculomegaly was the most common associated cerebral
disorder, and the most common additional systemic disorders included congenital
heart disease, hydronephrosis, and strabismus. Seventeen patients suffered from
epileptic seizures with generalized tonic-clonic seizures being the most common.
Eight patients developed refractory epilepsy. The majority of the patients had
motor deficits, intellectual disabilities, and language deficits, especially in
bilateral clefts. CONCLUSIONS: This study demonstrates that the clinical features
of schizencephaly vary widely, with their severity closely related to the cleft.
Determining the type, size, and extent of schizencephaly is useful to plan
management and predict the prognosis.
PMID- 29371080
TI - Ear Disease Knowledge and Otoscopy Skills Transfer to Real Patients: A Randomized
Controlled Trial.
AB - OBJECTIVE: To determine which teaching method-otoscopy simulation (OS), web-based
module (WM), or standard classroom instruction (SI)-produced greater translation
of knowledge and otoscopy examination skills to real patients. DESIGN: In a
prospective randomized controlled nonclinical trial, medical students were
randomized to 1 of 3 interventional arms: (1) OS, (2) WM, or (3) SI. Students
were assessed at baseline for diagnostic accuracy and otoscopy skills on 5
volunteer patients (total of 10 ears), followed by the intervention. Testing was
repeated immediately after intervention on the same patients. Student reported
confidence in diagnostic accuracy and otoscopy examination were also captured.
Assessors were blinded to the intervention group, and whether students were pre-
or post-intervention. SETTING: Clinical Teaching Centre, Queen's University.
PARTICIPANTS: Twenty-nine participants were initially randomized. Two students
were unable to attend their specific intervention sessions and withdrew. Final
group sizes were: OS-10, WM-9, SI-8. Five patients with external/middle ear
pathologies were voluntarily recruited to participate as testing subjects.
RESULTS: Baseline diagnostic accuracy and otoscopy clinical skills did not differ
across the groups. Post-intervention, there were improvements in diagnostic
accuracy from all groups: OS (127.78%, 2.30 +/- 1.42, p = 0.0006), WM (76.40%,
1.44 +/- 1.88, p = 0.0499), and SI (100.00%, 1.50 +/- 1.20, p = 0.0093). For
otoscopy skills, post-intervention improvements were noted from OS (77.00%, 3.85
+/- 2.55, p < 0.0001) and SI (22.20%, 1.25 +/- 1.20, p = 0.0011), with no
significant improvement from WM (13.46%, 0.78 +/- 1.92, p = 0.1050). Students
across all groups reported significantly improved confidence in diagnostic
accuracy (p < 0.0001) and otoscopy skill (p < 0.0001) after the intervention.
CONCLUSION: All 3 teaching modalities showed an improvement in diagnostic
accuracy immediately post-intervention. Otoscopy clinical skills were found to
have increased only in OS and SI, with the OS group demonstrating the largest
improvement. Simulation-based medical education in Otolaryngology may provide the
greatest transfer of medical knowledge and technical skills when evaluated with
real patients.
PMID- 29371081
TI - Orthopaedic Surgery Residency Training: Consideration for a Surgical and
Procedural Skills Competency.
AB - This perspectives report discusses the need to create a surgical and procedural
skills competency for orthopedic surgery residency training programs.
PMID- 29371082
TI - Feasibility of using a bacteriophage-based structural color sensor for screening
the geographical origins of agricultural products.
AB - An M13 bacteriophage-based color sensor, which can change its structural color
upon interaction with a gaseous molecule, was evaluated as a screening tool for
the discrimination of the geographical origins of three different agricultural
products (garlic, onion, and perilla). Exposure of the color sensor to sample
odors induced the self-assembled M13 bacteriophage bundles to swell by the
interaction of amino acid residues (repeating units of four glutamates) on the
bacteriophage with the odor components, resulting in a change in the structural
color of the sensor. When the sensor was exposed to the odors of garlic and onion
samples, the RGB color changes were considerable because of the strong
interactions of the odor components such as disulfides with the glutamate
residues on the sensor. Although the patterns of the color variations were
generally similar between the domestic and imported samples, some degrees of
dissimilarities in their intensities were also observed. Although the magnitude
of color change decreased for perilla, the color change patterns between the two
groups were somewhat different. With the acquired RGB data, a support vector
machine was employed to distinguish the domestic and imported samples, and the
resulting accuracies in the measurements of garlic, onion, and perilla samples
were 94.1, 88.7, and 91.6%, respectively. The differences in the concentrations
of the odor components between both groups and/or the presence of specific
components exclusively in the odor of one group allowed the color sensor-based
discrimination. The demonstrated color sensor was thus shown to be a potentially
versatile and simple as an on-site screening tool. Strategies able to further
improve the sensor performance were also discussed.
PMID- 29371083
TI - Spontaneously occurring intramural coronary arteriosclerosis in regularly
slaughtered veal calves and beef cattle: a screening study about prevalence and
histopathological features.
AB - OBJECTIVES: Intramural coronary arteriosclerosis has been reported in several
species, but no systematic studies are currently available in bovine. The present
study aimed to describe the arteriosclerotic changes in regularly slaughtered
veal calves and beef cattle. ANIMALS: Twenty-five veal calves (6-9 months old)
and 17 beef cattle (10-24 months old) housed in intensive livestock farming and
regularly slaughtered were included in the present study. METHODS: Selected heart
samples were submitted for histopathological and ultrastructural examination and
the following parameters were evaluated: intimal hyperplasia, degenerative
changes of the tunica media, medial hypertrophy/hyperplasia, myocardial fibrosis,
and myocarditis. Pathological intramural coronary arteries with and without lumen
narrowing were manually counted in every sample. RESULTS: Intramural coronary
arteriosclerosis was observed in all the calves and cattle, with similar
prevalence of fibromuscular/muscular intimal hyperplasia (92% vs 88%),
degenerative changes of the tunica media (76% vs 71%), and medial
hypertrophy/hyperplasia (44% vs 59%). The posterior papillary muscle of the left
ventricle was the myocardial localization significantly more affected in both
calves (p = 0.0007) and cattle (p = 0.0339). Anitschkow cells were detected in
the coronary walls of both calves (60%) and cattle (76%). CONCLUSIONS: This is
the first study that systematically describes spontaneously occurring intramural
coronary arteriosclerosis in bovine species. Anitschkow cells, whose
ultrastructural characteristics and localization suggest their potential origin
from the smooth muscle cells of the tunica media of the arteriosclerotic coronary
vessels, were also identified.
PMID- 29371084
TI - Instantaneous wave-free ratio as an alternative to fractional flow reserve in
assessment of moderate coronary stenoses: A meta-analysis of diagnostic accuracy
studies.
AB - BACKGROUND/PURPOSE: Fractional flow reserve (FFR) remains underutilized due to
practical concerns related to the need for hyperemic agents. These concerns have
prompted the study of instantaneous wave-free ratio (iFR), a vasodilator-free
index of coronary stenosis. Non-inferior cardiovascular outcomes have been
demonstrated in two recent randomized clinic trials. We performed this meta
analysis to provide a necessary update of the diagnostic accuracy of iFR
referenced to FFR based on the addition of eight more recent studies and 3727
more lesions. METHODS: We searched the PubMed, EMBASE, Central, ProQuest, and Web
of Science databases for full text articles published through May 31, 2017 to
identify studies addressing the diagnostic accuracy of iFR referenced to
FFR<=0.80. The following keywords were used: "instantaneous wave-free ratio" OR
"iFR" AND "fractional flow reserve" OR "FFR." RESULTS: In total, 16 studies
comprising 5756 lesions were identified. Pooled diagnostic accuracy estimates of
iFR versus FFR<=0.80 were: sensitivity, 0.78 (95% CI, 0.76-0.79); specificity,
0.83 (0.81-0.84); positive likelihood ratio, 4.54 (3.85-5.35); negative
likelihood ratio, 0.28 (0.24-0.32); diagnostic odds ratio, 17.38 (14.16-21.34);
area under the summary receiver-operating characteristic curve, 0.87; and an
overall diagnostic accuracy of 0.81 (0.78-0.84). CONCLUSIONS: In conclusion, iFR
showed excellent agreement with FFR as a resting index of coronary stenosis
severity without the undesired effects and cost of hyperemic agents. When
considering along with its clinical outcome data and ease of application, the
diagnostic accuracy of iFR supports its use as a suitable alternative to FFR for
physiology-guided revascularization of moderate coronary stenoses. SUMMARY: We
performed a meta-analysis of the diagnostic accuracy of iFR referenced to FFR.
iFR showed excellent agreement with FFR as a resting index of coronary stenosis
severity without the undesired effects and cost of hyperemic agents. This
supports its use as a suitable alternative to FFR for physiology-guided
revascularization of moderate coronary stenoses.
PMID- 29371085
TI - (E)-2-Methoxy-4-(3-(4-methoxyphenyl) prop-1-en-1-yl) phenol attenuates PMA
induced inflammatory responses in human monocytic cells through PKCdelta/JNK/AP-1
pathways.
AB - (E)-2-Methoxy-4-(3-(4-methoxyphenyl) prop-1-en-1-yl) phenol (MMPP), a new (E)-2,4
bis(p-hydroxyphenyl)-2 - butenal derivative, reportedly has therapeutic effects
such as anti-arthritic properties. Although previous studies showed that MMPP has
anti-arthritic effects on rheumatoid arthritis (RA), the anti-inflammation
mechanism of MMPP remains unclear. In this study, phorbol-12-myristate 13-acetate
(PMA) was used as an inflammatory stimulus to evaluate the detailed mechanism of
the MMPP-mediated anti-inflammatory effect in human monocytic THP-1 cells. We
investigated the effects of MMPP on inflammation-related pathways including
protein kinase Cdelta (PKCdelta), mitogen-activated protein kinase, and activator
protein-1 (AP-1). PMA induced the translocation of PKCs from the cytosol to the
membrane and phosphorylated JNK. MMPP inhibited PMA-induced membrane
translocation of PKCdelta, phosphorylation of JNK, and nuclear translocation of
AP-1, resulting in downregulation of cyclooxygenase-2 and chemokine ligand 5
production. These findings indicate that MMPP inhibits inflammatory responses in
THP-1 cells by mitigating PMA-induced activation of PKCdelta and JNK and nuclear
translocation of AP-1. Therefore, MMPP may be useful as an anti-inflammatory
drug.
PMID- 29371086
TI - Breast Cancer Screening in Women at Higher-Than-Average Risk: Recommendations
From the ACR.
AB - Early detection decreases breast cancer mortality. The ACR recommends annual
mammographic screening beginning at age 40 for women of average risk. Higher-risk
women should start mammographic screening earlier and may benefit from
supplemental screening modalities. For women with genetics-based increased risk
(and their untested first-degree relatives), with a calculated lifetime risk of
20% or more or a history of chest or mantle radiation therapy at a young age,
supplemental screening with contrast-enhanced breast MRI is recommended. Breast
MRI is also recommended for women with personal histories of breast cancer and
dense tissue, or those diagnosed by age 50. Others with histories of breast
cancer and those with atypia at biopsy should consider additional surveillance
with MRI, especially if other risk factors are present. Ultrasound can be
considered for those who qualify for but cannot undergo MRI. All women,
especially black women and those of Ashkenazi Jewish descent, should be evaluated
for breast cancer risk no later than age 30, so that those at higher risk can be
identified and can benefit from supplemental screening.
PMID- 29371087
TI - Diagnostic Reference Levels for Adult Patients in the United States.
PMID- 29371088
TI - Artificial Intelligence and Radiology: What Will the Future Hold?
PMID- 29371089
TI - Can reversal of RYGB also reverse hypoglycemia?
PMID- 29371091
TI - Risk Based Surveillance after Surgical Treatment of Renal Cell Carcinoma.
AB - PURPOSE: We assessed the accuracy of the UISS (UCLA Integrated Staging System) to
predict the postoperative recurrence of renal cell carcinoma. We also evaluated
whether including patient age and tumor histology would improve clinical decision
making. MATERIALS AND METHODS: We analyzed the records of 1,630 patients treated
with nephrectomy at a single academic center. The accuracy of the UISS model to
predict early (12 months or less) and late (more than 60 months) recurrence after
surgery was compared with a new model including patient age and disease
histology. RESULTS: The new model and the UISS model showed high accuracy to
predict early recurrence after surgery (AUC 0.84, 95% CI 0.81-0.88 and 0.83, 95%
CI 0.80-0.87, respectively). In patients diagnosed with low risk tumor types (eg
papillary type 1 and chromophobe lesions) the average risk of early recurrence
significantly decreased in each UISS risk category when tumor histology was added
to the predictive model (low risk 1.6% vs 0.6%, intermediate risk 5.5% vs 1.9%
and high risk 45% vs 22%). Kaplan-Meier analysis showed no difference in the risk
of late recurrence among the UISS risk categories. CONCLUSIONS: The UISS model
should be applied to tailor the early followup protocol after nephrectomy.
Patients with low risk histology deserve less stringent followup regardless of
the UISS risk category. Our results do not support a risk stratification model to
design a surveillance protocol after 5 years postoperatively.
PMID- 29371090
TI - Genome sequence and detection of peach rosette mosaic virus.
AB - Peach rosette mosaic disease was first described in the 1940s affecting peach and
plum. It was later determined that peach rosette mosaic virus (PRMV) is the
causal agent of the disease. PRMV, a member of the genus Nepovirus, infects
several perennial crops including stone fruit, grape and blueberry as well as
several weed species found in orchards around the world. The molecular
characterization of the virus is limited to partial genome sequences making it
difficult to develop reliable and sensitive molecular detection tests; the reason
that detection is routinely performed using ELISA with antibodies risen against a
single virus isolate. Given the potential economic impact of the virus and the
modes of transmission which, in addition to nematodes, include seed we studied
PRMV in more depth using a modified dsRNA extraction protocol to obtain the virus
genome. We determined the full nucleotide sequence and developed a protocol that
detects conserved regions present in RNA 1 and RNA 2, making it an excellent
alternative to the detection protocols used today.
PMID- 29371092
TI - Hypertension in postmenopausal women: hemodynamic and therapeutic implications.
PMID- 29371093
TI - In silico identification of potential epitopes present in human adenovirus
proteins for vaccine design and of putative drugs for treatment against viral
infection.
AB - In silico approach using computational biology to design best probable epitopes
and/or drug target(s) has given an edge to foresee active components for the
treatment of many infectious diseases. This study aims to investigate the best
probable epitopes from fiber, hexon and penton base proteins as well as probable
drug targets to prevent and to cure adenovirus infection, respectively. After
retrieving protein sequences, analysis of selection pressure; prediction of
continuous/discontinuous B cell epitopes along with their antigenicity,
immunogenicity, allergenicity; T cell epitopes along with their population
coverage and echelon of conservancy were performed. Out of three proteins, fiber
protein underwent the highest degree of selection pressure. Five peptides from
fiber C-5, hexon C-5 and D-8, penton base B-3 and C-5 proteins were considered as
the best potential B cell epitopes. Further analyses revealed that peptides
present in fiber C-5, hexon C-5, penton base B-3 and C-5 proteins fulfilled the
criteria of having surface accessibility, hydrophilicity, flexibility,
antigenicity and beta turn. Several regions of proteins were identified as
discontinuous B cell epitopes. Interestingly, a peptide present in 692-699 region
of hexon C-5 and six amino acids at positions 100, 102, 105, 108, 112 and 114 of
penton base B-3 proteins were recognized both as continuous and discontinuous B
cell epitopes. Of all the predicted T cell epitopes, three nonamers from hexon C
5, D-8 and penton base C-5 proteins may elicit strong immune response by
activating both humoral and cellular immunity as these were found to overlap with
those of B cell epitopic peptides. Considering non-allergen, conservancy and
population coverage properties, "SGYDPYYTY" of hexon protein C-5 was further
validated using in silico docking study for its interaction with the HLA allele.
This study also demonstrated the possibility of compounds like 3-(azepan-1-ium-1
yl) propane-1-sulfonate and E-5842 as the potential inhibitors of penton base and
hexon proteins that could act as more effective drugs against the virus compared
to the current ones. Therefore, further in vitro and animal model experiments
using these predicted epitopes and compounds may pave the way for newer and more
effective treatment approaches against adenovirus infection.
PMID- 29371095
TI - Mean emotion from multiple facial expressions can be extracted with limited
attention: Evidence from visual ERPs.
AB - Human observers can readily extract the mean emotion from multiple faces shown
briefly. However, it remains currently debated whether this ability depends on
attention or not. To address this question, in this study, we recorded
lateralized event-related brain potentials (i.e., N2pc and SPCN) to track covert
shifts of spatial attention, while healthy adult participants discriminated the
mean emotion of four faces shown in the periphery at an attended or unattended
spatial location, using a cueing technique. As a control condition, they were
asked to discriminate the emotional expression of a single face shown in the
periphery. Analyses of saccade-free data showed that the mean emotion
discrimination ability was above chance level but statistically undistinguishable
between the attended and unattended location, suggesting that attention was not a
pre-requisite for averaging. Interestingly, at the ERP level, covert shifts of
spatial attention were captured by the N2pc and SPCN components. All together,
these novel findings suggest that averaging multiple facial expressions shown in
the periphery can operate with limited attention.
PMID- 29371094
TI - Orthographic influence on spoken word identification: Behavioral and fMRI
evidence.
AB - The current study investigated behavioral and neuroimaging evidence for
orthographic influences on auditory word identification. To assess such
influences, the proportion of similar sounding words (i.e. phonological
neighbors) that were also spelled similarly (i.e., orthographic neighbors) was
computed for each auditorily presented word as the Orthographic-to-Phonological
Overlap Ratio (OPOR). Speech intelligibility was manipulated by presenting
monosyllabic words in multi-talker babble at two signal-to-noise ratios: + 3 and
+ 10 dB SNR. Identification rates were lower for high overlap words in the
challenging + 3 dB SNR condition. In addition, BOLD contrast increased with OPOR
at the more difficult SNR, and decreased with OPOR under more favorable SNR
conditions. Both voxel-based and region of interest analyses demonstrated robust
effects of OPOR in several cingulo-opercular regions. However, contrary to prior
theoretical accounts, no task-related activity was observed in posterior regions
associated with phonological or orthographic processing. We suggest that, when
processing is difficult, orthographic-to-phonological feature overlap increases
the availability of competing responses, which then requires additional support
from domain general performance systems in order to produce a single response.
PMID- 29371096
TI - First case of pleural empyema and pulmonary abscess caused by Eggerthia
catenaformis.
AB - Few data are available on the anaerobic bacterium Eggerthia catenaformis. Here we
report the first clinical case of a patient with sepsis caused by pulmonary
infection with E. catenaformis, and present the minimal inhibitory concentrations
of different antimicrobial agents.
PMID- 29371097
TI - Akt activation improves microregional oxygen supply/consumption balance after
cerebral ischemia-reperfusion.
AB - There have been reports that activation of Akt may provide neuroprotection after
cerebral ischemia-reperfusion. We tested the hypothesis that activation of Akt
would decrease infarct size and improve microregional O2 supply/consumption
balance after cerebral ischemia-reperfusion. This hypothesis was tested in
isoflurane-anesthetized rats with middle cerebral artery blockade for 1 h and
reperfusion for 2 h with or without SC-79 (Akt activator, 0.05 mg/kg, three
doses). Regional cerebral blood flow was determined using a C14-iodoantipyrine
autoradiographic technique. Regional small vessel (20-60 MUm diameter) arterial
and venous oxygen saturations were determined microspectrophotometrically. Akt
phosphorylation was determined by Western blot. There were no significant
hemodynamic or blood gas differences between groups. The control ischemic
reperfused cortex had a similar O2 consumption, but lower blood flow and higher
O2 extraction compared to the contralateral cortex. However, microregional O2
supply/consumption balance was significantly reduced in the ischemic-reperfused
cortex with many areas of low O2 saturation (42 of 80 veins with O2 saturation
below 50%). SC-79 did not significantly affect cerebral O2 consumption, but
significantly improved O2 supply/consumption balance in the reperfused area (18
of 80 veins with O2 saturation below 50%). This was associated with a reduced
cortical infarct size (13.3 +/- 0.5% control vs 6.7 +/- 0.3% SC-79). In control,
Akt phosphorylation was elevated at 2 h after ischemia. With SC-79, Akt was
activated at 15 min but not at 2 h in the ischemic reperfused area. These results
suggest that early Akt activation is important for not only cell survival, but
also for the control of local oxygen balance after cerebral ischemia-reperfusion.
PMID- 29371098
TI - Distribution of Fos-immunoreactive neurons in the gustatory cortex elicited by
intra-oral infusion of taste solutions in conscious rats.
AB - The location of neurons in the gustatory cortex (GC) activated by intra-oral
infusion of solutions in conscious rats was mapped using Fos
immunohistochemistry. Groups of adult male Wistar rats (N's = 5) received an
infusion of one of the following: dH2O, 0.1 or 1.0 M NaCl, 0.1 or 1.0 M sucrose,
0.32 M MSG (with 100 uM amiloride and 2.5 M inosine 5'-monophosphate), 0.03 M
HCl, or 0.003 M QHCl delivered via an intra-oral cannula (0.233 ml/min for 5
min). Unstimulated control rats received no infusion. Taste reactivity (TR)
behaviors were videotaped and scored. The number of Fos-immunoreactive (Fos-IR)
neurons was counted in eight sections throughout the anterior-posterior extent of
the GC in the medial and lateral halves of the granular (GI), dysgranular (DI),
and dorsal (AID) and ventral (AIV) agranular insular cortices. Intra-oral
infusion of dH2O, NaCl, or sucrose altered the number of Fos-IR neurons in only
specific subareas of the GC and the effects of these tastants were concentration
dependent. For example, 1.0 M NaCl increased Fos-IR neurons in the posterior
lateral AID and DI and elicited more aversive TR responses than 0.1 M NaCl.
Compared to dH2O, infusions of HCl or QHCl increased the total number of Fos-IR
neurons in many subareas of the GC throughout its anterior-posterior extent and
increased aversive TR behaviors. Linear regression analyses suggested that
neurons in the medial AID of the posterior GC may influence aversive behavioral
responses to HCl and QHCl while neurons in the posterior lateral AID and DI may
play a role in aversive TR responses to 1.0 M NaCl.
PMID- 29371099
TI - Pleiotropic and novel phenotypes in the Drosophila gut caused by mutation of drop
dead.
AB - Normal gut function is vital for animal survival, and deviations from such
function can contribute to malnutrition, inflammation, increased susceptibility
to pathogens, diabetes, neurodegenerative diseases, and cancer. In the fruit fly
Drosophila melanogaster, mutation of the gene drop-dead (drd) results in
defective gut function, as measured by enlargement of the crop and reduced food
movement through the gut, and drd mutation also causes the unrelated phenotypes
of neurodegeneration, early adult lethality and female sterility. In the current
work, adult drd mutant flies are also shown to lack the peritrophic matrix (PM),
an extracellular barrier that lines the lumen of the midgut and is found in many
insects including flies, mosquitos and termites. The use of a drd-gal4 construct
to drive a GFP reporter in late pupae and adults revealed drd expression in the
anterior cardia, which is the site of PM synthesis in Drosophila. Moreover, the
ability of drd knockdown or rescue with several gal4 drivers to recapitulate or
rescue the gut phenotypes (lack of a PM, reduced defecation, and reduced adult
survival 10-40 days post-eclosion) was correlated to the level of expression of
each driver in the anterior cardia. Surprisingly, however, knocking down drd
expression only in adult flies, which has previously been shown not to affect
survival, eliminated the PM without reducing defecation rate. These results
demonstrate that drd mutant flies have a novel phenotype, the absence of a PM,
which is functionally separable from the previously described gut dysfunction
observed in these flies. As the first mutant Drosophila strain reported to lack a
PM, drd mutants will be a useful tool for studying the synthesis of this
structure.
PMID- 29371100
TI - The Zika Contraception Access Network: a feasibility programme to increase access
to contraception in Puerto Rico during the 2016-17 Zika virus outbreak.
AB - BACKGROUND: Prevention of unintended pregnancy is a primary strategy to reduce
adverse pregnancy and birth outcomes related to Zika virus infection. The Zika
Contraception Access Network (Z-CAN) aimed to build a network of health-care
providers offering client-centred contraceptive counselling and the full range of
reversible contraception at no cost to women in Puerto Rico who chose to prevent
pregnancy during the 2016-17 Zika virus outbreak. Here, we describe the Z-CAN
programme design, implementation activities, and baseline characteristics of the
first 21 124 participants. METHODS: Z-CAN was developed by establishing
partnerships between federal agencies, territorial health agencies, private
corporations, and domestic philanthropic and non-profit organisations in the
continental USA and Puerto Rico. Private donations to the National Foundation for
the Centers for Disease Control and Prevention (CDCF) secured a supply of
reversible contraceptive methods (including long-acting reversible
contraception), made available to non-sterilised women of reproductive age at no
cost through provider reimbursements and infrastructure supported by the CDCF. To
build capacity in contraception service provision, doctors and clinic staff from
all public health regions and nearly all municipalities in Puerto Rico were
recruited into the programme. All providers completed 1 day of comprehensive
training in contraception knowledge, counselling, and initiation and management,
including the insertion and removal of long-acting reversible contraceptives
(LARCs). Z-CAN was announced through health-care providers, word of mouth, and a
health education campaign. Descriptive characteristics of programme providers and
participants were recorded, and we estimated the factors associated with choosing
and receiving a LARC method. As part of a Z-CAN programme monitoring plan,
participants were invited to complete a patient satisfaction survey about whether
they had obtained free, same-day access to their chosen contraceptive method
after receiving comprehensive counselling, their perception of the quality of
care they had received, and their satisfaction with their chosen method and
services. FINDINGS: Between May 4, 2016, and Aug 15, 2017, 153 providers in the Z
CAN programme provided services to 21 124 women. 20 110 (95%) women received same
day provision of a reversible contraceptive method. Whereas only 767 (4%) women
had used a LARC method before Z-CAN, 14 259 (68%) chose and received a LARC
method at their initial visit. Of the women who received a LARC method, 10 808
(76%) women had used no method or a least effective method of contraception (ie,
condoms or withdrawal) before their Z-CAN visit. Of the 3489 women who
participated in a patient satisfaction survey, 3068 (93%) of 3294 women were very
satisfied with the services received, and 3216 (93%) of 3478 women reported
receiving the method that they were most interested in after receiving
counselling. 2382 (78%) of 3040 women rated their care as excellent or very good.
INTERPRETATION: Z-CAN was designed as a short-term response for rapid
implementation of reversible contraceptive services in a complex emergency
setting in Puerto Rico and has served more than 21 000 women. This model could be
replicated or adapted as part of future emergency preparedness and response
efforts. FUNDING: National Foundation for the Centers for Disease Control and
Prevention.
PMID- 29371101
TI - Z-CAN: prevention of unintended pregnancy in an emergency setting.
PMID- 29371102
TI - Methicillin-sensitive Staphylococcus aureus and emerging dominant sequence type
188 Staphylococcus aureus in severe community-associated infections.
PMID- 29371103
TI - Co-occurrence of mcr-1 in the chromosome and on an IncHI2 plasmid: persistence of
colistin resistance in Escherichia coli.
AB - Two colistin-resistant Escherichia coli strains (FS13Z2S and FS3Z6C) possessing
chromosomally encoded mcr-1 isolated from swine were characterised. Whole-genome
sequencing revealed that in strain FS13Z2S mcr-1 occurred in triplicate in the
chromosome with another copy encoded on a pHNSHP45-2-like IncHI2 plasmid, whereas
in strain FS3Z6C only one copy mcr-1 was inserted in the chromosome. It seems
likely that the triplication of chromosomal copies of mcr-1 in FS13Z2S is due to
intramolecular transposition events via a composite transposon containing an mcr
1 cassette bracketed by two copies of insertion sequence ISApl1, and the pap2
gene at the insertion site was truncated by an IS1294-like element. In plasmid
pFS13Z2S and the chromosome of strain FS3Z6C, only a single copy of ISApl1 was
present upstream of the mcr-1 cassette. The two strains exhibited similar
colistin minimum inhibitory concentrations (MICs) and featured
phosphoethanolamine addition to lipid A, without regard to the copy number of mcr
1. The mcr-1-harbouring plasmid was unstable in wild-type strain FS13Z2S and was
quickly lost after 7 days of passage on colistin-free Luria-Bertani broth
containing 0.5% SDS, but the mcr-1 copies on the chromosome persisted. These
results reveal that the single copy of mcr-1 could result in modification of
lipopolysaccharide (LPS) and cause colistin resistance in E. coli. Acquisition of
multiple copies of mcr-1, especially on the chromosome, would facilitate stable
persistence of colistin resistance in the host strain.
PMID- 29371104
TI - Activity of ceftazidime-avibactam against carbapenemase-producing
Enterobacteriaceae from urine specimens obtained during the infection-carbapenem
resistance evaluation surveillance trial (iCREST) in Spain.
AB - The increasing rates of carbapenemase-producing Enterobacteriaceae (CPE)
represent an important threat to health care systems and treatment of CPE
infections is a challenge. The aim of the infection-carbapenem resistance
evaluation surveillance trial (iCREST) was to determinate the prevalence of CPE
in urine specimens in Spain and to evaluate the in vitro activity of ceftazidime
avibactam. Urine specimens (n = 11 826) were included and activity of ceftazidime
avibactam and comparators were investigated by broth microdilution in CPE.
Carbapenemases were characterised by polymerase chain reaction (PCR) and
sequencing as well as by whole genome sequencing (WGS). Overall prevalence of CPE
was 1.6%. OXA-48 was the most prevalent (86.8%), followed by KPC (6.9%), VIM
(4.8%), NDM (1.1%) and IMP (0.6%) carbapenemases. Klebsiella pneumoniae was the
most common carbapenemase producer (87.8%). An uncommon carbapenemase type (IMP
8) in Spain was identify by WGS in an Enterobacter cloacae isolate, reinforcing
the utility of surveillance programmes as effectives tools to detect unexpected
genes that encode antimicrobial resistance. Ceftazidime-avibactam showed 100%
susceptibility in KPC and OXA-48 producers and the rates of susceptibility in CPE
non-susceptible to ceftazidime or meropenem were 92.1% and 96.9%, respectively.
Ceftazidime-avibactam could be considered an adequate treatment option for
urinary tract infections caused by KPC and OXA-48 producers.
PMID- 29371105
TI - Effect of dolutegravir in combination with Nucleoside Reverse Transcriptase
Inhibitors (NRTIs) on people living with HIV who have pre-existing NRTI
mutations.
AB - Until the introduction of dolutegravir (DTG), people living with HIV (PLWH) who
have developed nucleoside reverse transcriptase inhibitor (NRTI) mutations have
had few other treatment options outside of regimens based on ritonavir-boosted
protease inhibitors (PI/r). Here we report treatment results among PLWH in Sweden
with pre-existing NRTI mutations on antiretroviral treatment (ART) with DTG and
one to two NRTIs. All PLWH on ART with DTG and one to two NRTIs with pre-existing
NRTI mutations were retrospectively identified from the National InfCare HIV
database. As controls, PLWH on PI/r and one to two NRTIs, matched according to
Genotypic Susceptibility Score and observation time, were included. Data were
collected as long as the study population was on treatment with DTG; controls
were monitored for the same interval. Outcome was classified as either treatment
success or failure. In total, 244 participants (122 individuals treated with DTG
and 122 individuals treated with PI/r) were included. Median observation time was
78 weeks (interquartile range 50-98 weeks) for participants on DTG and 75 weeks
(50-101 weeks) for individuals on PI/r. Viral failure was detected in four
individuals treated with DTG and three individuals treated with PI/r, resulting
in similar success rates of 96.7% and 97.5%, respectively. No new mutations were
found among participants with treatment failure. DTG in combination with one to
two NRTIs was as efficient as PI/r in individuals with pre-existing NRTI
mutations in this setting. It may be considered an alternative to PI/r-based ART
even in the presence of NRTI resistance.
PMID- 29371107
TI - Advance Directive Utilization Is Associated with Less Aggressive End-of-Life Care
in Patients Undergoing Allogeneic Hematopoietic Cell Transplantation.
AB - Allogeneic hematopoietic cell transplantation (HCT) is associated with
significant morbidity and mortality, making advance care planning (ACP) and
management especially important in this patient population. A paucity of data
exists on the utilization of ACP among allogeneic HCT recipients and the
relationship between ACP and intensity of healthcare utilization in these
patients. We performed a retrospective review of patients receiving allogeneic
HCT at our institution from 2008 to 2015 who had subsequently died after HCT.
Documentation and timing of advance directive (AD) completion were abstracted
from the electronic medical record. Outcomes of interest included use of
intensive care unit (ICU) level of care at any time point after HCT, within 30
days of death, and within 14 days of death; use of mechanical ventilation at any
time after HCT; and location of death. Univariate logistic regression was
performed to explore associations between AD completion and each outcome. Of the
1031 patients who received allogeneic HCT during the study period, 422 decedents
(41%) were included in the analysis. Forty-four percent had AD documentation
prior to death. Most patients (69%) indicated that if terminally ill, they did
not wish to be subjected to life-prolonging treatment attempts. Race/ethnicity
was significantly associated with AD documentation, with non-Hispanic white
patients documenting ADs more frequently (51%) compared with Hispanic (22%) or
Asian patients (35%; P = .0007). Patients with ADs were less likely to use the
ICU during the transplant course (41% for patients with ADs versus 52% of
patients without ADs; P = .03) and also were less likely to receive mechanical
ventilation at any point after transplantation (21% versus 37%, P < .001). AD
documentation was also associated with decreased ICU use at the end of life;
relative to patients without ADs, patients with ADs were more likely to die at
home or in hospital as opposed to in the ICU (odds ratio, .44; 95% confidence
interval, .27 to .72). ACP remains underused in allogeneic HCT. Adoption of a
systematic practice to standardize AD documentation as part of allogeneic HCT
planning has the potential to significantly reduce ICU use and mechanical
ventilation while improving quality of care at end of life in HCT recipients.
PMID- 29371106
TI - Cardiorespiratory demand and rate of perceived exertion during overground walking
with a robotic exoskeleton in long-term manual wheelchair users with chronic
spinal cord injury: A cross-sectional study.
AB - BACKGROUND: Many wheelchair users adopt a sedentary lifestyle, which results in
progressive physical deconditioning with increased risk of musculoskeletal,
cardiovascular and endocrine/metabolic morbidity and mortality. Engaging in a
walking program with an overground robotic exoskeleton may be an effective
strategy for mitigating these potential negative health consequences and
optimizing fitness in this population. However, additional research is warranted
to inform the development of adapted physical activity programs incorporating
this technology. OBJECTIVES: To determine cardiorespiratory demands during
sitting, standing and overground walking with a robotic exoskeleton and to verify
whether such overground walking results in at least moderate-intensity physical
exercise. METHODS: We enrolled 13 long-term wheelchair users with complete motor
spinal cord injury in a walking program with an overground robotic exoskeleton.
Cardiorespiratory measures and rate of perceived exertion (RPE) were recorded by
using a portable gas analyzer system during sitting, standing and four 10m
walking tasks with the robotic exoskeleton. Each participant also performed an
arm crank ergometer test to determine maximal cardiorespiratory ability (i.e.,
peak heart rate and O2 uptake [HRpeak, VO2peak]). RESULTS: Cardiorespiratory
measures increased by a range of 9%-35% from sitting to standing and further
increased by 22%-52% from standing to walking with the robotic exoskeleton.
During walking, median oxygen cost (O2Walking), relative HR (%HRpeak), relative
O2 consumption (%VO2peak) and respiratory exchange ratio (RER) reached
0.29mL/kg/m, 82.9%, 41.8% and 0.9, respectively, whereas median RPE reached
3.2/10. O2Walking was moderately influenced by total number of sessions and steps
taken with the robotic exoskeleton since the start of the walking program.
CONCLUSION: Overground walking with the robotic exoskeleton over a short distance
allowed wheelchair users to achieve a moderate-intensity level of exercise.
Hence, an overground locomotor training program with a robotic exoskeleton may
have cardiorespiratory health benefits in the population studied.
PMID- 29371108
TI - The importance of area scaling with FACS DIVA software.
AB - With the release and use of the Becton Dickenson FACS Diva Software, the use of
Area as the default parameter came into play. As such, the use of area as a
calculated parameter, methods were needed to be employed to ensure doublet
discrimination and proper display on standard FSC/SSC. Improper setting of
forward area scaling can alter the display cell populations. This combined with
improper area gating strategy can lead to doublet inclusion which in sorting rare
events can compromise sort purity. In extreme cases where area scaling with the
individual lasers is ignored, differences can exist between Area and Height where
compensation will likely not be optimal, particularly if one parameter - usually
height is saturated. In addition, area scaling can impact population grouping. As
FSC and individual laser area scaling is a function of event size, the most
common error is to accept the setting determined by CS&T, which are 3.2 um
particles and proceed with the sample(s) without regard to the sample's actual
size. With cellular events smaller or more likely larger than the CS&T beads,
this will make the area scaling settings less than optimal. Analysis and sorting
rare events with populations larger than the CS&T beads can be compromised if
adjustments in FSC area scaling are not addressed. Proper FSC and laser area
scaling must be determined empirically for each sample. Examples of the effects
of sample size on area scaling will be presented in addition to gating and
templates for determining area scaling.
PMID- 29371109
TI - Functional genetic variants within the SIRT2 gene promoter in type 2 diabetes
mellitus.
AB - AIMS: Type 2 diabetes mellitus (T2D) is a common and complex metabolic diseases
caused by interactions between environmental and genetic factors. Genome-wide
association studies have identified more than 80 common genetic variants for T2D,
which account for only ~10% of the heritability of T2D cases. SIRT2, a member of
NAD(+)-dependent class III deacetylases, is involved in genomic stability,
metabolism, inflammation, oxidative stress and autophagy. In maintaining
metabolic homeostasis, SIRT2 regulates adipocyte differentiation, fatty acid
oxidation, gluconeogenesis, and insulin sensitivity. Thus, we hypothesized that
DNA sequence variants (DSVs) in SIRT2 gene promoter may change SIRT2 levels,
contributing to T2D. METHODS: SIRT2 gene promoter was genetically and
functionally analyzed in large cohorts of T2D patients (n = 365) and ethnic
matched controls (n = 358). RESULTS: A total of 18 DSVs, including 5 SNPs, were
identified in this study. Four novel heterozygous DSVs (g.38900912G > T,
g.38900561C > T, g.38900359C > T and g.38900237G > A) were identified in four T2D
patients, three of which (g.38900912G > T, g.38900359C > T and g.38900237G > A)
significantly increased the transcriptional activity of the SIRT2 gene promoter
in cultured pancreatic beta cells (P < .01). Seven novel heterozygous DSVs were
only found in controls, and one heterozygous deletion DSV and five SNPs were
found in both T2D patients and controls, which did not significantly affect SIRT2
gene promoter activity (P > .05). CONCLUSIONS: Our findings suggested that the
DSVs may increase SIRT2 gene promoter activity and SIRT2 levels, contributing to
T2D development as a risk factor.
PMID- 29371110
TI - Intramembranal disulfide cross-linking elucidates the super-quaternary structure
of mammalian CatSpers.
AB - CatSper is a voltage-dependent calcium channel located in the plasma membrane of
the sperm flagellum and is responsible for triggering hyperactive motility. A
homology model for the transmembrane region was built in which the arrangement of
the subunits around the pseudo-four-fold symmetry axis was deduced by the pairing
of conserved transmembranal cysteines across mammals. Directly emergent of the
predicted quaternary structure is an architecture in which tetramers polymerize
through additional, highly conserved cysteines, creating one or more double-rows
channels extending the length of the principal piece of the mammalian sperm tail.
The few species that are missing these cysteines are eusocial or otherwise
monogamous, suggesting that sperm competition is selective for a disulfide
crosslinked macromolecular architecture. The model suggests testable hypotheses
for how CatSper channel opening might behave in response to pH, 2
arachidonoylglycerol, and mechanical force. A flippase function is hypothesized,
and a source of the concomitant disulfide isomerase activity is found in CatSper
associated proteins beta, delta and epsilon.
PMID- 29371111
TI - Globular chitosan prolongs the effective duration time and decreases the acute
toxicity of botulinum neurotoxin after intramuscular injection in rats.
AB - Botulinum neurotoxin (BoNT) is used for an increasing number of neurological and
non-neurological indications and disorders. Since the duration of action of this
neurotoxin is limited, the goal of the work was to improve the pharmacological
time course of BoNT. We explored the effect of several polysaccharides on the
duration of action of BoNT/A1 in rat electromyography. The formulation of BoNT/A1
containing globular chitosan increased the threshold stimulation intensity almost
2 times in 30 days after injection if compared with the baseline threshold.
However, conventional linear chitosan, heparin and hyaluronic acid did not have
such an effect. In addition, we compared the effectiveness of different doses of
BoNT/A1 (25, 50, 75, and 100 U) with globular chitosan and compared the acute
toxicity of this formulation with that of BoNT/A1 in physiological saline after
intramuscular injection. The results demonstrated that the dose 25 U of BoNT/A1
with globular chitosan was both effective and safe for animals after
intramuscular injection. The assessed median lethal dose (LD50) for intramuscular
injection in rats was 1.4 times higher for a combination of BoNT/A1 with globular
chitosan than that for a solution of BoNT/A1 in physiological saline. Thus, the
results of our study have provided evidence that intramuscular injection of the
formulation of BoNT/A1 (25 U) containing globular chitosan in rats is safe and
significantly prolongs the effective duration time of BoNT/A1.
PMID- 29371112
TI - Manipulation and mobilization for treating chronic low back pain: a systematic
review and meta-analysis.
AB - BACKGROUND CONTEXT: Mobilization and manipulation therapies are widely used to
benefit patients with chronic low back pain. However, questions remain about
their efficacy, dosing, safety, and how these approaches compare with other
therapies. PURPOSE: The present study aims to determine the efficacy,
effectiveness, and safety of various mobilization and manipulation therapies for
treatment of chronic low back pain. STUDY DESIGN/SETTING: This is a systematic
literature review and meta-analysis. OUTCOME MEASURES: The present study measures
self-reported pain, function, health-related quality of life, and adverse events.
METHODS: We identified studies by searching multiple electronic databases from
January 2000 to March 2017, examining reference lists, and communicating with
experts. We selected randomized controlled trials comparing manipulation or
mobilization therapies with sham, no treatment, other active therapies, and
multimodal therapeutic approaches. We assessed risk of bias using Scottish
Intercollegiate Guidelines Network criteria. Where possible, we pooled data using
random-effects meta-analysis. Grading of Recommendations, Assessment,
Development, and Evaluation (GRADE) was applied to determine the confidence in
effect estimates. This project is funded by the National Center for Complementary
and Integrative Health under Award Number U19AT007912. RESULTS: Fifty-one trials
were included in the systematic review. Nine trials (1,176 patients) provided
sufficient data and were judged similar enough to be pooled for meta-analysis.
The standardized mean difference for a reduction of pain was SMD=-0.28, 95%
confidence interval (CI) -0.47 to -0.09, p=.004; I2=57% after treatment; within
seven trials (923 patients), the reduction in disability was SMD=-0.33, 95% CI
0.63 to -0.03, p=.03; I2=78% for manipulation or mobilization compared with other
active therapies. Subgroup analyses showed that manipulation significantly
reduced pain and disability, compared with other active comparators including
exercise and physical therapy (SMD=-0.43, 95% CI -0.86 to 0.00; p=.05, I2=79%;
SMD=-0.86, 95% CI -1.27 to -0.45; p<.0001, I2=46%). Mobilization interventions,
compared with other active comparators including exercise regimens, significantly
reduced pain (SMD=-0.20, 95% CI -0.35 to -0.04; p=.01; I2=0%) but not disability
(SMD=-0.10, 95% CI -0.28 to 0.07; p=.25; I2=21%). Studies comparing manipulation
or mobilization with sham or no treatment were too few or too heterogeneous to
allow for pooling as were studies examining relationships between dose and
outcomes. Few studies assessed health-related quality of life. Twenty-six of 51
trials were multimodal studies and narratively described. CONCLUSION: There is
moderate-quality evidence that manipulation and mobilization are likely to reduce
pain and improve function for patients with chronic low back pain; manipulation
appears to produce a larger effect than mobilization. Both therapies appear safe.
Multimodal programs may be a promising option.
PMID- 29371114
TI - A Kappa Opioid Receptor Agonist Blocks Bone Cancer Pain Without Altering Bone
Loss, Tumor Size, or Cancer Cell Proliferation in a Mouse Model of Cancer-Induced
Bone Pain.
AB - : Breast cancer metastasizes to bone, diminishing quality of life of patients
because of pain, fracture, and limited mobility. Cancer-induced bone pain (CIBP)
is characterized as moderate to severe ongoing pain, primarily managed by mu
opioid agonists such as fentanyl. However, opioids are limited by escalating
doses and serious side effects. One alternative may be kappa opioid receptor
(KOR) agonists. There are few studies examining KOR efficacy on CIBP, whereas KOR
agonists are efficacious in peripheral and inflammatory pain. We thus examined
the effects of the KOR agonist U50,488 given twice daily across 7 days to block
CIBP, tumor-induced bone loss, and tumor burden. U50,488 dose-dependently blocked
tumor-induced spontaneous flinching and impaired limb use, without changing
tactile hypersensitivity, and was fully reversed by the KOR antagonist nor
binaltorphimine. U50,488 treatment was higher in efficacy and duration of action
at later time points. U50,488 blocked this pain without altering tumor-induced
bone loss or tumor growth. Follow-up studies in human cancer cell lines confirmed
that KOR agonists do not affect cancer cell proliferation. These studies suggest
that KOR agonists could be a new target for cancer pain management that does not
induce cancer cell proliferation or alter bone loss. PERSPECTIVE: This study
demonstrates the efficacy of KOR agonists in the treatment of bone cancer-induced
pain in mice, without changing tumor size or proliferation in cancer cell lines.
This suggests that KOR agonists could be used to manage cancer pain without the
drawbacks of mu opioid agonists and without worsening disease progression.
PMID- 29371115
TI - Time to awakening after cardiac arrest and the association with target
temperature management.
AB - AIM: Target temperature management (TTM) at 32-36 degrees C is recommended in
unconscious survivors of cardiac arrest. This study reports awakening in the TTM
trial. Our predefined hypotheses were that time until awakening correlates with
long-term neurological outcome and is not affected by level of TTM. METHODS: Post
hoc analysis of time until awakening after cardiac arrest, its association with
long-term (180-days) neurological outcome and predictors of late awakening (day 5
or later). The trial randomized 939 comatose survivors to TTM at 33 degrees C or
36 degrees C with strict criteria for withdrawal of life-sustaining therapies.
Administered sedation in the treatment groups was compared. Awakening was defined
as a Glasgow Coma Scale motor score 6. RESULTS: 496 patients had registered day
of awakening in the ICU, another 43 awoke after ICU discharge. Good neurological
outcome was more common in early (275/308, 89%) vs late awakening (142/188, 76%),
p < 0.001. Awakening occurred later in TTM33 than in TTM36 (p = 0.002) with no
difference in neurological outcome, or cumulative doses of sedative drugs at 12,
24 or 48 h. TTM33 (p = 0.006), clinical seizures (p = 0.004), and lower GCS-M on
admission (p = 0.03) were independent predictors of late awakening. CONCLUSION:
Late awakening is common and often has a good neurological outcome. Time to
awakening was longer in TTM33 than in TTM36, this difference could not be
attributed to differences in sedative drugs administered during the first 48 h.
PMID- 29371116
TI - Cardiac arrest and breathing, why bother?
PMID- 29371113
TI - Ecological Momentary Assessment Methodology in Chronic Pain Research: A
Systematic Review.
AB - : Self-reported pain intensity assessments are central to chronic pain research.
Ecological momentary assessment (EMA) methodologies are uniquely positioned to
collect these data, and are indeed being used in the field. However, EMA
protocols are complex, and many decisions are necessary in the design of EMA
research studies. A systematic literature review identified 105 articles drawing
from 62 quantitative EMA research projects examining pain intensity in adult
chronic pain patients. Study characteristics were tabulated to summarize and
describe the use of EMA, with an emphasis placed on various dimensions of
decision-making involved in executing EMA methodologies. Most identified studies
considered within-person relationships between pain and other variables, and a
few examined interventions on chronic pain. There was a trend toward the use of
smartphones as EMA data collection devices more recently, and completion rates
were not reported in nearly one third of studies. Pain intensity items varied
widely with respect to number of scale points, anchor labels, and length of
reporting period; most used numeric rating scales. Recommendations are provided
for reporting to improve reproducibility, comparability, and interpretation of
results, and for opportunities to clarify the importance of design decisions.
PERSPECTIVE: Studies that use EMA methodologies to assess pain intensity are
heterogeneous. Aspects of protocol design, including data input modality and pain
item construction, have the potential to influence the data collected. Thorough
reporting on design features and completion rates therefore facilitates
reproducibility, comparability, and interpretation of study results.
PMID- 29371117
TI - Activation of free sperm and dissociation of sperm bundles (spermatozeugmata) of
an endangered viviparous fish, Xenotoca eiseni.
AB - Knowledge of sperm motility activation for viviparous fishes has been limited to
study of several species in Poeciliidae, and the dissociation of sperm bundles is
even less understood. The goal of this study was to use the endangered Redtail
Splitfin (Xenotoca eiseni) as a model to investigate the activation of sperm from
viviparous fishes by study of free sperm and spermatozeugmata (unencapsulated
sperm bundles). The specific objectives were to evaluate the effects of: (1)
osmotic pressure and refrigerated storage (4 degrees C) on activation of free
sperm, (2) osmotic pressure, ions, and pH on dissociation of spermatozeugmata,
and (3) CaCl2 concentration and pH on sperm membrane integrity. Free sperm were
activated in Ca2+-free Hanks' balanced salt solution at 81-516 mOsmol/kg. The
highest motility (19 +/- 6%) was at 305 mOsmol/kg and swim remained for 84 h.
Glucose (300-700 mOsmol/kg), NaCl (50-600 mOsmol/kg), and KCl, MgCl2, and MnCl2
at 5-160 mM activated sperm within spermatozeugmata, but did not dissociate
spermatozeugmata. CaCl2 at 5-160 mM dissociated spermatozeugmata within 10 min.
Solutions of NaCl-NaOH at pH 11.6 to 12.4 dissociated spermatozeugmata within 1
min. The percentage of viable cells had no significant differences (P = 0.2033)
among different concentrations of CaCl2, but it was lower (P < 0.0001) at pH 12.5
than at pH between 7.0 and 12.0. Overall, this study provided a foundation for
quality evaluation of sperm and spermatozeugmata from livebearing fishes, and for
development of germplasm repositories for imperiled goodeids.
PMID- 29371119
TI - How to Perform a Systematic Review and Meta-analysis of Diagnostic Imaging
Studies.
AB - A systematic review is a comprehensive search, critical evaluation, and synthesis
of all the relevant studies on a specific (clinical) topic that can be applied to
the evaluation of diagnostic and screening imaging studies. It can be a
qualitative or a quantitative (meta-analysis) review of available literature. A
meta-analysis uses statistical methods to combine and summarize the results of
several studies. In this review, a 12-step approach to performing a systematic
review (and meta-analysis) is outlined under the four domains: (1) Problem
Formulation and Data Acquisition, (2) Quality Appraisal of Eligible Studies, (3)
Statistical Analysis of Quantitative Data, and (4) Clinical Interpretation of the
Evidence. This review is specifically geared toward the performance of a
systematic review and meta-analysis of diagnostic test accuracy (imaging)
studies.
PMID- 29371118
TI - Ankyrin repeat domain 1 regulates innate immune responses against herpes simplex
virus 1: A potential role in eczema herpeticum.
AB - BACKGROUND: Atopic dermatitis (AD) is a common inflammatory skin disease. A
subset of patients with AD are susceptible to disseminated herpes simplex virus
(HSV) infection, a complication termed eczema herpeticum (ADEH+). The immune
mechanisms causing ADEH+ remain elusive. Using RNA sequencing, we recently found
that ankyrin repeat domain 1 (ANKRD1) was significantly induced in human PBMCs
upon HSV-1 stimulation, and its induction in patients with ADEH+ was
significantly reduced compared with that seen in AD patients without a history of
eczema herpeticum (ADEH-). OBJECTIVE: We sought to validate ANKRD1 gene
expression in nonatopic (NA) subjects, patients with ADEH-, and patients with
ADEH+ and to delineate the biological function of ANKRD1 and the signaling
pathway or pathways involved. METHODS: Purification of human PBMCs, monocytes, B
cells, dendritic cells, T cells, and natural killer cells; RNA extraction and
quantitative RT-PCR; small interfering RNA technique; co-immunoprecipitation; and
Western blot assays were used. RESULTS: ANKRD1 expression was significantly
reduced in PBMCs from patients with ADEH+ after HSV-1 stimulation compared with
PBMCs from patients with ADEH-. We found that the induction of ANKRD1 by HSV-1
and multiple pattern recognition receptor agonists are mediated by inflammatory
cytokines. Silencing ANKRD1 gene expression in antigen-presenting cells led to
increased viral load and reduced IFNB1 and IL29 production. Using co
immunoprecipitation methods, we demonstrated that ANKRD1 formed protein complexes
with interferon regulatory factor (IRF) 3 and IRF7, which are important
transcription factors regulating signaling transduction of pattern recognition
receptors. Overexpression of ANKRD1 enhanced the IRF3-mediated signaling
pathways. CONCLUSION: ANKRD1 is involved in IRF3-mediated antiviral innate immune
signaling pathways. Its reduced expression in patients with ADEH+ might
contribute to the pathogenesis of ADEH+.
PMID- 29371120
TI - Coregistration of Preoperative MRI with Ex Vivo Mesorectal Pathology Specimens to
Spatially Map Post-treatment Changes in Rectal Cancer Onto In Vivo Imaging:
Preliminary Findings.
AB - RATIONALE AND OBJECTIVES: The objective of this study was to develop and
quantitatively evaluate a radiology-pathology fusion method for spatially mapping
tissue regions corresponding to different chemoradiation therapy-related effects
from surgically excised whole-mount rectal cancer histopathology onto
preoperative magnetic resonance imaging (MRI). MATERIALS AND METHODS: This study
included six subjects with rectal cancer treated with chemoradiation therapy who
were then imaged with a 3-T T2-weighted MRI sequence, before undergoing
mesorectal excision surgery. Excised rectal specimens were sectioned, stained,
and digitized as two-dimensional (2D) whole-mount slides. Annotations of residual
disease, ulceration, fibrosis, muscularis propria, mucosa, fat, inflammation, and
pools of mucin were made by an expert pathologist on digitized slide images. An
expert radiologist and pathologist jointly established corresponding 2D sections
between MRI and pathology images, as well as identified a total of 10
corresponding landmarks per case (based on visually similar structures) on both
modalities (five for driving registration and five for evaluating alignment). We
spatially fused the in vivo MRI and ex vivo pathology images using landmark-based
registration. This allowed us to spatially map detailed annotations from 2D
pathology slides onto corresponding 2D MRI sections. RESULTS: Quantitative
assessment of coregistered pathology and MRI sections revealed excellent
structural alignment, with an overall deviation of 1.50 +/- 0.63 mm across five
expert-selected anatomic landmarks (in-plane misalignment of two to three pixels
at 0.67- to 1.00-mm spatial resolution). Moreover, the T2-weighted intensity
distributions were distinctly different when comparing fibrotic tissue to
perirectal fat (as expected), but showed a marked overlap when comparing fibrotic
tissue and residual rectal cancer. CONCLUSIONS: Our fusion methodology enabled
successful and accurate localization of post-treatment effects on in vivo MRI.
PMID- 29371121
TI - Virtual Computed Tomography Colonography: Evaluation of 2D and Virtual 3D Image
Quality of Sub-mSv Examinations Enabled by Third-generation Dual Source Scanner
Featuring Tin Filtering.
AB - RATIONALE AND OBJECTIVES: To evaluate two- and three-dimensional (2D and 3D)
image quality of sub-milliSievert (mSv) computed tomography (CT) colonography
utilizing a third-generation dual source CT scanner featuring a tin filter.
METHODS: We retrospectively evaluated 26 consecutive patients who underwent third
generation dual source CT colonography, nine with the standard-dose clinical-scan
protocol (SDP) and 17 with a low-dose protocol (LDP) featuring a tin filter.
Radiation dose was evaluated by volume computed tomography dose index (CTDIvol),
dose length product (DLP), effective dose (E), and size-specific dose estimate.
Objective image quality was evaluated utilizing signal-to-noise ratio (SNR)
derived from standardized placed regions of interest on the transverse 2D images
and the ratio of SNR/CTDIvol (normalized SNR). Two radiologists in consensus
assessed subjective image quality of the virtual 3D images. RESULTS: There were
no significant differences in subjective image quality (P = .661). All
examinations were rated "excellent" or "good" for diagnostic confidence. The mean
total for DLP/E was 143.4 +/- 29.8 mGy/3.00 +/- 0.40 mSv in the SDP and therefore
significantly higher than in the LDP with 36.9 +/- 8.7 mGy/0.75 +/- 0.16 mSv (P <
.001). The SNR was 8.9 +/- 2.1 in the SDP and 4.9 +/- 0.8 in the LDP.
CONCLUSIONS: Third-generation dual source CT featuring a tin filter enables
consistent sub-mSv colonography without substantially impairing image quality.
PMID- 29371122
TI - Diagnostic Value of Dual-input Computed Tomography Perfusion on Detecting
Bronchial-Pulmonary Artery Fistula in Tuberculosis Patients with Massive
Hemoptysis.
AB - RATIONALE AND OBJECTIVES: This prospective study aimed to evaluate the diagnostic
performance of dual-input computed tomography perfusion technique (DI-CTP) in
identifying the bronchial-pulmonary artery fistula in patients tuberculosis with
massive hemoptysis. MATERIAL AND METHODS: Twenty patients with tuberculosis with
massive hemoptysis were enrolled from January 2015 to December 2015. The
association between DI-CTP parameters and the diagnostic outcomes of digital
subtraction angiography was assessed. Diagnostic efficacy of DI-CTP was evaluated
by receiver operating curve (ROC) analyses using the diagnostic outcomes of
digital subtraction angiography, which is the gold standard for identifying
bronchial-pulmonary artery fistula. RESULTS: Compared to lung segments with
normal blood flow (n = 304), those with bronchial-pulmonary artery fistula (n =
164) had a reduced pulmonary flow value, perfusion index (PI) value, and an
elevated bronchial artery (BF) value in the DI-CTP scan, which was further
confirmed by multivariate logistic regression. ROC analysis showed that PI and
bronchial artery has an excellent diagnostic performance (both area under the ROC
curve > 0.9, P < .001) and high sensitivity and specificity (from 0.79 to 0.95 at
the optimal cutoff). PI has the best diagnostic performance, with an overall
diagnostic accuracy of 0.91. CONCLUSIONS: DI-CTP scan possesses the diagnostic
value for detecting bronchial-pulmonary artery fistula in patients with
tuberculosis with massive hemoptysis, providing an alternative diagnostic method.
PMID- 29371123
TI - Methodologies for assessing the acceptability of oral formulations among children
and older adults: a systematic review.
AB - Acceptability of medicinal products in children and older populations is pivotal
in ensuring adherence and therapeutic outcomes. This review systematically
identifies studies reporting on formulation aspects of oral medications that
affect their acceptability in these patient groups. Particular emphasis is placed
on the evaluation of the methodologies employed in the studies. Sixty-eight
studies were included for analysis, with 51 (75%) in children and 17 (25%) in
older populations. The studies evaluated a range of oral formulations; however,
the methodologies used differ considerably in participants' characteristics,
study settings, tools, acceptability definitions and criteria. It is evident that
there is a lack of standardisation in study design as well as the assessment
methods used in assessing acceptability of medicines in children and older
populations.
PMID- 29371124
TI - Proteomic profiling of the midgut contents of Haemaphysalis flava.
AB - Scant information is available regarding the proteins involved in blood meal
processing in ticks. Here, we aimed to highlight the midgut proteins involved in
preventing blood meal coagulation, and in facilitating intracellular digestion in
the tick Haemaphysalis flava. Proteins were extracted from the midgut contents of
fully engorged and partially engorged ticks. We used liquid chromatography tandem
mass spectrometry (LC-MS/MS) analysis to identify 131 unique peptides, and 102
proteins. Of these, 15 proteins, each with at least two unique peptides, were
recognized with high confidence. We also retrieved 18 unigenes from our previous
published transcriptomic libraries of the midguts and salivary glands of H.
flava, and inferred the primary structures of nine proteins and fragments of five
proteins. There were 23 and 21 unique proteins in the midgut contents of fully
engorged and partially engorged ticks, respectively. We detected 58 shared
proteins in the midgut contents of both fully engorged and partially engorged
ticks. Of these, seven were significantly differentially expressed between fully
engorged and partially engorged ticks: actin, calmodulin, elongation factor
1alpha, hsp90, multifunctional chaperone, tubulin alpha, and tubulin beta. Our
results demonstrated that the proteome of the midgut contents, combined with the
transcriptome of the midgut, was a viable method for the reinforcement of protein
identification. This method will facilitate further study of blood meal
processing by ticks, as well as the identification of clues for tick infestation
control. The existence of numerous proteins detected in the midgut contents also
highlight the complexity of blood digestion in ticks; this area is in need of
further investigation.
PMID- 29371125
TI - Confirming Rickettsia rickettsii as the etiological agent of lethal spotted fever
group rickettsiosis in human patients from Espirito Santo state, Brazil.
AB - Although Espirito Santo state is considered an endemic area for Brazilian spotted
fever (BSF) with related lethal cases, it also constitutes the only state of
southeastern Brazil that currently lacks a specific confirmation of the specific
rickettsial agent. In an attempt to a species level confirmation of the
etiological agent of fatal rickettsiosis cases in Espirito Santo state, in this
study we tested human sera obtained between 2015 to 2017 by means of qPCR and
subsequent conventional PCR protocols targeting gltA (citrate synthase) and ompA
(190-kDA outer membrane protein) rickettsial genes. All samples were found to
contain rickettsial DNA through the citrate synthase qPCR protocol. By
conventional PCR, rickettsial gltA and ompA specific DNA fragments were detected
in 25% (one sample) and 50% (2 samples) of the screened sera, respectively.
Obtained consensuses for each gene partial sequences were 100% identical to
Rickettsia rickettsii gltA and ompA genes. The present study confirms for the
first time R. rickettsii as the etiological agent of a lethal spotted fever group
rickettsiosis in human patients from Espirito Santo state.
PMID- 29371128
TI - Muscle Strength and Functional Limitations: Preserving Function in Older Mexican
Americans.
AB - OBJECTIVES: Understanding the role of muscle strength as a preventive factor for
shorter-term declines in function may provide further insights into the disabling
process. This study examined if muscle strength was associated with 2-year
preservation of instrumental activities of daily living (IADL) function and
activities of daily living (ADL) disability status in older Mexican Americans.
DESIGN: Longitudinal, panel. SETTING: Urban and rural households in the
Southwestern United States. PARTICIPANTS: A subsample of 672 Mexican Americans
aged at least 65 years was followed for 2 years. MEASUREMENTS: Muscle strength
was assessed with a hand-held dynamometer. IADL and ADL were self-reported.
Covariate-adjusted ordinal and multinomial logistic models were used to determine
the association between handgrip strength and changes in IADL function, and ADL
disability status over 2 years. RESULTS: Every 10-kg increase in handgrip
strength was associated with 5% decreased odds [odds ratio (OR): 0.95; 95%
confidence interval (CI): 0.92, 0.98] of experiencing a lost IADL function in 2
years. Likewise, every 10-kg increase in handgrip strength was associated with an
8% decreased odds (OR: 0.92; CI: 0.88, 0.97) for 2-year onset ADL disability, 12%
decreased odds (OR: 0.88; CI: 0.83, 0.94) for 2-year ADL disability progression,
and 7% decreased odds (OR: 0.93; CI: 0.89, 0.98) for 2-year ADL disability
improvement, compared to those with no ADL disability at baseline and follow-up.
CONCLUSIONS: Higher muscle strength was related to a lower risk for 2-year onset
of IADL and ADL disability in older Mexican Americans. Future investigations are
warranted to examine how potential mediators influence the association between
muscle strength and function, to inform interventions aiming to retain function
in vulnerable older adult populations.
PMID- 29371126
TI - A positive feedback loop between IL-1beta, LPS and NEU1 may promote
atherosclerosis by enhancing a pro-inflammatory state in monocytes and
macrophages.
AB - Inflammation plays an important role in atherosclerosis, a notion supported by
the beneficial effects of the IL-1beta inhibitor canakinumab in the CANTOS trial.
Sialic acids (Sias), components of the surface glycocalyx, regulate intercellular
and intermolecular interactions. We investigated the expression of the Sia
cleaving enzyme neuraminidase-1 (NEU1) in atherosclerotic plaques and its
potential role in inflammatory processes. In isolated mononuclear blood cells
from patients with myocardial infarction, NEU1 expression was increased compared
to healthy controls. High expression of NEU1 in macrophages located on the intima
layer, in calcified regions and the adventitia of the plaque was observed in
human carotid arteries' atherectomies. IL-1beta and LPS induced NEU1 expression
in THP-1 monocytic cells. Lentiviral NEU1-overexpression in THP-1-cells enhanced
expression of CD80, TNF-alpha, IL-1beta, number of multinuclear cells,
phagocytosis and chemotaxis indicative for M1 monocyte/macrophage polarization.
CRISPR/Cas9-mediated knock-out of NEU1 in THP-1-cells did not affect
differentiation of monocytes to macrophages but attenuated LPS- and IL-1beta
induced TNF-alpha and IL-1beta expression. SiRNA-mediated knock-down of NEU1 in
M1-macrophages differentiated from primary human CD14+ monocytes reduced the
expression of TNF-alpha and IL-1beta. Thus, in monocytes/macrophages, LPS, NEU1
and IL-1beta act in a positive feedback loop as enhancers of inflammation and may
therefore promote atherosclerosis and plaque instability.
PMID- 29371127
TI - Functional Status Is Associated With 30-Day Potentially Preventable Readmissions
Following Skilled Nursing Facility Discharge Among Medicare Beneficiaries.
AB - OBJECTIVES: The objectives of this study were to determine the association
between patients' functional status at discharge from skilled nursing facility
(SNF) care and 30-day potentially preventable hospital readmissions, and to
examine common reasons for potentially preventable readmissions. DESIGN:
Retrospective cohort study. SETTING: SNFs and acute care hospitals submitting
claims to Medicare. PARTICIPANTS: National cohort of Medicare fee-for-service
beneficiaries discharged from SNF care between July 15, 2013, and July 15, 2014
(n = 693,808). Average age was 81.4 (SD 8.1) years, 67.1% were women, and 86.3%
were non-Hispanic white. MEASUREMENTS: Functional items from the Minimum Data Set
3.0 were categorized into self-care, mobility, and cognition domains. We used
specifications for the SNF potentially preventable 30-day postdischarge
readmission quality metric to identify potentially preventable readmissions.
RESULTS: The overall observed rate of 30-day potentially preventable readmissions
following SNF discharge was 5.7% (n = 39,318). All 3 functional domains were
independently associated with potentially preventable readmissions in the
multivariable models. Odds ratios for the most dependent category versus the
least dependent category from multilevel models adjusted for patients'
sociodemographic and clinical characteristics were as follows: mobility, 1.54
(95% confidence interval [CI] 1.49-1.59); self-care, 1.50 (95% CI 1.44-1.55); and
cognition, 1.12 (95% CI 1.04-1.20). The 5 most common conditions were congestive
heart failure (n = 7654, 19.5%), septicemia (n = 7412, 18.9%), urinary tract
infection/kidney infection (n = 4297, 10.9%), bacterial pneumonia (n = 3663,
9.3%), and renal failure (n = 3587, 9.1%). Across all 3 functional domains,
septicemia was the most common condition among the most dependent patients and
congestive heart failure among the least dependent. CONCLUSIONS: Patients with
functional limitations at SNF discharge are at increased risk of hospital
readmissions considered potentially preventable. Future research is needed to
determine whether improving functional status reduces risk of potentially
preventable readmissions among this vulnerable population.
PMID- 29371129
TI - Muscle Power Training: A Hallmark for Muscle Function Retaining in Frail Clinical
Setting.
PMID- 29371131
TI - Should the lungs be rested or open during anaesthesia to prevent postoperative
complications?
PMID- 29371133
TI - Hypertonic saline has a prolonged effect on mucociliary clearance in adults with
cystic fibrosis.
AB - BACKGROUND: Inhaled hypertonic saline (HS) has been shown to increase mucociliary
clearance (MCC) and improve clinical outcomes in adults and adolescents with
cystic fibrosis (CF). However, in younger children with CF, a large study failed
to demonstrate clinical benefits. This discrepancy could reflect pharmacodynamic
differences in the MCC response to HS in different populations. We previously
demonstrated the absence of a sustained effect of HS on MCC in healthy adults and
in this study sought to characterize the durability of the MCC response to HS in
adults with CF. METHODS: At two study sites, MCC was measured in CF adults using
gamma scintigraphy during three separate visits: at baseline, 15 min, and 4 h
after a single dose of HS (7% NaCl, 4 mL). Particle clearance rates at these
visits were used to assess the durability of the MCC response to HS. RESULTS: The
average 90-minute clearance rate measured 4 h after HS was significantly
increased (21.81% +/- 12.8) when compared to baseline (13.77% +/- 8.7, p = .048)
and showed no apparent slowing relative to the rate measured 15 min after HS.
While not all subjects responded to HS, the acute response strongly predicted the
sustained effect in these subjects (r = 0.896, p < .0001). CONCLUSIONS: These
results suggest that, in contrast to healthy adults, a single dose of HS has a
prolonged effect on MCC in adults with CF, which lasts at least 4 h. This may
explain its clinical efficacy in this population.
PMID- 29371130
TI - Individualised perioperative open-lung approach versus standard protective
ventilation in abdominal surgery (iPROVE): a randomised controlled trial.
AB - BACKGROUND: The effects of individualised perioperative lung-protective
ventilation (based on the open-lung approach [OLA]) on postoperative
complications is unknown. We aimed to investigate the effects of intraoperative
and postoperative ventilatory management in patients scheduled for abdominal
surgery, compared with standard protective ventilation. METHODS: We did this
prospective, multicentre, randomised controlled trial in 21 teaching hospitals in
Spain. We enrolled patients who were aged 18 years or older, were scheduled to
have abdominal surgery with an expected time of longer than 2 h, had intermediate
to-high-risk of developing postoperative pulmonary complications, and who had a
body-mass index less than 35 kg/m2. Patients were randomly assigned (1:1:1:1)
online to receive one of four lung-protective ventilation strategies using low
tidal volume plus positive end-expiratory pressure (PEEP): open-lung approach
(OLA)-iCPAP (individualised intraoperative ventilation [individualised PEEP after
a lung recruitment manoeuvre] plus individualised postoperative continuous
positive airway pressure [CPAP]), OLA-CPAP (intraoperative individualised
ventilation plus postoperative CPAP), STD-CPAP (standard intraoperative
ventilation plus postoperative CPAP), or STD-O2 (standard intraoperative
ventilation plus standard postoperative oxygen therapy). Patients were masked to
treatment allocation. Investigators were not masked in the operating and
postoperative rooms; after 24 h, data were given to a second investigator who was
masked to allocations. The primary outcome was a composite of pulmonary and
systemic complications during the first 7 postoperative days. We did the primary
analysis using the modified intention-to-treat population. This trial is
registered with ClinicalTrials.gov, number NCT02158923. FINDINGS: Between Jan 2,
2015, and May 18, 2016, we enrolled 1012 eligible patients. Data were available
for 967 patients, whom we included in the final analysis. Risk of pulmonary and
systemic complications did not differ for patients in OLA-iCPAP (110 [46%] of
241, relative risk 0.89 [95% CI 0.74-1.07; p=0.25]), OLA-CPAP (111 [47%] of 238,
0.91 [0.76-1.09; p=0.35]), or STD-CPAP groups (118 [48%] of 244, 0.95 [0.80-1.14;
p=0.65]) when compared with patients in the STD-O2 group (125 [51%] of 244).
Intraoperatively, PEEP was increased in 69 (14%) of patients in the standard
perioperative ventilation groups because of hypoxaemia, and no patients from
either of the OLA groups required rescue manoeuvres. INTERPRETATION: In patients
who have major abdominal surgery, the different perioperative open lung
approaches tested in this study did not reduce the risk of postoperative
complications when compared with standard lung-protective mechanical ventilation.
FUNDING: Instituto de Salud Carlos III of the Spanish Ministry of Economy and
Competitiveness, and Grants Programme of the European Society of Anaesthesiology.
PMID- 29371134
TI - Pericytes constrict blood vessels after myocardial ischemia.
AB - No-reflow phenomenon is defined as the reduced blood flow after myocardial
ischemia. If prolonged it leads to profound damages in the myocardium. The lack
of a detailed knowledge about the cells mediating no-reflow restricts the design
of effective therapies. Recently, O'Farrell et al. (2017) by using state-of-the
art technologies, including high-resolution confocal imaging in combination with
myocardial ischemia/reperfusion mouse model, reveal that pericytes contribute to
the no-reflow phenomenon post-ischemia in the heart. Strikingly, intravenous
adenosine increased vascular diameter at pericyte site after cardiac ischemia.
This study provides a novel therapeutic target to inhibit no-reflow phenomenon
after myocardial ischemia.
PMID- 29371135
TI - Localization of transcripts, translation, and degradation for spatiotemporal
sarcomere maintenance.
AB - The mechanisms responsible for maintaining macromolecular protein complexes, with
their proper localization and subunit stoichiometry, are incompletely understood.
Here we studied the maintenance of the sarcomere, the basic contractile
macromolecular complex of cardiomyocytes. We performed single-cell analysis of
cardiomyocytes using imaging of mRNA and protein synthesis, and demonstrate that
three distinct mechanisms are responsible for the maintenance of the sarcomere:
mRNAs encoding for sarcomeric proteins are localized to the sarcomere, ribosomes
are localized to the sarcomere with localized sarcomeric protein translation, and
finally, a localized E3 ubiquitin ligase allow efficient degradation of excess
unincorporated sarcomeric proteins. We show that these mechanisms are distinct,
required, and work in unison, to ensure both spatial localization, and to
overcome the large variability in transcription. Cardiomyocytes simultaneously
maintain all their sarcomeres using localized translation and degradation
processes where proteins are continuously and locally synthesized at high rates,
and excess proteins are continuously degraded.
PMID- 29371136
TI - Reconstructed human epidermis models for irritant testing of medical devices.
PMID- 29371132
TI - Integrated approaches to spatiotemporally directing angiogenesis in host and
engineered tissues.
AB - : The field of tissue engineering has turned towards biomimicry to solve the
problem of tissue oxygenation and nutrient/waste exchange through the development
of vasculature. Induction of angiogenesis and subsequent development of a
vascular bed in engineered tissues is actively being pursued through combinations
of physical and chemical cues, notably through the presentation of topographies
and growth factors. Presenting angiogenic signals in a spatiotemporal fashion is
beginning to generate improved vascular networks, which will allow for the
creation of large and dense engineered tissues. This review provides a brief
background on the cells, mechanisms, and molecules driving vascular development
(including angiogenesis), followed by how biomaterials and growth factors can be
used to direct vessel formation and maturation. Techniques to accomplish
spatiotemporal control of vascularization include incorporation or encapsulation
of growth factors, topographical engineering, and 3D bioprinting. The
vascularization of engineered tissues and their application in angiogenic therapy
in vivo is reviewed herein with an emphasis on the most densely vascularized
tissue of the human body - the heart. STATEMENT OF SIGNIFICANCE: Vascularization
is vital to wound healing and tissue regeneration, and development of
hierarchical networks enables efficient nutrient transfer. In tissue engineering,
vascularization is necessary to support physiologically dense engineered tissues,
and thus the field seeks to induce vascular formation using biomaterials and
chemical signals to provide appropriate, pro-angiogenic signals for cells. This
review critically examines the materials and techniques used to generate
scaffolds with spatiotemporal cues to direct vascularization in engineered and
host tissues in vitro and in vivo. Assessment of the field's progress is intended
to inspire vascular applications across all forms of tissue engineering with a
specific focus on highlighting the nuances of cardiac tissue engineering for the
greater regenerative medicine community.
PMID- 29371137
TI - Human resources estimates and funding for antibiotic stewardship teams are
urgently needed: authors' response.
PMID- 29371138
TI - Treatment duration for Escherichia coli bloodstream infection and outcomes:
retrospective single-centre study.
AB - OBJECTIVES: To investigate the impact of treatment duration on mortality and on
relapse in patients with Escherichia coli bloodstream infection (BSI). METHODS:
Retrospective single-centre study of patients diagnosed with E. coli BSI at our
centre over a 4-year period. EXCLUSION CRITERIA: age <18 years, clinical data not
available, polymicrobial BSI, failure to receive in vitro active therapy, and
death while receiving antibiotic therapy. Exposure variable was treatment
duration dichotomized into short (<=10 days) and long (>10 days) therapy. Primary
end point was all-cause mortality within 90 days after index BSI. Secondary end
point was relapse, defined as repeat isolation of E. coli from blood cultures
within 90 days after index BSI, in patients with documented clinical cure and
completion of therapy for the initial episode. RESULTS: Of the 856 analysed
patients: 426 received short and 430 received long therapy. All-cause mortality
at day 90 occurred in 47 patients; on multivariate analysis, short therapy was
not associated with a higher risk of mortality, also after adjusting the model
for the propensity score of receiving short therapy. Relapse occurred in 42
patients. Independent risk factors for relapse using death as competing risk were
immunosuppression (subhazard ratio 4.67, p < 0.001), and end-stage liver disease
(subhazard ratio 2.58, p 0.013). The propensity-weighted estimation of the
average treatment effect for relapse reduction with long therapy (>10 days) was
1.6% (p 0.26) in the total population, and -7.1% (p 0.18) in immunocompromised
patients. CONCLUSIONS: We could not identify shorter treatment duration as a risk
factor for mortality and for relapse in patients with E. coli BSI.
PMID- 29371140
TI - The Relationship Between Shift Work and Men's Health.
AB - BACKGROUND: More than 21 million Americans and nearly 20% of the U.S. workforce
are shift workers. Non-standard shift work, defined as work that falls outside of
6 am-6 pm, can lead to poor diet, exercise, and sleep habits that lead to
decreased productivity, increased workplace accidents, and a variety of negative
health outcomes. AIM: To investigate the associations between shift work exposure
and chronic medical conditions such as metabolic syndromes, cardiovascular
disease, gastrointestinal disturbances, and depression as well as urologic
complications including hypogonadism, male infertility, lower urinary tract
symptoms, and prostate cancer with a focus on the effects of shift work sleep
disorder (SWSD) on the severity of these negative health outcomes. METHODS: We
reviewed the literature examining effects of shift work and SWSD on general and
urologic health. OUTCOMES: We produced a summary of effects of shift work on
health with focus on the increased risk of negative health outcomes in non
standard shift workers, particularly those with SWSD, when compared to daytime
workers or workers without SWSD. RESULTS: Studies have associated non-standard
shift work schedules and poor health outcomes, including increased risks of
diabetes mellitus, dyslipidemia, hypertension, heart disease, peptic ulcer
disease, and depression, in shift workers. However, few studies have focused on
the role that shift work plays in men's urologic health. Current evidence
supports associations between non-standard shift work and increased hypogonadal
symptoms, poor semen parameters, decreased fertility, lower urinary tract
symptoms, and prostate cancer. These associations are strengthened by the
presence of SWSD, which affects up to 20% of shift workers. Unfortunately,
interventions, such as planned naps, timed light exposure, melatonin, and
sedative hypnotics, aimed at alleviating excessive nighttime sleepiness and
daytime insomnia in non-standard shift workers experiencing SWSD, are limited and
lack strong evidence to support their efficacy. CONCLUSIONS: Non-standard shift
work has been associated with a variety of negative health outcomes and urologic
complications, especially with concurrent SWSD. Recognition of these increased
risks among shift workers can potentially aid in more effective screening of
chronic health and urologic conditions. Non-pharmacologic treatment of SWSD
focuses on behavioral therapy and sleep hygiene while melatonin, hypnotics, and
stimulants are used to alleviate insomnia and excessive sleepiness of SWSD.
Further research into both pharmacologic and non-pharmacologic therapies for SWSD
is needed to establish more definitive guidelines in the treatment of SWSD in
order to increase productivity, minimize workplace accidents, and improve quality
of life for shift workers. Deng N, Kohn TP, Lipshultz LI, et al. The Relationship
Between Shift Work and Men's Health. Sex Med Rev 2018;6:446-456.
PMID- 29371139
TI - The infant brain in the social world: Moving toward interactive social
neuroscience with functional near-infrared spectroscopy.
AB - Typically developing infants rapidly acquire a sophisticated array of social
skills within the first year of life. These social skills are largely learned
within the context of day-to-day interactions with caregivers. While social
neuroscience has made great gains in our knowledge of the underlying neural
circuitry of social cognition and behavior, much of this work has focused on
experiments that sacrifice ecological validity for experimental control.
Functional near-infrared spectroscopy (fNIRS) is a promising methodology for
measuring brain activity in the context of naturalistic social interactions.
Here, we review what we have learned from fNIRS studies that have used
traditional experimental stimuli to study social development during infancy. We
then discuss recent infant fNIRS studies that have utilized more naturalistic
social stimuli, followed by a discussion of applications of this methodology to
the study of atypical social development, with a focus on infants at risk for
autism spectrum disorder. We end with recommendations for applying fNIRS to
studies of typically developing and at-risk infants in naturalistic social
situations.
PMID- 29371141
TI - The Impact of Childhood Sexual Abuse on Women's Sexual Health: A Comprehensive
Review.
AB - INTRODUCTION: Childhood sexual abuse (CSA) has been identified as a potent risk
factor for sexual dysfunction. Certain characteristics of the abuse experience,
such as repeated abuse, appear to affect the risk of developing sexual
dysfunction. Despite the robust findings that CSA can be detrimental to sexual
function, there is little consensus on the exact mechanisms that lead to these
difficulties. AIM: To summarize the most up-to-date research on the relation
between CSA and women's sexual function. METHODS: The published literature
examining the prevalence of sexual dysfunction among women with CSA histories,
various types of sexual dysfunctions, and mechanisms proposed to explain the
relation between CSA and later sexual difficulties was reviewed. MAIN OUTCOME
MEASURES: Review of peer-reviewed literature. RESULTS: Women with abuse histories
report higher rates of sexual dysfunction compared with their non-abused peers.
The sexual concerns most commonly reported by women with abuse histories include
problems with sexual desire and sexual arousal. Mechanisms that have been
proposed to explain the relation between CSA and sexual dysfunction include
cognitive associations with sexuality, sexual self-schemas, sympathetic nervous
system activation, body image and esteem, and shame and guilt. CONCLUSION: Women
with CSA histories represent a unique population in the sexual health literature.
Review of mechanisms proposed to account for the relation between CSA and sexual
health suggests that a lack of positive emotions related to sexuality, rather
than greater negative emotions, appears to be more relevant to the sexual health
of women with CSA histories. Treatment research has indicated that mindfulness
based sex therapy and expressive writing treatments are particularly effective
for this group. Further research is needed to clarify the mechanisms that lead to
sexual dysfunction for women with abuse histories to provide more targeted
treatments for sexual dysfunction among women with abuse histories. Pulverman CS,
Kilimnik CD, Meston CM. The Impact of Childhood Sexual Abuse on Women's Sexual
Health: A Comprehensive Review. Sex Med Rev 2018;6:188-200.
PMID- 29371142
TI - Methodologic Considerations for the Study of Childhood Sexual Abuse in Sexual
Health Outcome Research: A Comprehensive Review.
AB - INTRODUCTION: Childhood sexual abuse (CSA) has been a topic of interest in sexual
health research for decades, yet literature on the sexual health correlates of
CSA has been hindered by methodologic inconsistencies that have resulted in
discrepant samples and mixed results. AIM: To review the major methodologic
inconsistencies in the field, explore the scientific and clinical impact of these
inconsistencies, and propose methodologic approaches to increase consistency and
generalizability to the general population of women with CSA histories. METHOD: A
comprehensive literature review was conducted to assess the methodologic
practices used in examining CSA and sexual health outcomes. MAIN OUTCOME
MEASURES: Methodologic decisions of researchers examining sexual health outcomes
of CSA. RESULTS: There are a number of inconsistencies in the methods used to
examine CSA in sexual health research across the domains of CSA
operationalization, recruitment language, and measurement approaches to CSA
experiences. CONCLUSION: The examination of CSA and sexual health correlates is
an important research endeavor that needs rigorous methodologic approaches. We
propose recommendations to increase the utility of CSA research in sexual health.
We recommend the use of a developmentally informed operationalization of
childhood and adolescence, rather than age cutoffs. Researchers are encouraged to
use a broad operationalization of sexual abuse such that different abuse
characteristics can be measured, reported, and examined in the role of sexual
health outcomes. We recommend inclusive recruitment approaches to capture the
full range of CSA experiences and transparency in reporting these methods. The
field also could benefit from the validation of existing self-report instruments
for assessing CSA and detailed reporting of the instruments used in research
studies. The use of more consistent research practices could improve the state of
knowledge on the relation between CSA and sexual health. Kilimnik CD, Pulverman
CS, Meston CM. Methodologic Considerations for the Study of Childhood Sexual
Abuse in Sexual Health Outcome Research: A Comprehensive Review. Sex Med Rev
2018;6:176-187.
PMID- 29371143
TI - Surgical Factors Associated With Male and Female Sexual Dysfunction After Radical
Cystectomy: What Do We Know and How Can We Improve Outcomes?
AB - BACKGROUND: Sexual dysfunction after radical cystectomy (RC) is a frequent,
though commonly overlooked symptom for both men and women. Improved oncological
outcomes and the rising number of bladder cancer survivors mandate physicians to
closely address and evaluate post-surgical sexual dysfunction and offer goal
directed treatment. Improvements in RC surgical techniques that promote post
operative sexual function have been proposed, alongside new quality-of-life
inventories and sexual function therapeutic options; however, rigorous studies in
the field are lacking. AIM: To provide a comprehensive overview of post-RC sexual
dysfunction and discuss new surgical techniques, sexual dysfunction evaluation,
and novel treatment strategies. METHODS: A non-systematic narrative review of the
literature was performed through PubMed about sexual dysfunction in men and women
after RC. OUTCOMES: We reported on the surgical anatomy of sexual function
sparing RC, the most common inventories used to investigate sexual function in
post-RC patients, and current treatment options. RESULTS: Extensive knowledge
about pelvic anatomy and nerve-sparing surgical techniques in men is well
understood from studies about prostate anatomy and nerve-sparing prostatectomy.
However, anatomical and surgical details of sexual-sparing RC in women needs
further characterization. Several questionnaires are used to investigate
sexuality after RC, but a standardized approach is still missing. Therapeutic
options are available to treat sexual dysfunction, but limited studies have been
conducted to specifically address the post-RC population. CONCLUSION: Further
work is needed to understand the best strategies to prevent and treat sexual
dysfunction in patients after RC. Pederzoli F, Campbell JD, Matsui H, et al.
Surgical Factors Associated With Male and Female Sexual Dysfunction After Radical
Cystectomy: What Do We Know and How Can We Improve Outcomes? Sex Med Rev
2018;6:469-481.
PMID- 29371145
TI - Assessment of Sexual Desire for Clinical Trials of Women With Hypoactive Sexual
Desire Disorder: Measures, Desire-Related Behavior, and Assessment of Clinical
Significance.
AB - BACKGROUND: The Female Sexual Function Index-desire subscale is the standard
measure for clinical trials of hypoactive sexual desire disorder (HSDD), but
lacks items assessing sexually related behaviors and attitudes toward partner.
Counting satisfying sexual events is criticized, but sexual behavior remains
important. Mean treatment differences cannot define clinical significance;
responder and remitter analyses help. We reviewed measures on sexual desire and
sexual behavior relevant to HSDD, and how to assess clinical significance.
METHODS: We conducted a literature review of measures of sexual desire comparing
expert-proposed criteria for dysfunctional desire, expert-developed scales, and
scales from patient input. Commonly recognized symptoms of HSDD were identified.
Results of HSDD trials and scale validation studies were evaluated to extract
responder and remitter values. The utility of distribution-based measures of
responders and remitters was assessed. OUTCOMES: Symptom relevance was evaluated
as the proportion of symptom sets that included the item; responder and remitter
cut points were determined by distribution-based methods. RESULTS: 12 Validated
rating scales, 5 scales primarily derived from expert recommendations and 7
scales initially from patient input, and 5 sets of diagnostic criteria for
conditions like HSDD were compared. Content varied highly between scales despite
compliance with U.S. Food and Drug Administration recommendations for patient
reported outcomes. This disunity favors an expert-recommended scale such as the
Elements of Desire Questionnaire with each of the common items, plus a measure of
frequency of sexual activity, eg, item in the Patient Reported Outcomes
Measurement Information System. Registrational drug trials, but not psychological
treatment trials, usually give responder/remitter analyses, using dichotomized
global impressions or anchor-based definitions. Distribution-based methods are
more uniformly applicable to define responder and remitter status. CONCLUSIONS:
The Female Sexual Function Index-desire subscale measures the most relevant
element of sexual desire, but it would be meaningful to include 4 or 5 more
sexual symptoms as end points: sexual thoughts/fantasies, frequency of sexual
activity, receptivity, initiations, and possibly avoidance of sexual situations.
The Elements of Desire Questionnaire and a measure of sexual frequency may
suffice. Responder and remitter analyses show the clinical relevance of a
treatment and enable comparisons across trials. Pyke RE, Clayton AH. Assessment
of Sexual Desire for Clinical Trials of Women With Hypoactive Sexual Desire
Disorder: Measures, Desire-Related Behavior, and Assessment of Clinical
Significance. Sex Med Rev 2018;6:367-383.
PMID- 29371144
TI - Traditional Chinese Medicine for Sexual Dysfunction: Review of the Evidence.
AB - INTRODUCTION: Despite the growing popularity of traditional Chinese medicine
(TCM) in the Western world, biomedical students and practitioners struggle to
understand TCM and how it relates to their standard diagnosis and treatment
practices. AIMS: To describe the fundamentals of TCM theory and practice relevant
to sexual dysfunction; to review and critique the current state of TCM research
within Western biomedical literature; and to identify sites for improvement of
future research and for collaborative integration of TCM and biomedicine in
practice. METHODS: Information about TCM from an insider perspective was obtained
from English-language textbooks and lectures intended to teach Western students
its theory and practice. PubMed search using Medical Subject Heading terms for
Western sexual diagnoses and TCM treatments was performed in April and October
2017 to represent the evidence for TCM in Western biomedical literature. Articles
in non-English languages and without human subjects were excluded. MAIN OUTCOME
MEASURES: 27 studies were included in this review. The most commonly studied
intervention was acupuncture. An equal number of studies addressed sexual
dysfunction in men and women, but only women were included in studies of
physically passive mindfulness meditation. RESULTS: Compared with Western
biomedicine, TCM offers a more interdisciplinary and individualized approach to
disease and its treatment. This embrace of individual idiosyncrasy in diagnosis
and treatment presents a challenge to Western biomedical research norms that rely
almost exclusively on quantitative methods that compare large and homogeneous
groups with a fixed diagnosis and treatment regimen. CONCLUSION: TCM offers a
very different understanding of the human body, health, and disease from Western
biomedicine. There is value in the study and application of these 2 medical
systems, particularly for biopsychosocial problems of sexual dysfunction.
However, this must be done cautiously, with attention to appropriate study
design, to avoid shallow and unscientific cultural appropriation of TCM
practices. Chubak B, Doctor A. Traditional Chinese Medicine for Sexual
Dysfunction: Review of the Evidence. Sex Med Rev 2018;6:410-418.
PMID- 29371146
TI - Couplepause: A New Paradigm in Treating Sexual Dysfunction During Menopause and
Andropause.
AB - BACKGROUND: At midlife and beyond, both men and women face organic changes that
can affect sexual functioning. For women, ovarian exhaustion causes estrogen
deficiency, leading to genitourinary syndrome of menopause, which may include
vaginal dryness, irritation/itching, inadequate lubrication, and dyspareunia.
Hypoactive sexual desire disorder also can result from biopsychosocial factors.
For men, erectile dysfunction prevalence increases with age, and some men develop
testosterone deficiency. AIM: In this narrative review, we summarize the
literature on how menopause and andropause can affect the sexual health of both
the patient and partner and describe a new paradigm ("couplepause") for
addressing the sexual health needs of the aging couple as a whole. METHODS: We
combined a literature review conducted using PubMed with insights garnered from
our own clinical experiences. OUTCOMES: We reviewed publications relating to
couples-based approaches to sexual dysfunction, male perceptions of female sexual
dysfunction, female perceptions of male sexual dysfunction, interactions between
male and female sexual dysfunctions, sexual dysfunction and midlife changes in
homosexual couples, and impact of pharmacologic treatments for sexual
dysfunctions on the couple's sexual health. RESULTS: Both members of a couple may
experience age-related changes concurrently and interdependently. In such cases,
it is unhelpful, and sometimes detrimental, to treat the symptoms for only one
member of the couple without also treating the other. Therefore, as an evolution
of the couple-oriented approaches of Masters and Johnson and others, we introduce
the concept of couplepause and the need for a new diagnostic and therapeutic
paradigm that addresses the sexual health needs of the aging couple as a whole
rather than treating the individual patient in isolation. CONCLUSION: Taking a
couple-oriented approach to evaluate and manage couplepause in the latter half of
life can dramatically and simultaneously help both members of the couple to
improve sexual satisfaction and intimacy. Jannini EA, Nappi RE. Couplepause: A
New Paradigm in Treating Sexual Dysfunction During Menopause and Andropause. Sex
Med Rev 2018;6:384-395.
PMID- 29371147
TI - A small heat shock protein 21 (sHSP21) mediates immune responses in Chinese oak
silkworm Antheraea pernyi.
AB - Small heat shock proteins (sHSPs) are conserved among insects and play an
important role in the regulation of many biological processes, including
temperature stress, abiotic stress, immune responses, metamorphosis, and embryo
development. Antheraea pernyi is an economically valuable silk-producing moth and
source of insect food containing high-quality protein. The aim of this study was
to quantify expression of the ApsHSP21 gene in response to pathogen-associated
molecular patterns (PAMPs) and nucleopolyhedrovirus (NPV) challenge. The deduced
ApsHSP21 protein sequence consists of 186 residues with a calculated molecular
mass of 21.0 kDa and an isoelectronic point (pI) of 6.63. The protein contains a
conserved alpha-crystallin domain (ACD), and includes two casein kinase II
phosphorylation sites, a protein kinase C phosphorylation site, two tyrosine
kinase phosphorylation sites, and various polypeptide binding sites. Phylogenetic
analysis revealed that ApsHSP21 is closely related to homologs from other
insects. Real-time quantitative reverse transcription PCR (qRT-PCR) analysis
revealed that expression of ApsHSP21 was significantly up-regulated at different
timepoints following simulated pathogen challenge with lipopolysaccharide (LPS),
peptidoglycan (PGN), glucan, and NPV. The results suggest sHSP21 is involved in
innate immune responses in A. pernyi.
PMID- 29371148
TI - Structural and enzymatic analysis of the cytochrome b5 reductase domain of Ulva
prolifera nitrate reductase.
AB - Rapid accumulations of unattached green macroalgae, referred to as blooms,
constitute ecological disasters and occur in many coastal regions. Ulva are a
major cause of blooms, owing to their high nitrogen utilization capacity, which
requires nitrate reductase (NR) activity; however, molecular characterization of
Ulva NR remains lacking. Herein we determined the crystal structure and performed
an enzymatic analysis of the cytochrome b5 reductase domain of Ulva prolifera NR
(UpCbRNR). The structural analysis revealed an N-terminal FAD-binding domain
primarily consisting of six antiparallel beta strands, a C-terminal NADH-binding
domain forming a Rossmann fold, and a three beta-stranded linker region
connecting these two domains. The FAD cofactor was located in the cleft between
the two domains and interacted primarily with the FAD-binding domain. UpCbRNR
shares similarities in overall structure and cofactor interactions with homologs,
and its catalytic ability is comparable to that of higher plant CbRNRs. Structure
and sequence comparisons of homologs revealed two regions of sequence length
variation potentially useful for phylogenetic analysis: one in the FAD-binding
domain, specific to U. prolifera, and another in the linker region that may be
used to differentiate between plant, fungi, and animal homologs. Our data will
facilitate molecular-level understanding of nitrate assimilation in Ulva.
PMID- 29371149
TI - Redox-responsive micelles for triggered drug delivery and effective
laryngopharyngeal cancer therapy.
AB - In this study, we reported a redox-responsive drug delivery system (DDS) based on
heparosan and deoxycholic acid conjugates (HSDs) for effective treatment of
laryngopharyngeal carcinoma. The amphiphilic HSDs can self-assemble into stable
nanoscale micelles in aqueous medium with favorable drug loading capacity for
doxorubicin (DOX). The HSD micelles can exhibit glutathione (GSH)-triggered drug
release behavior and reach a nearly 100% release rate in a high GSH level (10 mM)
environment. Moreover, FaDu cancer cells can internalize HSD micelles by clathrin
mediated endocytosis, which is energy dependent, fast, and effective. The DOX@HSD
induced inhibition of FaDu cancer cells can achieve a minimum of 10-fold
selectivity relative to that of COS-7 normal cells. Overall, the redox-responsive
DDSs show good biocompatibility and are promising in the clinical treatment of
laryngopharyngeal carcinoma.
PMID- 29371150
TI - Synthetic biology approaches: Towards sustainable exploitation of marine
bioactive molecules.
AB - The discovery of genes responsible for the production of bioactive metabolites
via metabolic pathways combined with the advances in synthetic biology tools, has
allowed the establishment of numerous microbial cell factories, for instance the
yeast cell factories, for the manufacture of highly useful metabolites from
renewable biomass. Genome mining and metagenomics are two platforms provide base
line data for reconstruction of genomes and metabolomes which is based in the
development of synthetic/semi-synthetic genomes for marine natural products
discovery. Engineered biofilms are being innovated on synthetic biology platform
using genetic circuits and cell signalling systems as represillators controlling
biofilm formation. Recombineering is a process of homologous recombination
mediated genetic engineering, includes insertion, deletion or modification of any
sequence specifically. Although this discipline considered new to the scientific
domain, this field has now developed as promising endeavor on the accomplishment
of sustainable exploitation of marine natural products.
PMID- 29371151
TI - Extraction optimization, characterization, antioxidant and immunomodulatory
activities of a novel polysaccharide from the wild mushroom Paxillus involutus.
AB - Response surface methodology (RSM) using a Box-Behnken design (BBD) was applied
to optimize the extraction of Paxillus involutus polysaccharides. The optimum
conditions included an extraction time of 3h, extraction temperature of 79
degrees C and a ratio of liquid to raw material of 43.1mL/g. Under the optimized
conditions, the polysaccharides yield was 12.25%. Then, the polysaccharides were
purified with DEAE-Cellulose 52 and Sephadex G-100 gel columns, and the fraction
denoted as PIP2-1 with a molecular weight of 32kDa was obtained. PIP2-1 was
composed of mannose, glucose, galactose, fucose with the mole percentages of
2.8%, 62.2%, 25.4% and 9.6%. The PIP2-1 possessed typical Fourier Transform
infrared spectroscopy (FTIR) characterization of polysaccharides. The methylation
analysis showed that the PIP2-1 mainly included 1-linked-fuc, 1,3-linked-man, 1
linked-glc, 1,4-linked-glc, 1,6-linked-glc, 1-linked-gal, 1,6-linked-gal, 1,4,6
linked-gal and 1,2,6-gal glycosidic bonds. Furthermore, PIP2-1 showed significant
antioxidant activity against hydroxyl radicals (OH), 1,1-diphenyl-2
picrylhydrazyl radicals (DPPH), 2,2-azino-bis-3-ethylbenzothiazoline-6-sulfonic
acid (ABTS) and superoxide radicals. Finally, PIP2-1 significantly enhanced the
release of TNF-alpha and IL-6 in RAW264.7 cells. The results indicated that PIP2
1 could be exploited as a natural antioxidant and immunomodulator for functional
food and medical applications.
PMID- 29371152
TI - The performance of immobilized Candida rugosa lipase on various surface modified
graphene oxide nanosheets.
AB - In this study, we have reported the synthesis of graphene oxide nanosheets (GON)
and its functionalization with 2, 4, 6-trichloro-1, 3, 5-triazine (TCT) through
two routes, (a) directly reaction of GON with TCT (GON-1), and (b) reaction of
GON with pre-functionalized TCT with 3-aminopropyltriethoxysilane (APTS) (GON-2).
Subsequently, GON, GON-1 and GON-2 have been used as supports for immobilization
of Candida rugosa lipase (CRL). Several techniques such as XRD, SEM, EDS, UV-Vis,
CHNS, FTIR and AFM were applied to characterize the nano-structures and success
of synthesis, functionalization and CRL immobilization processes. The results
corresponding to optimization of immobilization process revealed the following
order for values of loading capacity, immobilization yield and leaching of CRL:
GON > GON-1 > GON-2, while this order is reversed for, specific activity and
recovery activity. The assessment of operational parameters represents the high
storage stability and reasonable reusability for all the immobilized CRL while
the pH and thermal stability of CRL@GON-2 are higher than two others. It seems
the longer linker of GON-2 could more effectively prevent the unfavorable
interaction between enzyme-enzyme and enzyme-product that consequently resulted
the best catalytic performance, pH and thermal stability. The advantages of these
supports make them suitable candidates for practical applications.
PMID- 29371153
TI - Pilus-encoding islets in S. agalactiae and its association with antibacterial
resistance and serotype distribution.
AB - Group B Streptococcus (GBS) is a versatile organism which uses multiple virulence
factors which bind to the surface of epithelial cells. Pili are one of virulence
factors detected in recent years. A total of 90 isolates were collected from
invasive and non-invasive isolates among adults throughout 2014-2015. Isolates
were serotyped at molecular level based on capsular polysaccharide (cps)
serotyping and analyzed for pilus island profiles, scpB gene, and hvgA gene
presence. Isolates were subjected to antimicrobial susceptibility towards
penicillin, tetracycline, erythromycin, clindamycin, moxifloxacin, levofloxacin,
and vancomycin by disk diffusion method and MICs for erythromycin and clindamycin
were determined by broth dilution methods. Overall, 4 serotypes were identified,
serotype III (68.88%), V (20%), II (10%) and Ib (1.11%) and hvgA gene was
detected in 7.7% (n = 7) of the isolates; all were serotype III/ST 17. All
isolates were susceptible to penicillin and vancomycin, except one isolate which
showed intermediate resistance to penicillin and other complete resistance to
vancomycin. Isolates were resistant to tetracycline (98%), erythromycin (25%),
clindamycin (22%), moxifloxacin (8%), and levofloxacin (6%). The scpB gene was
detected in all isolates, while isolates harbored at least one PI, of which the
PI-1+PI-2a was the most frequent combination observed. Our data show the presence
of the relation between serotype or pilus genes among clinical isolates of
Streptococcus agalactiae. These data are principal to help in designing
prevention and treatment strategies for GBS infections in the region.
PMID- 29371154
TI - Trypanosoma brucei bloodstream forms express highly specific and separate
transporters for adenine and hypoxanthine; evidence for a new protozoan purine
transporter family?
AB - The transport of nucleobases and nucleosides in protozoan parasites is known to
be performed by Equilibrative Nucleoside Transporter (ENT) family members,
including the extensively studied P1 and P2 nucleoside transporters of T. brucei
bloodstream forms. Studies with P2 knockout parasites suggested the existence of
as yet uncharacterised purine transport mechanisms in these cells. Here, we
deleted several ENT genes, in addition to P2, including an array comprising three
genes encoding for high-affinity broad-selectivity nucleobase transporters - the
longest multi-gene locus deletion in T. brucei to date. It was verified that none
of them appreciably contributed to the transport of hypoxanthine in bloodstream
forms grown axenically in HMI-9 medium, which was mainly performed by a
previously not described hypoxanthine-specific transporter (HXT1) with a Km of 22
+/- 1.7 MUM and Vmax of 0.49 +/- 0.06 pmol(107 cells)-1 s-1. The uptake of
adenine was also assessed in the knockout cells and was performed by a highly
specific adenine transporter (ADET1) with a Km of 573 +/- 62 nM and Vmax of 0.23
+/- 0.06 pmol(107 cells)-1 s-1. Neither HXT1 nor ADET1 displayed any affinity for
other natural purines or pyrimidines and could not be completely inhibited by
hypoxanthine or adenine analogues. These carriers may be the final pieces in the
substantial transporter array trypanosomes can employ to fine-tune the uptake of
purines from diverse environments during their life cycles, and may be encoded by
genes other than those of the ENT family.
PMID- 29371155
TI - SOX2: Not always eye malformations. Severe genital but no major ocular anomalies
in a female patient with the recurrent c.70del20 variant.
AB - SOX2 variants have been identified in multiple patients with severe ocular
anomalies and pituitary dysfunction, in addition to various systemic features. We
investigated a 26-year-old female patient suffering from spastic paraparesis,
hypoplasia of corpus callosum, hypogonadotropic hypogonadism (HH) and
intellectual disability, who was monitored for over 20 years, allowing a detailed
genotype-phenotype correlation along time. Whole exome sequencing on the patient
and her relatives identified a de novo SOX2 c.70del20 variant, which has been
frequently reported in individuals with SOX2-related anophthalmia. Importantly,
our patient lacked major ocular phenotype but showed vaginal agenesis, a feature
never reported before. Although the involvement of male urogenital tract
(cryptorchidism, hypospadias, small penis), is a well known consequence of SOX2
variants, their effect on the female genitalia has never been properly addressed,
even considering the paradoxical female excess of SOX2 cases in the literature.
Our findings emphasize the importance of testing for SOX2 variants in individuals
with HH and genital anomalies even though anophthalmia or microphthalmia are not
observed. Moreover, our case strengthens the role of SOX2 as a master regulator
of female gonadal differentiation, as widely demonstrated for other SOX genes
related to 46, XX sex reversal, such as SOX3 and SOX9.
PMID- 29371156
TI - One Thing after Another: Ecthyma Gangrenosum.
PMID- 29371157
TI - Do We Learn More from Our Mistakes than from Our Successes?
PMID- 29371158
TI - Brain Tumors.
AB - Brain tumors are common, requiring general medical providers to have a basic
understanding of their diagnosis and management. The most prevalent brain tumors
are intracranial metastases from systemic cancers, meningiomas, and gliomas,
specifically, glioblastoma. Central nervous system metastases may occur anywhere
along the neuroaxis, and require complex multidisciplinary care with
neurosurgery, radiation oncology, and medical oncology. Meningiomas are tumors of
the meninges, mostly benign and often managed by surgical resection, with
radiation therapy and chemotherapy reserved for high-risk or refractory disease.
Glioblastoma is the most common and aggressive malignant primary brain tumor,
with a limited response to standard-of-care concurrent chemoradiation. The new
classification of gliomas relies on molecular features, as well as histology, to
arrive at an "integrated diagnosis" that better captures prognosis. This
manuscript will review the most common brain tumors with an emphasis on their
diagnosis, oncologic management, and management of medical complications.
PMID- 29371159
TI - Flavonoid glycosides and alkaloids from the embryos of Nelumbo nucifera seeds and
their antioxidant activity.
AB - Chemical investigation of the embryos of Nelumbo nucifera afforded four new
flavone C-glycosides, named nelumbosides A-D (1-4), together with nine known
ones, comprising five flavonoids (5-9) and four alkaloids (10-13). The chemical
structures of the new compounds were elucidated by 1D, 2D-NMR and HR-ESI-MS
techniques, together with chemical methods. Nelumbosides A-D (1-4) are rarely
present in naturally occurring flavone C-glycosides featuring a 4-hydroxystyrene
unit connected to the flavonoid skeleton. Compounds 2-13 were evaluated for their
antioxidant activity by ABTS and DPPH radical-scavenging assay. Among them,
compounds 2, 6, 7 and 11 exhibited strong scavenging activity with SC50 values
ranging from 12.07 to 25.68MUM compared with the positive control l-ascorbic
acid.
PMID- 29371160
TI - Chiral resolution and bioactivity of enantiomeric benzofuran neolignans from the
fruit of Rubus ideaus L.
AB - Rubus ideaus L., a member of the Rosaceae family, is popular for its distinctive
flavor and attractive red color. In order to explore the functional factors
possibly presented in the fruit, seven new benzofuran neolignans (1a/1b-3a/3b and
4b), together with one known neolignan 4a, were isolated from the fruit of R.
ideaus. Compounds 1a/1b-4a/4b as four pairs of enantiomers were separated on a
chiral chromatographic column. Their structures including absolute configurations
were elucidated by extensive spectroscopic data analyses, including UV, IR,
HRESIMS, NMR, and comparing their experimental electronic circular dichroism
(ECD) spectra with calculated ECD spectra. Additionally, all these enantiomeric
compounds were evaluated for their antioxidant, neuroprotective, and anti-Abeta1
42 aggregation activities.
PMID- 29371161
TI - Emergency Department Switching and Duplicate Computed Tomography Scans in
Patients With Kidney Stones.
AB - OBJECTIVE: To test whether duplicate imaging relates to a lack of information
sharing among providers, we measured the association between emergency department
(ED) switching during a kidney stone episode and receipt of a repeat computed
tomography (CT) scan. METHODS: Using the MarketScan Commercial Claims and
Encounters Database, we identified adults between the ages 18 and 64 with an ED
visit for a diagnosis of kidney stones. Among patients who had an abdominal or
pelvic CT scan at their initial encounter, we then determined the subset that
made an ED revisit within 30 days of their first, distinguishing between those to
the same vs a different ED. Finally, we fit multivariable logistic regression
models to estimate the risk of receiving a repeat CT scan associated with ED
switching. RESULTS: Twelve percent of patients who received a CT scan at their
initial ED encounter had a revisit within 30 days of discharge. One-third of
their revisits were made to a different ED than the index one. Duplicate CT scans
were obtained at nearly 40% of all revisits. On multivariable analysis, the risk
of receiving a repeat CT was 12% higher if this revisit was made to a different
ED (risk ratio, 1.12; 95% confidence interval, 1.03-1.21; P = .010). CONCLUSION:
Our study reveals that ED switching during an acute kidney stone episode is
associated with higher levels of repeat CT imaging. These findings support the
role of better health information exchange among providers to help reduce waste
in the health-care system.
PMID- 29371162
TI - Associations of Intraoperative Flow Disruptions and Operating Room Teamwork
During Robotic-assisted Radical Prostatectomy.
AB - OBJECTIVE: To identify type and severity of surgical flow disruptions and to
determine their impact on the perception of intraoperative teamwork. METHODS:
Forty radical prostatectomy cases were studied in an academic department for
urology. A standardized observational tool for identification of type and
severity of flow disruptions was applied during real-time prostatectomy
procedures. Additionally, all operating room team members evaluated
intraoperative teamwork immediately after the procedure. Procedures were divided
into 4 phases: prerobot, docking, console time, and postrobot. RESULTS: A total
of 2012 flow disruptions were observed, with an average rate of 16.27 events per
hour. The highest rate was during the robot docking phase. Although the frequency
of disruption types varied across phases, the most severe disruptions were
related to communication and coordination during the prerobot and docking phase.
Equipment- and communication-related disruptions were mostly severe during the
time the surgeons were on the console. Among the surgeons, we identified a
significant relationship between disruptions and intraoperative teamwork such
that during procedures with frequent severe disruptions, surgeons experienced
inferior teamwork (beta = -0.40, P = .01). This was not the case for nurses and
anesthetists. CONCLUSION: Emphasis on improving operating room team communication
and coordination would help to establish efficient and smooth surgical workflow.
PMID- 29371163
TI - Letter to the Editor on the article "Endoscopic resection versus radical
gastrectomy for early gastric cancer in Asia: A meta-analysis".
PMID- 29371164
TI - Anti-inflammatory effect of avenanthramides via NF-kappaB pathways in C2C12
skeletal muscle cells.
AB - Avenanthramides (Avns), the polyphenol compounds found only in oats, have been
shown to exhibit anti-inflammatory effects mainly by inhibiting nuclear factor
(NF)-kappaB activation in select cell lines. However, the molecular mechanism by
which Avns regulate the NF-kappaB pathway is still unclear. The purpose of this
study was to investigate (1) the molecular mechanism by which three main
fractions of Avns (AvnA, AvnB and AvnC) interact with IkappaB Kinase beta
(IKKbeta); and (2) whether this interaction results in reduced inflammatory
responses in skeletal muscle cells. The protein-ligand docking and molecular
dynamics simulation studies suggest that Avns acted as an allosteric inhibitor
for modulating IKKbeta's affinity for the NF-kappaB complex. Thus, Avns reduced
IKKbeta kinase activity in response to tert-butyl hydroperoxide (tBHP)
stimulation and attenuated tBHP-induced TNFalpha and IL-1beta mRNA expression.
Furthermore, the three-fold increases in cyclooxygenase-2 (COX-2) protein and
luciferase activity with tBHP treatment were reduced by 50% with Avns (P < .01),
along with decreased prostaglandin E2 levels (P < .01). These data indicate that
Avns are potent inhibitors of NFkappaB-mediated inflammatory response due to the
downregulation of IKKbeta activity in C2C12 cells.
PMID- 29371165
TI - Occipital Artery to Middle Cerebral Artery Bypass in Cases of Unavailable
Superficial Temporal Artery.
AB - In neurosurgery, extracranial-to-intracranial (EC-IC) bypass surgery is necessary
for patients who have undergone surgery in which the superficial temporal artery
(STA) was already used for a different bypass procedure or was damaged. Here we
report our experience with EC-IC bypass using the occipital artery (OA) in
patients in whom the STA was unavailable, and discuss the technical
considerations and pitfalls. Five patients with ischemic-onset moyamoya disease
and atherosclerotic disease were included. Two patterns of skin incisions were
planned according to the OA pathway and recipient artery location. In one of
these methods, a skin incision is made above the OA, and a craniotomy is
performed under this incision after OA dissection. In the other method, a skin
incision is made above the OA to enable its dissection, and a craniotomy is
performed via a separate skin incision. No major perioperative complications
developed in any of the 5 cases, and bypass patency was confirmed in all
patients. There was a significant difference between the preoperative and
postoperative asymmetry ratios of the mean transit time values. Our findings
suggest that OA-to-middle cerebral artery (MCA) bypass is a simple and effective
technique in patients in whom the STA was already used or was damaged by previous
intracranial revascularization or craniotomy. This procedure could be an
alternative to STA-MCA bypass in patients without an available STA.
PMID- 29371166
TI - Neuroendoscopic Fenestration for Entrapped Temporal Horn After Surgery: Report of
3 Cases.
AB - BACKGROUND: The postoperative ventricular adhesion after resection of central
nervous system tumors can obstruct physiologic cerebrospinal fluid (CSF)
circulation and cause temporal horn entrapment. The surgical goal is to restore
physiologic flow of CSF. The authors reviewed their database to report their
experience with endoscopic fenestration for treating entrapped temporal horn
caused by atrial adhesions. All endoscopic operations performed from February
2015 to December 2016 were reviewed. CASE DESCRIPTION: Three patients developed
temporal horn entrapment after tumor resection. Fenestration was successful in
all patients, with a subsequent stomy of the septum pellucidum. Follow-up
magnetic resonance imaging 1 year later showed a patent reduction of the
entrapped horn. CONCLUSIONS: Endoscopic fenestration is an option in the
treatment of entrapped temporal horns. However, more experience is required to
recommend it as the treatment of choice.
PMID- 29371167
TI - Making Anatomic Preparations of the Human Brain Using Colored Silicone for
Vascular Perfusion Staining (Technical Description).
AB - BACKGROUND: A thorough understanding of the central nervous system anatomy is a
fundamental part of a neurosurgeon's training. Development of novel neurosurgical
approaches and optimization of existing ones directly depend on the comprehensive
study of topographic anatomy of the head and neck using cadaveric specimens.
Staining the vessels of the arterial and venous systems of a cadaveric specimen
aids a detailed study of the vascularization of anatomic structures of the brain.
Here we describe an alternative expedited method for creating anatomic
preparations of the human brain using colored silicone for vascular perfusion
staining. METHODS: A nonfixed, whole cadaver (with the head intact), without
central nervous system pathology, no later than 48 hours after death, is used to
prepare the human brain specimen through staining of arterial and venous vessels.
RESULTS: Our proposed method provides a fast and inexpensive approach to making
anatomic preparations of the human brain with staining of the vascular system.
The preparations thus obtained can be used for educational purposes, aiding the
study of normal and topographic anatomy of the brain, as well as the development
of new microsurgical and/or endoscopic approaches. CONCLUSIONS: In experienced
hands, our proposed method allows the creation of anatomic preparations
relatively fast, at low cost, and with excellent quality.
PMID- 29371168
TI - Accuracy of Susceptibility-Weighted Imaging and Dynamic Susceptibility Contrast
Magnetic Resonance Imaging for Differentiating High-Grade Glioma from Primary
Central Nervous System Lymphomas: Meta-Analysis.
AB - BACKGROUND AND PURPOSE: It is always a great challenge to distinguish high-grade
glioma (HGG) from primary central nervous system lymphoma (PCNSL). We conducted a
meta-analysis to assess the performance of dynamic susceptibility contrast (DSC)
and susceptibility-weighted imaging (SWI) in differentiating HGGs from PCNSLs.
METHODS: Heterogeneity and threshold effect were evaluated, and sensitivity,
specificity (SPE), and areas under the summary receiver operating characteristic
curve (SROC) were calculated. RESULTS: Thirteen studies with a total of 704
participants were included in this meta-analysis. For the dynamic susceptibility
contrast (DSC) technique, the pooled sensitivity and SPE were 0.94 and 0.84,
respectively. The area under the curve (AUC) under the SROC was 0.9595, and the
diagnostic odds ratio was 81.880. For the susceptibility weighted imaging (SWI)
technique, the pooled sensitivity and SPE were 0.92 and 0.87, respectively. The
AUC under the SROC was 0.9608, and the diagnostic odds ratio was 89.774. A Z test
showed that no significant difference in AUC values between DSC and SWI (P =
0.97) was observed. In addition, no obvious public bias was observed in both the
DSC (P = 0.69) and SWI (P = 0.32) groups. CONCLUSIONS: This meta-analysis
indicated that both DSC-MRI and SWI have a high and similar level of diagnostic
accuracy for differentiating HGGs from PCNSLs.
PMID- 29371169
TI - Early Stereotactic Radiosurgery for Medically Refractory Trigeminal Neuralgia.
AB - OBJECTIVE: To evaluate effectiveness of early Gamma Knife radiosurgery (GKRS) in
treatment of medically refractory trigeminal neuralgia (TN). METHODS: This
retrospective review comprised 108 consecutive patients with medically refractory
idiopathic TN between 2006 and 2014. All patients underwent GKRS targeting the
root entry zone with a median maximum dose of 90 Gy and isodose line of 20%.
Outcomes pertaining to pain and facial numbness were scored using Barrow
Neurological Institute scales. RESULTS: Following a median latency period of 4
weeks, we observed complete or adequate pain relief (Barrow Neurological
Institute scores of I-IIIB) in 86 of 108 patients (90%). At a median time of 17
months, 22 patients (26%) experienced pain recurrence. New-onset facial numbness
was reported by 59 patients (55%). Treatment failure rates were highest among
patients with a longer history of pain. Compared with patients with pain history
of <=5 years, patients with pain history of >5 years experienced longer latency
before pain relief (P = 0.027). Univariate and multivariate analyses demonstrated
that pain history of <=5 years was a significant predictor of pain relief (P =
0.049 and P = 0.045, respectively). CONCLUSIONS: GKRS achieves a high rate of
pain relief among patients with medically refractory idiopathic TN. Pain history
of <=5 years is a reliable predictor of pain relief and appears to be associated
with shorter latency to pain relief after GKRS. Therefore, early GKRS for
patients with medically refractory idiopathic TN is recommended.
PMID- 29371170
TI - Comparison of Long-Term Fertility Outcomes after Myomectomy: Relationship with
Number of Myomas Removed.
AB - STUDY OBJECTIVE: To determine if the number of myomas removed during myomectomy
for symptomatic relief affects long-term fertility outcomes in reproductive-aged
women. DESIGN: Retrospective cohort survey study (Canadian Task Force
classification II-2). SETTING: University hospital. PATIENTS: One hundred forty
four patients who underwent myomectomy for symptomatic myomas and attempted to
conceive afterward. INTERVENTION: Questionnaire mailed to reproductive-aged women
who received robotic, laparoscopic, or abdominal myomectomy. MEASUREMENTS AND
MAIN RESULTS: Patients with >6 myomas removed were less likely to achieve
pregnancy after myomectomy than patients with <=6 myomas removed (22.9% vs 70.8%,
respectively; p < .001). To achieve pregnancy, 45% of those with >6 myomas
removed (vs 17.6% of those with <=6 myomas removed) relied on fertility treatment
(clomiphene citrate, letrozole, intrauterine insemination, or in vitro
fertilization). Of those with >6 myomas removed who became pregnant, 45.5% had a
term birth, 45.5% miscarried, and 9.1% had an ectopic pregnancy. Of those with
<=6 myomas removed who became pregnant, 61.8% had a term birth, 23.5% had a
preterm birth, and 13.2% miscarried. CONCLUSION: The number of myomas removed
during myomectomy significantly affects fertility. Women with >6 myomas removed
were less likely to become pregnant, more likely to require fertility treatment,
and less likely to have a term birth when compared with women with <=6 myomas
removed.
PMID- 29371171
TI - Simplified Laparoscopic Sacrohysteropexy.
AB - STUDY OBJECTIVE: To demonstrate a simplified technique of performing laparoscopic
sacrohysteropexy for uterine prolapse. DESIGN: A technical video demonstrating a
simplified method of laparoscopic sacrohysteropexy (Canadian Task force
classification level III). SETTING: The benign gynecology department at a
university hospital. INTERVENTIONS: A 38-year old woman with grade 3 uterine
descent presented requesting surgical management for symptomatic prolapse.
CONCLUSION: Laparoscopic sacrohysteropexy is becoming an increasingly popular
alternative to hysterectomy to treat uterine prolapse in women. We present a
novel approach of performing laparoscopic sacrohysteropexy that differs from
previously described methods [1,2]; it is shorter, simpler, and reduces possible
complications. Key differences include the mesh type, site of attachment, and
dissection of the peritoneum while creating the possibility of future vaginal
delivery after pregnancy. Our simplified technique uses a polyvinylidene fluoride
mesh woven with a square weave secured to the posterior aspect of the cervix
under a layer of visceral peritoneum. Because there is no longitudinal give of
the mesh, unlike polypropylene meshes with a diamond weave, a wrap method [2] is
not required. No dissection of the broad ligament and bladder is needed,
eliminating the risk of bladder perforation and anterior mesh erosion with fewer
adhesions and simplifying hysterectomy if required in the future. We also
uniquely "tunnel" the peritoneum, reducing the size of defect for suture closure,
and reperitonize the mesh. Previous methods restrict cervical dilatation and
require women to have cesarean sections. The method described in the video allows
women to deliver vaginally and, in the event of late miscarriage, avoid the need
for hysterotomy. We have performed 25 cases with 1 mild cystocoele recurrence
requiring no surgery, 1 reoperation for posterior compartment repair, and 1 case
of cervical elongation requiring Manchester repair. No cases of recurrent uterine
prolapse have occurred.
PMID- 29371172
TI - The Power Law of Learning in Transumbilical Single-Port Laparoscopic Subtotal
Hysterectomy.
AB - STUDY OBJECTIVE: To analyze the surgical outcomes and learning curve of
transumbilical single-port laparoscopic subtotal hysterectomy, which requires
sutures of the cervical stump. DESIGN: A prospective observational study
(Canadian Task Force classification II-2). SETTING: A university-affiliated
center. PATIENTS: From the first (July 2012) and consecutive patients of benign
uterine disease scheduled for subtotal hysterectomy until October 2013.
INTERVENTIONS: All single-port laparoscopies were performed using straight
instruments by 1 gynecologist. An ancillary port was added whenever technical
difficulties could endanger surgical quality. MEASUREMENT AND MAIN RESULTS:
Seventy-five patients were recruited for intention-to-treat analysis with a mean
(+/-SD) age of 44.7 +/- 3.8 years and a body mass index of 24.2 +/- 3.7 kg/m2. No
major complication was noted. The mean uterine weight was 432.5 +/- 344.0 g with
24 (32%) uteri ?500 g. The patients' sequential order, or gradually increasing
experience, was the determining factor in progressively decreasing operative
time. Furthermore, most cases that required an additional ancillary port (67%)
were clustered in the first 20 cases, whereas 4 were scattered after the 47th
patient because of severe pelvic adhesion. The mean operative time decreased in
the power law function of the patients' sequential order with a plateau achieved
at the 20th patient. CONCLUSION: The patients' sequential order was identified as
an independent factor of achieving purely single-port access, and the trend of
decreasing operative time delineated the existence of a learning curve.
Approximately 20 patients were needed for an experienced multiport laparoscopist
to reach technical competency in the current series.
PMID- 29371173
TI - Temporary Simultaneous 2 Arterial Occlusions during Laparoscopic Management for
Cornual Ectopic Pregnancy.
AB - STUDY OBJECTIVE: To demonstrate a new technique of temporary simultaneous 2
arterial occlusions (TESTOs) of the uterine and ovarian (or utero-ovarian) artery
to reduce operative blood loss during laparoscopic cornual resection for cornual
ectopic pregnancy. DESIGN: A step-by-step explanation of the surgical procedure
using video (Canadian Task Force classification III). This study was approved by
the institutional review board. SETTING: A university hospital. PATIENTS: A 41
year-old woman presented with pelvic pain with 7 weeks of amenorrhea. A
transvaginal sonogram and laboratory tests revealed left cornual pregnancy. She
had a history of left salpingectomy caused by tubal pregnancy and wanted prompt
surgical management without a surgical scar. INTERVENTIONS: During the single
port laparoscopy, we found a 3-cm unruptured ectopic mass in the left uterine
cornua. The retroperitoneum was opened using a harmonic scalpel (Ethicon
Endosurgery, Cincinnati, OH) along the infundibulopelvic ligament. Then, both
uterine arteries were temporarily occluded with a bulldog clamp (Aesculap,
Tuttlingen, Germany) at the level where they originate from the internal iliac
artery. The bulldog clamp, which is a spring-loaded crossover clamp with serrated
blades that effectively occlude vessels without slippage or significant crush
injury, is the laparoscopic instrument for minimizing blood loss during the
surgical procedure. Each ovarian arterial vasculature was also transiently
occluded at the utero-ovarian or ovarian pedicle by placing a bulldog clamp.
Then, a uterine incision was made in the left cornua using a harmonic scalpel,
the gestational conception was expressed through the incision, and corneal
resection was completed. The uterine defect was closed using a V-Loc suture
(Covidien, Mansfield, MA). In the final step, all vascular clamps were removed
for reperfusion. MEASUREMENTS AND MAIN RESULTS: The operative time was 45
minutes. The procedure time for TESTO and the occlusion time (defined as the time
that the bilateral uterine and ovarian vessels were occluded by bulldog clamps)
were 10 and 12 minutes, respectively. The estimated blood loss was 50 mL, and her
postoperative hemoglobin was 11.9 g/dL from 13.0 g/dL preoperatively. No
complications occurred in the postoperative course. Her menstruation resumed 2
months after surgery. CONCLUSION: Surgical management of ectopic cornual
pregnancy could be performed safely and efficiently under laparoscopy with the
TESTO technique.
PMID- 29371174
TI - Surgical Outcomes of Paravaginal Repair After Robotic Sacrocolpopexy.
AB - STUDY OBJECTIVE: To evaluate surgical outcomes of robotic sacrocolpopexy with and
without paravaginal repair for pelvic organ prolapse (POP). DESIGN: A
retrospective cohort study with a 3-month postoperative follow-up (Canadian Task
Force classification II-3). SETTING: An academic-affiliated community hospital
with a practice comprised of 3 surgeons board certified in female pelvic medicine
and reconstructive surgery. PATIENTS: Patients undergoing robotic sacrocolpopexy
for POP from April 2013 through November 2014. INTERVENTIONS: Robotic paravaginal
repair (RPVR) after robotic sacrocolpopexy. The decision to perform a paravaginal
repair was at the discretion of the surgeon. MEASUREMENTS AND MAIN RESULTS: One
hundred fifty-six patients underwent a robotic sacrocolpopexy. Twenty-four
patients were excluded because of a lack of a 3-month postoperative follow-up.
Nine patients underwent concomitant vaginal paravaginal repair and were also
excluded. Outcomes were defined by comparing preoperative characteristics with
those at the 3-month follow-up. Of the 123 patients in this cohort, 21 patients
underwent a concomitant RPVR, and 102 did not. All Pelvic Organ Prolapse
Questionnaire (POP-Q) points improved within groups (p < .001) except for the
total vaginal length (TVL) in the RPVR group (p = .940). The Patient Global
Impression of Improvement (PGI-I) did not differ between groups (1.2 vs 1.5, p =
.128). Subgroup analysis was performed on patients with preoperative anterior
wall prolapse of stage 3 or greater. Baseline characteristics and perioperative
data were not remarkably different from the main cohort. All POP-Q points
improved within groups (p < .001) except for the TVL in the RPVR group (p =
.572). The PGI-I did not differ between groups (1.2 vs 1.3, p = .378).
CONCLUSION: In both groups, anatomic markers substantially improved within each
group. There were significant differences in postoperative POP-Q findings, which
may have been influenced by the fact that patients undergoing RPVR usually had
worse baseline prolapse. This selection bias creates difficulty with
interpretation. Although in this study RPVR did not change subjective outcomes,
further study is necessary to control for the severity of prolapse.
PMID- 29371175
TI - Integrated Decentralized Training for Health Professions Education at the
University of KwaZulu-Natal, South Africa: Protocol for the I-DecT Project.
AB - BACKGROUND: The Integrated Decentralized Training (i-DecT) project was created to
address the current need for health care in South Africa among resource poor
climates in rural and periurban settings. The University of KwaZulu-Natal (UKZN)
in South Africa has embarked on a program within the School of Health Sciences
(SHS) to decentralize the clinical learning platform in order to address this
disparity. Framed in a pragmatic stance, this proposal is geared towards
informing the roll out of decentralized clinical training (DCT) within the
province of KwaZulu-Natal. There currently remains uncertainty as to how the
implementation of this program will unfold, especially for the diverse SHS, which
includes specialities like audiology, dentistry, occupational therapy, optometry,
pharmacy, physiotherapy, speech-language pathology, and sport science.
Consequently, there is a need to carefully monitor and manage this DCT in order
to ensure that the participating students have a positive learning experience and
achieve expected academic outcomes, and that the needs of the communities are
addressed adequately. OBJECTIVE: The study aims to explore the factors that will
influence the roll-out of the DCT by developing an inclusive and context-specific
model that will adhere to the standards set by the SHS for the DCT program at
UKZN. METHODS: Key role players, including but not limited to, the South African
Ministry of Health policy makers, clinicians, policy makers at UKZN, clinical
educators, academicians, and students of UKZN within the SHS will participate in
this project. Once the infrastructural, staffing and pedagogical enablers and
challenges are identified, together with a review of existing models of
decentralized training, a context-specific model for DCTl will be proposed based
on initial pilot data that will be tested within iterative cycles in an Action
Learning Action Research (ALAR) process. RESULTS: The study was designed to fit
within the existing structures, and emerging framework and memorandum of
understanding between the partners of this initiative, namely, the Ministry of
Health and UKZN in order to develop health care professionals that are competent
and prepared for the changing dynamics of healthcare in a developing world.
CONCLUSIONS: It is envisioned that this study, the first to include a combination
of health professionals in a DCT platform at UKZN, will not only contribute to
effective service delivery, but may also serve to promote an interprofessional
cooperation within the SHS and tertiary institutions in similar settings.
PMID- 29371176
TI - Unhappy Patients Are Not Alike: Content Analysis of the Negative Comments from
China's Good Doctor Website.
AB - BACKGROUND: With the rise in popularity of Web 2.0 technologies, the sharing of
patient experiences about physicians on online forums and medical websites has
become a common practice. However, negative comments posted by patients are
considered to be more influential by other patients and physicians than those
that are satisfactory. OBJECTIVE: The aim of this study was to analyze negative
comments posted online about physicians and to identify possible solutions to
improve patient satisfaction, as well as their relationship with physicians.
METHODS: A Java-based program was developed to collect patient comments on the
Good Doctor website, one of the most popular online health communities in China.
A total of 3012 negative comments concerning 1029 physicians (mean 2.93 [SD
4.14]) from 5 highly ranked hospitals in Beijing were extracted for content
analysis. An initial coding framework was constructed with 2 research assistants
involved in the codification. RESULTS: Analysis, based on the collected 3012
negative comments, revealed that unhappy patients are not alike and that their
complaints cover a wide range of issues experienced throughout the whole process
of medical consultation. Among them, physicians in Obstetrics and Gynecology
(606/3012, 20.12%; P=.001) and Internal Medicine (487/3012, 16.17%; P=.80)
received the most negative comments. For negative comments per physician,
Dermatology and Sexually Transmitted Diseases (mean 5.72, P<.001) and Andrology
(mean 5, P=.02) ranked the highest. Complaints relating to insufficient medical
consultation duration (577/3012, 19.16%), physician impatience (527/3012,
17.50%), and perceived poor therapeutic effect (370/3012, 12.28%) received the
highest number of negative comments. Specific groups of people, such as those
accompanying older patients or children, traveling patients, or very important
person registrants, were shown to demonstrate little tolerance for poor medical
service. CONCLUSIONS: Analysis of online patient complaints provides an
innovative approach to understand factors associated with patient
dissatisfaction. The outcomes of this study could be of benefit to hospitals or
physicians seeking to improve their delivery of patient-centered services.
Patients are expected to be more understanding of overloaded physicians'
workloads, which are impacted by China's stretched medical resources, as efforts
are made to build more harmonious physician-patient relationships.
PMID- 29371177
TI - Evaluating Machine Learning-Based Automated Personalized Daily Step Goals
Delivered Through a Mobile Phone App: Randomized Controlled Trial.
AB - BACKGROUND: Growing evidence shows that fixed, nonpersonalized daily step goals
can discourage individuals, resulting in unchanged or even reduced physical
activity. OBJECTIVE: The aim of this randomized controlled trial (RCT) was to
evaluate the efficacy of an automated mobile phone-based personalized and
adaptive goal-setting intervention using machine learning as compared with an
active control with steady daily step goals of 10,000. METHODS: In this 10-week
RCT, 64 participants were recruited via email announcements and were required to
attend an initial in-person session. The participants were randomized into either
the intervention or active control group with a one-to-one ratio after a run-in
period for data collection. A study-developed mobile phone app (which delivers
daily step goals using push notifications and allows real-time physical activity
monitoring) was installed on each participant's mobile phone, and participants
were asked to keep their phone in a pocket throughout the entire day. Through the
app, the intervention group received fully automated adaptively personalized
daily step goals, and the control group received constant step goals of 10,000
steps per day. Daily step count was objectively measured by the study-developed
mobile phone app. RESULTS: The mean (SD) age of participants was 41.1 (11.3)
years, and 83% (53/64) of participants were female. The baseline demographics
between the 2 groups were similar (P>.05). Participants in the intervention group
(n=34) had a decrease in mean (SD) daily step count of 390 (490) steps between
run-in and 10 weeks, compared with a decrease of 1350 (420) steps among control
participants (n=30; P=.03). The net difference in daily steps between the groups
was 960 steps (95% CI 90-1830 steps). Both groups had a decrease in daily step
count between run-in and 10 weeks because interventions were also provided during
run-in and no natural baseline was collected. CONCLUSIONS: The results showed the
short-term efficacy of this intervention, which should be formally evaluated in a
full-scale RCT with a longer follow-up period. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT02886871; https://clinicaltrials.gov/ct2/show/NCT02886871
(Archived by WebCite at http://www.webcitation.org/6wM1Be1Ng).
PMID- 29371178
TI - Using Mobile Health Intervention to Improve Secondary Prevention of Coronary
Heart Diseases in China: Mixed-Methods Feasibility Study.
AB - BACKGROUND: Coronary heart disease (CHD) is the leading cause of cardiovascular
mortality worldwide, yet implementation of evidence-based strategies for
secondary prevention remains suboptimal. OBJECTIVE: This study aimed to evaluate
the feasibility, specifically the usability and acceptability, and estimate the
preliminary effectiveness of a mobile health (mHealth) intervention targeting
both physicians and patients to improve adherence to evidence-based medications
and lifestyle modifications. METHODS: We conducted a 12-week pre-post
interventional pilot study at two sites in Shanghai and Hainan, China. Physicians
used the app designed in this study to prescribe evidence-based medicines and
record patient information. Eligible and consenting patients received automatic
text messages or voice calls 4 to 5 times per week for 12 weeks on medication
adherence and healthy behaviors. Interviews were conducted among 10 physicians
and 24 patients at the two sites for their thoughts on medication adherence and
feedback on the usability and acceptability. Questions on usability and
acceptability were also asked in a patient follow-up survey. With regard to
estimating effectiveness, the primary outcome was medication adherence (as
estimated by the Morisky Green Levine Scale) at 12 weeks. Secondary outcomes
included physical activity, smoking status, fruits and vegetables consumption,
and facility visit frequency. RESULTS: Interview findings and patient survey
showed the good usability and acceptability of the intervention. Among 190
patients who completed the intervention, there was a significant increase in
medication adherence (odds ratio [OR] 1.80, 95% CI 1.14-2.85). The study also
showed decrease of smokers' percentage (-5%, P=.05), increase of daily vegetables
consumption frequency (+0.3/day, P=.01), and community health care center visit
frequency (+3 in 3 months, P=.04). The following site-specific differences were
noted: medication adherence appeared to increase in Hainan (OR 14.68, 95% CI 5.20
41.45) but not in Shanghai (OR 0.61, 95% CI 0.33-1.12). CONCLUSIONS: Our study
demonstrated that the intervention was feasible in both a tertiary care center
and an urban community health center in China. Preliminary results from pre-post
comparison suggest the possibility that provider and patient-linked mHealth
interventions may improve medication adherence and lifestyle modifications among
CHD patients, especially in resource-scarce settings. Randomized controlled
trials are needed to verify the findings.
PMID- 29371180
TI - How Europe copes with flu.
PMID- 29371179
TI - A rash that's more than skin deep.
PMID- 29371181
TI - Ring1A and Ring1B inhibit expression of Glis2 to maintain murine MOZ-TIF2 AML
stem cells.
AB - Eradication of chemotherapy-resistant leukemia stem cells is expected to improve
treatment outcomes in patients with acute myelogenous leukemia (AML). In a mouse
model of AML expressing the MOZ-TIF2 fusion, we found that Ring1A and Ring1B,
components of Polycomb repressive complex 1, play crucial roles in maintaining
AML stem cells. Deletion of Ring1A and Ring1B (Ring1A/B) from MOZ-TIF2 AML cells
diminished self-renewal capacity and induced the expression of numerous genes,
including Glis2 Overexpression of Glis2 caused MOZ-TIF2 AML cells to
differentiate into mature cells, whereas Glis2 knockdown in Ring1A/B-deficient
MOZ-TIF2 cells inhibited differentiation. Thus, Ring1A/B regulate and maintain
AML stem cells in part by repressing Glis2 expression, which promotes their
differentiation. These findings provide new insights into the mechanism of AML
stem cell homeostasis and reveal novel targets for cancer stem cell therapy.
PMID- 29371182
TI - Failure of the private finance initiative.
PMID- 29371183
TI - Hypertension guideline brings challenges, patient care opportunities.
PMID- 29371184
TI - Study finds ED-based pharmacy personnel reduce drug-order error rates.
PMID- 29371185
TI - Pharmacy students in Puerto Rico stay positive despite hardships.
PMID- 29371186
TI - Robert D. Kerscher, founder of Lexi-Comp, dies at 69.
PMID- 29371187
TI - Time to stop skyrocketing drug costs.
PMID- 29371188
TI - Pharmacists in obstetrics.
PMID- 29371189
TI - Improving the quality of patient experience through student engagement.
PMID- 29371190
TI - Minimizing opioid use after acute major trauma.
AB - PURPOSE: Results of an initiative at an academic medical center to reduce
prescription opioid use in patients with acute traumatic injuries are reported.
METHODS: In 2014, the University of Kentucky Hospital trauma service implemented
a pain management strategy consisting of patient and provider education
emphasizing the use of nonopioid analgesics to minimize opioid use without
compromising analgesia effectiveness. To assess the impact of the initiative, a
retrospective analysis of data on cohorts of patients admitted with acute trauma
before (n = 489) and after (n = 424) project implementation was conducted. The
primary endpoint was opioid use (prescribed daily milligram morphine equivalents
[MME]) at discharge. Secondary endpoints included inpatient opioid and
alternative analgesic use, pain control, ileus development, length of stay, and
discharge disposition. RESULTS: Compared with the preintervention cohort, the
postintervention cohort had a lower median daily discharge MME overall (45 MME
versus 90 MME, p < 0.001); after stratification of MME data by baseline opioid
use, this finding held true only for patients with no opioid prescription at
admission. Although utilization of gabapentinoids, skeletal muscle relaxants, and
clonidine increased during the postintervention period, inpatient opioid use did
not differ significantly in the 2 cohorts. Utilization of both nonsteroidal
antiinflammatory drugs and acetaminophen was lower in the postintervention cohort
versus the preintervention cohort. CONCLUSION: Targeted provider and patient
education on minimizing opioid use was associated with a reduction in MME on
discharge from the hospital after traumatic injury.
PMID- 29371191
TI - Development and validation of a transitions-of-care pharmacist tool to predict
potentially avoidable 30-day readmissions.
AB - PURPOSE: A practical tool for predicting the risk of 30-day readmissions using
data readily available to pharmacists before hospital discharge is described.
METHODS: A retrospective cohort study to identify predictors of potentially
avoidable 30-day readmissions was conducted using transitions-of-care pharmacy
notes and electronic medical record data from a large health system. Through
univariate and multivariable logistic regression analyses of factors associated
with unplanned readmissions in the study cohort (n = 690) over a 22-month period,
a risk prediction tool was developed. The tool's discriminative ability was
assessed using the C statistic; its calibration was assessed using the Hosmer
Lemeshow goodness-of-fit test. RESULTS: Three factors predictive of readmission
risk were identified; these variables-medication count, comobidity count, and
health insurance status at discharge-form the 3-predictor MEDCOINS score. Among
patients identified as being at high risk for readmission using the MEDCOINS
tool, the estimated readmission risk was 22.5%, as compared with an observed
readmission rate of 21.9%. The discriminatory performance of MEDCOINS scoring was
fair (C statistic = 0.65 [95% confidence interval, 0.60-0.70]), with good
calibration (Hosmer-Lemeshow p = 0.99). CONCLUSION: Among a cohort of patients
who were seen by a transitions-of-care pharmacist during an inpatient
hospitalization, comorbidity burden, number of medications, and health insurance
coverage were most predictive of 30-day readmission. The MEDCOINS tool was found
to have fair discriminative ability and good calibration.
PMID- 29371192
TI - Novel approach to vancomycin level monitoring: Impact of a multidisciplinary
monitoring system on timing of vancomycin levels.
AB - PURPOSE: A novel approach to vancomycin level monitoring is described. METHODS:
Vancomycin trough orders were added to the medication ordering system of a large
teaching hospital and were generated when vancomycin was ordered. Pharmacists
adjusted the order time so that the level was drawn appropriately. After
pharmacist validation, the trough order appeared within the nursing medication
list, and nurses were required to document when the level was drawn. Outcomes
were evaluated before (retrospective group) and after (prospective group)
implementation of this initiative. RESULTS: Among all patients for whom a
vancomycin level was drawn, 24.0% of patients in the retrospective group had
their first vancomycin level drawn within 2 hours of true trough, compared with
87.2% of patients in the prospective group (p < 0.0001). Among all patients
receiving vancomycin, significantly more patients in the prospective group had a
level drawn within 2 hours of the true trough compared with the retrospective
group (71.9% versus 20.6%, p < 0.0001). Further, significantly more patients in
the prospective group had a vancomycin level ordered compared with the
retrospective group (100.0% versus 90.8%, p < 0.0001). The mean +/- S.D. time
from true trough that vancomycin levels were drawn was much longer in the
retrospective group (184.9 +/- 84.8 minutes versus 58.3 +/- 60.7 minutes in the
prospective group, p < 0.0001). CONCLUSION: A novel multidisciplinary approach to
vancomycin trough monitoring involving automatic generation of trough orders,
pharmacist validation of trough orders, and inclusion of trough orders in the
nursing medication administration record was successful in significantly
improving timing of vancomycin trough levels.
PMID- 29371193
TI - Errors detected in pediatric oral liquid medication doses prepared in an
automated workflow management system.
AB - PURPOSE: The effectiveness of barcode-assisted medication preparation (BCMP)
technology on detecting oral liquid dose preparation errors. METHODS: From June
1, 2013, through May 31, 2014, a total of 178,344 oral doses were processed at
Children's Mercy, a 301-bed pediatric hospital, through an automated workflow
management system. Doses containing errors detected by the system's barcode
scanning system or classified as rejected by the pharmacist were further
reviewed. Errors intercepted by the barcode-scanning system were classified as
(1) expired product, (2) incorrect drug, (3) incorrect concentration, and (4)
technological error. Pharmacist-rejected doses were categorized into 6 categories
based on the root cause of the preparation error: (1) expired product, (2)
incorrect concentration, (3) incorrect drug, (4) incorrect volume, (5)
preparation error, and (6) other. RESULTS: Of the 178,344 doses examined, 3,812
(2.1%) errors were detected by either the barcode-assisted scanning system (1.8%,
n = 3,291) or a pharmacist (0.3%, n = 521). The 3,291 errors prevented by the
barcode-assisted system were classified most commonly as technological error and
incorrect drug, followed by incorrect concentration and expired product. Errors
detected by pharmacists were also analyzed. These 521 errors were most often
classified as incorrect volume, preparation error, expired product, other,
incorrect drug, and incorrect concentration. CONCLUSION: BCMP technology detected
errors in 1.8% of pediatric oral liquid medication doses prepared in an automated
workflow management system, with errors being most commonly attributed to
technological problems or incorrect drugs. Pharmacists rejected an additional
0.3% of studied doses.
PMID- 29371194
TI - Development and implementation of a centralized comprehensive refill
authorization program in an academic health system.
AB - PURPOSE: The development and implementation of a centralized, comprehensive,
refill authorization (CCRA) program within an academic health system are
described. SUMMARY: In order to improve continuity of care for our medically
complicated patients, ambulatory pharmacy services developed and implemented a
highly coordinated CCRA program for multiple community clinics within the system.
To implement the CCRA program, we centralized the refill-request intake process
to the Pharmacy Ambulatory Clinical Care Center (PAC3). PAC3 technicians were
incorporated into the refill authorization process, and the collaborative
practice agreement was updated to standardize the pharmacist refill approval
process at the PAC3. We successfully standardized and centralized the medication
refill process from 10 community clinics with 95 family practice and internal
medicine providers and 26 medical residents. We handled an average of 12,000
refill requests each month, and pharmacists documented any interventions
performed with each refill request. The cost savings associated with the CCRA
program were attributed to the increased efficiency of the staff reviewing refill
requests. The CCRA program increased the refill approval up to 10% compared with
the previous decentralized refill authorization model. With the increased
approval rate, the CCRA program saved 510 hours of our providers' time annually,
which created time for an additional 1,530 clinic visits. We demonstrated a
faster average turnaround time for refill authorization, from an average of 72
hours before implementation to about 1 business day. CONCLUSION: Implementation
of an integrated refill authorization service standardized the method by which
patients' refill requests were addressed, increased refill efficiency, and
improved refill authorization turnaround time.
PMID- 29371195
TI - Clinical support role for a pharmacy technician within a primary care resource
center.
AB - PURPOSE: The creation of a clinical support role for a pharmacy technician within
a primary care resource center is described. SUMMARY: In the Primary Care
Resource Center (PCRC) Project, hospital-based care transition coordination hubs
staffed by nurses and pharmacist teams were created in 6 independent community
hospitals. At the largest site, patient volume for targeted diseases challenged
the ability of the PCRC pharmacist to provide expected elements of care to
targeted patients. Creation of a new pharmacy technician clinical support role
was implemented as a cost-effective option to increase the pharmacist's
efficiency. The pharmacist's work processes were reviewed and technical functions
identified that could be assigned to a specially trained pharmacy technician
under the direction of the PCRC pharmacist. Daily tasks performed by the pharmacy
technician included maintenance of the patient roster and pending discharges,
retrieval and documentation of pertinent laboratory and diagnostic test
information from the patient's medical record, assembly of patient medication
education materials, and identification of discrepancies between disparate
systems' medication records. In the 6 months after establishing the PCRC pharmacy
technician role, the pharmacist's completion of comprehensive medication reviews
(CMRs) for target patients increased by 40.5% (p = 0.0223), driven largely by a
42.4% (p < 0.0001) decrease in the time to complete each chart review.
CONCLUSION: The addition of a pharmacy technician to augment pharmacist care in a
PCRC team extended the reach of the pharmacist and allowed more time for the
pharmacist to engage patients. Technician support enabled the pharmacist to
complete more CMRs and reduced the time required for chart reviews.
PMID- 29371196
TI - Hail to the radicals who founded ASHP.
PMID- 29371197
TI - ASHP Award for Distinguished Leadership.
PMID- 29371198
TI - ASHP/Association of Black Health-System Pharmacists Joint Leadership Award.
PMID- 29371199
TI - Empathy and ethics: five minutes with Edzard Ernst.
PMID- 29371200
TI - Lipid Testing and Statin Dosing After Acute Myocardial Infarction.
AB - BACKGROUND: The 2013 American College of Cardiology/American Heart Association
cholesterol guidelines recommend high-intensity statins for patients after
myocardial infarction (MI) rather than treating to a low-density lipoprotein
cholesterol goal, as the previous ATP III (Adult Treatment Panel third report)
guidelines had advised. METHODS AND RESULTS: To evaluate the frequency of
postdischarge lipid testing and high-intensity statin use among MI patients
discharged on a statin during the ATP III guidelines era, we linked ACTION (Acute
Coronary Treatment and Intervention Outcomes Network) Registry data to Medicare
claims for 11 046 MI patients aged >=65 years who were discharged alive on a
statin from 347 hospitals (2007-2009). Multivariable regression was used to
evaluate the association between lipid testing and 1-year high-intensity statin
use. Only 21% of MI patients were discharged on a high-intensity statin. By 90
days after MI, 44% of patients discharged on a statin underwent lipid testing
(43% on low- or moderate-intensity statins and 49% on high-intensity statins;
P=0.001). Follow-up lipid testing rates were 47% among patients with in-hospital
low-density lipoprotein cholesterol >=100 mg/dL and 47% among newly prescribed
statin recipients. By 1 year, only 14% of patients were on high-intensity
statins. Only 4% of patients discharged on low- or moderate-dose statin were
uptitrated to high intensity; postdischarge lipid testing was associated with a
slightly higher likelihood of high-intensity statin use by 1 year (5.4% versus
2.9%, adjusted odds ratio: 1.92; 95% confidence interval, 1.52-2.41).
CONCLUSIONS: Previous guidelines recommended low-density lipoprotein cholesterol
goal-directed statin therapy, but lipid testing and high-intensity statin use
were infrequent after MI. The American College of Cardiology/American Heart
Association guidelines may promote more intensive cardiovascular risk reduction
by eliminating treatment dependence on lipid testing.
PMID- 29371201
TI - Nonmuscle Myosin Light Chain Kinase: A Key Player in Intermittent Hypoxia-Induced
Vascular Alterations.
AB - BACKGROUND: Obstructive sleep apnea is characterized by repetitive pharyngeal
collapses during sleep, leading to intermittent hypoxia (IH), the main
contributor of obstructive sleep apnea-related cardiovascular morbidity. In
patients and rodents with obstructive sleep apnea exposed to IH, vascular
inflammation and remodeling, endothelial dysfunction, and circulating
inflammatory markers are linked with IH severity. The nonmuscle myosin light
chain kinase (nmMLCK) isoform contributes to vascular inflammation and oxidative
stress in different cardiovascular and inflammatory diseases. Thus, in the
present study, we hypothesized that nmMLCK plays a key role in the IH-induced
vascular dysfunctions and inflammatory remodeling. METHODS AND RESULTS: Twelve
week-old nmMLCK+/+ or nmMLCK-/- mice were exposed to 14-day IH or normoxia. IH
was associated with functional alterations characterized by an elevation of
arterial blood pressure and stiffness and perturbations of NO signaling. IH
caused endothelial barrier dysfunction (ie, reduced transendothelial resistance
in vitro) and induced vascular oxidative stress associated with an inflammatory
remodeling, characterized by an increased intima-media thickness and an increased
expression and activity of inflammatory markers, such as interferon-gamma and
nuclear factor-kappaB, in the vascular wall. Interestingly, nmMLCK deletion
prevented all IH-induced functional and structural alterations, including the
restoration of NO signaling, correction of endothelial barrier integrity, and
reduction of both oxidative stress and associated inflammatory response.
CONCLUSIONS: nmMLCK is a key mechanism in IH-induced vascular oxidative stress
and inflammation and both functional and structural remodeling.
PMID- 29371202
TI - Complement activation predicts adverse pregnancy outcome in patients with
systemic lupus erythematosus and/or antiphospholipid antibodies.
AB - OBJECTIVE: Studies in mouse models implicate complement activation as a causative
factor in adverse pregnancy outcomes (APOs). We investigated whether activation
of complement early in pregnancy predicts APOs in women with systemic lupus
erythematosus (SLE) and/or antiphospholipid (aPL) antibodies. METHODS: The
PROMISSE Study enrolled pregnant women with SLE and/or aPL antibodies (n=487) and
pregnant healthy controls (n=204) at <12 weeks gestation and evaluated them
monthly. APOs were: fetal/neonatal death, preterm delivery <36 weeks because of
placental insufficiency or preeclampsia and/or growth restriction <5th
percentile. Complement activation products were measured on serial blood samples
obtained at each monthly visit. RESULTS: APO occurred in 20.5% of SLE and/or aPL
pregnancies. As early as 12-15 weeks, levels of Bb and sC5b-9 were significantly
higher in patients with APOs and remained elevated through 31 weeks compared with
those with normal outcomes. Moreover, Bb and sC5b-9 were significantly higher in
patients with SLE and/or aPL without APOs compared with healthy controls. In
logistic regression analyses, Bb and sC5b-9 at 12-15 weeks remained significantly
associated with APO (ORadj=1.41 per SD increase; 95% CI 1.06 to 1.89; P=0.019 and
ORadj=1.37 per SD increase; 95% CI 1.05 to 1.80; P=0.022, respectively) after
controlling for demographic and clinical risk factors for APOs in PROMISSE. When
analyses were restricted to patients with aPL (n=161), associations between Bb at
12-15 weeks and APOs became stronger (ORadj=2.01 per SD increase; 95% CI 1.16 to
3.49; P=0.013). CONCLUSION: In pregnant patients with SLE and/or aPL, increased
Bb and sC5b-9 detectable early in pregnancy are strongly predictive of APOs and
support activation of complement, particularly the alternative pathway, as a
contributor to APOs.
PMID- 29371204
TI - Association between bisphosphonate use and risk of undergoing knee replacement in
patients with osteoarthritis.
PMID- 29371203
TI - Detection of myositis-specific antibodies.
PMID- 29371205
TI - Health staff are more likely to question effectiveness of the flu vaccine.
PMID- 29371206
TI - Peripheral T-cell lymphoma: novel backbone.
PMID- 29371207
TI - TP53 mutations: the dawn of Shwachman clones.
PMID- 29371208
TI - COOH-terminal SAA1 peptides fail to induce chemokines but synergize with CXCL8
and CCL3 to recruit leukocytes via FPR2.
AB - A natural leukocyte chemoattractant was isolated from bovine serum by an
established 4-step purification procedure. Based on its relative molecular mass
of 7287 and NH2-terminal sequence, the protein was identified as a carboxy
terminal peptide of the acute phase protein serum amyloid A1 (SAA1). This SAA1(46
112) fragment and its human equivalent SAA1(47-104) were chemically synthesized.
Unlike intact SAA1alpha, these SAA fragments failed to directly chemoattract
neutrophils and monocytes, to induce chemokines, and to stimulate downstream
extracellular signal-regulated kinase signaling in monocytes. However, the SAA
fragments potently synergized with CCL3 to induce monocyte migration and with
CXCL8 to stimulate neutrophil shape changes and chemotaxis. Unlike intact
SAA1alpha, SAA1(46-112) did not induce CXCL6 ex vivo but provoked a cooperative
intraperitoneal neutrophil recruitment in mice when coinjected with CXCL6 into
the peritoneal cavity. Moreover, SAA1(47-104) desensitized the synergy between
intact SAA1alpha and CXCL8 in neutrophil chemotaxis, suggesting that this peptide
binds formyl peptide receptor 2 (FPR2). This was evidenced by a complete blockade
of synergy between the COOH-terminal SAA1 fragments and CXCL8 or CCL3 in
neutrophil and monocyte chemotaxis, respectively, by the FPR2 antagonist WRW4
Thus, SAA1 is degraded into fragments lacking chemokine-inducing capacity, while
keeping synergy with cytokine-induced chemokines to sustain limited inflammation.
PMID- 29371209
TI - A pernicious mean corpuscular volume.
PMID- 29371211
TI - Research ethics for emerging trial designs: does equipoise need to adapt?
PMID- 29371212
TI - Building an evidence base for the primary care workforce.
PMID- 29371213
TI - Letter by Kuebler and Friedberg Regarding Article, "Pulmonary Artery Denervation
by Determining Targeted Ablation Sites for Treatment of Pulmonary Arterial
Hypertension".
PMID- 29371214
TI - Response by Fujisawa et al to Letter Regarding Article, "Pulmonary Artery
Denervation by Determining Targeted Ablation Sites for Treatment of Pulmonary
Arterial Hypertension".
PMID- 29371215
TI - Targeting Chondroitin Sulfate Glycosaminoglycans to Treat Cardiac Fibrosis in
Pathological Remodeling.
AB - BACKGROUND: Heart failure is a leading cause of mortality and morbidity, and the
search for novel therapeutic approaches continues. In the monogenic disease
mucopolysaccharidosis VI, loss-of-function mutations in arylsulfatase B lead to
myocardial accumulation of chondroitin sulfate (CS) glycosaminoglycans,
manifesting as myriad cardiac symptoms. Here, we studied changes in myocardial CS
in nonmucopolysaccharidosis failing hearts and assessed its generic role in
pathological cardiac remodeling. METHODS: Healthy and diseased human and rat left
ventricles were subjected to histological and immunostaining methods to analyze
glycosaminoglycan distribution. Glycosaminoglycans were extracted and analyzed
for quantitative and compositional changes with Alcian blue assay and liquid
chromatography-mass spectrometry. Expression changes in 20 CS-related genes were
studied in 3 primary human cardiac cell types and THP-1-derived macrophages under
each of 9 in vitro stimulatory conditions. In 2 rat models of pathological
remodeling induced by transverse aortic constriction or isoprenaline infusion,
recombinant human arylsulfatase B (rhASB), clinically used as enzyme replacement
therapy in mucopolysaccharidosis VI, was administered intravenously for 7 or 5
weeks, respectively. Cardiac function, myocardial fibrosis, and inflammation were
assessed by echocardiography and histology. CS-interacting molecules were
assessed with surface plasmon resonance, and a mechanism of action was verified
in vitro. RESULTS: Failing human hearts displayed significant perivascular and
interstitial CS accumulation, particularly in regions of intense fibrosis.
Relative composition of CS disaccharides remained unchanged. Transforming growth
factor-beta induced CS upregulation in cardiac fibroblasts. CS accumulation was
also observed in both the pressure-overload and the isoprenaline models of
pathological remodeling in rats. Early treatment with rhASB in the transverse
aortic constriction model and delayed treatment in the isoprenaline model proved
rhASB to be effective at preventing cardiac deterioration and augmenting
functional recovery. Functional improvement was accompanied by reduced myocardial
inflammation and overall fibrosis. Tumor necrosis factor-alpha was identified as
a direct binding partner of CS glycosaminoglycan chains, and rhASB reduced tumor
necrosis factor-alpha-induced inflammatory gene activation in vitro in
endothelial cells and macrophages. CONCLUSIONS: CS glycosaminoglycans accumulate
during cardiac pathological remodeling and mediate myocardial inflammation and
fibrosis. rhASB targets CS effectively as a novel therapeutic approach for the
treatment of heart failure.
PMID- 29371218
TI - Fever in the returning traveller.
PMID- 29371216
TI - Bmal1 in Perivascular Adipose Tissue Regulates Resting-Phase Blood Pressure
Through Transcriptional Regulation of Angiotensinogen.
AB - BACKGROUND: The perivascular adipose tissue (PVAT) surrounding vessels
constitutes a distinct functional integral layer of the vasculature required to
preserve vascular tone under physiological conditions. However, there is little
information on the relationship between PVAT and blood pressure regulation,
including its potential contributions to circadian blood pressure variation.
METHODS: Using unique brown adipocyte-specific aryl hydrocarbon receptor nuclear
translocator-like protein 1 (Bmal1) and angiotensinogen knockout mice, we
determined the vasoactivity of homogenized PVAT in aortic rings and how brown
adipocyte peripheral expression of Bmal1 and angiotensinogen in PVAT regulates
the amplitude of diurnal change in blood pressure in mice. RESULTS: We uncovered
a peripheral clock in PVAT and demonstrated that loss of Bmal1 in PVAT reduces
blood pressure in mice during the resting phase, leading to a superdipper
phenotype. PVAT extracts from wild-type mice significantly induced contractility
of isolated aortic rings in vitro in an endothelium-independent manner. This
property was impaired in PVAT from brown adipocyte-selective Bmal1-deficient (BA
Bmal1-KO) mice. The PVAT contractile properties were mediated by local
angiotensin II, operating through angiotensin II type 1 receptor-dependent
signaling in the isolated vessels and linked to PVAT circadian regulation of
angiotensinogen. Indeed, angiotensinogen mRNA and angiotensin II levels in PVAT
of BA-Bmal1-KO mice were significantly reduced. Systemic infusion of angiotensin
II, in turn, reduced Bmal1 expression in PVAT while eliminating the hypotensive
phenotype during the resting phase in BA-Bmal1-KO mice. Angiotensinogen, highly
expressed in PVAT, shows circadian expression in PVAT, and selective deletion of
angiotensinogen in brown adipocytes recapitulates the phenotype of selective
deletion of Bmal1 in brown adipocytes. Furthermore, angiotensinogen is a
transcriptional target of Bmal1 in PVAT. CONCLUSIONS: These data indicate that
local Bmal1 in PVAT regulates angiotensinogen expression and the ensuing increase
in angiotensin II, which acts on smooth muscle cells in the vessel walls to
regulate vasoactivity and blood pressure in a circadian fashion during the
resting phase. These findings will contribute to a better understanding of the
cardiovascular complications of circadian disorders, alterations in the circadian
dipping phenotype, and cross-talk between systemic and peripheral regulation of
blood pressure.
PMID- 29371217
TI - Managing migraine in pregnancy.
PMID- 29371219
TI - Gemin4 is an essential gene in mice, and its overexpression in human cells causes
relocalization of the SMN complex to the nucleoplasm.
AB - Gemin4 is a member of the Survival Motor Neuron (SMN) protein complex, which is
responsible for the assembly and maturation of Sm-class small nuclear
ribonucleoproteins (snRNPs). In metazoa, Sm snRNPs are assembled in the cytoplasm
and subsequently imported into the nucleus. We previously showed that the SMN
complex is required for snRNP import in vitro, although it remains unclear which
specific components direct this process. Here, we report that Gemin4
overexpression drives SMN and the other Gemin proteins from the cytoplasm into
the nucleus. Moreover, it disrupts the subnuclear localization of the Cajal body
marker protein, coilin, in a dose-dependent manner. We identified three putative
nuclear localization signal (NLS) motifs within Gemin4, one of which is necessary
and sufficient to direct nuclear import. Overexpression of Gemin4 constructs
lacking this NLS sequestered Gemin3 and, to a lesser extent Gemin2, in the
cytoplasm but had little effect on the nuclear accumulation of SMN. We also
investigated the effects of Gemin4 depletion in the laboratory mouse, Mus
musculusGemin4 null mice die early in embryonic development, demonstrating that
Gemin4 is an essential mammalian protein. When crossed onto a severe SMA mutant
background, heterozygous loss of Gemin4 failed to modify the early postnatal
mortality phenotype of SMA type I (Smn-/-;SMN2+/+ ) mice. We conclude that Gemin4
plays an essential role in mammalian snRNP biogenesis, and may facilitate import
of the SMN complex (or subunits thereof) into the nucleus.
PMID- 29371220
TI - Ethnic Differences in Prevalence of Post-stroke Depression.
AB - BACKGROUND: Little is known about ethnic differences in poststroke depression
(PSD) in the United States. This study aims to estimate the prevalence of PSD at
90 days after first-ever stroke and to examine ethnic differences in the
prevalence between Mexican Americans (MAs) and non-Hispanic whites (NHWs).
METHODS AND RESULTS: Stroke cases from 2011 to 2015 were identified from the
BASIC project (Brain Attack Surveillance in Corpus Christi)-a population-based
stroke surveillance study in south Texas. Participants were interviewed at the
onset of stroke (baseline interview) and ~90 days post-stroke (outcome
interview). PSD was assessed by the Patient Health Questionnaire-8. Inverse
probability weights were generated to account for differential attrition, and
weighted logistic regression was used to investigate the association between
ethnicity and PSD. The study sample consisted of 586 first-ever stroke patients
who completed nonproxy baseline and outcome interviews and had depression
assessment. Approximately, 60% of them were MAs, and 40% were NHWs. After
accounting for attrition, the prevalence of depression at 90 days post-stroke was
30.4% for MAs (95% confidence interval, 25.0%-35.9%) and 20.7% for NHWs (95%
confidence interval, 15.7%-25.7%). The crude odds of PSD in MAs was 1.69 times
greater than that in NHWs (95% confidence interval, 1.13-2.51). The odds ratio
decreased by 23.6% after adjustment for education (odds ratio, 1.29; 95%
confidence interval, 0.82-2.02) and was further attenuated with additional
adjustment for other covariates. CONCLUSIONS: MAs had a higher prevalence of PSD
at 90 days than NHWs. The ethnic difference was explained by sociodemographic and
health factors, especially low educational attainment.
PMID- 29371221
TI - Bringing Vioxx back to market.
PMID- 29371222
TI - Programme frequency, type, time and duration do not explain the effects of
balance exercise in older adults: a systematic review with a meta-regression
analysis.
AB - OBJECTIVE: The objective of this systematic review was to examine the effects of
different balance exercise interventions compared with non-balance exercise
controls on balance task performance in older adults. DESIGN: Systematic review.
DATA SOURCES: Medline, Cumulative Index to Nursing and Allied Health Literature,
EMBASE, Scopus and Cochrane Database of Systematic Reviews were searched until
July 2017. ELIGIBILITY CRITERIA FOR SELECTING STUDIES: Systematic reviews and
meta-analyses of randomised trials of balance exercise interventions for older
adults were identified for extraction of eligible randomised trials. Eligibility
criteria for inclusion of randomised trials in meta-analyses were comparison of a
balance exercise intervention with a control group that did not perform balance
exercises, report of at least one end-intervention balance outcome measurement
that was consistent with the five subgroups of balance exercise identified, and
full-text article available in English. RESULTS: Ninety-five trials were included
in meta-analyses and 80 in meta-regressions. For four balance exercise types
(control centre of mass, multidimensional, mobility and reaching), significant
effects for balance exercise interventions were found in meta-analyses
(standardised mean difference (SMD) 0.31-0.50), however with considerable
heterogeneity in observed effects (I2: 50.4%-80.6%). Risk of bias assessments
(Physiotherapy Evidence Database score and funnel plots) did not explain
heterogeneity. One significant relationship identified in the meta-regressions of
SMD and balance exercise frequency, time and duration explained 2.1% of variance
for the control centre of mass subgroup. CONCLUSION: Limitations to this study
included the variability in design of balance interventions, incomplete reporting
of data and statistical heterogeneity. The design of balance exercise programmes
provides inadequate explanation of the observed benefits of these interventions.
PMID- 29371223
TI - Broad-spectrum physical fitness benefits of recreational football: a systematic
review and meta-analysis.
AB - BACKGROUND: A previous meta-analysis showed that maximal oxygen uptake increased
by 3.51 mL/kg/min (95% CI 3.07 to 4.15) during a recreational football programme
of 3-6 months in comparison with continuous moderate-intensity running, strength
training or a passive control group. In addition, narrative reviews have
demonstrated beneficial effects of recreational football on physical fitness and
health status. OBJECTIVE: The purpose of this systematic review and meta-analysis
was to evaluate the magnitude of effects of recreational football on blood
pressure, body composition, lipid profile and muscular fitness with reference to
age, gender and health status. DESIGN: Systematic review and meta-analysis. DATA
SOURCES: MEDLINE, PubMed, SPORTDiscus, Web of Science, Cumulative Index to
Nursing and Allied Health Literature (CINAHL) and Google Scholar were searched
prior to 1 February 2017. In addition, Google Scholar alerts were set up in
January 2012 to identify potential papers with the following key terms:
recreational football, recreational soccer, street football and street soccer.
ELIGIBILITY CRITERIA FOR SELECTING STUDIES: Randomised and matched controlled
trials with participants allocated to a recreational football group or any other
type of exercises or passive control group were included. Training programmes had
to last at least 2 weeks to meet the inclusion criteria. The primary outcome
measures were blood pressure, resting heart rate, body composition, muscular
fitness, and blood lipids and glucose tolerance. A total of 31 papers met the
inclusion criteria and were included. RESULTS: The effect of recreational
football on systolic blood pressure (SBP) versus no-exercise controls was most
likely extremely largely beneficial (effect size (ES)=4.20 mm Hg; 95% CI 1.87 to
6.53). In addition, a most likely very large beneficial (ES=3.89 mm Hg; 95% CI
2.33 to 5.44) effect was observed for diastolic blood pressure (DBP), when
compared with non-active groups. Furthermore, a most likely extremely large
beneficial effect was shown for SBP and DBP in participants with mild
hypertension (11 and 7 mm Hg decrease, respectively) and participants with
prehypertension (10 and 7 mm Hg decrease, respectively). Meta-analysis of
recreational football determined the impact on resting heart rate as most likely
extremely largely beneficial (ES=6.03 beats/min; 95% CI 4.43 to 7.64) when
compared with non-active groups. The observed recreational football effect on fat
mass was most likely largely beneficial (ES=1.72 kg; 95% CI 0.86 to 2.58) and the
effect on countermovement jump (CMJ) performance was most likely very largely
beneficial (ES=2.27 cm; 95% CI 1.29 to 3.25) when compared with non-active
groups. Possibly beneficial decreases were found in low-density lipoprotein
levels (ES=0.21 mmol/L; 95% CI 0.06 to 0.36). Possibly largely beneficial effect
was observed for DBP in comparison with continuous running training. Small
harmful and unclear results were noted for SBP, fat and lean body mass, body mass
index, as well as muscular fitness when compared with running and Zumba training.
CONCLUSION: The present meta-analysis demonstrated multiple broad-spectrum
benefits of recreational football on health-related physical fitness compared
with no-exercise controls, including improvements in blood pressure, resting
heart rate, fat mass, low-density lipoprotein cholesterol and CMJ performance.
Additionally, recreational football is efficient and effective as Zumba and
continuous running exercise regimens with highlighted social, motivational and
competitive components.
PMID- 29371224
TI - Hip and groin pain in athletes: morphology, function and injury from a clinical
perspective.
PMID- 29371225
TI - Throw Like a Pro V.2.0 mobile app review.
PMID- 29371226
TI - STINGing Antitumor Immunity into Action.
AB - Efforts to stimulate STING signaling, thereby turning immunologically "cold"
tumors "hot" and receptive to immunotherapy, are gaining ground in the industry.
To date, directly targeting the STING receptor with synthetic ligands is the main
approach to have entered clinical trials, but indirect small-molecule modulators
of the pathway are also being pursued.
PMID- 29371227
TI - Erratum for Pfaller et al., "In Vitro Activity of Delafloxacin against
Contemporary Bacterial Pathogens from the United States and Europe, 2014".
PMID- 29371228
TI - Erratum for Liu et al., "Plasmid-Mediated Novel blaNDM-17 Gene Encoding a
Carbapenemase with Enhanced Activity in a Sequence Type 48 Escherichia coli
Strain".
PMID- 29371229
TI - Erratum for Dutta et al., "Metformin Adjunctive Therapy Does Not Improve the
Sterilizing Activity of the First-Line Antitubercular Regimen in Mice".
PMID- 29371230
TI - Rapid Uptake of the Subcutaneous Injectable in Burkina Faso: Evidence From
PMA2020 Cross-Sectional Surveys.
AB - The subcutaneous (SC) injectable, widely known by its commercial name Sayana
Press, has potential to improve access to contraceptive methods. In Burkina Faso,
SC-injectables were first piloted in select regions in 2014 and introduced
nationally in 2016. PMA2020 is the first national survey to track programmatic
progress of SC-injectable introduction at both population and health facility
levels in the country across 2 rounds of data collection: March-May 2016 and
November 2016-January 2017. Over this 6-month period, SC-injectable availability
at public service delivery points increased from 50% to 85%, largely driven by
increases in availability among the non-pilot regions. In terms of use, while the
modern contraceptive prevalence rate among all women remained constant at about
23%, SC-injectable prevalence nearly doubled from 1.1% to 2.0%, making up
approximately 9% of all modern method users in Burkina Faso by late 2016, though
the difference was not statistically significant. Increases were comparable
between pilot and non-pilot regions. While the difference was not statistically
significant, more rural women were using the method compared with their urban
counterparts in the pilot regions, an interesting finding considering the
opposite pattern is generally true for contraceptive prevalence nationally. In
summary, following national scale-up, data show substantially improved
availability of SC-injectables at service delivery points and potential for
changes in the method mix in Burkina Faso. In order to further improve
contraceptive access and choice, scale-up of community-based distribution of SC
injectables should be considered, especially among rural populations with higher
unmet need for family planning.
PMID- 29371231
TI - A Q&A with the Qualcomm Tricorder XPRIZE Winners.
PMID- 29371233
TI - Investigation into the Mechanism of Homo- and Heterodimerization of Angiotensin
Converting Enzyme.
AB - Angiotensin-converting enzyme (ACE) plays a central role in the renin-angiotensin
system (RAS), which is primarily responsible for blood pressure homeostasis.
Studies have shown that ACE inhibitors yield cardiovascular benefits that cannot
be entirely attributed to the inhibition of ACE catalytic activity. It is
possible that these benefits are due to interactions between ACE and RAS
receptors that mediate the protective arm of the RAS, such as angiotensin II
receptor type 2 (AT2R) and the receptor MAS. Therefore, in this study, we
investigated the molecular interactions of ACE, including ACE homodimerization
and heterodimerization with AT2R and MAS, respectively. Molecular interactions
were assessed by fluorescence resonance energy transfer and bimolecular
fluorescence complementation in human embryonic kidney 293 cells and Chinese
hamster ovary-K1 cells transfected with vectors encoding fluorophore-tagged
proteins. The specificity of dimerization was verified by competition experiments
using untagged proteins. These techniques were used to study several potential
requirements for the germinal isoform of angiotensin-converting enzyme expressed
in the testes (tACE) dimerization as well as the effect of ACE inhibitors on both
somatic isoforms of angiotensin-converting enzyme expressed in the testes (sACE)
and tACE dimerization. We demonstrated constitutive homodimerization of sACE and
of both of its domains separately, as well as heterodimerization of both sACE and
tACE with AT2R, but not MAS. In addition, we investigated both soluble sACE and
the sACE N domain using size-exclusion chromatography-coupled small-angle X-ray
scattering and we observed dimers in solution for both forms of the enzyme. Our
results suggest that ACE homo- and heterodimerization does occur under
physiologic conditions.
PMID- 29371232
TI - MARCH1 protects the lipid raft and tetraspanin web from MHCII proteotoxicity in
dendritic cells.
AB - Dendritic cells (DCs) produce major histocompatibility complex II (MHCII) in
large amounts to function as professional antigen presenting cells.
Paradoxically, DCs also ubiquitinate and degrade MHCII in a constitutive manner.
Mice deficient in the MHCII-ubiquitinating enzyme membrane-anchored RING-CH1, or
the ubiquitin-acceptor lysine of MHCII, exhibit a substantial reduction in the
number of regulatory T (Treg) cells, but the underlying mechanism was unclear.
Here we report that ubiquitin-dependent MHCII turnover is critical to maintain
homeostasis of lipid rafts and the tetraspanin web in DCs. Lack of MHCII
ubiquitination results in the accumulation of excessive quantities of MHCII in
the plasma membrane, and the resulting disruption to lipid rafts and the
tetraspanin web leads to significant impairment in the ability of DCs to engage
and activate thymocytes for Treg cell differentiation. Thus, ubiquitin-dependent
MHCII turnover represents a novel quality-control mechanism by which DCs maintain
homeostasis of membrane domains that support DC's Treg cell-selecting function.
PMID- 29371235
TI - SRT2104 attenuates diabetes-induced aortic endothelial dysfunction via inhibition
of P53.
AB - Endothelial dysfunction contributes to diabetic macrovascular complications.
Sirtuin 1 (SIRT1) protects against diabetic vasculopathy. SRT2104 is a novel
SIRT1 activator and was not previously studied for its effects on diabetes
induced aortic endothelial dysfunction. Additionally, whether or to what extent
deacetylation of P53, a substrate of SIRT1, is required for the effects of SIRT1
activation was unclear, given the fact that SIRT1 has multiple targets. Moreover,
little was known about the pathogenic role of P53 in diabetes-induced aortic
injury. To these ends, diabetes was induced by streptozotocin in C57BL/6 mice.
The diabetic mice developed enhanced aortic contractility, oxidative stress,
inflammation, P53 hyperacetylation and a remarkable decrease in SIRT1 protein,
the effects of which were rescued by SRT2104. In HG-treated endothelial cells
(ECs), P53 siRNA and SRT2104 produced similar effects on the induction of SIRT1
and the inhibition of P53 acetylation, oxidative stress and inflammation.
Interestingly, SRT2104 failed to further enhance these effects in the presence of
P53 siRNA. Moreover, P53 activation by nutlin3a completely abolished SRT2104's
protection against HG-induced oxidative stress and inflammation. Further, forced
activation of P53 by nutlin3a increased aortic contractility in the healthy mice
and generated endothelial oxidative stress and inflammation in both the normal
glucose-cultured ECs and the aortas of the healthy mice. Collectively, the
present study demonstrates that P53 deacetylation predominantly mediates
SRT2104's protection against diabetes-induced aortic endothelial dysfunction and
highlights the pathogenic role of P53 in aortic endothelial dysfunction.
PMID- 29371234
TI - Disordered Eating Behaviors Are Not Increased by an Intervention to Improve Diet
Quality but Are Associated With Poorer Glycemic Control Among Youth With Type 1
Diabetes.
AB - OBJECTIVE: This study examines whether participation in an 18-month behavioral
intervention shown previously to improve overall diet quality inadvertently
increases disordered eating behaviors (DEBs) in youth with type 1 diabetes and
investigates the association of DEB with multiple measures of glycemic control
and variability. RESEARCH DESIGN AND METHODS: Participants reported DEB and
diabetes management at baseline and 6, 12, and 18 months; masked continuous
glucose monitoring, HbA1c, and 1,5-anhydroglucitol (1,5-AG) were obtained
concurrently. Linear mixed models estimated the intervention effect on DEB, the
association of DEB with diabetes adherence and measures of glycemic control and
variability, and whether DEB modified glycemic trajectories. RESULTS: There was
no intervention effect on DEB (P = 0.84). DEB was associated with higher HbA1c (P
= 0.001), mean sensor glucose (P = 0.001), and percent sensor glucose values >180
mg/dL (P = <0.001); with lower 1,5-AG (P = 0.01); and with worse diabetes
adherence (P = 0.03). DEB was not associated with percent sensor glucose values
<70 mg/dL or any measures of glycemic variability. There was a significant DEB *
time interaction effect for mean sensor glucose (P = 0.05) and percent sensor
glucose values >180 mg/dL (P = 0.04). Participants reporting less DEB had a
developmentally expected deterioration in glycemic control throughout the study.
Participants reporting more DEB had poor glycemic control at baseline that
remained poor throughout the study. CONCLUSIONS: Findings show a potential to
improve diet quality without increasing DEB and indicate an association of DEB
with persistent hyperglycemia but not hypoglycemia or glycemic variability.
PMID- 29371236
TI - CRABP1 protects the heart from isoproterenol-induced acute and chronic
remodeling.
AB - Excessive and/or persistent activation of calcium-calmodulin protein kinase II
(CaMKII) is detrimental in acute and chronic cardiac injury. However, intrinsic
regulators of CaMKII activity are poorly understood. We find that cellular
retinoic acid-binding protein 1 (CRABP1) directly interacts with CaMKII and
uncover a functional role for CRABP1 in regulating CaMKII activation. We
generated Crabp1-null mice (CKO) in C57BL/6J background for pathophysiological
studies. CKO mice develop hypertrophy as adults, exhibiting significant left
ventricular dilation with reduced ejection fraction at the baseline cardiac
function. Interestingly, CKO mice have elevated basal CaMKII phosphorylation at
T287, and phosphorylation on its substrate phospholamban (PLN) at T17. Acute
isoproterenol (ISO) challenge (80 mg/kg two doses in 1 day) causes more severe
apoptosis and necrosis in CKO hearts, and treatment with a CaMKII inhibitor KN-93
protects CKO mice from this injury. Chronic (30 mg/kg/day) ISO challenge also
significantly increases hypertrophy and fibrosis in CKO mice as compared to WT.
In wild-type mice, CRABP1 expression is increased in early stages of ISO
challenge and eventually reduces to the basal level. Mechanistically, CRABP1
directly inhibits CaMKII by competing with calmodulin (CaM) for CaMKII
interaction. This study demonstrates increased susceptibility of CKO mice to ISO
induced acute and chronic cardiac injury due to, at least in part, elevated
CaMKII activity. Deleting Crabp1 results in reduced baseline cardiac function and
aggravated damage challenged with acute and persistent beta-adrenergic
stimulation. This is the first report of a physiological role of CRABP1 as an
endogenous regulator of CaMKII, which protects the heart from ISO-induced damage.
PMID- 29371238
TI - Potential Opportunities for Prevention or Earlier Diagnosis of Child Physical
Abuse in the Inpatient Setting.
AB - OBJECTIVES: To compare rates of previous inpatient visits among children
hospitalized with child physical abuse (CPA) with controls as well as between
individual abuse types. METHODS: In this study, we used the Pediatric Health
Information System administrative database of 44 children's hospitals. Children
<6 years of age hospitalized with CPA between January 1, 2011, and September 30,
2015, were identified by discharge codes and propensity matched to accidental
injury controls. Rates for previous visit types were calculated per 10 000 months
of life. chi2 and Poisson regression were used to compare proportions and rates.
RESULTS: There were 5425 children hospitalized for CPA. Of abuse and accident
cases, 13.1% and 13.2% had a previous inpatient visit, respectively. At previous
visits, abused children had higher rates of fractures (rate ratio [RR] = 3.0
times; P = .018), head injuries (RR = 3.5 times; P = .005), symptoms concerning
for occult abusive head trauma (AHT) (eg, isolated vomiting, seizures, brief
resolved unexplained events) (RR = 1.4 times; P = .054), and perinatal conditions
(eg, prematurity) (RR = 1.3 times; P = .014) compared with controls. Head
injuries and symptoms concerning for occult AHT also more frequently preceded
cases of AHT compared with other types of abuse (both P < .001). CONCLUSIONS:
Infants hospitalized with perinatal-related conditions, symptoms concerning for
occult AHT, and injuries are inpatient populations who may benefit from abuse
prevention efforts and/or risk assessments. Head injuries and symptoms concerning
for occult AHT (eg, isolated vomiting, seizures, and brief resolved unexplained
events) may represent missed opportunities to diagnose AHT in the inpatient
setting; however, this requires further study.
PMID- 29371237
TI - Cell-specific responses to the cytokine TGFbeta are determined by variability in
protein levels.
AB - The cytokine TGFbeta provides important information during embryonic development,
adult tissue homeostasis, and regeneration. Alterations in the cellular response
to TGFbeta are involved in severe human diseases. To understand how cells encode
the extracellular input and transmit its information to elicit appropriate
responses, we acquired quantitative time-resolved measurements of pathway
activation at the single-cell level. We established dynamic time warping to
quantitatively compare signaling dynamics of thousands of individual cells and
described heterogeneous single-cell responses by mathematical modeling. Our
combined experimental and theoretical study revealed that the response to a given
dose of TGFbeta is determined cell specifically by the levels of defined
signaling proteins. This heterogeneity in signaling protein expression leads to
decomposition of cells into classes with qualitatively distinct signaling
dynamics and phenotypic outcome. Negative feedback regulators promote
heterogeneous signaling, as a SMAD7 knock-out specifically affected the signal
duration in a subpopulation of cells. Taken together, we propose a quantitative
framework that allows predicting and testing sources of cellular signaling
heterogeneity.
PMID- 29371240
TI - You Can't Treat a Problem if You Don't Recognize It.
PMID- 29371239
TI - Catheter sepsis and antisepsis: matters of life, death, obscurity and resistance.
PMID- 29371241
TI - Clinical Decision Support for Recognition and Management of Hypertension: A
Randomized Trial.
AB - OBJECTIVES: Although blood pressure (BP) is routinely measured in outpatient
visits, elevated BP and hypertension are often not recognized. We evaluated
whether an electronic health record-linked clinical decision support (CDS) tool
could improve the recognition and management of hypertension in adolescents.
METHODS: We randomly assigned 20 primary care clinics within an integrated care
system to CDS or usual care. At intervention sites, the CDS displayed BPs and
percentiles, identified incident hypertension on the basis of current or previous
BPs, and offered tailored order sets. The recognition of hypertension was
identified by an automated review of diagnoses and problem lists and a manual
review of clinical notes, antihypertensive medication prescriptions, and
diagnostic testing. Generalized linear mixed models were used to test the effect
of the intervention. RESULTS: Among 31 579 patients 10 to 17 years old with a
clinic visit over a 2-year period, 522 (1.7%) had incident hypertension. Within 6
months of meeting criteria, providers recognized hypertension in 54.9% of
patients in CDS clinics and 21.3% of patients in usual care (P <= .001). Clinical
recognition was most often achieved through visit diagnoses or documentation in
the clinical note. Within 6 months of developing incident hypertension, 17.1% of
CDS subjects were referred to dieticians or weight loss or exercise programs, and
9.4% had additional hypertension workup versus 3.9% and 4.2%, respectively (P =
.001 and .046, respectively). Only 1% of patients were prescribed an
antihypertensive medication within 6 months of developing hypertension.
CONCLUSIONS: The CDS had a significant, beneficial effect on the recognition of
hypertension, with a moderate increase in guideline-adherent management.
PMID- 29371242
TI - Plasminogen Activator Inhibitor-1 Promotes Neutrophil Infiltration and Tissue
Injury on Ischemia-Reperfusion.
AB - OBJECTIVE: Ischemia-reperfusion (I/R) injury significantly contributes to organ
dysfunction and failure after myocardial infarction, stroke, and transplantation.
In addition to its established role in the fibrinolytic system, plasminogen
activator inhibitor-1 has recently been implicated in the pathogenesis of I/R
injury. The underlying mechanisms remain largely obscure. APPROACH AND RESULTS:
Using different in vivo microscopy techniques as well as ex vivo analyses and in
vitro assays, we identified that plasminogen activator inhibitor-1 rapidly
accumulates on microvascular endothelial cells on I/R enabling this protease
inhibitor to exhibit previously unrecognized functional properties by inducing an
increase in the affinity of beta2 integrins in intravascularly rolling
neutrophils. These events are mediated through low-density lipoprotein receptor
related protein-1 and mitogen-activated protein kinase-dependent signaling
pathways that initiate intravascular adherence of these immune cells to the
microvascular endothelium. Subsequent to this process, extravasating neutrophils
disrupt endothelial junctions and promote the postischemic microvascular leakage.
Conversely, deficiency of plasminogen activator inhibitor-1 effectively reversed
leukocyte infiltration, microvascular dysfunction, and tissue injury on
experimental I/R without exhibiting side effects on microvascular hemostasis.
CONCLUSIONS: Our experimental data provide novel insights into the
nonfibrinolytic properties of the fibrinolytic system and emphasize plasminogen
activator inhibitor-1 as a promising target for the prevention and treatment of
I/R injury.
PMID- 29371243
TI - Lipoprotein Lipase Deficiency Impairs Bone Marrow Myelopoiesis and Reduces
Circulating Monocyte Levels.
AB - OBJECTIVE: Tissue macrophages induce and perpetuate proinflammatory responses,
thereby promoting metabolic and cardiovascular disease. Lipoprotein lipase (LpL),
the rate-limiting enzyme in blood triglyceride catabolism, is expressed by
macrophages in atherosclerotic plaques. We questioned whether LpL, which is also
expressed in the bone marrow (BM), affects circulating white blood cells and BM
proliferation and modulates macrophage retention within the artery. APPROACH AND
RESULTS: We characterized blood and tissue leukocytes and inflammatory molecules
in transgenic LpL knockout mice rescued from lethal hypertriglyceridemia within
18 hours of life by muscle-specific LpL expression (MCKL0 mice). LpL-deficient
mice had ~40% reduction in blood white blood cell, neutrophils, and total and
inflammatory monocytes (Ly6C/Ghi). LpL deficiency also significantly decreased
expression of BM macrophage-associated markers (F4/80 and TNF-alpha [tumor
necrosis factor alpha]), master transcription factors (PU.1 and C/EBPalpha), and
colony-stimulating factors (CSFs) and their receptors, which are required for
monocyte and monocyte precursor proliferation and differentiation. As a result,
differentiation of macrophages from BM-derived monocyte progenitors and monocytes
was decreased in MCKL0 mice. Furthermore, although LpL deficiency was associated
with reduced BM uptake and accumulation of triglyceride-rich particles and
macrophage CSF-macrophage CSF receptor binding, triglyceride lipolysis products
(eg, linoleic acid) stimulated expression of macrophage CSF and macrophage CSF
receptor in BM-derived macrophage precursor cells. Arterial macrophage numbers
decreased after heparin-mediated LpL cell dissociation and by genetic knockout of
arterial LpL. Reconstitution of LpL-expressing BM replenished aortic macrophage
density. CONCLUSIONS: LpL regulates peripheral leukocyte levels and affects BM
monocyte progenitor differentiation and aortic macrophage accumulation.
PMID- 29371244
TI - Cell Type-Specific Contributions of the Angiotensin II Type 1a Receptor to Aorta
Homeostasis and Aneurysmal Disease-Brief Report.
AB - OBJECTIVE: Two were the aims of this study: first, to translate whole-genome
expression profiles into computational predictions of functional associations
between signaling pathways that regulate aorta homeostasis and the activity of
angiotensin II type 1a receptor (At1ar) in either vascular endothelial or smooth
muscle cells; and second, to characterize the impact of endothelial cell- or
smooth muscle cell-specific At1ar disruption on the development of thoracic
aortic aneurysm in fibrillin-1 hypomorphic (Fbn1mgR/mgR ) mice, a validated
animal model of early onset progressively severe Marfan syndrome. APPROACH AND
RESULTS: Cdh5-Cre and Sm22-Cre transgenic mice were used to inactivate the At1ar
coding gene (Agt1ar) in either intimal or medial cells of both wild type and
Marfan syndrome mice, respectively. Computational analyses of differentially
expressed genes predicted dysregulated signaling pathways of cell survival and
matrix remodeling in Agt1arCdh5-/- aortas and of cell adhesion and contractility
in Agt1arSm22-/- aortas. Characterization of Fbn1mgR/mgR;Agt1arCdh5-/- mice
revealed increased median survival associated with mitigated aneurysm growth and
media degeneration, as well as reduced levels of phosphorylated (p-) Erk1/2 but
not p-Smad2. By contrast, levels of both p-Erk1/2 and p-Smad2 proteins were
normalized in Fbn1mgR/mgR;Agt1arSm22-/- aortas in spite of them showing no
appreciable changes in thoracic aortic aneurysm pathology. CONCLUSIONS:
Physiological At1ar signaling in the intimal and medial layers is associated with
distinct regulatory processes of aorta homeostasis and function; improper At1ar
activity in the vascular endothelium is a significant determinant of thoracic
aortic aneurysm development in Marfan syndrome mice.
PMID- 29371245
TI - Prevalence of Calcification in Human Femoropopliteal Arteries and its Association
with Demographics, Risk Factors, and Arterial Stiffness.
AB - OBJECTIVE: Arterial calcification and stiffening increase the risk of
reconstruction failure, amputation, and mortality in patients with peripheral
arterial disease, but underlying mechanisms and prevalence are unclear. APPROACH
AND RESULTS: Fresh human femoropopliteal arteries were obtained from n=431 tissue
donors aged 13 to 82 years (mean age, 53+/-16 years) recording the in situ
longitudinal prestretch. Arterial diameter, wall thickness, and opening angles
were measured optically, and stiffness was assessed using planar biaxial
extension and constitutive modeling. Histological features were determined using
transverse and longitudinal Verhoeff-Van Gieson and Alizarin stains. Medial
calcification was quantified using a 7-stage grading scale and was correlated
with structural and mechanical properties and clinical characteristics. Almost
half (46%) of the femoropopliteal arteries had identifiable medial calcification.
Older arteries were more calcified, but small calcium deposits were observed in
arteries as young as 18 years old. After controlling for age, positive
correlations were observed between calcification, diabetes mellitus,
dyslipidemia, and body mass index. Tobacco use demonstrated a negative
correlation. Calcified arteries were larger in diameter but had smaller
circumferential opening angles. They were also stiffer longitudinally and
circumferentially and had thinner tunica media and external elastic lamina with
more discontinuous elastic fibers. CONCLUSIONS: Although aging is the dominant
risk factor for femoropopliteal artery calcification and stiffening, these
processes seem to be linked and can begin at a young age. Calcification is
associated with the presence of certain risk factors and with elastic fiber
degradation, suggesting overlapping molecular pathways that require further
investigation.
PMID- 29371246
TI - MTHFR Gene and Serum Folate Interaction on Serum Homocysteine Lowering: Prospect
for Precision Folic Acid Treatment.
AB - OBJECTIVE: This post hoc analysis of the CSPPT (China Stroke Primary Prevention
Trial) assessed the individual variation in total homocysteine (tHcy)-lowering
response after an average 4.5 years of 0.8 mg daily folic acid therapy in Chinese
hypertensive adults and evaluated effect modification by
methylenetetrahydrofolate reductase (MTHFR) C677T genotypes and serum folate
levels. APPROACH AND RESULTS: This analysis included 16 413 participants from the
CSPPT, who were randomly assigned to 2 double-blind treatment groups: either 10
mg enalapril+0.8-mg folic acid or 10-mg enalapril, daily and had individual
measurements of serum folate and tHcy levels at baseline and exit visits and
MTHFR C677T genotypes. Mean baseline tHcy levels were comparable between the 2
treatment groups (14.5+/-8.5 versus 14.4+/-8.1 MUmol/L; P=0.561). After 4.5 years
of treatment, mean tHcy levels were reduced to 12.7+/-6.1 MUmol/L in the
enalapril+folic acid group, but almost stayed the same in the enalapril group
(14.4+/-7.9 MUmol/L, group difference: 1.61 MUmol/L; 11% reduction). More
importantly, tHcy lowering varied by MTHFR genotypes and serum folate levels.
Compared with CC and CT genotypes, participants with the TT genotype had a more
prominent L-shaped curve between tHcy and serum folate levels and required higher
folate levels (at least 15 ng/mL) to eliminate the differences in tHcy by
genotypes. CONCLUSIONS: Compared with CC or CT, tHcy in the TT group manifested a
heightened L-shaped curve from low to high folate levels, but this difference in
tHcy by genotype was eliminated when plasma folate levels reach ~15 ng/mL or
higher. Our data raised the prospect to tailor folic acid therapy according to
individual MTHFR C677T genotype and folate status. CLINICAL TRIAL REGISTRATION:
URL: http://www.clinicaltrials.gov. Unique identifier: NCT00794885.
PMID- 29371247
TI - IL-35 (Interleukin-35) Suppresses Endothelial Cell Activation by Inhibiting
Mitochondrial Reactive Oxygen Species-Mediated Site-Specific Acetylation of H3K14
(Histone 3 Lysine 14).
AB - OBJECTIVE: IL-35 (interleukin-35) is an anti-inflammatory cytokine, which
inhibits immune responses by inducing regulatory T cells and regulatory B cells
and suppressing effector T cells and macrophages. It remains unknown whether
atherogenic stimuli induce IL-35 and whether IL-35 inhibits atherogenic lipid
induced endothelial cell (EC) activation and atherosclerosis. EC activation
induced by hyperlipidemia stimuli, including lysophosphatidylcholine is
considered as an initiation step for monocyte recruitment and atherosclerosis. In
this study, we examined the expression of IL-35 during early atherosclerosis and
the roles and mechanisms of IL-35 in suppressing lysophosphatidylcholine-induced
EC activation. APPROACH AND RESULTS: Using microarray and ELISA, we found that IL
35 and its receptor are significantly induced during early atherosclerosis in the
aortas and plasma of ApoE (apolipoprotein E) knockout mice-an atherosclerotic
mouse model-and in the plasma of hypercholesterolemic patients. In addition, we
found that IL-35 suppresses lysophosphatidylcholine-induced monocyte adhesion to
human aortic ECs. Furthermore, our RNA-sequencing analysis shows that IL-35
selectively inhibits lysophosphatidylcholine-induced EC activation-related genes,
such as ICAM-1 (intercellular adhesion molecule-1). Mechanistically, using flow
cytometry, mass spectrometry, electron spin resonance analyses, and chromatin
immunoprecipitation-sequencing analyses, we found that IL-35 blocks
lysophosphatidylcholine-induced mitochondrial reactive oxygen species, which are
required for the induction of site-specific H3K14 (histone 3 lysine 14)
acetylation, increased binding of proinflammatory transcription factor AP-1 in
the promoter of ICAM-1, and induction of ICAM-1 transcription in human aortic EC.
Finally, IL-35 cytokine therapy suppresses atherosclerotic lesion development in
ApoE knockout mice. CONCLUSIONS: IL-35 is induced during atherosclerosis
development and inhibits mitochondrial reactive oxygen species-H3K14 acetylation
AP-1-mediated EC activation.
PMID- 29371248
TI - From High-Density Lipoprotein Cholesterol to Measurements of Function: Prospects
for the Development of Tests for High-Density Lipoprotein Functionality in
Cardiovascular Disease.
AB - The evidence is strong that biological functions contained in high-density
lipoproteins (HDL) are antiatherogenic. These functions may track with HDL
cholesterol or apolipoprotein A1 concentration to explain the strongly inverse
risk curve for cardiovascular disease. Moreover, there are harmful as well as
protective HDL subspecies in regard to cardiovascular disease, which could be
responsible for paradoxical responses to HDL-directed treatments. Recent
metabolic studies show that apolipoprotein A1-containing HDL is secreted into the
circulation as mostly spherical cholesterol ester-rich lipoproteins that span the
HDL size range. Most of the flux of apolipoprotein A1 HDL into and out of the
circulation occurs in these spherical cholesterol-replete particles. Discoidal
cholesterol-poor HDL comprises a minority of HDL secretion. We propose that much
cholesterol in reverse cholesterol transport enters and exits medium and large
size HDL without changing a size category, and its flux may be estimated
provisionally from holoparticle clearance of cholesterol ester-rich HDL. An
accurate framework for metabolism of HDL is essential to finding steady-state
biomarkers that reflect HDL function in vivo. Whereas cholesterol efflux from
cells to mainly discoidal HDL, mediated by ABCA1 (ATP-binding cassette
transporter ABCA1), predicts cardiovascular disease, cholesterol transfers to
spherical HDL also can be measured and may be relevant to protection against
atherosclerosis. We propose several investigative paths on which human HDL
biology may be investigated leading to convenient biomarkers of HDL quality and
function having potential not only to improve risk prediction but also to more
accurately target drug treatments.
PMID- 29371249
TI - A Poly(A) Ribonuclease Controls the Cellotriose-Based Interaction between
Piriformospora indica and Its Host Arabidopsis.
AB - Piriformospora indica, an endophytic root-colonizing fungus, efficiently promotes
plant growth and induces resistance to abiotic stress and biotic diseases. P.
indica fungal cell wall extract induces cytoplasmic calcium elevation in host
plant roots. Here, we show that cellotriose (CT) is an elicitor-active cell wall
moiety released by P. indica into the medium. CT induces a mild defense-like
response, including the production of reactive oxygen species, changes in
membrane potential, and the expression of genes involved in growth regulation and
root development. CT-based cytoplasmic calcium elevation in Arabidopsis
(Arabidopsis thaliana) roots does not require the BAK1 coreceptor or the putative
Ca2+ channels TPC1, GLR3.3, GLR2.4, and GLR2.5 and operates synergistically with
the elicitor chitin. We identified an ethyl methanesulfonate-induced mutant
(cytoplasmiccalcium elevation mutant) impaired in the response to CT and various
other cellooligomers (n = 2-7), but not to chitooligomers (n = 4-8), in roots.
The mutant contains a single nucleotide exchange in the gene encoding a poly(A)
ribonuclease (AtPARN; At1g55870) that degrades the poly(A) tails of specific
mRNAs. The wild-type PARN cDNA, expressed under the control of a 35S promoter,
complements the mutant phenotype. Our identification of cellotriose as a novel
chemical mediator casts light on the complex P. indica-plant mutualistic
relationship.
PMID- 29371252
TI - Looking Deep into the Eye-of-the-Tiger in Pantothenate Kinase-Associated
Neurodegeneration.
AB - BACKGROUND AND PURPOSE: A detailed delineation of the MR imaging changes in the
globus pallidus in pantothenate kinase-associated neurodegeneration will be
helpful for diagnosis and monitoring of patients. The aim of this study was to
determine the morphologic spectrum of the "eye-of-the-tiger" sign and the
topographic pattern of iron deposition in a group of patients with pantothenate
kinase-associated neurodegeneration. MATERIALS AND METHODS: Seventy-four MR
imaging scans from 54 individuals with PANK2 mutations were analyzed for signal
patterns in the globus pallidus. Sixteen SWI data from 15 patients who underwent
1.5T (n = 7), 3T (n = 7), and 7T (n = 2) MR imaging were included to visualize
the iron topography. RESULTS: The linear hyperintensity alongside the medial
border of the globus pallidus was the earliest T2 signal change. This finding was
evident before SWI changes from iron deposition became visible. T2WI performed in
early childhood mostly showed isolated hyperintense signal. In adult patients,
marked signal reduction within an earlier hyperintense center resulting from iron
accumulation led to the loss of signal difference between the central and
surrounding areas. Signal hypointensity on SWI progressed from the medial to the
lateral portion of the globus pallidus with increasing age. The fiber connections
between the medial globus pallidus and the anteromedial aspect of the substantia
nigra and subthalamic nucleus were markedly hypointense on SWI. CONCLUSIONS: In
pantothenate kinase-associated neurodegeneration, the globus pallidus MR imaging
changes using SWI develop as region-specific and age-dependent phenomena. Signal
inhomogeneity was observed across the globus pallidus in pantothenate kinase
associated neurodegeneration and should be considered when determining the
concentration of iron.
PMID- 29371251
TI - Intravoxel Incoherent Motion MR Imaging in the Differentiation of Benign and
Malignant Sinonasal Lesions: Comparison with Conventional Diffusion-Weighted MR
Imaging.
AB - BACKGROUND AND PURPOSE: Intravoxel incoherent motion is a promising method for
the differentiation of sinonasal lesions. This study aimed to evaluate the value
of intravoxel incoherent motion in the differentiation of benign and malignant
sinonasal lesions and to compare the diagnostic performance of intravoxel
incoherent motion with that of conventional DWI. MATERIALS AND METHODS: One
hundred thirty-one patients with histologically proved solid sinonasal lesions
(56 benign and 75 malignant) who underwent conventional DWI and intravoxel
incoherent motion were recruited in this study. The diffusion coefficient (D),
pseudodiffusion coefficient (D*), and perfusion fraction (f) values derived from
intravoxel incoherent motion and ADC values derived from conventional DWI were
measured and compared between the 2 groups using the Student t test. Receiver
operating characteristic curve analysis, logistic regression analysis, and 10
fold cross-validation were performed to evaluate the diagnostic performance of
single-parametric and multiparametric models. RESULTS: The mean ADC and D values
were significantly lower in malignant sinonasal lesions than in benign sinonasal
lesions (both P < .001). The mean f value was higher in malignant lesions than in
benign lesions (P = .003). Multiparametric models can significantly improve the
cross-validated areas under the curve for the differentiation of sinonasal
lesions compared with single-parametric models (all corrected P < .05 except the
D value). The model of D+f provided a better diagnostic performance than the ADC
value (corrected P < .001). CONCLUSIONS: Intravoxel incoherent motion appears to
be a more effective MR imaging technique than conventional DWI in the
differentiation of benign and malignant sinonasal lesions.
PMID- 29371250
TI - Acclimation to Fluctuating Light Impacts the Rapidity of Response and Diurnal
Rhythm of Stomatal Conductance.
AB - Plant acclimation to growth light environment has been studied extensively;
however, the majority of these studies have focused on light intensity and photo
acclimation, with few studies exploring the impact of dynamic growth light on
stomatal acclimation and behavior. To assess the impact of growth light regime on
stomatal acclimation, we grew Arabidopsis (Arabidopsis thaliana) plants in three
different lighting regimes (with the same average daily intensity), fluctuating
with a fixed pattern of light, fluctuating with a randomized pattern of light
(sinusoidal), and nonfluctuating (square wave), to assess the effect of light
regime dynamics on gas exchange. We demonstrated that gs (stomatal conductance to
water vapor) acclimation is influenced by both intensity and light pattern,
modifying the stomatal kinetics at different times of the day and resulting in
differences in the rapidity and magnitude of the gs response. We also describe
and quantify the response to an internal signal that uncouples variation in A and
gs over the majority of the diurnal period and represents 25% of the total
diurnal gs This gs response can be characterized by a Gaussian element and when
incorporated into the widely used Ball-Berry model greatly improved the
prediction of gs in a dynamic environment. From these findings, we conclude that
acclimation of gs to growth light could be an important strategy for maintaining
carbon fixation and overall plant water status and should be considered when
inferring responses in the field from laboratory-based experiments.
PMID- 29371253
TI - Comparison of MR Imaging and Dual-Energy CT for the Evaluation of Cartilage
Invasion by Laryngeal and Hypopharyngeal Squamous Cell Carcinoma.
AB - BACKGROUND AND PURPOSE: Dual-energy CT can distinguish iodine-enhanced tumors
from nonossified cartilage and has been investigated for evaluating cartilage
invasion in patients with laryngeal and hypopharyngeal squamous cell carcinomas.
In this study, we compared the diagnostic accuracy of MR imaging and of a
combination of weighted-average and iodine overlay dual-energy CT images in
detecting cartilage invasion by laryngeal and hypopharyngeal squamous cell
carcinomas, in particular thyroid cartilage invasion. MATERIALS AND METHODS:
Fifty-five consecutive patients who underwent 3T MR imaging and 128-slice dual
energy CT for preoperative initial staging of laryngeal or hypopharyngeal
squamous cell carcinomas were included. Two blinded observers evaluated laryngeal
cartilage invasion on MR imaging and dual-energy CT using a combination of
weighted-average and iodine-overlay images. Pathologic findings of surgically
resected specimens were used as the reference standard for evaluating
sensitivity, specificity, and the areas under the receiver operating
characteristic curve of both modalities for cartilage invasion by each type of
cartilage and for all cartilages together. Sensitivity and specificity were
compared using the McNemar test and generalized linear mixed models. RESULTS:
Dual-energy CT showed higher specificity than MR imaging for diagnosing all
cartilage together (84% for MR imaging versus 98% for dual-energy CT, P < .004)
and for thyroid cartilage (64% versus 100%, P < .001), with a similar average
area under the curve (0.94 versus 0.95, P = .70). The sensitivity did not differ
significantly for all cartilages together (97% versus 81%, P = .16) and for
thyroid cartilage (100% versus 89%, P = .50), though there was a trend toward
increased sensitivity with MR imaging. CONCLUSIONS: Dual-energy CT showed higher
specificity and acceptable sensitivity in diagnosing laryngeal cartilage invasion
compared with MR imaging.
PMID- 29371255
TI - Nonsphericity Index and Size Ratio Identify Morphologic Differences between
Growing and Stable Aneurysms in a Longitudinal Study of 93 Cases.
AB - BACKGROUND AND PURPOSE: Recent studies have strongly associated intracranial
aneurysm growth with increased risk of rupture. Identifying aneurysms that are
likely to grow would be beneficial to plan more effective monitoring and
intervention strategies. Our hypothesis is that for unruptured intracranial
aneurysms of similar size, morphologic characteristics differ between aneurysms
that continue to grow and those that do not. MATERIALS AND METHODS: From
aneurysms in our medical center with follow-up imaging dates in 2015, ninety
three intracranial aneurysms (23 growing, 70 stable) were selected. All CTA
images for the aneurysm diagnosis and follow-up were collected, a total of 348 3D
imaging studies. Aneurysm 3D geometry for each imaging study was reconstructed,
and morphologic characteristics, including volume, surface area, nonsphericity
index, aspect ratio, and size ratio were calculated. RESULTS: Morphologic
characteristics were found to differ between growing and stable groups. For
aneurysms of <3 mm, nonsphericity index (P < .001); 3-5 mm, nonsphericity index
(P < .001); 5-7 mm, size ratio (P = .003); >7 mm, volume (P < .001); surface area
(P < .001); and nonsphericity index (P = .002) were significant. Within the
anterior communicating artery, the nonsphericity index (P = .008) and, within the
posterior communicating artery, size ratio (P = .004) were significant. The
nonsphericity index receiver operating characteristic area under the curve was
0.721 for discriminating growing and stable cases on the basis of initial images.
CONCLUSIONS: Among aneurysms with similar sizes, morphologic characteristics
appear to differ between those that are growing and those that are stable. The
nonsphericity index, in particular, was found to be higher among growing
aneurysms. The size ratio was found to be the second most significant parameter
associated with growth.
PMID- 29371254
TI - Local Glioma Cells Are Associated with Vascular Dysregulation.
AB - BACKGROUND AND PURPOSE: Malignant glioma is a highly infiltrative malignancy that
causes variable disruptions to the structure and function of the
cerebrovasculature. While many of these structural disruptions have known
correlative histopathologic alterations, the mechanisms underlying vascular
dysfunction identified by resting-state blood oxygen level-dependent imaging are
not yet known. The purpose of this study was to characterize the alterations that
correlate with a blood oxygen level-dependent biomarker of vascular
dysregulation. MATERIALS AND METHODS: Thirty-two stereotactically localized
biopsies were obtained from contrast-enhancing (n = 16) and nonenhancing (n = 16)
regions during open surgical resection of malignant glioma in 17 patients.
Preoperative resting-state blood oxygen level-dependent fMRI was used to evaluate
the relationships between radiographic and histopathologic characteristics.
Signal intensity for a blood oxygen level-dependent biomarker was compared with
scores of tumor infiltration and microvascular proliferation as well as total
cell and neuronal density. RESULTS: Biopsies corresponded to a range of blood
oxygen level-dependent signals, ranging from relatively normal (z = -4.79) to
markedly abnormal (z = 8.84). Total cell density was directly related to blood
oxygen level-dependent signal abnormality (P = .013, R2 = 0.19), while the
neuronal labeling index was inversely related to blood oxygen level-dependent
signal abnormality (P = .016, R2 = 0.21). The blood oxygen level-dependent signal
abnormality was also related to tumor infiltration (P = .014) and microvascular
proliferation (P = .045). CONCLUSIONS: The relationship between local, neoplastic
characteristics and a blood oxygen level-dependent biomarker of vascular function
suggests that local effects of glioma cell infiltration contribute to vascular
dysregulation.
PMID- 29371256
TI - Comparison of Blood Oxygenation Level-Dependent fMRI and Provocative DSC
Perfusion MR Imaging for Monitoring Cerebrovascular Reserve in Intracranial
Chronic Cerebrovascular Disease.
AB - BACKGROUND AND PURPOSE: Loss of hemodynamic reserve in intracranial
cerebrovascular disease reduces blood oxygenation level-dependent activation by
fMRI and increases asymmetry in MTT measured by provocative DSC perfusion MR
imaging before and after vasodilation with intravenous acetazolamide. The
concordance for detecting hemodynamic reserve integrity has been compared.
MATERIALS AND METHODS: Patients (n = 40) with intracranial cerebrovascular
disease and technically adequate DSA, fMRI and provocative DSC perfusion studies
were retrospectively grouped into single vessels proximal to and distal from the
circle of Willis, multiple vessels, and Moyamoya disease. The vascular
territories were classified as having compromised hemodynamic reserve if the
expected fMRI blood oxygenation level-dependent activation was absent or if MTT
showed increased asymmetry following vasodilation. Concordance was examined in
compromised and uncompromised vascular territories of each group with the Fischer
exact test and proportions of agreement. RESULTS: Extensive leptomeningeal
collateral circulation was present in all cases. Decreased concordance between
the methods was found in vascular territories with stenosis distal to but not
proximal to the circle of Willis. Multivessel and Moyamoya diseases also showed
low concordance. A model of multiple temporally displaced arterial inputs from
leptomeningeal collateral flow demonstrated that the resultant lengthening MTT
mimicked compromised hemodynamic reserve despite being sufficient to support
blood oxygenation level-dependent contrast. CONCLUSIONS: Decreased concordance
between the 2 methods for assessment of hemodynamic reserve for vascular disease
distal to the circle of Willis is posited to be due to well-developed
leptomeningeal collateral circulation providing multiple temporally displaced
arterial input functions that bias the perfusion analysis toward hemodynamic
reserve compromise while blood oxygenation level-dependent activation remains
detectable.
PMID- 29371257
TI - Early Hemodynamic Response Assessment of Stereotactic Radiosurgery for a Cerebral
Arteriovenous Malformation Using 4D Flow MRI.
AB - Brain AVMs treated with stereotactic radiosurgery typically demonstrate a minimum
latency period of 1-3 years between treatment and nidus obliteration. Assessment
of treatment response is usually limited to evaluation of AVM nidus structural
changes using conventional MR imaging and MRA techniques. This report describes
the use of 4D Flow MRI to also measure radiation-induced hemodynamic changes in a
Spetzler-Martin grade III AVM, which were detectable as early as 6 months after
treatment.
PMID- 29371258
TI - Quantitative Susceptibility Mapping of the Thalamus: Relationships with Thalamic
Volume, Total Gray Matter Volume, and T2 Lesion Burden.
AB - BACKGROUND AND PURPOSE: Both thalamic iron deposition and atrophy have been
reported in patients with multiple sclerosis compared with healthy controls, but
how they are related is unclear. The purpose of this study was to understand the
pathophysiologic basis for this iron deposition. MATERIALS AND METHODS: Ninety
five patients with relapsing-remitting multiple sclerosis underwent 3T MR imaging
with a standardized protocol that included quantitative susceptibility mapping to
measure iron concentration and a 3D T1 echo-spoiled gradient-echo sequence to
obtain thalamic volumes. Volumes of interest were manually delineated on the
quantitative susceptibility map to encompass both thalami. Multivariate
regression analyses were performed to identify the association between thalamic
susceptibility and volume. Associations between thalamic susceptibility and total
gray matter volume, cortical thickness, and T2 lesion volume were also assessed.
RESULTS: The relative susceptibility of the thalamus was associated with T2
lesion volume (P = .015) and was higher in the presence of enhancing lesions (P =
.013). The relative susceptibility of the thalami was not associated with
thalamic volumes, total gray matter volumes, or cortical thickness (P > .05).
CONCLUSIONS: Iron levels in the thalami are associated with T2 lesion burden and
the presence of enhancing lesions, but not with thalamic or gray matter volumes,
suggesting that iron accumulation is associated with white matter inflammation
rather than gray matter neurodegeneration.
PMID- 29371259
TI - Melanoma of the Sinonasal Tract: Value of a Septate Pattern on Precontrast T1
Weighted MR Imaging.
AB - BACKGROUND AND PURPOSE: Various tumors of the sinonasal tract can exhibit high
signal intensity on T1WI. The purpose of this study was to determine the value of
a septate pattern on precontrast T1WI for diagnosing sinonasal melanoma.
MATERIALS AND METHODS: Retrospectively, 3 observers independently reviewed MR
images of 31 histologically proved sinonasal melanomas with special attention to
the presence or absence of a septate pattern on precontrast T1WI, defined as
alternating hyperintense and hypointense striations on precontrast T1WI. For
comparison, we evaluated the prevalence of a septate pattern on precontrast T1WI
in 106 nonmelanomatous sinonasal malignant tumors with 16 different histologic
types. We also tried to identify the histopathologic features responsible for the
septate pattern on precontrast T1WI. RESULTS: Twenty-seven (87.1%) of 31
sinonasal melanomas showed hyperintense foci on T1WI, among which a septate
pattern on precontrast T1WI was seen in 23 (74.2%), while 22 (20.8%) of 106
nonmelanomatous malignant tumors demonstrated hyperintense foci on T1WI, among
which only 3 (2.8%) showed a septate pattern on precontrast T1WI. The
sensitivity, specificity, positive predictive value, negative predictive value,
and accuracy of a septate pattern on precontrast T1WI for the diagnosis of
sinonasal melanoma were 74%, 97%, 88%, 93%, and 92%, respectively. Although
limited due to the retrospective nature, 4 of 23 histologically reviewed
sinonasal melanomas revealed an uneven distribution of melanin with alternating
melanin and fibrous bands within the tumors. CONCLUSIONS: A septate pattern on
precontrast T1WI might be an adjunctive imaging finding for the diagnosis of
sinonasal melanoma. This might be attributed histologically to an uneven
distribution of melanin and hemorrhage within the tumors.
PMID- 29371260
TI - The Antigen ASB4 on Cancer Stem Cells Serves as a Target for CTL Immunotherapy of
Colorectal Cancer.
AB - Colorectal cancer consists of a small number of cancer stem cells (CSC) and many
non-CSCs. Although rare in number, CSCs are a target for cancer therapy, because
they survive conventional chemo- and radiotherapies and perpetuate tumor
formation in vivo In this study, we conducted an HLA ligandome analysis to survey
HLA-A24 peptides displayed by CSCs and non-CSCs of colorectal cancer. The
analysis identified an antigen, ASB4, which was processed and presented by a CSC
subset but not by non-CSCs. The ASB4 gene was expressed in CSCs of colorectal
cancer, but not in cells that had differentiated into non-CSCs. Because ASB4 was
not expressed by normal tissues, its peptide epitope elicited CD8+ cytotoxic T
cell (CTL) responses, which lysed CSCs of colorectal cancer and left non-CSCs
intact. Therefore, ASB4 is a tumor-associated antigen that can elicit CTL
responses specific to CSCs and can discriminate between two cellular subsets of
colorectal cancer. Adoptively transferred CTLs specific for the CSC antigen ASB4
could infiltrate implanted colorectal cancer cell tumors and effectively
prevented tumor growth in a mouse model. As the cancer cells implanted in these
mice contained very few CSCs, the elimination of a CSC subset could be the
condition necessary and sufficient to control tumor formation in vivo These
results suggest that CTL-based immunotherapies against colorectal CSCs might be
useful for preventing relapses. Cancer Immunol Res; 1-12. (c)2018 AACR.
PMID- 29371261
TI - Welcome to BMJ Evidence-Based Medicine.
PMID- 29371262
TI - Women, their Offspring and iMproving lifestyle for Better cardiovascular health
of both (WOMB project): a protocol of the follow-up of a multicentre randomised
controlled trial.
AB - INTRODUCTION: Women, their Offspring and iMproving lifestyle for Better
cardiovascular health of both (WOMB) project is the follow-up of the LIFEstyle
study, a randomised controlled trial in obese infertile women, and investigates
the effects of a preconception lifestyle intervention on later health of women
(WOMB women) and their children (WOMB kids). METHODS AND ANALYSIS: Obese
infertile women, aged between 18 and 39 years, were recruited in 23 Dutch
fertility clinics between June 2009 and June 2012. The 284 women allocated to the
intervention group received a 6-month structured lifestyle programme. The 280
women in the control group received infertility care as usual. 4 to 7 years after
inclusion in the trial, all women (n=564) and children conceived during the trial
(24 months after randomisation) (n=305 singletons and age 3-5 years) will be
approached to participate in this follow-up study (starting in 2015). The main
focus of outcome will be cardiovascular health, but the dataset comprises a wide
range of physical and mental health measures, diet and physical activity
measures, child growth and development measures, biological samples and genetic
and epigenetic information. The follow-up assessment consists of three stages
that take place between 2016 and 2018, and includes (online) questionnaires,
accelerometry and physical and behavioural measurements in a mobile research
vehicle. A subsample of 100 women and 100 children are planned for cardiac
ultrasound measurements. ETHICS AND DISSEMINATION: The protocol of this follow-up
study is approved by the local medical ethics committee (University Medical
Centre Groningen). Study findings of the WOMB project will be widely disseminated
to the scientific community, healthcare professionals, policy makers, future
parents and general public. TRIAL REGISTRATION NUMBER: The original LIFEstyle
study is registered at The Netherlands Trial Registry (number 1530).
PMID- 29371264
TI - Effectiveness of a nurse-supported self-management programme for dual sensory
impaired older adults in long-term care: a cluster randomised controlled trial.
AB - OBJECTIVE: To evaluate the effectiveness of a nurse-supported self-management
programme to improve social participation of dual sensory impaired older adults
in long-term care homes. DESIGN: Cluster randomised controlled trial. SETTING:
Thirty long-term care homes across the Netherlands. PARTICIPANTS: Long-term care
homes were randomised into intervention clusters (n=17) and control clusters
(n=13), involving 89 dual sensory impaired older adults and 56 licensed practical
nurses. INTERVENTION: Nurse-supported self-management programme. MEASUREMENTS:
Effectiveness was evaluated by the primary outcome social participation using a
participation scale adapted for visually impaired older adults distinguishing
four domains: instrumental activities of daily living, social-cultural
activities, high-physical-demand and low-physical-demand leisure activities. A
questionnaire assessing hearing-related participation problems was added as
supportive outcome. Secondary outcomes were autonomy, control, mood and quality
of life and nurses' job satisfaction. For effectiveness analyses, linear mixed
models were used. Sampling and intervention quality were analysed using
descriptive statistics. RESULTS: Self-management did not affect all four domains
of social participation; however. the domain 'instrumental activities of daily
living' had a significant effect in favour of the intervention group (P=0.04; 95%
CI 0.12 to 8.5). Sampling and intervention quality was adequate. CONCLUSIONS: A
nurse-supported self-management programme was effective in empowering the dual
sensory impaired older adults to address the domain 'instrumental activities of
daily living', but no differences were found in addressing the other three
participation domains. Self-management showed to be beneficial for managing
practical problems, but not for those problems requiring behavioural adaptations
of other persons. TRIAL REGISTRATION NUMBER: NCT01217502; Results.
PMID- 29371263
TI - Tulsa 1000: a naturalistic study protocol for multilevel assessment and outcome
prediction in a large psychiatric sample.
AB - INTRODUCTION: Although neuroscience has made tremendous progress towards
understanding the basic neural circuitry underlying important processes such as
attention, memory and emotion, little progress has been made in applying these
insights to psychiatric populations to make clinically meaningful treatment
predictions. The overall aim of the Tulsa 1000 (T-1000) study is to use the NIMH
Research Domain Criteria framework in order to establish a robust and reliable
dimensional set of variables that quantifies the positive and negative valence,
cognition and arousal domains, including interoception, to generate clinically
useful treatment predictions. METHODS AND ANALYSIS: The T-1000 is a naturalistic
study that will recruit, assess and longitudinally follow 1000 participants,
including healthy controls and treatment-seeking individuals with mood, anxiety,
substance use and eating disorders. Each participant will undergo interview,
behavioural, biomarker and neuroimaging assessments over the course of 1 year.
The study goal is to determine how disorders of affect, substance use and eating
behaviour organise across different levels of analysis (molecules, genes, cells,
neural circuits, physiology, behaviour and self-report) to predict symptom
severity, treatment outcome and long-term prognosis. The data will be used to
generate computational models based on Bayesian statistics. The final end point
of this multilevel latent variable analysis will be standardised assessments that
can be developed into clinical tools to help clinicians predict outcomes and
select the best intervention for each individual, thereby reducing the burden of
mental disorders, and taking psychiatry a step closer towards personalised
medicine. ETHICS AND DISSEMINATION: Ethical approval was obtained from Western
Institutional Review Board screening protocol #20101611. The dissemination plan
includes informing health professionals of results for clinical practice,
submitting results to journals for peer-reviewed publication, presenting results
at national and international conferences and making the dataset available to
researchers and mental health professionals. TRIAL REGISTRATION NUMBER:
NCT02450240; Pre-results.
PMID- 29371265
TI - Social participation and risk of influenza infection in older adults: a cross
sectional study.
AB - OBJECTIVES: Influenza infection can cause severe pneumonia, which is sometimes
fatal, particularly in older adults. Influenza results in 3-5 million cases of
severe illness and about 250 000 to 500 000 deaths annually worldwide. Social
participation in the context of influenza infection is controversial because,
although social participation is beneficial in maintaining physical function and
mental health, it also increases the risk of contact with infected people. This
study examined the association between social participation and influenza
infection in Japanese adults aged 65 years or older. DESIGN: Cross-sectional
study. SETTING: Japanese functionally independent adults aged 65 years or older.
PARTICIPANTS: Among the respondents to the Japan Gerontological Evaluation Study
(JAGES) 2013 survey, which took place during the period from October to December
2013, 12 231 men and 14 091 women responded to questions on influenza vaccination
and influenza infection. OUTCOME MEASURES: Using JAGES data for 12 231 men and 14
091 women aged >=65 years, we examined the association between social
participation and influenza infection. The association between influenza
infection and number of groups in which respondents participated was investigated
among adults aged>=65 years, stratified by vaccination status and sex. RESULTS:
Unvaccinated women who participated in two or more social activities were 2.20
times (95% CI 1.47 to 3.29) as likely to report an influenza infection as those
who reported no social participation. In contrast, vaccinated women who
participated in two or more social groups had no additional risk of influenza
infection as compared with female elders with no social participation. Among men,
participation in social activities was not significantly associated with
influenza infection, regardless of vaccination status. CONCLUSIONS: Social
participation was associated with a higher risk of influenza infection among
unvaccinated older women, which suggests a need for further efforts to promote
influenza vaccination, particularly among socially active elderly women.
PMID- 29371266
TI - Disease and age pattern of hospitalisation and associated costs in India: 1995
2014.
AB - OBJECTIVES: The prime objective of this study is to examine the trends of disease
and age pattern of hospitalisation and associated costs in India during 1995
2014. DESIGN: Present study used nationally representative data on morbidity and
healthcare from the 52nd (1995) and 71st (2014) rounds of the National Sample
Survey. SETTINGS: A total of 120 942 and 65 932 households were surveyed in 1995
and 2014, respectively. MEASURES: Descriptive statistics, logistic regression
analyses and decomposition analyses were used in examining the changes in
patterns of hospitalisation and associated costs. Hospitalisation rates and costs
per hospitalisation (out-of-pocket expenditure) were estimated for selected
diseases and in four broad categories: communicable diseases, non-communicable
diseases (NCDs), injuries and others. All the costs are presented at 2014 prices
in US$. RESULTS: Hospitalisation rate in India has increased from 1661 in 1995 to
3699 in 2014 (per 100 000 population). It has more than doubled across all age
groups. Hospitalisation among children was primarily because of communicable
diseases, while NCDs were the leading cause of hospitalisation for the 40+
population. Costs per hospitalisation have increased from US$177 in 1995 to
US$316 in 2014 (an increase of 79%). Costs per hospitalisation for NCDs in 2014
were US$471 compared with US$175 for communicable diseases. It was highest for
cancer inpatients (US$942) followed by heart diseases (US$674). Age is the
significant predictor of hospitalisation for all the selected diseases.
Decomposition results showed that about three-fifth of the increase in
unconditional costs per hospitalisation was due to increase in mean hospital
costs, and the other two-fifth was due to increase in hospitalisation rates.
CONCLUSION: There has been more than twofold increase in hospitalisation rates in
India during the last two decades, and significantly higher rates were observed
among infants and older adults. Increasing hospitalisation rates and costs per
hospitalisation are contributing substantially to the rising healthcare costs in
India.
PMID- 29371267
TI - Electroacupuncture as an adjunctive therapy for motor dysfunction in acute stroke
survivors: a systematic review and meta-analyses.
AB - OBJECTIVES: To assess the effectiveness and safety of electroacupuncture (EA)
combined with rehabilitation therapy (RT) and/or conventional drugs (CD) for
improving poststroke motor dysfunction (PSMD). DESIGN: Systematic review and meta
analysis. METHODS: The China National Knowledge Infrastructure, Chinese
Biological Medicine Database, Chinese Scientific Journal Database, Cochrane
Library, Medline and Embase were electronically searched from inception to
December 2016. The methodological quality of the included trials was assessed
using the Cochrane risk of bias assessment tool. Statistical analyses were
performed by RevMan V.5.3 and Stata SE V.11.0. RESULTS: Nineteen trials with 1434
participants were included for qualitative synthesis and meta-analysis. The
methodological quality of the included trials was generally poor. The meta
analysis indicated that the EA group might be benefiting more than the non-EA
group in terms of the changes in the Fugl-Meyer Assessment Scale (FMA) (weighted
mean difference (WMD): 10.79, 95% CI 6.39 to 15.20, P<0.001), FMA for lower
extremity (WMD: 5.16, 95% CI 3.78 to 6.54, P<0.001) and activities of daily
living (standardised mean difference: 1.37, 95% CI 0.79 to 1.96, P<0.001).
However, there was no difference between EA and non-EA groups in terms of the
effective rate (relative risk: 1.13, 95% CI 1.00 to 1.27, P=0.050). Moreover,
there were not any reports of side effects due to EA combined with RT and/or CD
in the included trials. CONCLUSIONS: This review provides new evidence for the
effectiveness and safety of EA combined with RT and/or CD for PSMD. However, the
results should be interpreted cautiously because of methodological weakness and
publication bias. Further clinical trials with a rigorous design and large sample
sizes are warranted. PROSPERO REGISTRATION NUMBER: CRD42016037597.
PMID- 29371268
TI - Longitudinal designs to study neighbourhood effects on the development of
obesity: a scoping review protocol.
AB - INTRODUCTION: The prevalence of obesity has increased significantly in the last
three decades and became an important public health concern. Evidence of weight
status variability at the neighbourhood level has led researchers to look more
precisely at the characteristics of local geographic areas that might influence
energy balance related behaviours, giving rise to the field of the 'neighbourhood
effect' in public health research. Among an abundant literature about
neighbourhood effects and obesity, we propose a protocol for a scoping review
that will aim at determining how temporal measurements of residential
neighbourhood exposure, individual covariates and weight outcome are integrated
in longitudinal designs. METHODS AND ANALYSIS: A list of relevant citations will
be obtained through a comprehensive systematic database search in Pubmed, Web of
Science and Embase. The search strategy will be designed using a broad definition
of neighbourhood to take into account the heterogeneity of this concept in
research. Two investigators will screen titles, abstracts and entire publications
using predetermined eligibility criteria yielding a list of selected
publications. Data from the publications included in the scoping review will be
charted according to bibliographic information, study population, exposure,
outcomes and results. DISCUSSION AND CONCLUSION: To our knowledge, our protocol
will yield the first scoping review regarding longitudinal designs of
neighbourhood effect on obesity. Describing how longitudinal designs include
temporal measurements of exposure, covariates and outcome is a necessary step in
the quest to determine if or which contextual characteristics are likely to be
involved in the development of obesity. Such information would bring new
knowledge to complement current aetiological investigations and would contribute
to enhancing resource allocation strategies for stakeholders in developing
relevant interventions to prevent obesity and its negative impacts.
PMID- 29371269
TI - Attitudes and barriers to exercise in adults with a recent diagnosis of type 1
diabetes: a qualitative study of participants in the Exercise for Type 1 Diabetes
(EXTOD) study.
AB - OBJECTIVES: To explore attitudes and barriers to exercise in adults with new
onset type 1 diabetes mellitus (T1DM). DESIGN: Qualitative methodology using
focus group (n=1), individual face-to-face (n=4) and telephone interviews (n=8).
Thematic analysis using the Framework Method. SETTING: Nineteen UK hospital
sites. PARTICIPANTS: Fifteen participants in the Exercise for Type 1 Diabetes
study. We explored current and past levels of exercise, understanding of exercise
and exercise guidelines, barriers to increasing exercise levels and preferences
for monitoring of activity in a trial. RESULTS: Five main themes were identified:
existing attitudes to exercise, feelings about diagnosis, perceptions about
exercise consequences, barriers to increasing exercise and confidence in managing
blood glucose. An important finding was that around half the participants
reported a reduction in activity levels around diagnosis. Although exercise was
felt to positively impact on health, some participants were not sure about the
benefits or concerned about potential harms such as hypoglycaemia. Some
participants reported being advised by healthcare practitioners (HCPs) not to
exercise. CONCLUSIONS: Exercise should be encouraged (not discouraged) from
diagnosis, as patients may be more amenable to lifestyle change. Standard advice
on exercise and T1DM needs to be made available to HCPs and patients with T1DM to
improve patients' confidence in managing their diabetes around exercise. TRIAL
REGISTRATION NUMBER: ISRCTN91388505; Results.
PMID- 29371270
TI - Diagnostic markers of acute infections in infants aged 1 week to 3 months: a
retrospective cohort study.
AB - OBJECTIVE: History and physical examination do not reliably exclude serious
bacterial infections (SBIs) in infants. We examined potential markers of SBI in
young febrile infants. DESIGN: We reviewed white cell count (WBC), absolute
neutrophil count (ANC), neutrophil to lymphocyte count ratio (NLR) and C reactive
protein (CRP) in infants aged 1 week to 90 days, admitted for fever to one
medical centre during 2012-2014. RESULTS: SBI was detected in 111 (10.6%) of 1039
infants. Median values of all investigated diagnostic markers were significantly
higher in infants with than without SBI: WBC (14.4 vs 11.4 K/uL, P<0.001), ANC
(5.8 vs 3.7 K/uL, P<0.001), CRP (19 vs 5 mg/L, P <0.001) and NLR (1.2 vs 0.7,
P<0.001). Areas under the receiver operating characteristic curve (AUC) for
discriminating SBI were: 0.65 (95% CI 0.59 to 0.71), 0.69 (95% CI 0.63 to 0.74),
0.71 (95% CI 0.65 to 0.76) and 0.66 (95% CI 0.60 to 0.71) for WBC, ANC, CRP and
NLR, respectively. Logistic regression showed the best discriminative ability for
the combination of CRP and ANC, with AUC: 0.73 (95% CI 0.67 to 0.78). For
invasive bacterial infection, AUCs were 0.70 (95% CI 0.56 to 0.85), 0.80 (95% CI
0.67 to 0.92), 0.78 (95% CI 0.68 to 0.89) and 0.78 (95% CI 0.66 to 0.90),
respectively. CRP combined with NLR or ANC were the best discriminators of
infection, AUCs: 0.82 (95% CI 0.70 to 0.95) and 0.82 (95% CI 0.68 to 0.95),
respectively. CONCLUSIONS: Among young febrile infants, CRP was the best single
discriminatory marker of SBI, and ANC was the best for invasive bacterial
infection. ANC and NLR can contribute to evaluating this population.
PMID- 29371271
TI - Quality of life of elderly patients with solid tumours undergoing adjuvant cancer
therapy: a systematic review.
AB - OBJECTIVES: The measurement of quality of life (QoL) in elderly cancer population
is increasingly being recognised as an important element of clinical decision
making and the evaluation of treatment outcome. This systematic review aimed to
summarise the evidence of QoL during and after adjuvant therapy in elderly
patients with cancer. METHODS: A systematic search was conducted of studies
published in CINAHL plus, CENTRAL, PubMed, PsycINFO and Web of Science from the
inception of these databases to December 2016. Eligible studies included RCTs and
non-RCTs in which QoL was measured in elderly patients (aged 65 years or above)
with stage I-III solid tumours who were undergoing adjuvant chemotherapy and/or
radiotherapy. Because of the heterogeneity and the insufficient data among the
included studies, the results were synthesised narratively. RESULTS: We included
4 RCTs and 14 non-RCTs on 1785 participants. In all four RCTs, the risk of bias
was low or unclear for most items but high for detection. Of the 14 non-RCTs, 5
studies were judged to have a low or moderate risk of bias for all domains, and
the other 9 studies had a serious risk of bias in at least one domain. The bias
was observed mainly in the confounding and in the selection of participants for
the study. For most elderly patients with breast cancer, the non-significant
negative change in the QoL was transient. A significant increase in the QoL
during the course of temozolomide in elderly patients with glioblastoma but a
decreasing trend in QoL after radiotherapy was shown. This review also shows a
uniform trend of stable or improved QoL during adjuvant therapy and at follow-up
evaluations across the studies with prostate, colon or cervical cancer
population. CONCLUSIONS: This review suggests that adjuvant chemotherapy and
radiotherapy may not have detrimental effects on QoL in most elderly patients
with solid tumours.
PMID- 29371272
TI - Comparison of two methods to assess physical activity prevalence in children: an
observational study using a nationally representative sample of Scottish children
aged 10-11 years.
AB - OBJECTIVES: To describe the objectively measured levels of physical activity (PA)
and sedentary time in a nationally representative sample of 10-11-year-old
children, and compare adherence estimates to the UK PA guidelines using two
approaches to assessing prevalence. DESIGN: Nationally representative
longitudinal cohort study. SETTING: Scotland wide in partnership with the Growing
up in Scotland (GUS) study. Data collection took place between May 2015 and May
2016. PARTICIPANTS: The parents of 2402 GUS children were approached and 2162
consented to contact. Consenting children (n=1096) wore accelerometers for 8
consecutive days and 774 participants (427 girls, 357 boys) met inclusion
criteria. PRIMARY AND SECONDARY OUTCOME MEASURES: Total PA (counts per minute,
cpm); time spent sedentary and in moderate-to-vigorous PA (MVPA); proportion of
children with >=60 min MVPA on each day of wear (daily approach); proportion of
children with >=60 min of MVPA on average across days of wear (average approach)
presented across boys and girls, index of multiple deprivation and season.
RESULTS: Mean PA level was 648 cpm (95% CI, 627 to 670). Children spent 7.5 hours
(7.4-7.6) sedentary/day and 72.6 min (70.0-75.3) in MVPA/day. 11% (daily) and 68%
(average) of children achieved the recommended levels of PA (P<0.05 for
difference); a greater proportion of boys met the guidelines (12.5% vs 9.8%, NS;
75.9% vs 59.5%, P<0.001); guideline prevalence exhibited seasonal variation. No
significant socioeconomic patterning existed across any outcome measure.
CONCLUSIONS: PA estimates are significantly influenced by the analytical method
used to assess prevalence. This could have a substantial impact on the evaluation
of interventions, policy objectives and public health investment. Existing
guidelines, which focus on daily PA only may not further our understandings about
the underlying construct itself. Gender differences exist within this age-group,
suggesting greater investment, with particular consideration of seasonality, is
needed for targeted intervention work in younger children.
PMID- 29371273
TI - Management and Point-of-Care for Tobacco Dependence (PROMPT): a feasibility mixed
methods community-based participatory action research project in Ottawa, Canada.
AB - OBJECTIVE: To determine the feasibility of a Community-Based Participatory
Tobacco Dependence Strategy (PROMPT) in the inner city population of Ottawa
(Canada). DESIGN: A feasibility mixed methods prospective cohort study following
principles of community-based participatory action research. INTERVENTION:
Recruited 80 people whouse drugs, followed them for 6 months while providing
access to counselling, nicotine replacement therapy and peer-support in a
community setting. SETTING: Community research office in downtown Ottawa,
adjacent to low-income housing, shelter services and street-based drug
consumption. PRIMARY OUTCOME: Retention rate at 6-month follow-up. SECONDARY
OUTCOME: Biochemically validated 7-day point prevalence smoking abstinence at 26
weeks, self-reported abstinence in the past 7 days with exhaled carbon monoxide
<=10 ppm. RESULTS: The average age of participants was 43.8 years. The 6-month
follow-up rate was 42.5%. The mean number of smoking years reported was 27.3
years. The participants were 70% male, 33.7% reported less than a high-school
education, 21% identified as indigenous and 43.8% reported an income between
US$1000 and US$1999 per month. The baseline mean daily cigarette use was 20.5 and
9.3 cigarettes at study end, with mean reduction of 11.2 cigarettes at 6 months
(P=0.0001). There was a considerable reduction in self-reported illicit substance
use (18.8%), including a reduction in the opioids heroin (6.3%), fentanyl (2.6%)
and Oxycontin (3.8%). The study findings also reveal psycho-socioeconomic
benefits such as improved health, return to work and greater community
engagement. CONCLUSIONS: The PROMPT project describes socioeconomic variables
associated with tobacco and polysubstance use. A programme focused on tobacco
dependence, easily accessible in the community and led by community peers with
lived experience is feasible to implement and has the potential to support
positive life changes. PROMPT's patient engagement model is an effective harm
reduction strategy for the growing opioid use crisis and can improve the health
outcomes of marginalised at-risk populations worldwide.
PMID- 29371274
TI - Role of organisational factors on the 'weekend effect' in critically ill patients
in Brazil: a retrospective cohort analysis.
AB - INTRODUCTION: Higher mortality for patients admitted to intensive care units
(ICUs) during the weekends has been occasionally reported with conflicting
results that could be related to organisational factors. We investigated the
effects of ICU organisational and staffing patterns on the potential association
between weekend admission and outcomes in critically ill patients. METHODS: We
included 59 614 patients admitted to 78 ICUs participating during 2013. We
defined 'weekend admission' as any ICU admission from Friday 19:00 until Monday
07:00. We assessed the association between weekend admission with hospital
mortality using a mixed logistic regression model controlling for both patient
level (illness severity, age, comorbidities, performance status and admission
type) and ICU-level (decrease in nurse/bed ratio on weekend, full-time
intensivist coverage, use of checklists on weekends and number of institutional
protocols) confounders. We performed secondary analyses in the subgroup of
scheduled surgical admissions. RESULTS: A total of 41 894 patients (70.3%) were
admitted on weekdays and 17 720 patients (29.7%) on weekends. In univariable
analysis, weekend admitted patients had higher ICU (10.9% vs 9.0%, P<0.001) and
hospital (16.5% vs 13.5%, P<0.001) mortality. After adjusting for confounders,
weekend admission was not associated with higher hospital mortality (OR 1.05, 95%
CI 0.99 to 1.12, P=0.095). However, a 'weekend effect' was still observed in
scheduled surgical admissions, as well as in ICUs not using checklists during the
weekends. For unscheduled admissions, no 'weekend effect' was observed regardless
of ICU's characteristics. For scheduled surgical admissions, a 'weekend effect'
was present only in ICUs with a low number of implemented protocols and those
with a reduction in the nurse/bed ratio and not applying checklists during
weekends. CONCLUSIONS: ICU organisational factors, such as decreased nurse-to
patient ratio, absence of checklists and fewer standardised protocols, may
explain, in part, increases in mortality in patients admitted to the ICU
mortality on weekends.
PMID- 29371275
TI - Effect of a health literacy intervention trial on knowledge about cardiovascular
disease medications among Indigenous peoples in Australia, Canada and New
Zealand.
AB - OBJECTIVES: To assess the effect of a customised, structured cardiovascular
disease (CVD) medication health literacy programme on medication knowledge among
Indigenous people with, or at high risk of, CVD. DESIGN: Intervention trial with
premeasures and postmeasures at multiple time points. SETTING: Indigenous primary
care services in Australia, Canada and New Zealand. PARTICIPANTS: 171 Indigenous
people aged >=20 years of age who had at least one clinical diagnosis of a CVD
event, or in Canada and Australia had a 5-year CVD risk >=15%, and were
prescribed at least two of the following CVD medication classes: statin, aspirin,
ACE inhibitors and beta blockers. INTERVENTION: An education session delivered on
three occasions over 1 month by registered nurses or health educators who had
received training in health literacy and principles of adult education. An
interactive tablet application was used during each session and an information
booklet and pill card provided to participants. PRIMARY OUTCOME MEASURES:
Knowledge about the CVD medications assessed before and after each session.
RESULTS: Knowledge at baseline (presession 1) was low, with the mean per cent
correct answers highest for statins (34.0% correct answers), 29.4% for aspirin,
26.0% for beta blockers and 22.7% for ACE inhibitors. Adjusted analyses showed
highly significant (P<0.001) increases in knowledge scores between preassessments
and postassessments at all three time points for all medication classes. For the
four medications, the absolute increases in adjusted per cent correct items from
presession 1 to postsession 3 assessments were 60.1% for statins, 76.8% for
aspirin, 71.4% for ACE inhibitor and 69.5% for beta blocker. CONCLUSIONS: The
intervention was highly effective in contextually diverse Indigenous primary
healthcare services in Australia, Canada and New Zealand. The findings from this
study have important implications for health services working with populations
with low health literacy more generally. TRIAL REGISTRATION NUMBER:
ACTRN12612001309875.
PMID- 29371276
TI - Are risk factors for preterm and early-term live singleton birth the same? A
population-based study in France.
AB - OBJECTIVES: To investigate whether risk factors for preterm (<37 weeks gestation)
and early-term birth (37 and 38 weeks gestation) are similar. DESIGN: Nationally
representative cross-sectional study of births. SETTING: France in 2010.
PARTICIPANTS: Live singleton births (n=14 326). PRIMARY AND SECONDARY OUTCOME
MEASURES: Preterm and early-term birth rates overall and by mode of delivery
(spontaneous and indicated). Risk factors were maternal sociodemographic
characteristics, previous preterm birth, height, prepregnancy body mass index
(BMI) and smoking, assessed using multinomial regression models with full-term
births 39 weeks and over as the reference group. RESULTS: There were 5.5% preterm
and 22.5% early-term births. Common risk factors were: a previous preterm
delivery (adjusted relative risk ratio (aRRR) 8.2 (95% CI 6.2 to 10.7) and aRRR
2.4 (95% CI 2.0 to 3.0), respectively), short stature, underweight (overall and
in spontaneous deliveries), obesity (in indicated deliveries only), a low
educational level and Sub-Saharan African origin. In contrast, primiparity was a
risk factor only for preterm birth, aRRR 1.8 (95% CI 1.5 to 2.2), while higher
parity was associated with greater risk of early-term birth. CONCLUSIONS: Most
population-level risk factors were common to both preterm and early-term birth
with the exception of primiparity, and BMI which differed by mode of onset of
delivery. Our results suggest that preterm and early-term birth share similar
aetiologies and thus potentially common strategies for prevention.
PMID- 29371278
TI - Association between body mass index and obesity-related cancer risk in men and
women with type 2 diabetes in primary care in the Netherlands: a cohort study
(ZODIAC-56).
AB - OBJECTIVE: To investigate the relationship between body mass index (BMI) and
obesity-related cancers in men and women with type 2 diabetes (T2D). DESIGN:
Observational cohort study. SETTING: Primary care. PARTICIPANTS: A total of 52
044 patients with T2D who participated in the ZODIAC (Zwolle Outpatient Diabetes
project Integrating Available Care) study between 1998 and 2012 was included (49%
women). A dataset of these patients was linked to available information of the
Netherlands Cancer Registry to obtain data on cancer incidents. PRIMARY OUTCOME
MEASURES: Analyses were performed for the total group of obesity-related cancers
and for non-sex-specific and sex-specific obesity-related cancers (in men:
advanced prostate cancer, in women: ovarian, endometrial and postmenopausal
breast cancer). RESULTS: The median follow-up period in all analyses was 3.1 (1.7
5.0) years in men and 3.1 (1.7-5.1) in women. During follow-up, 689 men and 914
women were diagnosed with an obesity-related cancer. In men, BMI was associated
with a higher risk of the total group of obesity-related cancers and non-sex
specific obesity-related cancers (HR (per 5 kg/m2 increase) 1.12 (95% CI 1.02 to
1.23) and HR 1.18 (95% CI 1.06 to 1.31)). No association was found with prostate
cancer. In women, an association between BMI and all obesity-related cancers
combined and sex-specific obesity-related cancers was present (HR 1.15 (95% CI
1.08 to 1.22) and HR 1.22 (95% CI 1.14 to 1.32)). No association with non-sex
specific cancers was found in women. CONCLUSIONS: BMI is associated with obesity
related cancers in men with T2D, except with advanced prostate cancer. The
results of this study provide reason to reconsider the classification of advanced
prostate cancer as an obesity-related cancer, at least in T2D. In women, BMI is
associated with the total group of obesity-related cancers and with sex-specific
obesity-related cancers.
PMID- 29371277
TI - Association between low bone mineral density and hearing impairment in
postmenopausal women: the Korean National Health and Nutrition Examination
Survey.
AB - OBJECTIVE: To investigate the relationship between bone mineral density (BMD) and
hearing impairment using a nationally demonstrative sample of Korean female
adults. STUDY DESIGN: Cross-sectional study of a national health survey. METHODS:
Data from the 2009-2010 Korean National Health and Nutrition Examination Surveys
(KNHANES) with 19 491 participants were analysed, and 8773 of these participants
were enrolled in this study. BMD was measured using dual-energy X-ray
absorptiometry. Auditory functioning was evaluated by pure-tone audiometric
testing according to established KNHANES protocols. We deliberated auditory
impairment as pure-tone averages at frequencies of 0.5, 1.0, 2.0 and 3.0 kHz at a
threshold of >=40 decibels hearing level in the auricle with better hearing
status. RESULTS: Among women aged 19 years and older, prevalences of bilateral
hearing impairment in premenopausal and postmenopausal women were 0.1%+/-0.1% and
11.5%+/-1.1% (mean+/-SE), respectively. Hearing impairment was meaningfully
associated with low BMD in postmenopausal women. Logistic regression models
indicated that lower BMDs of the total femur (OR=0.779; 95% CI 0.641 to 0.946,
P=0.0118) and femur neck (OR=0.746; 95% CI 0.576 to 0.966, P=0.0265) were
significantly associated with hearing impairment among postmenopausal women.
CONCLUSIONS: Postmenopausal Korean women with low BMD of the total femur and
femoral neck showed an increased risk for developing hearing impairment. Further
epidemiological and investigational studies are needed to elucidate this
association.
PMID- 29371279
TI - Manual therapy for unsettled, distressed and excessively crying infants: a
systematic review and meta-analyses.
AB - OBJECTIVE: To conduct a systematic review and meta-analyses to assess the effect
of manual therapy interventions for healthy but unsettled, distressed and
excessively crying infants and to provide information to help clinicians and
parents inform decisions about care. METHODS: We reviewed published peer-reviewed
primary research articles in the last 26 years from nine databases (Medline Ovid,
Embase, Web of Science, Physiotherapy Evidence Database, Osteopathic Medicine
Digital Repository , Cochrane (all databases), Index of Chiropractic Literature,
Open Access Theses and Dissertations and Cumulative Index to Nursing and Allied
Health Literature). Our inclusion criteria were: manual therapy (by regulated or
registered professionals) of unsettled, distressed and excessively crying infants
who were otherwise healthy and treated in a primary care setting. Outcomes of
interest were: crying, feeding, sleep, parent-child relations, parent
experience/satisfaction and parent-reported global change. RESULTS: Nineteen
studies were selected for full review: seven randomised controlled trials, seven
case series, three cohort studies, one service evaluation study and one
qualitative study.We found moderate strength evidence for the effectiveness of
manual therapy on: reduction in crying time (favourable: -1.27 hours per day (95%
CI -2.19 to -0.36)), sleep (inconclusive), parent-child relations (inconclusive)
and global improvement (no effect). The risk of reported adverse events was low:
seven non-serious events per 1000 infants exposed to manual therapy (n=1308) and
110 per 1000 in those not exposed. CONCLUSIONS: Some small benefits were found,
but whether these are meaningful to parents remains unclear as does the
mechanisms of action. Manual therapy appears relatively safe. PROSPERO
REGISTRATION NUMBER: CRD42016037353.
PMID- 29371280
TI - Use of primary care and other healthcare services between age 85 and 90 years:
longitudinal analysis of a single-year birth cohort, the Newcastle 85+ study.
AB - OBJECTIVE: To describe, using data from the Newcastle 85+ cohort study, the use
of primary care and other healthcare services by 85-year-olds as they age.
DESIGN: Longitudinal population-based cohort study. SETTING: Newcastle on Tyne
and North Tyneside, UK. PARTICIPANTS: Community dwelling and institutionalised
men and women recruited through general practices (n=845, 319 men and 526 women).
RESULTS: Contact was established with 97% (n=1409/1459) of eligible 85-year-olds,
consent obtained from 74% (n=1042/1409) and 851 agreed to undergo the
multidimensional health assessment and a general practice medical records review.
A total of 845 participants had complete data at baseline for this study (319
male, 526 female), with 344 (118 male, 226 female) reinterviewed at 60 months.
After adjusting for confounders, all consultations significantly increased over
the 5 years (incidence rate ratio, IRR=1.03, 95% CI 1.01 to 1.05, P=0.001) as did
general practitioner (GP) consultations (IRR=1.03, 95% CI 1.01 to 1.05, P=0.006).
Significant increases were also observed in inpatient and day hospital use over
time, though these disappeared after adjustment for confounders. CONCLUSIONS: Our
study of primary, secondary and community care use by the very old reveals that,
between the ages of 85 and 90 years, older people are much more likely to consult
their GP than any other primary healthcare team members. With a rapidly ageing
society, it is essential that both current and future GPs are appropriately
skilled, and adequately supported by specialist colleagues, as the main
healthcare provider for a population with complex and challenging needs.
PMID- 29371281
TI - Motives for sickness presence among students at secondary school: a cross
sectional study in five European countries.
AB - OBJECTIVES: This article investigates various motives for sickness presence (SP)
among students in secondary school. DESIGN: Cross-sectional study. SETTING: 25
secondary schools in Belgium, Estonia, Finland, Italy and Latvia. PARTICIPANTS:
5002 students between 16 and 19 years of age, 49% female. RESULTS: Almost half of
the students reported two or more incidents of SP. The study indicated that the
practice of SP was mainly extrinsically motivated. The most often reported
motives for SP were that absence could affect grades negatively, that important
curriculum material was explained at the school and attendance requirements. Some
students practising SP expressed intrinsic motivation, such as maintaining their
social network and interest in what was learnt at school. CONCLUSION: The study
investigated various motives for SP in secondary schools in five European
countries. Extrinsic motivation for SP was more often reported than intrinsic
motivation for SP. Multivariate analyses indicated that boys, students in
vocational education, immigrants and students with low-educated parents more
often reported intrinsic motivation for SP, while girls and students with high
absence more often reported extrinsic motivation. There were also notable cross
country differences regarding reported motives for SP.
PMID- 29371282
TI - Controlled pre-post, mixed-methods study to determine the effectiveness of a
national delirium clinical care standard to improve the diagnosis and care of
patients with delirium in Australian hospitals: a protocol.
AB - INTRODUCTION: Delirium, an acute confusional state, affects up to 29% of acute
inpatients aged 65 years and over. The Australian Delirium Clinical Care Standard
(the Standard) contains evidence-based, multicomponent interventions, to identify
and reduce delirium. This study aims to: (1) conduct a controlled, before-and
after study to assess the clinical effectiveness of the Standard to improve
diagnosis and treatment of delirium; (2) conduct a cost-effectiveness study of
implementing the Standard and (3) evaluate the implementation process. METHODS
AND ANALYSIS: The study will use a controlled, preimplementation and
postimplementation mixed-methods study design, including: medical record reviews,
activity-based costing analysis and interviews with staff, patients and their
family members. The study population will comprise patients 65 years and over,
admitted to surgical, medical and intensive care wards in four intervention
hospitals and one control hospital. The primary clinical outcome will be the
incidence of delirium. Secondary outcomes include: length of stay, severity and
duration of delirium, inhospital mortality rates, readmission rates and use of
psychotropic drugs. Cost-effectiveness will be evaluated through activity-based
costing analysis and outcome data, and the implementation process appraised
through the qualitative results. ETHICS AND DISSEMINATION: Ethics approval has
been received for two hospitals. Additional hospitals have been identified and
ethics applications will be submitted once the tools in the pilot study have been
tested.The results will be submitted for publication in peer-reviewed journals
and presented to national and international conferences. Results seminars will
provide a quality feedback mechanism for staff and health policy bodies.
PMID- 29371283
TI - Clinical features, antimicrobial susceptibility patterns and genomics of bacteria
causing neonatal sepsis in a children's hospital in Vietnam: protocol for a
prospective observational study.
AB - INTRODUCTION: The clinical syndrome of neonatal sepsis, comprising signs of
infection, septic shock and organ dysfunction in infants <=4 weeks of age, is a
frequent sequel to bloodstream infection and mandates urgent antimicrobial
therapy. Bacterial characterisation and antimicrobial susceptibility testing is
vital for ensuring appropriate therapy, as high rates of antimicrobial resistance
(AMR), especially in low-income and middle-income countries, may adversely affect
outcome. Ho Chi Minh City (HCMC) in Vietnam is a rapidly expanding city in
Southeast Asia with a current population of almost 8 million. There are limited
contemporary data on the causes of neonatal sepsis in Vietnam, and we hypothesise
that the emergence of multidrug resistant bacteria is an increasing problem for
the appropriate management of sepsis cases. In this study, we aim to investigate
the major causes of neonatal sepsis and assess disease outcomes by clinical
features, antimicrobial susceptibility profiles and genome composition. METHOD
AND ANALYSIS: We will conduct a prospective observational study to characterise
the clinical and microbiological features of neonatal sepsis in a major
children's hospital in HCMC. All bacteria isolated from blood subjected to whole
genome sequencing. We will compare clinical variables and outcomes between
different bacterial species, genome composition and AMR gene content. AMR gene
content will be assessed and stratified by species, years and contributing
hospital departments. Genome sequences will be analysed to investigate
phylogenetic relationships. ETHICS AND DISSEMINATION: The study will be conducted
in accordance with the principles of the Declaration of Helsinki and the
International Council on Harmonization Guidelines for Good Clinical Practice.
Ethics approval has been provided by the Oxford Tropical Research Ethics
Committee 35-16 and Vietnam Children's Hospital 1 Ethics Committee 73/GCN/BVND1.
The findings will be disseminated at international conferences and peer-reviewed
journals. TRIAL REGISTRATION NUMBER: ISRCTN69124914; Pre-results.
PMID- 29371285
TI - Best practices for community-engaged participatory research with Pacific Islander
communities in the USA and USAPI: protocol for a scoping review.
AB - INTRODUCTION: Community-based participatory research is a partnership approach to
research that seeks to equally involve community members, organisational
representatives and academic partners throughout the research process in a
coequal and mutually beneficial partnership. To date, no published article has
synthesised the best practices for community-based participatory research
practices with Pacific Islanders. METHODS AND ANALYSIS: The reviewers will
examine studies' titles, abstracts and full text, comparing eligibility to
address discrepancies. For each eligible study, data extraction will be executed
by two reviewers and one confirmation coder, comparing extracted data to address
any discrepancies. Eligible data will be synthesised and reported in a narrative
review assessing coverage and gaps in existing literature related to community
based participatory research with Pacific Islanders. DISCUSSION AND
DISSEMINATION: The purpose of this review is to identify best practices used when
conducting community-based participatory research with Pacific Islanders; it will
also extrapolate where the gaps are in the existing literature. This will be the
first scoping review on community-based participatory research with Pacific
Islanders. To facilitate dissemination, the results of this scoping review will
be submitted for publication to a peer-reviewed journal, presented at conferences
and shared with community-based participatory research stakeholders.
PMID- 29371284
TI - Comparative effectiveness of novel oral anticoagulants in UK patients with non
valvular atrial fibrillation and chronic kidney disease: a matched cohort study.
AB - OBJECTIVES: To evaluate the effectiveness and safety of novel oral anticoagulants
(NOACs) compared with vitamin K antagonists (VKAs) among patients with non
valvular atrial fibrillation (NVAF), particularly those with chronic kidney
disease (CKD). DESIGN: Population-based matched cohort study. SETTING: Over 670
primary care practices in the UK, contributing to the Clinical Practice Research
Datalink. PARTICIPANTS: Up to 6818 adult patients newly treated with NOACs
between 2011 and 2016, matched 1:1 to new users of VKAs on age, sex and high
dimensional propensity score. INTERVENTIONS: Current exposure to NOACs compared
with current exposure to VKAs. MAIN OUTCOME MEASURES: HRs of ischaemic stroke and
systemic embolism (SE), major bleeding, gastrointestinal (GI) bleeding,
intracranial bleeding, myocardial infarction and all-cause mortality. RESULTS: In
as-treated analyses, the rates of ischaemic stroke/SE were similar between NOACs
and VKAs (HR 0.94; 95% CI 0.62 to 1.42), as were the rates of major bleeding (HR
0.86; 95% CI 0.56 to 1.33). NOACs also significantly increased the risk of GI
bleeding (HR 1.78; 95% CI 1.27 to 2.48). In patients with NVAF and CKD, NOACs and
VKAs remained comparable with respect to the risk of ischaemic stroke/SE (HR
0.79; 95% CI 0.40 to 1.58) and major bleeding (HR 0.88; 95% CI 0.47 to 1.62),
with no difference in the risk of GI bleeding (HR 0.99; 95% CI 0.63 to 1.55).
Similar results were obtained in on-treatment analyses using a time-dependent
exposure definition. CONCLUSIONS: Our results suggest that in the UK primary
care, NOACs are overall effective and safe alternatives to VKAs, among patients
with NVAF altogether, as well as in patients with NVAF and CKD.
PMID- 29371287
TI - Correction: Prevalence of and factors associated with adopting bone health
promoting behaviours among people with osteoporosis in Taiwan: a cross-sectional
study.
PMID- 29371286
TI - Association of chronic kidney disease with mortality risk in patients with lung
cancer: a nationwide Taiwan population-based cohort study.
AB - OBJECTIVE: Our population-based research aimed to clarify the association between
chronic kidney disease (CKD) and mortality risk in patients with lung cancer.
DESIGN: Retrospective cohort study SETTING: National health insurance research
database in Taiwan PARTICIPANTS: All (n=1 37 077) Taiwanese residents who were
diagnosed with lung cancer between 1997 and 2012 were identified. Eligible
patients with baseline CKD (n=2269) were matched with controls (1:4, n=9076)
without renal disease according to age, sex and the index day of lung cancer
diagnosis. METHODS: The cumulative incidence of death was calculated by the
Kaplan-Meier method, and the risk determinants were explored by the Cox
proportional hazards model. RESULTS: Mortality occurred in 1866 (82.24%) and 7135
(78.61%) patients with and without CKD, respectively (P=0.0001). The cumulative
incidences of mortality in patients with and without chronic renal disease were
72.8% vs 61.6% at 1 year, 82.0% vs 76.6% at 2 years and 88.9% vs 87.2% at 5
years, respectively. After adjusting for multiple confounding factors including
age and comorbidities, Cox regression analysis revealed that CKD was associated
with an increased risk of mortality (adjusted HR 1.38; 95% CI 1.29 to 1.47).
Stratified analysis further showed that the association was consistent across
patient subgroups. CONCLUSION: Comorbidity associated with CKD is a risk factor
for mortality in patients with lung cancer.
PMID- 29371288
TI - Seven days in medicine: 17-23 January 2018.
PMID- 29371290
TI - Protein Tyrosine Phosphatase Receptor Type G (PTPRG) Controls Fibroblast Growth
Factor Receptor (FGFR) 1 Activity and Influences Sensitivity to FGFR Kinase
Inhibitors.
AB - Recently, FGFR1 was found to be overexpressed in osteosarcoma and represents an
important target for precision medicine. However, because targeted cancer therapy
based on FGFR inhibitors has so far been less efficient than expected, a detailed
understanding of the target is important. We have here applied proximity
dependent biotin labeling combined with label-free quantitative mass spectrometry
to identify determinants of FGFR1 activity in an osteosarcoma cell line. Many
known FGFR interactors were identified (e.g. FRS2, PLCG1, RSK2, SRC), but the
data also suggested novel determinants. A strong hit in our screen was the
tyrosine phosphatase PTPRG. We show that PTPRG and FGFR1 interact and colocalize
at the plasma membrane where PTPRG directly dephosphorylates activated FGFR1. We
further show that osteosarcoma cell lines depleted for PTPRG display increased
FGFR activity and are hypersensitive to stimulation by FGF1. In addition, PTPRG
depletion elevated cell growth and negatively affected the efficacy of FGFR
kinase inhibitors. Thus, PTPRG may have future clinical relevance by being a
predictor of outcome after FGFR inhibitor treatment.
PMID- 29371292
TI - Excess of Love.
PMID- 29371289
TI - Elevated vasopressin in pregnant mice induces T-helper subset alterations
consistent with human preeclampsia.
AB - The pathogenesis of preeclampsia (PreE), a hypertensive disorder of pregnancy,
involves imbalanced T helper (TH) cell populations and resultant changes in pro-
and anti-inflammatory cytokine release. Elevated copeptin (an inert biomarker of
arginine vasopressin (AVP)), secretion precedes the development of symptoms in
PreE in humans, and infusion of AVP proximal to and throughout gestation is
sufficient to initiate cardiovascular and renal phenotypes of PreE in wild-type
C57BL/6J mice. We hypothesize that AVP infusion in wild-type mice is sufficient
to induce the immune changes observed in human PreE. AVP infusion throughout
gestation in mice resulted in increased pro-inflammatory interferon gamma (IFNg)
(TH1) in the maternal plasma. The TH17-associated cytokine interleukin (IL)-17
was elevated in the maternal plasma, amniotic fluid, and placenta following AVP
infusion. Conversely, the TH2-associated anti-inflammatory cytokine IL-4 was
decreased in the maternal and fetal kidneys from AVP-infused dams, while IL-10
was decreased in the maternal kidney and all fetal tissues. Collectively, these
results demonstrate the sufficiency of AVP to induce the immune changes typical
of PreE. We investigated if T cells can respond directly to AVP by evaluating the
expression of AVP receptors (AVPRs) on mouse and human CD4+ T cells. Mouse and
human T cells expressed AVPR1a, AVPR1b, and AVPR2. The expression of AVPR1a was
decreased in CD4+ T cells obtained from PreE-affected women. In total, our data
are consistent with a potential initiating role for AVP in the immune dysfunction
typical of PreE and identifies putative signaling mechanism(s) for future
investigation.
PMID- 29371291
TI - Multiomics Integration Reveals the Landscape of Prometastasis Metabolism in
Hepatocellular Carcinoma.
AB - The systematic investigation of gene mutation and expression is important to
discover novel biomarkers and therapeutic targets in cancers. Here, we integrated
genomics, transcriptomics, proteomics, and metabolomics to analyze three
hepatocellular carcinoma (HCC) cell lines with differential metastatic
potentials. The results revealed the profile of the prometastasis metabolism
potentially associated with HCC metastasis. The multiomic analysis identified 12
genes with variations at multiple levels from three metabolic pathways, including
glycolysis, starch, and sucrose metabolism, and glutathione metabolism.
Furthermore, uridine diphosphate (UDP)-glucose pyrophosphorylase 2 (UGP2), was
observed to be persistently up-regulated with increased metastatic potential.
UGP2 overexpression promoted cell migration and invasion and enhanced
glycogenesis in vitro The role of UGP2 in metastasis was further confirmed using
a tumor xenograft mouse model. Taken together, the compendium of multiomic data
provides valuable insights in understanding the roles of shifted cellular
metabolism in HCC metastasis.
PMID- 29371293
TI - Organ donation: a national consultation.
PMID- 29371294
TI - Organ donation: opting in or opting out?
PMID- 29371295
TI - Stemming the tide of antimicrobial resistance: implications for management of
acne vulgaris.
PMID- 29371296
TI - Skill-mix change and the general practice workforce challenge.
PMID- 29371297
TI - Personalised medicine in general practice: the example of raised cholesterol.
PMID- 29371298
TI - Type 1 self-diagnosis.
PMID- 29371299
TI - A positive diagnosis of irritable bowel syndrome does not give immunity from
developing bowel cancer.
PMID- 29371300
TI - Patients' acceptance of physician associates.
PMID- 29371301
TI - Bad medicine.
PMID- 29371302
TI - Viewpoint: Resilience training, really?
PMID- 29371303
TI - Child sexual exploitation in Coronation Street.
PMID- 29371304
TI - GPs and green socks: don't get stuck between a sock and a hard place.
PMID- 29371305
TI - Premature ovarian insufficiency: why is it not being diagnosed enough in primary
care?
PMID- 29371306
TI - Yonder: Sleep quality, febrile seizures, interpreters, and doulas.
PMID- 29371307
TI - Clinical pharmacists in general practice: a necessity not a luxury?
PMID- 29371308
TI - An embarrassing handicap.
PMID- 29371309
TI - Bad Medicine: Letters.
PMID- 29371310
TI - Books: Righteous Dopefiend: An Ethnography of Homeless Heroin Users.
PMID- 29371311
TI - Books: How Doctors Think: Clinical Judgment and the Practice of Medicine: The
Importance of Anecdote.
PMID- 29371312
TI - Child health.
PMID- 29371313
TI - Childhood obesity: a challenge for primary care teams.
PMID- 29371314
TI - Vaccinations not covered under Section 7a: who pays?
PMID- 29371315
TI - Should we be screening for thyroid dysfunction in patients with type 2 diabetes
mellitus?
PMID- 29371316
TI - The management of lupus in young people.
PMID- 29371317
TI - Management of nut allergy in primary care.
PMID- 29371318
TI - Adaptive History Biases Result from Confidence-weighted Accumulation of Past
Choices.
AB - Perceptual decision-making is biased by previous events, including the history of
preceding choices: Observers tend to repeat (or alternate) their judgments of the
sensory environment more often than expected by chance. Computational models
postulate that these so-called choice history biases result from the accumulation
of internal decision signals across trials. Here, we provide psychophysical
evidence for such a mechanism and its adaptive utility. Male and female human
observers performed different variants of a challenging visual motion
discrimination task near psychophysical threshold. In a first experiment, we
decoupled categorical perceptual choices and motor responses on a trial-by-trial
basis. Choice history bias was explained by previous perceptual choices, not
motor responses, highlighting the importance of internal decision signals in
action-independent formats. In a second experiment, observers performed the task
in stimulus environments containing different levels of auto-correlation and
providing no external feedback about choice correctness. Despite performing under
overall high levels of uncertainty, observers adjusted both the strength and the
sign of their choice history biases to these environments. When stimulus
sequences were dominated by either repetitions or alternations, the individual
degree of this adjustment of history bias was about as good a predictor of
individual performance as individual perceptual sensitivity. The history bias
adjustment scaled with two proxies for observers' confidence about their previous
choices (accuracy and reaction time). Taken together, our results are consistent
with the idea that action-independent, confidence-modulated decision variables
are accumulated across choices in a flexible manner that depends on decision
makers' model of their environment.Significance statement:Decisions based on
sensory input are often influenced by the history of one's preceding choices,
manifesting as a bias to systematically repeat (or alternate) choices. We here
provide support for the idea that such choice history biases arise from the
context-dependent accumulation of a quantity referred to as the decision
variable: the variable's sign dictates the choice and its magnitude the
confidence about choice correctness. We show that choices are accumulated in an
action-independent format and a context-dependent manner, weighted by the
confidence about their correctness. This confidence-weighted accumulation of
choices enables decision-makers to flexibly adjust their behavior to different
sensory environments. The bias adjustment can be as important for optimizing
performance as one's sensitivity to the momentary sensory input.
PMID- 29371319
TI - Altered Baseline and Nicotine-Mediated Behavioral and Cholinergic Profiles in
ChAT-Cre Mouse Lines.
AB - The recent development of transgenic rodent lines expressing cre recombinase in a
cell-specific manner, along with advances in engineered viral vectors, has
permitted in-depth investigations into circuit function. However, emerging
evidence has begun to suggest that genetic modifications may introduce unexpected
caveats. In the current studies, we sought to extensively characterize male and
female mice from both the ChAT(BAC)-Cre mouse line, created with the bacterial
artificial chromosome (BAC) method, and ChAT(IRES)-Cre mouse line, generated with
the internal ribosome entry site (IRES) method. ChAT(BAC)-Cre transgenic and wild
type mice did not differ in general locomotor behavior, anxiety measures, drug
induced cataplexy, nicotine-mediated hypolocomotion, or operant food training.
However, ChAT(BAC)-Cre transgenic mice did exhibit significant deficits in
intravenous nicotine self-administration, which paralleled an increase in
vesicular acetylcholine transporter and choline acetyltransferase (ChAT)
hippocampal expression. For the ChAT(IRES)-Cre line, transgenic mice exhibited
deficits in baseline locomotor, nicotine-mediated hypolocomotion, and operant
food training compared with wild-type and hemizygous littermates. No differences
among ChAT(IRES)-Cre wild-type, hemizygous, and transgenic littermates were found
in anxiety measures, drug-induced cataplexy, and nicotine self-administration.
Given that increased cre expression was present in the ChAT(IRES)-Cre transgenic
mice, as well as a decrease in ChAT expression in the hippocampus, altered
neuronal function may underlie behavioral phenotypes. In contrast, ChAT(IRES)-Cre
hemizygous mice were more similar to wild-type mice in both protein expression
and the majority of behavioral assessments. As such, interpretation of data
derived from ChAT-Cre rodents must consider potential limitations dependent on
the line and/or genotype used in research investigations.SIGNIFICANCE STATEMENT
Altered baseline and/or nicotine-mediated behavioral profiles were discovered in
transgenic mice from the ChAT(BAC)-Cre and ChAT(IRES)-Cre lines. Given that these
cre-expressing mice have become increasingly used by the scientific community,
either independently with chemicogenetic and optogenetic viral vectors or crossed
with other transgenic lines, the current studies highlight important
considerations for the interpretation of data from previous and future
experimental investigations. Moreover, the current findings detail the behavioral
effects of either increased or decreased baseline cholinergic signaling
mechanisms on locomotor, anxiety, learning/memory, and intravenous nicotine self
administration behaviors.
PMID- 29371320
TI - Antagonistic Interactions Between Microsaccades and Evidence Accumulation
Processes During Decision Formation.
AB - Despite their small size, microsaccades can impede stimulus detections if
executed at inopportune times. Although it has been shown that microsaccades
evoke both inhibitory and excitatory responses across different visual regions,
their impact on the higher-level neural decision processes that bridge sensory
responses to action selection has yet to be examined. Here, we show that when
human observers monitor stimuli for subtle feature changes, the occurrence of
microsaccades long after (up to 800 ms) change onset predicts slower reaction
times and this is accounted for by momentary suppression of neural signals at
each key stage of decision formation: visual evidence encoding, evidence
accumulation, and motor preparation. Our data further reveal that, independent of
the timing of the change events, the onset of neural decision formation coincides
with a systematic inhibition of microsaccade production, persisting until the
perceptual report is executed. Our combined behavioral and neural measures
highlight antagonistic interactions between microsaccade occurrence and evidence
accumulation during visual decision-making tasks.SIGNIFICANCE STATEMENT When
fixating on a location in space, we frequently make tiny eye movements called
microsaccades. In the present study, we show that these microsaccades impede our
ability to make perceptual decisions about visual stimuli and this impediment
specifically occurs via the disruption of several processing levels of the
sensorimotor network: the encoding of visual evidence itself, the accumulation of
visual evidence toward a response, and effector-selective motor preparation.
Furthermore, we show that the production of microsaccades is inhibited during the
perceptual decision, possibly as a counteractive measure to mitigate their
negative effect on behavior in this context. The combined behavioral and neural
measures used in this study provide strong and novel evidence for the interaction
of fixational eye movements and the perceptual decision-making process.
PMID- 29371322
TI - Iron overload in transfusion-dependent survivors of hemoglobin Bart's hydrops
fetalis.
PMID- 29371321
TI - Role of Anterior Intralaminar Nuclei of Thalamus Projections to Dorsomedial
Striatum in Incubation of Methamphetamine Craving.
AB - Relapse to methamphetamine (Meth) seeking progressively increases after
withdrawal from drug self-administration (incubation of Meth craving). We
previously demonstrated a role of dorsomedial striatum (DMS) dopamine D1
receptors (D1Rs) in this incubation. Here, we studied the role of afferent
glutamatergic projections into the DMS and local D1R-glutamate interaction in
this incubation in male rats. We first measured projection-specific activation on
day 30 relapse test by using cholera toxin b (retrograde tracer) + Fos (activity
marker) double-labeling in projection areas. Next, we determined the effect of
pharmacological reversible inactivation of lateral or medial anterior
intralaminar nuclei of thalamus (AIT-L or AIT-M) on incubated Meth seeking on
withdrawal day 30. We then used an anatomical asymmetrical disconnection
procedure to determine whether an interaction between AIT-L->DMS glutamatergic
projections and postsynaptic DMS D1Rs contributes to incubated Meth seeking. We
also determined the effect of unilateral inactivation of AIT-L and D1R blockade
of DMS on incubated Meth seeking, and the effect of contralateral disconnection
of AIT-L->DMS projections on nonincubated Meth seeking on withdrawal day 1.
Incubated Meth seeking was associated with selective activation of AIT->DMS
projections; other glutamatergic projections to DMS were not activated. AIT-L
(but not AIT-M) inactivation or anatomical disconnection of AIT-L->DMS
projections decreased incubated Meth seeking. Unilateral inactivation of AIT-L or
D1R blockade of the DMS had no effect on incubated Meth craving, and
contralateral disconnection of AIT-L->DMS projections had no effect on
nonincubated Meth seeking. Our results identify a novel role of AIT-L and AIT-L
>DMS glutamatergic projections in incubation of drug craving and drug
seeking.SIGNIFICANCE STATEMENT Methamphetamine seeking progressively increases
after withdrawal from drug self-administration, a phenomenon termed incubation of
methamphetamine craving. We previously found that D1R-mediated dopamine
transmission in the dorsomedial striatum plays a critical role in this incubation
phenomenon. Here, we used neuroanatomical and neuropharmacological methods in
rats to demonstrate that an interaction between the glutamatergic projection from
the lateral anterior intralaminar nuclei of the thalamus to the dorsomedial
striatum and local dopamine D1 receptors plays a critical role in relapse to
methamphetamine seeking after prolonged withdrawal. Our study identified a novel
motivation-related thalamostriatal projection critical to relapse to drug
seeking.
PMID- 29371323
TI - IGHV segment utilization in immunoglobulin gene rearrangement differentiates
patients with anti-myelin-associated glycoprotein neuropathy from others
immunoglobulin M-gammopathies.
PMID- 29371324
TI - Outcomes of patients with relapsed aggressive adult T-cell leukemia-lymphoma:
clinical effectiveness of anti-CCR4 antibody and allogeneic hematopoietic stem
cell transplantation.
PMID- 29371325
TI - A three-year prospective study of the presentation and clinical outcomes of major
bleeding episodes associated with oral anticoagulant use in the UK (ORANGE
study).
AB - The outcomes of patients developing major bleeding while on oral anticoagulants
remain largely unquantified. The objectives of this study were to: (i) describe
the burden of major hemorrhage associated with all available oral anticoagulants
in terms of proportion of bleeds which are intracranial hemorrhages, in-hospital
mortality and duration of hospitalization following major bleeding; (ii) identify
risk factors for mortality; and (iii) compare the characteristics of major
hemorrhage between cases treated with warfarin and direct oral anticoagulants for
the subgroups of patients with atrial fibrillation or venous thromboembolism.
This was a multicenter, 3-year prospective cohort study of patients aged >=18
years on oral anticoagulants who developed major hemorrhage leading to
hospitalization. The patients were followed up for 30 days or until discharge or
death, whichever occurred first. In total 2,192 patients (47% female, 81% on
warfarin, median age 80 years) were reported between October 2013 and August 2016
from 32 hospitals in the UK. Bleeding sites were intracranial (44%),
gastrointestinal (33%), and other (24%). The in-hospital mortality was 21% (95%
CI: 19%-23%) overall, and 33% (95% CI: 30%-36%) for patients with intracranial
hemorrhage. Intracranial hemorrhage, advanced age, spontaneous bleeding, liver
failure and cancer were risk factors for death. Compared to warfarin-treated
patients, patients treated with direct oral anticoagulants were older and had
lower odds of subdural/epidural, subarachnoid and intracerebral bleeding. The
mortality rate due to major bleeding was not different between patients being
treated with warfarin or direct oral anticoagulants. Major bleeding while on oral
anticoagulant therapy leads to considerable hospital stays and short-term
mortality.
PMID- 29371326
TI - Leptin-deficient obesity prolongs survival in a murine model of myelodysplastic
syndrome.
AB - Obesity enhances the risk of developing myelodysplastic syndromes. However, the
effect of obesity on survival is unclear. Obese people present with monocytosis
due to inflammatory signals emanating from obese adipose tissue. We hypothesized
that obesity-induced myelopoiesis would promote the transition of myelodysplastic
syndrome to acute myeloid leukemia and accelerate mortality in obesity. Obese
Ob/Ob mice or their lean littermate controls received a bone marrow transplant
from NUP98-HOXD13 transgenic mice, a model of myelodysplastic syndrome. The
metabolic parameters of the mice were examined throughout the course of the
study, as were blood leukocytes. Myeloid cells were analyzed in the bone, spleen,
liver and adipose tissue by flow cytometry halfway through the disease
progression and at the endpoint. Survival curves were also calculated. Contrary
to our hypothesis, transplantation of NUP98-HOXD13 bone marrow into obese
recipient mice significantly increased survival time compared with lean recipient
controls. While monocyte skewing was exacerbated in obese mice receiving NUP98
HOXD13 bone marrow, transformation to acute myeloid leukemia was not enhanced.
Increased survival of obese mice was associated with a preservation of fat mass
as well as increased myeloid cell deposition within the adipose tissue, and a
concomitant reduction in detrimental myeloid cell accumulation within other
organs. The study herein revealed that obesity increases survival in animals with
myelodysplastic syndrome. This may be due to the greater fat mass of Ob/Ob mice,
which acts as a sink for myeloid cells, preventing their accumulation in other
key organs, such as the liver.
PMID- 29371328
TI - Role of combined prenatal and postnatal paracetamol exposure on asthma
development: the Czech ELSPAC study.
AB - BACKGROUND: Prenatal and postnatal paracetamol exposure has been previously
associated with asthma development in childhood in Western populations. We
explore the association between prenatal and postnatal paracetamol exposure and
asthma development in a Central European sample of Czech children, suggesting
possible additive effect of the both exposures. Furthermore, since aspirin had
been used more widely during study data collection in Central Europe, we also
compared asthma development for those exposed to paracetamol and aspirin.
METHODS: We used data from 3329 children born in the 1990s as members of the
prospective Czech European Longitudinal Study of Pregnancy and Childhood. Data
about prenatal and postnatal paracetamol and aspirin exposure, and potential
covariates were obtained from questionnaires completed by mothers. Data about
incident asthma were obtained from paediatrician health records. RESULTS: 60.9%
of children received paracetamol only postnatally, 1.5% only prenatally and 4.9%
of children were exposed both during pregnancy and infancy. Prevalence of asthma
in following population was 5% at 11 years. Being exposed to paracetamol both in
prenatal and postnatal period was associated with asthma development (unadjusted
OR 1.98, 95% CI 1.02 to 3.87). Being exposed only in the postnatal period was
also significantly associated with increased risk of asthma. No association
between prenatal exposure only and outcome was found. A higher but non
significant risk of asthma was observed for those whose mothers used paracetamol
during pregnancy compared with those who used aspirin. CONCLUSIONS: The main
findings of this prospective birth cohort study add to previous observations
linking prenatal and early postnatal paracetamol exposure to asthma development.
However, the magnitude of effect is relatively modest, and therefore, we
recommend paracetamol to remain the analgesic and antipyretic of choice
throughout pregnancy and early childhood.
PMID- 29371327
TI - Knockdown of the mitochondria-localized protein p13 protects against experimental
parkinsonism.
AB - Mitochondrial dysfunction in the nigrostriatal dopaminergic system is a critical
hallmark of Parkinson's disease (PD). Mitochondrial toxins produce cellular and
behavioural dysfunctions resembling those in patients with PD Causative gene
products for familial PD play important roles in mitochondrial function.
Therefore, targeting proteins that regulate mitochondrial integrity could provide
convincing strategies for PD therapeutics. We have recently identified a novel 13
kDa protein (p13) that may be involved in mitochondrial oxidative
phosphorylation. In the current study, we examine the mitochondrial function of
p13 and its involvement in PD pathogenesis using mitochondrial toxin-induced PD
models. We show that p13 overexpression induces mitochondrial dysfunction and
apoptosis. p13 knockdown attenuates toxin-induced mitochondrial dysfunction and
apoptosis in dopaminergic SH-SY5Y cells via the regulation of complex I.
Importantly, we generate p13-deficient mice using the CRISPR/Cas9 system and
observe that heterozygous p13 knockout prevents toxin-induced motor deficits and
the loss of dopaminergic neurons in the substantia nigra. Taken together, our
results suggest that manipulating p13 expression may be a promising avenue for
therapeutic intervention in PD.
PMID- 29371330
TI - Treatment of refractory thyroid cancer.
AB - Distant metastases from thyroid cancer of follicular origin are uncommon.
Treatment includes levothyroxine administration, focal treatment modalities with
surgery, external radiation therapy and thermal ablation, and radioiodine in
patients with uptake of 131I in their metastases. Two-thirds of distant
metastases become refractory to radioiodine at some point, and when there is a
significant tumor burden and documented progression on imaging, a treatment with
a kinase inhibitor may provide benefits.
PMID- 29371329
TI - Development of new preclinical models to advance adrenocortical carcinoma
research.
AB - Adrenocortical cancer (ACC) is an orphan malignancy that results in heterogeneous
clinical phenotypes and molecular genotypes. There are no curative treatments for
this deadly cancer with 35% survival at five years. Our understanding of the
underlying pathobiology and our ability to test novel therapeutic targets has
been limited due to the lack of preclinical models. Here, we report the
establishment of two new ACC cell lines and corresponding patient-derived
xenograft (PDX) models. CU-ACC1 cell line and PDX were derived from a perinephric
metastasis in a patient whose primary tumor secreted aldosterone. CU-ACC2 cell
line and PDX were derived from a liver metastasis in a patient with Lynch
syndrome. Short tandem repeat profiling confirmed consistent matches between
human samples and models. Both exomic and RNA sequencing profiling were performed
on the patient samples and the models, and hormonal secretion was evaluated in
the new cell lines. RNA sequencing and immunohistochemistry confirmed the
expression of adrenal cortex markers in the PDXs and human tumors. The new cell
lines replicate two of the known genetic models of ACC. CU-ACC1 cells had a
mutation in CTNNB1 and secreted cortisol but not aldosterone. CU-ACC2 cells had a
TP53 mutation and loss of MSH2 consistent with the patient's known germline
mutation causing Lynch syndrome. Both cell lines can be transfected and
transduced with similar growth rates. These new preclinical models of ACC
significantly advance the field by allowing investigation of underlying molecular
mechanisms of ACC and the ability to test patient-specific therapeutic targets.
PMID- 29371331
TI - HSD17B1 expression induces inflammation-aided rupture of mammary gland
myoepithelium.
AB - Hydroxysteroid (17-beta) dehydrogenase type 1 (HSD17B1) converts low-active
estrogen estrone to highly active estradiol. Estradiol is necessary for normal
postpubertal mammary gland development; however, elevated estradiol levels
increase mammary tumorigenesis. To investigate the significance of the human
HSD17B1 enzyme in the mammary gland, transgenic mice universally overexpressing
human HSD17B1 were used (HSD17B1TG mice). Mammary glands obtained from HSD17B1TG
females at different ages were investigated for morphology and histology, and
HSD17B1 activity and estrogen receptor activation in mammary gland tissue were
assessed. To study the significance of HSD17B1 enzyme expression locally in
mammary gland tissue, HSD17B1-expressing mammary epithelium was transplanted into
cleared mammary fat pads of wild-type females, and the effects on mammary gland
estradiol production, epithelial cells and the myoepithelium were investigated.
HSD17B1TG females showed increased estrone to estradiol conversion and estrogen
response element-driven estrogen receptor signaling in mammary gland tissue, and
they showed extensive lobuloalveolar development that was further enhanced by age
along with an increase in serum prolactin concentrations. At old age, HSD17B1TG
females developed mammary cancers. Mammary-restricted HSD17B1 expression induced
lesions at the sites of ducts and alveoli, accompanied by peri- and intraductal
inflammation and disruption of the myoepithelial cell layer. The lesions were
shown to be estrogen dependent, as treatment with an antiestrogen, ICI 182,780,
starting when lesions were already established reversed the phenotype. These data
elucidate the ability of human HSD17B1 to enhance estrogen action in the mammary
gland in vivo and indicate that HSD17B1 is a factor inducing phenotypic
alterations associated with mammary tumorigenesis.
PMID- 29371332
TI - The impact of 27-hydroxycholesterol on endometrial cancer proliferation.
AB - Endometrial cancer (EC) is the most common gynaecological malignancy. Obesity is
a major risk factor for EC and is associated with elevated cholesterol. 27
hydroxycholesterol (27HC) is a cholesterol metabolite that functions as an
endogenous agonist for Liver X receptor (LXR) and a selective oestrogen receptor
modulator (SERM). Exposure to oestrogenic ligands increases risk of developing
EC; however, the impact of 27HC on EC is unknown. Samples of stage 1 EC (n = 126)
were collected from postmenopausal women undergoing hysterectomy. Expression of
LXRs (NR1H3, LXRalpha; NR1H2, LXRbeta) and enzymes required for the synthesis
(CYP27A1) or breakdown (CYP7B1) of 27HC were detected in all grades of EC. Cell
lines originating from well-, moderate- and poorly-differentiated ECs (Ishikawa,
RL95, MFE 280 respectively) were used to assess the impact of 27HC or the LXR
agonist GW3965 on proliferation or expression of a luciferase reporter gene under
the control of LXR- or ER-dependent promoters (LXRE, ERE). Incubation with 27HC
or GW3965 increased transcription via LXRE in Ishikawa, RL95 and MFE 280 cells (P
< 0.01). 27HC selectively activated ER-dependent transcription (P < 0.001) in
Ishikawa cells and promoted proliferation of both Ishikawa and RL95 cells (P <
0.001). In MFE 280 cells, 27HC did not alter proliferation but selective
targeting of LXR with GW3965 significantly reduced cell proliferation (P <
0.0001). These novel results suggest that 27HC can contribute to risk of EC by
promoting proliferation of endometrial cancer epithelial cells and highlight LXR
as a potential therapeutic target in the treatment of advanced disease.
PMID- 29371333
TI - MECHANISMS IN ENDOCRINOLOGY: SGLT2 inhibitors: clinical benefits by restoration
of normal diurnal metabolism?
AB - Type 2 diabetes (T2D) is associated with inhibition of autophagic and lysosomal
housekeeping processes that detrimentally affect key organ functioning; a process
likely to be exacerbated by conventional insulin-driven anabolic therapies. We
propose that the cardio-renal benefits demonstrated with sodium-glucose
cotransporter-2 inhibitor (SGLT2i) treatment in T2D partly may be explained by
their ability to drive consistent, overnight periods of increased catabolism
brought about by constant glucosuria. Key steps driving this catabolic mechanism
include: a raised glucagon/insulin ratio initially depleting glycogen in the
liver and ultimately activating gluconeogenesis utilizing circulating amino acids
(AAs); a general fuel switch from glucose to free fatty acids (accompanied by a
change in mitochondrial morphology from a fission to a sustained fusion state
driven by a decrease in AA levels); a decrease in circulating AAs and insulin
driving inhibition of mammalian target of rapamycin complex 1 (mTORC1), which
enhances autophagy/lysosomal degradation of dysfunctional organelles, eventually
causing a change in mitochondrial morphology from a fission to a sustained fusion
state. Resumption of eating in the morning restores anabolic biogenesis of new
and fully functional organelles and proteins. Restoration of diurnal metabolic
rhythms and flexibility by SGLT2is may have therapeutic implications beyond those
already demonstrated for the cardio-renal axis and may therefore affect other non
diabetes disease states.
PMID- 29371334
TI - Poor compliance and increased mortality, depression and healthcare costs in
patients with congenital adrenal hyperplasia.
AB - OBJECTIVES: To evaluate the risks of depression and all-cause mortality,
healthcare utilisation costs and treatment adherence in congenital adrenal
hyperplasia (CAH) in the United Kingdom. DESIGN AND METHODS: A retrospective,
matched-cohort study using UK primary-care data from the Clinical Practice
Research Datalink linked to hospital and death certification data. Patients
diagnosed with CAH and having >=1 corticosteroid prescription were matched 1:10
to reference subjects. Risk of death and lifetime prevalence of depression were
compared using Cox regression models. Direct financial costs were estimated for
healthcare contacts. Treatment adherence was measured by medical possession ratio
(MPR). RESULTS: 605 patients with CAH were identified; 562 were matched. 270 CAH
patients (2700 controls) were linkable to death-certificate data, with adjusted
hazard ratio for all-cause mortality 5.17 (95% CI 2.81-9.50). Mean (s.d.) age at
death in CAH patients was 54.8 (23.9) vs 72.8 (18.0) years in control patients.
The prevalence ratio of depression in CAH vs control patients was 1.28 (95% CI
1.13-1.45). Mean (s.d.) annual healthcare costs were higher in CAH than controls:
at age 0-6 years, L7038 (L14 846) vs L2879 (L13 972, P < 0.001); 7-17 years,
L3766 (L7494) vs L1232 (L2451, P < 0.001); 18-40 years, L1539 (L872) vs L1344
(L1620, P = 0.007) and >=41 years, L4204 (L4863) vs L1651 (L2303, P < 0.001).
Treatment adherence was lowest in adults, with 141 (36%) of 396 eligible patients
having an MPR <80%. CONCLUSIONS: This first analysis of CAH in routine UK
healthcare suggests that patients with CAH have increased mortality, depression
and healthcare utilisation and low treatment adherence.
PMID- 29371335
TI - Increasing frequency of combination medical therapy in the treatment of
acromegaly with the GH receptor antagonist pegvisomant.
AB - Pegvisomant monotherapy is effective and safe in treatment of acromegaly.
However, some clinicians combine pegvisomant with somatostatin analogues (SSA) or
dopamine agonist (DA). In this analysis of ACROSTUDY, a long-term non
interventional study, the use of combination regimens was evaluated. Based on
their baseline treatment, 2043 patients were retrospectively categorized as: long
acting SSA combined with pegvisomant, 'Combo SSA' 768 patients (38%); DA combined
with pegvisomant, 'Combo DA' 123 (6%); pegvisomant monotherapy, 'Peg mono' 1128
(55%). Treatment patterns changed over the 10-year period, with recent patients
more likely to receive any combination (20% in 2003 vs 54% in 2012). Combo SSA
use varied widely among countries from 22% to 78%. Exposure periods of the three
treatment modalities were defined from pegvisomant start until the last visit in
ACROSTUDY; patients could switch treatment categories. At year 4, IGF-I was
normal in 62% of Combo SSA, 63% of Combo DA and 65% of Peg mono groups.
Pegvisomant was initiated as daily injections in 94% of patients in the Peg mono
group, 66% of Combo SSA and 91% of Combo DA patients. During 6169 years of
treatment exposure, 3424 adverse events (AEs) were reported in 946 (51%)
patients, of which 617 (18%) were serious and 401 (12%) were considered treatment
related. The reported incidence of serious AEs and treatment-related non-serious
AEs were similar among the three treatment modalities. This analysis describes
real-world clinical care and shows favorable efficacy and safety for Peg mono and
combinations. Novel findings include an increased use of combination therapy over
time and variability in treatment modalities between countries.
PMID- 29371336
TI - HAPT2D: high accuracy of prediction of T2D with a model combining basic and
advanced data depending on availability.
AB - OBJECTIVE: Type 2 diabetes arises from the interaction of physiological and
lifestyle risk factors. Our objective was to develop a model for predicting the
risk of T2D, which could use various amounts of background information. RESEARCH
DESIGN AND METHODS: We trained a survival analysis model on 8483 people from
three large Finnish and Spanish data sets, to predict the time until incident
T2D. All studies included anthropometric data, fasting laboratory values, an oral
glucose tolerance test (OGTT) and information on co-morbidities and lifestyle
habits. The variables were grouped into three sets reflecting different degrees
of information availability. Scenario 1 included background and anthropometric
information; Scenario 2 added routine laboratory tests; Scenario 3 also added
results from an OGTT. Predictive performance of these models was compared with
FINDRISC and Framingham risk scores. RESULTS: The three models predicted T2D risk
with an average integrated area under the ROC curve equal to 0.83, 0.87 and 0.90,
respectively, compared with 0.80 and 0.75 obtained using the FINDRISC and
Framingham risk scores. The results were validated on two independent cohorts.
Glucose values and particularly 2-h glucose during OGTT (2h-PG) had highest
predictive value. Smoking, marital and professional status, waist circumference,
blood pressure, age and gender were also predictive. CONCLUSIONS: Our models
provide an estimation of patient's risk over time and outweigh FINDRISC and
Framingham traditional scores for prediction of T2D risk. Of note, the models
developed in Scenarios 1 and 2, only exploited variables easily available at
general patient visits.
PMID- 29371337
TI - Endocrine and metabolic evaluation of classic Klinefelter syndrome and high-grade
aneuploidies of sexual chromosomes with male phenotype: are they different
clinical conditions?
AB - OBJECTIVE: Klinefelter syndrome (KS) is the most common sex chromosome aneuploidy
in males. As well as classic KS, less frequent higher-grade aneuploidies (HGAs)
are also possible. While KS and HGAs both involve testicular dysgenesis with
hypergonadotropic hypogonadism, they differ in many clinical features. The aim of
this study was to investigate the endocrinal and metabolic differences between KS
and HGAs. DESIGN: Cross-sectional, case-control study. METHODS: 88 patients with
KS, 24 with an HGA and 60 healthy controls. Given the known age-related
differences all subjects were divided by age into subgroups 1, 2 and 3.
Pituitary, thyroid, gonadal and adrenal functions were investigated in all
subjects. Metabolic aspects were only evaluated in subjects in subgroups 2 and 3.
RESULTS: FT4 and FT3 levels were significantly higher in HGA than in KS patients
in subgroups 1 and 2; in subgroup 3, FT4 was significantly higher in controls
than in patients. Thyroglobulin was significantly higher in HGA patients in
subgroup 1 than in KS patients and controls. Hypergonadotropic hypogonadism was
confirmed in both KS and HGA patients, but was more precocious in the latter, as
demonstrated by the earlier increase in gonadotropins and the decrease in
testosterone, DHEA-S and inhibin B. Prolactin was significantly higher in HGA
patients, starting from subgroup 2. Total and LDL cholesterol were significantly
higher in HGA patients than in KS patients and controls, while HDL cholesterol
was higher in controls than in patients. CONCLUSIONS: KS and HGAs should be
considered as two distinct conditions.
PMID- 29371338
TI - Persistent Hematuria in ANCA Vasculitis: Ominous or Innocuous?
PMID- 29371339
TI - Accountability of Dialysis Facilities in Transplant Referral: CMS Needs to
Collect National Data on Dialysis Facility Kidney Transplant Referrals.
PMID- 29371342
TI - Draft Genome Sequence of a Leptospira interrogans Strain Isolated from the Urine
of an Asymptomatic Dog in Thailand.
AB - In 2014, Leptospira interrogans strain CUDO8 was isolated from the urine of an
asymptomatic dog in Thailand. Here we report the draft genome sequence of this
pathogenic bacterium.
PMID- 29371340
TI - The Utility of Urinalysis in Determining the Risk of Renal Relapse in ANCA
Associated Vasculitis.
AB - BACKGROUND AND OBJECTIVES: The significance of persistent hematuria or
proteinuria in patients with ANCA-associated vasculitis who are otherwise in
clinical remission is unclear. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: A
post hoc analysis was conducted using participants enrolled in two randomized,
placebo-controlled clinical trials who had active GN due to ANCA-associated
vasculitis, had positive ANCA, and achieved remission by month 6. Dipstick and
microscopic urinalyses were performed at each visit. Persistent hematuria or
proteinuria for at least 6 months and the cumulative duration of hematuria were
examined. Renal relapse was defined as new or worsening red blood cell casts
and/or worsening kidney function according to the Birmingham Vasculitis Activity
Score for Granulomatosis with Polyangiitis. RESULTS: There were 149 patients
included in this study: 42% had persistent hematuria, and 43% had persistent
proteinuria beyond 6 months. Persistent hematuria was associated with a
significantly higher risk of relapse, even after adjusting for potential
confounders (subdistribution hazard ratio, 3.99; 95% confidence interval, 1.20 to
13.25; P=0.02); persistent proteinuria was not associated with renal relapse
(subdistribution hazard ratio, 1.44; 95% confidence interval, 0.47 to 4.42;
P=0.53). Furthermore, greater cumulative duration of hematuria was significantly
associated with a higher risk of renal relapse (adjusted subdistribution hazard
ratio, 1.08 per each month; 95% confidence interval, 1.03 to 1.12; P<0.01). The
median time to renal relapse was 22 months. CONCLUSIONS: In patients with ANCA
associated vasculitis and kidney involvement who achieve remission after
induction therapy, the presence of persistent hematuria, but not proteinuria, is
a significant predictor of future renal relapse.
PMID- 29371343
TI - Complete Genome Sequence of ZG49, a T7-Like Bacteriophage Lytic to Escherichia
coli Isolates.
AB - Here, we describe the complete genome sequence of the Escherichia coli
bacteriophage ZG49, isolated from a sewage sample. ZG49 is a linear double
stranded DNA T7-like podophage, with a genome of 40,291 bp, containing 44
predicted open reading frames.
PMID- 29371341
TI - Standardized Transplantation Referral Ratio to Assess Performance of Transplant
Referral among Dialysis Facilities.
AB - BACKGROUND AND OBJECTIVES: For patients with ESRD, referral from a dialysis
facility to a transplant center for evaluation is an important step toward kidney
transplantation. However, a standardized measure for assessing clinical
performance of dialysis facilities transplant access is lacking. We describe
methodology for a new dialysis facility measure: the Standardized Transplantation
Referral Ratio. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: Transplant
referral data from 8308 patients with incident ESRD within 249 dialysis
facilities in the United States state of Georgia were linked with US Renal Data
System data from January of 2008 to December of 2011, with follow-up through
December of 2012. Facility-level expected referrals were computed from a two
stage Cox proportional hazards model after patient case mix risk adjustment
including demographics and comorbidities. The Standardized Transplantation
Referral Ratio (95% confidence interval) was calculated as a ratio of observed to
expected referrals. Measure validity and reliability were assessed. RESULTS: Over
2008-2011, facility Standardized Transplantation Referral Ratios in Georgia
ranged from 0 to 4.87 (mean =1.16, SD=0.76). Most (77%) facilities had observed
referrals as expected, whereas 11% and 12% had Standardized Transplantation
Referral Ratios significantly greater than and less than expected, respectively.
Age, race, sex, and comorbid conditions were significantly associated with the
likelihood of referral, and they were included in risk adjustment for
Standardized Transplantation Referral Ratio calculations. The Standardized
Transplantation Referral Ratios were positively associated with evaluation,
waitlisting, and transplantation (r=0.46, 0.35, and 0.20, respectively; P<0.01).
On average, approximately 33% of the variability in Standardized Transplantation
Referral Ratios was attributed to between-facility variation, and 67% of the
variability in Standardized Transplantation Referral Ratios was attributed to
within-facility variation. CONCLUSIONS: The majority of observed variation in
dialysis facility referral performance was due to characteristics within a
dialysis facility rather than patient factors included in risk adjustment models.
Our study shows a method for computing a facility-level standardized measure for
transplant referral on the basis of a pilot sample of Georgia dialysis facilities
that could be used to monitor transplant referral performance of dialysis
facilities.
PMID- 29371344
TI - Whole-Genome Sequence of Mycoplasma bovis Strain Ningxia-1.
AB - A genome sequence of the Mycoplasma bovis Ningxia-1 strain was tested by Pacific
Biosciences (PacBio) single-molecule real-time (SMRT) sequencing technology. The
strain was isolated from a lesioned calf lung in 2013 in Pengyang, Ningxia,
China. The single circular chromosome of 1,033,629 bp shows differences between
complete Mycoplasma bovis genome in insertion-like sequences (ISs), integrative
conjugative elements (ICEs), lipoproteins (LPs), variable surface lipoproteins
(VSPs), pathogenicity islands (PAIs), etc.
PMID- 29371345
TI - Genome Sequence of Pseudomonas plecoglossicida Strain NZBD9.
AB - Pseudomonas plecoglossicida NZBD9 is the causative agent of white nodules in
cultured large yellow croaker in Fujian Province, China. We sequenced the genome
of NZBD9 to gain a better understanding of the etiological agent. The genome
sequence of the bacterium consists of 5.44 million bp, with a G+C content of
61.9%.
PMID- 29371346
TI - Full-Genome Sequence of an Enterovirus 71 Strain Isolated from a Throat Swab from
a Child with Severe Hand-Foot-and-Mouth Disease in Changzhou, China, in 2017.
AB - The full-length genome sequence of a human enterovirus 71 (EV71) strain
(EV71/CZTN01/CHN/2017) was isolated from a throat swab from a child in Changzhou,
China, in 2017. According to the phylogenetic analyses, the full-genome sequence
in this study belongs to sub-subgenotype C4a.
PMID- 29371347
TI - Complete Genome Sequences of the Plant Pathogens Dickeya solani RNS 08.23.3.1.A
and Dickeya dianthicola RNS04.9.
AB - Dickeya spp. are bacterial pathogens causing soft-rot and blackleg diseases on a
wide range of ornamental plants and crops. In this paper, we announce the PacBio
complete genome sequences of the plant pathogens Dickeya solani RNS 08.23.3.1.A
(PRI3337) and Dickeya dianthicola RNS04.9.
PMID- 29371348
TI - Genome Sequence of Verrucomicrobium sp. Strain GAS474, a Novel Bacterium Isolated
from Soil.
AB - Verrucomicrobium sp. strain GAS474 was isolated from the mineral soil of a
temperate deciduous forest in central Massachusetts. Here, we present the
complete genome sequence of this phylogenetically novel organism, which consists
of a total of 3,763,444 bp on a single scaffold, with a 65.8% GC content and
3,273 predicted open reading frames.
PMID- 29371349
TI - Complete Genome Sequence of a Lily virus X Isolate from Japan.
AB - The complete genome sequence of Lily virus X (LVX), which infects lilies, was
determined for the first time from lilies in Japan. As with previous reports, the
genome of the Japanese LVX isolate lacked an AUG start codon for the triple gene
block protein 3-like region.
PMID- 29371350
TI - Genome Sequence of "Candidatus Carsonella ruddii" Strain BT from the Psyllid
Bactericera trigonica.
AB - The genome of "Candidatus Carsonella ruddii" strain BT from Bactericera trigonica
in Israel was sequenced. The full-length genome is 173,904 bp long and has a G+C
content of 14.6%, with 224 predicted open reading frames (ORFs) and 30 RNAs.
PMID- 29371351
TI - Complete Genome Sequence of a blaCTX-M-1-Harboring Escherichia coli Isolate
Recovered from Cattle in Germany.
AB - We describe here the whole-genome sequence and basic characteristics of
Escherichia coli isolate 15-AB01393, recovered from German beef within a national
monitoring program in 2015. This isolate was identified as an extended-spectrum
beta-lactamase-producing E. coli strain of multilocus sequence type (MLST) ST58
harboring the antimicrobial resistance genes blaCTX-M-1, mph(A), sul2, dfrA5,
strA, and strB.
PMID- 29371352
TI - Nuclear, Chloroplast, and Mitochondrial Genome Sequences of the Prospective
Microalgal Biofuel Strain Picochlorum soloecismus.
AB - Picochlorum soloecismus is a halotolerant, fast-growing, and moderate-lipid
producing microalga that is being evaluated as a renewable feedstock for biofuel
production. Herein, we report on an improved high-quality draft assembly and
annotation for the nuclear, chloroplast, and mitochondrial genomes of P.
soloecismus DOE 101.
PMID- 29371353
TI - First Insights into the Draft Genome Sequence of the Endophyte Paenibacillus
amylolyticus Strain GM1FR, Isolated from Festuca rubra L.
AB - Paenibacillus amylolyticus strain GM1FR is an endophyte isolated from aerial
plant tissues of Festuca rubra L. Here, we report the draft genome sequence (7.3
Mb) of GM1FR containing 6,241 protein-coding genes, some of which are potentially
involved in plant growth promotion and biocontrol.
PMID- 29371354
TI - Draft Genome Sequence of the Endophyte Bacillus mycoides Strain GM5LP Isolated
from Lolium perenne.
AB - Bacillus mycoides GM5LP is a Gram-positive endophytic bacterium isolated from
aerial plant tissues of Lolium perenne L. The 6.0-Mb draft genome harbors 6,132
protein-coding sequences, some of which might be involved in the biosynthesis of
antimicrobial substances.
PMID- 29371355
TI - Genome Sequence of Rhizobium sullae HCNT1 Isolated from Hedysarum coronarium
Nodules and Featuring Peculiar Denitrification Phenotypes.
AB - The genome sequence of Rhizobium sullae strain HCNT1, isolated from root nodules
of the legume Hedysarum coronarium growing in wild stands in Tuscany, Italy, is
described here. Unlike other R. sullae strains, this isolate features a truncated
denitrification pathway lacking NO/N2O reductase activity and displaying high
sensitivity to nitrite under anaerobic conditions.
PMID- 29371356
TI - Complete Nucleotide Sequence of a Novel Hibiscus-Infecting Cilevirus from Florida
and Its Relationship with Closely Associated Cileviruses.
AB - The complete nucleotide sequence of a recently discovered Florida (FL) isolate of
hibiscus-infecting cilevirus (HiCV) was determined by Sanger sequencing. The
movement and coat protein gene sequences of the HiCV-FL isolate are more
divergent than other genes of the previously sequenced HiCV-HI (Hawaii) isolate.
PMID- 29371357
TI - Draft Genome Sequence of Telmatospirillum siberiense 26-4b1, an Acidotolerant
Peatland Alphaproteobacterium Potentially Involved in Sulfur Cycling.
AB - The facultative anaerobic chemoorganoheterotrophic alphaproteobacterium
Telmatospirillum siberiense 26-4b1 was isolated from a Siberian peatland. We
report here a 6.20-Mbp near-complete high-quality draft genome sequence of T.
siberiense that reveals expected and novel metabolic potential for the genus
Telmatospirillum, including genes for sulfur oxidation.
PMID- 29371358
TI - Whole-Genome Sequences of Zika Virus FLR Strains after Passage in Vero or C6/36
Cells.
AB - We report 26 complete genomes of Zika virus (ZIKV) isolated after passaging the
Zika virus strain FLR in mosquito (C6/36) and mammalian (Vero) cell lines. The
consensus ZIKV genomes we recovered show greater than 99% nucleotide identify
with each other and with the FLR strain used as input.
PMID- 29371359
TI - Draft Genome Sequence of Pseudomonas putida CA-3, a Bacterium Capable of Styrene
Degradation and Medium-Chain-Length Polyhydroxyalkanoate Synthesis.
AB - Pseudomonas putida strain CA-3 is an industrial bioreactor isolate capable of
synthesizing biodegradable polyhydroxyalkanoate polymers via the metabolism of
styrene and other unrelated carbon sources. The pathways involved are subject to
regulation by global cellular processes. The draft genome sequence is 6,177,154
bp long and contains 5,608 predicted coding sequences.
PMID- 29371360
TI - Draft Genome Sequence of the Antimycin-Producing Bacterium Streptomyces sp.
Strain SM8, Isolated from the Marine Sponge Haliclona simulans.
AB - Streptomyces sp. strain SM8, isolated from Haliclona simulans, possesses
antifungal and antibacterial activities and inhibits the calcineurin pathway in
yeast. The draft genome sequence is 7,145,211 bp, containing 5,929 predicted
coding sequences. Several secondary metabolite biosynthetic gene clusters are
present, encoding known and novel metabolites, including antimycin.
PMID- 29371361
TI - Complete Genome Sequences of Four Toxigenic Clostridium difficile Clinical
Isolates from Patients of the Lower Hudson Valley, New York, USA.
AB - Complete genome sequences of four toxigenic Clostridium difficile isolates from
patients in the lower Hudson Valley, New York, USA, were achieved. These isolates
represent four common sequence types (ST1, ST2, ST8, and ST42) belonging to two
distinct phylogenetic clades. All isolates have a 4.0- to 4.2-Mb circular
chromosome, and one carries a phage.
PMID- 29371362
TI - Draft Genome Sequence of Tetzosporium hominis VT-49 gen. nov., sp. nov., Isolated
from the Dental Decay Plaque of a Patient with Periodontitis.
AB - Here, we report the draft genome sequence of Tetzosporium hominis VT-49 gen.
nov., sp. nov., isolated from the dental plaque of a patient with severe
periodontal disease. The draft genome sequence was 2,780,751 bp in length with a
43.3% G+C content. We detected 3,001 genes, which are predicted to encode
proteins that regulate both virulence and antibiotic resistance.
PMID- 29371363
TI - Complete Genome Sequence of Bacillus velezensis CN026 Exhibiting Antagonistic
Activity against Gram-Negative Foodborne Pathogens.
AB - We report here the complete genome sequence of Bacillus velezensis strain CN026,
a member of the B. subtilis group, which is known for its many industrial
applications. The genome contains 3,995,812 bp and displays six gene clusters
potentially involved in strain CN026's activity against Gram-negative foodborne
pathogens.
PMID- 29371364
TI - Complete Genome Sequences of Two Porcine Deltacoronavirus Strains, CHN-GD16-03
and CHN-GD16-05, Isolated in Southern China, 2016.
AB - We report here the amplification and sequence analysis of two complete genomes of
newly emerged porcine deltacoronavirus (PDCoV) strains, isolated from diarrhea
samples from piglets in Guangdong Province in southern China. These genomes
provide further sequence data for evaluating the relationships among PDCoVs from
different countries.
PMID- 29371365
TI - Draft Genome Sequence of Pantoea ananatis Strain 1.38, a Bacterium Isolated from
the Rhizosphere of Oryza sativa var. Puntal That Shows Biotechnological Potential
as an Inoculant.
AB - Pantoea ananatis 1.38 is a strain isolated from the rhizosphere of irrigated rice
in southern Spain. Its genome was estimated at 4,869,281 bp, with 4,644 coding
sequences (CDSs). The genome encompasses several CDSs related to plant growth
promotion, such as that for siderophore metabolism, and virulence genes
characteristic of pathogenic Pantoea spp. are absent.
PMID- 29371366
TI - Draft Genome Sequences of Four Salmonella enterica subsp. enterica Serovar
Enteritidis Strains Implicated in Infections of Avian and Human Hosts.
AB - Salmonella enterica subsp. enterica serovar Enteritidis is a wide-host-range
pathogen. Occasionally, it is involved in invasive infections, leading to a high
mortality rate. Here, we present the draft genome sequences of four S Enteritidis
strains obtained from human and avian hosts that had been involved in bacteremia,
gastroenteritis, and primary infections.
PMID- 29371367
TI - Genome Sequence of a Newly Isolated F2 Subcluster Mycobacteriophage from the
Black Belt Geological Region of Western Alabama.
AB - The bacteriophage Demsculpinboyz was discovered in a soil sample from the Black
Belt region of Alabama using Mycobacterium smegmatis mc2155 as its host. The
genome is 57,437 bp long and contains 116 protein-coding genes. It belongs to the
F2 subcluster, which has only five other members.
PMID- 29371368
TI - Complete Genome Sequence of an Escherichia coli O121:H19 Strain from an Outbreak
in Canada Associated with Flour.
AB - Here, we present the first complete genome sequence of an Escherichia coli non
O157 Shiga-toxin producing isolate, 16-9255, from serotype O121:H19. This strain
is notable as a clinical case recovered from a recent Canadian flour-associated
outbreak event.
PMID- 29371369
TI - Complete Genome Sequence of Mycobacterium sp. Strain 3519A.
AB - Mycobacterium sp. strain 3519A is a nontuberculous mycobacterium isolated from
sputum from a Cambodian patient with a pulmonary infection. We report here the
first complete 7.3-Mbp-long genome sequence of Mycobacterium sp. 3519A with
66.35% GC content, encoding 7,029 protein-coding genes, 50 tRNAs, and 5 rRNA
genes.
PMID- 29371370
TI - Draft Genome Sequences of Two Psychrotolerant Strains, Colwellia polaris MCCC
1C00015T and Colwellia chukchiensis CGMCC 1.9127T.
AB - Colwellia polaris MCCC 1C00015T and Colwellia chukchiensis CGMCC 1.9127T are
psychrotolerant bacteria isolated from the Canadian Basin and Chukchi Sea,
respectively. Here, we report the draft genome sequences of C. polaris MCCC
1C00015T and C. chukchiensis CGMCC 1.9127T, which will help reveal how they adapt
to cold environments.
PMID- 29371371
TI - Genome Sequence of a Rabies Virus Isolated from a Dog in Chiapas, Mexico, 2013.
AB - Rabies virus (RABV), a member of the genus Lyssavirus, causes encephalitis that
is almost always fatal following the onset of clinical signs. Here, we report the
complete codifying sequence of an RABV isolated from a dog in Mexico. Molecular
data showed that this strain belongs to the Chiapas lineage.
PMID- 29371372
TI - Erratum for Rajkumari et al., "Draft Genome Sequence of Klebsiella pneumoniae
AWD5".
PMID- 29371373
TI - Interventional treatments and risk factors in patients born with hypoplastic left
heart syndrome in England and Wales from 2000 to 2015.
AB - OBJECTIVE: To describe the long-term outcomes, treatment pathways and risk
factors for patients diagnosed with hypoplastic left heart syndrome (HLHS) in
England and Wales. METHODS: The UK's national audit database captures every
procedure undertaken for congenital heart disease and updated life status for
resident patients in England and Wales. Patients with HLHS born between 2000 and
2015 were identified using codes from the International Paediatric and Congenital
Cardiac Code. RESULTS: There were 976 patients with HLHS. Of these, 9.6% had a
prepathway intervention, 89.5% underwent a traditional pathway of staged
palliation and 6.4% of infants underwent a hybrid pathway. Patients undergoing
prepathway procedures or the hybrid pathway were more complex, exhibiting higher
rates of prematurity and acquired comorbidity. Prepathway intervention was
associated with the highest in-hospital mortality (34.0%).44.6% of patients had
an off-pathway procedure after their primary procedure, most frequently stenting
or dilation of residual or recoarctation and most commonly occurring between
stage 1 and stage 2.The survival rate at 1 year and 5 years was 60.7% (95% CI
57.5 to 63.7) and 56.3% (95% CI 53.0 to 59.5), respectively. Patients with an
antenatal diagnosis (multivariable HR (MHR) 1.63 (95% CI 1.12 to 2.38)), low
weight (<2.5 kg) (MHR 1.49 (95% CI 1.05 to 2.11)) or the presence of an acquired
comorbidity (MHR 2.04 (95% CI 1.30 to 3.19)) were less likely to survive.
CONCLUSION: Treatment pathways among patients with HLHS are complex and variable.
It is essential that the long-term outcomes of conditions like HLHS that require
serial interventions are studied to provide a fuller picture and to inform
quality assurance and improvement.
PMID- 29371374
TI - Reasons for and consequences of oral anticoagulant underuse in atrial
fibrillation with heart failure.
AB - OBJECTIVE: Atrial fibrillation (AF) is common in patients with heart failure
(HF), and oral anticoagulants (OAC) are indicated. The aim was to assess
prevalence of, predictors of and consequences of OAC non-use. METHODS: We
included patients with AF, HF and no previous valve replacement from the Swedish
Heart Failure Registry. High and low CHA2DS2-VASc and HAS-BLED scores were
defined as above/below median. Multivariable logistic regressions were used to
assess the associations between baseline characteristics and OAC use and between
CHA2DS2-VASc and HAS-BLED scores and OAC use. Multivariable Cox regressions were
used to assess associations between CHA2DS2-VASc and HAS-BLED scores, OAC use and
two composite outcomes: all-cause death/stroke and all-cause death/major
bleeding. RESULTS: Of 21 865 patients, only 12 659 (58%) received OAC. Selected
predictors of OAC non-use were treatment with platelet inhibitors, less use of HF
treatments, paroxysmal AF, history of bleeding, no previous stroke, planned
follow-up in primary care, older age, living alone, lower income and variables
associated with more severe HF. For each 1-unit increase in CHA2DS2-VASc and HAS
BLED, the ORs (95% CI) of OAC use were 1.24 (1.21-1.27) and 0.32 (0.30-0.33), and
the HRs for death/stroke were 1.08 (1.06-1.10) and for death/major bleeding 1.18
(1.15-1.21), respectively. For high versus low CHA2DS2-VASc and HAS-BLED, the ORs
of OAC use were 1.23 (1.15-1.32) and 0.20 (0.19-0.21), and the HRs for
death/stroke were 1.25 (1.19-1.30) and for death/major bleeding 1.28 (1.21-1.34),
respectively. CONCLUSIONS: Patients with AF and concomitant HF do not receive OAC
on rational grounds. Bleeding risk inappropriately affects decision-making more
than stroke risk.
PMID- 29371375
TI - Socioeconomic status and outcomes in heart failure with reduced ejection
fraction.
PMID- 29371376
TI - Renin-angiotensin-aldosterone blockade reduces atrial fibrillation in
hypertrophic cardiomyopathy.
AB - OBJECTIVES: Atrial fibrillation (AF) in hypertrophic cardiomyopathy (HCM) is
associated with increased mortality, mainly mediated by increased thromboembolic
events and progressive heart failure. Many studies suggested inhibition of renin
angiotensin-aldosterone system (RAAS) could reduce new AF in various clinical
conditions. However, evidence concerning the effects of RAAS inhibitors on AF
prevention remains unclear in HCM. Our study is to investigate whether treatment
with ACE inhibitors (ACEIs) or angiotensin-receptor blockers (ARBs) could lower
the risk of new AF in HCM. METHODS: We conducted a retrospective study including
subjects diagnosed HCM between January 1997 and December 2013 by using a
nationwide database covering almost all Taiwanese from National Health Research
Institute. All participants, aged 18 or older, had no ACEIs or ARBs exposure or
AF diagnosis before enrolment. Propensity score matching and multivariate Cox
hazard regression were employed to estimate the risk of new AF occurrence.
RESULTS: Total 18 266 subjects were included in the analysis with median follow
up duration 8.13 years. Patients taking ACEIs or ARBs are associated with lower
risk of developing new AF than those without taking neither of medications (3.16%
vs 5.65%, relative risk 0.56 (95% CI 0.49 to 0.64), HR 0.572 (95% CI 0.480 to
0.683)). The correlation is more prominent with longer ACEIs or ARBs treatment
(HRs from T1 to T3: 0.741, 0.579, 0.337, P<0.001). These results remain
consistent after propensity score adjustment. CONCLUSION: In patients with HCM,
lower risk of new AF is observed in patients treated with either ACEIs or ARBs
compared with those receiving neither of these medications.
PMID- 29371377
TI - The association between air pollution and the incidence of idiopathic pulmonary
fibrosis in Northern Italy.
AB - Acute exacerbations and worsening of idiopathic pulmonary fibrosis (IPF) have
been associated with exposure to ozone (O3), nitrogen dioxide (NO2) and
particulate matter, but chronic exposure to air pollution might also affect the
incidence of IPF. We investigated the association between chronic exposure to
NO2, O3 and particulate matter with an aerodynamic diameter <10 MUm (PM10) and
IPF incidence in Northern Italy between 2005 and 2010.Daily predictions of PM10
concentrations were obtained from spatiotemporal models, and NO2 and O3 hourly
concentrations from fixed monitoring stations. We identified areas with
homogenous exposure to each pollutant. We built negative binomial models to
assess the association between area-specific IPF incidence rate, estimated
through administrative databases, and average overall and seasonal PM10, NO2, and
8-hour maximum O3 concentrations.Using unadjusted models, an increment of 10 ug.m
3 in NO2 concentration was associated with an increase between 7.93% (95% CI 0.36
16.08%) and 8.41% (95% CI -0.23-17.80%) in IPF incidence rate, depending on the
season. After adjustment for potential confounders, estimated effects were
similar in magnitude, but with larger confidence intervals.Although confirmatory
studies are needed, our results trace a potential association between exposure to
traffic pollution and the development of IPF.
PMID- 29371378
TI - Phenotypes of organ involvement in sarcoidosis.
AB - Sarcoidosis is a highly variable, systemic granulomatous disease of hitherto
unknown aetiology. The GenPhenReSa (Genotype-Phenotype Relationship in
Sarcoidosis) project represents a European multicentre study to investigate the
influence of genotype on disease phenotypes in sarcoidosis.The baseline phenotype
module of GenPhenReSa comprised 2163 Caucasian patients with sarcoidosis who were
phenotyped at 31 study centres according to a standardised protocol.From this
module, we found that patients with acute onset were mainly female, young and of
Scadding type I or II. Female patients showed a significantly higher frequency of
eye and skin involvement, and complained more of fatigue. Based on
multidimensional correspondence analysis and subsequent cluster analysis,
patients could be clearly stratified into five distinct, yet undescribed,
subgroups according to predominant organ involvement: 1) abdominal organ
involvement, 2) ocular-cardiac-cutaneous-central nervous system disease
involvement, 3) musculoskeletal-cutaneous involvement, 4) pulmonary and
intrathoracic lymph node involvement, and 5) extrapulmonary involvement.These
five new clinical phenotypes will be useful to recruit homogenous cohorts in
future biomedical studies.
PMID- 29371380
TI - The inflammatory cell landscape in the lungs of patients with idiopathic
pulmonary arterial hypertension.
AB - Increasing evidence points towards an inflammatory component underlying pulmonary
hypertension. However, the conclusive characterisation of multiple inflammatory
cell populations in the lung is challenging due to the complexity of marker
specificity and tissue inaccessibility. We used an unbiased computational flow
cytometry approach to delineate the inflammatory landscape of idiopathic
pulmonary arterial hypertension (IPAH) and healthy donor lungs.Donor and IPAH
samples were discriminated clearly using principal component analysis to reduce
the multidimensional data obtained from single-cell flow cytometry analysis. In
IPAH lungs, the predominant CD45+ cell type switched from neutrophils to CD3+ T
cells, with increases in CD4+, CD8+ and gammadeltaT-cell subsets. Additionally,
diversely activated classical myeloid-derived dendritic cells (CD14-HLA
DR+CD11c+CD1a+/-) and nonclassical plasmacytoid dendritic cells (pDCs; CD14-CD11c
CD123+HLA-DR+), together with mast cells and basophils, were more abundant in
IPAH samples. We describe, for the first time, the presence and regulation of two
cell types in IPAH, gammadeltaT-cells and pDCs, which link innate and adaptive
immunity.With our high-throughput flow cytometry with multidimensional dataset
analysis, we have revealed the interactive interplay between multiple
inflammatory cells is a crucial part of their integrative network. The
identification of gammadeltaT-cells and pDCs in this disease potentially provides
a missing link between IPAH, autoimmunity and inflammation.
PMID- 29371379
TI - Effects of inspiratory muscle training on dyspnoea in severe COPD patients during
pulmonary rehabilitation: controlled randomised trial.
AB - The benefit of inspiratory muscle training (IMT) combined with a pulmonary
rehabilitation programme (PRP) is uncertain. We aimed to demonstrate that, in
severe and very severe chronic obstructive pulmonary disease (COPD) patients, IMT
performed during a PRP is associated with an improvement of dyspnoea.In a single
blind randomised controlled trial, 150 severe or very severe COPD patients were
allocated to follow PRP+IMT versus PRP alone. The evaluations were performed at
inclusion and after 4 weeks. The primary outcome was the change in dyspnoea using
the Multidimensional Dyspnoea Profile questionnaire at the end of a 6-min walk
test (6MWT) at 4 weeks. Secondary outcomes were changes in dyspnoea using the
Borg (end of the 6MWT) and modified Medical Research Council scales and in
functional parameters (maximal inspiratory pressure (PImax), inspiratory
capacity, 6MWT and quality of life). All analyses were performed on an intention
to-treat basis.Dyspnoea decreased significantly in both groups; however, the
improvement of dyspnoea was not statistically different between the two groups.
We only found a statistically significant greater increase of PImax after IMT+PRP
than after PRP alone.In this trial including severe or very severe COPD patients,
we did not find a significant benefit of IMT during PRP+IMT as compared to PRP
alone on dyspnoea, despite a significantly higher improvement of PImax in the IMT
group.
PMID- 29371381
TI - Inhaled diesel exhaust alters the allergen-induced bronchial secretome in humans.
AB - Diesel exhaust (DE) is a paradigm for traffic-related air pollution. Human
adaptation to DE is poorly understood and currently based on oversimplified
models. DE promotes allergic responses, but protein expression changes mediated
by this interaction have not been systematically investigated. The aim of this
study was to define the effect of inhaled DE on allergen-induced proteins in the
lung.We performed a randomised and blinded controlled human crossover exposure
study. Participants inhaled filtered air or DE; thereafter, contralateral lung
segments were challenged with allergen or saline. Using label-free quantitative
proteomics, we comprehensively defined DE-mediated alteration of allergen-driven
secreted proteins (secretome) in bronchoalveolar lavage. We further examined
expression of proteins selected from the secretome data in independent validation
experiments using Western blots, ELISA and immunohistochemistry.We identified
protein changes unique to co-exposure (DE+allergen), undetected with mono
exposures (DE or allergen alone). Validation studies confirmed that specific
proteins (e.g. the antimicrobial peptide cystatin-SA) were significantly enhanced
with DE+allergen compared to either mono-exposure.This study demonstrates that
common environmental co-exposures can uniquely alter protein responses in the
lungs, illuminating biology that mono-exposures cannot. This study highlights the
value of complex human in vivo models in detailing airway responses to inhaled
pollution.
PMID- 29371382
TI - Inspiratory muscle training does not improve clinical outcomes in 3-week COPD
rehabilitation: results from a randomised controlled trial.
AB - The value of inspiratory muscle training (IMT) in pulmonary rehabilitation in
chronic obstructive pulmonary disease (COPD) is unclear. The RIMTCORE (Routine
Inspiratory Muscle Training within COPD Rehabilitation) randomised controlled
trial examined the effectiveness of IMT added to pulmonary rehabilitation.In
total, 611 COPD patients (Global Initiative for Chronic Obstructive Lung Disease
stage II-IV) received a 3-week inpatient pulmonary rehabilitation, of which 602
patients were included in the intention-to-treat analyses. The intervention group
(n=300) received highly intensive IMT and the control group (n=302) received sham
IMT. The primary outcome was maximal inspiratory pressure (PImax). The secondary
outcomes were 6-min walk distance, dyspnoea, quality of life and lung function.
Outcomes were assessed pre- and post-pulmonary rehabilitation. ANCOVA was
used.The intervention group showed higher effects in PImax (p<0.001) and forced
inspiratory volume in 1 s (p=0.013). All other outcomes in both study groups
improved significantly, but without further between-group differences. Sex and
pulmonary rehabilitation admission shortly after hospitalisation modified quality
of life effects.IMT as an add-on to a 3-week pulmonary rehabilitation improves
inspiratory muscle strength, but does not provide additional benefits in terms of
exercise capacity, quality of life or dyspnoea. A general recommendation for COPD
patients to add IMT to a 3-week pulmonary rehabilitation cannot be made.
PMID- 29371383
TI - RESPIRE 1: a phase III placebo-controlled randomised trial of ciprofloxacin dry
powder for inhalation in non-cystic fibrosis bronchiectasis.
AB - We evaluated the efficacy and safety of ciprofloxacin dry powder for inhalation
(DPI) in patients with non-cystic fibrosis bronchiectasis, two or more
exacerbations in the previous year and pre-defined bacteria in sputum.In this
phase III, double-blind, placebo-controlled trial, patients were randomised 2:1
to twice-daily ciprofloxacin DPI 32.5 mg or placebo in two treatment regimens
consisting of on/off treatment cycles of 14 or 28 days for 48 weeks. The primary
end-points were time to first exacerbation and frequency of exacerbations.A total
of 416 patients were randomised to the 14-day on/off regimen (ciprofloxacin DPI
(n=137) and placebo (n=68)) or the 28-day on/off regimen (ciprofloxacin DPI
(n=141) and placebo (n=70)). Ciprofloxacin DPI 14 days on/off significantly
prolonged time to first exacerbation versus pooled placebo (median time >336
versus 186 days; hazard ratio 0.53, 97.5% CI 0.36-0.80; p=0.0005) and reduced the
frequency of exacerbations compared with matching placebo by 39% (mean number of
exacerbations 0.6 versus 1.0; incidence rate ratio 0.61, 97.5% CI 0.40-0.91;
p=0.0061). Outcomes for ciprofloxacin DPI 28 days on/off were not statistically
significantly different from placebo. The safety profile of ciprofloxacin DPI was
favourable.Ciprofloxacin DPI was well tolerated and has the potential to be an
effective treatment option in non-cystic fibrosis bronchiectasis.
PMID- 29371384
TI - RESPIRE 2: a phase III placebo-controlled randomised trial of ciprofloxacin dry
powder for inhalation in non-cystic fibrosis bronchiectasis.
AB - We evaluated the efficacy and safety of ciprofloxacin dry powder for inhalation
(DPI) in patients with non-cystic fibrosis bronchiectasis, two or more
exacerbations in the previous year and predefined sputum bacteria.Patients were
randomised 2:1 to twice-daily ciprofloxacin DPI 32.5 mg or placebo in 14- or 28
day on/off treatment cycles for 48 weeks. Primary end-points were time to first
exacerbation and frequency of exacerbations. Enrolling countries and alpha level
split (0.049 and 0.001 for 14- and 28-day cycles, respectively) differed from
RESPIRE 1.Patients were randomised to ciprofloxacin DPI (14 days on/off (n=176)
or 28 days on/off (n=171)) or placebo (14 days on/off (n=88) or 28 days on/off
(n=86)). The exacerbation rate was low across treatment arms (mean+/-sd 0.6+/
0.9). Active treatment showed trends to prolonged time to first exacerbation
(ciprofloxacin DPI 14 days on/off: hazard ratio 0.87, 95.1% CI 0.62-1.21;
p=0.3965; ciprofloxacin DPI 28 days on/off: hazard ratio 0.71, 99.9% CI 0.39
1.27; p=0.0511) and reduced frequency of exacerbations (ciprofloxacin DPI 14 days
on/off: incidence rate ratio 0.83, 95.1% CI 0.59-1.17; p=0.2862; ciprofloxacin
DPI 28 days on/off: incidence rate ratio 0.55, 99.9% CI 0.30-1.02; p=0.0014),
although neither achieved statistical significance. Ciprofloxacin DPI was well
tolerated.Trends towards clinical benefit were seen with ciprofloxacin DPI, but
primary end-points were not met.
PMID- 29371385
TI - Both moderate and severe exacerbations accelerate physical activity decline in
COPD patients.
PMID- 29371386
TI - Tuberculosis and tobacco: is there any epidemiological association?
PMID- 29371387
TI - Eosinophils in COPD: how many swallows make a summer?
PMID- 29371388
TI - Chronic breathlessness: re-thinking the symptom.
PMID- 29371389
TI - Inspiratory muscle training in stable COPD patients: enough is enough?
PMID- 29371390
TI - Chronic breathlessness: re-thinking the symptom.
PMID- 29371391
TI - Chronic breathlessness: re-thinking the symptom.
PMID- 29371392
TI - How does inflammation contribute to pulmonary hypertension?
PMID- 29371393
TI - RESPIRE: breathing new life into bronchiectasis.
PMID- 29371394
TI - Is chronic exposure to air pollutants a risk factor for the development of
idiopathic pulmonary fibrosis?
PMID- 29371395
TI - It's time to evolve from Scadding: phenotyping sarcoidosis.
PMID- 29371396
TI - The cytochrome P450 24A1 interaction with adrenodoxin relies on multiple
recognition sites that vary among species.
AB - Mitochondrial cytochromes P450 (P450s) are responsible for important metabolic
reactions, including steps involved in steroid and vitamin D metabolism. The
mitochondrial P450 24A1 (CYP24A1) is responsible for deactivation of the
bioactive form of vitamin D, 1,25(OH)2D3. Its function relies on formation of a
P450-redox partner complex with the ferredoxin and electron donor adrenodoxin
(Adx). However, very little is known about how the Adx-CYP24A1 complex forms. In
this study, we report the results of solution NMR in which we monitor
isotopically labeled full-length Adx as it binds CYP24A1 in complex with the P450
inhibitor clotrimazole. The NMR titration data suggested a mode for P450-Adx
interactions in which formation of the complex relies on contributions from
multiple recognition sites on the Adx core domain, some of which have not
previously been reported. To evaluate differences among CYP24A1-Adx complexes
from different mammalian species and displaying distinct regioselectivity for
1,25(OH)2D3, all bound spectra were acquired in parallel for human (carbon-23 and
-24 hydroxylase), rat (carbon-24 hydroxylase), and opossum (carbon-23
hydroxylase) CYP24A1 isoforms. Binding data from a series of single and double
charge-neutralizing substitutions of Adx confirmed that species-specific CYP24A1
isoforms differ in binding to Adx, providing evidence that variations in redox
partner interactions correlate with P450 regioselectivity. In summary, these
findings reveal that CYP24A1-Adx interactions rely on several recognition sites
and that variations in CYP24A1 isoforms modulate formation of the complex, thus
providing insight into the variable and complex nature of mitochondrial P450-Adx
interactions.
PMID- 29371397
TI - Transcriptional and post-transcriptional regulation of autophagy in the yeast
Saccharomyces cerevisiae.
AB - Autophagy is a highly conserved catabolic pathway that is vital for development,
cell survival, and the degradation of dysfunctional organelles and potentially
toxic aggregates. Dysregulation of autophagy is associated with cancer,
neurodegeneration, and lysosomal storage diseases. Accordingly, autophagy is
precisely regulated at multiple levels (transcriptional, post-transcriptional,
translational, and post-translational) to prevent aberrant activity. Various
model organisms are used to study autophagy, but the baker's yeast Saccharomyces
cerevisiae continues to be advantageous for genetic and biochemical analysis of
non-selective and selective autophagy. In this Minireview, we focus on the
cellular mechanisms that regulate autophagy transcriptionally and post
transcriptionally in S. cerevisiae.
PMID- 29371398
TI - A molecular perspective of mammalian autophagosome biogenesis.
AB - Autophagy is a highly conserved process and is essential for the maintenance of
cellular homeostasis. Autophagy occurs at a basal level in all cells, but it can
be up-regulated during stress, starvation, or infection. Misregulation of
autophagy has been linked to various disorders, including cancer,
neurodegeneration, and immune diseases. Here, we discuss the essential proteins
acting in the formation of an autophagosome, with a focus on the ULK and VPS34
kinase complexes, phosphatidylinositol 3-phosphate effector proteins, and the
transmembrane autophagy-related protein ATG9. The function and regulation of
these and other autophagy-related proteins acting during formation will be
addressed, in particular during amino acid starvation.
PMID- 29371399
TI - Modular domain swapping among the bacterial cytotoxic necrotizing factor (CNF)
family for efficient cargo delivery into mammalian cells.
AB - Modular AB-type bacterial protein toxins target mammalian host cells with high
specificity and deliver their toxic cargo into the cytosol. Hence, these toxins
are being explored as agents for targeted cytosolic delivery in biomedical and
research applications. The cytotoxic necrotizing factor (CNF) family is unique
among these toxins in that their homologous sequences are found in a wide array
of bacteria, and their activity domains are packaged in various delivery systems.
Here, to study how CNF cargo and delivery modules can be assembled for efficient
cytosolic delivery, we generated chimeric toxins by swapping functional domains
among CNF1, CNF2, CNF3, and CNFy. Chimeras with a CNFy delivery vehicle were more
stably expressed, but were less efficient at cargo delivery into HEK293-T cells.
We also found that CNFy cargo is the most universally compatible and that CNF3
delivery vehicle is the most flexible and efficient at delivering cargo. These
findings suggest that domains within proteins can be swapped and accommodate each
other for efficient function and that an individual domain could be engineered
for compatibility with multiple partner domains. We anticipate that our insights
could help inform chemical biology approaches to develop toxin-based cargo
delivery platforms for cytosolic cargo delivery of therapeutics or molecular
probes into mammalian cells.
PMID- 29371402
TI - Molecular Imaging in Cancer Drug Development.
AB - Development of new oncology drugs has increased since the improved understanding
of cancer's complex biology. The oncology field has become the top therapeutic
research area for new drugs. However, only a limited number of drugs entering
clinical trials will be approved for use as the standard of care for cancer
patients. Molecular imaging is increasingly perceived as a tool to support go/no
go decisions early during drug development. It encompasses a wide range of
techniques that include radiolabeling a compound of interest followed by
visualization with SPECT or PET. Radiolabeling can be performed using a variety
of radionuclides, which are preferably matched to the compound on the basis of
size and half-life. Imaging can provide information on drug behavior in vivo,
whole-body drug target visualization, and heterogeneity in drug target
expression. This review focuses on current applications of molecular imaging in
the development of small molecules, antibodies, and antihormonal anticancer
drugs.
PMID- 29371400
TI - Cross-kingdom auxiliary subunit modulation of a voltage-gated sodium channel.
AB - Voltage-gated, sodium ion-selective channels (NaV) generate electrical signals
contributing to the upstroke of the action potential in animals. NaVs are also
found in bacteria and are members of a larger family of tetrameric voltage-gated
channels that includes CaVs, KVs, and NaVs. Prokaryotic NaVs likely emerged from
a homotetrameric Ca2+-selective voltage-gated progenerator, and later developed
Na+ selectivity independently. The NaV signaling complex in eukaryotes contains
auxiliary proteins, termed beta (beta) subunits, which are potent modulators of
the expression profiles and voltage-gated properties of the NaV pore, but it is
unknown whether they can functionally interact with prokaryotic NaV channels.
Herein, we report that the eukaryotic NaVbeta1-subunit isoform interacts with and
enhances the surface expression as well as the voltage-dependent gating
properties of the bacterial NaV, NaChBac in Xenopus oocytes. A phylogenetic
analysis of the beta-subunit gene family proteins confirms that these proteins
appeared roughly 420 million years ago and that they have no clear homologues in
bacterial phyla. However, a comparison between eukaryotic and bacterial NaV
structures highlighted the presence of a conserved fold, which could support
interactions with the beta-subunit. Our electrophysiological, biochemical,
structural, and bioinformatics results suggests that the prerequisites for beta
subunit regulation are an evolutionarily stable and intrinsic property of some
voltage-gated channels.
PMID- 29371403
TI - Molecular Imaging of Prostate Cancer: Choosing the Right Agent.
PMID- 29371401
TI - Uncoupling proteins 1 and 2 (UCP1 and UCP2) from Arabidopsis thaliana are
mitochondrial transporters of aspartate, glutamate, and dicarboxylates.
AB - The Arabidopsis thaliana genome contains 58 members of the solute carrier family
SLC25, also called the mitochondrial carrier family, many of which have been
shown to transport specific metabolites, nucleotides, and cofactors across the
mitochondrial membrane. Here, two Arabidopsis members of this family, AtUCP1 and
AtUCP2, which were previously thought to be uncoupling proteins and hence named
UCP1/PUMP1 and UCP2/PUMP2, respectively, are assigned with a novel function. They
were expressed in bacteria, purified, and reconstituted in phospholipid vesicles.
Their transport properties demonstrate that they transport amino acids
(aspartate, glutamate, cysteine sulfinate, and cysteate), dicarboxylates (malate,
oxaloacetate, and 2-oxoglutarate), phosphate, sulfate, and thiosulfate. Transport
was saturable and inhibited by mercurials and other mitochondrial carrier
inhibitors to various degrees. AtUCP1 and AtUCP2 catalyzed a fast counterexchange
transport as well as a low uniport of substrates, with transport rates of AtUCP1
being much higher than those of AtUCP2 in both cases. The aspartate/glutamate
heteroexchange mediated by AtUCP1 and AtUCP2 is electroneutral, in contrast to
that mediated by the mammalian mitochondrial aspartate glutamate carrier.
Furthermore, both carriers were found to be targeted to mitochondria. Metabolite
profiling of single and double knockouts shows changes in organic acid and amino
acid levels. Notably, AtUCP1 and AtUCP2 are the first reported mitochondrial
carriers in Arabidopsis to transport aspartate and glutamate. It is proposed that
the primary function of AtUCP1 and AtUCP2 is to catalyze an
aspartateout/glutamatein exchange across the mitochondrial membrane and thereby
contribute to the export of reducing equivalents from the mitochondria in
photorespiration.
PMID- 29371404
TI - Concurrent Respiratory Motion Correction of Abdominal PET and Dynamic Contrast
Enhanced-MRI Using a Compressed Sensing Approach.
AB - We present an approach for concurrent reconstruction of respiratory motion
compensated abdominal dynamic contrast-enhanced (DCE)-MRI and PET data in an
integrated PET/MR scanner. The MR and PET reconstructions share the same motion
vector fields derived from radial MR data; the approach is robust to changes in
respiratory pattern and does not increase the total acquisition time. Methods:
PET and DCE-MRI data of 12 oncologic patients were simultaneously acquired for 6
min on an integrated PET/MR system after administration of 18F-FDG and gadoterate
meglumine. Golden-angle radial MR data were continuously acquired simultaneously
with PET data and sorted into multiple motion phases on the basis of a
respiratory signal derived directly from the radial MR data. The resulting
multidimensional dataset was reconstructed using a compressed sensing approach
that exploits sparsity among respiratory phases. Motion vector fields obtained
using the full 6-min (MC6-min) and only the last 1 min (MC1-min) of data were
incorporated into the PET reconstruction to obtain motion-corrected PET images
and in an MR iterative reconstruction algorithm to produce a series of motion
corrected DCE-MR images (moco_GRASP). The motion-correction methods (MC6-min and
MC1-min) were evaluated by qualitative analysis of the MR images and quantitative
analysis of SUVmax and SUVmean, contrast, signal-to-noise ratio (SNR), and lesion
volume in the PET images. Results: Motion-corrected MC6-min PET images
demonstrated 30%, 23%, 34%, and 18% increases in average SUVmax, SUVmean,
contrast, and SNR and an average 40% reduction in lesion volume with respect to
the non-motion-corrected PET images. The changes in these figures of merit were
smaller but still substantial for the MC1-min protocol: 19%, 10%, 15%, and 9%
increases in average SUVmax, SUVmean, contrast, and SNR; and a 28% reduction in
lesion volume. Moco_GRASP images were deemed of acceptable or better diagnostic
image quality with respect to conventional breath-hold Cartesian volumetric
interpolated breath-hold examination acquisitions. Conclusion: We presented a
method that allows the simultaneous acquisition of respiratory motion-corrected
diagnostic quality DCE-MRI and quantitatively accurate PET data in an integrated
PET/MR scanner with negligible prolongation in acquisition time compared with
routine PET/DCE-MRI protocols.
PMID- 29371406
TI - A Prospective Study of Quantitative SPECT/CT for Evaluation of Lung Shunt
Fraction Before SIRT of Liver Tumors.
AB - The lung shunt fraction (LSF) is estimated using 99mTc-macroaggregated albumin
(99mTc-MAA) imaging before selective internal radiotherapy (SIRT) of the liver to
reduce the risk of pulmonary irradiation. Generally, planar scans are acquired
after injection of 99mTc-MAA into the hepatic artery. However, the validity of
this approach is limited by differences in attenuation between liver and lung
tissue as well as inaccurate segmentation of the organs. The aim of this study
was to evaluate quantitative SPECT/CT for LSF assessment in a prospective
clinical cohort. Methods: Fifty consecutive patients intended to undergo SIRT
were imaged within 1 h after injection of 99mTc-MAA using a SPECT/CT gamma
camera. Planar scans of the lung and liver region were acquired in anterior and
posterior views, followed by SPECT/CT scans of the thorax and abdomen. Emission
data were corrected for scatter, attenuation, and resolution recovery using
dedicated software. To quantify the radioactivity concentration in the lung,
liver, urinary bladder and remainder of the thoracoabdominal body, volumes of
interest were defined on the SPECT/CT images. 99mTc-MAA concentrations were
calculated as percentage injected dose (%ID). Results: Mean 99mTc-MAA uptake in
liver and lung accounted for only 79 %ID, whereas 13.1 %ID was present in the
remainder of the body. In all patients, LSF as calculated from planar scans
accounted for a median of 6.8% (range, 3.4%-32.3%), whereas the SPECT/CT
quantitation revealed significantly lower LSF estimates, at a median of 1.9%
(range, 0.8%-15.7%) (P < 0.0001, Wilcoxon test). On the basis of planar imaging,
dose reduction or even contraindications to SIRT had to be considered in 10 of 50
patients, as their LSF was calculated at 10% or more. In contrast, SPECT/CT
quantitation showed substantial shunting in only 2 of the 50 patients.
Conclusion: Quantitative SPECT/CT reveals that the LSF is considerably lower than
shown on planar imaging. Thus, the resulting dose to the lung parenchyma may be
less than conventionally assumed. However, the safety of the SPECT/CT-derived
dose range will have to be evaluated.
PMID- 29371405
TI - Evaluation of PET Brain Radioligands for Imaging Pancreatic beta-Cell Mass:
Potential Utility of 11C-(+)-PHNO.
AB - Type 1 diabetes mellitus (T1DM) is characterized by a loss of beta-cells in the
islets of Langerhans of the pancreas and subsequent deficient insulin secretion
in response to hyperglycemia. Development of an in vivo test to measure beta-cell
mass (BCM) would greatly enhance the ability to track diabetes therapies. beta
cells and neurologic tissues have common cellular receptors and transporters,
therefore, we screened brain radioligands for their ability to identify beta
cells. Methods: We examined a beta-cell gene atlas for endocrine pancreas
receptor targets and cross-referenced these targets with brain radioligands that
were available at our institution. Twelve healthy control subjects and 2 T1DM
subjects underwent dynamic PET/CT scans with 6 tracers. Results: The D2/D3
receptor agonist radioligand 11C-(+)-4-propyl-9-hydroxynaphthoxazine (PHNO) was
the only radioligand to demonstrate sustained uptake in the pancreas with high
contrast versus abdominal organs such as the kidneys, liver, and spleen, based on
the first 30 min of data. Mean SUV from 20 to 30 min demonstrated high uptake of
11C-(+)-PHNO in healthy controls (SUV, 13.8) with a 71% reduction in a T1DM
subject with undetectable levels of C-peptide (SUV, 4.0) and a 20% reduction in a
T1DM subject with fasting C-peptide level of 0.38 ng/mL (SUV, 11.0). SUV in
abdominal organs outside the pancreas did not show measurable differences between
the control and T1DM subjects, suggesting that the changes in SUV of 11C-(+)-PHNO
may be specific to changes in the pancreas between healthy controls and T1DM
subjects. When D3 and D2 antagonists were used in nonhuman primates, specific
pancreatic binding (SUVR-1) of 11C-PHNO was reduced by 57% and 38%, respectively.
Conclusion:11C-(+)-PHNO is a potential marker of BCM, with 2:1 binding of D3
receptors over D2 receptors. Further in vitro and in vivo studies to establish
D2/D3 receptor specificity to beta-cells is warranted to characterize 11C-(+)
PHNO as a candidate for clinical measurement of BCM in healthy control and
diabetic subjects.
PMID- 29371407
TI - 68Ga-PSMA-HBED-CC Uptake in Cervical, Celiac, and Sacral Ganglia as an Important
Pitfall in Prostate Cancer PET Imaging.
AB - The study aims to investigate the presence of physiologic prostate-specific
membrane antigen (68Ga-PSMA)-ligand uptake on PET in cervical, celiac, and sacral
ganglia of the sympathetic trunk as a pitfall for lymph node metastases in
prostate cancer imaging. Methods: Four hundred seven patients who underwent Glu
NH-CO-NH-Lys radiolabeled with 68Ga-gallium N,N-bis[2-hydroxy-5
(carboxyethyl)benzyl]ethylenediamine-N,N-diacetic acid (68Ga-PSMA-HBED-CC) PET
(combined with a diagnostic CT) were retrospectively analyzed. The number of 68Ga
PSMA PET-positive cervical, celiac, and sacral ganglia was determined, and the
configuration and SUVmax of each ganglion were measured. In addition, the
configuration and SUVmax of adjacent lymph node metastases in the respective
region (cervical, celiac, or sacral) were determined. Results:68Ga-PSMA-ligand
uptake above background was detected in 401 (98.5%) patients in any peripheral
ganglia, in 369 (92%) patients in cervical ganglia, in 363 (89%) patients in
celiac ganglia, and in 183 (46%) patients in sacral ganglia. The 68Ga-PSMA-ligand
uptake was highest in celiac (mean SUVmax, 2.9 +/- 0.8 vs. cervical mean SUVmax,
2.4 +/- 0.6) and sacral (mean SUVmax 1.7 +/- 0.5; both P < 0.0001) ganglia.
Intraindividually there was a statistically significant but weak to moderate
correlation between the 68Ga-PSMA-ligand uptake in cervical versus celiac ganglia
(R = 0.34, P < 0.0001), cervical versus sacral (R = 0.52, P < 0.0001), and celiac
versus sacral (R = 0.16, P < 0.05). The 68Ga-PSMA-ligand uptake was significantly
more intense in adjacent lymph node metastases than the respective ganglia
(cervical: 18.0 +/- 16.2 vs. 2.4 +/- 0.6, P < 0.0001; celiac: 13.5 +/- 12.3 vs.
2.9 +/- 0.8, P < 0.0001; sacral: 13.4 +/- 11.6 vs. 1.7 +/- 0.5, P < 0.0001).
Furthermore, ganglia predominantly exhibit a band-shaped configuration (71.2%),
followed by a teardrop (26.8%) and only rarely a nodular configuration (2.0%).
Conversely, lymph node metastases are only rarely band-shaped (1.1%), but more
often show teardrop (40.3%) or nodular appearance (58.6%) (P < 0.00001).
Conclusion:68Ga-PSMA-ligand uptake in ganglia along the sympathetic trunk as
assessed by 68Ga-PSMA-HBED-CC PET represents an important pitfall in prostate
cancer PET imaging. The 68Ga-PSMA-ligand uptake is higher in celiac ganglia than
cervical or sacral ganglia, and the level of 68Ga-PSMA-ligand uptake seems to be
patient-related. For the differentiation between lymph node metastases and
sympathetic ganglia, both intensity of 68Ga-PSMA-ligand uptake and exact
localization and configuration of the respective lesion should be examined
carefully.
PMID- 29371408
TI - Patient-Specific Computational Model and Dosimetry Calculations for PET/CT of a
Patient Pregnant with Twins.
AB - The radiation dose delivered to pregnant patients during radiologic imaging
procedures raises health concerns because the developing embryo and fetus are
considered to be highly radiosensitive. To appropriately weigh the diagnostic
benefits against the radiation risks, the radiologist needs reasonably accurate
and detailed estimates of the fetal dose. Expanding our previously developed
series of computational phantoms for pregnant women, we here describe a
personalized model for twin pregnancy, based on an actual clinical scan. Methods:
The model is based on a standardized hybrid pregnant female and fetus phantom and
on a clinical case of a patient who underwent an 18F-FDG PET/CT scan while
expecting twins at 25 weeks' gestation. This model enabled us to produce a
realistic physical representation of the pregnant patient and to estimate the
maternal and fetal organ doses from the 18F-FDG and CT components. The Monte
Carlo N-Particle Extended general-purpose code was used for radiation transport
simulation. Results: The 18F-FDG doses for the 2 fetuses were 3.78 and 3.99 mGy,
and the CT doses were 0.76 and 0.70 mGy, respectively. Therefore, the relative
contribution of 18F-FDG and CT to the total dose to the fetuses was about 84% and
16%, respectively. Meanwhile, for 18F-FDG, the calculated personalized absorbed
dose was about 40%-50% higher than the doses reported by other dosimetry computer
software tools. Conclusion: Our approach to constructing personalized
computational models allows estimation of a patient-specific radiation dose, even
in cases with unusual anatomic features such as a twin pregnancy. Our results
also show that, even in twins, the fetal organ doses from both 18F-FDG and CT
present a certain variability linked to the anatomic characteristics. The CT
fetal dose is smaller than the 18F-FDG PET dose.
PMID- 29371409
TI - The National Oncology PET Registry (NOPR): A monumental effort by a few leaders.
PMID- 29371410
TI - Intraindividual Comparison of 99mTc-Methylene Diphosphonate and Prostate-Specific
Membrane Antigen Ligand 99mTc-MIP-1427 in Patients with Osseous Metastasized
Prostate Cancer.
AB - The objective of this study was to evaluate the rate of detection of bone
metastases obtained with the prostate-specific membrane antigen (PSMA)-targeting
tracer 99mTc-MIP-1427, as opposed to conventional bone scanning with 99mTc
methylene diphosphonate (99mTc-MDP), in a collective of patients with known
advanced-stage osseous metastasized prostate cancer. Methods: Twenty-one patients
with known metastatic disease were staged with both conventional bone scanning
and PSMA ligand scintigraphy within a time frame of less than 10 d. Imaging
included planar whole-body scanning and SPECT or SPECT/CT with 2 bed positions 3
h after injection of either 500-750 MBq of 99mTc-MIP-1427 or 600-750 MBq of 99mTc
MDP. Lesions were scored as typical tumor, equivocal (benign/malignant), or
normal within a standard reporting schema divided into defined anatomic regions.
Masked and consensus readings were performed with sequential unmasking: planar
scans first, then SPECT/CT, the best evaluable comparator (including MRI),
PET/CT, and follow-up examinations. Results: Eleven patients had PSMA-positive
visceral metastases that were predictably not diagnosed with conventional bone
scanning. However, SPECT/CT was required to distinguish between soft-tissue
uptake and overlapping bone. Four patients had extensive 99mTc-MDP-negative bone
marrow lesions. Seven patients had superscan characteristics on bone scans; in
contrast, the extent of red marrow involvement was more evident on PSMA scans.
Only 3 patients had equivalent results on bone scans and PSMA scans. In 16
patients, more suspect lesions were detected with PSMA scanning than with bone
scanning. In 2 patients (10%), a PSMA-negative tumor phenotype was present.
Conclusion: PSMA scanning provided a clear advantage over bone scanning by
reducing the number of equivocal findings in most patients. SPECT/CT was pivotal
for differentiating bone metastases from extraosseous tumor lesions.
PMID- 29371411
TI - Central and peripheral effects of physical exercise without weight reduction in
obese and lean mice.
AB - To investigate the central (hypothalamic) and peripheral effects of exercise
without body weight change in diet-induced obesity (DIO). Twelve-week-old male
C57Bl/6 mice received a control (C) or a high-fat diet (H). Half of them had free
access to running wheels for 5 days/week for 10 weeks (CE) and HE, respectively).
Hypothalamic expression of genes related to energy homeostasis, and leptin (Stat3
and p-Stat3) and insulin (Akt and p-Akt) signaling were evaluated. Glucose and
leptin tolerance, peripheral insulin sensitivity, and plasma insulin, leptin and
adiponectin were determined. Perigonadal and retroperitoneal fat depots were
increased by diet but reduced by exercise despite lack of effect of exercise on
body weight. Blood glucose during intraperitoneal glucose tolerance test (ipGTT)
was higher and glucose decay during intraperitoneal insulin tolerance test
(ipITT) was lower in H and HE compared with C and CE. Exercise increased liver p
Akt expression and reduced fast glycemia. High-fat diet increased plasma insulin
and leptin. Exercise had no effect on insulin but decreased leptin and increased
adiponectin. Leptin inhibited food intake in all groups. Hypothalamic total and p
Stat3 and Akt were similar amongst the groups despite higher plasma levels of
leptin and insulin in H and HE mice. High-fat diet modulated gene expression
favoring a positive energy balance. Exercise only marginally changed the gene
expression. Exercise induced positive changes (decreased fast glycemia and fat
depots; increased liver insulin signaling and adiponectin concentration) without
weight loss. Thus, despite reducing body weight could bring additional benefits,
the effects of exercise must not be overlooked when weight reduction is not
achieved.
PMID- 29371412
TI - Loss of ABCB4 attenuates the caspase-dependent apoptosis regulating resistance to
5-Fu in colorectal cancer.
AB - The adenosine triphosphate-binding cassette (ABC) is a large group of proteins
involved in material transportation, cellular homeostasis, and closely associated
with chemoresistance. ATP-binding cassette protein B4 (ABCB4) is a member of ABCs
which has a similar structure to ABCB1, but fewer researches were performed. The
present study is aimed to investigate the putative mechanism of ABCB4 in 5
fluorouracil (5-Fu) resistance. Then, we found that ABCB4 was significantly down
regulated in the 5-Fu resistant HCT8 cell lines by polymerase chain reaction
(PCR) and Western blot. The knockdown of ABCB4 by small interfering RNA decreased
the apoptosis by 5-Fu in resistant HCT8R cell lines without influencing the
proliferation. Also, we found a lower expression of cleaved caspase and PARP by
Western blot after the knockdown of ABCB4. However, the knockdown of ABCB4 did
not influence the proliferation and apoptosis. Furthermore, the histological
detection of ABCB4 mRNA level in human colorectal cancer tissues and even in the
recurrent tissues after 5-Fu single-agent chemotherapy was employed to provide
more concrete evidence that ABCB4 may be a tumor suppressor gene to regulate
chemoresistance in colorectal cancer. Moreover, a 109-patient cohort revealed
that ABCB4 predicted a poor recurrence-free survival and overall survival. In
summary, ABCB4 was down-regulated in the 5-Fu resistant cells and knockdown of
ABCB4 alleviated the cell apoptosis and predicts a shorter recurrence-free
survival and overall survival.
PMID- 29371413
TI - Advancing the science of patient decision aids through reporting guidelines.
PMID- 29371414
TI - Low body mass index can be associated with the risk and poor outcomes of
neuromyelitis optica with aquaporin-4 immunoglobulin G in women.
PMID- 29371416
TI - Bardoxolone-the Phoenix?
PMID- 29371415
TI - Charting the road forward in psychiatric neurosurgery: proceedings of the 2016
American Society for Stereotactic and Functional Neurosurgery workshop on
neuromodulation for psychiatric disorders.
AB - OBJECTIVE: Refractory psychiatric disease is a major cause of morbidity and
mortality worldwide, and there is a great need for new treatments. In the last
decade, investigators piloted novel deep brain stimulation (DBS)-based therapies
for depression and obsessive-compulsive disorder (OCD). Results from recent
pivotal trials of these therapies, however, did not demonstrate the degree of
efficacy expected from previous smaller trials. To discuss next steps,
neurosurgeons, neurologists, psychiatrists and representatives from industry
convened a workshop sponsored by the American Society for Stereotactic and
Functional Neurosurgery in Chicago, Illinois, in June of 2016. DESIGN: Here we
summarise the proceedings of the workshop. Participants discussed a number of
issues of importance to the community. First, we discussed how to interpret
results from the recent pivotal trials of DBS for OCD and depression. We then
reviewed what can be learnt from lesions and closed-loop neurostimulation.
Subsequently, representatives from the National Institutes of Health, the Food
and Drug Administration and industry discussed their views on neuromodulation for
psychiatric disorders. In particular, these third parties discussed their
criteria for moving forward with new trials. Finally, we discussed the best way
of confirming safety and efficacy of these therapies, including registries and
clinical trial design. We close by discussing next steps in the journey to new
neuromodulatory therapies for these devastating illnesses. CONCLUSION: Interest
and motivation remain strong for deep brain stimulation for psychiatric disease.
Progress will require coordinated efforts by all stakeholders.
PMID- 29371418
TI - The Benefits of Tubular Proteinuria: An Evolutionary Perspective.
PMID- 29371417
TI - Exogenous Gene Transmission of Isocitrate Dehydrogenase 2 Mimics Ischemic
Preconditioning Protection.
AB - Ischemic preconditioning confers organ-wide protection against subsequent
ischemic stress. A substantial body of evidence underscores the importance of
mitochondria adaptation as a critical component of cell protection from ischemia.
To identify changes in mitochondria protein expression in response to ischemic
preconditioning, we isolated mitochondria from ischemic preconditioned kidneys
and sham-treated kidneys as a basis for comparison. The proteomic screen
identified highly upregulated proteins, including NADP+-dependent isocitrate
dehydrogenase 2 (IDH2), and we confirmed the ability of this protein to confer
cellular protection from injury in murine S3 proximal tubule cells subjected to
hypoxia. To further evaluate the role of IDH2 in cell protection, we performed
detailed analysis of the effects of Idh2 gene delivery on kidney susceptibility
to ischemia-reperfusion injury. Gene delivery of IDH2 before injury attenuated
the injury-induced rise in serum creatinine (P<0.05) observed in controls and
increased the mitochondria membrane potential (P<0.05), maximal respiratory
capacity (P<0.05), and intracellular ATP levels (P<0.05) above those in controls.
This communication shows that gene delivery of Idh2 can confer organ-wide
protection against subsequent ischemia-reperfusion injury and mimics ischemic
preconditioning.
PMID- 29371419
TI - Plasma Potassium Determines NCC Abundance in Adult Kidney-Specific gammaENaC
Knockout.
AB - The amiloride-sensitive epithelial sodium channel (ENaC) and the thiazide
sensitive sodium chloride cotransporter (NCC) are key regulators of sodium and
potassium and colocalize in the late distal convoluted tubule of the kidney. Loss
of the alphaENaC subunit leads to a perinatal lethal phenotype characterized by
sodium loss and hyperkalemia resembling the human syndrome
pseudohypoaldosteronism type 1 (PHA-I). In adulthood, inducible nephron-specific
deletion of alphaENaC in mice mimics the lethal phenotype observed in neonates,
and as in humans, this phenotype is prevented by a high sodium (HNa+)/low
potassium (LK+) rescue diet. Rescue reflects activation of NCC, which is
suppressed at baseline by elevated plasma potassium concentration. In this study,
we investigated the role of the gammaENaC subunit in the PHA-I phenotype. Nephron
specific gammaENaC knockout mice also presented with salt-wasting syndrome and
severe hyperkalemia. Unlike mice lacking alphaENaC or betaEpsilonNuaC, an
HNa+/LK+ diet did not normalize plasma potassium (K+) concentration or increase
NCC activation. However, when K+ was eliminated from the diet at the time that
gammaENaC was deleted, plasma K+ concentration and NCC activity remained normal,
and progressive weight loss was prevented. Loss of the late distal convoluted
tubule, as well as overall reduced betaENaC subunit expression, may be
responsible for the more severe hyperkalemia. We conclude that plasma K+
concentration becomes the determining and limiting factor in regulating NCC
activity, regardless of Na+ balance in gammaENaC-deficient mice.
PMID- 29371420
TI - Should We Increase GFR with Bardoxolone in Alport Syndrome?
PMID- 29371421
TI - Banff Classification of Polyomavirus Nephropathy: A New Tool for Research and
Clinical Practice.
PMID- 29371422
TI - Editorial Note: From Both Sides Now.
PMID- 29371423
TI - Any Progress in the Treatment of Antibody-Mediated Rejection?
PMID- 29371424
TI - Systematic discovery of antiphage defense systems in the microbial pangenome.
AB - The arms race between bacteria and phages led to the development of sophisticated
antiphage defense systems, including CRISPR-Cas and restriction-modification
systems. Evidence suggests that known and unknown defense systems are located in
"defense islands" in microbial genomes. Here, we comprehensively characterized
the bacterial defensive arsenal by examining gene families that are clustered
next to known defense genes in prokaryotic genomes. Candidate defense systems
were systematically engineered and validated in model bacteria for their
antiphage activities. We report nine previously unknown antiphage systems and one
antiplasmid system that are widespread in microbes and strongly protect against
foreign invaders. These include systems that adopted components of the bacterial
flagella and condensin complexes. Our data also suggest a common, ancient
ancestry of innate immunity components shared between animals, plants, and
bacteria.
PMID- 29371425
TI - Defining the earliest step of cardiovascular lineage segregation by single-cell
RNA-seq.
AB - Mouse heart development arises from Mesp1-expressing cardiovascular progenitors
(CPs) that are specified during gastrulation. The molecular processes that
control early regional and lineage segregation of CPs have been unclear. We
performed single-cell RNA sequencing of wild-type and Mesp1-null CPs in mice. We
showed that populations of Mesp1 CPs are molecularly distinct and span the
continuum between epiblast and later mesodermal cells, including hematopoietic
progenitors. Single-cell transcriptome analysis of Mesp1-deficient CPs showed
that Mesp1 is required for the exit from the pluripotent state and the induction
of the cardiovascular gene expression program. We identified distinct populations
of Mesp1 CPs that correspond to progenitors committed to different cell lineages
and regions of the heart, identifying the molecular features associated with
early lineage restriction and regional segregation of the heart at the early
stage of mouse gastrulation.
PMID- 29371426
TI - Transcription-coupled changes in nuclear mobility of mammalian cis-regulatory
elements.
AB - To achieve guide RNA (gRNA) multiplexing and an efficient delivery of tens of
distinct gRNAs into single cells, we developed a molecular assembly strategy
termed chimeric array of gRNA oligonucleotides (CARGO). We coupled CARGO with
dCas9 (catalytically dead Cas9) imaging to quantitatively measure the movement of
enhancers and promoters that undergo differentiation-associated activity changes
in live embryonic stem cells. Whereas all examined functional elements exhibited
subdiffusive behavior, their relative mobility increased concurrently with
transcriptional activation. Furthermore, acute perturbation of RNA polymerase II
activity can reverse these activity-linked increases in loci mobility. Through
quantitative CARGO-dCas9 imaging, we provide direct measurements of cis
regulatory element dynamics in living cells and distinct cellular and activity
states and uncover an intrinsic connection between cis-regulatory element
mobility and transcription.
PMID- 29371427
TI - Strong spin-photon coupling in silicon.
AB - Long coherence times of single spins in silicon quantum dots make these systems
highly attractive for quantum computation, but how to scale up spin qubit systems
remains an open question. As a first step to address this issue, we demonstrate
the strong coupling of a single electron spin and a single microwave photon. The
electron spin is trapped in a silicon double quantum dot, and the microwave
photon is stored in an on-chip high-impedance superconducting resonator. The
electric field component of the cavity photon couples directly to the charge
dipole of the electron in the double dot, and indirectly to the electron spin,
through a strong local magnetic field gradient from a nearby micromagnet. Our
results provide a route to realizing large networks of quantum dot-based spin
qubit registers.
PMID- 29371428
TI - An evolutionarily conserved gene family encodes proton-selective ion channels.
AB - Ion channels form the basis for cellular electrical signaling. Despite the scores
of genetically identified ion channels selective for other monatomic ions, only
one type of proton-selective ion channel has been found in eukaryotic cells. By
comparative transcriptome analysis of mouse taste receptor cells, we identified
Otopetrin1 (OTOP1), a protein required for development of gravity-sensing
otoconia in the vestibular system, as forming a proton-selective ion channel. We
found that murine OTOP1 is enriched in acid-detecting taste receptor cells and is
required for their zinc-sensitive proton conductance. Two related murine genes,
Otop2 and Otop3, and a Drosophila ortholog also encode proton channels.
Evolutionary conservation of the gene family and its widespread tissue
distribution suggest a broad role for proton channels in physiology and
pathophysiology.
PMID- 29371429
TI - Molecular structure of human P-glycoprotein in the ATP-bound, outward-facing
conformation.
AB - The multidrug transporter permeability (P)-glycoprotein is an adenosine
triphosphate (ATP)-binding cassette exporter responsible for clinical resistance
to chemotherapy. P-glycoprotein extrudes toxic molecules and drugs from cells
through ATP-powered conformational changes. Despite decades of effort, only the
structures of the inward-facing conformation of P-glycoprotein are available.
Here we present the structure of human P-glycoprotein in the outward-facing
conformation, determined by cryo-electron microscopy at 3.4-angstrom resolution.
The two nucleotide-binding domains form a closed dimer occluding two ATP
molecules. The drug-binding cavity observed in the inward-facing structures is
reorientated toward the extracellular space and compressed to preclude substrate
binding. This observation indicates that ATP binding, not hydrolysis, promotes
substrate release. The structure evokes a model in which the dynamic nature of P
glycoprotein enables translocation of a large variety of substrates.
PMID- 29371430
TI - Stroke Prevention in the Very Elderly.
PMID- 29371431
TI - Patients With Undetermined Stroke Have Increased Atrial Fibrosis: A Cardiac
Magnetic Resonance Imaging Study.
AB - BACKGROUND AND PURPOSE: Some patients with ischemic strokes that are currently
classified as having an undetermined cause may have structural or functional
changes of the left atrium (LA) and left atrial appendage, which increase their
risk of thromboembolism. We compared the LA and left atrial appendage of patients
with different ischemic stroke causes using cardiac magnetic resonance imaging.
METHODS: We prospectively included a consecutive sample of ischemic stroke
patients. Patients with structural changes on echocardiography currently
considered as causal for stroke in the Trial of ORG 10172 in Acute Stroke
Treatment (TOAST) classification were excluded. A 3-T cardiac magnetic resonance
imaging was performed. RESULTS: One hundred and eleven patients were evaluated.
Patients with an undetermined cause had a higher percentage of LA fibrosis
(P=0.03) than patients with other stroke causes and lower, although not
statistically significant, values of LA ejection fraction. Patients with atrial
fibrillation and undetermined stroke cause showed a similar value of atrial
fibrosis. CONCLUSIONS: The LA phenotype that was found in patients with
undetermined cause supports the hypothesis that an atrial disease may be
associated with stroke.
PMID- 29371432
TI - Size of Ruptured Intracranial Aneurysms Is Decreasing: Twenty-Year Long
Consecutive Series of Hospitalized Patients.
AB - BACKGROUND AND PURPOSE: Decrease in the incidence of subarachnoid hemorrhage over
the past decades has been related to decreased smoking rates, especially among
<50-year-old people. We studied whether these epidemiological changes are
reflected in changes in the size and location of ruptured intracranial aneurysms
(RIAs). METHODS: We identified consecutive patients admitted to a nonprofit
academic hospital with saccular RIAs between 1989 and 2008. We averaged and
analyzed mean sizes of RIAs in 4-year admission groups. In statistical analysis,
we used the chi2 test for categorical variables and the Kruskal-Wallis test to
assess differences between continuous and categorical variables. For linear trend
assessments, we used the linear-by-linear association and ANOVA tests. RESULTS:
Of 2660 consecutive patients (59% women) with RIAs, 1176 (44%) were <50 years on
admission. In people <50 years, the averaged annual mean size of RIAs decreased
16% from 9.2 mm in 1989 to 1992 to 7.7 mm in 2005 to 2008 in women and 13% (from
9.3 to 8.1 mm) in men (decreasing linear trend; P=0.001). RIA sizes did not
change in 50-year-old or older patients, whereas the proportion of posterior
circulation RIAs almost tripled to 13%, also with a linear relationship
(P<0.001). CONCLUSIONS: The size of RIAs seems to be decreasing among younger
generations of hospital-admitted subarachnoid hemorrhage patients, whereas 50
year-old and older subarachnoid hemorrhage patients have an increasing proportion
of posterior circulation RIAs. These epidemiological changes are noteworthy,
especially if they are universal and ongoing.
PMID- 29371433
TI - Staging Hemodynamic Failure With Blood Oxygen-Level-Dependent Functional Magnetic
Resonance Imaging Cerebrovascular Reactivity: A Comparison Versus Gold Standard
(15O-)H2O-Positron Emission Tomography.
AB - BACKGROUND AND PURPOSE: Increased stroke risk correlates with hemodynamic
failure, which can be assessed with (15O-)H2O positron emission tomography (PET)
cerebral blood flow (CBF) measurements. This gold standard technique, however, is
not established for routine clinical imaging. Standardized blood oxygen-level
dependent (BOLD) functional magnetic resonance imaging+CO2 is a noninvasive and
potentially widely applicable tool to assess whole-brain quantitative
cerebrovascular reactivity (CVR). We examined the agreement between the 2 imaging
modalities and hypothesized that quantitative CVR can be a surrogate imaging
marker to assess hemodynamic failure. METHODS: Nineteen data sets of subjects
with chronic cerebrovascular steno-occlusive disease (age, 60+/-11 years; 4
women) and unilaterally impaired perfusion reserve on Diamox-challenged (15O-)H2O
PET were studied and compared with a standardized BOLD functional magnetic
resonance imaging+CO2 examination within 6 weeks (8+/-19 days). Agreement between
quantitative CBF- and CVR-based perfusion reserve was assessed. Hemodynamic
failure was staged according to PET findings: stage 0: normal CBF, normal
perfusion reserve; stage I: normal CBF, decreased perfusion reserve; and stage
II: decreased CBF, decreased perfusion reserve. The BOLD CVR data set of the same
subjects was then matched to the corresponding stage of hemodynamic failure.
RESULTS: PET-based stage I versus stage II could also be clearly separated with
BOLD CVR measurements (CVR for stage I 0.11 versus CVR for stage II -0.03;
P<0.01). Hemispheric and middle cerebral artery territory difference analyses
(ie, affected versus unaffected side) showed a significant correlation for CVR
impairment in the affected hemisphere and middle cerebral artery territory
(P<0.01, R2=0.47 and P=0.02, R2= 0.25, respectively). CONCLUSIONS: BOLD CVR
corresponded well to CBF perfusion reserve measurements obtained with (15O-)H2O
PET, especially for detecting hemodynamic failure in the affected hemisphere and
middle cerebral artery territory and for identifying hemodynamic failure stage
II. BOLD CVR may, therefore, be considered for prospective studies assessing
stroke risk in patients with chronic cerebrovascular steno-occlusive disease, in
particular because it can potentially be implemented in routine clinical imaging.
PMID- 29371435
TI - Vagus Nerve Stimulation Enhances Stable Plasticity and Generalization of Stroke
Recovery.
AB - BACKGROUND AND PURPOSE: Chronic impairment of the arm and hand is a common
consequence of stroke. Animal and human studies indicate that brief bursts of
vagus nerve stimulation (VNS) in conjunction with rehabilitative training improve
recovery of motor function after stroke. In this study, we tested whether VNS
could promote generalization, long-lasting recovery, and structural plasticity in
motor networks. METHODS: Rats were trained on a fully automated, quantitative
task that measures forelimb supination. On task proficiency, unilateral cortical
and subcortical ischemic lesions were administered. One week after ischemic
lesion, rats were randomly assigned to receive 6 weeks of rehabilitative training
on the supination task with or without VNS. Rats then underwent 4 weeks of
testing on a task assessing forelimb strength to test generalization of recovery.
Finally, the durability of VNS benefits was tested on the supination task 2
months after the cessation of VNS. After the conclusion of behavioral testing,
viral tracing was performed to assess synaptic connectivity in motor networks.
RESULTS: VNS enhances plasticity in corticospinal motor networks to increase
synaptic connectivity to musculature of the rehabilitated forelimb. Adding VNS
more than doubled the benefit of rehabilitative training, and the improvements
lasted months after the end of VNS. Pairing VNS with supination training also
significantly improved performance on a similar, but untrained task that
emphasized volitional forelimb strength, suggesting generalization of forelimb
recovery. CONCLUSIONS: This study provides the first evidence that VNS paired
with rehabilitative training after stroke (1) doubles long-lasting recovery on a
complex task involving forelimb supination, (2) doubles recovery on a simple
motor task that was not paired with VNS, and (3) enhances structural plasticity
in motor networks.
PMID- 29371434
TI - Oxidative Stress Biomarkers of Brain Damage: Hyperacute Plasma F2-Isoprostane
Predicts Infarct Growth in Stroke.
AB - BACKGROUND AND PURPOSE: Oxidative stress is an early response to cerebral
ischemia and is likely to play an important role in the pathogenesis of cerebral
ischemic injury. We sought to evaluate whether hyperacute plasma concentrations
of biomarkers of oxidative stress, inflammation, and tissue damage predict
infarct growth (IG). METHODS: We prospectively measured plasma F2-isoprostane (F2
isoP), urinary 8-oxo-7,8-dihydro-2'-deoxyguoanosine, plasma oxygen radical
absorbance capacity assay, high sensitivity C reactive protein, and matrix
metalloproteinase 2 and 9 in consecutive patients with acute ischemic stroke
presenting within 9 hours of symptom onset. Patients with baseline diffusion
weighted magnetic resonance imaging and follow-up diffusion-weighted imaging or
computed tomographic scan were included to evaluate the final infarct volume.
Baseline diffusion-weighted imaging volume and final infarct volume were analyzed
using semiautomated volumetric method. IG volume was defined as the difference
between final infarct volume and baseline diffusion-weighted imaging volume.
RESULTS: A total of 220 acute ischemic stroke subjects were included in the final
analysis. One hundred seventy of these had IG. Baseline F2-isoP significantly
correlated with IG volume (Spearman rho=0.20; P=0.005) and final infarct volume
(Spearman rho=0.19; P=0.009). In a multivariate binary logistic regression model,
baseline F2-isoP emerged as an independent predictor of the occurrence of IG
(odds ratio, 2.57; 95% confidence interval, 1.37-4.83; P=0.007). In a
multivariate linear regression model, baseline F2-isoP was independently
associated with IG volume (B, 0.38; 95% confidence interval, 0.04-0.72; P=0.03).
CONCLUSIONS: Elevated hyperacute plasma F2-isoP concentrations independently
predict the occurrence of IG and IG volume in patients with acute ischemic
stroke. If validated in future studies, measuring plasma F2-isoP might be helpful
in the acute setting to stratify patients with acute ischemic stroke for relative
severity of ischemic injury and expected progression.
PMID- 29371437
TI - A Novel Class of Histone Readers.
PMID- 29371436
TI - Relationship Between Visceral Infarction and Ischemic Stroke Subtype.
AB - BACKGROUND AND PURPOSE: Most cryptogenic strokes are thought to have an embolic
source. We sought to determine whether cryptogenic strokes are associated with
visceral infarcts, which are usually embolic. METHODS: Among patients
prospectively enrolled in CAESAR (Cornell Acute Stroke Academic Registry), we
selected those with a contrast-enhanced abdominal computed tomographic scan
within 1 year of admission. Our exposure variable was adjudicated stroke subtype
per the Trial of ORG 10172 in Acute Stroke Treatment classification. Our outcome
was renal or splenic infarction as assessed by a single radiologist blinded to
stroke subtype. We used Fisher exact test and multiple logistic regression to
compare the prevalence of visceral infarcts among cardioembolic strokes, strokes
of undetermined etiology, and noncardioembolic strokes (large- or small-vessel
strokes). RESULTS: Among 227 patients with ischemic stroke and a contrast
enhanced abdominal computed tomographic scan, 59 had a visceral infarct (35 renal
and 27 splenic). The prevalence of visceral infarction was significantly
different among cardioembolic strokes (34.2%; 95% confidence interval [CI], 23.7%
44.6%), strokes of undetermined etiology (23.9%; 95% CI, 15.0%-32.8%), and
strokes from large-artery atherosclerosis or small-vessel occlusion (12.5%; 95%
CI, 1.8%-23.2%; P=0.03). In multiple logistic regression models adjusted for
demographics and vascular comorbidities, we found significant associations with
visceral infarction for both cardioembolic stroke (odds ratio, 3.5; 95% CI, 1.2
9.9) and stroke of undetermined source (odds ratio, 3.3; 95% CI, 1.1-10.5) as
compared with noncardioembolic stroke. CONCLUSIONS: The prevalence of visceral
infarction differed significantly across ischemic stroke subtypes. Cardioembolic
and cryptogenic strokes were associated with a higher prevalence of visceral
infarcts than noncardioembolic strokes.
PMID- 29371438
TI - AUXIN RESPONSE FACTOR3 Regulates Floral Meristem Determinacy by Repressing
Cytokinin Biosynthesis and Signaling.
AB - Successful floral meristem (FM) determinacy is critical for subsequent
reproductive development and the plant life cycle. Although the phytohormones
cytokinin and auxin interact to coregulate many aspects of plant development,
whether and how cytokinin and auxin function in FM determinacy remain unclear.
Here, we show that in Arabidopsis thaliana, cytokinin homeostasis is critical for
FM determinacy. In this developmental context, auxin promotes the expression of
AUXIN RESPONSE FACTOR3 (ARF3) to repress cytokinin activity. ARF3 directly
represses the expression of ISOPENTENYLTRANSFERASE (IPT) family genes and
indirectly represses LONELY GUY (LOG) family genes, both of which encode enzymes
required for cytokinin biosynthesis. ARF3 also directly inhibits the expression
of ARABIDOPSIS HISTIDINE KINASE4, a cytokinin receptor gene, resulting in reduced
cytokinin activity. Consequently, ARF3 controls cell division by regulating cell
cycle gene expression through cytokinin. In flowers, we show that AGAMOUS (AG)
dynamically regulates the expression of ARF3 and IPTs, resulting in coordinated
regulation of FM maintenance and termination through cell division. Moreover,
genome-wide transcriptional profiling revealed both repressive and active roles
for ARF3 in early flower development. Our findings establish a molecular link
between AG and auxin/cytokinin and shed light on the mechanisms of stem cell
maintenance and termination in the FM.
PMID- 29371441
TI - Comment on "Precipitation drives global variation in natural selection".
AB - Siepielski et al (Reports, 3 March 2017, p. 959) claim that "precipitation drives
global variation in natural selection." This conclusion is based on a meta
analysis of the relationship between climate variables and natural selection
measured in wild populations of invertebrates, plants, and vertebrates. Three
aspects of this analysis cause concern: (i) lack of within-year climate
variables, (ii) low and variable estimates of covariance relationships across
taxa, and (iii) a lack of mechanistic explanations for the patterns observed;
association is not causation.
PMID- 29371439
TI - The Biotrophic Development of Ustilago maydis Studied by RNA-Seq Analysis.
AB - The maize smut fungus Ustilago maydis is a model organism for elucidating host
colonization strategies of biotrophic fungi. Here, we performed an in depth
transcriptional profiling of the entire plant-associated development of U. maydis
wild-type strains. In our analysis, we focused on fungal metabolism, nutritional
strategies, secreted effectors, and regulatory networks. Secreted proteins were
enriched in three distinct expression modules corresponding to stages on the
plant surface, establishment of biotrophy, and induction of tumors. These modules
are likely the key determinants for U. maydis virulence. With respect to nutrient
utilization, we observed that expression of several nutrient transporters was
tied to these virulence modules rather than being controlled by nutrient
availability. We show that oligopeptide transporters likely involved in nitrogen
assimilation are important virulence factors. By measuring the intramodular
connectivity of transcription factors, we identified the potential drivers for
the virulence modules. While known components of the b-mating type cascade
emerged as inducers for the plant surface and biotrophy module, we identified a
set of yet uncharacterized transcription factors as likely responsible for
expression of the tumor module. We demonstrate a crucial role for leaf tumor
formation and effector gene expression for one of these transcription factors.
PMID- 29371440
TI - Spermidine in health and disease.
AB - Interventions that delay aging and protect from age-associated disease are slowly
approaching clinical implementation. Such interventions include caloric
restriction mimetics, which are defined as agents that mimic the beneficial
effects of dietary restriction while limiting its detrimental effects. One such
agent, the natural polyamine spermidine, has prominent cardioprotective and
neuroprotective effects and stimulates anticancer immunosurveillance in rodent
models. Moreover, dietary polyamine uptake correlates with reduced cardiovascular
and cancer-related mortality in human epidemiological studies. Spermidine
preserves mitochondrial function, exhibits anti-inflammatory properties, and
prevents stem cell senescence. Mechanistically, it shares the molecular pathways
engaged by other caloric restriction mimetics: It induces protein deacetylation
and depends on functional autophagy. Because spermidine is already present in
daily human nutrition, clinical trials aiming at increasing the uptake of this
polyamine appear feasible.
PMID- 29371443
TI - A tale of two cultures.
PMID- 29371442
TI - Response to Comment on "Precipitation drives global variation in natural
selection".
AB - The comment by Myers-Smith and Myers focuses on three main points: (i) the lack
of a mechanistic explanation for climate-selection relationships, (ii) the
appropriateness of the climate data used in our analysis, and (iii) our focus on
estimating climate-selection relationships across (rather than within) taxonomic
groups. We address these critiques in our response.
PMID- 29371444
TI - News at a glance.
PMID- 29371445
TI - Critics see only risks, no benefits in horsepox paper.
PMID- 29371446
TI - Heavy-lift rocket poised to boost space science.
PMID- 29371447
TI - In thousands of brain scans, group seeks clues to diseases.
PMID- 29371448
TI - Crackdown threatens science in Turkey.
PMID- 29371449
TI - Australian state forecasts deadly thunderstorm asthma.
PMID- 29371450
TI - Muon's magnetism could point to new physics.
PMID- 29371451
TI - The light fantastic.
PMID- 29371452
TI - Using nature to understand nurture.
PMID- 29371453
TI - Perovskite solar cells must come of age.
PMID- 29371454
TI - When did modern humans leave Africa?
PMID- 29371455
TI - Complexity in targeting membrane proteins.
PMID- 29371456
TI - Conserving honey bees does not help wildlife.
PMID- 29371457
TI - Toward a silicon-based quantum computer.
PMID- 29371458
TI - Engaging over data on fracking and water quality.
PMID- 29371459
TI - Conscious machines: Defining questions.
PMID- 29371460
TI - Conscious machines: Robot rights.
PMID- 29371461
TI - Response.
PMID- 29371462
TI - Substantial convection and precipitation enhancements by ultrafine aerosol
particles.
AB - Aerosol-cloud interactions remain the largest uncertainty in climate projections.
Ultrafine aerosol particles smaller than 50 nanometers (UAP<50) can be abundant
in the troposphere but are conventionally considered too small to affect cloud
formation. Observational evidence and numerical simulations of deep convective
clouds (DCCs) over the Amazon show that DCCs forming in a low-aerosol environment
can develop very large vapor supersaturation because fast droplet coalescence
reduces integrated droplet surface area and subsequent condensation. UAP<50 from
pollution plumes that are ingested into such clouds can be activated to form
additional cloud droplets on which excess supersaturation condenses and forms
additional cloud water and latent heating, thus intensifying convective strength.
This mechanism suggests a strong anthropogenic invigoration of DCCs in previously
pristine regions of the world.
PMID- 29371463
TI - The nature of nurture: Effects of parental genotypes.
AB - Sequence variants in the parental genomes that are not transmitted to a child
(the proband) are often ignored in genetic studies. Here we show that
nontransmitted alleles can affect a child through their impacts on the parents
and other relatives, a phenomenon we call "genetic nurture." Using results from a
meta-analysis of educational attainment, we find that the polygenic score
computed for the nontransmitted alleles of 21,637 probands with at least one
parent genotyped has an estimated effect on the educational attainment of the
proband that is 29.9% (P = 1.6 * 10-14) of that of the transmitted polygenic
score. Genetic nurturing effects of this polygenic score extend to other traits.
Paternal and maternal polygenic scores have similar effects on educational
attainment, but mothers contribute more than fathers to nutrition- and heath
related traits.
PMID- 29371464
TI - A platform for automated nanomole-scale reaction screening and micromole-scale
synthesis in flow.
AB - The scarcity of complex intermediates in pharmaceutical research motivates the
pursuit of reaction optimization protocols on submilligram scales. We report here
the development of an automated flow-based synthesis platform, designed from
commercially available components, that integrates both rapid nanomole-scale
reaction screening and micromole-scale synthesis into a single modular unit. This
system was validated by exploring a diverse range of reaction variables in a
Suzuki-Miyaura coupling on nanomole scale at elevated temperatures, generating
liquid chromatography-mass spectrometry data points for 5760 reactions at a rate
of >1500 reactions per 24 hours. Through multiple injections of the same segment,
the system directly produced micromole quantities of desired material. The
optimal conditions were also replicated in traditional flow and batch mode at 50-
to 200-milligram scale to provide good to excellent yields.
PMID- 29371465
TI - Synthesis of partially and fully fused polyaromatics by annulative
chlorophenylene dimerization.
AB - Since the discovery by Ullmann and Bielecki in 1901, reductive dimerization (or
homocoupling) of aryl halides has been extensively exploited for the generation
of a range of biaryl-based functional molecules. In contrast to the single-point
connection in these products, edge-sharing fused aromatic systems have not
generally been accessible from simple aryl halides via annulation cascades. Here
we report a single-step synthesis of fused aromatics with a triphenylene core by
the palladium-catalyzed annulative dimerization of structurally and functionally
diverse chlorophenylenes through double carbon-hydrogen bond activation. The
partially fused polyaromatics can be transformed into fully fused, small graphene
nanoribbons, which are otherwise difficult to synthesize. This simple, yet
powerful, method allows access to functional pi-systems of interest in
optoelectronics research.
PMID- 29371466
TI - Nanoscale chiral valley-photon interface through optical spin-orbit coupling.
AB - The emergence of two-dimensional transition metal dichalcogenide materials has
sparked intense activity in valleytronics, as their valley information can be
encoded and detected with the spin angular momentum of light. We demonstrate the
valley-dependent directional coupling of light using a plasmonic nanowire
tungsten disulfide (WS2) layers system. We show that the valley pseudospin in WS2
couples to transverse optical spin of the same handedness with a directional
coupling efficiency of 90 +/- 1%. Our results provide a platform for controlling,
detecting, and processing valley and spin information with precise optical
control at the nanoscale.
PMID- 29371467
TI - Mechanistic origin and prediction of enhanced ductility in magnesium alloys.
AB - Pure magnesium exhibits poor ductility owing to pyramidal [Formula: see text]
dislocation transformations to immobile structures, making this lowest-density
structural metal unusable for many applications where it could enhance energy
efficiency. We show why magnesium can be made ductile by specific dilute solute
additions, which increase the [Formula: see text] cross-slip and multiplication
rates to levels much faster than the deleterious [Formula: see text]
transformation, enabling both favorable texture during processing and continued
plastic straining during deformation. A quantitative theory establishes the
conditions for ductility as a function of alloy composition in very good
agreement with experiments on many existing magnesium alloys, and the solute
enhanced cross-slip mechanism is confirmed by transmission electron microscopy
observations in magnesium-yttrium. The mechanistic theory can quickly screen for
alloy compositions favoring conditions for high ductility and may help in the
development of high-formability magnesium alloys.
PMID- 29371469
TI - Plastic waste associated with disease on coral reefs.
AB - Plastic waste can promote microbial colonization by pathogens implicated in
outbreaks of disease in the ocean. We assessed the influence of plastic waste on
disease risk in 124,000 reef-building corals from 159 reefs in the Asia-Pacific
region. The likelihood of disease increases from 4% to 89% when corals are in
contact with plastic. Structurally complex corals are eight times more likely to
be affected by plastic, suggesting that microhabitats for reef-associated
organisms and valuable fisheries will be disproportionately affected. Plastic
levels on coral reefs correspond to estimates of terrestrial mismanaged plastic
waste entering the ocean. We estimate that 11.1 billion plastic items are
entangled on coral reefs across the Asia-Pacific and project this number to
increase 40% by 2025. Plastic waste management is critical for reducing diseases
that threaten ecosystem health and human livelihoods.
PMID- 29371468
TI - The earliest modern humans outside Africa.
AB - To date, the earliest modern human fossils found outside of Africa are dated to
around 90,000 to 120,000 years ago at the Levantine sites of Skhul and Qafzeh. A
maxilla and associated dentition recently discovered at Misliya Cave, Israel, was
dated to 177,000 to 194,000 years ago, suggesting that members of the Homo
sapiens clade left Africa earlier than previously thought. This finding changes
our view on modern human dispersal and is consistent with recent genetic studies,
which have posited the possibility of an earlier dispersal of Homo sapiens around
220,000 years ago. The Misliya maxilla is associated with full-fledged Levallois
technology in the Levant, suggesting that the emergence of this technology is
linked to the appearance of Homo sapiens in the region, as has been documented in
Africa.
PMID- 29371470
TI - Learning and attention reveal a general relationship between population activity
and behavior.
AB - Prior studies have demonstrated that correlated variability changes with
cognitive processes that improve perceptual performance. We tested whether
correlated variability covaries with subjects' performance-whether performance
improves quickly with attention or slowly with perceptual learning. We found a
single, consistent relationship between correlated variability and behavioral
performance, regardless of the time frame of correlated variability change. This
correlated variability was oriented along the dimensions in population space used
by the animal on a trial-by-trial basis to make decisions. That subjects' choices
were predicted by specific dimensions that were aligned with the correlated
variability axis clarifies long-standing paradoxes about the relationship between
shared variability and behavior.
PMID- 29371472
TI - Cheating on my mentor.
PMID- 29371473
TI - Single Arm, Phase II Study of Cisplatin, Docetaxel, and Erlotinib in Patients
with Recurrent and/or Metastatic Head and Neck Squamous Cell Carcinomas.
AB - LESSONS LEARNED: The combination of cisplatin, docetaxel, and erlotinib as
frontline treatment for recurrent and/or metastatic head and neck squamous cell
carcinomas led to a response rate of 62%.This result exceeded the prespecified
target response rate of 50% and represented an improvement compared with
historical controls.This regimen warrants further investigation. BACKGROUND: The
epidermal growth factor receptor (EGFR) plays a key role in the carcinogenesis of
head and neck squamous cell carcinomas (HNSCC). We conducted this clinical study
to test the hypothesis that the addition of erlotinib to first-line cisplatin and
docetaxel for patients with recurrent and/or metastatic HNSCC would yield a
response rate of at least 50%, representing an improvement from historical
controls. METHODS: Patients with recurrent and/or metastatic HNSCC, with at least
one measurable lesion, no prior chemotherapy for recurrent and/or metastatic
disease, prior combined modality therapy completed >6 months before enrollment,
and performance status <=2 were treated with cisplatin, docetaxel, and erlotinib
for up to six cycles, followed by maintenance erlotinib until disease
progression. The primary endpoint was response rate. RESULTS: Fifty patients were
enrolled (42 male, 12 never smokers, 19 with oropharynx cancer). The median
number of cycles was five; 31 patients initiated maintenance erlotinib; 14
patients required erlotinib dose reductions. The objective response rate was 62%,
and the median progression-free and overall survival were 6.1 and 11.0 months,
respectively. Toxicity profiles were consistent with the known side effects of
the study drugs. CONCLUSION: The study met its primary endpoint and improved
response rates compared with historical controls. The findings support further
evaluation of the regimen for recurrent and/or metastatic HNSCCs.
PMID- 29371474
TI - Mutant KRAS Circulating Tumor DNA Is an Accurate Tool for Pancreatic Cancer
Monitoring.
AB - BACKGROUND: Many new pancreatic cancer treatment combinations have been
discovered in recent years, yet the prognosis of pancreatic ductal adenocarcinoma
(PDAC) remains grim. The advent of new treatments highlights the need for better
monitoring tools for treatment response, to allow a timely switch between
different therapeutic regimens. Circulating tumor DNA (ctDNA) is a tool for
cancer detection and characterization with growing clinical use. However,
currently, ctDNA is not used for monitoring treatment response. The high
prevalence of KRAS hotspot mutations in PDAC suggests that mutant KRAS can be an
efficient ctDNA marker for PDAC monitoring. SUBJECTS, MATERIALS, AND METHODS:
Seventeen metastatic PDAC patients were recruited and serial plasma samples were
collected. CtDNA was extracted from the plasma, and KRAS mutation analysis was
performed using next-generation sequencing and correlated with serum CA19-9
levels, imaging, and survival. RESULTS: Plasma KRAS mutations were detected in
5/17 (29.4%) patients. KRAS ctDNA detection was associated with shorter survival
(8 vs. 37.5 months). Our results show that, in ctDNA positive patients, ctDNA is
at least comparable to CA19-9 as a marker for monitoring treatment response.
Furthermore, the rate of ctDNA change was inversely correlated with survival.
CONCLUSION: Our results confirm that mutant KRAS ctDNA detection in metastatic
PDAC patients is a poor prognostic marker. Additionally, we were able to show
that mutant KRAS ctDNA analysis can be used to monitor treatment response in PDAC
patients and that ctDNA dynamics is associated with survival. We suggest that
ctDNA analysis in metastatic PDAC patients is a readily available tool for
disease monitoring. IMPLICATIONS FOR PRACTICE: Avoiding futile chemotherapy in
metastatic pancreatic ductal adenocarcinoma (PDAC) patients by monitoring
response to treatment is of utmost importance. A novel biomarker for monitoring
treatment response in PDAC, using mutant KRAS circulating tumor DNA (ctDNA), is
proposed. Results, although limited by small sample numbers, suggest that ctDNA
can be an effective marker for disease monitoring and that ctDNA level over time
is a better predictor of survival than the dynamics of the commonly used
biomarker CA19-9. Therefore, ctDNA analysis can be a useful tool for monitoring
PDAC treatment response. These results should be further validated in larger
sample numbers.
PMID- 29371475
TI - Chemoprevention in Patients with Peutz-Jeghers Syndrome: Lessons Learned.
AB - LESSONS LEARNED: Motivating patients to enroll in chemopreventive studies is
challenging.Chemoprevention with toxic drugs is not feasible. BACKGROUND: LKB1
mutations are the underlying genetic abnormality causing Peutz-Jeghers syndrome
(PJS) and are a potential target for everolimus. In this phase II study, the
efficacy of everolimus on polyp and tumor growth in PJS patients was
investigated. METHODS: Adult patients with a proven LKB1 mutation and who were
suitable for everolimus treatment were included in two different PJS cohorts: (a)
patients with unresectable malignancies and (b) patients with high-risk polyps.
Treatment in both groups was oral everolimus, 10 mg daily. Response rates were
primary endpoints for both cohorts. RESULTS: Between October 2011 and April 2016,
only two patients were enrolled, one in each cohort. A 49-year-old patient with
advanced pancreatic cancer in cohort 1 was progressive after 2 months. A 52-year
old male patient in cohort 2 experienced severe toxicity and refused treatment
after 4 months, even though endoscopy suggested stabilization of polyps. Adverse
events included dental inflammations, mucositis, and rash. In 2016, the trial was
aborted for lack of accrual, despite extensive accrual efforts in an area where
PJS is highly prevalent and care is highly centralized. CONCLUSION: Due to
accrual problems, no conclusions can be drawn about the value of everolimus in
PJS treatment, questioning the feasibility of this agent for chemoprevention.
PMID- 29371476
TI - Prospective Evaluation of the Impact of the 21-Gene Recurrence Score Assay on
Adjuvant Treatment Decisions for Women with Node-Positive Breast Cancer in
Ontario, Canada.
AB - BACKGROUND: The 21-gene Recurrence Score (RS) assay is only reimbursed in Ontario
for node-negative and micrometastatic node-positive (N+) early-stage breast
cancer (EBC). We carried out a prospective study to evaluate the impact of the
assay on treatment decisions for women with N+ EBC. SUBJECTS, MATERIALS, AND
METHODS: Women with estrogen receptor-positive, human epidermal growth receptor 2
negative EBC and one to three positive axillary lymph nodes, who were candidates
for adjuvant chemotherapy in addition to hormonal treatment, but in whom the
benefit of chemotherapy was uncertain, were eligible. The primary objective was
to characterize how the results of the RS assay affected physicians'
recommendations for adjuvant chemotherapy. Secondary objectives were to
characterize changes in the physicians' and patients' level of confidence in
treatment recommendations, to determine whether the results of the RS assay
affected patients' treatment preferences, and to determine the final treatment
administered. RESULTS: Seventy-two patients were recruited; the mean age was 61.
RS was <18 in 55%, between 18 and 30 in 36%, and >=31 in 9% of patients.
Treatment recommendations changed in 36% of all evaluable patients. The most
significant change was in the group with a low RS. Physicians' and patients'
confidence in treatment recommendations increased in 49% and 54% of cases,
respectively. Upfront chemotherapy was recommended to 79% of patients before the
assay; 42% ultimately received chemotherapy. CONCLUSION: The RS assay resulted in
a substantial decrease in the number of patients who received chemotherapy and in
an increase in physicians' and patients' confidence in the adjuvant treatment
recommendations. IMPLICATIONS FOR PRACTICE: This is the first decision impact
study to include exclusively women with ER-positive, HER2-negative, early-stage
breast cancer with 1-3 positive lymph nodes, a population typically treated with
adjuvant chemotherapy. This study provides evidence that, in these patients, the
Oncotype Dx Recurrence Score assay influences systemic treatment decisions. Most
of the changes in treatment recommendation resulted in withdrawal of chemotherapy
or change in recommendation from a chemotherapy regimen with anthracyclines to a
taxane-only regimen. If prospective studies confirm that these decisions result
in good outcomes, a reduction in the use of chemotherapy might result in
pharmacoeconomic savings.
PMID- 29371471
TI - Moving in the Anthropocene: Global reductions in terrestrial mammalian movements.
AB - Animal movement is fundamental for ecosystem functioning and species survival,
yet the effects of the anthropogenic footprint on animal movements have not been
estimated across species. Using a unique GPS-tracking database of 803 individuals
across 57 species, we found that movements of mammals in areas with a
comparatively high human footprint were on average one-half to one-third the
extent of their movements in areas with a low human footprint. We attribute this
reduction to behavioral changes of individual animals and to the exclusion of
species with long-range movements from areas with higher human impact. Global
loss of vagility alters a key ecological trait of animals that affects not only
population persistence but also ecosystem processes such as predator-prey
interactions, nutrient cycling, and disease transmission.
PMID- 29371477
TI - Chemotherapy Toxicity Risk Score for Treatment Decisions in Older Adults with
Advanced Solid Tumors.
AB - BACKGROUND: The decision whether to treat older adults with advanced cancer with
standard therapy (ST) or reduced therapy (RT) is complicated by heterogeneity in
aging. We assessed the potential utility of the chemotherapy toxicity risk score
(CTRS) [J Clin Oncol 2011;29:3457-3465] for treatment decisions in older adults.
MATERIALS AND METHODS: This was a prospective observational study of patients
aged >=65 years receiving first-line chemotherapy for advanced cancer for which
combination chemotherapy is the standard of care. Patients were categorized as
high risk (CTRS >=10), for whom RT (dose-reduced combination or single-agent
chemotherapy) is deemed appropriate, or nonhigh risk (CTRS <10), for whom ST is
deemed appropriate for toxicity. The primary objective was to estimate the
agreement in chemotherapy choice (ST vs. RT) between the treating physician and
the CTRS using a kappa statistic. RESULTS: Fifty-eight patients (median age, 71
years) were enrolled. Thirty-eight patients received ST (21 had CTRS <10, and 17
had CTRS >=10), and 20 patients received RT (12 had CTRS >=10, and 8 had CTRS
<10), with minimal agreement in chemotherapy choice (kappa = 0.14; 95% CI, -0.10
to 0.38). Grade 3-4 toxicity and hospitalization occurred in 60% and 27% of 55
patients with follow-up data, respectively. Among patients receiving ST, patients
with CTRS >=10 had a higher incidence of toxicity (88% vs. 40%, p = .006) and
hospitalization (50% vs. 15%, p = .03) than those with CTRS <10. CONCLUSION:
Older patients with cancer with a high CTRS who receive combination chemotherapy
have an exceedingly high rate of severe toxicity and hospitalization.
IMPLICATIONS FOR PRACTICE: The potential utility of the chemotherapy toxicity
risk score (CTRS) in old adults with advanced solid tumors receiving first-line
chemotherapy was assessed. Little agreement was found between chemotherapy
treatment decisions based on the clinical impression versus what was recommended
based on the CTRS. Among patients treated with standard-dose combination
chemotherapy, patients with CTRS >=10 had a very high incidence of grade 3-4
toxicities and hospitalization, which was significantly greater than that of
patients with a low CTRS (<10). These findings suggest that the addition of CTRS
to the clinical impression has a potential to improve treatment decisions.
PMID- 29371478
TI - Serious Illness Conversations: Paving the Road with Metaphors.
AB - : Serious illness conversations can influence the direction of care by supporting
decision-making compatible with the patient's goals. Effective use of core
communication techniques, such as active listening and empathic statements,
allows for a deeper understanding of the patients' goals, concerns, communication
preferences, and questions. Metaphors can be used to augment end-of-life care
planning. Used inappropriately, metaphors can cause misunderstandings and
confusion. Applied skillfully, metaphors can personalize challenging discussions,
improving patient comprehension and helping patients and their families to plan
ahead. The art of communication is to use the right tool for the right person at
the right time. IMPLICATIONS FOR PRACTICE: Discussions with patients about
serious illness concerns are especially challenging for the oncologist. This
article provides guidance for preparing for such conversations, including
examples of the use of metaphors to personalize and improve communication.
PMID- 29371479
TI - EMA Review of Daratumumab for the Treatment of Adult Patients with Multiple
Myeloma.
AB - : On May 20, 2016, a conditional marketing authorization valid through the
European Union (EU) was issued for daratumumab as monotherapy for the treatment
of adult patients with relapsed and refractory multiple myeloma, whose prior
therapy included a proteasome inhibitor (PI) and an immunomodulatory drug (IMiD)
and who had demonstrated disease progression on the last therapy. The review of
daratumumab was conducted under the EMA's accelerated assessment program for
drugs that are of major interest for public health, especially from the point of
view of therapeutic innovation.Daratumumab monotherapy achieved an overall
response rate of 29.2% (95% confidence interval [CI] 20.8 to 38.9) in patients
with multiple myeloma who had received at least three prior lines of therapy
(including a PI and IMiD) or were double refractory to a PI and an IMiD (Study
MMY2002). In patients with multiple myeloma relapsed from or refractory to two or
more different prior therapies, including IMiDs (e.g., thalidomide, lenalidomide)
and PI, an overall response was observed in 15 patients (35.7%, 95% CI: 21.6 to
52.0) (Study GEN501).On April 28, 2017, the therapeutic indication was extended
to include the use of daratumumab in combination with lenalidomide and
dexamethasone, or bortezomib and dexamethasone, for the treatment of adult
patients with multiple myeloma who have received at least one prior therapy. This
was based on two subsequent phase III studies of daratumumab in combination with
lenalidomide/low-dose dexamethasone (MMY3003) and bortezomib/low dose
dexamethasone (MMY3004).The most common side effects (grade 3-4) associated with
daratumumab included neutropenia (37%), thrombocytopenia (23%), anemia (16%),
pneumonia (10%), lymphopenia (8%), infusion-related reactions (6%), upper
respiratory tract infection (5%), and fatigue (5%).The objective of this study
was to summarize the scientific review done by the CHMP of the application
leading to regulatory approval in the EU. The full scientific assessment report
and product information, including the Summary of Product Characteristics (SmPC),
are available on the EMA website (www.ema.europa.eu). IMPLICATIONS FOR PRACTICE:
A conditional Marketing authorization was issued in the European Union for
daratumamb as monotherapy for the treatment of adult patients with relapsed and
refractory multiple myeloma, based on the response rate data from two single
agent studies. Darzalex, a novel monoclonal antibody targeted against CD38,
demonstrated a durable response rate in a heavily pre-treated population with
limited treatment options based on the response rate data from two single-agent
studies. The addition of daratumumab to lenalidomide and dexamethasone (study
MMY3003), or bortezomib and dexamethasone (MMY3004), demonstrated a positive
effect on progression-free survival in patients with multiple myeloma who had
received at least one prior therapy. Following submission of the controlled data
of the MMY3003 and MMY3004 studies, the efficacy and safety of daratumumab was
confirmed and the approval of daratumumab was converted to standard approval.
PMID- 29371480
TI - [Development of intravital bone tissue imaging and future perspectives of new
lines of therapies for bone diseases.]
AB - Recent advances in live imaging technology for 'seeing the unseen' phenomenon has
been so enormous to revolutionize the diverse fields of biomedical sciences. We
have so far pioneered to exploit the technique into the analyses of live bone
tissues and made it possible to detect dynamic movements of single cells and
multicellular interaction in intact bone tissues. Now that the cutting-edge
techniques have been matured enough, we can also utilize this for validation of
pharmacological actions in various regimens in vivo as well as for novel drug
discovery for treating against bone diseases. In this brief review, I describe
the overview of the studies related to intravital bone imaging and its
application and contribution for future medicine in this field.
PMID- 29371481
TI - [Basis of intravital bone imaging.]
AB - In bone tissues, there are various kinds of cell types, such as osteoclasts,
osteoblasts, monocytes, granulocyte, lymphocytes, mesenchymal cells and
hematopoietic stem cells. They form a network with each other, and play critical
roles in our life activities. The recent development of intravital two-photon
imaging has enabled us to visualize the in vivo behavior of bone marrow cells in
living bone tissues. This technique facilitates investigation of cellular
dynamics in the physiology and pathogenesis of bone disorders in vivo, and would
thus be useful for evaluating the efficacy of novel drugs. In this review, we
summarize the basis of intravital bone imaging, and also discuss its further
application.
PMID- 29371482
TI - [Development of methodology for living bone imaging.]
AB - Bone tissue consist of a wide variety of cells such as osteoclasts, osteoblasts
and osteocytes which are involved in bone metabolism, hematopoietic cells which
can differentiate and mature in the bone marrow, other mesenchymal cells and
nerve cells. Recent advances in "fluorescent imaging technology" have made it
possible to observe bone tissue alive. And intravital imaging enable us not only
to examine the "morphology" but also to analyze the "dynamics" of the cells. We
have improved "two-photon microscope" which can observe deep tissue with
minimally invasive manner and have established an imaging method to observe the
movement of cells in living bone tissue in real time. In this review, we
summarize the methodology of intravital imaging, such as the principle of two
photon excitation microscope, method of in vivo imaging of bone, and analysis of
acquired imaging data.
PMID- 29371483
TI - [Development of fluorescent probes for bone imaging in vivo ~Fluorescent probes
for intravital imaging of osteoclast activity~.]
AB - Fluorescent molecules are widely used as a tool to directly visualize target
biomolecules in vivo. Fluorescent probes have the advantage that desired function
can be rendered based on rational design. For bone-imaging fluorescent probes in
vivo, they should be delivered to bone tissue upon administration. Recently, a
fluorescent probe for detecting osteoclast activity was developed. The
fluorescent probe has acid-sensitive fluorescence property, specific delivery to
bone tissue, and durability against laser irradiation, which enabled real-time
intravital imaging of bone-resorbing osteoclasts for a long period of time.
PMID- 29371484
TI - [Quantitative data analysis for live imaging of bone.]
AB - Bone tissue is a hard tissue, it was difficult to observe the interior of the
bone tissue alive. With the progress of microscopic technology and fluorescent
probe technology in recent years, it becomes possible to observe various
activities of various cells forming bone society. On the other hand, the
quantitative increase in data and the diversification and complexity of the
images makes it difficult to perform quantitative analysis by visual inspection.
It has been expected to develop a methodology for processing microscopic images
and data analysis. In this article, we introduce the research field of bioimage
informatics which is the boundary area of biology and information science, and
then outline the basic image processing technology for quantitative analysis of
live imaging data of bone.
PMID- 29371485
TI - [Generation of Mice Carrying Reporter for live bone imaging.]
AB - Fluorescence imaging technology using two-photon excitation microscopy has been
developed and utilized to observe cell dynamics in various developmental
processes and pathological conditions in vivo. This technology is absolutely
dependent on the fluorescent labelling technique of specific cells in a living
state in vivo using various methods such as genetic engineering, chemiluminescent
probes or fluorescent-conjugated antibodies. In this article, we demonstrate the
methods of genetic engineering, particularly how to generate a genetically
modified mouse(reporter mouse)that expresses fluorescent protein endogenously in
the specific cells. In consideration of mouse genetic engineering technologies
and the current state of bioresources, we describe the transgenic method, the
knock-in method, the Cre/loxP-mediated recombination method and the genomic
editing method by CRISPR/Cas9 system that have been used widely for generation of
reporter mice. Among these methods, it is important to carefully select the
suitable method according to the research purpose. We would like to compare the
methods comprehensively.
PMID- 29371486
TI - [Intravital bone imaging:osteoclast.]
AB - Osteoclasts are bone-resorbing giant polykaryons that differentiate from
mononuclear macrophage/monocyte-lineage hematopoietic precursors. We have
originally established an advanced imaging system for visualizing the in vivo
behavior of mature osteoclasts in living bone tissues with intravital multiphoton
microscopy. By means of this system, we could grasp the real time-course of
osteoclastic bone resorption, and identified two distinct functional states of
differentiated osteoclasts, 'bone-resorptive' and 'non-resorptive'. Intravital
imaging also revealed that various biologic drugs acted directly on mature
osteoclasts during inflammatory bone destruction. In this review, we show the
latest data of intravital imaging of osteoclast dynamics.
PMID- 29371487
TI - [In vivo imaging of osteoblasts.]
AB - Osteoblasts are bone-forming cells which produce bone matrix proteins and control
calcium and mineral deposition. It is still unknown how osteoblasts work through
the processes actually, because most of bone researches use static images of
sections. Recently developed intravital imaging system with multiphoton
microscopy can enable dynamic observation of living individual cells without
making sections. This technique has so high-resolution in the Z direction that it
is particularly useful for observation of deep tissues like bones. Here we
introduce our data about osteoblast live cell imaging in vivo and in vitro
including intravital imaging and summarize the latest reports about it.
PMID- 29371488
TI - [Intravital bone imaging ~Osteocyte.]
AB - Osteocytes are the most abundant cellular component of bone and have been
considered dormant until recent evidence has demonstrated their critical roles in
bone homeostasis and endocrine regulation. Until now the location of osteocytes
within mineralized bone has restricted experimental access, especially in vivo.
Here, intravital bone imaging by two-photon excitation microscopy allowed us to
directly visualize the osteocytic lacuno-canalicular system. We demonstrated that
sciatic neurectomy causes significant acidification around osteocytic lacunae and
enlargement of lacuno-canalicular areas. These results show that two-photon
intravital microscopy is useful for analysis of osteocytes in vivo.
PMID- 29371489
TI - [Dynamic analysis of hematopoietic stem cells in the bone marrow by intravital
imaging.]
AB - Hematopoietic stem cells(HSCs)in the bone marrow(BM)are maintained in distinct
microenvironments called niches. Technological advances in in vivo imaging have
enabled dynamic analyses of BM cells. This in vivo imaging can be a key tool to
elucidate the mechanisms underlying HSC maintenance in the BM through analysis of
HSC motility and evaluation of the relationship between HSCs and their niche
factors over time. Furthermore, application of this imaging technology to
leukemia research can lead to new discoveries in leukemic stem cell maintenance
and the development of novel antileukemic drugs. In this review, we summarize
recent advances in dynamic analyses of HSCs and leukemic cells in the BM by
intravital imaging.
PMID- 29371490
TI - [The effects of anti-bone-resorptive drugs analyzed by intravital bone imaging.]
AB - In clinical, various kinds of anti-bone-resorptive drugs have been used for the
treatment of bone disorders such as osteoporosis. However, it remains elusive how
they affect the osteoclast dynamics in living bone tissues. We recently succeeded
in visualizing cellular dynamics of bone-resorbing osteoclasts and their
precursors with intravital bone imaging techniques. We found that active vitamin
D analogs could regulate the migratory behavior of circulating osteoclast
precursors, whereas bisphosphonates could affect mature osteoclasts and inhibit
bone resorption within a short period. Here we show the latest data of in vivo
effects of anti-bone resorptive drugs currently developed in the world.
PMID- 29371491
TI - [Analysis of the bone anabolic agent by intravital imaging technique.]
AB - Teriparatide, recombinant human PTH(1-34), is the only anabolic agent widely used
for osteoporosis. This drug is thought to promote bone formation by modulating
bone remodeling system, although the detailed mechanism remains unclear.
Recently, we developed a novel intravital imaging technique to visualize mature
osteoclasts and mature osteoblasts simultaneously. By means of this system, we
revealed the effect of teriparatide on three-dimensional distribution and cell-to
cell interactions between these cells. Advances in these imaging studies may lead
to further understanding of the intercellular network in bone metabolism.
PMID- 29371492
TI - [Exosomal biomarkers for kidney disease.]
AB - Development of minimally invasive biomarkers is necessary for early detection,
prognosis prediction, severity assessment and treatment monitoring in different
kidney diseases. Recently, many studies have been conducted worldwide on marker
proteins and micro RNA(miRNA)contained in urinary extracellular
vesicles(EVs)including exosomes. This article reviews urinary EV-contained
biomarkers, including proximal tubular endocytic receptor megalin, for kidney
diseases such as diabetic nephropathy.
PMID- 29371493
TI - Risk-based Management of Non-muscle Invasive Bladder Cancer: Experience from
Tribhuvan University Teaching Hospital.
AB - Background Most of the recent evidences suggest for risk-based management of non
muscle invasive bladder cancer (NMIBC) to reduce the risk of recurrence and
progression. Objective This study was conducted to assess the recurrence and
progression of non muscle invasive bladder cancer in Nepalese patients using
European Organization for Research and Treatment of Cancer (EORTC) risk tables
and to assess the effectiveness of intravesical therapy to reduce the risk of
recurrence. Method A prospective observational single centre study was conducted
at Tribhuvan University Teaching Hospital from January 2010- December 2012. Forty
six patients with non muscle invasive bladder cancer who underwent transurethral
resection of bladder tumor and completed two years follow up were included.
According to the European Organization for Research and Treatment of Cancer
(EORTC) risk table, the patients were divided into low, intermediate and high
risk groups. The patients received postoperative adjuvant therapy and
surveillance as per the European Association of Urology guidelines. Result Among
the 46 patients, the overall two year recurrence and progression rate was 8 (17%)
and 1 (2%) respectively. Out of seven patients in low risk category, none of them
developed recurrence or progression of disease. Out of 15 patients in
intermediate risk category the one year and two year recurrence rate was 13% and
20% respectively. Out of 24 patients in high risk category the one and two year
recurrence rate was 17% and 21% respectively. The risk reduction by use of
intravesical Bacillus Calmette Guerin (BCG) for recurrence in high risk category
was 58% and 60% in first and second year respectively. In our study, the overall
and individual risk group, the one and two year recurrence rate was lower than
that predicted by European Organization for Research and Treatment of Cancer risk
table. Conclusion Risk-based management of non muscle invasive bladder cancer by
using the European Organization for Research and Treatment of Cancer risk table
is a useful method of management, though its prediction rates are lower in
Nepalese population.
PMID- 29371495
TI - Host Soluble Mediators: Defying the Immunological Inertness of Aspergillus
fumigatus Conidia.
AB - Aspergillus fumigatus produce airborne spores (conidia), which are inhaled in
abundant quantity. In an immunocompromised population, the host immune system
fails to clear the inhaled conidia, which then germinate and invade, leading to
pulmonary aspergillosis. In an immunocompetent population, the inhaled conidia
are efficiently cleared by the host immune system. Soluble mediators of the
innate immunity, that involve the complement system, acute-phase proteins,
antimicrobial peptides and cytokines, are often considered to play a
complementary role in the defense of the fungal pathogen. In fact, the soluble
mediators are essential in achieving an efficient clearance of the dormant
conidia, which is the morphotype of the fungus upon inhalation by the host.
Importantly, harnessing the host soluble mediators challenges the immunological
inertness of the dormant conidia due to the presence of the rodlet and melanin
layers. In the review, we summarized the major soluble mediators in the lung that
are involved in the recognition of the dormant conidia. This knowledge is
essential in the complete understanding of the immune defense against A.
fumigatus.
PMID- 29371494
TI - The CWI Pathway: Regulation of the Transcriptional Adaptive Response to Cell Wall
Stress in Yeast.
AB - Fungi are surrounded by an essential structure, the cell wall, which not only
confers cell shape but also protects cells from environmental stress. As a
consequence, yeast cells growing under cell wall damage conditions elicit rescue
mechanisms to provide maintenance of cellular integrity and fungal survival.
Through transcriptional reprogramming, yeast modulate the expression of genes
important for cell wall biogenesis and remodeling, metabolism and energy
generation, morphogenesis, signal transduction and stress. The yeast cell wall
integrity (CWI) pathway, which is very well conserved in other fungi, is the key
pathway for the regulation of this adaptive response. In this review, we
summarize the current knowledge of the yeast transcriptional program elicited to
counterbalance cell wall stress situations, the role of the CWI pathway in the
regulation of this program and the importance of the transcriptional input
received by other pathways. Modulation of this adaptive response through the CWI
pathway by positive and negative transcriptional feedbacks is also discussed.
Since all these regulatory mechanisms are well conserved in pathogenic fungi,
improving our knowledge about them will have an impact in the developing of new
antifungal therapies.
PMID- 29371496
TI - Role of Hydrophobins in Aspergillus fumigatus.
AB - Resistance of Aspergillus fumigatus conidia to desiccation and their capacity to
reach the alveoli are partly due to the presence of a hydrophobic layer composed
of a protein from the hydrophobin family, called RodA, which covers the conidial
surface. In A. fumigatus there are seven hydrophobins (RodA-RodG) belonging to
class I and III. Most of them have never been studied. We constructed single and
multiple hydrophobin-deletion mutants until the generation of a hydrophobin-free
mutant. The phenotype, immunogenicity, and virulence of the mutants were studied.
RODA is the most expressed hydrophobin in sporulating cultures, whereas RODB is
upregulated in biofilm conditions and in vivo Only RodA, however, is responsible
for rodlet formation, sporulation, conidial hydrophobicity, resistance to
physical insult or anionic dyes, and immunological inertia of the conidia. None
of the hydrophobin plays a role in biofilm formation or its hydrophobicity. RodA
is the only needed hydrophobin in A. fumigatus, conditioning the structure,
permeability, hydrophobicity, and immune-inertia of the cell wall surface in
conidia. Moreover, the defect of rodlets on the conidial cell wall surface
impacts on the drug sensitivity of the fungus.
PMID- 29371497
TI - Neuro-Immune Mechanisms of Anti-Cryptococcal Protection.
AB - Cryptococcal meningitis (CM) is a life-threatening fungal disease affecting both
immunosuppressed and immunocompetent people. The main causative agent of CM is
Cryptococcus neoformans, a basidiomycete fungus prevalent in the environment. Our
understanding of the immune mechanisms controlling C. neoformans growth within
the central nervous system (CNS) is poor. However, there have been several recent
advances in the field of neuroimmunology regarding how cells resident within the
CNS, such as microglia and neurons, can participate in immune surveillance and
control of infection. In this mini-review, the cells of the CNS are discussed
with reference to what is currently known about how they control C. neoformans
infection.
PMID- 29371498
TI - Recent Insights into the Paradoxical Effect of Echinocandins.
AB - Echinocandin antifungals represent one of the most important drug classes for the
treatment of invasive fungal infections. The mode of action of the echinocandins
relies on inhibition of the beta-1,3-glucan synthase, an enzyme essentially
required for the synthesis of the major fungal cell wall carbohydrate beta-1,3
glucan. Depending on the species, echinocandins may exert fungicidal or
fungistatic activity. Apparently independent of this differential activity, a
surprising in vitro phenomenon called the "paradoxical effect" can be observed.
The paradoxical effect is characterized by the ability of certain fungal isolates
to reconstitute growth in the presence of higher echinocandin concentrations,
while being fully susceptible at lower concentrations. The nature of the
paradoxical effect is not fully understood and has been the focus of multiple
studies in the last two decades. Here we concisely review the current literature
and propose an updated model for the paradoxical effect, taking into account
recent advances in the field.
PMID- 29371500
TI - Special Issue: Host-Fungus Interactions.
AB - The clinical presentation of fungal disease is strongly determined by the
underlying immune defect present [...].
PMID- 29371499
TI - Diversity of Cell Wall Related Proteins in Human Pathogenic Fungi.
AB - The cell wall is one of the major keys to fungal identity. Fungi use their cell
wall to sense the environment, and localize nutrients and competing
microorganism. Pathogenic species additionally modify their cell walls to hide
from a host's immune system. With the growing number of fungal infections and
alarming shortage of available drugs, we are in need of new approaches to fight
pathogens. The cell wall seems to be a natural target, since animal host cells
are devoid of it. The current knowledge about fungal cell wall components is
often limited, and there is huge diversity both in structure and composition
between species. In order to compare the distribution of diverse proteins
involved in cell wall biosynthesis and maintenance, we performed sequence
homology searches against 24 fungal proteomes from distinct taxonomic groups, all
reported as human pathogens. This approach led to identification of 4014 cell
wall proteins (CWPs), and enabled us to speculate about cell wall composition in
recently sequenced pathogenic fungi with limited experimental information. We
found large expansions of several CWP families, in particular taxa, and a number
of new CWPs possibly involved in evading host immune recognition. Here, we
present a comprehensive evolutionary history of fungal CWP families in the
context of the fungal tree of life.
PMID- 29371501
TI - Anti-Aspergillus Activities of the Respiratory Epithelium in Health and Disease.
AB - Respiratory epithelia fulfil multiple roles beyond that of gaseous exchange, also
acting as primary custodians of lung sterility and inflammatory homeostasis.
Inhaled fungal spores pose a continual antigenic, and potentially pathogenic,
challenge to lung integrity against which the human respiratory mucosa has
developed various tolerance and defence strategies. However, respiratory disease
and immune dysfunction frequently render the human lung susceptible to fungal
diseases, the most common of which are the aspergilloses, a group of syndromes
caused by inhaled spores of Aspergillus fumigatus. Inhaled Aspergillus spores
enter into a multiplicity of interactions with respiratory epithelia, the
mechanistic bases of which are only just becoming recognized as important drivers
of disease, as well as possible therapeutic targets. In this mini-review we
examine current understanding of Aspergillus-epithelial interactions and, based
upon the very latest developments in the field, we explore two apparently
opposing schools of thought which view epithelial uptake of Aspergillus spores as
either a curative or disease-exacerbating event.
PMID- 29371503
TI - Candida albicans Hyphae: From Growth Initiation to Invasion.
AB - Candida albicans is a commensal resident of the human gastrointestinal and
genital tracts. Under conditions such as dysbiosis, host immune perturbances, or
the presence of catheters/implanted medical devices, the fungus may cause
debilitating mucosal or fatal systemic infections. The ability of C. albicans to
grow as long filamentous hyphae is critical for its pathogenic potential as it
allows the fungus to invade the underlying substratum. In this brief review, I
will outline the current understanding regarding the mechanistic regulation of
hyphal growth and invasion in C. albicans.
PMID- 29371502
TI - Patient Susceptibility to Candidiasis-A Potential for Adjunctive Immunotherapy.
AB - Candida spp. are colonizing fungi of human skin and mucosae of the
gastrointestinal and genitourinary tract, present in 30-50% of healthy
individuals in a population at any given moment. The host defense mechanisms
prevent this commensal fungus from invading and causing disease. Loss of skin or
mucosal barrier function, microbiome imbalances, or defects of immune defense
mechanisms can lead to an increased susceptibility to severe mucocutaneous or
invasive candidiasis. A comprehensive understanding of the immune defense against
Candida is essential for developing adjunctive immunotherapy. The important role
of underlying genetic susceptibility to Candida infections has become apparent
over the years. In most patients, the cause of increased susceptibility to fungal
infections is complex, based on a combination of immune regulation gene
polymorphisms together with other non-genetic predisposing factors.
Identification of patients with an underlying genetic predisposition could help
determine which patients could benefit from prophylactic antifungal treatment or
adjunctive immunotherapy. This review will provide an overview of patient
susceptibility to mucocutaneous and invasive candidiasis and the potential for
adjunctive immunotherapy.
PMID- 29371506
TI - Candidate Genes for Aggressiveness in a Natural Fusarium culmorum Population
Greatly Differ between Wheat and Rye Head Blight.
AB - Fusarium culmorum is one of the species causing Fusarium head blight (FHB) in
cereals in Europe. We aimed to investigate the association between the nucleotide
diversity of ten F. culmorum candidate genes and field ratings of aggressiveness
in winter rye. A total of 100 F. culmorum isolates collected from natural
infections were phenotyped for FHB at two locations and two years. Variance
components for aggressiveness showed significant isolate and isolate-by
environment variance, as expected for quantitative host-pathogen interactions.
Further analysis of the isolate-by-environment interaction revealed the dominant
role of the isolate-by-year over isolate-by-location interaction. One single
nucleotide polymorphism (SNP) in the cutinase (CUT) gene was found to be
significantly (p < 0.001) associated with aggressiveness and explained 16.05% of
the genotypic variance of this trait in rye. The SNP was located 60 base pairs
before the start codon, which suggests a role in transcriptional regulation.
Compared to a previous study in winter wheat with the same nucleotide sequences,
a larger variation of pathogen aggressiveness on rye was found and a different
candidate gene was associated with pathogen aggressiveness. This is the first
report on the association of field aggressiveness and a host-specific candidate
gene codifying for a protein that belongs to the secretome in F. culmorum.
PMID- 29371507
TI - Burden of Serious Fungal Infections in Jordan.
AB - OBJECTIVE: To estimate the burden of fungal infections in Jordan for the first
time. MATERIAL AND METHODS: Population data was from UN 2011 statistics and TB
cases from WHO in 2012. Fewer than 100 patients with HIV were recorded in Jordan
in 2013. Approximately 100 renal transplants and eight liver transplants are
performed annually. There were 12,233 major surgical procedures in Jordan in
2013, of which 5.3% were major abdominal surgeries; candidemia was estimated in
5% of the population based on other countries, with 33% occurring in the ICU.
Candida peritonitis/intra-abdominal candidiasis was estimated to affect 50% of
the number of ICU candidemia cases. No adult asthma rates have been recorded for
Jordan, so the rate from the Holy Land (8.54% clinical asthma) from To et al. has
been used. There are an estimated 49,607 chronic obstructive pulmonary disease
(COPD) patients in Jordan, with 64% symptomatic, 25% Gold stage 3% or 4%, and 7%
(3472) are assumed to be admitted to hospital each year. No cystic fibrosis cases
have been recorded. Literature searches on fungal infections revealed few data
and no prevalence data on fungal keratitis or tinea capitis, even though tinea
capitis comprised 34% of patients with dermatophytoses in Jordan. RESULTS: Jordan
has 6.3 million inhabitants (65% adults, 6% are >60 years old). The current
burden of serious fungal infections in Jordan was estimated to affect ~119,000
patients (1.9%), not including any cutaneous fungal infections. Candidemia was
estimated at 316 cases and invasive aspergillosis in leukemia, transplant, and
COPD patients at 84 cases. Chronic pulmonary aspergillosis prevalence was
estimated to affect 36 post-TB patients, and 175 in total. Allergic
bronchopulmonary aspergillosis (ABPA) and severe asthma with fungal sensitization
(SAFS) prevalence in adults with asthma were estimated at 8900 and 11,748
patients. Recurrent vulvovaginal candidiasis was estimated to affect 97,804
patients, using a 6% rate among women 15-50 years of age. CONCLUSION: Based on
local data and literature estimates of the frequency of mycoses in susceptible
populations, at least 1.9% of Jordanians have some form of serious fungal
disease.
PMID- 29371505
TI - Gaining Insights from Candida Biofilm Heterogeneity: One Size Does Not Fit All.
AB - Despite their clinical significance and substantial human health burden, fungal
infections remain relatively under-appreciated. The widespread overuse of
antibiotics and the increasing requirement for indwelling medical devices
provides an opportunistic potential for the overgrowth and colonization of
pathogenic Candida species on both biological and inert substrates. Indeed, it is
now widely recognized that biofilms are a highly important part of their
virulence repertoire. Candida albicans is regarded as the primary fungal biofilm
forming species, yet there is also increasing interest and growing body of
evidence for non-Candida albicans species (NCAS) biofilms, and interkingdom
biofilm interactions. C. albicans biofilms are heterogeneous structures by
definition, existing as three-dimensional populations of yeast, pseudo-hyphae,
and hyphae, embedded within a self-produced extracellular matrix. Classical
molecular approaches, driven by extensive studies of laboratory strains and
mutants, have enhanced our knowledge and understanding of how these complex
communities develop, thrive, and cause host-mediated damage. Yet our clinical
observations tell a different story, with differential patient responses
potentially due to inherent biological heterogeneity from specific clinical
isolates associated with their infections. This review explores some of the
recent advances made in an attempt to explore the importance of working with
clinical isolates, and what this has taught us.
PMID- 29371508
TI - Analysis of Transposable Elements in Coccidioides Species.
AB - Coccidioides immitis and C. posadasii are primary pathogenic fungi that cause
disease in immunologically-normal animals and people. The organism is found
exclusively in arid regions of the Southwestern United States, Mexico, and South
America, but not in other parts of the world. This study is a detailed analysis
of the transposable elements (TE) in Coccidioides spp. As is common in most
fungi, Class I and Class II transposons were identified and the LTR Gypsy
superfamily is the most common. The minority of Coccidioides Gypsy transposons
contained regions highly homologous to polyprotein domains. Phylogenetic analysis
of the integrase and reverse transcriptase sequences revealed that many, but not
all, of the Gypsy reverse transcriptase and integrase domains clustered by
species suggesting extensive transposition after speciation of the two
Coccidiodies spp. The TEs were clustered and the distribution is enriched for the
ends on contigs. Analysis of gene expression data from C. immitis found that
protein-coding genes within 1 kB of hAT or Gypsy TEs were poorly expressed. The
expression of C. posadasii genes within 1 kB of Gypsy TEs was also significantly
lower compared to all genes but the difference in expression was smaller than C.
immitis. C. posadasii orthologs of C. immitis Gyspsy-associated genes were also
likely to be TE-associated. In both C. immitis and C. posadasii the TEs were
preferentially associated with genes annotated with protein kinase gene ontology
terms. These observations suggest that TE may play a role in influencing gene
expression in Coccidioides spp. Our hope is that these bioinformatic studies of
the potential TE influence on expression and evolution of Coccidioides will
prompt the development of testable hypotheses to better understand the role of
TEs in the biology and gene regulation of Coccidioides spp.
PMID- 29371509
TI - Biofilm Formation and Resistance to Fungicides in Clinically Relevant Members of
the Fungal Genus Fusarium.
AB - Clinically relevant members of the fungal genus, Fusarium, exhibit an
extraordinary genetic diversity and cause a wide spectrum of infections in both
healthy individuals and immunocompromised patients. Generally, Fusarium species
are intrinsically resistant to all systemic antifungals. We investigated whether
the presence or absence of the ability to produce biofilms across and within
Fusarium species complexes is linked to higher resistance against antifungals. A
collection of 41 Fusarium strains, obtained from 38 patients with superficial and
systemic infections, and three infected crops, were tested, including 25 species
within the Fusarium fujikuroi species complex, 14 from the Fusarium solani
species complex (FSSC), one Fusarium dimerum species complex, and one Fusarium
oxysporum species complex isolate. Of all isolates tested, only seven strains
from two species of FSSC, five F. petroliphilum and two F. keratoplasticum
strains, recovered from blood, nail scrapings, and nasal biopsy samples, could
produce biofilms under the tested conditions. In the liquid culture tested,
sessile biofilm-forming Fusarium strains exhibited elevated minimum inhibitory
concentrations (MICs) for amphotericin B, voriconazole, and posaconazole,
compared to their planktonic counterparts, indicating that the ability to form
biofilm may significantly increase resistance. Collectively, this suggests that
once a surface adherent biofilm has been established, therapies designed to kill
planktonic cells of Fusarium are ineffective.
PMID- 29371511
TI - N-acetylglucosamine-1-Phosphate Transferase Suppresses Lysosomal Hydrolases in
Dysfunctional Osteoclasts: A Potential Mechanism for Vascular Calcification.
AB - In addition to increased differentiation of vascular smooth muscle cells into
osteoblast-like phenotypes, the limited accumulation of osteoclasts in
atherosclerotic plaques or their dysfunction may participate in potential
mechanisms for vascular calcification. N-acetylglucosamine-1-phosphate
transferase containing alpha and beta subunits (GNPTAB) is a transmembrane enzyme
complex that mediates the vesicular transport of lysosomal hydrolases. GNPTAB may
also regulate the biogenesis of lysosomal hydrolases from bone-marrow derived
osteoclasts. In this study, the areas surrounding calcification in human
atherosclerotic plaques contained high levels of GNPTAB and low levels of
lysosomal hydrolases such as cathepsin K (CTSK) and tartrate-resistant acid
phosphatase (TRAP), as demonstrated by immunohistochemistry and laser-capture
microdissection-assisted mRNA expression analysis. We therefore hypothesized that
GNPTAB secretion may suppress the release of CTSK and TRAP by vascular osteoclast
like cells, thus causing their dysfunction and reducing the resorption of
calcification. We used human primary macrophages derived from peripheral blood
mononuclear cells, an established osteoclast differentiation model. GNPTAB siRNA
silencing accelerated the formation of functional osteoclasts as detected by
increased secretion of CTSK and TRAP and increased their bone resorption activity
as gauged by resorption pits assay. We concluded that high levels of GNPTAB
inhibit secretion of lysosomal hydrolases in dysfunctional osteoclasts, thereby
affecting their resorption potential in cardiovascular calcification.
PMID- 29371512
TI - Glucose Serum Concentrations and Cardiovascular Disease in Patients on the End
Stage of Renal Disease without Diabetes Mellitus.
AB - Background/Aim: It is still controversial whether tighter glycemic control is
associated with better clinical outcomes in patients with kidney failure. We
examined the association between glucose serum concentrations and cardiovascular
disease in patients on the end stage of renal disease without diabetes mellitus.
Methods: We studied 76 patients on on-line hemodiafiltration. Cardiovascular
disease was defined by the existence of coronary disease (CD). Arterial stiffness
was measured as carotid-femoral pulse wave velocity (c-fPWV) and carotid
augmentation index (AIx). The concentrations of beta2-microglobulin (beta2M) and
insulin were measured by radioimmunoassays and insulin resistance by HOMA-IR. We
built a logistic-regression analysis to examine the role of glucose on
cardiovascular disease after adjustment for the traditional and specific risk
factors for dialysis patients. Results: Serum glucose was positively correlated
with beta2M, insulin and HOMA-IR (r = 0.361, p = 0.002, r = 0.581, p = 0.001 and
r = 0.753, p = 0.001 respectively). Logistic-regression analysis did not show
significant impact of glucose concentrations on cardiovascular disease after
adjustment for traditional and specific risk factors. Conclusions: The
association between elevated glucose serum concentrations and represented by
coronary syndrome cardiovascular disease in patients on the end stage of renal
disease without diabetes mellitus was not found significant.
PMID- 29371513
TI - Rationale for the Cytogenomics of Cardiovascular Malformations Consortium: A
Phenotype Intensive Registry Based Approach.
AB - Cardiovascular malformations (CVMs) are the most common birth defect, occurring
in 1%-5% of all live births. Although the genetic contribution to CVMs is well
recognized, the genetic causes of human CVMs are identified infrequently. In
addition, a failure of systematic deep phenotyping of CVMs, resulting from the
complexity and heterogeneity of malformations, has obscured genotype-phenotype
correlations and contributed to a lack of understanding of disease mechanisms. To
address these knowledge gaps, we have developed the Cytogenomics of
Cardiovascular Malformations (CCVM) Consortium, a multi-site alliance of
geneticists and cardiologists, contributing to a database registry of
submicroscopic genetic copy number variants (CNVs) based on clinical chromosome
microarray testing in individuals with CVMs using detailed classification
schemes. Cardiac classification is performed using a modification to the National
Birth Defects Prevention Study approach, and non-cardiac diagnoses are captured
through ICD-9 and ICD-10 codes. By combining a comprehensive approach to
clinically relevant genetic analyses with precise phenotyping, the Consortium
goal is to identify novel genomic regions that cause or increase susceptibility
to CVMs and to correlate the findings with clinical phenotype. This registry will
provide critical insights into genetic architecture, facilitate genotype
phenotype correlations, and provide a valuable resource for the medical
community.
PMID- 29371515
TI - Neutron Scattering at the Intersection of Heart Health Science and Biophysics.
AB - There is an urgent quest for improved heart health. Here, we review how neutron
radiation can provide insight into the molecular basis of heart health. Lower
cholesterol, a daily intake of aspirin and supplemental vitamin E are argued to
all improve heart health. However, the mechanisms behind these common regimens,
and others, are not entirely understood. It is not clear why a daily intake of
aspirin can help some people with heart disease, and the benefits of vitamin E in
the treatment of reperfusion injury have been heavily debated. The molecular
impact of cholesterol in the body is still a hot topic. Neutron scattering
experiments present a unique opportunity for biophysicists attempting to address
these problems. We review some recently published studies that are advancing our
understanding of how cholesterol, vitamin E and aspirin work at the molecular
level, by studying the impact of these molecules on the cell membrane. These
insights engage the broader health science community with new ways of thinking
about these molecules.
PMID- 29371514
TI - Advanced Electrocardiography Identifies Left Ventricular Systolic Dysfunction in
Non-Ischemic Cardiomyopathy and Tracks Serial Change over Time.
AB - : Electrocardiogram (ECG)-based detection of left ventricular systolic
dysfunction (LVSD) has poor specificity and positive predictive value, even when
including major ECG abnormalities, such as left bundle branch block (LBBB) within
the criteria for diagnosis. Although machine-read ECG algorithms do not provide
information on LVSD, advanced ECG (A-ECG), using multiparameter scores, has
superior diagnostic utility to strictly conventional ECG for identifying various
cardiac pathologies, including LVSD. METHODS: We evaluated the diagnostic utility
of A-ECG in a case-control study of 40 patients with LVSD (LV ejection fraction <
50% by echocardiography), due to non-ischemic cardiomyopathy (NICM), and 39 other
patients without LVSD. Diagnostic sensitivity and specificity for LVSD were
determined after applying a previously validated probabilistic A-ECG score for
LVSD to stored standard (10 s) clinical 12L ECGs. In 25 of the NICM patients who
had serial ECGs and echocardiograms, changes in the A-ECG score versus in
echocardiographic LV ejection fraction were also studied to determine the level
of agreement between the two tests. RESULTS: Analyses by A-ECG had a sensitivity
of 95% for LVSD (93% if excluding N = 11 patients with LBBB) and specificity of
95%. In the 29 NICM patients without LBBB who had serial ECGs, sensitivity
improved to 97% when all ECGs were considered. By comparison, human readers in a
busy clinical environment had a sensitivity of 90% and specificity of 63%. A-ECG
score trajectories demonstrated improvement, deterioration or no change in LVSD,
which agreed with echocardiography, in 76% of cases (n = 25). CONCLUSION: A-ECG
scoring detects LVSD due to NICM with high sensitivity and specificity. Serial A
ECG score trajectories also represent a method for inexpensively demonstrating
changes in LVSD. A-ECG scoring may be of particular value in areas where
echocardiography is unavailable, or as a gatekeeper for echocardiography.
PMID- 29371516
TI - Imaging of Mitral Valve Prolapse: What Can We Learn from Imaging about the
Mechanism of the Disease?
AB - Mitral valve prolapse (MVP) is the most common mitral valve disorder affecting 2%
3% of the general population. Two histological forms for the disease exist:
Myxomatous degeneration and fibroelastic disease. Pathological evidence suggests
the disease is not confined solely to the valve tissue, and accumulation of
proteoglycans and fibrotic tissue can be seen in the adjacent myocardium of MVP
patients. MVP is diagnosed by demonstrating valve tissue passing the annular line
into the left atrium during systole. In this review we will discuss the
advantages and limitations of various imaging modalities in their MVP diagnosis
ability as well as the potential for demonstrating extra associated valvular
pathologies.
PMID- 29371517
TI - Investigation of the Matrix Metalloproteinase-2 Gene in Patients with Non
Syndromic Mitral Valve Prolapse.
AB - Non-syndromic mitral valve prolapse (MVP) is a common degenerative valvulopathy,
predisposing to arrhythmia and sudden death. The etiology of MVP is suspected to
be under genetic control, as supported by familial cases and its manifestation in
genetic syndrome (e.g., Marfan syndrome). One candidate etiological mechanism is
a perturbation of the extracellular matrix (ECM) remodeling of the valve. To test
this hypothesis, we assessed the role of genetic variants in the matrix
metalloproteinase 2 gene (MMP2) known to regulate the ECM turnover by direct
degradation of proteins and for which transgenic mice develop MVP. Direct
sequencing of exons of MMP2 in 47 unrelated patients and segregation analyses in
families did not reveal any causative mutation. We studied eight common single
nucleotide polymorphisms (TagSNPs), which summarize the genetic information at
the MMP2 locus. The association study in two case controls sets (NCases = 1073
and NControls = 1635) provided suggestive evidence for the association of
rs1556888 located downstream MMP2 with the risk of MVP, especially in patients
with the fibroelastic defiency form. Our study does not support the contribution
of MMP2 rare variation in the etiology to MVP in humans, though further genetic
and molecular investigation is required to confirm our current suggestive
association of one common variant.
PMID- 29371518
TI - Preliminary Evidence for Aortopathy and an X-Linked Parent-of-Origin Effect on
Aortic Valve Malformation in a Mouse Model of Turner Syndrome.
AB - Turner syndrome (TS), most frequently caused by X-monosomy (45,X), is
characterized in part by cardiovascular abnormalities, including aortopathy and
bicuspid aortic valve (BAV). There is a need for animal models that recapitulate
the cardiovascular manifestations of TS. Extracellular matrix (ECM) organization
and morphometrics of the aortic valve and proximal aorta were examined in adult
39,XO mice (where the parental origin of the single X was paternal (39,XPO) or
maternal (39,XMO)) and 40,XX controls. Aortic valve morphology was normal
(tricuspid) in all of the 39,XPO and 40,XX mice studied, but abnormal (bicuspid
or quadricuspid) in 15% of 39,XMO mice. Smooth muscle cell orientation in the
ascending aorta was abnormal in all 39,XPO and 39,XMO mice examined, but smooth
muscle actin was decreased in 39,XMO mice only. Aortic dilation was present with
reduced penetrance in 39,XO mice. The 39,XO mouse demonstrates aortopathy and an
X-linked parent-of-origin effect on aortic valve malformation, and the candidate
gene FAM9B is polymorphically expressed in control and diseased human aortic
valves. The 39,XO mouse model may be valuable for examining the mechanisms
underlying the cardiovascular findings in TS, and suggest there are important
genetic modifiers on the X chromosome that modulate risk for nonsyndromic BAV and
aortopathy.
PMID- 29371519
TI - Takotsubo Cardiomyopathy: A Long Term Follow-up Shows Benefit with Risk Factor
Reduction.
AB - Only sparse data was available on long-term of Takotusbo Cardiomyopathy (TC).
Previous studies suggested prognosis is not necessarily benign. We report the
long-term follow-up of 12 TC patients actively managed with risk factor
reduction. Retrospective analysis of all patients diagnosed with TC at our
hospital between 1998 and 2010. We identified 12 patients with TC among 1651
cases of emergent left heart catheterization over 12 years. Mean follow-up time
was 8.3 +/- 3.6 years. All were female, 87% had hypertension, 25% had history of
Coronary Artery Disease (CAD), 67% had hyperlipidemia, 44% had some preceding
emotional trauma, and 44% had some physical/physiological stress. Previous
studies have shown that over 50% of TC patients experience future cardiac events,
and 10% have a recurrence of TC. Patients were prescribed therapeutic lifestyle
changes (TLC) and guideline directed medical therapy (GDMT) for aggressive risk
factor reduction. TLC included diet, exercise, and cardiac rehabilitation. GDMT
often included aspirin, beta-blockers, ACE-inhibitors, and statins. Follow-up
echocardiograms showed recovery and maintenance of the ejection fraction. There
was no cardiac mortality and no recurrences of TC. Aggressive risk factor
reduction with TLC and GDMT may be effective in improving the long term outcomes
of patients with TC.
PMID- 29371521
TI - Biocontrol Properties of Basidiomycetes: An Overview.
AB - In agriculture, there is an urgent need for alternate ecofriendly products to
control plant diseases. These alternate products must possess preferable
characteristics such as new modes of action, cost effectiveness,
biodegradability, and target specificity. In the current scenario, studies on
macrofungi have been an area of importance for scientists. Macrofungi grow
prolifically and are found in many parts of the world. Basidiomycetes (mushrooms)
flourish ubiquitously under warm and humid climates. Basidiomycetes are rich
sources of natural antibiotics. The secondary metabolites produced by them
possess antimicrobial, antitumor, and antioxidant properties. The present review
discusses the potential role of Basidiomycetes as anti-phytofungal, anti
phytobacterial, anti-phytoviral, mosquito larvicidal, and nematicidal agents.
PMID- 29371520
TI - New Trends in Paracoccidioidomycosis Epidemiology.
AB - Paracoccidioidomycosis is a systemic fungal disease occurring in Latin America
and more prevalent in South America. The disease is caused by the dimorphic
fungus Paracoccidioides spp. whose major hosts are humans and armadillos. The
fungus grows in soil and its infection is associated with exposure to the rural
environment and to agricultural activities, with a higher risk in coffee and
tobacco plantations. Population studies assessing the reactivity to
Paracoccidioides spp. antigens by intradermal reaction or serological tests have
detected previous subclinical infections in a significant proportion of healthy
individuals living in various endemic countries. Paracoccidioidomycosis-disease
is manifested by a small minority of infected individuals. The risk of developing
the disease and its type of clinical form are related to the personal and life
style characteristics of infected individuals, including genetic background, age,
sex, ethnicity, smoking habit, alcohol drinking, and eventual cellular
immunosuppression. Brazil, Colombia, Venezuela, Argentina, and Ecuador have
endemic areas that had already been defined in the 20th century. The incidence of
paracoccidioidomycosis can be altered by climate phenomena and mainly by human
migration and occupation of poorly explored territories. In Brazil, the endemy
tends to expand towards the North and Center-West around the Amazon Region.
PMID- 29371523
TI - Entomopathogenicity and Biological Attributes of Himalayan Treasured Fungus
Ophiocordyceps sinensis (Yarsagumba).
AB - Members of the entomophagous fungi are considered very crucial in the fungal
domain relative to their natural phenomenon and economic perspectives; however,
inadequate knowledge of their mechanisms of interaction keeps them lagging behind
in parallel studies of fungi associated with agro-ecology, forest pathology and
medical biology. Ophiocordyceps sinensis (syn. Cordyceps sinensis), an intricate
fungus-caterpillar complex after it parasitizes the larva of the moth, is a
highly prized medicinal fungus known widely for ages due to its peculiar
biochemical assets. Recent technological innovations have significantly
contributed a great deal to profiling the variable clinical importance of this
fungus and other related fungi with similar medicinal potential. However, a
detailed mechanism behind fungal pathogenicity and fungal-insect interactions
seems rather ambiguous and is poorly justified, demanding special attention. The
goal of the present review is to divulge an update on the published data and
provides promising insights on different biological events that have remained
underemphasized in previous reviews on fungal biology with relation to life
history trade-offs, host specialization and selection pressures. The infection of
larvae by a fungus is not a unique event in Cordyceps; hence, other fungal
species are also reviewed for effective comparison. Conceivably, the rationale
and approaches behind the inheritance of pharmacological abilities acquired and
stored within the insect framework at a time when they are completely hijacked
and consumed by fungal parasites, and the molecular mechanisms involved therein,
are clearly documented.
PMID- 29371524
TI - Global Aspects of Triazole Resistance in Aspergillus fumigatus with Focus on
Latin American Countries.
AB - Azole resistance in Aspergillus has emerged as an escalating problem in health
care, and it has been detected in patients exposed, or not, to these drugs. It is
known that azole antifungals are widely applied not only in clinical treatments
for fungal infections, but also as agricultural fungicides, resulting in a
significant threat for human health. Although the number of cases of azole
resistant aspergillosis is still limited, various resistance mechanisms are
described from clinical and environmental isolates. These mechanisms consist
mainly of alterations in the target of azole action (CYP51A gene)-specifically on
TR34/L98H and TR46/Y121F/T289A, which are responsible for over 90% of resistance
cases. This review summarizes the epidemiology, management, and extension of
azole resistance in A. fumigatus worldwide and its potential impact in Latin
American countries, emphasizing its relevance to clinical practice.
PMID- 29371525
TI - Cutaneous Disseminated and Extracutaneous Sporotrichosis: Current Status of a
Complex Disease.
AB - Sporotrichosis is an implantation or inoculation mycosis caused by species of
Sporothrix schenckii complex; its main manifestations are limited to skin;
however, cutaneous-disseminated, disseminated (visceral) and extracutaneous
variants of sporotrichosis can be associated with immunosuppression, including
HIV-AIDS, chronic alcoholism or more virulent strains. The most common
extracutaneous form of sporotrichosis includes pulmonary, osteoarticular and
meningeal. The laboratory diagnosis requires observing yeast forms and isolating
the fungus; the two main causative agents are Sporothrix schenckii (ss) and
Sporothrix brasiliensis. Antibody levels and species recognition by Polimerase
Chain Reaction using biological samples or cultures are also useful. The
treatment of choice for most cases is amphotericin B and subsequent itraconazole
for maintenance therapy.
PMID- 29371526
TI - Comparative Efficacies of Antimicrobial Catheter Lock Solutions for Fungal
Biofilm Eradication in an in Vitro Model of Catheter-Related Fungemia.
AB - Fungal catheter-related bloodstream infections (CRBSIs)-primarily due to Candida
species-account for over 12% of all CRBSIs, and have been progressively
increasing in prevalence. They present significant health and economic burdens,
and high mortality rates. Antimicrobial catheter lock solutions are an important
prophylactic option for preventing fungal CRBSIs. In this study, we compared the
effectiveness of two FDA-approved catheter lock solutions (heparin and saline)
and three experimental antimicrobial catheter lock solutions-30% citrate,
taurolidine-citrate-heparin (TCH), and nitroglycerin-citrate-ethanol (NiCE)-in an
in vitro model of catheters colonized by fungi. The fungi tested were five
different strains of Candida clinical isolates from cancer patients who
contracted CRBSIs. Time-to-biofilm-eradication was assessed in the model with 15,
30, and 60 min exposures to the lock solutions. Only the NiCE lock solution was
able to fully eradicate all fungal biofilms within 60 min. Neither 30% citrate
nor TCH was able to fully eradicate any of the Candida biofilms in this time
frame. The NiCE lock solution was significantly superior to TCH in eradicating
biofilms of five different Candida species (p = 0.002 for all).
PMID- 29371528
TI - Combinatorial Biosynthesis of Novel Multi-Hydroxy Carotenoids in the Red Yeast
Xanthophyllomyces dendrorhous.
AB - The red yeast Xanthophyllomyces dendrorhous is an established platform for the
synthesis of carotenoids. It was used for the generation of novel multi
oxygenated carotenoid structures. This was achieved by a combinatorial approach
starting with the selection of a beta-carotene accumulating mutant, stepwise
pathway engineering by integration of three microbial genes into the genome and
finally the chemical reduction of the resulting 4,4'-diketo-nostoxanthin
(2,3,2',3'-tetrahydroxy-4,4'-diketo-beta-carotene) and 4-keto-nostoxanthin
(2,3,2',3'-tetrahydroxy-4-monoketo-beta-carotene). Both keto carotenoids and the
resulting 4,4'-dihydroxy-nostoxanthin (2,3,4,2',3',4'-hexahydroxy-beta-carotene)
and 4-hydroxy-nostoxanthin (2,3,4,2'3'-pentahydroxy-beta-carotene) were separated
by high-performance liquid chromatography (HPLC) and analyzed by mass
spectrometry. Their molecular masses and fragmentation patterns allowed the
unequivocal identification of all four carotenoids.
PMID- 29371527
TI - Candida Species Biofilms' Antifungal Resistance.
AB - Candida infections (candidiasis) are the most prevalent opportunistic fungal
infection on humans and, as such, a major public health problem. In recent
decades, candidiasis has been associated to Candida species other than Candida
albicans. Moreover, biofilms have been considered the most prevalent growth form
of Candida cells and a strong causative agent of the intensification of
antifungal resistance. As yet, no specific resistance factor has been identified
as the sole responsible for the increased recalcitrance to antifungal agents
exhibited by biofilms. Instead, biofilm antifungal resistance is a complex
multifactorial phenomenon, which still remains to be fully elucidated and
understood. The different mechanisms, which may be responsible for the intrinsic
resistance of Candida species biofilms, include the high density of cells within
the biofilm, the growth and nutrient limitation, the effects of the biofilm
matrix, the presence of persister cells, the antifungal resistance gene
expression and the increase of sterols on the membrane of biofilm cells. Thus,
this review intends to provide information on the recent advances about Candida
species biofilm antifungal resistance and its implication on intensification of
the candidiasis.
PMID- 29371529
TI - The Crucial Role of Biofilms in Cryptococcus neoformans Survival within
Macrophages and Colonization of the Central Nervous System.
AB - Cryptococcus neoformans is an encapsulated yeast-like fungus capable of causing
life threatening meningoencephalitis in patients with impaired immunity. This
microbe primarily infects the host via inhalation but has the ability to
disseminate to the central nervous system (CNS) either as a single cell or inside
of macrophages. Upon traversing the blood brain barrier, C. neoformans has the
capacity to form biofilm-like structures known as cryptococcomas. Hence, we will
discuss the C. neoformans elements contributing to biofilm formation including
the fungus' ability to survive in the acidic environment of a macrophage
phagosome and inside of the CNS. The purpose of this mini-review is to instill
fresh interest in understanding the importance of biofilms on fungal
pathogenesis.
PMID- 29371531
TI - Characterization of Blue Mold Penicillium Species Isolated from Stored Fruits
Using Multiple Highly Conserved Loci.
AB - Penicillium is a large genus of common molds with over 400 described species;
however, identification of individual species is difficult, including for those
species that cause postharvest rots. In this study, blue rot fungi from stored
apples and pears were isolated from a variety of hosts, locations, and years.
Based on morphological and cultural characteristics and partial amplification of
the beta-tubulin locus, the isolates were provisionally identified as several
different species of Penicillium. These isolates were investigated further using
a suite of molecular DNA markers and compared to sequences of the ex-type for
cognate species in GenBank, and were identified as P. expansum (3 isolates), P.
solitum (3 isolates), P. carneum (1 isolate), and P. paneum (1 isolate). Three of
the markers we used (ITS, internal transcribed spacer rDNA sequence; benA, beta
tubulin; CaM, calmodulin) were suitable for distinguishing most of our isolates
from one another at the species level. In contrast, we were unable to amplify
RPB2 sequences from four of the isolates. Comparison of our sequences with
cognate sequences in GenBank from isolates with the same species names did not
always give coherent data, reinforcing earlier studies that have shown large
intraspecific variability in many Penicillium species, as well as possible errors
in some sequence data deposited in GenBank.
PMID- 29371532
TI - Real-Time Approach to Flow Cell Imaging of Candida albicans Biofilm Development.
AB - The ability of Candida albicans to form biofilms is a virulence factor that
allows tissue attachment and subsequent infection of host tissues. Fungal
biofilms have been particularly well studied, however the vast majority of these
studies have been conducted under static conditions. Oral biofilms form in the
presence of salivary flow, therefore we developed a novel flow system used for
real-time imaging of fungal biofilm development. C. albicans wild-type (WT) cells
readily attached to the substrate surface during the 2 h attachment phase, then
formed heterogeneous biofilms after 18 h flow. Quantitative values for biomass,
rates of attachment and detachment, and cell-cell adhesion events were obtained
for C. albicans WT cells and for a hyperfilamentous mutant Deltahog1. Attachment
rates of C. albicans WT cells were nearly 2-fold higher than C. albicans
Deltahog1 cells, although Deltahog1 cells formed 4-fold higher biomass. The
reduced normalized detachment rate was the primary factor responsible for the
increased biomass of Deltahog1 biofilm, showing that cell detachment rates are an
important predictor for ultimate biofilm mass under flow. Unlike static biofilms,
C. albicans cells under constant laminar flow undergo continuous detachment and
seeding that may be more representative of the development of in vivo biofilms.
PMID- 29371533
TI - Microscopic Analysis of Pigments Extracted from Spalting Fungi.
AB - Pigments that are currently available in the market usually come from synthetic
sources, or, if natural, often need mordants to bind to the target substrate.
Recent research on the fungal pigment extracts from Scytalidium cuboideum,
Scytalidium ganodermophthorum, Chlorociboria aeruginosa, and Chlorociboria
aeruginascens have been shown to successfully dye materials, like wood, bamboo,
and textiles, however, there is no information about their binding mechanisms.
Due to this, a microscopic study was performed to provide information to future
manufacturers interested in these pigments. The results of this study show that
S. ganodermophthorum and C. aeruginosa form an amorphous layer on substrates,
while S. cuboideum forms crystal-like structures. The attachment and morphology
indicate that there might be different chemical and physical interactions between
the extracted pigments and the materials. This possibility can explain the high
resistance of the pigments to UV light and color fastness that makes them
competitive against synthetic pigments. These properties make these pigments a
viable option for an industry that demands natural pigments with the properties
of the synthetic ones.
PMID- 29371530
TI - Candida glabrata Biofilms: How Far Have We Come?
AB - Infections caused by Candida species have been increasing in the last decades and
can result in local or systemic infections, with high morbidity and mortality.
After Candida albicans, Candida glabrata is one of the most prevalent pathogenic
fungi in humans. In addition to the high antifungal drugs resistance and
inability to form hyphae or secret hydrolases, C. glabrata retain many virulence
factors that contribute to its extreme aggressiveness and result in a low
therapeutic response and serious recurrent candidiasis, particularly biofilm
formation ability. For their extraordinary organization, especially regarding the
complex structure of the matrix, biofilms are very resistant to antifungal
treatments. Thus, new approaches to the treatment of C. glabrata's biofilms are
emerging. In this article, the knowledge available on C. glabrata's resistance
will be highlighted, with a special focus on biofilms, as well as new therapeutic
alternatives to control them.
PMID- 29371534
TI - Activity of Amphotericin B and Anidulafungin Combined with Rifampicin,
Clarithromycin, Ethylenediaminetetraacetic Acid, N-Acetylcysteine, and Farnesol
against Candida tropicalis Biofilms.
AB - We evaluated the activity of (1) amphotericin-B (AMB), combined with rifampicin
(RIF), clarithromycin (CLA), N-acetylcysteine (NAC), ethylenediaminetetraacetic
acid (EDTA), and farnesol (FAR) (1000, 1000, 1000, 4000, and 30,000 mg/L, and 300
uM, respectively), against Candida tropicalis biofilms formed on
polytetrafluoroethylene (PTFE) and (2) anidulafungin (ANF) combined with the same
compounds at 8, 10, 5, 40, and 30 mg/L, and 30 uM, respectively, against biofilms
formed on titanium. Biofilm growth kinetics were performed in a CDC Biofilm
Reactor (CBR). PTFE or titanium disks were removed from the CBR at 24, 48, 72,
and 96 h to determine the Log10CFU/cm2. Killing kinetics were performed by adding
the drugs to 24-h-mature biofilms (time 0). Disks were removed after 24, 48, and
72 h of drug exposure to determine Log10CFU/cm2. Viable cells in biofilms were
4.73 and 4.29 Log10CFU/cm2 on PTFE and titanium, respectively. Maximum Log10
decreases in CFU/cm2 depend on the combination and were: 3.53 (AMB + EDTA), 2.65
(AMB + RIF), 3.07 (AMB + NAC), 2.52 (AMB + CLA), 1.49 (AMB + FAR), 2.26 (ANF +
EDTA), 2.45 (ANF + RIF), 2.47 (ANF + NAC), 1.52 (ANF + CLA), and 0.44 (ANF +
FAR). In conclusion, EDTA, NAC, RIF, and CLA improve the activity of AMB and ANF
against biofilms developed on both surfaces, which could be an effective strategy
against C. tropicalis biofilm-related infections.
PMID- 29371535
TI - Molecular Identification and Antifungal Susceptibility Patterns of Clinical
Dermatophytes Following CLSI and EUCAST Guidelines.
AB - Dermatophytes are associated with superficial infections in humans worldwide. The
aim of the present study was to determine the species distribution and
susceptibility patterns of clinical dermatophytes. Samples received for routine
mycological processing from 124 suspected cases attending a dermatologic clinic
in a tertiary care hospital were included in the study. On direct microscopy,
74.1% (92/124) were positive and 53.2% (66/124) grew on culture. The isolates
were comprised of Trichophytoninterdigitale (56%) followed by
Trichophytontonsurans (25.7%), Trichophytonrubrum (7.5%), Trichophytonviolaceum
(4.5%), Microsporumgypseum (4.5%), and Trichophytonverrucosum (1.5%).
Conventional mycological identification was concordant with ITS sequencing except
for T.mentagrophytes. High minimum inhibitory concentration (MIC) values
(geometric mean, >1 ug/mL) were observed for T.tonsurans and T.rubrum to
terbinafine and griseofulvin. This study highlights the shift in epidemiology
from T.rubrum to T.interdigitale. It also raises a concern of high MICs of
terbinafine and griseofulvin among our isolates. Surveillance of antifungal
susceptibility patterns can provide clinicians with local MIC data that can
further aid in guiding better management in relapse cases of dermatomycosis.
PMID- 29371536
TI - Reduced Multidrug Susceptibility Profile Is a Common Feature of Opportunistic
Fusarium Species: Fusarium Multi-Drug Resistant Pattern.
AB - The resistance among various opportunistic Fusarium species to different
antifungal agents has emerged as a cause of public health problems worldwide.
Considering the significance of multi-drug resistant (MDR), this paper emphasizes
the problems associated with MDR and the need to understand its clinical
significance to combat microbial infections. The search platform PubMed/MEDLINE
and a review of 32 cases revealed a common multidrug-resistant profile exists,
and clinically relevant members of Fusarium are intrinsically resistant to most
currently used antifungals. Dissemination occurs in patients with prolonged
neutropenia, immune deficiency, and especially hematological malignancies.
Amphotericin B displayed the lowest minimum inhibitory concentrarions (MICs)
followed by voriconazole, and posaconazole. Itraconazole and fluconazole showed
high MIC values, displaying in vitro resistance. Echinocandins showed the highest
MIC values. Seven out of ten (70%) patients with neutropenia died, including
those with fungemia that progressed to skin lesions. Clinical Fusarium isolates
displayed a common MDR profile and high MIC values for the most available
antifungal agents with species- and strain-specific differences in antifungal
susceptibility. Species identification of Fusarium infections is important. While
the use of natamycin resulted in a favorable outcome in keratitis, AmB and VRC
are the most used agents for the treatment of fusariosis in clinical settings.
PMID- 29371537
TI - Antifungal Susceptibility Testing of Fusarium: A Practical Approach.
AB - In vitro susceptibility testing of Fusarium is becoming increasingly important
because of frequency and diversity of infections and because resistance profiles
are species-specific. Reference methods for antifungal susceptibility testing
(AFST) are those of Clinical and Laboratory Standards Institute (CLSI) and
European Committee on Antimicrobial Susceptibility (EUCAST), but breakpoints
(BPs) have not yet been established. One of the problems is that phylogenetic
distances between Fusarium species are much smaller than between species of,
e.g., Candida. Epidemiological cutoff values (ECVs) for some Fusarium species
have been determined in order to differentiate wild-type from non-wild-type
isolates. In clinical routine, commercially available assays such as Etest,
Sensititre or others provide essential agreement with reference methods. Our
objective is to summarize antifungal susceptibility testing of Fusarium genus in
the clinical laboratory: how to do it, when to do it, and how to interpret it.
PMID- 29371538
TI - An Assessment of In Vitro Antifungal Activities of Efinaconazole and Itraconazole
against Common Non-Dermatophyte Fungi Causing Onychomycosis.
AB - Onychomycosis is a fungal nail infection which is relatively common and difficult
to treat. Treatment modalities include nail avulsion, surgical debridement and
combination therapy with oral and topical antifungal drugs. In spite of a host of
available drugs, clinical cure rates remain discouraging. Drug toxicities,
prolonged regimens, lack of patient compliance, and high keratin affinity of
drugs are all contributive factors. Efinaconazole is a novel topical triazole
antifungal agent that has shown excellent in vitro activity against both
dermatophyte and non-dermatophyte fungi causing onychomycosis. This study
presents the in vitro susceptibility profiles of 44 common non-dermatophyte fungi
against efinaconazole and itraconazole, another azole drug used in the treatment
of onychomycosis.
PMID- 29371539
TI - Quantitative Assessment of Grapevine Wood Colonization by the Dieback Fungus
Eutypa lata.
AB - Eutypa lata is a fungal pathogen causing severe dieback in vineyards worldwide.
This fungus colonizes vines through pruning wounds, eventually causing a brown
sectorial necrosis in wood as well as stunted vegetative growth. Several years
may pass between infection and the expression of external symptoms, hindering the
rapid evaluation of both grapevine cultivars susceptibility and E. lata variation
in aggressiveness. We aimed to develop a rapid quantitative method for the
assessment of wood colonization after inoculation of cuttings in controlled
conditions. We used several grape cultivars varying in susceptibility in the
vineyard and fungal isolates with different levels of aggressiveness to monitor
wood colonization during a maximum period of 2 months. Re-isolation allowed
demonstration of the effects of both cultivars and fungal isolates on the rate of
wood colonization. We also developed a real-time PCR method that was efficient in
measuring fungal biomass, which was found to be correlated with isolate
aggressiveness based on foliar symptom severity. The real-time PCR approach
appears to be a useful technology to evaluate grapevine susceptibility to E.
lata, and could be adapted to other pathogens associated with grapevine trunk
diseases.
PMID- 29371541
TI - Local-Level Genetic Diversity and Structure of Matsutake Mushroom (Tricholoma
matsutake) Populations in Nagano Prefecture, Japan, Revealed by 15 Microsatellite
Markers.
AB - The annual yield of matsutake mushrooms (Tricholoma matsutake) has consistently
decreased in Japan over the past few decades. We used 15 polymorphic and
codominant simple sequence repeat (SSR) markers, developed using next-generation
sequencing, to carry out genetic analyses of 10 populations in Nagano, Japan.
Using the SSRs, we identified 223 genotypes, none of which was observed in more
than one population. The mean expected heterozygosity and standardized allelic
richness values were 0.67 and 4.05, respectively. Many alleles appeared in only
one of the 10 populations; 34 of these private alleles were detected with a mean
number per population of 3.4. The fixation index (FST) and standardized genetic
differentiation (G'ST) values were 0.019 and 0.028, respectively. Analysis of
molecular variance (AMOVA) showed that the contribution of among population,
among genets within a population, and within genets variation to the total
variation was 2.91%, 11.62%, and 85.47%, respectively, with genetic
differentiation being detected for all sources. Twenty-eight of 45 pairwise FST
values were significantly larger than zero, and no pattern of isolation by
distance was detected among the 10 populations. Bayesian-based clustering did not
show clear differences among populations. These results suggest that
reestablishment of a colony would be best accomplished by transplantation within
a field; if this is not possible, then transplantation from within several dozen
kilometers will cause little damage to the original population genetic structure.
PMID- 29371542
TI - Revisiting Species Distribution and Antifungal Susceptibility of Candida
Bloodstream Isolates from Latin American Medical Centers.
AB - The epidemiology of candidemia varies geographically, and there is still scarce
data on the epidemiology of candidemia in Latin America (LA). After extensive
revision of medical literature, we found reliable and robust information on the
microbiological aspects of candidemia in patients from 11 out of 21 medical
centers from LA countries and 1 out of 20 from Caribbean countries/territories.
Based on 40 papers attending our search strategy, we noted that C. albicans
remains the most common species causing candidemia in our region, followed by C.
parapsilosis and C. tropicalis. In Argentina, Brazil, and Colombia, a trend
towards an increase in frequency of C. glabrata candidemia was observed. Although
resistance rates to fluconazole is under 3%, there was a slight increase in the
resistance rates to C. albicans, C. parapsilosis and C. tropicalis isolates.
Echinocandin resistance has been reported in a few surveys, but no single study
confirmed the resistant phenotype reported by using molecular methods. We
highlight the importance of conducting continuous surveillance studies to
identify new trends in terms of species distribution of Candida and antifungal
resistance related to episodes of candidemia in LA. This information is critical
for helping clinicians to prevent and control Candida bloodstream infections in
their medical centers.
PMID- 29371540
TI - Fungal Biofilms and Polymicrobial Diseases.
AB - Biofilm formation is an important virulence factor for pathogenic fungi. Both
yeasts and filamentous fungi can adhere to biotic and abiotic surfaces,
developing into highly organized communities that are resistant to antimicrobials
and environmental conditions. In recent years, new genera of fungi have been
correlated with biofilm formation. However, Candida biofilms remain the most
widely studied from the morphological and molecular perspectives. Biofilms formed
by yeast and filamentous fungi present differences, and studies of polymicrobial
communities have become increasingly important. A key feature of resistance is
the extracellular matrix, which covers and protects biofilm cells from the
surrounding environment. Furthermore, to achieve cell-cell communication,
microorganisms secrete quorum-sensing molecules that control their biological
activities and behaviors and play a role in fungal resistance and pathogenicity.
Several in vitro techniques have been developed to study fungal biofilms, from
colorimetric methods to omics approaches that aim to identify new therapeutic
strategies by developing new compounds to combat these microbial communities as
well as new diagnostic tools to identify these complex formations in vivo. In
this review, recent advances related to pathogenic fungal biofilms are addressed.
PMID- 29371544
TI - Innate and Adaptive Immune Defects in Chronic Pulmonary Aspergillosis.
AB - We evaluated the expression of biomarkers of innate and adaptive immune response
in correlation with underlying conditions in 144 patients with chronic pulmonary
aspergillosis (CPA). Patients with complete medical and radiological records,
white cell counts, and a complete panel of CD3, CD4, CD8, CD19, and CD56
lymphocyte subsets were included. Eighty-four (58%) patients had lymphopenia. Six
(4%) patients had lymphopenia in all five CD variables. There were 62 (43%)
patients with low CD56 and 62 (43%) patients with low CD19. Ten (7%) patients had
isolated CD19 lymphopenia, 18 (13%) had isolated CD56 lymphopenia, and 15 (10%)
had combined CD19 and CD56 lymphopenia only. Forty-eight (33%) patients had low
CD3 and 46 (32%) had low CD8 counts. Twenty-five (17%) patients had low CD4, 15
(10%) of whom had absolute CD4 counts <200/MUL. Multivariable logistic regression
showed associations between: low CD19 and pulmonary sarcoidosis (Odds Ratio (OR),
5.53; 95% Confidence Interval (CI), 1.43-21.33; p = 0.013), and emphysema (OR,
4.58; 95% CI; 1.36-15.38; p = 0.014), low CD56 and no bronchiectasis (OR, 0.27;
95% CI, 0.10-0.77; p = 0.014), low CD3 and both multicavitary CPA disease (OR,
2.95; 95% CI, 1.30-6.72; p = 0.010) and pulmonary sarcoidosis (OR, 4.94; 95% CI,
1.39-17.57; p = 0.014). Several subtle immune defects are found in CPA.
PMID- 29371543
TI - NK Cells and Their Role in Invasive Mold Infection.
AB - There is growing evidence that Natural Killer (NK) cells exhibit in vitro
activity against both Aspergillus and non-Aspergillus molds. Cytotoxic molecules
such as NK cell-derived perforin seem to play an important role in the antifungal
activity. In addition, NK cells release a number of cytokines upon stimulation by
fungi, which modulate both innate and adaptive host immune responses. Whereas the
in vitro data of the antifungal activity of NK cells are supported by animal
studies, clinical data are scarce to date.
PMID- 29371545
TI - MIC Distributions and Evaluation of Fungicidal Activity for Amphotericin B,
Itraconazole, Voriconazole, Posaconazole and Caspofungin and 20 Species of
Pathogenic Filamentous Fungi Determined Using the CLSI Broth Microdilution
Method.
AB - For filamentous fungi (moulds), species-specific interpretive breakpoints and
epidemiological cut-off values (ECVs) have only been proposed for a limited
number of fungal species-antifungal agent combinations, with the result that
clinical breakpoints are lacking for most emerging mould pathogens. In the
current study, we have compiled minimum inhibitory concentration (MIC) data for
4869 clinical mould isolates and present full MIC distributions for amphotericin
B, itraconazole, voriconazole, posaconazole, and caspofungin with these isolates
which comprise 20 species/genera. In addition, we present the results of an
assessment of the fungicidal activity of these same five antifungal agents
against a panel of 123 mould isolates comprising 16 of the same species.
PMID- 29371546
TI - Monocyte Phenotype and IFN-gamma-Inducible Cytokine Responses Are Associated with
Cryptococcal Immune Reconstitution Inflammatory Syndrome.
AB - A third of adults with AIDS and cryptococcal meningitis (CM) develop immune
reconstitution inflammatory syndrome (IRIS) after initiating antiretroviral
therapy (ART), which is thought to result from exaggerated inflammatory antigen
specific T cell responses. The contribution of monocytes to the
immunopathogenesis of cryptococcal IRIS remains unclear. We compared monocyte
subset frequencies and immune responses in HIV-infected Ugandans at time of CM
diagnosis (IRIS-Baseline) for those who later developed CM-IRIS, controls who did
not develop CM-IRIS (Control-Baseline) at CM-IRIS (IRIS-Event), and for controls
at a time point matched for ART duration (Control-Event) to understand the
association of monocyte distribution and immune responses with cryptococcal IRIS.
At baseline, stimulation with IFN-gamma ex vivo induced a higher frequency of TNF
alpha- and IL-6-producing monocytes among those who later developed IRIS. Among
participants who developed IRIS, ex vivo IFN-gamma stimulation induced higher
frequencies of activated monocytes, IL-6+, TNF-alpha+ classical, and IL-6+
intermediate monocytes compared with controls. In conclusion, we have
demonstrated that monocyte subset phenotype and cytokine responses prior to ART
are associated with and may be predictive of CM-IRIS. Larger studies to further
delineate innate immunological responses and the efficacy of immunomodulatory
therapies during cryptococcal IRIS are warranted.
PMID- 29371547
TI - Isolation of Ovicidal Fungi from Fecal Samples of Captive Animals Maintained in a
Zoological Park.
AB - Abstract: There are certain saprophytic fungi in the soil able to develop an
antagonistic effect against eggs of parasites. Some of these fungal species are
ingested by animals during grazing, and survive in their feces after passing
through the digestive tract. To identify and isolate ovicidal fungi in the feces
of wild captive animals, a total of 60 fecal samples were taken from different
wild animals kept captive in the Marcelle Natureza Zoological Park (Lugo, Spain).
After the serial culture of the feces onto Petri dishes with different media,
their parasicitide activity was assayed against eggs of trematodes (Calicophoron
daubneyi) and ascarids (Parascaris equorum). Seven fungal genera were identified
in the feces. Isolates from Fusarium, Lecanicillium, Mucor, Trichoderma, and
Verticillium showed an ovicidal effect classified as type 3, because of their
ability to adhere to the eggshell, penetrate, and damage permanently the inner
embryo. Penicillium and Gliocladium developed a type 1 effect (hyphae attach to
the eggshell but morphological damage was not provoked). These results provide
very interesting and useful information about fungi susceptible for being used in
biological control procedures against parasites.
PMID- 29371548
TI - Mode of Infection of Metarhizium spp. Fungus and Their Potential as Biological
Control Agents.
AB - Chemical insecticides have been commonly used to control agricultural pests,
termites, and biological vectors such as mosquitoes and ticks. However, the
harmful impacts of toxic chemical insecticides on the environment, the
development of resistance in pests and vectors towards chemical insecticides, and
public concern have driven extensive research for alternatives, especially
biological control agents such as fungus and bacteria. In this review, the mode
of infection of Metarhizium fungus on both terrestrial and aquatic insect larvae
and how these interactions have been widely employed will be outlined. The
potential uses of Metarhizium anisopliae and Metarhizium acridum biological
control agents and molecular approaches to increase their virulence will be
discussed.
PMID- 29371549
TI - Macrophage Migration Is Impaired within Candida albicans Biofilms.
AB - Candida albicans is an opportunistic fungal pathogen that infects
immunocompromised patients. Infection control requires phagocytosis by innate
immune cells, including macrophages. Migration towards, and subsequent
recognition of, C. albicans fungal cell wall components by macrophages is
critical for phagocytosis. Using live-cell imaging of phagocytosis, the
macrophage cell line J774.1 showed enhanced movement in response to C. albicans
cell wall mutants, particularly during the first 30 min, irrespective of the
infection ratio. However, phagocyte migration was reduced up to 2-fold within a
C. albicans biofilm compared to planktonic fungal cells. Biofilms formed from C.
albicans glycosylation mutant cells also inhibited macrophage migration to a
similar extent as wildtype Candida biofilms, suggesting that the physical
structure of the biofilm, rather than polysaccharide matrix composition, may
hamper phagocyte migration. These data illustrate differential macrophage
migratory capacities, dependent upon the form of C. albicans encountered.
Impaired migration of macrophages within a C. albicans biofilm may contribute to
the recalcitrant nature of clinical infections in which biofilm formation occurs.
PMID- 29371550
TI - Role of Virulence Determinants in Candida albicans' Resistance to Novel 2-bromo-2
chloro-2-(4-chlorophenylsulfonyl)-1-phenylethanone.
AB - We investigated the role of KEX2, SAP4-6, EFG1, and CPH1 in the virulence of
Candida under a novel compound 2-bromo-2-chloro-2-(4-chlorophenylsulfonyl)-1
phenylethanone (Compound 4). We examined whether the exposure of C. albicans
cells to Compound 4, non-cytotoxic to mammalian cells, reduces their adhesion to
the human epithelium. We next assessed whether the exposure of C. albicans cells
to Compound 4 modulates the anti-inflammatory response (IL-10) and induces human
macrophages to respond to the Candida cells. There was a marked reduction in the
growth of the sap4Deltasap5Deltasap6Delta mutant cells when incubated with
Compound 4. Under Compound 4 (minimal fungicidal concentration MFC = 0.5-16
ug/mL): (1) wild type strain SC5314 showed a resistant phenotype with down
regulation of the KEX2 expression; (2) the following mutants of C.albicans:
sap4Delta, sap5Delta, sap6Delta, and cph1Delta displayed decreased susceptibility
with the paradoxical effect and up-regulation of the KEX2 expression compared to
SC5314; (3) the immune recognition of C. albicans by macrophages and (4) the
stimulation of IL-10 were not blocked ex vivo. The effect of deleting KEX2 in C.
albicans had a minor impact on the direct activation of Compound 4's antifungal
activity. The adhesion of kex2Delta is lower than that of the wild parental
strain SC5314, and tends to decrease if grown in the presence of a sub-endpoint
concentration of Compound 4. Our results provide evidence that SAP4-6 play a role
as regulators of the anti-Candida resistance to Compound 4. Compound 4
constitutes a suitable core to be further exploited for lead optimization to
develop potent antimycotics.
PMID- 29371551
TI - Perstraction of Intracellular Pigments through Submerged Fermentation of
Talaromyces spp. in a Surfactant Rich Media: A Novel Approach for Enhanced
Pigment Recovery.
AB - A high percentage of the pigments produced by Talaromyces spp. remains inside the
cell, which could lead to a high product concentration inhibition. To overcome
this issue an extractive fermentation process, perstraction, was suggested, which
involves the extraction of the intracellular products out of the cell by using a
two-phase system during the fermentation. The present work studied the effect of
various surfactants on secretion of intracellular pigments produced by
Talaromyces spp. in submerged fermentation. Surfactants used were: non-ionic
surfactants (Tween 80, Span 20 and Triton X-100) and a polyethylene glycerol
polymer 8000, at different concentrations (5, 20, 35 g/L). The highest
extracellular pigment yield (16 OD500nm) was reached using Triton X-100 (35 g/L),
which was 44% higher than the control (no surfactant added). The effect of
addition time of the selected surfactant was further studied. The highest
extracellular pigment concentration (22 OD500nm) was achieved when the surfactant
was added at 120 h of fermentation. Kinetics of extracellular and intracellular
pigments were examined. Total pigment at the end of the fermentation using Triton
X-100 was 27.7% higher than the control, confirming that the use of surfactants
partially alleviated the product inhibition during the pigment production
culture.
PMID- 29371552
TI - Production and New Extraction Method of Polyketide Red Pigments Produced by
Ascomycetous Fungi from Terrestrial and Marine Habitats.
AB - The use of ascomycetous fungi as pigment producers opens the way to an
alternative to synthetic dyes, especially in the red-dye industries, which have
very few natural pigment alternatives. The present paper aimed to bio-prospect
and screen out 15 selected ascomycetous fungal strains, originating from
terrestrial and marine habitats belonging to seven different genera (Penicillium,
Talaromyces, Fusarium, Aspergillus, Trichoderma, Dreschlera, and Paecilomyces).
We identified four strains, Penicillium purpurogenum rubisclerotium, Fusarium
oxysporum, marine strains identified as Talaromyces spp., and Trichoderma
atroviride, as potential red pigment producers. The extraction of the pigments is
a crucial step, whereby the qualitative and quantitative compositions of each
fungal extract need to be respected for reliable identification, as well as
preserving bioactivity. Furthermore, there is a growing demand for more
sustainable and cost-effective extraction methods. Therefore, a pressurized
liquid extraction technique was carried out in this study, allowing a greener and
faster extraction step of the pigments, while preserving their chemical
structures and bioactivities in comparison to conventional extraction processes.
The protocol was illustrated with the production of pigment extracts from P.
purpurogenum rubisclerotium and Talaromyces spp. Extracts were analyzed by high
performance liquid-chromatography combined with photodiode array-detection (HPLC
DAD) and high-resolution mass spectrometry (UHPLC-HRMS). The more promising
strain was the isolate Talaromyces spp. of marine origin. The main polyketide
pigment produced by this strain has been characterized as N
threoninerubropunctamine, a non-toxic red Monascus-like azaphilone pigment.
PMID- 29371553
TI - Biodiversity of Pigmented Fungi Isolated from Marine Environment in La Reunion
Island, Indian Ocean: New Resources for Colored Metabolites.
AB - Marine ecosystems cover about 70% of the planet surface and are still an
underexploited source of useful metabolites. Among microbes, filamentous fungi
are captivating organisms used for the production of many chemical classes of
secondary metabolites bound to be used in various fields of industrial
application. The present study was focused on the collection, isolation,
screening and genotyping of pigmented filamentous fungi isolated from tropical
marine environments around La Reunion Island, Indian Ocean. About 150
micromycetes were revived and isolated from 14 marine samples (sediments, living
corals, coral rubble, sea water and hard substrates) collected in four different
locations. Forty-two colored fungal isolates belonging to 16 families, 25 genera
and 31 species were further studied depending on their ability to produce
pigments and thus subjected to molecular identification. From gene sequence
analysis, the most frequently identified colored fungi belong to the widespread
Penicillium, Talaromyces and Aspergillus genera in the family Trichocomaceae (11
species), then followed by the family Hypocreaceae (three species). This study
demonstrates that marine biotopes in La Reunion Island, Indian Ocean, from coral
reefs to underwater slopes of this volcanic island, shelter numerous species of
micromycetes, from common or uncommon genera. This unstudied biodiversity comes
along with the ability for some fungal marine inhabitants, to produce a range of
pigments and hues.
PMID- 29371555
TI - Assessment of the Dyeing Properties of the Pigments Produced by Talaromyces spp.
AB - The high production yields of pigments by Talaromyces spp. and their high thermal
stability have implied that industrial application interests may emerge in the
food and textile industries, as they both involve subjecting the colourants to
high temperatures. The present study aimed to assess the potential application of
the pigments produced by Talaromyces spp. in the textile area by studying their
dyeing properties. Dyeing studies were performed on wool. The dyeing process
consisted of three stages: scouring, mordanting, and dyeing. Two different
mordants (alum, A; ferric chloride, F) were tested at different concentrations on
fabric weight (A: 5, 10, 15%; F: 10, 20, 30%). The mordanting process had a
significant effect on the final colour of the dyed fabrics obtained. The values
of dyeing rate constant (k), half-time of dyeing (t1/2), and sorption kinetics
behaviour were evaluated and discussed. The obtained results showed that pigments
produced by Talaromyces spp. could serve as a source for the natural dyeing of
wool textiles.
PMID- 29371554
TI - Methodological Issues in Antifungal Susceptibility Testing of Malassezia
pachydermatis.
AB - Reference methods for antifungal susceptibility testing of yeasts have been
developed by the Clinical and Laboratory Standards Institute (CLSI) and the
European Committee on Antibiotic Susceptibility Testing (EUCAST). These methods
are intended to test the main pathogenic yeasts that cause invasive infections,
namely Candida spp. and Cryptococcusneoformans, while testing other yeast species
introduces several additional problems in standardization not addressed by these
reference procedures. As a consequence, a number of procedures have been employed
in the literature to test the antifungal susceptibility of Malassezia
pachydermatis. This has resulted in conflicting results. The aim of the present
study is to review the procedures and the technical parameters (growth media,
inoculum preparation, temperature and length of incubation, method of reading)
employed for susceptibility testing of M. pachydermatis, and when possible, to
propose recommendations for or against their use. Such information may be useful
for the future development of a reference assay.
PMID- 29371556
TI - Carotenoid Biosynthesis in Fusarium.
AB - Many fungi of the genus Fusarium stand out for the complexity of their secondary
metabolism. Individual species may differ in their metabolic capacities, but they
usually share the ability to synthesize carotenoids, a family of hydrophobic
terpenoid pigments widely distributed in nature. Early studies on carotenoid
biosynthesis in Fusariumaquaeductuum have been recently extended in Fusarium
fujikuroi and Fusarium oxysporum, well-known biotechnological and phytopathogenic
models, respectively. The major Fusarium carotenoid is neurosporaxanthin, a
carboxylic xanthophyll synthesized from geranylgeranyl pyrophosphate through the
activity of four enzymes, encoded by the genes carRA, carB, carT and carD. These
fungi produce also minor amounts of beta-carotene, which may be cleaved by the
CarX oxygenase to produce retinal, the rhodopsin's chromophore. The genes needed
to produce retinal are organized in a gene cluster with a rhodopsin gene, while
other carotenoid genes are not linked. In the investigated Fusarium species, the
synthesis of carotenoids is induced by light through the transcriptional
induction of the structural genes. In some species, deep-pigmented mutants with
up-regulated expression of these genes are affected in the regulatory gene carS.
The molecular mechanisms underlying the control by light and by the CarS protein
are currently under investigation.
PMID- 29371557
TI - Metabolic Interactions between Bacteria and Fungi in Commensal Oral Biofilms.
AB - Oral health is more than just the absence of disease. The key to oral health is a
diverse microbiome in an ecological balance. The oral microbiota is one of the
most complex and diverse microbial communities in the human body. To maintain
oral health, balance between the human host and the intrinsic microorganisms is
essential. The healthy oral cavity is represented by a great microbial diversity,
including both bacteria and fungi. The bacterial microbiome is very well studied.
In contrast, fungi inhabiting the oral cavity are often overlooked. All microbial
species in the oral cavity form communities which establish a variety of micro
niches and inter- and intra-species interactions. These interactions can be
classified into three main groups: physical, chemical and metabolic interactions.
Different metabolic interactions are reviewed in this report, among which are the
metabolism of sugars, carbon, lactate and oxygen. This review set out with the
aim of assessing the importance of metabolic interactions between fungi and
bacteria in the healthy oral cavity.
PMID- 29371559
TI - The Effect of Novel Heterocyclic Compounds on Cryptococcal Biofilm.
AB - Biofilm formation by microorganisms depends on their communication by quorum
sensing, which is mediated by small diffusible signaling molecules that
accumulate in the extracellular environment. During human infection, the
pathogenic yeast Cryptococcus neoformans can form biofilm on medical devices,
which protects the organism and increases its resistance to antifungal agents.
The aim of this study was to test two novel heterocyclic compounds, S-8
(thiazolidinedione derivative, TZD) and NA-8 (succinimide derivative, SI), for
their anti-biofilm activity against strains of Cryptococcus neoformans and
Cryptococcus gattii. Biofilms were formed in a defined medium in 96-well
polystyrene plates and 8-well micro-slides. The effect of sub-inhibitory
concentrations of S-8 and NA-8 on biofilm formation was measured after 48 h by a
metabolic reduction assay and by confocal laser microscopy analysis using
fluorescent staining. The formation and development of cryptococcal biofilms was
inhibited significantly by these compounds in concentrations below the minimum
inhibitory concentration (MIC) values. These compounds may have a potential role
in preventing fungal biofilm development on indwelling medical devices or even as
a therapeutic measure after the establishment of biofilm.
PMID- 29371560
TI - Utilization of High Performance Liquid Chromatography Coupled to Tandem Mass
Spectrometry for Characterization of 8-O-methylbostrycoidin Production by Species
of the Fungus Fusarium.
AB - The pigment 8-O-methylbostrycoidin is a polyketide metabolite produced by
multiple species of the fungus Fusarium that infects plant crops, including
maize. A technique was developed for the analysis of 8-O-methylbostrycoidin by
high performance liquid chromatography coupled to electrospray ionization tandem
mass spectrometry. The quantitative nature of the LC-MS/MS experiment was
demonstrated over a range of concentrations in maize. Limits of detection for the
method (10 ng/g from 8-O-methylbostrycoidin spiked into ground maize) were shown,
and susceptibility of the method to matrix effects from maize was also evaluated.
The method was applied to evaluate the ability of the maize pathogen Fusarium
verticillioides to produce 8-O-methylbostrycoidin in developing maize ears grown
in an agricultural field.
PMID- 29371558
TI - Strategies to Reduce Mortality in Adult and Neonatal Candidemia in Developing
Countries.
AB - Candidemia, the commonest invasive fungal infection, is associated with high
morbidity and mortality in developing countries, though the exact prevalence is
not known due to lack of systematic epidemiological data from those countries.
The limited studies report a very high incidence of candidemia and unique
epidemiology with a different spectrum of Candida species. The recent global
emergence of multi-drug resistant Candida auris is looming large as an important
threat in hospitalized patients of developing countries. While managing
candidemia cases in those countries several challenges are faced, which include
poor infrastructure; compromised healthcare and infection control practices;
misuse and overuse of antibiotics and steroids; lack of awareness in fungal
infections; non-availability of advance diagnostic tests and antifungal drugs in
many areas; poor compliance to antifungal therapy and stewardship program.
Considering the above limitations, innovative strategies are required to reduce
mortality due to candidemia in adults and neonates. In the present review, we
have unraveled the challenges of candidemia faced by low resource countries and
propose a ten part strategy to reduce mortality due candidemia.
PMID- 29371561
TI - Biosynthesis of Astaxanthin as a Main Carotenoid in the Heterobasidiomycetous
Yeast Xanthophyllomyces dendrorhous.
AB - Carotenoids are organic lipophilic yellow to orange and reddish pigments of
terpenoid nature that are usually composed of eight isoprene units. This group of
secondary metabolites includes carotenes and xanthophylls, which can be naturally
obtained from photosynthetic organisms, some fungi, and bacteria. One of the
microorganisms able to synthesise carotenoids is the heterobasidiomycetous yeast
Xanthophyllomyces dendrorhous, which represents the teleomorphic state of Phaffia
rhodozyma, and is mainly used for the production of the xanthophyll astaxanthin.
Upgraded knowledge on the biosynthetic pathway of the main carotenoids
synthesised by X. dendrorhous, the biotechnology-based improvement of astaxanthin
production, as well as the current omics approaches available in this yeast are
reviewed in depth.
PMID- 29371562
TI - Fungal Pigments: Deep into the Rainbow of Colorful Fungi.
AB - With the impact of globalization on research trends, the search for healthier
life styles, the increasing public demand for natural, organic, and "clean
labelled" products, as well as the growing global market for natural colorants in
economically fast-growing countries all over the world, filamentous fungi started
to be investigated as readily available sources of chemically diverse pigments
and colorants.[...].
PMID- 29371565
TI - Innate and Adaptive Immunity to Mucorales.
AB - Mucormycosis is an invasive fungal infection characterised by rapid filamentous
growth, which leads to angioinvasion, thrombosis, and tissue necrosis. The high
mortality rates (50-100%) associated with mucormycosis are reflective of not only
the aggressive nature of the infection and the poor therapeutics currently
employed, but also the failure of the human immune system to successfully clear
the infection. Immune effector interaction with Mucorales is influenced by the
developmental stage of the mucormycete spore. In a healthy immune environment,
resting spores are resistant to phagocytic killing. Contrarily, swollen spores
and hyphae are susceptible to damage and degradation by macrophages and
neutrophils. Under the effects of immune suppression, the recruitment and
efficacy of macrophage and neutrophil activity against mucormycetes is
considerably reduced. Following penetration of the endothelial lining, Mucorales
encounter platelets. Platelets adhere to both mucormycete spores and hyphae, and
exhibit germination suppression and hyphal damage capacity in vitro. Dendritic
cells are activated in response to Mucorales hyphae only, and induce adaptive
immunity. It is crucial to further knowledge regarding our immune system's
failure to eradicate resting spores under intact immunity and inhibit fungal
growth under immunocompromised conditions, in order to understand mucormycosis
pathogenicity and enhance therapeutic strategies for mucormycosis.
PMID- 29371563
TI - Natural Antimicrobial Peptides as Inspiration for Design of a New Generation
Antifungal Compounds.
AB - Invasive fungal infections are associated with high mortality rates, despite
appropriate antifungal therapy. Limited therapeutic options, resistance
development and the high mortality of invasive fungal infections brought about
more concern triggering the search for new compounds capable of interfering with
fungal viability and virulence. In this context, peptides gained attention as
promising candidates for the antimycotics development. Variety of structural and
functional characteristics identified for various natural antifungal peptides
makes them excellent starting points for design novel drug candidates. Current
review provides a brief overview of natural and synthetic antifungal peptides.
PMID- 29371566
TI - Verapamil Inhibits Aspergillus Biofilm, but Antagonizes Voriconazole.
AB - The paucity of effective antifungals against Aspergillus and increasing
resistance, the recognition of the importance of Aspergillus biofilm in several
clinical settings, and reports of verapamil-a calcium channel blocker-efficacy
against Candida biofilm and hyphal growth, and synergy with an azole antifungal
in vitro, led to a study of verapamil +/- voriconazole against Aspergillus. Broth
macrodilution methodology was utilized for MIC (minimum inhibitory concentration)
and MFC (minimum fungicidal concentration) determination. The metabolic effects
(assessed by XTT [2,3-bis[2-methoxy-4-nitro-5-sulfophenyl]-2H-tetrazolium-5
carboxanilide inner salt]) on biofilm formation by conidia were studied upon
exposure to verapamil, verapamil plus voriconazole, or voriconazole alone. For
biofilm formation, we found less inhibition from the combinations than with
either drug alone, or less inhibition from the combination than that of the more
potent drug alone. For preformed biofilm, we found no significant change in
activity comparing voriconazole alone compared to added verapamil, and no
significant alteration of activity of the more potent voriconazole, at any
concentration in the range tested, by addition of a concentration of verapamil
that is inhibitory alone. In full checkerboard assays with planktonic fungus,
there was no indication of any effect of one drug on the other (indifference).
Although verapamil was similarly inactive against planktonic Aspergillus, as with
Candida, verapamil was indeed active against Aspergillus biofilm. However,
indifference and antagonism was found with voriconazole.
PMID- 29371564
TI - Immune Recognition of Fungal Polysaccharides.
AB - The incidence of fungal infections has dramatically increased in recent years, in
large part due to increased use of immunosuppressive medications, as well as
aggressive medical and surgical interventions that compromise natural skin and
mucosal barriers. There are relatively few currently licensed antifungal drugs,
and rising resistance to these agents has led to interest in the development of
novel preventative and therapeutic strategies targeting these devastating
infections. One approach to combat fungal infections is to augment the host
immune response towards these organisms. The polysaccharide-rich cell wall is the
initial point of contact between fungi and the host immune system, and therefore,
represents an important target for immunotherapeutic approaches. This review
highlights the advances made in our understanding of the mechanisms by which the
immune system recognizes and interacts with exopolysaccharides produced by four
of the most common fungal pathogens: Aspergillus fumigatus, Candida albicans,
Cryptococcus neoformans, and Histoplasma capsulatum. Work to date suggests that
inner cell wall polysaccharides that play an important structural role are the
most conserved across diverse members of the fungal kingdom, and elicit the
strongest innate immune responses. The immune system senses these carbohydrates
through receptors, such as lectins and complement proteins. In contrast, a
greater diversity of polysaccharides is found within the outer cell walls of
pathogenic fungi. These glycans play an important role in immune evasion, and can
even induce anti-inflammatory host responses. Further study of the complex
interactions between the host immune system and the fungal polysaccharides will
be necessary to develop more effective therapeutic strategies, as well as to
explore the use of immunosuppressive polysaccharides as therapeutic agents to
modulate inflammation.
PMID- 29371568
TI - The Role of IL-17 in Protection against Mucosal Candida Infections.
AB - Interleukin-17 (IL-17) is a proinflammatory cytokine produced by adaptive CD4+ T
helper cells and innate lymphocytes, such as gammadelta-T cells and TCRbeta+
"natural" Th17 cells. IL-17 activates signaling through the IL-17 receptor, which
induces other proinflammatory cytokines, antimicrobial peptides and neutrophil
chemokines that are important for antifungal activity. The importance of IL-17 in
protective antifungal immunity is evident in mice and humans, where various
genetic defects related to the IL-17-signaling pathway render them highly
susceptible to forms of candidiasis such oropharyngeal candidiasis (OPC) or more
broadly chronic mucocutaneous candidiasis (CMC), both caused mainly by the
opportunistic fungal pathogen Candida albicans. OPC is common in infants and the
elderly, HIV/AIDS and patients receiving chemotherapy and/or radiotherapy for
head and neck cancers. This review focuses on the role of IL-17 in protection
against candidiasis, and includes a brief discussion of non-Candida albicans
fungal infections, as well as how therapeutic interventions blocking IL-17
related components can affect antifungal immunity.
PMID- 29371569
TI - Cryptococcus-Epithelial Interactions.
AB - The fungal pathogen, Cryptococcus neoformans, causes devastating levels of
morbidity and mortality. Infections with this fungus tend to be predominantly in
immunocompromised individuals, such as those with HIV. Infections initiate with
inhalation of cryptococcal cells and entry of the pathogen into the lungs. The
bronchial epithelial cells of the upper airway and the alveolar epithelial cells
of the lower airway are likely to be the first host cells that Cryptococcus
engage with. Thus the interaction of cryptococci and the respiratory epithelia
will be the focus of this review. C. neoformans has been shown to adhere to
respiratory epithelial cells, although if the role of the capsule is in aiding or
hindering this adhesion is debatable. The epithelia are also able to react to
cryptococci with the release of cytokines and chemokines to start the immune
response to this invading pathogen. The activity of surfactant components that
line this mucosal barrier towards Cryptococcus and the metabolic and
transcriptional reaction of cryptococci when encountering epithelial cells will
also be discussed.
PMID- 29371567
TI - Fungal Strategies to Evade the Host Immune Recognition.
AB - The recognition of fungal cells by the host immune system is key during the
establishment of a protective anti-fungal response. Even though the immune system
has evolved a vast number of processes to control these organisms, they have
developed strategies to fight back, avoiding the proper recognition by immune
components and thus interfering with the host protective mechanisms. Therefore,
the strategies to evade the immune system are as important as the virulence
factors and attributes that damage the host tissues and cells. Here, we performed
a thorough revision of the main fungal tactics to escape from the host
immunosurveillance processes. These include the composition and organization of
the cell wall, the fungal capsule, the formation of titan cells, biofilms, and
asteroid bodies; the ability to undergo dimorphism; and the escape from
nutritional immunity, extracellular traps, phagocytosis, and the action of
humoral immune effectors.
PMID- 29371570
TI - A Novel Assay Reveals a Maturation Process during Ascospore Wall Formation.
AB - The ascospore wall of the budding yeast Saccharomyces cerevisiae consists of
inner layers of similar composition to the vegetative cell wall and outer layers
made of spore-specific components that confer increased stress resistance on the
spore. The primary constituents of the outer spore wall are chitosan, dityrosine,
and a third component termed Chi that has been identified by spectrometry but
whose chemical structure is not known. The lipophilic dye monodansylpentane
readily stains lipid droplets inside of newly formed ascospores but, over the
course of several days, the spores become impermeable to the dye. The generation
of this permeability barrier requires the chitosan layer, but not dityrosine
layer, of the spore wall. Screening of a set of mutants with different outer
spore wall defects reveals that impermeability to the dye requires not just the
presence of chitosan, but another factor as well, possibly Chi, and suggests that
the OSW2 gene product is required for synthesis of this factor. Testing of
mutants that block synthesis of specific aromatic amino acids indicates that de
novo synthesis of tyrosine contributes not only to formation of the dityrosine
layer but to impermeability of the wall as well, suggesting a second role for
aromatic amino acids in spore wall synthesis.
PMID- 29371571
TI - The Multifaceted Role of T-Helper Responses in Host Defense against Aspergillus
fumigatus.
AB - The ubiquitous opportunistic fungal pathogen Aspergillus fumigatus rarely causes
infections in immunocompetent individuals. A healthy functional innate immune
system plays a crucial role in preventing Aspergillus-infection. This pivotal
role for the innate immune system makes it a main research focus in studying the
pathogenesis of aspergillosis. Although sometimes overshadowed by the innate
immune response, the adaptive immune response, and in particular T-helper
responses, also represents a key player in host defense against Aspergillus.
Virtually all T-helper subsets have been described to play a role during
aspergillosis, with the Th1 response being crucial for fungal clearance. However;
morbidity and mortality of aspergillosis can also be partly attributed to
detrimental immune responses resulting from adaptive immune activation. Th2
responses benefit fungal persistence; and are the foundation of allergic forms of
aspergillosis. The Th17 response has two sides; although crucial for granulocyte
recruitment, it can be involved in detrimental immunopathology. Regulatory T
cells, the endogenous regulators of inflammatory responses, play a key role in
controlling detrimental inflammatory responses during aspergillosis. The current
knowledge of the adaptive immune response against A. fumigatus is summarized in
this review. A better understanding on how T-helper responses facilitate
clearance of Aspergillus-infection and control inflammation can be the
fundamental basis for understanding the pathogenesis of aspergillosis and for the
development of novel host-directed therapies.
PMID- 29371572
TI - The Human Mucosal Mycobiome and Fungal Community Interactions.
AB - With the advent of high-throughput sequencing techniques, the astonishing extent
and complexity of the microbial communities that reside within and upon us has
begun to become clear. Moreover, with advances in computing and modelling
methods, we are now beginning to grasp just how dynamic our interactions with
these communities are. The diversity of both these communities and their
interactions-both within the community and with us-are dependent on a multitude
of factors, both microbial- and host-mediated. Importantly, it is becoming clear
that shifts in the makeup of these communities, or their responses, are linked to
different disease states. Although much of the work to define these interactions
and links has been investigating bacterial communities, recently there has been
significant growth in the body of knowledge, indicating that shifts in the host
fungal communities (mycobiome) are also intimately linked to disease status. In
this review, we will explore these associations, along with the interactions
between fungal communities and their human and microbial habitat, and discuss the
future applications of systems biology in determining their role in disease
status.
PMID- 29371574
TI - Fungicidal Activity in the Presence of Keratin as an Important Factor
Contributing to In Vivo Efficacy: A Comparison of Efinaconazole, Tavaborole, and
Ciclopirox.
AB - Use of oral antifungals in the treatment of onychomycosis is commonplace; but
their use can be limited by safety and patient concerns. Due to their broader
safety margins, topical antifungals (efinaconazole, tavaborole, and ciclopirox)
are a useful option in the treatment of mild-to-moderate onychomycosis in the
USA, but their antifungal activity has yet to be directly compared. This study
aims to identify important factors contributing to in vivo efficacies of the
three topical antifungals. Minimum inhibitory concentrations (MICs) were
determined by Clinical and Laboratory Standards Institute (CLSI) M38-A2 broth
microdilution. The MIC90 values of efinaconazole, tavaborole, and ciclopirox for
T. rubrum were 0.0078, 8.0, and 0.50 MUg/mL, respectively. The MIC90 values for
T. mentagrophytes were 0.016, 8.0, and 0.50 MUg/mL, respectively. Efinaconazole
showed potent fungicidal activity in keratin-containing medium, whereas
tavaborole was fungistatic, and ciclopirox not active. In the guinea pig model of
onychomycosis, the therapeutic efficacy of efinaconazole was superior to those of
tavaborole and ciclopirox. This study suggests that not only fungistatic activity
(MIC), but also fungicidal activity in the presence of keratin, is an important
factor contributing to the in vivo efficacy of topical antifungal drugs against
onychomycosis.
PMID- 29371573
TI - Global and Multi-National Prevalence of Fungal Diseases-Estimate Precision.
AB - Fungal diseases kill more than 1.5 million and affect over a billion people.
However, they are still a neglected topic by public health authorities even
though most deaths from fungal diseases are avoidable. Serious fungal infections
occur as a consequence of other health problems including asthma, AIDS, cancer,
organ transplantation and corticosteroid therapies. Early accurate diagnosis
allows prompt antifungal therapy; however this is often delayed or unavailable
leading to death, serious chronic illness or blindness. Recent global estimates
have found 3,000,000 cases of chronic pulmonary aspergillosis, ~223,100 cases of
cryptococcal meningitis complicating HIV/AIDS, ~700,000 cases of invasive
candidiasis, ~500,000 cases of Pneumocystis jirovecii pneumonia, ~250,000 cases
of invasive aspergillosis, ~100,000 cases of disseminated histoplasmosis, over
10,000,000 cases of fungal asthma and ~1,000,000 cases of fungal keratitis occur
annually. Since 2013, the Leading International Fungal Education (LIFE) portal
has facilitated the estimation of the burden of serious fungal infections country
by country for over 5.7 billion people (>80% of the world's population). These
studies have shown differences in the global burden between countries, within
regions of the same country and between at risk populations. Here we interrogate
the accuracy of these fungal infection burden estimates in the 43 published
papers within the LIFE initiative.
PMID- 29371575
TI - The PHR Family: The Role of Extracellular Transglycosylases in Shaping Candida
albicans Cells.
AB - Candida albicans is an opportunistic microorganism that can become a pathogen
causing mild superficial mycosis or more severe invasive infections that can be
life-threatening for debilitated patients. In the etiology of invasive
infections, key factors are the adaptability of C. albicans to the different
niches of the human body and the transition from a yeast form to hypha. Hyphal
morphology confers high adhesiveness to the host cells, as well as the ability to
penetrate into organs. The cell wall plays a crucial role in the morphological
changes C. albicans undergoes in response to specific environmental cues. Among
the different categories of enzymes involved in the formation of the fungal cell
wall, the GH72 family of transglycosylases plays an important assembly role.
These enzymes cut and religate beta-(1,3)-glucan, the major determinant of cell
shape. In C. albicans, the PHR family encodes GH72 enzymes, some of which work in
specific environmental conditions. In this review, we will summarize the work
from the initial discovery of PHR genes to the study of the pH-dependent
expression of PHR1 and PHR2, from the characterization of the gene products to
the recent findings concerning the stress response generated by the lack of GH72
activity in C. albicans hyphae.
PMID- 29371576
TI - Innate Immunity to Mucosal Candida Infections.
AB - Mucosal epithelial tissues are exposed to high numbers of microbes, including
commensal fungi, and are able to distinguish between those that are avirulent and
those that cause disease. Epithelial cells have evolved multiple mechanisms to
defend against colonization and invasion by Candida species. The interplay
between mucosal epithelial tissues and immune cells is key for control and
clearance of fungal infections. Our understanding of the mucosal innate host
defense system has expanded recently with new studies bringing to light the
importance of epithelial cell responses, innate T cells, neutrophils, and other
phagocytes during Candida infections. Epithelial tissues release cytokines, host
defense peptides, and alarmins during Candida invasion that act in concert to
limit fungal proliferation and recruit immune effector cells. The innate T
cell/IL-17 axis and recruitment of neutrophils are of central importance in
controlling mucosal fungal infections. Here, we review current knowledge of the
innate immunity at sites of mucosal Candida infection, with a focus on infections
caused by C. albicans.
PMID- 29371579
TI - Function and Biosynthesis of Cell Wall alpha-1,3-Glucan in Fungi.
AB - Although alpha-1,3-glucan is a major cell wall polysaccharide in filamentous
fungi, its biological functions remain unclear, except that it acts as a
virulence factor in animal and plant pathogenic fungi: it conceals cell wall beta
glucan on the fungal cell surface to circumvent recognition by hosts. However,
cell wall alpha-1,3-glucan is also present in many of non-pathogenic fungi.
Recently, the universal function of alpha-1,3-glucan as an aggregation factor has
been demonstrated. Applications of fungi with modified cell wall alpha-1,3-glucan
in the fermentation industry and of in vitro enzymatically-synthesized alpha-1,3
glucan in bio-plastics have been developed. This review focuses on the recent
progress in our understanding of the biological functions and biosynthetic
mechanism of cell wall alpha-1,3-glucan in fungi. We briefly consider the history
of studies on alpha-1,3-glucan, overview its biological functions and
biosynthesis, and finally consider the industrial applications of fungi deficient
in alpha-1,3-glucan.
PMID- 29371580
TI - Botanicals and Phosphonate Show Potential to Replace Copper for Control of Potato
Late Blight.
AB - Potato late blight (PLB) caused by Phytophthora infestans (Pi) is the most
harmful disease in potato production worldwide. In organic farming, copper is
used despite its persistence in soil and toxicity to soil organisms. To replace
copper, suspensions of powders from three promising botanicals, including bark of
buckthorn (Frangula alnus, FA), roots of medicinal rhubarb (Rheum palmatum) and
galls of the nutgall tree (Galla chinensis), were tested in multi-year field
experiments. The current study shows for the first time that botanicals could
replace copper under field conditions and best PLB reduction on leaves was
achieved with FA, reaching a level close to that of 2 to 3 kg copper per hectare
and year. Better results than with copper were achieved with Phosfik(r) (Ph), a
phosphonate-based product. For both FA and Ph, the mode of action is based on
induced resistance, for Ph also on direct fungicidal effects. A disadvantage of
Ph is the accumulation of residues in potato tubers. Nevertheless, two to three
applications with 2 to 3 L/ha of Ph would be feasible to not exceed a minimal
risk level (MLR) of 20 mg/kg of phosphorous acid as proposed by the European Food
Safety Authority. Due to an excellent environmental profile and a complex mode of
action counteracting Pi resistance, phosphonate-based products would be most
suitable for sustainable PLB management in integrated pest management (IPM)
programmes.
PMID- 29371577
TI - MCC/Eisosomes Regulate Cell Wall Synthesis and Stress Responses in Fungi.
AB - The fungal plasma membrane is critical for cell wall synthesis and other
important processes including nutrient uptake, secretion, endocytosis,
morphogenesis, and response to stress. To coordinate these diverse functions, the
plasma membrane is organized into specialized compartments that vary in size,
stability, and composition. One recently identified domain known as the Membrane
Compartment of Can1 (MCC)/eisosome is distinctive in that it corresponds to a
furrow-like invagination in the plasma membrane. MCC/eisosomes have been shown to
be formed by the Bin/Amphiphysin/Rvs (BAR) domain proteins Lsp1 and Pil1 in a
range of fungi. MCC/eisosome domains influence multiple cellular functions; but a
very pronounced defect in cell wall synthesis has been observed for mutants with
defects in MCC/eisosomes in some yeast species. For example, Candida albicans
MCC/eisosome mutants display abnormal spatial regulation of cell wall synthesis,
including large invaginations and altered chemical composition of the walls.
Recent studies indicate that MCC/eisosomes affect cell wall synthesis in part by
regulating the levels of the key regulatory lipid phosphatidylinositol 4,5
bisphosphate (PI4,5P2) in the plasma membrane. One general way MCC/eisosomes
function is by acting as protected islands in the plasma membrane, since these
domains are very stable. They also act as scaffolds to recruit >20 proteins.
Genetic studies aimed at defining the function of the MCC/eisosome proteins have
identified important roles in resistance to stress, such as resistance to
oxidative stress mediated by the flavodoxin-like proteins Pst1, Pst2, Pst3 and
Ycp4. Thus, MCC/eisosomes play multiple roles in plasma membrane organization
that protect fungal cells from the environment.
PMID- 29371578
TI - Ecoepidemiology of Cryptococcus gattii in Developing Countries.
AB - Cryptococcosis is a systemic infection caused by species of the encapsulated
yeast Cryptococcus. The disease may occur in immunocompromised and
immunocompetent hosts and is acquired by the inhalation of infectious propagules
present in the environment. Cryptococcus is distributed in a plethora of
ecological niches, such as soil, pigeon droppings, and tree hollows, and each
year new reservoirs are discovered, which helps researchers to better understand
the epidemiology of the disease. In this review, we describe the ecoepidemiology
of the C. gattii species complex focusing on clinical cases and ecological
reservoirs in developing countries from different continents. We also discuss
some important aspects related to the antifungal susceptibility of different
species within the C. gattii species complex and bring new insights on the
revised Cryptococcus taxonomy.
PMID- 29371581
TI - HIV-Associated Cryptococcal Disease in Resource-Limited Settings: A Case for
"Prevention Is Better Than Cure"?
AB - Cryptococcal disease remains a significant source of global morbidity and
mortality for people living with HIV, especially in resource-limited settings.
The recently updated estimate of cryptococcal disease revealed a global incidence
of 223,100 cases annually with 73% of these cases being diagnosed in sub-Saharan
Africa. Furthermore, 75% of the estimated 181,100 deaths associated with
cryptococcal disease occur in sub-Saharan Africa. Point-of-care diagnostic assays
have revolutionised the diagnosis of this deadly opportunistic infection. The
theory of asymptomatic cryptococcal antigenaemia as a forerunner to symptomatic
meningitis and death has been conclusively proven. Thus, cryptococcal
antigenaemia screening coupled with pre-emptive antifungal therapy has been
demonstrated as a cost-effective strategy with survival benefits and has been
incorporated into HIV national guidelines in several countries. However, this is
yet to be implemented in a number of other high HIV burden countries. Flucytosine
based combination therapy during the induction phase is associated with improved
survival, faster cerebrospinal fluid sterilisation and fewer relapses.
Flucytosine, however, is unavailable in many parts of the world. Studies are
ongoing on the efficacy of shorter regimens of amphotericin B. Early diagnosis,
proactive antifungal therapy with concurrent management of raised intracranial
pressure creates the potential to markedly reduce mortality associated with this
disease.
PMID- 29371582
TI - The Cell Wall Integrity Signaling Pathway and Its Involvement in Secondary
Metabolite Production.
AB - The fungal cell wall is the external and first layer that fungi use to interact
with the environment. Every stress signal, before being translated into an
appropriate stress response, needs to overtake this layer. Many signaling
pathways are involved in translating stress signals, but the cell wall integrity
(CWI) signaling pathway is the one responsible for the maintenance and
biosynthesis of the fungal cell wall. In fungi, the CWI signal is composed of a
mitogen-activated protein kinase (MAPK) module. After the start of the
phosphorylation cascade, the CWI signal induces the expression of cell-wall
related genes. However, the function of the CWI signal is not merely the
activation of cell wall biosynthesis, but also the regulation of expression and
production of specific molecules that are used by fungi to better compete in the
environment. These molecules are normally defined as secondary metabolites or
natural products. This review is focused on secondary metabolites affected by the
CWI signal pathway with a special focus on relevant natural products such as
melanins, mycotoxins, and antibacterial compounds.
PMID- 29371583
TI - Fluconazole Resistance among Oral Candida Isolates from People Living with
HIV/AIDS in a Nigerian Tertiary Hospital.
AB - Oropharyngeal candidiasis, a common fungal infection in people living with
HIV/AIDS (PLWHA), arises from Candida species colonizing the oral cavity.
Fluconazole is the preferred treatment and is often used empirically. Few studies
have investigated the prevalence of fluconazole resistance in Nigeria. This study
aimed at determining the burden of fluconazole resistance among Candida species
in the oral cavities of PLWHA. We sampled the oral cavities of 350 HIV-infected
adults and an equal number of HIV-negative controls. Candida isolates were
identified using germ tube tests, CHROMagar Candida (CHROMagar, Paris, France),
and API Candida yeast identification system (BioMerieux, Marcy-l'Etoile, France).
Fluconazole susceptibility was determined using the Clinical and Laboratory
Standards Institute disc diffusion method. Data were analysed using SPSS version
21 (IBM, New York, NY, USA). The significance level was set at p <= 0.05. The
isolation rates for Candida amongst HIV-infected subjects and controls were 20.6%
and 3.4%, respectively (p < 0.001). In PLWHA, Candida albicans was most
frequently isolated (81.3%) and fluconazole resistance was present in 18 (24%) of
the 75 Candida isolates. Resistance to fluconazole was present in half of the non
albicans Candida isolates. Fluconazole resistance is prevalent among oral Candida
isolates in PLWHA in the study area with a significantly higher rate among non
albicans Candida spp.
PMID- 29371584
TI - Hemorrhagic Brain Metastases in a Patient with Anaplastic Lymphoma Kinase (ALK)
Rearranged Invasive Mucinous Adenocarcinoma of the Lung.
AB - BACKGROUND Invasive mucinous adenocarcinoma (IMA) is a rare variant of
adenocarcinoma of the lung. It frequently shows KRAS mutations, while ALK
rearrangement is exceedingly rare. We present a case of ALK-rearranged IMA of the
lung presenting with an unusual pattern of brain metastases, radiologically
mimicking a cavernous angioma. CASE REPORT A 44-year-old non-smoker female was
first diagnosed with lung right lower lobe IMA with ALK rearrangement. Five years
after surgery followed by chemotherapy, she developed a sudden onset headache.
Brain imaging revealed a hemorrhagic left frontal mass, suspicious for a
cavernous angioma. However, the pathology of the resected lesion showed an ALK
rearranged brain metastasis from the IMA of the lung. Interestingly, the
metastases showed perivascular tumor infiltrates, accompanied by focal mural
invasion, vascular disruption, and hemorrhage. CONCLUSIONS To our knowledge, this
is the first reported case of brain metastasis from an ALK-rearranged IMA of the
lung. Further investigation of the clinical and pathological characteristics of
the ALK-rearranged IMA, including awareness of the possibility for development of
brain metastases with tumor-associated vasculopathy and hemorrhage, is warranted.
PMID- 29371585
TI - Biomechanical Stability of a Cross-Rod Connection with a Pedicle Screw System.
AB - BACKGROUND Surgery with pedicle screw instrumentation does not provide sufficient
torsional stability. This leads to pseudoarthrosis, loosening of the pedicle
screws, and, ultimately, implant failure. MATERIAL AND METHODS Functional spinal
units from 18 deer were evaluated using a 6-axis material testing machine. As
specimen models, we prepared an intact model, a damaged model, a cross-rod model,
and a cross-link model. We measured the range of motion (ROM) during bending and
rotation tests. RESULTS The range of motions of cross-rod model were almost equal
to those of cross-link model during the bending test. In the rotation test, the
average ranges of motion of the intact, cross-rod, and cross-link models were 2.9
degrees , 3.1 degrees , and 3.9 degrees during right rotation and 2.9 degrees ,
3.1 degrees , and 4.1 degrees during left rotation, respectively. The range of
motions of the cross-rod model were significantly smaller than those of the cross
link model during the rotation test. The range of motions of the intact model
were significantly smaller than those of the cross-link model during the rotation
test, but there were no statistically significant differences between the range
of motions of intact model and cross-rod model during the rotation test.
CONCLUSIONS The stability of spinal fixation such as cross-rod model is equal to
the fixation using the pedicle screw system during bending tests and equal to
that of the intact spine during rotation tests.
PMID- 29371586
TI - Short-Term Outcomes Using a Drug-Coated Balloon for Transplant Renal Artery
Stenosis.
AB - BACKGROUND This study aimed to evaluate the early and mid-term outcomes of drug
coated balloon (DCB) use in patients who underwent intervention for transplant
renal artery stenosis (TRAS). MATERIAL AND METHODS We retrospectively reviewed
the records of TRAS patients who received endovascular therapy with DCB in our
institution from March 2016 to January 2017. Statistical analysis of pre
/postoperative levels of serum creatinine (Scr), systolic blood pressure (SBP),
and renal artery peak systolic velocities (PSV) were performed. RESULTS Fourteen
patients presenting with TRAS, which were mostly located at the anastomosis (n=9)
and transplanted artery proximal portion (n=2), were treated with DCB. Three TRAS
patients with in-stent restenosis (ISR) were also included in the series. The
procedure technique success rate was 100%. The mean follow-up time was 8.6
months. The Scr level decreased from 481.8 umol/L (208.5-746.2umol/L) pre
operation to 154umol/L (89.1-301.2 umol/L, p<0.01) at 1 month post-intervention.
The SBP varied from 161.4 mmHg (152-173 mmHg) to 144.8 mmHg (136-154 mmHg,
p<0.01). Renal artery PSV decreased from 364.1 cm/s (217.6-511.9 cm/s) to 134.9
cm/s (79.8-184.2 cm/s, p<0.01). Eleven patients finished mid-term (>6 months)
follow-up. The statistical results were not significant compared to those at 1
month, although they all slightly decreased. No re-intervention was performed.
CONCLUSIONS The endovascular approach to TRAS with DCB was a safe and effective
treatment for restore and maintain the artery flow and renal function in short
term follow-up.
PMID- 29371587
TI - The Role of miR-126 in Critical Limb Ischemia Treatment Using Adipose-Derived
Stem Cell Therapeutic Factor Concentrate and Extracellular Matrix Microparticles.
AB - BACKGROUND Paracrine factors secreted by adipose-derived stem cells can be
captured, fractionated, and concentrated to produce therapeutic factor
concentrate (TFC). The present study examined whether TFC effects could be
enhanced by combining TFC with a biological matrix to provide sustained release
of factors in the target region. MATERIAL AND METHODS Unilateral hind limb
ischemia was induced in rabbits. Ischemic limbs were injected with either placebo
control, TFC, micronized small intestinal submucosa tissue (SIS), or TFC absorbed
to SIS. Blood flow in both limbs was assessed with laser Doppler perfusion
imaging. Tissues harvested at Day 48 were assessed immunohistochemically for
vessel density; in situ hybridization and quantitative real-time PCR were
employed to determine miR-126 expression. RESULTS LDP ratios were significantly
elevated, compared to placebo control, on day 28 in all treatment groups
(p=0.0816, p=0.0543, p=0.0639, for groups 2-4, respectively) and on day 36 in the
TFC group (p=0.0866). This effect correlated with capillary density in the SIS
and TFC+SIS groups (p=0.0093 and p=0.0054, respectively, compared to placebo). A
correlation was observed between miR-126 levels and LDP levels at 48 days in SIS
and TFC+SIS groups. CONCLUSIONS A single bolus administration of TFC and SIS had
early, transient effects on reperfusion and promotion of ischemia repair. The
effects were not additive. We also discovered that TFC modulated miR-126 levels
that were expressed in cell types other than endothelial cells. These data
suggested that TFC, alone or in combination with SIS, may be a potent therapy for
patients with CLI that are at risk of amputation.
PMID- 29371590
TI - Cell death-based treatment of glioblastoma.
AB - Cancer cells including glioblastoma have typically evolved multiple mechanisms to
escape programmed cell death in order to maintain their survival. Defects in cell
death mechanisms not only facilitate tumorigenesis but also ensure resistance to
current anticancer therapies. This emphasizes that targeting cell death pathways
may provide a means to tackle one of the Achilles' heels of cancer. Over the last
decades several approaches have been developed to selectively target cell death
pathways for therapeutic purposes. Some of these concepts have already been
transferred into clinical application in oncology and may open new perspectives
for the treatment of cancer.
PMID- 29371589
TI - Cell death-based treatment of lung adenocarcinoma.
AB - The most common type of lung cancer is adenocarcinoma (ADC), comprising around
40% of all lung cancer cases. In spite of achievements in understanding the
pathogenesis of this disease and the development of new approaches in its
treatment, unfortunately, lung ADC is still one of the most aggressive and
rapidly fatal tumor types with overall survival less than 5 years. Lung ADC is
often diagnosed at advanced stages involving disseminated metastatic tumors. This
is particularly important for the successful development of new approaches in
cancer therapy. The high resistance of lung ADC to conventional radiotherapies
and chemotherapies represents a major challenge for treatment effectiveness. Here
we discuss recent advances in understanding the molecular pathways driving tumor
progression and related targeted therapies in lung ADCs. In addition, the cell
death mechanisms induced by different treatment strategies and their contribution
to therapy resistance are analyzed. The focus is on approaches to overcoming drug
resistance in order to improve future treatment decisions.
PMID- 29371588
TI - Cell death-based treatment of neuroblastoma.
AB - Neuroblastoma (NB) is the most common solid childhood tumor outside the brain and
causes 15% of childhood cancer-related mortality. The main drivers of NB
formation are neural crest cell-derived sympathoadrenal cells that undergo
abnormal genetic arrangements. Moreover, NB is a complex disease that has high
heterogeneity and is therefore difficult to target for successful therapy. Thus,
a better understanding of NB development helps to improve treatment and increase
the survival rate. One of the major causes of sporadic NB is known to be MYCN
amplification and mutations in ALK (anaplastic lymphoma kinase) are responsible
for familial NB. Many other genetic abnormalities can be found; however, they are
not considered as driver mutations, rather they support tumor aggressiveness.
Tumor cell elimination via cell death is widely accepted as a successful
technique. Therefore, in this review, we provide a thorough overview of how
different modes of cell death and treatment strategies, such as immunotherapy or
spontaneous regression, are or can be applied for NB elimination. In addition,
several currently used and innovative approaches and their suitability for
clinical testing and usage will be discussed. Moreover, significant attention
will be given to combined therapies that show more effective results with fewer
side effects than drugs targeting only one specific protein or pathway.
PMID- 29371591
TI - MIF inhibits the formation and toxicity of misfolded SOD1 amyloid aggregates:
implications for familial ALS.
AB - Mutations in superoxide dismutase (SOD1) cause amyotrophic lateral sclerosis
(ALS), a fatal neurodegenerative disease caused by the progressive loss of motor
neurons in the brain and spinal cord. It has been suggested that toxicity of
mutant SOD1 results from its misfolding, however, it is yet unclear why misfolded
SOD1 accumulates specifically within motor neurons. We recently demonstrated that
macrophage migration inhibitory factor (MIF)-a multifunctional protein with
cytokine/chemokine activity and cytosolic chaperone-like properties-inhibits the
accumulation of misfolded SOD1. Here, we show that MIF inhibits mutant SOD1
nuclear clearance when overexpressed in motor neuron-like NSC-34 cells. In
addition, MIF alters the typical SOD1 amyloid aggregation pathway in vitro, and,
instead, promotes the formation of disordered aggregates, as measured by
Thioflavin T (ThT) assay and transmission electron microscopy (TEM) imaging.
Moreover, we report that MIF reduces the toxicity of misfolded SOD1 by directly
interacting with it, and that the chaperone function and protective effect of MIF
in neuronal cultures do not require its intrinsic catalytic activities.
Importantly, we report that the locked-trimeric MIFN110C mutant, which exhibits
strongly impaired CD74-mediated cytokine functions, has strong chaperone
activity, dissociating, for the first time, these two cellular functions.
Altogether, our study implicates MIF as a potential therapeutic candidate in the
treatment of ALS.
PMID- 29371592
TI - Regulatory B cells: the cutting edge of immune tolerance in kidney
transplantation.
AB - Kidney transplantation is the optimal treatment for end-stage renal diseases.
Although great improvement has been achieved, immune tolerance is still the Holy
Grail that every organ transplant practitioner pursues. The role of B cells in
transplantation has long been considered simply to serve as precursors of plasma
cells, which produce alloantibodies and induce antibody-mediated rejection.
Recent research indicates that a specialized subset of B cells plays an important
role in immune regulation, which has been well demonstrated in autoimmune
diseases, infections, and cancers. This category of regulatory B cells (Bregs)
differs from conventional B cells, and they may help develop a novel
immunomodulatory therapeutic strategy to achieve immune tolerance in
transplantation. Here, we review the latest evidence regarding phenotypes,
functions, and effectors of Bregs and discuss their diverse effects on kidney
transplantation.
PMID- 29371593
TI - Highly-efficient quantum memory for polarization qubits in a spatially
multiplexed cold atomic ensemble.
AB - Quantum memory for flying optical qubits is a key enabler for a wide range of
applications in quantum information. A critical figure of merit is the overall
storage and retrieval efficiency. So far, despite the recent achievements of
efficient memories for light pulses, the storage of qubits has suffered from
limited efficiency. Here we report on a quantum memory for polarization qubits
that combines an average conditional fidelity above 99% and efficiency around
68%, thereby demonstrating a reversible qubit mapping where more information is
retrieved than lost. The qubits are encoded with weak coherent states at the
single-photon level and the memory is based on electromagnetically-induced
transparency in an elongated laser-cooled ensemble of cesium atoms, spatially
multiplexed for dual-rail storage. This implementation preserves high optical
depth on both rails, without compromise between multiplexing and storage
efficiency. Our work provides an efficient node for future tests of quantum
network functionalities and advanced photonic circuits.
PMID- 29371594
TI - Endothelial deletion of Ino80 disrupts coronary angiogenesis and causes
congenital heart disease.
AB - During development, the formation of a mature, well-functioning heart requires
transformation of the ventricular wall from a loose trabecular network into a
dense compact myocardium at mid-gestation. Failure to compact is associated in
humans with congenital diseases such as left ventricular non-compaction (LVNC).
The mechanisms regulating myocardial compaction are however still poorly
understood. Here, we show that deletion of the Ino80 chromatin remodeler in
vascular endothelial cells prevents ventricular compaction in the developing
mouse heart. This correlates with defective coronary vascularization, and
specific deletion of Ino80 in the two major coronary progenitor tissues-sinus
venosus and endocardium-causes intermediate phenotypes. In vitro, endothelial
cells promote myocardial expansion independently of blood flow in an Ino80
dependent manner. Ino80 deletion increases the expression of E2F-activated genes
and endothelial cell S-phase occupancy. Thus, Ino80 is essential for coronary
angiogenesis and allows coronary vessels to support proper compaction of the
heart wall.
PMID- 29371595
TI - Defining the role of the tumor vasculature in antitumor immunity and
immunotherapy.
AB - It is now well established that cancer cells co-exist within a complex
environment with stromal cells and depend for their growth and dissemination on
tight and plastic interactions with components of the tumor microenvironment
(TME). Cancer cells incite the formation of new blood and lymphatic vessels from
preexisting vessels to cope with their high nutrient/oxygen demand and favor
tumor outgrowth. Research over the past decades has highlighted the crucial role
played by tumor-associated blood and lymphatic vasculature in supporting
immunoevasion and in subverting T-cell-mediated immunosurveillance, which are the
main hallmarks of cancers. The structurally and functionally aberrant tumor
vasculature contributes to the protumorigenic and immunosuppressive TME by
maintaining a cancer cell's permissive environment characterized by hypoxia,
acidosis, and high interstitial pressure, while simultaneously generating a
physical barrier to T cells' infiltration. Recent research moreover has shown
that blood endothelial cells forming the tumor vessels can actively suppress the
recruitment, adhesion, and activity of T cells. Likewise, during tumorigenesis
the lymphatic vasculature undergoes dramatic remodeling that facilitates
metastatic spreading of cancer cells and immunosuppression. Beyond
carcinogenesis, the erratic tumor vasculature has been recently implicated in
mechanisms of therapy resistance, including those limiting the efficacy of
clinically approved immunotherapies, such as immune checkpoint blockers and
adoptive T-cell transfer. In this review, we discuss emerging evidence
highlighting the major role played by tumor-associated blood and lymphatic
vasculature in thwarting immunosurveillance mechanisms and antitumor immunity.
Moreover, we also discuss novel therapeutic approaches targeting the tumor
vasculature and their potential to help overcoming immunotherapy resistance.
PMID- 29371596
TI - Multiple signaling kinases target Mrc1 to prevent genomic instability triggered
by transcription-replication conflicts.
AB - Conflicts between replication and transcription machineries represent a major
source of genomic instability and cells have evolved strategies to prevent such
conflicts. However, little is known regarding how cells cope with sudden
increases of transcription while replicating. Here, we report the existence of a
general mechanism for the protection of genomic integrity upon transcriptional
outbursts in S phase that is mediated by Mrc1. The N-terminal phosphorylation of
Mrc1 blocked replication and prevented transcription-associated recombination
(TAR) and genomic instability during stress-induced gene expression in S phase.
An unbiased kinome screening identified several kinases that phosphorylate Mrc1
at the N terminus upon different environmental stresses. Mrc1 function was not
restricted to environmental cues but was also required when unscheduled
transcription was triggered by low fitness states such as genomic instability or
slow growth. Our data indicate that Mrc1 integrates multiple signals, thereby
defining a general safeguard mechanism to protect genomic integrity upon
transcriptional outbursts.
PMID- 29371597
TI - Immunological consequences of kidney cell death.
AB - Death of renal cells is central to the pathophysiology of acute tubular necrosis,
autoimmunity, necrotizing glomerulonephritis, cystic kidney disease, urosepsis,
delayed graft function and transplant rejection. By means of regulated necrosis,
immunogenic damage-associated molecular patterns (DAMPs) and highly reactive
organelles such as lysosomes, peroxisomes and mitochondria are released from the
dying cells, thereby causing an overwhelming immunologic response. The rupture of
the plasma membrane exhibits the "point of no return" for the immunogenicity of
regulated cell death, explaining why apoptosis, a highly organized cell death
subroutine with long-lasting plasma membrane integrity, elicits hardly any immune
response. Ferroptosis, an iron-dependent necrotic type cell death, results in the
release of DAMPs and large amounts of lipid peroxides. In contrast, anti
inflammatory cytokines are actively released from cells that die by necroptosis,
limiting the DAMP-induced immune response to a surrounding microenvironment,
whereas at the same time, inflammasome-associated caspases drive maturation of
intracellularly expressed interleukin-1beta (IL-1beta). In a distinct setting,
additionally interleukin-18 (IL-18) is expressed during pyroptosis, initiated by
gasdermin-mediated plasma membrane rupture. As all of these pathways are
druggable, we provide an overview of regulated necrosis in kidney diseases with a
focus on immunogenicity and potential therapeutic interventions.
PMID- 29371598
TI - Givinostat reduces adverse cardiac remodeling through regulating fibroblasts
activation.
AB - Cardiovascular diseases (CVDs) are a major burden on the healthcare system:
indeed, over two million new cases are diagnosed every year worldwide.
Unfortunately, important drawbacks for the treatment of these patients derive
from our current inability to stop the structural alterations that lead to heart
failure, the common endpoint of many CVDs. In this scenario, a better
understanding of the role of epigenetics - hereditable changes of chromatin that
do not alter the DNA sequence itself - is warranted. To date, hyperacetylation of
histones has been reported in hypertension and myocardial infarction, but the use
of inhibitors for treating CVDs remains limited. Here, we studied the effect of
the histone deacetylase inhibitor Givinostat on a mouse model of acute myocardial
infarction. We found that it contributes to decrease endothelial-to-mesenchymal
transition and inflammation, reducing cardiac fibrosis and improving heart
performance and protecting the blood vessels from apoptosis through the
modulatory effect of cardiac fibroblasts on endothelial cells. Therefore,
Givinostat may have potential for the treatment of CVDs.
PMID- 29371599
TI - Cell death-based treatment of childhood cancer.
AB - Any therapy that aims at eradicating a cancerous growth will have at its core a
cell death-inducing component. Here we argue that paediatric oncology presents
with its unique set of considerations and problems, which-while taking the lead
from oncological research experiences obtained from the adult population-need to
be clinically evaluated independently. This is particularly true when considering
long-term side effects. Precision medicine offers a promising new approach in
therapy, but given as a monotherapy and in a limited combination, as found in an
apoptosis inducer/sensitiser combination, it will most likely lead to mutation
escape of the target cell population and the emergence of resistance. However,
using the increasing amount of the molecular data as the basis for a complex
combination therapy combining several key components such as cell death-inducing
agents, kinase inhibitors and BH3 mimetics, holds great promise.
PMID- 29371600
TI - Cell death-based treatments of melanoma:conventional treatments and new
therapeutic strategies.
AB - The incidence of malignant melanoma has continued to rise during the past
decades. However, in the last few years, treatment protocols have significantly
been improved thanks to a better understanding of the key oncogenes and signaling
pathways involved in its pathogenesis and progression. Anticancer therapy would
either kill tumor cells by triggering apoptosis or permanently arrest them in the
G1 phase of the cell cycle. Unfortunately, melanoma is often refractory to
commonly used anticancer drugs. More recently, however, some new anticancer
strategies have been developed that are "external" to cancer cells, for example
stimulating the immune system's response or inhibiting angiogenesis. In fact, the
increasing knowledge of melanoma pathogenetic mechanisms, in particular the
discovery of genetic mutations activating specific oncogenes, stimulated the
development of molecularly targeted therapies, a form of treatment in which a
drug (chemical or biological) is developed with the goal of exclusively
destroying cancer cells by interfering with specific molecules that drive growth
and spreading of the tumor. Again, after the initial exciting results associated
with targeted therapy, tumor resistance and/or relapse of the melanoma lesion
have been observed. Hence, very recently, new therapeutic strategies based on the
modulation of the immune system function have been developed. Since cancer cells
are known to be capable of evading immune-mediated surveillance, i.e., to block
the immune system cell activity, a series of molecular strategies, including
monoclonal antibodies, have been developed in order to "release the brakes" on
the immune system igniting immune reactivation and hindering metastatic melanoma
cell growth. In this review we analyze the various biological strategies
underlying conventional chemotherapy as well as the most recently developed
targeted therapies and immunotherapies, pointing at the molecular mechanisms of
cell injury and death engaged by the different classes of therapeutic agents.
PMID- 29371601
TI - Streamlined asymmetric alpha-difunctionalization of ynones.
AB - Ynones are a unique class of structural motifs that show remarkable chemical
versatility. Chiral ynones, particularly those possessing an alpha-stereogenic
center, are highly attractive templates for structural diversification. So far,
only very limited examples have been reported for asymmetric alpha
functionalization of ynones. Asymmetric double alpha-functionalization of ynones
remains elusive. Here we describe a streamlined strategy for asymmetric alpha
difunctionalization of ynones. We developed a gold-catalyzed multicomponent
condensation reaction from a simple ynone, an amine, and an electrophilic
alkynylating reagent to generate a 1,2-dialkynyl enamine, a key stable and
isolable intermediate. This intermediate can undergo asymmetric fluorination
catalyzed by a chiral phosphoric acid derivative. Chiral ynones with an alpha
quaternary carbon and containing a fluorine and an alkyne can be synthesized in
high yield and high ee. The synthetic utility of this method is demonstrated by
the synthesis of enantioenriched tri(hetero)arylmethyl fluorides.
PMID- 29371603
TI - Functional and structural damage of neurons by innate immune mechanisms during
neurodegeneration.
AB - Over the past decades, our view on neurodegenerative diseases has been mainly
centered around neurons and their networks. Only recently it became evident that
immunological processes arise alongside degenerating neurons, raising the
question whether these represent just meaningless bystander reactions or in turn,
contribute to pathogenesis and disease symptoms. When considering any effect of
inflammatory events on the CNS one has to consider the site, duration and nature
of immune activation. Likewise, one has to distinguish between mechanisms which
directly impact the neuronal compartment and indirect mechanisms, which affect
cells that are important for neuronal functioning and survival. As discussed in
this review, both types of mechanisms may be present at the same time and
additively or synergistically lead to neuronal demise. Inflammatory mediators
released by the principle innate immune cells of the brain, microglia and
astrocytes, can compromise the function and structure of neurons, thereby playing
important roles in the pathogenesis of neurodegenerative diseases.
PMID- 29371602
TI - AMPK activation counteracts cardiac hypertrophy by reducing O-GlcNAcylation.
AB - AMP-activated protein kinase (AMPK) has been shown to inhibit cardiac
hypertrophy. Here, we show that submaximal AMPK activation blocks cardiomyocyte
hypertrophy without affecting downstream targets previously suggested to be
involved, such as p70 ribosomal S6 protein kinase, calcineurin/nuclear factor of
activated T cells (NFAT) and extracellular signal-regulated kinases. Instead,
cardiomyocyte hypertrophy is accompanied by increased protein O-GlcNAcylation,
which is reversed by AMPK activation. Decreasing O-GlcNAcylation by inhibitors of
the glutamine:fructose-6-phosphate aminotransferase (GFAT), blocks cardiomyocyte
hypertrophy, mimicking AMPK activation. Conversely, O-GlcNAcylation-inducing
agents counteract the anti-hypertrophic effect of AMPK. In vivo, AMPK activation
prevents myocardial hypertrophy and the concomitant rise of O-GlcNAcylation in
wild-type but not in AMPKalpha2-deficient mice. Treatment of wild-type mice with
O-GlcNAcylation-inducing agents reverses AMPK action. Finally, we demonstrate
that AMPK inhibits O-GlcNAcylation by mainly controlling GFAT phosphorylation,
thereby reducing O-GlcNAcylation of proteins such as troponin T. We conclude that
AMPK activation prevents cardiac hypertrophy predominantly by inhibiting O
GlcNAcylation.
PMID- 29371605
TI - Reemergence of high-Tc superconductivity in the (Li1-xFe x )OHFe1-ySe under high
pressure.
AB - In order to elucidate pressure-induced second superconducting phase (SC-II) in A
x Fe2-ySe2 (A = K, Rb, Cs, and Tl) having an intrinsic phase separation, we
perform a detailed high-pressure magnetotransport study on the isoelectronic,
phase-pure (Li1-xFe x )OHFe1-ySe single crystals. Here we show that its ambient
pressure superconducting phase (SC-I) with a critical temperature Tc ~ 40 K is
suppressed gradually to below 2 K and an SC-II phase emerges above Pc ~ 5 GPa
with Tc increasing progressively to above 50 K up to 12.5 GPa. Our high-precision
resistivity data uncover a sharp transition of the normal state from Fermi liquid
for SC-I to non-Fermi liquid for SC-II phase. In addition, the reemergence of
high-Tc SC-II is found to accompany with a concurrent enhancement of electron
carrier density. Without structural transition below 10 GPa, the observed SC-II
with enhanced carrier density should be ascribed to an electronic origin
presumably associated with pressure-induced Fermi surface reconstruction.
PMID- 29371604
TI - SWELL1 is a glucose sensor regulating beta-cell excitability and systemic
glycaemia.
AB - Insulin secretion is initiated by activation of voltage-gated Ca2+ channels
(VGCC) to trigger Ca2+-mediated insulin vesicle fusion with the beta-cell plasma
membrane. The firing of VGCC requires beta-cell membrane depolarization, which is
regulated by a balance of depolarizing and hyperpolarizing ionic currents. Here,
we show that SWELL1 mediates a swell-activated, depolarizing chloride current
(ICl,SWELL) in both murine and human beta-cells. Hypotonic and glucose-stimulated
beta-cell swelling activates SWELL1-mediated ICl,SWELL and this contributes to
membrane depolarization and activation of VGCC-dependent intracellular calcium
signaling. SWELL1 depletion in MIN6 cells and islets significantly impairs
glucose-stimulated insulin secretion. Tamoxifen-inducible beta-cell-targeted
Swell1 KO mice have normal fasting serum glucose and insulin levels but impaired
glucose-stimulated insulin secretion and glucose tolerance; and this is further
exacerbated in mild obesity. Our results reveal that beta-cell SWELL1 modulates
insulin secretion and systemic glycaemia by linking glucose-mediated beta-cell
swelling to membrane depolarization and activation of VGCC-triggered calcium
signaling.
PMID- 29371606
TI - Assessment of suitable reference genes for RT-qPCR studies in chronic
rhinosinusitis.
AB - Reverse transcription-quantitative polymerase chain reaction is a valuable and
reliable method for gene quantification. Target gene expression is usually
quantified by normalization using reference genes (RGs), and accurate
normalization is critical for producing reliable data. However, stable RGs in
nasal polyps and sinonasal tissues from patients with chronic rhinosinusitis
(CRS) have not been well investigated. Here, we used a two-stage study design to
identify stable RGs. We assessed the stability of 15 commonly used candidate RGs
using five programs-geNorm, NormFinder, BestKeeper, DeltaCT, and RefFinder.
Ribosomal protein lateral stalk subunit P1 (RPLP1) and ribosomal protein lateral
stalk subunit P0 (RPLP0) were the two most stable RGs in the first stage of the
study, and these results were validated in the second stage. The commonly used
RGs beta-actin (ACTB) and glyceraldehyde 3-phosphate dehydrogenase (GAPDH) were
unstable according to all of the algorithms used. The findings were further
validated via relative quantification of IL-5, CCL11, IFN-gamma, and IL-17A using
the stable and unstable RGs. The relative expression levels varied greatly
according to normalization with the selected RGs. Appropriate selection of stable
RGs will allow more accurate determination of target gene expression levels in
patients with CRS.
PMID- 29371607
TI - Degradation routes of trafficking-defective VLDLR mutants associated with
Dysequilibrium syndrome.
AB - Low density lipoprotein receptor (LDLR) family members are involved in signaling
in the developing brain. Previously we have reported that missense mutations in
the Very Low Density Lipoprotein Receptor gene (VLDLR), causing Dysequilibrium
syndrome (DES), disrupt ligand-binding, due to endoplasmic reticulum (ER)
retention of the mutants. We explored the degradation routes of these VLDLR
mutants in cultured cells. Our results indicate that VLDLR mutants are retained
in the ER for prolonged periods which could be facilitated by association with
the ER-resident chaperone calnexin. The mutants were prone to aggregation and
capable of eliciting ER stress. The VLDLR mutants were found to be degraded
predominantly by the proteasomal pathway, since ubiquitinated VLDLR was found to
accumulate in response to proteasomal inhibition. Further, the mutants were found
to interact with the ER degradation adaptor protein SEL1L. The degradation of
VLDLR wild type and mutant were delayed in CRISPR/Cas9 edited SEL1L knock-out
cells which was reversed by exogenous expression of SEL1L. In summary, ER
retention of pathogenic VLDLR mutants involves binding to calnexin, elevated ER
stress, and delayed degradation which is dependent on SEL1L. Since core LDLR
family members share common structural domains, common mechanisms may be involved
in their ER processing.
PMID- 29371608
TI - Abnormal grain growth mediated by fractal boundary migration at the nanoscale.
AB - Modern engineered materials are composed of space-filling grains or domains
separated by a network of interfaces or boundaries. Such polycrystalline
microstructures have the capacity to coarsen through boundary migration. Grain
growth theories account for the topology of grains and the connectivity of the
boundary network in terms of the familiar Euclidian dimension and Euler's
polyhedral formula, both of which are based on integer numbers. However, we
recently discovered an unusual growth mode in a nanocrystalline Pd-Au alloy, in
which grains develop complex, highly convoluted surface morphologies that are
best described by a fractional dimension of ~1.2 (extracted from the perimeters
of grain cross sections). This fractal value is characteristic of a variety of
domain growth scenarios-including explosive percolation, watersheds of random
landscapes, and the migration of domain walls in a random field of pinning
centers-which suggests that fractal grain boundary migration could be a
manifestation of the same universal behavior.
PMID- 29371609
TI - Luminance gradient at object borders communicates object location to the human
oculomotor system.
AB - The locations of objects in our environment constitute arguably the most
important piece of information our visual system must convey to facilitate
successful visually guided behaviour. However, the relevant objects are usually
not point-like and do not have one unique location attribute. Relatively little
is known about how the visual system represents the location of such large
objects as visual processing is, both on neural and perceptual level, highly edge
dominated. In this study, human observers made saccades to the centres of
luminance defined squares (width 4 deg), which appeared at random locations (8
deg eccentricity). The phase structure of the square was manipulated such that
the points of maximum luminance gradient at the square's edges shifted from trial
to trial. The average saccade endpoints of all subjects followed those shifts in
remarkable quantitative agreement. Further experiments showed that the shifts
were caused by the edge manipulations, not by changes in luminance structure near
the centre of the square or outside the square. We conclude that the human visual
system programs saccades to large luminance defined square objects based on edge
locations derived from the points of maximum luminance gradients at the square's
edges.
PMID- 29371610
TI - Starfish Apaf-1 activates effector caspase-3/9 upon apoptosis of aged eggs.
AB - Caspase-3-related DEVDase activity is initiated upon apoptosis in unfertilized
starfish eggs. In this study, we cloned a starfish procaspase-3 corresponding to
mammalian effector caspase containing a CARD that is similar to the amino
terminal CARD of mammalian capsase-9, and we named it procaspase-3/9. Recombinant
procaspase-3/9 expressed at 15 degrees C was cleaved to form active caspase-3/9
which has DEVDase activity. Microinjection of the active caspase-3/9 into
starfish oocytes/eggs induced apoptosis. An antibody against the recombinant
protein recognized endogenous procaspase-3/9 in starfish oocytes, which was
cleaved upon apoptosis in aged unfertilized eggs. These results indicate that
caspase-3/9 is an effector caspase in starfish. To verify the mechanism of
caspase-3/9 activation, we cloned starfish Apaf-1 containing a CARD, a NOD, and
11 WD40 repeat regions, and we named it sfApaf-1. Recombinant sfApaf-1 CARD
interacts with recombinant caspase-3/9 CARD and with endogenous procaspase-3/9 in
cell-free preparations made from starfish oocytes, causing the formation of
active caspase-3/9. When the cell-free preparation without mitochondria was
incubated with inactive recombinant procaspase-3/9 expressed at 37 degrees C,
DEVDase activity increased and apoptosome-like complexes were formed in the high
molecular weight fractions containing both sfApaf-1 and cleaved caspase-3/9.
These results suggest that sfApaf-1 activation is not dependent on cytochrome c.
PMID- 29371611
TI - Enhanced Skin Permeation of Anti-wrinkle Peptides via Molecular Modification.
AB - Wrinkles can have a negative effect on quality of life and Botox is one of the
most effective and common treatments. Argireline (Arg0), a mimetic of Botox, has
been found to be safer than Botox and effective in reducing wrinkles, with
efficacies up to 48% upon 4 weeks of twice daily treatment. However, the skin
permeation of Arg0 is poor, due to its large molecular weight and hydrophilicity.
Arg0 exists in zwitterionic form and this charged state hindered its skin
permeation. Chemical modification of the peptide structure to reduce the
formation of zwitterions may result in increased skin permeability. We
investigated a total of 4 peptide analogues (Arg0, Arg1, Arg2, Arg3), in terms of
skin permeation and wrinkle reduction. The 4 peptides were dissolved in various
propylene glycol and water co-solvents. Enhanced human skin permeation was
demonstrated by both Arg2 and Arg3 in vitro. On the other hand, the abilities of
the 4 analogues to reduce wrinkle formation were also compared using primary
human dental pulp stem cells derived neurons. By measuring the inhibition of
glutamate release from the neurons in vitro, it was shown that Arg3 was the most
effective, followed by Arg1, Arg0 and Arg2.
PMID- 29371612
TI - SlMYB12 Regulates Flavonol Synthesis in Three Different Cherry Tomato Varieties.
AB - Cherry tomato (Lycopersicon esculentum M.) is considered a healthy fruit
worldwide due to its wide range of nutrients. Flavonol, one of the major
nutrients in cherry tomato, has antioxidant and cell-modulating properties. In
this study, we showed a correlation between the expression of SlMYB12 and
flavonol content (R2 = 0.922). To characterize the function of SlMYB12, SlMYB12
overexpressing transgenic tomato plants were generated in three different cherry
tomato varieties. Significant increases in flavonol content and flavonol
biosynthetic gene expression were identified in SlMYB12-overexpressing plants.
Therefore, we suggest that SlMYB12 plays a positive role in the flavonol
biosynthesis pathway in cherry tomatoes, which further indicates a potential role
as a marker in analyzing flavonol content in different cherry tomato varieties.
PMID- 29371614
TI - Biosensing with the singular phase of an ultrathin metal-dielectric nanophotonic
cavity.
AB - The concept of point of darkness has received much attention for biosensing based
on phase-sensitive detection and perfect absorption of light. The maximum phase
change is possible at the point of darkness where the reflection is almost zero.
To date, this has been experimentally realized using different material systems
through the concept of topological darkness. However, complex nanopatterning
techniques are required to realize topological darkness. Here, we report an
approach to realize perfect absorption and extreme phase singularity using a
simple metal-dielectric multilayer thin-film stack. The multilayer stack works on
the principle of an asymmetric Fabry-Perot cavity and shows an abrupt phase
change at the reflectionless point due to the presence of a highly absorbing
ultrathin film of germanium in the stack. In the proof-of-concept phase-sensitive
biosensing experiments, we functionalize the film surface with an ultrathin layer
of biotin-thiol to capture streptavidin at a low concentration of 1 pM.
PMID- 29371613
TI - The MDM2-p53 pathway is involved in preconditioning-induced neuronal tolerance to
ischemia.
AB - Brain preconditioning (PC) refers to a state of transient tolerance against a
lethal insult that can be evoked by a prior mild event. It is thought that PC may
induce different pathways responsible for neuroprotection, which may involve the
attenuation of cell damage pathways, including the apoptotic cell death. In this
context, p53 is a stress sensor that accumulates during brain ischemia leading to
neuronal death. The murine double minute 2 gene (MDM2), a p53-specific E3
ubiquitin ligase, is the main cellular antagonist of p53, mediating its
degradation by the proteasome. Here, we study the role of MDM2-p53 pathway on PC
induced neuroprotection both in cultured neurons (in vitro) and rat brain (in
vivo). Our results show that PC increased neuronal MDM2 protein levels, which
prevented ischemia-induced p53 stabilization and neuronal death. Indeed, PC
attenuated ischemia-induced activation of the p53/PUMA/caspase-3 signaling
pathway. Pharmacological inhibition of MDM2-p53 interaction in neurons abrogated
PC-induced neuroprotection against ischemia. Finally, the relevance of the MDM2
p53 pathway was confirmed in rat brain using a PC model in vivo. These findings
demonstrate the key role of the MDM2-p53 pathway in PC-induced neuroprotection
against a subsequent ischemic insult and poses MDM2 as an essential target in
ischemic tolerance.
PMID- 29371615
TI - Retinoic acid prevents immunogenicity of milk lipocalin Bos d 5 through binding
to its immunodominant T-cell epitope.
AB - The major cow's milk allergen Bos d 5 belongs to the lipocalin protein family,
with an intramolecular pocket for hydrophobic ligands. We investigated whether
Bos d 5 when loaded with the active vitamin A metabolite retinoic acid (RA),
would elicit differential immune responses compared to the unloaded state. By in
silico docking an affinity energy of -7.8 kcal/mol was calculated for RA into Bos
d 5. Loading of RA to Bos d 5 could be achieved in vitro, as demonstrated by ANS
displacement assay, but had no effect on serum IgE binding in tolerant or
challenge-positive milk allergic children. Bioinformatic analysis revealed that
RA binds to the immunodominant T-cell epitope region of Bos d 5. In accordance,
Bos d 5 significantly suppressed the CD3+ CD4+ cell numbers, proliferative
response and IL-10, IL-13 and IFN-gamma secretion from stimulated human PBMCs
only when complexed with RA. This phenomenon was neither associated with
apoptosis of T-cells nor with the activation of Foxp3+ T-cells, but correlated
likely with enhanced stability to lysosomal digestion due to a predicted overlap
of Cathepsin S cleavage sites with the RA binding site. Taken together, proper
loading of Bos d 5 with RA may suppress its immunogenicity and prevent its
allergenicity.
PMID- 29371616
TI - Necroptosis and neutrophil-associated disorders.
AB - Necroptosis is a form of regulated necrosis and is dependent on a signaling
pathway involving receptor interacting protein kinase-3 (RIPK3) and mixed lineage
kinase domain-like protein (MLKL). Necroptosis is considered to have important
functions in inflammation and, based on studies with animal disease models, is
believed likely to be involved in the pathogenesis of many human inflammatory
diseases. In neutrophils, necroptosis has recently been reported to be triggered
by tumor necrosis factor (TNF) stimulation, ligation of adhesion receptors,
exposure to monosodium urate (MSU) crystals, or phagocytosis of Staphylococcus
aureus (S. aureus). Because neutrophils are involved in many kinds of tissue
inflammation and disease, neutrophil necroptosis probably plays a vital role in
such processes. Dissecting the signaling pathway of neutrophil necroptotic death
may help to identify novel drug targets for inflammatory or autoimmune diseases.
In this review, we discuss different mechanisms which regulate neutrophil
necroptosis and are thus potentially important in neutrophil-associated
disorders.
PMID- 29371618
TI - Decentralized dynamic understanding of hidden relations in complex networks.
AB - Almost all the natural or human made systems can be understood and controlled
using complex networks. This is a difficult problem due to the very large number
of elements in such networks, on the order of billions and higher, which makes it
impossible to use conventional network analysis methods. Herein, we employ
artificial intelligence (specifically swarm computing), to compute centrality
metrics in a completely decentralized fashion. More exactly, we show that by
overlaying a homogeneous artificial system (inspired by swarm intelligence) over
a complex network (which is a heterogeneous system), and playing a game in the
fused system, the changes in the homogeneous system will reflect perfectly the
complex network properties. Our method, dubbed Game of Thieves (GOT), computes
the importance of all network elements (both nodes and edges) in polylogarithmic
time with respect to the total number of nodes. Contrary, the state-of-the-art
methods need at least a quadratic time. Moreover, the excellent capabilities of
our proposed approach, it terms of speed, accuracy, and functionality, open the
path for better ways of understanding and controlling complex networks.
PMID- 29371617
TI - Risks, Release and Concentrations of Engineered Nanomaterial in the Environment.
AB - For frequently used engineered nanomaterials (ENMs) CeO2-, SiO2-, and Ag, past,
current, and future use and environmental release are investigated. Considering
an extended period (1950 to 2050), we assess ENMs released through commercial
activity as well as found in natural and technical settings. Temporal dynamics,
including shifts in release due to ENM product application, stock (delayed use),
and subsequent end-of-life product treatment were taken into account. We
distinguish predicted concentrations originating in ENM use phase and those
originating from end-of-life release. Furthermore, we compare Ag- and CeO2-ENM
predictions with existing measurements. The correlations and limitations of the
model, and the analytic validity of our approach are discussed in the context of
massive use of assumptive model data and high uncertainty on the colloidal
material captured by the measurements. Predictions for freshwater CeO2-ENMs range
from 1 pg/l (2017) to a few hundred ng/l (2050). Relative to CeO2, the SiO2-ENMs
estimates are approximately 1,000 times higher, and those for Ag-ENMs 10 times
lower. For most environmental compartments, ENM pose relatively low risk;
however, organisms residing near ENM 'point sources' (e.g., production plant
outfalls and waste treatment plants), which are not considered in the present
work, may be at increased risk.
PMID- 29371619
TI - Immunization with Transgenic Rodent Malaria Parasites Expressing Pfs25 Induces
Potent Transmission-Blocking Activity.
AB - An anti-malarial transmission blocking vaccine (TBV) would be an important tool
for disease control or elimination, though current candidates have failed to
induce high efficacy in clinical studies. The ookinete surface protein P25 is a
primary target for TBV development, but heterologous expression of P25 with
appropriate conformation is problematic and a pre-requisite for achieving
functional titers. A potential alternative to recombinant/sub-unit vaccine is
immunization with a non-pathogenic, whole-parasite vaccine. This study examines
the ability of a purified transgenic rodent-malaria parasite (PbPfs25DR3),
expressing Plasmodium falciparum P25 in native conformation on the P. berghei
ookinete surface, to act as a TBV. Vaccination with purified PbPfs25DR3 ookinetes
produces a potent anti-Pfs25 response and high transmission-blocking efficacy in
the laboratory, findings that are then translated to experimentation on natural
field isolates of P. falciparum from infected individuals in Burkina Faso.
Efficacy is demonstrated in the lab and the field (up to 93.3%/97.1% reductions
in transmission intensity respectively), with both a homologous strategy with one
and two boosts, and as part of a prime-boost regime, providing support for the
future development of a whole-parasite TBV.
PMID- 29371622
TI - On the origins of strain inhomogeneity in amorphous materials.
AB - Strain is a crucial measure of materials deformation for evaluating and
predicting the mechanical response, strength, and fracture. The spatial
resolution attainable by the modern real and reciprocal space techniques
continues to improve, alongside the ability to carry out atomistic simulations.
This is offering new insights into the very concept of strain. In crystalline
materials, the presence of well-defined, stable atomic planes allows defining
strain as the relative change in the interplanar spacing. However, the presence
of disorder, e.g. locally around defects such as dislocation cores, and
particularly the pervasive atomic disorder in amorphous materials challenge
existing paradigms: disorder prevents a reference configuration being defined,
and allows strain to be accommodated in a different manner to crystalline
materials. As an illustration, using experimental pair distribution function
analysis in combination with Molecular Dynamic (MD) simulations, we highlight the
importance of bond angle change vs bond stretching for strain accommodation in
amorphous systems.
PMID- 29371621
TI - Molecular Mechanism of Resveratrol's Lipid Membrane Protection.
AB - Resveratrol, a natural compound found in red wine and various vegetables, has
drawn increasing interest due to its reported benefit in cardiovascular
protection, neurodegenerative disorders, and cancer therapy. The mechanism by
which resveratrol exerts such pleiotropic effects remains unclear. It remains as
one of the most discussed polyphenol compounds in the debating "French Paradox".
In this study, using molecular dynamics simulations of dipalmitoyl
phosphatidylcholine (DPPC) bilayer with resveratrol, we generated a free energy
map of resveratrol's location and orientation of inside the lipid bilayer. We
found that resveratrol increases the surface area per lipid and decreases
membrane thickness, which is the opposite effect of the well-studied cholesterol
on liquid phase DPPC. Most importantly, based on the simulation observation that
resveratrol has a high probability of forming hydrogen bonds with sn-1 and sn-2
ester groups, we discovered a new mechanism using experimental approach, in which
resveratrol protects both sn-1 and sn-2 ester bonds of DPPC and distearoyl
phosphatidylcholine (DSPC) from phospholipase A1 (PLA1) and phospholipase A2
(PLA2) cleavage. Our study elucidates the new molecular mechanism of potential
health benefits of resveratrol and possibly other similar polyphenols and
provides a new paradigm for drug design based on resveratrol and its analogs.
PMID- 29371620
TI - Nanoparticle Properties Modulate Their Attachment and Effect on Carrier Red Blood
Cells.
AB - Attachment of nanoparticles (NPs) to the surface of carrier red blood cells
(RBCs) profoundly alters their interactions with the host organism, decelerating
NP clearance from the bloodstream while enabling NP transfer from the RBC surface
to the vascular cells. These changes in pharmacokinetics of NPs imposed by
carrier RBCs are favorable for many drug delivery purposes. On the other hand,
understanding effects of NPs on the carrier RBCs is vital for successful
translation of this novel drug delivery paradigm. Here, using two types of
distinct nanoparticles (polystyrene (PSNP) and lysozyme-dextran nanogels (LDNG))
we assessed potential adverse and sensitizing effects of surface adsorption of
NPs on mouse and human RBCs. At similar NP loadings (approx. 50 particles per
RBC), adsorption of PSNPs, but not LDNGs, induces RBCs agglutination and
sensitizes RBCs to damage by osmotic, mechanical and oxidative stress. PSNPs, but
not LDNGs, increase RBC stiffening and surface exposure of phosphatidylserine,
both known to accelerate RBC clearance in vivo. Therefore, NP properties and
loading amounts have a profound impact on RBCs. Furthermore, LDNGs appear
conducive to nanoparticle drug delivery using carrier RBCs.
PMID- 29371623
TI - Pervasive Rise of Small-scale Deforestation in Amazonia.
AB - Understanding forest loss patterns in Amazonia, the Earth's largest rainforest
region, is critical for effective forest conservation and management. Following
the most detailed analysis to date, spanning the entire Amazon and extending over
a 14-year period (2001-2014), we reveal significant shifts in deforestation
dynamics of Amazonian forests. Firstly, hotspots of Amazonian forest loss are
moving away from the southern Brazilian Amazon to Peru and Bolivia. Secondly,
while the number of new large forest clearings (>50 ha) has declined
significantly over time (46%), the number of new small clearings (<1 ha)
increased by 34% between 2001-2007 and 2008-2014. Thirdly, we find that small
scale low-density forest loss expanded markedly in geographical extent during
2008-2014. This shift presents an important and alarming new challenge for forest
conservation, despite reductions in overall deforestation rates.
PMID- 29371624
TI - The ecological origins of snakes as revealed by skull evolution.
AB - The ecological origin of snakes remains amongst the most controversial topics in
evolution, with three competing hypotheses: fossorial; marine; or terrestrial.
Here we use a geometric morphometric approach integrating ecological,
phylogenetic, paleontological, and developmental data for building models of
skull shape and size evolution and developmental rate changes in squamates. Our
large-scale data reveal that whereas the most recent common ancestor of crown
snakes had a small skull with a shape undeniably adapted for fossoriality, all
snakes plus their sister group derive from a surface-terrestrial form with non
fossorial behavior, thus redirecting the debate toward an underexplored
evolutionary scenario. Our comprehensive heterochrony analyses further indicate
that snakes later evolved novel craniofacial specializations through global
acceleration of skull development. These results highlight the importance of the
interplay between natural selection and developmental processes in snake origin
and diversification, leading first to invasion of a new habitat and then to
subsequent ecological radiations.
PMID- 29371625
TI - Structural effect of two-dimensional BNNS on grain growth suppressing behaviors
in Al-matrix nanocomposites.
AB - While nanocrystalline (NC) metals exhibit superior strength to conventional
microcrystalline metals, their thermal instability has hampered their application
at high temperatures. Herein, two-dimensional (2D) boron nitride nanosheets
(BNNS) are proposed as reinforcement to enhance the strength as well as the
thermal stability of NC Al. The strength of pure Al was increased from 80 to 468
MPa by refining its grains from ~600 to ~40 nm, and it was further enhanced to
685 MPa by incorporating 2 vol% of BNNS. Moreover, the small amount of BNNS was
found to effectively suppress grain growth of NC Al at 580 degrees C (~0.9 Tm,
where Tm is the melting point of Al), which prevented a strength drop at high
temperature. Finally, the Zener pinning model in conjunction with phase-field
simulations was utilized to qualitatively analyze the effect of the BNNS on the
grain boundary pinning as a function of volume, shape, and orientation of the
reinforcement. The model demonstrated that the pinning force of 2D reinforcements
is much higher than that of spherical particles. Hence, 2D BNNS offer the
possibility of developing Al-matrix nanocomposites for high-temperature
structural applications.
PMID- 29371627
TI - Volume, size, professionals' specialization and nutrition management of NICUs and
their association with treatment quality in VLBW infants.
AB - OBJECTIVE: To assess the association of volume, size, the availability of highly
specialized professionals and nutrition management of NICUs with treatment
quality among VLBW infants. STUDY DESIGN: A prospective multicenter study of 923
VLBW infants in 66 German NICUs, born between May and October 2013. Using
multilevel modeling, we examined the association between the aforementioned
organizational characteristics and treatment quality, measured via major
morbidities (severe IVH, PVL, BPD, NEC, FIP, ROP, and discharge without severe
complications) and medical process measures of VLBW infants. RESULTS: After risk
adjustment and accounting for other NICU characteristics, infants in low-volume
NICUs were at higher risk of IVH, ROP and PVL. However, the initial effect of
volume on process measures (growth velocity, administration of antenatal
steroids) disappeared. CONCLUSION: Volume can only partially explain differences
in the treatment quality of VLBWs. The underlying organizational mechanisms
should be considered to improve the quality of care.
PMID- 29371628
TI - Impact of NICU admission on Colorado-born late preterm infants: breastfeeding
initiation, continuation and in-hospital breastfeeding practices.
AB - OBJECTIVE: Compare breastfeeding initiation and continuation rates, and in
hospital breastfeeding practices, of late preterm infants (LPIs) cared for in a
NICU versus those cared for exclusively in the nursery (non-NICU). STUDY DESIGN:
Using data from the 2009-2014 Colorado Pregnancy Risk Assessment Monitoring
System (PRAMS), breastfeeding initiation, continuation, and in-hospital
breastfeeding practices of NICU versus non-NICU LPIs (34 0/7 to 36 6/7 weeks
gestation, n = 20,767) were analyzed, and multivariate models were created
controlling for maternal and infant characteristics. RESULTS: Mothers of NICU
LPIs were equally likely to initiate breastfeeding (APR 1.0; 95% CI 0.95-1.06)
but less likely to continue breastfeeding at 10 weeks (APR 0.86; 95% CI 0.76
0.99) compared to mothers of non-NICU LPIs. Mothers of NICU LPIs were less likely
to breastfeed in the hospital, less likely to be told to feed infants on demand,
and more likely to be given a breast pump during hospitalization. CONCLUSIONS:
There are significant differences in both breastfeeding continuation and several
in-hospital breastfeeding practices for NICU versus non-NICU LPIs. Further
research is needed so that targeted policies and programs can be developed to
improve breastfeeding rates in this vulnerable population.
PMID- 29371626
TI - A global ocean atlas of eukaryotic genes.
AB - While our knowledge about the roles of microbes and viruses in the ocean has
increased tremendously due to recent advances in genomics and metagenomics,
research on marine microbial eukaryotes and zooplankton has benefited much less
from these new technologies because of their larger genomes, their enormous
diversity, and largely unexplored physiologies. Here, we use a
metatranscriptomics approach to capture expressed genes in open ocean Tara Oceans
stations across four organismal size fractions. The individual sequence reads
cluster into 116 million unigenes representing the largest reference collection
of eukaryotic transcripts from any single biome. The catalog is used to unveil
functions expressed by eukaryotic marine plankton, and to assess their functional
biogeography. Almost half of the sequences have no similarity with known
proteins, and a great number belong to new gene families with a restricted
distribution in the ocean. Overall, the resource provides the foundations for
exploring the roles of marine eukaryotes in ocean ecology and biogeochemistry.
PMID- 29371629
TI - Alterations of oral microbiota distinguish children with autism spectrum
disorders from healthy controls.
AB - Altered gut microbiota is associated with autism spectrum disorders (ASD), a
group of complex, fast growing but difficult-to-diagnose neurodevelopmental
disorders worldwide. However, the role of the oral microbiota in ASD remains
unexplored. Via high-throughput sequencing of 111 oral samples in 32 children
with ASD and 27 healthy controls, we demonstrated that the salivary and dental
microbiota of ASD patients were highly distinct from those of healthy
individuals. Lower bacterial diversity was observed in ASD children compared to
controls, especially in dental samples. Also, principal coordinate analysis
revealed divergences between ASD patients and controls. Moreover, pathogens such
as Haemophilus in saliva and Streptococcus in plaques showed significantly higher
abundance in ASD patients, whereas commensals such as Prevotella, Selenomonas,
Actinomyces, Porphyromonas, and Fusobacterium were reduced. Specifically, an
overt depletion of Prevotellaceae co-occurrence network in ASD patients was
obtained in dental plaques. The distinguishable bacteria were also correlated
with clinical indices, reflecting disease severity and the oral health status
(i.e. dental caries). Finally, diagnostic models based on key microbes were
constructed, with 96.3% accuracy in saliva. Taken together, this study
characterized the habitat-specific profile of the oral microbiota in ASD
patients, which might help develop novel strategies for the diagnosis of ASD.
PMID- 29371630
TI - Necessity of p53-binding to the CDH1 locus for its expression defines two
epithelial cell types differing in their integrity.
AB - TP53 mutation (i.e., loss of normal-p53) may evoke epithelial-mesenchymal
transition (EMT), which was previously attributed to loss of certain miRNAs.
However, not all epithelial cells undergo EMT upon TP53 mutation, and the p53
miRNA axis may not fully explain p53 function in epithelial integrity. We here
show two modes of epithelial integrity: one involves p53-binding to a nucleotide
region and the other does not. In the former, p53 binds to the CDH1 (encoding E
cadherin) locus to antagonize EZH2-mediated H3K27 trimethylation (H3K27me3) to
maintain high levels of acetylation of H3K27 (H3K27ac). In the latter, the same
locus is not highly acetylated at H3K27, and does not allow p53-binding, nor
needs to antagonize EZH2. We moreover demonstrated that although the CDH1 locus
in the p53-independent cells, but not in fibroblasts, becomes high-H3K27ac by
butyrate and allows p53-biniding, their CDH1 expression does not become dependent
on p53. Our results identified novel modes of the epithelial integrity, in which
the same epithelial-specific gene locus exhibits different requirement for p53
with different histone modifications among different epithelial cells to warrant
its expression.
PMID- 29371631
TI - A Strategy for Nonmigrating Plasticized PVC Modified with Mannich base of Waste
Cooking Oil Methyl Ester.
AB - The waste cooking oil (WCO) production from the catering industry and food
processing industry causes serious environmental, economic and social problems.
However, WCO can be used for the preparation of fine chemicals such as internal
plasticizer. With this aim, this work is focused on preparing internal
plasticizer by using WCO and determining technical viability of non-migration
poly (vinyl chloride) (PVC) materials. The mannich base of waste cooking oil
methyl ester (WCOME) was synthesized from WCO via esterification,
interesterification and mannich reaction, which was used to produce self
plasticization PVC materials as an internal plasticizer. The results showed that
the PVC was plasticized effectively. Self-plasticization PVC films showed no
migration in n-hexane, but 15.7% of dioctyl phthalate (DOP) leached from
DOP/PVC(50/50) system into n-hexane. These findings transformed the traditional
plastic processing technology and obtained cleaner production of no migration
plasticizer from WCO.
PMID- 29371632
TI - Distribution and accumulation of dietary ergothioneine and its metabolites in
mouse tissues.
AB - L-ergothioneine (ET) is a diet-derived amino acid that accumulates at high
concentrations in animals and humans. Numerous studies have highlighted its
antioxidant abilities in vitro, and possible cytoprotective capabilities in vivo.
We investigated the uptake and distribution of ET in various organs by a highly
sensitive and specific liquid chromatography coupled tandem mass spectrometry (LC
MS/MS) technique, both before and after oral administration of pure ET (35 and 70
mg/kg/day for 1, 7, and 28 days) to male C57BL6J mice. ET primarily concentrates
in the liver and whole blood, and also in spleen, kidney, lung, heart,
intestines, eye, and brain tissues. Strong correlations were found between ET and
its putative metabolites - hercynine, ET-sulfonate (ET-SO3H), and S-methyl ET.
Hercynine accumulates in the brain after prolonged ET administration. This study
demonstrates the uptake and distribution of ET and provides a foundation for
future studies with ET to target oxidative damage in a range of tissues in human
diseases.
PMID- 29371633
TI - Contrasting temperature trends across the ice-free part of Greenland.
AB - Temperature changes in the Arctic have notable impacts on ecosystem structure and
functioning, on soil carbon dynamics, and on the stability of permafrost, thus
affecting ecosystem functions and putting man-built infrastructure at risk.
Future warming in the Arctic could accelerate important feedbacks in permafrost
degradation processes. Therefore it is important to map vulnerable areas most
likely to be impacted by temperature changes and at higher risk of degradation,
particularly near communities, to assist adaptation to climate change. Currently,
these areas are poorly assessed, especially in Greenland. Here we quantify trends
in satellite-derived land surface temperatures and modelled air temperatures,
validated against observations, across the entire ice-free Greenland. Focus is on
the past 30 years, to characterize significant changes and potentially vulnerable
regions at a 1 km resolution. We show that recent temperature trends in Greenland
vary significantly between seasons and regions and that data with resolutions
down to single km2 are critical to map temperature changes for guidance of
further local studies and decision-making. Only a fraction of the ice-free
Greenland seems vulnerable due to warming when analyzing year 2001-2015, but the
most pronounced changes are found in the most populated parts of Greenland. As
Greenland represents important gradients of north/south coast/inland/distance to
large ice sheets, the conclusions are also relevant in an upscaling to greater
Arctic areas.
PMID- 29371634
TI - Urban environment predisposes dogs and their owners to allergic symptoms.
AB - Our companion-animals, dogs, suffer increasingly from non-communicable diseases,
analogous to those common in humans, such as allergic manifestations. In humans,
living in rural environments is associated with lower risk of allergic diseases.
Our aim was to explore whether a similar pattern can be found in dogs, using a
nation-wide survey in Finland (n = 5722). We characterised the land-use around
dog's home at the time of birth as well as around its current home, and described
several lifestyle factors. The severity of owner-reported allergic symptoms in
dogs was estimated with a comprehensive set of questions, developed by experts of
canine dermatology. Also, the prevalence of diagnosed allergies in dog owners was
recorded. The results indicate that allergic symptoms are more prevalent in urban
environments both in dog owners and in dogs (accounting the effect of dog breed).
Several factors related to rural living, such as bigger family size and regular
contact with farm animals and other pets, were also protective against allergic
symptoms in dogs. Interestingly, allergic dogs were more likely to have allergic
owners than healthy dogs were. Therefore, we suggest that the mutual presence of
allergic symptoms in both species indicates common underlying causal factors of
allergic diseases.
PMID- 29371635
TI - Global Quantitative Proteomics reveal Deregulation of Cytoskeletal and Apoptotic
Signalling Proteins in Oral Tongue Squamous Cell Carcinoma.
AB - Oral malignancies remain to have higher morbidity and mortality rates owing to
the poor understanding of the carcinogenesis and the lack of early detection and
diagnosis. The lack of established biomarkers for oral tongue squamous cell
carcinoma (OTSCC) resulted in aggressive multi-modality management less
effective. Here, we report for the first time that a panel of potential markers
identified from tongue tumor samples using two-dimensional-differential-in-gel
electrophoresis (2D-DIGE). Our approach of combining 2D-DIGE with tandem mass
spectrometry identified 24 candidate proteins including cofilins, myosin light
chain family members, annexins, serpins, HSPs and tropomyosins, with significant
differential expression in tongue carcinomas as compared with their matched
adjacent normal tissues. The expression levels of the identified proteins were
further validated in larger cohort of Indian samples using qPCR. Most of the
differentially regulated proteins are involved in actin cytoskeletal dynamics,
drug resistance, immune system, inflammation and apoptotic signalling pathways
and are known to play critical role in oral tumorigenesis. Taken together, the
results from present investigation provide a valuable base for understanding the
development and progression of OTSCC. The validated panel of proteins may be used
as potential biomarkers for early detection as well as in predicting therapeutic
outcome of OTSCC.
PMID- 29371636
TI - Topological Valley Transport in Two-dimensional Honeycomb Photonic Crystals.
AB - Two-dimensional photonic crystals, in analogy to AB/BA stacking bilayer graphene
in electronic system, are studied. Inequivalent valleys in the momentum space for
photons can be manipulated by simply engineering diameters of cylinders in a
honeycomb lattice. The inequivalent valleys in photonic crystal are selectively
excited by a designed optical chiral source and bulk valley polarizations are
visualized. Unidirectional valley interface states are proved to exist on a
domain wall connecting two photonic crystals with different valley Chern numbers.
With the similar optical vortex index, interface states can couple with bulk
valley polarizations and thus valley filter and valley coupler can be designed.
Our simple dielectric PC scheme can help to exploit the valley degree of freedom
for future optical devices.
PMID- 29371637
TI - Cell death-based approaches in treatment of the urinary tract-associated
diseases: a fight for survival in the killing fields.
AB - Urinary tract-associated diseases comprise a complex set of disorders with a
variety of etiologic agents and therapeutic approaches and a huge global burden
of disease, estimated at around 1 million deaths per year. These diseases include
cancer (mainly prostate, renal, and bladder), urinary tract infections, and
urolithiasis. Cell death plays a key role in the pathogenesis and therapy of
these conditions. During urinary tract infections, invading bacteria may either
promote or prevent host cell death by interfering with cell death pathways. This
has been studied in detail for uropathogenic E. coli (UPEC). Inhibition of host
cell death may allow intracellular persistence of live bacteria, while promoting
host cell death causes tissue damage and releases the microbes. Both crystals and
urinary tract obstruction lead to tubular cell death and kidney injury. Among the
pathomechanisms, apoptosis, necroptosis, and autophagy represent key processes.
With respect to malignant disorders, traditional therapeutic efforts have focused
on directly promoting cancer cell death. This may exploit tumor-specific
characteristics, such as targeting Vascular Endothelial Growth Factor (VEGF)
signaling and mammalian Target of Rapamycin (mTOR) activity in renal cancer and
inducing survival factor deprivation by targeting androgen signaling in prostate
cancer. An area of intense research is the use of immune checkpoint inhibitors,
aiming at unleashing the full potential of immune cells to kill cancer cells. In
the future, this may be combined with additional approaches exploiting intrinsic
sensitivities to specific modes of cell death such as necroptosis and
ferroptosis. Here, we review the contribution of diverse cell death mechanisms to
the pathogenesis of urinary tract-associated diseases as well as the potential
for novel therapeutic approaches based on an improved molecular understanding of
these mechanisms.
PMID- 29371638
TI - Characterization of Nanodiamond-based anti-HIV drug Delivery to the Brain.
AB - Human Immunodeficiency Virus Type 1 (HIV-1) remains one of the leading causes of
death worldwide. Present combination antiretroviral therapy has substantially
improved HIV-1 related pathology. However, delivery of therapeutic agents to the
HIV reservoir organ like Central nervous system (CNS) remains a major challenge
primarily due to the ineffective transmigration of drugs through Blood Brain
Barrier (BBB). The recent advent of nanomedicine-based drug delivery has
stimulated the development of innovative systems for drug delivery. In this
regard, particular focus has been given to nanodiamond due to its natural
biocompatibility and non-toxic nature-making it a more efficient drug carrier
than other carbon-based materials. Considering its potential and importance, we
have characterized unmodified and surface-modified (-COOH and -NH2) nanodiamond
for its capacity to load the anti-HIV-1 drug efavirenz and cytotoxicity, in
vitro. Overall, our study has established that unmodified nanodiamond conjugated
drug formulation has significantly higher drug loading capacity than surface
modified nanodiamond with minimum toxicity. Further, this nanodrug formulation
was characterized by its drug dissolution profile, transmigration through the
BBB, and its therapeutic efficacy. The present biological characterizations
provide a foundation for further study of in-vivo pharmacokinetics and
pharmacodynamics of nanodiamond-based anti-HIV drugs.
PMID- 29371639
TI - Application of Sustainable Natural Resources in Agriculture: Acaricidal and
Enzyme Inhibitory Activities of Naphthoquinones and Their Analogs against
Psoroptes cuniculi.
AB - As important secondary plant metabolites, naphthoquinones exhibit a wide range of
biological activities. However, their potential as sustainable alternatives to
synthetic acaricides has not been studied. This study for the first time
investigates the acaricidal activity of naphthoquinones against Psoroptes
cuniculi in vitro. Furthermore, the in vivo activity, the skin irritation
effects, the cytotoxicity and the inhibitory activities against mite
acetylcholinesterase (AChE) and glutathione S-transferase (GST) of the two
compounds that displayed the best insecticidal activity in vitro were evaluated.
Among fourteen naphthoquinones and their analogs, juglone and plumbagin were
observed to possess the strongest acaricidal activities against P. cuniculi with
LC50 values of 20.53 ppm and 17.96 ppm, respectively, at 24 h. After three
treatments, these two chemicals completely cured naturally infested rabbits in
vivo within 15 days, and no skin irritation was found in any of the treated
rabbits. Compared to plumbagin, juglone presented no or weak cytotoxicity against
HL-7702 cells. Moreover, these two chemicals significantly inhibited AChE and GST
activity. These results indicate that juglone has promising toxicity against P.
cuniculi, is safe for both humans and animals at certain doses, and could be used
as a potential alternative bio-acaricide for controlling the development of
psoroptic mange in agricultural applications.
PMID- 29371641
TI - Dairy products and total calcium intake at 13 years of age and its association
with obesity at 21 years of age.
AB - BACKGROUND/OBJECTIVES: Dairy products and specifically calcium have been
suggested to play a role in obesity development but more longitudinal evidence is
still needed. The objective of this study was to assess the association between
dairy products and total calcium intake at age 13 and body mass index at age 21.
SUBJECTS/METHODS: This longitudinal study included 2159 individuals from the
Epidemiological Health Investigation of Teenagers cohort (EPITeen), Porto,
Portugal, evaluated at ages 13 and 21. Assessment consisted of anthropometrics
measurements and structured questionnaires namely a semi-quantitative food
frequency questionnaire to appraise food consumption in the past 12 months.
Linear regression models were run in 941 individuals with complete information of
confounders: gender, follow-up period, parents' education, physical activity,
energy, and total calcium intake. RESULTS: Negative association was found on
total calcium intake at age 13 with BMI at age 21 (model 0: beta = -0.059 (95%
CI: -0.113, -0.004) and model 1: -0.057 (95% CI: -0.113, -0.002)), however, no
statistically significant association was found when adjusting for energy intake
(model 2: beta = -0.031 (95% CI: -0.110, 0.047). There were no associations
between milk, yogurt, and cheese consumption at age 13 and BMI at age 21 when
adjusting for confounders. CONCLUSIONS: This study did not support an independent
effect of dairy products or total calcium intake in adolescence on later early
adulthood adiposity.
PMID- 29371640
TI - Clinical utility gene card for McArdle disease.
AB - Name of the disease (synonyms) McArdle disease (glycogenosis type V; glycogen
storage disease V (GSDV); PYGM deficiency; muscle glycogen phosphorylase
deficiency; myophosphorylase deficiency). OMIM# of the disease #232600. Name of
the analysed genes or DNA/chromosome segments Muscle glycogen phosphoryalse
(PYGM). OMIM# of the gene(s) #608455.Review of the analytical and clinical
validity as well as of the clinical utility of DNA-based testing for variants in
the PYGM gene(s) in? diagnostic,? predictive and? prenatal settings and for? risk
assessment in relatives.
PMID- 29371642
TI - Isolation and characterization of novel mutations in the pSC101 origin that
increase copy number.
AB - pSC101 is a narrow host range, low-copy plasmid commonly used for genetically
manipulating Escherichia coli. As a byproduct of a genetic screen for a more
sensitive lactam biosensor, we identified multiple novel mutations that increase
the copy number of plasmids with the pSC101 origin. All mutations identified in
this study occurred on plasmids which also contained at least one mutation
localized to the RepA protein encoded within the origin. Homology modelling
predicts that many of these mutations occur within the dimerization interface of
RepA. Mutant RepA resulted in plasmid copy numbers between ~31 and ~113
copies/cell, relative to ~5 copies/cell in wild-type pSC101 plasmids. Combining
the mutations that were predicted to disrupt multiple contacts on the
dimerization interface resulted in copy numbers of ~500 copies/cell, while also
attenuating growth in host strains. Fluorescent protein production expressed from
an arabinose-inducible promoter on mutant origin derived plasmids did correlate
with copy number. Plasmids harboring RepA with one of two mutations, E83K and
N99D, resulted in fluorescent protein production similar to that from p15a- (~20
copies/cell) and ColE1- (~31 copies/cell) based plasmids, respectively. The
mutant copy number variants retained compatibility with p15a, pBBR, and ColE1
origins of replication. These pSC101 variants may be useful in future metabolic
engineering efforts that require medium or high-copy vectors compatible with p15a
and ColE1-based plasmids.
PMID- 29371643
TI - Pharmacokinetic parameters explain the therapeutic activity of antimicrobial
agents in a silkworm infection model.
AB - Poor pharmacokinetic parameters are a major reason for the lack of therapeutic
activity of some drug candidates. Determining the pharmacokinetic parameters of
drug candidates at an early stage of development requires an inexpensive animal
model with few associated ethical issues. In this study, we used the silkworm
infection model to perform structure-activity relationship studies of an
antimicrobial agent, GPI0039, a novel nitrofuran dichloro-benzyl ester, and
successfully identified compound 5, a nitrothiophene dichloro-benzyl ester, as a
potent antimicrobial agent with superior therapeutic activity in the silkworm
infection model. Further, we compared the pharmacokinetic parameters of compound
5 with a nitrothiophene benzyl ester lacking chlorine, compound 7, that exerted
similar antimicrobial activity but had less therapeutic activity in silkworms,
and examined the metabolism of these antimicrobial agents in human liver
fractions in vitro. Compound 5 had appropriate pharmacokinetic parameters, such
as an adequate half-life, slow clearance, large area under the curve, low volume
of distribution, and long mean residence time, compared with compound 7, and was
slowly metabolized by human liver fractions. These findings suggest that the
therapeutic effectiveness of an antimicrobial agent in the silkworms reflects
appropriate pharmacokinetic properties.
PMID- 29371644
TI - Recombinant yeast and human cells as screening tools to search for antibacterial
agents targeting the transcription termination factor Rho.
AB - The alarming issue of antibiotic resistance expansion requires a continuous
search for new and efficient antibacterial agents. Here we describe the design of
new tools to screen for target-specific inhibitors of the bacterial Rho factor
directly inside eukaryotic cells. Rho factor is a global regulator of gene
expression which is essential to most bacteria, especially Gram-negative. Since
Rho has no functional or structural homolog in eukaryotes, it constitutes a
valuable and well known bacterial target as evidenced by its inhibition by the
natural antibiotic, Bicyclomycin. Our screening tools are based on perturbation
of mRNA processing and packaging reactions in the nucleus of eukaryotic cells by
the RNA-dependent helicase/translocase activity of bacterial Rho factor leading
to a growth defect phenotype. In this approach, any compound that impedes Rho
activity should restore growth to yeast or human cells expressing Rho protein,
providing valuable means to screen for target-specific antibacterial agents
within the environment of a eukaryotic cell. The yeast tool expressing E. coli
Rho factor was validated using Bicyclomycin as the control antibacterial agent.
The validation of the screening tool was further extended with a stable human
cell line expressing Rho factor conditionally. Finally, we show that Rho factors
from different bacterial pathogens can also be designed as yeast-based screening
tools which can reveal subtle variations in the functional features of the
proteins.
PMID- 29371645
TI - Study of the soluble salts formation in a recently restored house of Pompeii by
in-situ Raman spectroscopy.
AB - The walls and mural paintings of Pompeii exposed directly to the rainfalls are
the most impacted in view of the observed decay. However, there are also wall
paintings in protected rooms showing evidences of decaying. The aim of this
research was to study the salts formed in such protected wall paintings only by
non-invasive and in-situ Raman spectroscopy to understand their decaying
processes. The perystile of the House of the Gilded Cupids (Regio VI, Insula 16),
one of the most important houses of Pompeii was studied. Although an exhaustive
restoration was carried out in 2004, a new conservation treatment was needed in
2013 and only two years later, extensive crystallizations of soluble salts were
again threatening several of the restored surfaces, thus, the presence of an
unsolved degradation pathway was deduced. Thank to the proposed methodology, it
was pointed out that the key is the acidified rainfall impact in the non
protected backside of the walls containing the wall paintings. Thus, a new
concept in the preservation of the houses of Pompeii is provided, in which the
need of the protection of those walls from both sides is suggested to avoid the
movement of water through the pores of the walls.
PMID- 29371646
TI - Alternating Droplet Formation by using Tapered Channel Geometry.
AB - The ability to produce a controlled sequence of alternating droplets from two
separate sources inside a microfluidic system brings several advantages in
microfluidic analysis. The effectiveness of this technique for use in an
application depends on the ability of the device to replicate the pattern
continuously and accurately. In this work we studied the effect of the dispersed
phase channel geometry on generating a repeating pattern of alternating droplets
in a cross junction microfluidic device. By measuring the radius of curvature of
a droplet at the time of break up, and calculating the Laplace pressure using
these values, we analyzed how the angle of taper of the dispersed phase inlet
channel has an influence on the pattern repetition and uniformity of formed
droplet size and spacing in between. The performance of devices with different
angle of taper values were studied experimentally. This comparative study
indicated that the ability of a cross junction device to generate alternating
droplets with uniform size and spacing is highly dependent on the angle of taper
of the inlet channels; and it improves with larger taper angles.
PMID- 29371647
TI - Laser-accelerated particle beams for stress testing of materials.
AB - Laser-driven particle acceleration, obtained by irradiation of a solid target
using an ultra-intense (I > 1018 W/cm2) short-pulse (duration <1 ps) laser, is a
growing field of interest, in particular for its manifold potential applications
in different domains. Here, we provide experimental evidence that laser-generated
particles, in particular protons, can be used for stress testing materials and
are particularly suited for identifying materials to be used in harsh conditions.
We show that these laser-generated protons can produce, in a very short time
scale, a strong mechanical and thermal damage, that, given the short irradiation
time, does not allow for recovery of the material. We confirm this by analyzing
changes in the mechanical, optical, electrical, and morphological properties of
five materials of interest to be used in harsh conditions.
PMID- 29371648
TI - Re-initiation of bottom water formation in the East Sea (Japan Sea) in a warming
world.
AB - The East Sea (Japan Sea), a small marginal sea in the northwestern Pacific, is
ventilated deeply down to the bottom and sensitive to changing surface
conditions. Addressing the response of this marginal sea to the hydrological
cycle and atmospheric forcing would be helpful for better understanding present
and future environmental changes in oceans at the global and regional scales.
Here, we present an analysis of observations revealing a slowdown of the long
term deepening in water boundaries associated with changes of water formation
rate. Our results indicate that bottom (central) water formation has been
enhanced (reduced) with more (less) oxygen supply to the bottom (central) layer
since the 2000s. This paper presents a new projection that allows a three-layered
deep structure, which retains bottom water, at least until 2040, contrasting
previous results. This projection considers recent increase of slope convections
mainly due to the salt supply via air-sea freshwater exchange and sea ice
formation and decrease of open-ocean convections evidenced by reduced mixed layer
depth in the northern East Sea, resulting in more bottom water and less central
water formations. Such vigorous changes in water formation and ventilation
provide certain implications on future climate changes.
PMID- 29371649
TI - Studies on the Proteome of Human Hair - Identification of Histones and Deamidated
Keratins.
AB - Human hair is laminar-fibrous tissue and an evolutionarily old keratinization
product of follicle trichocytes. Studies on the hair proteome can give new
insights into hair function and lead to the development of novel biomarkers for
hair in health and disease. Human hair proteins were extracted by detergent and
detergent-free techniques. We adopted a shotgun proteomics approach, which
demonstrated a large extractability and variety of hair proteins after detergent
extraction. We found an enrichment of keratin, keratin-associated proteins
(KAPs), and intermediate filament proteins, which were part of protein networks
associated with response to stress, innate immunity, epidermis development, and
the hair cycle. Our analysis also revealed a significant deamidation of keratin
type I and II, and KAPs. The hair shafts were found to contain several types of
histones, which are well known to exert antimicrobial activity. Analysis of the
hair proteome, particularly its composition, protein abundances, deamidated hair
proteins, and modification sites, may offer a novel approach to explore potential
biomarkers of hair health quality, hair diseases, and aging.
PMID- 29371650
TI - Astrocytes modulate brainstem respiratory rhythm-generating circuits and
determine exercise capacity.
AB - Astrocytes are implicated in modulation of neuronal excitability and synaptic
function, but it remains unknown if these glial cells can directly control
activities of motor circuits to influence complex behaviors in vivo. This study
focused on the vital respiratory rhythm-generating circuits of the preBotzinger
complex (preBotC) and determined how compromised function of local astrocytes
affects breathing in conscious experimental animals (rats). Vesicular release
mechanisms in astrocytes were disrupted by virally driven expression of either
the dominant-negative SNARE protein or light chain of tetanus toxin. We show that
blockade of vesicular release in preBotC astrocytes reduces the resting breathing
rate and frequency of periodic sighs, decreases rhythm variability, impairs
respiratory responses to hypoxia and hypercapnia, and dramatically reduces the
exercise capacity. These findings indicate that astrocytes modulate the activity
of CNS circuits generating the respiratory rhythm, critically contribute to
adaptive respiratory responses in conditions of increased metabolic demand and
determine the exercise capacity.
PMID- 29371651
TI - In silico profiling of systemic effects of drugs to predict unexpected
interactions.
AB - Identifying unexpected drug interactions is an essential step in drug
development. Most studies focus on predicting whether a drug pair interacts or is
effective on a certain disease without considering the mechanism of action (MoA).
Here, we introduce a novel method to infer effects and interactions of drug pairs
with MoA based on the profiling of systemic effects of drugs. By investigating
propagated drug effects from the molecular and phenotypic networks, we
constructed profiles of 5,441 approved and investigational drugs for 3,833
phenotypes. Our analysis indicates that highly connected phenotypes between drug
profiles represent the potential effects of drug pairs and the drug pairs with
strong potential effects are more likely to interact. When applied to drug
interactions with verified effects, both therapeutic and adverse effects have
been successfully identified with high specificity and sensitivity. Finally,
tracing drug interactions in molecular and phenotypic networks allows us to
understand the MoA.
PMID- 29371653
TI - Nuclear pores: the gate to neurodegeneration.
PMID- 29371652
TI - Phage or foe: an insight into the impact of viral predation on microbial
communities.
AB - Since their discovery, bacteriophages have been traditionally regarded as the
natural enemies of bacteria. However, recent advances in molecular biology
techniques, especially data from "omics" analyses, have revealed that the
interplay between bacterial viruses and their hosts is far more intricate than
initially thought. On the one hand, we have become more aware of the impact of
viral predation on the composition and genetic makeup of microbial communities
thanks to genomic and metagenomic approaches. Moreover, data obtained from
transcriptomic, proteomic, and metabolomic studies have shown that responses to
phage predation are complex and diverse, varying greatly depending on the
bacterial host, phage, and multiplicity of infection. Interestingly, phage
exposure may alter different phenotypes, including virulence and biofilm
formation. The complexity of the interactions between microbes and their viral
predators is also evidenced by the link between quorum-sensing signaling pathways
and bacteriophage resistance. Overall, new data increasingly suggests that both
temperate and virulent phages have a positive effect on the evolution and
adaptation of microbial populations. From this perspective, further research is
still necessary to fully understand the interactions between phage and host under
conditions that allow co-existence of both populations, reflecting more
accurately the dynamics in natural microbial communities.
PMID- 29371656
TI - John Lisman 1944-2017.
PMID- 29371655
TI - Skip the salt: your brain might thank you.
PMID- 29371657
TI - Pamela Sklar 1959-2017.
PMID- 29371654
TI - Integrating new findings and examining clinical applications of pattern
separation.
AB - Pattern separation, the ability to independently represent and store similar
experiences, is a crucial facet of episodic memory. Growing evidence suggests
that the hippocampus possesses unique circuitry that is computationally capable
of resolving mnemonic interference by using pattern separation. In this Review,
we discuss recent advances in the understanding of this process and evaluate the
caveats and limitations of linking across animal and human studies. We summarize
clinical and translational studies using methods that are sensitive to pattern
separation impairments, an approach that stems from the fact that the hippocampus
is a major site of disruption in many brain disorders. We critically evaluate the
assumptions that guide fundamental and translational studies in this area.
Finally, we suggest guidelines for future research and offer ways to overcome
potential interpretational challenges to increase the utility of pattern
separation as a construct that can further understanding of both memory processes
and brain disease.
PMID- 29371658
TI - Grid cells map the visual world.
PMID- 29371659
TI - Same path, different beginnings.
PMID- 29371660
TI - Natural Intermediate Band in I 2 -II-IV-VI4 Quaternary Chalcogenide
Semiconductors.
AB - An intermediate band in the band gap of semiconductors is fundamental to the
development of the intermediate band solar cells, but it is usually produced
artificially, which imposes technical challenges on the experimental realization.
Here we found that there are natural intermediate bands in the band gaps of the
I2-II-IV-VI4 quaternary chalcogenide semiconductors such as Cu2ZnSnS4 and
Ag2ZnSnSe4, which had been proposed as promising light-absorber semiconductors in
thin film solar cells. By first-principles calculations, we found the lowest
conduction band of these I2-II-IV-VI4 semiconductors in the kesterite structure
is isolated (a lone band, resulting from the energy separation between Sn 5s and
5p states), which can be viewed as a natural intermediate band. The gap between
the intermediate band and higher-energy conduction band can be increased through
changing the crystal structure from the zincblende-derived kesterite structure to
the wurtzite-derived wurtzite-kesterite structure. In contrast, the intermediate
conduction band gap shrinks when the component element Sn is replaced by Ge
(Cu2ZnGeS4), and the gap even disappears (intermediate band disappear) when Sn is
replaced by Si (Cu2ZnSiS4). Through tuning the intermediate-conduction and
intermediate-valence band gaps, we show that the wurtzite-kesterite structured
Ag2ZnSnSe4 may be a potential light-absorber semiconductor in intermediate band
solar cells.
PMID- 29371661
TI - Cellular death, reactive oxygen species (ROS) and diabetic complications.
AB - Chronic or intermittent hyperglycemia is associated with the development of
diabetic complications. Several signaling pathways can be altered by having
hyperglycemia in different tissues, producing oxidative stress, the formation of
advanced glycation end products (AGEs), as well as the secretion of the pro
inflammatory cytokines and cellular death (pathological autophagy and/or
apoptosis). However, the signaling pathways that are directly triggered by
hyperglycemia appear to have a pivotal role in diabetic complications due to the
production of reactive oxygen species (ROS), oxidative stress, and cellular
death. The present review will discuss the role of cellular death in diabetic
complications, and it will suggest the cause and the consequences between the
hyperglycemia-induced signaling pathways and cell death. The signaling pathways
discussed in this review are to be described step-by-step, together with their
respective inhibitors. They involve diacylglycerol, the activation of protein
kinase C (PKC) and NADPH-oxidase system, and the consequent production of ROS.
This was initially entitled the "dangerous metabolic route in diabetes". The
historical usages and the recent advancement of new drugs in controlling possible
therapeutical targets have been highlighted, in order to evaluate the evolution
of knowledge in this sensitive area. It has recently been shown that the
metabolic responses to stimuli (i.e., hyperglycemia) involve an integrated
network of signaling pathways, in order to define the exact responses. Certain
new drugs have been experimentally tested-or suggested and proposed-for their
ability to modulate the possible biochemical therapeutical targets for the
downregulation of retinopathy, nephropathy, neuropathy, heart disease,
angiogenesis, oxidative stress, and cellular death. The aim of this study was to
critically and didactically evaluate the exact steps of these signaling pathways
and hence mark the indicated sites for the actions of such drugs and their
possible consequences. This review will emphasize, besides others, the
therapeutical targets for controlling the signaling pathways, when aimed at the
downregulation of ROS generation, oxidative stress, and, consequently, cellular
death-with all of these conditions being a problem in diabetes.
PMID- 29371662
TI - Crystal structure of lipid A disaccharide synthase LpxB from Escherichia coli.
AB - Most Gram-negative bacteria are surrounded by a glycolipid called
lipopolysaccharide (LPS), which forms a barrier to hydrophobic toxins and, in
pathogenic bacteria, is a virulence factor. During LPS biosynthesis, a membrane
associated glycosyltransferase (LpxB) forms a tetra-acylated disaccharide that is
further acylated to form the membrane anchor moiety of LPS. Here we solve the
structure of a soluble and catalytically competent LpxB by X-ray crystallography.
The structure reveals that LpxB has a glycosyltransferase-B family fold but with
a highly intertwined, C-terminally swapped dimer comprising four domains. We
identify key catalytic residues with a product, UDP, bound in the active site, as
well as clusters of hydrophobic residues that likely mediate productive membrane
association or capture of lipidic substrates. These studies provide the basis for
rational design of antibiotics targeting a crucial step in LPS biosynthesis.
PMID- 29371664
TI - Carbon nanofibers (CNFs) supported cobalt- nickel sulfide (CoNi2S4) nanoparticles
hybrid anode for high performance lithium ion capacitor.
AB - Lithium ion capacitors possess an ability to bridge the gap between lithium ion
battery and supercapacitor. The main concern of fabricating lithium ion
capacitors is poor rate capability and cyclic stability of the anode material
which uses sluggish faradaic reactions to store an electric charge. Herein, we
have fabricated high performance hybrid anode material based on carbon nanofibers
(CNFs) and cobalt-nickel sulfide (CoNi2S4) nanoparticles via simple
electrospinning and electrodeposition methods. Porous and high conducting
CNF@CoNi2S4 electrode acts as an expressway network for electronic and ionic
diffusion during charging-discharging processes. The effect of anode to cathode
mass ratio on the performance has been studied by fabricating lithium ion
capacitors with different mass ratios. The surface controlled contribution of
CNF@CoNi2S4 electrode was 73% which demonstrates its excellent rate capability.
Lithium ion capacitor fabricated with CNF@CoNi2S4 to AC mass ratio of 1:2.6
showed excellent energy density of 85.4 Wh kg-1 with the power density of 150 W
kg-1. Also, even at the high power density of 15 kW kg-1, the cell provided the
energy density of 35 Wh kg-1. This work offers a new strategy for designing high
performance hybrid anode with the combination of simple and cost effective
approaches.
PMID- 29371663
TI - High-resolution spatiotemporal transcriptome mapping of tomato fruit development
and ripening.
AB - Tomato (Solanum lycopersicum) is an established model for studying fruit biology;
however, most studies of tomato fruit growth and ripening are based on
homogenized pericarp, and do not consider the internal tissues, or the expression
signatures of individual cell and tissue types. We present a spatiotemporally
resolved transcriptome analysis of tomato fruit ontogeny, using laser
microdissection (LM) or hand dissection coupled with RNA-Seq analysis. Regulatory
and structural gene networks, including families of transcription factors and
hormone synthesis and signaling pathways, are defined across tissue and
developmental spectra. The ripening program is revealed as comprising gradients
of gene expression, initiating in internal tissues then radiating outward, and
basipetally along a latitudinal axis. We also identify spatial variations in the
patterns of epigenetic control superimposed on ripening gradients. Functional
studies elucidate previously masked regulatory phenomena and relationships,
including those associated with fruit quality traits, such as texture, color,
aroma, and metabolite profiles.
PMID- 29371666
TI - The creation of electric wind due to the electrohydrodynamic force.
AB - Understanding the interactions between ionized matter and neutral particles is a
prerequisite for discovering their impact on natural phenomena. One such
phenomenon is the electric wind, which supposedly occurs due to the charged
particle-neutral coupling in systems of weakly ionized gases, but this mechanism
remains unclear. Here, we report direct evidence that electric wind is caused by
an electrohydrodynamic force generated by the charged particle drag as a result
of the momentum transfer from electrons/ions to neutrals. The model experiment is
based on a pulsed plasma jet as a source of weakly ionized gases generated in the
helium gas at atmospheric pressure using Schlieren photography. Studying the
helium gas flow trajectories at different discharge parameters allows one to
distinguish between the effects of streamer propagation or space charge drift
causing the electric wind as well as to determine the role of electrons and
(positive) ions in wind generation.
PMID- 29371665
TI - Lsd1 regulates skeletal muscle regeneration and directs the fate of satellite
cells.
AB - Satellite cells are muscle stem cells required for muscle regeneration upon
damage. Of note, satellite cells are bipotent and have the capacity to
differentiate not only into skeletal myocytes, but also into brown adipocytes.
Epigenetic mechanisms regulating fate decision and differentiation of satellite
cells during muscle regeneration are not yet fully understood. Here, we show that
elevated levels of lysine-specific demethylase 1 (Kdm1a, also known as Lsd1) have
a beneficial effect on muscle regeneration and recovery after injury, since Lsd1
directly regulates key myogenic transcription factor genes. Importantly,
selective Lsd1 ablation or inhibition in Pax7-positive satellite cells, not only
delays muscle regeneration, but changes cell fate towards brown adipocytes. Lsd1
prevents brown adipocyte differentiation of satellite cells by repressing
expression of the novel pro-adipogenic transcription factor Glis1. Together,
downregulation of Glis1 and upregulation of the muscle-specific transcription
program ensure physiological muscle regeneration.
PMID- 29371667
TI - Distinct distribution patterns of ammonia-oxidizing archaea and bacteria in
sediment and water column of the Yellow River estuary.
AB - Ammonia oxidation is a critical process of estuarine nitrogen cycling involving
ammonia-oxidizing archaea (AOA) and bacteria (AOB). However, the distribution
patterns of ammonia-oxidizing microorganisms (AOMs) between different habitats in
the same area remain unclear. The present study investigated the AOMs' abundance
and community compositions in both sediment and water habitats of the Yellow
River estuary. Quantitative PCR (qPCR) revealed that AOA showed significant
higher abundance than AOB both in sediment and water samples. AOA and AOB
abundance distribution trends were consistent in sediment but distinct in water
along the sampling sites. Clone library-based analyses showed that AOA sequences
were affiliated with Nitrososphaera, Nitrosopumilus and Nitrosotalea clusters.
Generally, Nitrososphaera was predominant in sediment, while Nitrosopumilus and
Nitrosotalea dominated in water column. AOB sequences were classified into genera
Nitrosospira and Nitrosomonas, and Nitrosospira dominated in both habitats.
Principal coordinate analysis (PCoA) also indicated AOA community structures
exhibited significant differences between two habitats, while AOB were not.
Ammonium and carbon contents were the potential key factors to influence AOMs'
abundance and compositions in sediment, while no measured variables were
determined to have major influences on communities in water habitat. These
findings increase the understanding of the AOMs' distribution patterns in
estuarine ecosystems.
PMID- 29371668
TI - The compositional and nano-structural basis of fracture healing in healthy and
osteoporotic bone.
AB - Osteoporosis, a prevalent metabolic bone disorder, predisposes individuals to
increased susceptibility to fractures. It is also, somewhat controversially,
thought to delay or impair the regenerative response. Using high-resolution
Fourier-transform infrared spectroscopy and small/wide-angle X-ray scattering we
sought to answer the following questions: Does the molecular composition and the
nano-structure in the newly regenerated bone differ between healthy and
osteoporotic environments? And how do pharmacological treatments, such as bone
morphogenetic protein 7 (BMP-7) alone or synergistically combined with
zoledronate (ZA), alter callus composition and nano-structure in such
environments? Cumulatively, on the basis of compositional and nano-structural
characterizations of newly formed bone in an open-osteotomy rat model, the
healing response in untreated healthy and ovariectomy-induced osteoporotic
environments was fundamentally the same. However, the BMP-7 induced osteogenic
response resulted in greater heterogeneity in the nano-structural crystal
dimensions and this effect was more pronounced with osteoporosis. ZA mitigated
the effects of the upregulated catabolism induced by both BMP-7 and an
osteoporotic bone environment. The findings contribute to our understanding of
how the repair processes in healthy and osteoporotic bone differ in both
untreated and treated contexts and the data presented represents the most
comprehensive study of fracture healing at the nanoscale undertaken to date.
PMID- 29371670
TI - Simultaneous bilateral total knee arthroplasty in patients with end-stage
hemophilic arthropathy: a mean follow-up of 6 years.
AB - To investigate the safety, cost-effectiveness, and clinical outcomes of
simultaneous bilateral total knee arthroplasty (TKA) in hemophilic arthropathy
(HA), the requirements for transfusions, complications, costs, hospital stays,
Hospital for Special Surgery (HSS) knee scores, knee range of motion (ROM) and
revision rates were compared between simultaneous bilateral and unilateral TKA in
HA patients. A total of 36 patients and 54 knees were included. Compared to the
unilateral group, the bilateral group did not require more transfusions (2.39 +/-
3.13 vs 0.83 +/- 1.38 units of RBCs, p > 0.05) or consumption of coagulation
factors (50091.67 +/- 25168.5 vs 46477.78 +/- 11348.32 IU, p > 0.05),
complications rate (13/36 vs 6/18, p > 0.05), hospital stay (32.39 +/- 19.77 vs
29.11 +/- 12.67 days, p > 0.05), or costs excluding prostheses (14945.41 +/-
6634.35 vs 14742.12 +/- 5746.78 US dollars, p > 0.05). Additionally, the two
groups exhibited similar medium-term knee HSS scores (83.67 +/- 7.11 vs 81.00 +/-
10.35, p > 0.05) and ROM (89.39 degrees +/- 13.66 degrees vs 88.91 degrees +/-
12.90 degrees , p > 0.05). Our data indicate that bilateral TKA is a safe and
cost-effective treatment for HA with similar medium-term results compared to
unilateral TKA.
PMID- 29371669
TI - Development of novel fluorescent histamine H1-receptor antagonists to study
ligand-binding kinetics in living cells.
AB - The histamine H1-receptor (H1R) is an important mediator of allergy and
inflammation. H1R antagonists have particular clinical utility in allergic
rhinitis and urticaria. Here we have developed six novel fluorescent probes for
this receptor that are very effective for high resolution confocal imaging,
alongside bioluminescence resonance energy transfer approaches to monitor H1R
ligand binding kinetics in living cells. The latter technology exploits the
opportunities provided by the recently described bright bioluminescent protein
NanoLuc when it is fused to the N-terminus of a receptor. Two different
pharmacophores (mepyramine or the fragment VUF13816) were used to generate
fluorescent H1R antagonists conjugated via peptide linkers to the fluorophore
BODIPY630/650. Kinetic properties of the probes showed wide variation, with the
VUF13816 analogues having much longer H1R residence times relative to their
mepyramine-based counterparts. The kinetics of these fluorescent ligands could
also be monitored in membrane preparations providing new opportunities for future
drug discovery applications.
PMID- 29371671
TI - Development of a novel zebrafish xenograft model in ache mutants using liver
cancer cell lines.
AB - Acetylcholinesterase (AChE), an enzyme responsible for degradation of
acetylcholine, has been identified as a prognostic marker in liver cancer.
Although in vivo Ache tumorigenicity assays in mouse are present, no established
liver cancer xenograft model in zebrafish using an ache mutant background exists.
Herein, we developed an embryonic zebrafish xenograft model using epithelial
(Hep3B) and mesenchymal (SKHep1) liver cancer cell lines in wild-type and ache
sb55 sibling mutant larvae after characterization of cholinesterase expression
and activity in cell lines and zebrafish larvae. The comparison of fluorescent
signal reflecting tumor size at 3-days post-injection (dpi) revealed an enhanced
tumorigenic potential and a reduced migration capacity in cancer cells injected
into homozygous ache sb55 mutants when compared with the wild-type. Increased
tumor load was confirmed using an ALU based tumor DNA quantification method
modified for use in genotyped xenotransplanted zebrafish embryos. Confocal
microscopy using the Huh7 cells stably expressing GFP helped identify the
distribution of tumor cells in larvae. Our results imply that acetylcholine
accumulation in the microenvironment directly or indirectly supports tumor growth
in liver cancer. Use of this model system for drug screening studies holds
potential in discovering new cholinergic targets for treatment of liver cancers.
PMID- 29371672
TI - Moderate acute alcohol intoxication increases visual motion repulsion.
AB - Among the serious consequences of alcohol abuse is the reduced ability to process
visual information. Diminished vision from excessive consumption of alcohol has
been implicated in industrial, home, and automobile accidents. Alcohol is also
generally recognized as an inhibitor in the brain by potentiating GABA-ergic
transmission. In this study, we focused on visual motion processing and explored
whether moderate alcohol intoxication induced changes in inhibitory mediated
motion repulsion in a center-surround configuration. We conducted a double-blind,
placebo-controlled, within-subjects study on the effect of alcohol on visual
motion repulsion. Each subject underwent three experimental conditions (no
alcohol, placebo and moderate alcohol) on separate days. The order of the placebo
and moderate alcohol conditions was counterbalanced. The results showed that the
effects of the surround context on the perception of the center motion direction
were similar in both the sober (no alcohol) and placebo conditions. However,
contextual modulations were significantly stronger during intoxication compared
to both the sober and placebo conditions. These results demonstrate that moderate
alcohol consumption is associated with altered neural function in visual cortical
areas and that motion repulsion deficits might reflect the inhibitory effects of
alcohol on the central nervous system.
PMID- 29371673
TI - Human Rhinovirus 3C protease cleaves RIPK1, concurrent with caspase 8 activation.
AB - Human Rhinovirus (HRV) is a pathogen of significant medical importance, being a
major cause of upper respiratory tract infections (common colds) as well as
causing the majority of virus-induced asthma exacerbations. We investigated
whether HRV could modulate apoptosis, an innate antiviral response. Apoptotic
signals are generated either extrinsically or intrinsically and are propagated
via caspase cascades that lead to cell death, reducing viral replication, which
relies on cellular machinery. Using HRV16 infected cells, in combination with
chemical inducers and inhibitors of extrinsic apoptosis we show that HRV16 3C
protease cleaves a key intermediate in extrinsic apoptosis. Receptor-interacting
protein kinase-1 (RIPK1), an extrinsic apoptosis adaptor protein, was cleaved by
caspase 8, as expected, during chemical induction of apoptosis. RIPK1 was cleaved
in HRV infection albeit at a different site. Caspase 8 activation, which is
associated with extrinsic apoptosis, was concurrent with HRV 3C protease mediated
cleavage of RIPK1, and potentially increased the accessibility of the HRV 3C
cleavage site within RIPK1 in-vitro. The caspase 8 mediated RIPK1 cleavage
product has a pro-apoptotic function, and further cleavage of this pro-apoptotic
cleavage product by HRV 3C may provide a mechanism by which HRV limits apoptosis.
PMID- 29371674
TI - Cell death-based treatment of various diseases: a fifty-year journey.
PMID- 29371675
TI - Metasurfaced Reverberation Chamber.
AB - The concept of metasurfaced reverberation chamber (RC) is introduced in this
paper. It is shown that by coating the chamber wall with a rotating 1-bit random
coding metasurface, it is possible to enlarge the test zone of the RC while
maintaining the field uniformity as good as that in a traditional RC with
mechanical stirrers. A 1-bit random coding diffusion metasurface is designed to
obtain all-direction backscattering under normal incidence. Three specific cases
are studied for comparisons, including a (traditional) mechanical stirrer RC, a
mechanical stirrer RC with a fixed diffusion metasurface, and a RC with a
rotating diffusion metasurface. Simulation results show that the compact rotating
diffusion metasurface can act as a stirrer with good stirring efficiency. By
using such rotating diffusion metasurface, the test region of the RC can be
greatly extended.
PMID- 29371676
TI - Assessment of the characteristics and biocompatibility of gelatin sponge
scaffolds prepared by various crosslinking methods.
AB - This comparative study aims to identify a biocompatible and effective crosslinker
for preparing gelatin sponges. Glutaraldehyde (GTA), genipin (GP), 1-ethyl-3-(3
dimethyl aminopropyl)carbodiimide (EDC), and microbial transglutaminase (mTG)
were used as crosslinking agents. The physical properties of the prepared samples
were characterized, and material degradation was studied in vitro with various
proteases and in vivo through subcutaneous implantation of the sponges in rats.
Adipose-derived stromal stem cells (ADSCs) were cultured and inoculated onto the
scaffolds to compare the cellular biocompatibility of the sponges. Cellular
seeding efficiency and digestion time of the sponges were also evaluated.
Cellular viability and proliferation in scaffolds were analyzed by fluorescence
staining and MTT assay. All the samples exhibited high porosity, good swelling
ratio, and hydrolysis properties; however, material strength, hydrolysis, and
enzymolytic properties varied among the samples. GTA-sponge and GP-sponge
possessed high compressive moduli, and EDC-sponge exhibited fast degradation
performance. GTA and GP sponge implants exerted strong in vivo rejections, and
the former showed poor cell growth. mTG-sponge exhibited the optimal
comprehensive performance, with good porosity, compressive modulus, anti
degradation ability, and good biocompatibility. Hence, mTG-sponge can be used as
a scaffold material for tissue engineering applications.
PMID- 29371677
TI - A quiescent cell population replenishes mesenchymal stem cells to drive
accelerated growth in mouse incisors.
AB - The extent to which heterogeneity within mesenchymal stem cell (MSC) populations
is related to function is not understood. Using the archetypal MSC in vitro
surface marker, CD90/Thy1, here we show that 30% of the MSCs in the continuously
growing mouse incisor express CD90/Thy1 and these cells give rise to 30% of the
differentiated cell progeny during postnatal development. In adulthood, when
growth rate homeostasis is established, the CD90/Thy1+ MSCs decrease dramatically
in number. When adult incisors are cut, the growth rate increases to rapidly re
establish tooth length and homeostasis. This accelerated growth rate correlates
with the re-appearance of CD90/Thy+ MSCs and re-establishment of their
contribution to cell differentiation. A population of Celsr1+ quiescent cells
becomes mitotic following clipping and replenishes the CD90/Thy1 population. A
sub-population of MSCs thus exists in the mouse incisor, distinguished by
expression of CD90/Thy1 that plays a specific role only during periods of
increased growth rate.
PMID- 29371678
TI - Inwardly Rectifying Potassium (Kir) Channels Represent a Critical Ion Conductance
Pathway in the Nervous Systems of Insects.
AB - A complete understanding of the physiological pathways critical for proper
function of the insect nervous system is still lacking. The recent development of
potent and selective small-molecule modulators of insect inward rectifier
potassium (Kir) channels has enabled the interrogation of the physiological role
and toxicological potential of Kir channels within various insect tissue systems.
Therefore, we aimed to highlight the physiological and functional role of neural
Kir channels the central nervous system, muscular system, and neuromuscular
system through pharmacological and genetic manipulations. Our data provide
significant evidence that Drosophila neural systems rely on the inward
conductance of K+ ions for proper function since pharmacological inhibition and
genetic ablation of neural Kir channels yielded dramatic alterations of the CNS
spike discharge frequency and broadening and reduced amplitude of the evoked EPSP
at the neuromuscular junction. Based on these data, we conclude that neural Kir
channels in insects (1) are critical for proper function of the insect nervous
system, (2) represents an unexplored physiological pathway that is likely to
shape the understanding of neuronal signaling, maintenance of membrane
potentials, and maintenance of the ionic balance of insects, and (3) are capable
of inducing acute toxicity to insects through neurological poisoning.
PMID- 29371679
TI - A functional interplay between Delta133p53 and DeltaNp63 in promoting glycolytic
metabolism to fuel cancer cell proliferation.
AB - Although DeltaNp63 is known to promote cancer cell proliferation, the underlying
mechanism behind its oncogenic function remains elusive. We report here a
functional interplay between DeltaNp63 and Delta133p53. These two proteins are co
overexpressed in a subset of human cancers and cooperate to promote cell
proliferation. Mechanistically, Delta133p53 binds to DeltaNp63 and utilizes its
transactivation domain to upregulate GLUT1, GLUT4, and PGM expression driving
glycolysis. While increased glycolysis provides cancer cells with anabolic
metabolism critical for proliferation and survival, it can be harnessed for
selective cancer cell killing. Indeed, we show that tumors overexpressing both
DeltaNp63 and Delta133p53 exhibit heightened sensitivity to vitamin C that
accumulate to a lethal level due to accelerated uptake via overexpressed GLUT1.
These observations offer a new therapeutic avenue that could be exploited for
clinical applications.
PMID- 29371680
TI - CHTM1, a novel metabolic marker deregulated in human malignancies.
AB - A better understanding of the link between cellular metabolism and tumorigenesis
is needed. Here, we report characterization of a novel protein named coiled-coil
helix tumor and metabolism 1 (CHTM1). We have found that CHTM1 is associated with
cancer and cellular metabolism. CHTM1 localizes to mitochondria and cytosol, and
its deficiency in cancer cells results in decreased mitochondrial oxygen
consumption and ATP levels as well as oxidative stress indicating mitochondrial
dysfunction. CHTM1-deficient cancer cells display poor growth under
glucose/glutamine-deprived conditions, whereas cells expressing increased levels
of exogenous CHTM1 exhibit enhanced proliferation and survival under similar
conditions. CHTM1 deficiency also leads to defects in lipid metabolism resulting
in fatty acid accumulation, which explains poor growth of CHTM1-deficient cells
under glucose/glutamine deprivation since nutrient deprivation increases
dependency on lipids for energy generation. We also demonstrate that CHTM1
mediates its effect via the PKC, CREB, and PGC-1alpha signaling axis, and
cytosolic accumulation of CHTM1 during nutrient deprivation appears to be
important for its effect on cellular signaling events. Furthermore, analyses of
tissue specimens from 71 breast and 97 colon cancer patients show CHTM1
expression to be upregulated in the majority of tumor specimens representing
these malignancies. Collectively, our findings are highly significant because
CHTM1 is a novel metabolic marker that is important for the growth of tumorigenic
cells under limiting nutrient supplies and thus, links cellular metabolism and
tumorigenesis.
PMID- 29371682
TI - Real-time TIRF observation of vinculin recruitment to stretched alpha-catenin by
AFM.
AB - Adherens junctions (AJs) adaptively change their intensities in response to
intercellular tension; therefore, they integrate tension generated by individual
cells to drive multicellular dynamics, such as morphogenetic change in embryos.
Under intercellular tension, alpha-catenin, which is a component protein of AJs,
acts as a mechano-chemical transducer to recruit vinculin to promote actin
remodeling. Although in vivo and in vitro studies have suggested that alpha
catenin-mediated mechanotransduction is a dynamic molecular process, which
involves a conformational change of alpha-catenin under tension to expose a
cryptic vinculin binding site, there are no suitable experimental methods to
directly explore the process. Therefore, in this study, we developed a novel
system by combining atomic force microscopy (AFM) and total internal reflection
fluorescence (TIRF). In this system, alpha-catenin molecules (residues 276-634;
the mechano-sensitive M1-M3 domain), modified on coverslips, were stretched by
AFM and their recruitment of Alexa-labeled full-length vinculin molecules,
dissolved in solution, were observed simultaneously, in real time, using TIRF. We
applied a physiologically possible range of tensions and extensions to alpha
catenin and directly observed its vinculin recruitment. Our new system could be
used in the fields of mechanobiology and biophysics to explore functions of
proteins under tension by coupling biomechanical and biochemical information.
PMID- 29371684
TI - 'To treat or not to treat': raising awareness on the effects of graft versus host
disease drugs on musculoskeletal system.
PMID- 29371681
TI - The effect of feature-based attention on flanker interference processing: An fMRI
constrained source analysis.
AB - The present study examined whether feature-based cueing affects early or late
stages of flanker conflict processing using EEG and fMRI. Feature cues either
directed participants' attention to the upcoming colour of the target or were
neutral. Validity-specific modulations during interference processing were
investigated using the N200 event-related potential (ERP) component and BOLD
signal differences. Additionally, both data sets were integrated using an fMRI
constrained source analysis. Finally, the results were compared with a previous
study in which spatial instead of feature-based cueing was applied to an
otherwise identical flanker task. Feature-based and spatial attention recruited a
common fronto-parietal network during conflict processing. Irrespective of
attention type (feature-based; spatial), this network responded to focussed
attention (valid cueing) as well as context updating (invalid cueing), hinting at
domain-general mechanisms. However, spatially and non-spatially directed
attention also demonstrated domain-specific activation patterns for conflict
processing that were observable in distinct EEG and fMRI data patterns as well as
in the respective source analyses. Conflict-specific activity in visual brain
regions was comparable between both attention types. We assume that the
distinction between spatially and non-spatially directed attention types
primarily applies to temporal differences (domain-specific dynamics) between
signals originating in the same brain regions (domain-general localization).
PMID- 29371685
TI - Extramedullary relapses after allogeneic stem cell transplantation for acute
myeloid leukemia: clinical characteristics, incidence, risk factors and outcomes.
AB - Acute myeloid leukemia (AML) relapse after allogeneic hematopoietic cell
transplant (allo-HCT) is challenging. Data on extramedullary relapse (EMR) after
allo-HCT are limited. We analyzed 215 patients with AML who underwent allo-HCT in
our institution between January 2005 and December 2015. We limited this
retrospective review to patients who received a MA conditioning, were in complete
remission (CR) at the time of transplant and who received a matched sibling
transplant, all other patients were excluded to avoid heterogeneity. Seventy
seven (35.8%) patients experienced disease relapse, 45 had BMR, and 32 had EMR.
The only variable that was statistically associated with EMR post allo-HCT was
male sex (OR = 3.2 (1.2, 8.2), p-value = 0.01); there was a trend for association
between transplant in >CR2 and EMR (OR = 0.38 (0.14, 1.06), p-value = 0.06). The
median overall survival (OS) after relapse for all relapses was 10 months (95% CI
4.839-15.161). The median OS for BMR group was 8 months (95% CI 2.850-13.150) and
14 months for the EMR group (95% CI 5.776-22.224); however, this was not
statistically significant, p-value = 0.4. Multivariate analysis revealed that
gender, treatment modality, and time from allo-HCT to relapse (>=12 vs. <12
months) have significant association with the post-relapse death. Male gender was
the only significant factor associated with EMR.
PMID- 29371686
TI - Vedolizumab for treatment of steroid-refractory lower gastrointestinal acute
graft-versus-host disease.
PMID- 29371683
TI - DNA methylation of TOMM40-APOE-APOC2 in Alzheimer's disease.
AB - The apolipoprotein E (APOE) epsilon4 allele is the major genetic risk factor for
Alzheimer's disease (AD). Multiple regulatory elements, spanning the extended
TOMM40-APOE-APOC2 region, regulate gene expression at this locus. Regulatory
element DNA methylation changes occur under different environmental conditions,
such as disease. Our group and others have described an APOE CpG island as
hypomethylated in AD, compared to cognitively normal controls. However, little is
known about methylation of the larger TOMM40-APOE-APOC2 region. The hypothesis of
this investigation was that regulatory element methylation levels of the larger
TOMM40-APOE-APOC2 region are associated with AD. The aim was to determine whether
DNA methylation of the TOMM40-APOE-APOC2 region differs in AD compared to
cognitively normal controls in post-mortem brain and peripheral blood. DNA was
extracted from human brain (n = 12) and peripheral blood (n = 67). A methylation
array was used for this analysis. Percent methylation within the TOMM40-APOE
APOC2 region was evaluated for differences according to tissue type, disease
state, AD-related biomarkers, and gene expression. Results from this exploratory
analysis suggest that regulatory element methylation levels within the larger
TOMM40-APOE-APOC2 gene region correlate with AD-related biomarkers and TOMM40 or
APOE gene expression in AD.
PMID- 29371687
TI - Role of up-front allogeneic hematopoietic stem cell transplantation for patients
with aggressive adult T-cell leukemia-lymphoma: a decision analysis.
PMID- 29371688
TI - PD-L1 reverses depigmentation in Pmel-1 vitiligo mice by increasing the abundance
of Tregs in the skin.
AB - Programmed cell death 1 ligand 1 (PD-L1) is a ligand of programmed cell death 1
(PD-1) that functions as an immune checkpoint by down-regulating immune
responses. To determine whether PD-L1 is a therapy target in vitiligo treatment,
Pmel-1 vitiligo mice were treated with a PD-L1 fusion protein. Treatment with
this fusion protein significantly reversed/suppressed depigmentation development
in adult Pmel-1 mice. Mechanistically, enrichment of regulatory T cells (Treg) in
the skin was detected after PD-L1 fusion protein treatment in Pmel-1 mice.
Furthermore, Tregs abundance was also increased in both the spleen and
circulation of Pmel-1 mice treated with PD-L1. These data indicate that PD-L1
protein therapy inhibits the immune response and reverses depigmentation
development in Pmel-1 vitiligo mice.
PMID- 29371690
TI - Are dental students well-equipped to deal with difficult communication
situations?
PMID- 29371689
TI - Biologically active constituents of the secretome of human W8B2+ cardiac stem
cells.
AB - The benefits of adult stem cells for repair of the heart have been attributed to
the repertoire of salutary paracrine activities they appear to exert. We
previously isolated human W8B2+ cardiac stem cells (CSCs) and found they
powerfully influence cardiomyocytes and endothelial cells to collectively promote
cardiac repair and regeneration. Here, the complexity of the W8B2+ CSC secretomes
was characterised and examined in more detail. Using ion exchange chromatography
to separate soluble proteins based on their net surface charge, the secreted
factors responsible for the pro-survival activity of W8B2+ CSCs were found within
the low and medium cation fractions. In addition to the soluble proteins,
extracellular vesicles generated from W8B2+ CSCs not only exhibited pro-survival
and pro-angiogenic activities, but also promoted proliferation of neonatal
cardiomyocytes. These extracellular vesicles contain a cargo of proteins, mRNA
and primary microRNA precursors that are enriched in exosomes and are capable of
modulating collectively many of the cellular pathways involved in protein
metabolism, cell growth, as well as cellular responses to stress and organisation
of the extracellular matrix. Thus the W8B2+ CSC secretome contains a multitude of
bioactive paracrine factors we have now characterised, that might well be
harnessed for therapeutic application for cardiac repair and regeneration.
PMID- 29371691
TI - Surgical endodontics: are the guidelines being followed? A pilot survey.
PMID- 29371692
TI - Severe headache following local anaesthesia in a patient with a history of
traumatic brain injury - a case report.
PMID- 29371693
TI - Perceived confidence and experience in oral surgery among final year
undergraduate students in a UK dental school.
PMID- 29371694
TI - A review of the indicator of sedation need (IOSN): what is it and how can it be
improved?
AB - The indicator of sedation need (IOSN) is a tool that has been devised to help
with clinical decision-making, health needs assessment and commissioning purposes
for the provision of sedation services. It can potentially increase access for
patients to sedation when used as a screening tool, however, there are some
shortcomings in the IOSN, such as the fact that it is not speciality specific,
that can reduce its efficacy. As such, in its current form the IOSN may not be
robust enough to be used as a sole commissioning tool and may in fact create
barriers to patients that would benefit from sedation. By addressing these issues
and understanding its limitations, the IOSN can be used more effectively for its
intended purposes.
PMID- 29371695
TI - Metformin ameliorates experimental-obesity-associated autoimmune arthritis by
inducing FGF21 expression and brown adipocyte differentiation.
AB - Rheumatoid arthritis (RA) is a systemic autoimmune disease involving excessive
inflammation. Recently, RA associated with a metabolic disorder was revealed to
be non-responsive to RA medications. Metformin has been reported to have a
therapeutic effect on RA and obesity. The aim of this investigation was to study
the therapeutic effect and the underlying mechanism of metformin's action in an
experimental model of collagen-induced arthritis (CIA) associated with obesity.
Metformin was administered daily for 13 weeks to mice with CIA that had been fed
a high-fat diet. Metformin ameliorated the development of CIA in obese mice by
reducing autoantibody expression and joint inflammation. Furthermore, metformin
decreased the expression levels of pSTAT3 and pmTOR and had a small normalizing
effect on the metabolic profile of obese CIA mice. In addition, metformin
increased the production of pAMPK and FGF21. Metformin also induced the
differentiation of brown adipose tissue (BAT), which led to a reciprocal balance
between T helper (Th) 17 and regulatory T (Treg) cells in vitro and in vivo.
These results suggest that metformin can dampen the development of CIA in obese
mice and reduce metabolic dysfunction by inducing BAT differentiation. Thus,
metformin could be a therapeutic candidate for non-responsive RA.
PMID- 29371696
TI - Hydrogen-water ameliorates radiation-induced gastrointestinal toxicity via
MyD88's effects on the gut microbiota.
AB - Although radiation therapy is a cornerstone of modern management of malignancies,
various side effects are inevitably linked to abdominal and pelvic cancer after
radiotherapy. Radiation-mediated gastrointestinal (GI) toxicity impairs the life
quality of cancer survivors and even shortens their lifespan. Hydrogen has been
shown to protect against tissue injuries caused by oxidative stress and excessive
inflammation, but its effect on radiation-induced intestinal injury was
previously unknown. In the present study, we found that oral gavage with hydrogen
water increased the survival rate and body weight of mice exposed to total
abdominal irradiation (TAI); oral gavage with hydrogen-water was also associated
with an improvement in GI tract function and the epithelial integrity of the
small intestine. Mechanistically, microarray analysis revealed that hydrogen
water administration upregulated miR-1968-5p levels, thus resulting in parallel
downregulation of MyD88 expression in the small intestine after TAI exposure.
Additionally, high-throughput sequencing showed that hydrogen-water oral gavage
resulted in retention of the TAI-shifted intestinal bacterial composition in
mice. Collectively, our findings suggested that hydrogen-water might be used as a
potential therapeutic to alleviate intestinal injury induced by radiotherapy for
abdominal and pelvic cancer in preclinical settings.
PMID- 29371697
TI - RNF138-mediated ubiquitination of rpS3 is required for resistance of glioblastoma
cells to radiation-induced apoptosis.
AB - An interaction between ribosomal protein S3 (rpS3) and nuclear factor kappa B or
macrophage migration inhibitory factor in non-small-cell lung cancer is
responsible for radioresistance. However, the role of rpS3 in glioblastoma (GBM)
has not been investigated to date. Here we found that in irradiated GBM cells,
rpS3 translocated into the nucleus and was subsequently ubiquitinated by ring
finger protein 138 (RNF138). Ubiquitin-dependent degradation of rpS3 consequently
led to radioresistance in GBM cells. To elucidate the apoptotic role of rpS3, we
analyzed the interactome of rpS3 in DeltaRNF138 GBM cells. Nuclear rpS3
interacted with DNA damage inducible transcript 3 (DDIT3), leading to DDIT3
induced apoptosis in irradiated DeltaRNF138 GBM cells. These results were
confirmed using in vivo orthotopic xenograft models and GBM patient tissues. This
study aims to clarify the role of RNF138 in GBM cells and demonstrate that rpS3
may be a promising substrate of RNF138 for the induction of GBM radioresistance,
indicating RNF138 as a potential target for GBM therapy.
PMID- 29371698
TI - Rab25 augments cancer cell invasiveness through a beta1 integrin/EGFR/VEGF
A/Snail signaling axis and expression of fascin.
AB - The small GTP-binding protein Rab25 is associated with tumor formation and
progression. However, recent studies have shown discordant effects of Rab25 on
cancer cell progression depending on cell lineage. In the present study, we
elucidate the underlying mechanisms by which Rab25 induces cellular invasion. We
demonstrate that Rab25 increases beta1 integrin levels and subsequent activation
of EGFR and upregulation of VEGF-A expression, leading to increased Snail
expression, epithelial-to-mesenchymal transition and cancer cell invasiveness.
Strikingly, we identify that Snail mediates Rab25-induced cancer cell
invasiveness through fascin expression and that ectopic expression of Rab25
aggravates metastasis of ovarian cancer cells to the lung. We thus demonstrate a
novel role of a beta1 integrin/EGFR/VEGF-A/Snail signaling cascade in Rab25
induced cancer cell aggressiveness through induction of fascin expression, thus
providing novel biomarkers and potential therapeutic targets for Rab25-expressing
cancer cells.
PMID- 29371701
TI - Interventions for pressure ulcers: a summary of evidence for prevention and
treatment.
AB - STUDY DESIGN: Narrative review. OBJECTIVES: Pressure ulcers are a common
complication in people with reduced sensation and limited mobility, occurring
frequently in those who have sustained spinal cord injury. This narrative review
summarises the evidence relating to interventions for the prevention and
treatment of pressure ulcers, in particular from Cochrane systematic reviews. It
also aims to highlight the degree to which people with spinal cord injury have
been included as participants in randomised controlled trials included in
Cochrane reviews of such interventions. SETTING: Global. METHODS: The Cochrane
library (up to July 2017) was searched for systematic reviews of any type of
intervention for the prevention or treatment of pressure ulcers. A search of
PubMed (up to July 2017) was undertaken to identify other systematic reviews and
additional published trial reports of interventions for pressure ulcer prevention
and treatment. RESULTS: The searches revealed 38 published systematic reviews (27
Cochrane and 11 others) and 6 additional published trial reports. An array of
interventions is available for clinical use, but few have been evaluated
adequately in people with SCI. CONCLUSIONS: The effects of most interventions for
preventing and treating pressure ulcers in people with spinal cord injury are
highly uncertain. Existing evaluations of pressure ulcer interventions include
very few participants with spinal cord injury. Subsequently, there is still a
need for high-quality randomised trials of such interventions in this patient
population.
PMID- 29371700
TI - Intra-rater and inter-rater reliability of the Penn Spasm Frequency Scale in
People with chronic traumatic spinal cord injury.
AB - STUDY DESIGN: Psychometric study. OBJECTIVES: Evaluate the intra-rater and inter
rater reliability of the Penn Spasm Frequency Scale (PSFS) in people with chronic
traumatic spinal cord injury (SCI). SETTING: Community setting. METHODS:
Participants with chronic traumatic SCI were administered the PSFS, a self
reported spasticity measure in which the individual documents the frequency (Part
1) and severity (Part 2) of their muscle spasms. One rater administered the PSFS
at baseline, 5 to 10 days, and 4 to 6 weeks after baseline. The second rater
administered the PSFS within 3 days of the first rater. RESULTS: Reliability was
assessed using weighted-kappa (95% confidence interval) statistics. In 61
participants, the intra-rater reliability between 5 to 10 days and 4 to 6 weeks
after baseline was 0.822 (0.709, 0.935) and 0.734 (0.586, 0.883), respectively,
for PSFS Part 1. With the addition of Part 2, the intra-rater reliabilities were
0.812 (0.705, 0.919) and 0.729 (0.586, 0.872) for 5 to 10 days and 4 to 6 weeks,
respectively. The PSFS inter-rater reliability within a 3-day time interval was
0.862 (0.759, 0.965) for Part 1 and 0.857 (0.762, 0.952) with the addition of
Part 2. CONCLUSIONS: PSFS Part 1 intra-rater and inter-rater reliability weighted
kappa statistics are in the "almost perfect" category, with lower confidence
bounds in the "substantial" range. By adding Part 2 the reliability decreases,
but the kappa statistics remain "substantial," with a lower confidence bound in
the "moderate" range. The PSFS is probably a reliable outcome measure to assess
self-reported spasticity after chronic traumatic SCI.
PMID- 29371703
TI - Mitochondrial DNA selection in human germ cells.
PMID- 29371699
TI - Identification of a biosynthetic gene cluster for the polyene macrolactam
sceliphrolactam in a Streptomyces strain isolated from mangrove sediment.
AB - Streptomyces are a genus of Actinobacteria capable of producing structurally
diverse natural products. Here we report the isolation and characterization of a
biosynthetically talented Streptomyces (Streptomyces sp. SD85) from tropical
mangrove sediments. Whole-genome sequencing revealed that Streptomyces sp. SD85
harbors at least 52 biosynthetic gene clusters (BGCs), which constitute 21.2% of
the 8.6-Mb genome. When cultivated under lab conditions, Streptomyces sp. SD85
produces sceliphrolactam, a 26-membered polyene macrolactam with unknown
biosynthetic origin. Genome mining yielded a putative sceliphrolactam BGC (sce)
that encodes a type I modular polyketide synthase (PKS) system, several beta
amino acid starter biosynthetic enzymes, transporters, and transcriptional
regulators. Using the CRISPR/Cas9-based gene knockout method, we demonstrated
that the sce BGC is essential for sceliphrolactam biosynthesis. Unexpectedly, the
PKS system encoded by sce is short of one module required for assembling the 26
membered macrolactam skeleton according to the collinearity rule. With
experimental data disfavoring the involvement of a trans-PKS module, the
biosynthesis of sceliphrolactam seems to be best rationalized by invoking a
mechanism whereby the PKS system employs an iterative module to catalyze two
successive chain extensions with different outcomes. The potential violation of
the collinearity rule makes the mechanism distinct from those of other polyene
macrolactams.
PMID- 29371704
TI - Means and myths of mentoring.
PMID- 29371705
TI - Exonuclease EXD2 in mitochondrial translation.
PMID- 29371706
TI - Hitchhiking on selective autophagy.
PMID- 29371707
TI - Illuminating MSK1's role in tumour dormancy.
PMID- 29371708
TI - Diabetic Neuropathy - situational analysis in Pakistan.
PMID- 29371709
TI - Association of single nucleotide polymorphism in CD28(C/T-I3 + 17) and CD40 (C/T
1) genes with the Graves' disease.
AB - OBJECTIVE: To find out a correlation between the single nucleotide polymorphisms
in cluster of differentiation 28 and cluster of differentiation 40 genes with
Graves' disease, if any. METHODS: This case-control study was conducted at the
Multan Institute of Nuclear Medicine and Radiotherapy, Multan, Pakistan, and
comprised blood samples of Graves' disease patients and controls. Various risk
factors were also correlated either with the genotype at each single-nucleotide
polymorphism or with various combinations of genotypes studied during present
investigation. RESULTS: Of the 160 samples, there were 80(50%) each from patients
and controls. Risk factor analysis revealed that gender (p=0.008), marital status
(p<0.001), education (p<0.001), smoking (p<0.001), tri-iodothyronine (P <0.001),
thyroxin (p<0.001) and thyroid-stimulating hormone (p<0.000) levels in blood were
associated with Graves' disease. CONCLUSIONS: Both single-nucleotide
polymorphisms in both genes were not associated with Graves' disease, either
individually or in any combined form.
PMID- 29371710
TI - Clinical presentations and outcomes of the children with tuberculous meningitis:
An experience at a tertiary care hospital.
AB - OBJECTIVE: To determine the clinical presentations and outcomes of the children
suffering from tuberculous meningitis. METHODS: This prospective, descriptive
study was conducted at the Children's Hospital and the Institute of Child Health,
Multan, Pakistan, from February to December 2015. The Pakistan Paediatric
Association scoring chart for tuberculosis was used as a tool for the probable
diagnosis. The clinical symptoms with their durations were noted. Clinical stages
of tuberculous meningitis, cerebrospinal fluid analysis and computerised
tomography brain findings were noted for each patient. The outcomes in the form
of death or neurological disabilities at the time of hospital discharge were
noted. SPSS 19 was used for data analysis. RESULTS: Of the 40 participants,
25(62.5%) were males and 15(37.5%) were females. The mean age of the patients was
4.24+/-3.32 years. Besides, 26(65%) patients were less than 5 years of age. All
the patients (100%) were categorised as stage 3 tuberculous meningitis. The
history of prolonged duration of fever 39(97.55%) and altered level of sensorium
40(100%) were the most common clinical presentations. Moreover, 2(5%) patients
died during this study. All the 38(95%) survivors had neurological disabilities.
There were motor deficits in 37(97.4%) patients, altered level of sensorium in
35(92%), cranial nerve palsies in 9(23.5%), epilepsy in 29(76.3%) and
hydrocephalus in 32(84%) patients. CONCLUSIONS: The children were the most
vulnerable group for the worst form of tuberculous meningitis and had a grave
outcome.
PMID- 29371711
TI - Achilles tendon elasticity decreases with intermittent claudication in patients
by Acoustic Radiation Force Impulse Imaging (ARFI).
AB - OBJECTIVE: To investigate the value of Acoustic Radiation Force Impulse
elastography and its application in Achilles tendon elasticity of intermittent
claudication due to lower extremity arterial ischaemia. METHODS: This prospective
case-control study was conducted at the Department of Radiology, Faculty of
Medicine, Baskent University, Istanbul, Turkey, from October 2015 to January
2016, and comprised bilateral Achilles tendons of patients with intermittent
claudication and healthy individuals. Shear-Wave Velocity (SWV) of each tendon
was measured using Acoustic Radiation Force Impulse elastography. Arterial
Doppler ultrasonography at tibialis posterior artery was performed to establish
the diagnosis of occlusive arterial disease, and the degree of obstruction was
recorded. SPSS 16 was used for data analysis. RESULTS: Of the 84 subjects,
42(50%) were patients and 42(50%) were controls. The number of bilateral Achilles
tendons was 168, i.e. 84(50%) of patients and 84(50%) of controls. SWV of the
Achilles tendon were different between the two groups (p<0.05). The mean SWV was
5.24+/-0.45 m/s in the relaxed state in the patients and 2.83+/-0.56 m/s in the
controls. No monophasic flow pattern was observed in 23(54.8%) posterior tibial
artery and SWV was 4.94+/-0.40 m/s in the relaxed state Achilles tendon in
patients. Monophasic flow pattern was observed in 61(72.6%) posterior tibial
artery and SWV was 5.35+/-0.42 m/s in the relaxed state Achilles tendon in
patients. SWV values were higher in monophasic flow patterns in the posterior
tibial artery than in those without monophasic flow patterns in patients group
(p<0.01). CONCLUSIONS: The elasticity of healthy tendon decreased with
intermittent claudication in patients.
PMID- 29371712
TI - Complement factor 3 among children with hepatitis A: Assessment of bilirubin
levels.
AB - OBJECTIVE: To evaluate the complement factor 3 levels in children with hepatitis
A. METHODS: This observational study was conducted at the Infectious Diseases
Hospital of Hotan District, China, from September 2014 to January 2015, and
comprised children with hepatitis A and controls. The patients were divided into
two groups. The ones with total bilirubin less than or equal to 2mg/dl comprised
group A, while the ones whose total bilirubin was more than 2mg/dl was named
group B. Besides, we enrolled age- and gender-matched healthy children as
controls. SPSS 13 was used for data analysis. RESULTS: Of the 100 participants,
41(41%) were in group A, 29(29%) in group B and 30(30%) were controls. The serum
level of alanine aminotransferase, aspartate aminotransferase, total bile acid,
the incidence of ascites and the incidence of hepatic encephalopathy were
significantly increased in patients of group B when compared to group A (p=0.046,
p=0.009, p<0.0001, p=0.018 and p=0.026). The levels of prothrombin time activity,
total protein and albumin were higher in group A (p<0.0001, p<0.0001, and p
<0.0001). Total hepatitis A patients had significantly lower serum complement
factor 3 levels compared to normal controls (p =0.018). Group B had significantly
lower serum complement factor 3 levels compared to normal controls (p <0.0001)
and group A (p<0.0001). In total patients, complement factor 3 levels were
negatively correlated with total bilirubin and alanine aminotransferase
(p=0.029), while complement factor 3 levels were positively correlated with
prothrombin time activity (p=0.001). CONCLUSIONS: Complement factor 3 values were
found to be decreased in children hospitalised with hyperbilirubinaemia hepatitis
A.
PMID- 29371713
TI - Frequency of underweight and stunting among children entering school in a small
urban locality and their association with academic performance.
AB - OBJECTIVE: To determine the frequency of underweight and stunting among the
children entering first year of school and to assess its associated factors.
METHODS: This descriptive, analytical study was conducted at 5 schools of Rabwah,
Pakistan, from August to September 2015, and comprised all students who got
admission in the selected schools during the study period. Name, father's name,
gender, weight, height, status of height, and weight on Z-score charts, and marks
obtained in the test were recorded. SPSS 20 was used for statistical analysis.
RESULTS: Of the 478 participants, 212(44.4%) were boys and 266(55.6%) were girls.
The overall mean age was 66.6+/-5.966 months (range: 41-129 months). Overall,
53(11.1%) were underweight, 22(4.6%) were severely underweight, 55(11.5%) had
stunting and 12(2.5%) had severe stunting. Median marks (Interquartile Range
[IQR]) in admission test for obese, overweight, normal, underweight and severely
underweight children were 76.3%(37.2-84.7), 65.9%, 66.7%(56.4-72.3), 64.6%(47
71), and 67%(55.3-78), respectively. Median marks (IQR) in admission test for
tall, normal height, stunted and severe stunted children were 24.1%, 67%(57.3
73), 57%(31.1-67.8), and 62.6%(49.7-68.3), respectively. Children with stunting
scored significantly fewer marks compared to children of normal height (p<0.05).
CONCLUSIONS: Stunting and underweight were common problems among children
starting school. Stunting was found to be associated with lower marks in
admission test.
PMID- 29371714
TI - Diagnostic validation of rapid molecular detection of Mycobacterium tuberculosis
in pus samples by GeneXpert.
AB - OBJECTIVE: To evaluate the performance of GeneXpert for detection of
mycobacterium tuberculosis in pus samples and compare its results with
conventional techniques in terms of validity, rapidity and rifampicin resistance.
METHODS: This longitudinal, descriptive study was conducted at Jinnah Hospital,
Lahore, Pakistan, from January 2012 to December 2015, and comprised pus samples
of people suspected of having extra-pulmonary tuberculosis. Participants were
included by using consecutive sampling technique. The pus samples were subjected
to Ziehl-Neelsen smear microscopy and Lowenstein-Jensen culture as per World
Health Organisation's protocol and GeneXpert as per manufacturer protocol. SPSS
17 was used for data analysis. Validity of GeneXpert and rifampicin resistance
were determined and compared with Ziehl-Neelsen staining using Lowenstein-Jensen
culture as the gold standard. RESULTS: Of the 212 pus samples, 84(39.6%) were
positive on Lowenstein-Jensen culture with mean turnaround time of 20+/-6 days,
77(36.3%) on GeneXpert and 22(10.4%) on Ziehl-Neelsen smear. The highest
detection rate of mycobacterium tuberculosis 62(80.5%) was in lymph node samples
by GeneXpert. The sensitivity and specificity of GeneXpert were 91.6% and 100%
respectively, while Ziehl-Neelsen smear showed a sensitivity26.2% and specificity
of 100%. Rifampicin resistance was detected in 5(6.4%) pus samples by GeneXpert.
CONCLUSIONS: GeneXpert had a higher validity compared to Ziehl-Neelsen smear
microscopy.
PMID- 29371715
TI - Relationship between hydrocephalus etiology and ventriculoperitoneal shunt
infection in children and review of literature.
AB - OBJECTIVE: The purpose of this retrospective study was to clarify the
relationship of shunt infection to childhood hydrocephalus etiology. METHODS: We
analyzed 1021 patients with childhood hydrocephalus who underwent V-P shunting
over a period of approximately 15 years. The etiology of 1021 patients include
myelomeningocele (794 patient), congenital (165 patient) and intraventricular
haemorrhage (62 patient). RESULTS: Of the 1021 patients who underwent V-P
shunting, 19.32% exhibited shunt infection. Shunt infection developed in 180
(22.67%) of 794 patients with myelomeningocele, 9 (5.45%) of 165 patients with
congenital obstructive hydrocephalus, and 9 (14.51%) of 62 patients with
intraventricular haemorrhage. Recurrent shunt infection was detected in 54
(27.27%) of 198 patients with a previous shunt infection. CONCLUSIONS: Patients
with previous shunt infection as well as those with shunts associated with
myelomeningocele were observed to be at a greater risk for shunt infection.
Results indicated that patients with congenital obstructive hydrocephalus may be
less prone to shunt infections.
PMID- 29371716
TI - High incidence of malaria along the Pak-Afghan bordering area.
AB - OBJECTIVE: To check the epidemiology of malaria along Pak-Afghan bordering area..
METHODS: The prospective study was conducted at Hatmi Medical Centre, Barmal
district, Afghanistan, from March 2014 to February 2016, and comprised patients
living in bordering areas between Pakistan's North Waziristan Agency and
Afghanistan's Paktika province. Blood samples were collected from subjects with
symptoms of malaria for plasmodium microscopy in Giemsa-stained thick and thin
film. Slides were stored in a secure slide box and were reconfirmed by microscopy
expert at the Department of Pathology, Gomal Medical College, Dera Ismail Khan,
Pakistan. SPSS 19 was used for data analysis. RESULTS: Of the total 3,840
patients, 2,655(69.1%) tested negative for malaria while the remaining
1,185(30.9%) tested positive - of whom 788(66.5%) were males and 397(33.5%) were
females. Of the positive cases, positive, 1,074(90.6%) were Plasmodium vivax,
76(6.4%) Plasmodium falciparum and 35(3%) were mixed species infections with no
case of Plasmodium ovale and Plasmodium malariae. CONCLUSIONS: Strict malaria
control measures are needed in both countries.
PMID- 29371717
TI - Symptom management in Behcets disease.
AB - OBJECTIVE: To determine the symptoms experienced by patients diagnosed with
Behcet's Disease and how they cope with them. METHODS: The qualitative study was
conducted from September 2013 to March 2014 at Ege University Medical Faculty
Hospital, Turkey, comprising patients having all symptoms of Behcet's Disease.
Data was collected through semi-structured focus-group interview form. The
findings were assessed using Theory of Unpleasant Symptoms and Symptom Management
Theory. SPSS 20 and Nvivo 10 were used for data analysis. RESULTS: Of the 35
patients, 16(45.8%) were female and 19(54.2%) were male. The symptoms affected
patients' lives, and the patients used either positive or negative symptom
management strategies, leading to either positive or negative results during
symptom management. CONCLUSIONS: Behcet's Disease patients needed effective
symptom management.
PMID- 29371718
TI - Frequency of carrier screening and preventive orientation among first degree
relatives of Thalassaemia patients.
AB - OBJECTIVE: To get preliminary data regarding the prevention of thalassaemia major
in future generations. METHODS: This Knowledge Attitude Practices study was
conducted at Pakistan Institute of Medical Sciences, Islamabad, Pakistan, from
January to June 2016, using non-probability purposive sampling. Parents of
children undergoing transfusion were interviewed. Questionnaires were used to
collect demographics and data about awareness, attitude and frequency of
screening among the first degree relatives of a thalassaemia major patient. SPSS
20 was used for data analysis. RESULTS: Of the 270 respondents 240(88.9%) had
utilised screening services for their asymptomatic children and had a positive
attitude towards prevention, but 30(11.1%) families did not screen asymptomatic
children for thalassaemia minor. Besides, 49(18.1%) families had more than one
thalassaemia major child in their nuclear family, while, 3(1.1%) were unwilling
to let their children undergo tests. Nine (3.3%) respondents said they will not
ask a prospective daughter/son-in-law to get tested for thalassaemia minor, while
194(71.9%) respondents had had cousin marriages. CONCLUSIONS: There were
significant gaps in awareness among affected families.
PMID- 29371719
TI - Awareness of risk factors and fallacies associated with urinary bladder cancer in
our population: A prospective survey.
AB - OBJECTIVE: To determine the awareness of risk factors for bladder cancer and
false beliefs associated with this disease. METHODS: This cross-sectional study
was conducted at the Kidney Centre, Karachi, from January to February 2015, and
comprised subjects who presented to the various in-house clinics. The subjects
were interviewed using a questionnaire comprising demographic parameters, level
of education and awareness of smoking hazards, knowledge and false beliefs
associated with bladder cancer. A pilot study was performed prior to the main
study and the questionnaire was redesigned accordingly. SPSS 20 was used for data
analysis. RESULTS: Of the 1,000 respondents, 593(59.3%) were males and 407(40.7%)
were females. Moreover, 229(22.9%) respondents were aware of the association
between smoking and bladder cancer compared to 858(85.8%) who knew that there is
an association between smoking and lung cancer. Besides, 479(47.9%) respondents
said that smoking caused tuberculosis. Patients with a higher level of education
were statistically more likely to be aware of the association between smoking and
bladder cancer, i.e. 91(9.1%) uneducated, 208(20.8%) primary, 182(18.2%)
secondary, 222(22.2%) intermediate and 352(35.2%) graduates (p=0.0001). Most
common fallacy associated with bladder cancer was low intake of water 504(50.4%),
followed by multiple sexual partners 362(36.2%). CONCLUSIONS: Most patients were
unaware of the relationship between bladder cancer and tobacco smoking.
PMID- 29371720
TI - Learning preferences among dental students using the VARK questionnaire: A
comparison between different academic levels and gender.
AB - OBJECTIVE: To examine the learning style preferences of undergraduate dental
students and determine the influence of gender on their selection. METHODS: This
descriptive, cross-sectional study was conducted at the College of Dentistry,
King Saud University, in Riyadh, Saudi Arabia, from September 2016 to January
2017.The Arabic version of visual, audio, read/write, and kinaesthetic
questionnaire was administered to students from the first to the fifth academic
year. Data was analysed using SPSS 21. P<0.05 was considered significant.
RESULTS: Of the 491 students, 368(75.1%) completed the questionnaire. Of them,
269(73.1%) were males and 99(26.9%) were females. Significant differences were
found when learning styles were compared (p<0.05). No significant differences
could be found when the models were assessed as in the unimodal, bimodal,
trimodal or quadmodal methods (p>0.05). A significant difference was found
between genders when learning styles were compared (p=0.05). Males preferred the
unimodal style, while females preferred the bimodal and quadmodal styles almost
equally (p<0.05). CONCLUSIONS: Most dental undergraduate students preferred multi
modal learning.
PMID- 29371721
TI - Knowledge and attitude of blood donation among female medical students in
Faisalabad.
AB - OBJECTIVE: To assess the knowledge, practices and attitude of medical students
towards blood donation. METHODS: This cross-sectional study was conducted at the
University of Faisalabad, Faisalabad, Pakistan, from April to August 2016, and
comprised female medical students. Multi-stage sampling technique was employed. A
structured questionnaire was included as data-collection tool. Statistical
analysis was done using SPSS 20. RESULTS: Of the 500 students, 469(93.8%)
responded to the questionnaire. Of them, 419(89.3%) females had never donated
blood and only 2(0.4%) were regular voluntary donors. The best source from which
the participants heard about blood donation was friends 259(55.2%). When coming
to reasons for not donating blood, there was significant association of many
factors like fear (p <0.001), the collection facility being far from the place (p
<0.001), not having enough time to donate (p <0.001). Of the 50(10.7%)
respondents who had ever donated blood, 48(96%) said the main motivator was
saving lives of the people. CONCLUSIONS: Female medical students were aware of
the basic knowledge about blood donation.
PMID- 29371723
TI - Outcomes of cataract surgery at teaching hospital in Karachi.
AB - OBJECTIVE: To assess outcomes of cataract surgery at a tertiary care hospital in
Karachi. METHODS: This retrospective study was conducted at Aga Khan University
Hospital, Karachi, and comprised data of patients who underwent cataract surgery
from January 2011 to December 2015. The data was collected using guidelines based
on World Health Organisation systems for quality monitoring. Postoperative follow
up was done at 1 day, 1 week, 4 weeks and after 12 weeks. Visual acuity was
recorded as good (6/6-6/18), borderline (6/18-6/60) and poor (<6/60).
Intraoperative and postoperative complications were also noted. RESULTS: Of the
570 patient charts reviewed, 527(92.45%) were included in the study. Among them,
302(57.4%) were females and 225(42.6%) were males. The overall mean age of
patients was 59.8+/-7.2 years. There were 275(52.2%) right eyes, 382(72.5%) were
myope and the remaining 145(27.5%) were hypermetrope. There were 315(59.6%)
patients who suffered from different systemic diseases, with diabetes mellitus
being on the top of list followed by hypertension. Pre-operative best corrected
visual acuity was good in 35(6.7%) patients, borderline in 355(67.4%), and poor
in 137(25.9%). CONCLUSIONS: The surgical outcomes were comparable with previous
studies.
PMID- 29371722
TI - Physiotherapy and pharmacy students perception of educational environment in a
medical university from Pakistan.
AB - OBJECTIVE: To assess and compare the perceptions of the educational environment
between physiotherapy and pharmacy students in a public-sector medical
university. METHODS: This cross-sectional study was conducted at the Peoples
University of Medical and Health Sciences for Women, Nawabshah, Pakistan, and
comprised undergraduate physiotherapy and pharmacy students. The Dundee Ready
Educational Environment Measure questionnaire was used to assess the perceptions
of students about their educational environment. Global and subscale scores were
computed and compared between the respondents. P<0.05 was considered
statistically significant. RESULTS: Of the 300 questionnaires, 281(93.66%) were
returned duly filled in. The overall mean global score was 127.2+/-16.0. For
physiotherapy students, the mean global score was 124.9+/-14.0 while it was
131.7+/-18.9 for pharmacy students (p=0.16). The domain scores were comparable
for both specialties (p>0.05). There was no significance difference in the global
and domain scores for preclinical and clinical years in the students (p>0.05).
However, in the physiotherapy students, the global and domain scores for Dundee
Ready Educational Environment Measure were significantly lower in clinical than
preclinical students (p<0.05) except for students' social self-perception
(p>0.05). CONCLUSIONS: Students were overall positive about their educational
environment.
PMID- 29371724
TI - How close are we? An audit of biometry of a tertiary care hospital in Karachi.
AB - OBJECTIVE: To evaluate the accuracy of biometry in the post-op phase of cataract
surgery. METHODS: This study was conducted at Liaquat National Hospital, Karachi,
from June 2015 to July 2016, and comprised the audit of patients who underwent
cataract surgery during the period. Keratometry was done on Haag-Strait manual
keratometer and A-scan was done by applanation contact method on SonoMed machine.
Theoretic-T formula was used to calculate desired intraocular lens power for all
kinds of axial lengths. A single surgeon operated upon the same Alcon
Constellation phacoemulsification machine. Postoperative follow-up was done by
monitoring auto refraction and visual acuity on days 1, 7, 30 and 90. SPSS 21 was
used for data analysis.. RESULTS: Of 244 patients, 121(49.60%) were males and
123(50.40%) were females. There were 123(50.40%) right eyes and 121(49.60%) left
eyes. Overall, 132(54.10%) achieved postoperative refraction within +/-0.5 D of
target and 193(79.10%) within +/-1 D of target. Age, gender and laterality had no
significant effect on outcomes (p>0.05 each). CONCLUSIONS: Postoperative
refraction corresponded quite closely with global recommendations.
PMID- 29371725
TI - Diabetic neuropathy and painful diabetic neuropathy: Cinderella complications in
South East Asia.
AB - The most common and debilitating microvascular complication of diabetes is
diabetic peripheral neuropathy (DPN), affecting 50-90% of people with diabetes.
The major manifestations of DPN are painful (pDPN) and painless diabetic
peripheral neuropathy. Painful symptoms, occur in the feet and are worse at night
and whilst they alert both the patient and physician, are often misdiagnosed and
mismanaged. The devastating presentation of painless neuropathy with loss of
sensation is foot ulceration and Charcot foot. The explosion of diabetes,
especially in the South East Asian (SEA) region will result in an increasing
prevalence of both painful and painless diabetic peripheral neuropathy. PubMed,
EMBASE, Medline and Google Scholar databases were searched between 1990 and 2017.
This highlights the widely varying prevalence of DPN and pDPN in the World Health
Organization (WHO) defined SEA countries and the dearth of published studies,
especially in pDPN. We believe this will provide new direction for future
research on DPN in the SEA region.
PMID- 29371726
TI - Association of arg16gly and gln27glu, b2-adrenergic receptor gene polymorphism
with asthma. A systematic review and meta-analysis of case control studies.
AB - The study was planned to assimilate quantitatively the available evidences on
association of Arg16Gly and Glu27Gln with asthma and to produce more precise
results. All case-control studies conducted on adults were searched on Medline,
Embase, PubMed, Wiley online library according to Preferred Reporting Items for
Systematic Review and Meta-Analysis guidelines. The strength of association was
measured by odds ratios with 95% confidence interval. A total of 17 case-control
studies were included in the meta-analysis and there was no significant
association of asthma with Arg16Gly (odds ratio = 1.19; 95% confidence interval =
0.75-1.50, p=0.459) and Glu27Gln of ADRb2 polymorphism (odds ratio=0.87, 95%
confidence interval =0.44-1.71, p=0.683). Moreover, neither Gly16 allele (odds
ratio = 0.98; 95% confidence interval = 0.70-1.38, p=0.867) nor Glu27 allele
(odds ratio = 0.67, 95% confidence interval = 0.38-1.19, p=0.169) contributed to
asthma susceptibility. There was also no significant association between
haplotypes of both single nucleotide polymorphisms and asthma (p>0.05). Data
indicated that adrenergic receptor b2 did not contribute markedly to
susceptibility to asthma (p>0.05).
PMID- 29371727
TI - Role of multi-parametric (mp) MRI in prostate cancer.
AB - Multi-parametric magnetic resonance imaging is increasingly being recommended as
standard imaging modality for prostate cancer diagnosis and staging. It comprises
structural T2 and T1 sequences supplemented by functional imaging techniques,
i.e. diffusion-weighted, dynamic contrast enhanced and spectroscopic imaging. Pre
biopsy multi-parametric magnetic resonance imaging is recommended for both
detection and staging as it avoids biopsy artefact, and when normal, has a
negative predictive value of 95% for significant cancer. Magnetic resonance
imaging-guided prostate biopsy targets only area(s) considered to be suspicious
for prostate cancer, hence resulting in improved accuracy. Dynamic contrast
enhancing helps in the detection of cancer and for the assessment of extra
capsular extension, distal urethral sphincter and seminal vesicles involvement.
The role of multi-parametric magnetic resonance imaging in follow-up of patients
on active surveillance is also increasingly recognised. Its role is now further
expanded to facilitate targeted therapies. This review focuses on the evolving
role of multi-parametric magnetic resonance imaging in diagnosis and management
of prostate cancer.
PMID- 29371728
TI - Spatial distribution of road traffic crash fatalities in Karachi: Perspective
from 2008-2012.
AB - Road Traffic Crash (RTC) mortality and morbidity is one of the major public
health problems in Karachi. In this study we used geographic information systems
(GIS) to visualize and quantify the spatial distribution of RTC mortality and
yearly trend from 2008 to 2012, for all 24 administrative subdivisions of
Karachi, using Road Traffic Injury Research and Prevention Center's (RTIRPC)
data. Cumulatively, 6040 deaths were recorded by RTIRPC, out of which we were
able to map 4657 (77.1%) deaths based on location information available in the
database. During the 5-year period, highest number of cumulative RTC fatalities
were recorded for Kemari, Bin Qasim, and Gushan-e-Iqbal; while lowest were
recorded in Malir, Orangi, Korangi Cantonment, and Karachi Cantonment. Use of GIS
for studying spatial distribution of RTC would help craft better response to RTC
in the city and design public policy.
PMID- 29371729
TI - Co-morbid depression in individuals with type 2 diabetes mellitus.
AB - It was a descriptive cross-sectional study conducted to determine the frequency
of depression and association with factors in type 2 diabetics in diabetic clinic
of Fauji Foundation Hospital Rawalpindi from 1st January - 30th June 2014. In all
110 diabetic patients were selected using convenient Sampling. Data was collected
by using a pre- tested structured proforma. Beck's Depression Inventory (BDI)
scale was used to screen depression. There were 20 males (18.2%) and 90 females
(81.8%) in this study. Females had more mood disturbances and depression as
compared to males, however difference was not statistically significant
(p<0.769). 28.2% individuals had mild mood disturbances, while borderline
clinical depression was present in 23.6% of study group, 20.9% were having
moderate depression and 9.1% were having severe depression, rest 1.2% had extreme
depression. Overall 55.5% respondents had depression in this study. Obesity was
present in 60% of diabetics, 62.7% were hypertensives and 26.4% had ischaemic
heart disease. Depression was statistically significantly associated with
hypertension (p< 0.002).
PMID- 29371730
TI - Nurses interventions to reduce unwanted noise.
AB - The study evaluated the nurses' interventions to reduce unwanted noise. This
hospital-based, descriptive study was conducted at a Training Hospital in the
Eastern part of Turkey, Trabzon, between 15-30 January 2016. It was participated
by 248 volunteer nurses. Nurses express the noise of visitors (96.3%) on the
first rank among the kinds of noise. The results of the study showed that most of
the nurses were determined to use "a picture hanging technique on the wall"
(53.2%) for preventing noise. No significant correlation was found between the
descriptive characteristics of the nurses and nursing interventions to prevent
noise (p> 0.05). A significant difference was found between the nurses working in
internal medicine and surgical service in terms of the effect of noise on their
work (p <0.05).
PMID- 29371731
TI - Prevalence of Toxoplasma gondii oocysts through Copro-PCR in cats at Pet Center
(UVAS), Lahore, Pakistan.
AB - Toxoplasmosis is a major zoonotic disease of warm-blooded animals caused by
Toxoplasma gondii. Cats are the only definitive host and they excrete
environmentally resistant T. gondii oocysts in their faeces. Coproscopy was used
to detect oocysts of enteric coccidians and then Copro-PCR was employed to test
specifically for T. gondii in 470 cat samples. The prevalence of T. gondii
oocysts was 2.3% (11/470) based on PCR. We observed 15 (3.2%) of 470 samples
positive for coccidian oocysts by microscopy. The presence of Copro-DNA of T.
gondii was found significantly higher (p<0.05) in males than females. We tested
11 samples of T. gondii oocysts in which 9 samples were from coccidian oocysts
positive samples and 2 samples from negative faecal samples. Our results showed
that PCR is the reliable method for the detection of faecal oocysts of T. gondii
in cats as compared to microscopy. As per our knowledge, ours is first study for
Copro-PCR prevalence of cats' T. gondii oocysts excretion in Pakistan.
PMID- 29371732
TI - Leukocyte adhesion defect: An uncommon immunodeficiency.
AB - Leukocyte adhesion deficiency (LAD) is a rare primary immunodeficiency disorder
with autosomal recessive inheritance which is characterized by presence of a
defect of phagocytic function resulting from a lack of leukocyte cell surface
expression of b2 integrin molecules (CD11 and CD18) that are essential for
chemotaxis. The classic symptoms of the disease are failure of separation of the
umbilical cord and recurrent bacterial infections, which continue throughout
life. We describe here two cases of infants who presented with characteristic
history of recurrent infections, delayed separation of umbilical cord and marked
leukocytosis.
PMID- 29371733
TI - Artery of Percheron Infarct - a diagnostic and prognostic conundrum!
AB - A 39 year old male was found unconscious at home. On arrival to the hospital the
patient was profoundly somnolent but easily rousable, with no focal neurological
deficits. Imaging confirmed bilateral thalamic infarcts from the occlusion of
Artery of Percheron, a rare anatomic variant which is a single arterial trunk
supplying the thalamus and midbrain bilaterally. Anti-platelet therapy was
initiated as soon as the diagnosis was established and the patient showed a rapid
remarkable recovery over the next 48 hours. He continued to improve subsequently
and was at baseline functional status at 6 months. Extensive investigations for
etiologies were mostly unrevealing. In such patients presenting with
drowsiness/somnolence, a posterior circulation stroke should be considered if no
evidence of other more common causes are found. A CT head must be followed by an
MRI to confirm the diagnosis and subsequent focus should be on eliciting risk
factors and careful evaluation for etiologies.
PMID- 29371734
TI - Haemophagocytic lymphohistiocytosis in a preterm infant: A case report.
AB - Haemophagocytic lymphohistiocytosis (HLH) is a rare disease with a sepsis-like
progression that leads to multiple organ dysfunction syndrome, especially in
preterm infants. We present herein a case of HLH in a premature infant presenting
with disseminated intravascular coagulopathy (DIC) and liver failure. A male
infant, with weight 810g and delivered at the gestational age of 25 weeks and 2
days, was misdiagnosed with tyrosinaemia for several weeks. He presented with
anaemia, thrombocytopaenia, persistent DIC, and elevated liver enzymes despite
continuous transfusion and broad-spectrum antibiotics. A bone marrow puncture
biopsy revealed haemophagocytosis, leading to HLH diagnosis. It is important for
paediatricians to consider the possibility of HLH when liver function test
results are abnormal in such patients.
PMID- 29371735
TI - Femoral artery pseudoaneurysm due to a gunshot injury.
AB - Femoral artery pseudoaneurysms are commonly iatrogenic due to increasing use of
the artery for arterial interventions. Other reasons of pseudoaneurysm formation
are intravenous drug use and penetrating trauma. Here, we have discussed the
management strategy of a femoral artery pseuodoaneursym and the modalities for
preventing the misdiagnoses of the pseudoaneurysm in the emergency department. A
50-year-old male patient was referred to our emergency department (ED) with
claudication and severe local swelling. Ten days earlier, he had been referred to
another ED immediately after a gunshot injury to the left inguinal zone. Duplex
ultrasound and CT angiography of the left lower extremity revealed a 4 cm sac of
pseudoaneurysm on the distal part of posterofemoral branch of deep femoral artery
and a 9*10 cm haematoma on the posteromedial part of pseudoaneurysm. The patient
underwent open repair surgery due to co-existing large haematoma and risk of
infection. The patient was discharged after three days hospitalization. Pain,
extremity oedema, pulsatile mass, femoral bruit, palpable thrill, and compressive
neuropathy should alert the physician to possible femoral artery pseudoaneurysm.
Duplex ultrasound and CT angiography are important diagnostic steps to reveal a
possible life-threatening vascular injury.
PMID- 29371736
TI - Small cell neuroendocrine carcinoma of nose and paranasal sinuses: The Shaukat
Khanum Memorial Cancer Hospital experience and review of literature.
AB - Small cell neuroendocrine carcinoma (SCNEC) is a rare disease of nose and
paranasal sinuses. In contrast to other regions SCNEC of this region has been
reported to be recurrent and locally aggressive. No definite treatment has been
established till date because of rarity of this disease. The purpose of this
descriptive study is to present the series of 8 cases with SNEC of nose and
paranasal sinuses. Retrospective review of 8 patients presenting with Small cell
neuroendocrine carcinoma of nose and paranasal sinuses, from January, 2005 to
December, 2014 treated at Shaukat Khanum Memorial Cancer Hospital & Research
Centre, Lahore was performed to determine the clinical characteristics and
outcome of this disease. The subjects were 7 males and 1 female with a mean age
of 45+/-15 years (range 24 to 59 years). Tumours were present in nasal cavity
(n=4), ethmoid sinus (n=3) and maxillary sinus (n=1). Almost 50% of patients
presented with AJCC stage IV-A. All patients had immunohistochemistry proven
diagnosis. All patients (08) received radiotherapy with mean doses 58+/-Gy (Range
54-66Gy). Surgery was performed in 2 while chemotherapy was administered in 4
patients. Recurrence occurred in 3 patients, one each with loco-regional, distant
and both. At a median follow up of 38 months, 5 patients were alive with no
evidence of disease. SCNEC is a rare but aggressive neoplasm. Current standard of
care varies but multi-modality approach should be the cornerstone in management
of SCNEC. Early diagnosis and intervention improve the final outcome.
PMID- 29371737
TI - Communication in diabetes care.
PMID- 29371738
TI - The sixth vital sign in diabetes.
AB - The vital signs are an integral part of clinical methods. In diabetes,
determination of plasma glucose can be taken as the fifth vital sign. The sixth
vital sign is well being, which can easily be measured by two item questionnaires
designed to assess distress, depression and coping skills. This sign is essential
for the screening and follow up of persons living with diabetes, as it provides
an idea of quality of care, helps plan therapeutic interventions, and serves as a
surrogate for prognosis or outcome. Inclusion of the sixth vital sign reflects
the relevance of the bio-psychosocial model of health to diabetes care. .
PMID- 29371739
TI - Role of extent of resection on quality of life in patients with newly diagnosed
GBM.
AB - Glioblastomas known for their adverse outcomes are most reportedly managed by
surgical resection. Studies on the impact of (Extent of Resection) EOR against
Quality of Life (QOL) are very limited. We have collected data from recent
studies in this review to extract a general consensus among the neurosurgeons
regarding the EOR. Key parameters like functional independence, neurocognitive
improvements and global health status have been explored in the context of QOL.
The currently available data suggests that an increased EOR may help improve QOL
in GBM patients. With the help of recent advancements it may be possible to
attain a better extent of resection while operating on GBMs.
PMID- 29371740
TI - Epidemiology and parasitological survey of malarial parasites in Khyber
Pakhtunkhwa, Pakistan.
PMID- 29371741
TI - Awareness, use and perceptions about E-cigarettes among adult smokers in Karachi,
Pakistan.
AB - OBJECTIVE: To estimate the prevalence of awareness, current use and intention to
use of e-cigarettes among adult smokers. METHODS: This cross-sectional survey was
carried out at the Aga Khan University Hospital, Karachi, from July to August
2016, and comprised people aged above 18 years who had smoked more than 100
cigarettes in their lifetime. Convenience sampling method was used. A self
administered questionnaire was used to collect data. SPSS 22 was used for data
analysis.. RESULTS: Of the 387 participants, 359(92.8%) were male. The overall
mean age was 32.4+/-12.6 years. Moreover, 215(55.5%) respondents belonged to the
middle socio-economic class. Besides, 249(64.3%) respondents were aware of e
cigarettes while 39(10.1%) used them, and 81(20.9%) wanted to use them. Socio
economic status was the best predictor for awareness about e-cigarettes
(p<0.001), while gender (p=0.001), occupation=20 dBZ at
various heights as a function of local standard time (LST) and radius suggests
the presence of a diurnal signal for radii <500 km through a deep layer (2-10 km
height) of the troposphere using 1998-2011 Atlantic tropical cyclones of at least
tropical storm strength. The area covered by reflectivity >=20 dBZ at radii 100
500 km peaks in the morning (0130-1030 LST) and reaches a minimum 1030-1930 LST.
Radii between 300-500 km tend to reach a minimum in coverage closer to 1200 LST
before reaching another peak at 2100 LST. The inner core (0-100 km) appears to be
associated with a single-peaked diurnal cycle only at upper levels (8-10 km) with
a maximum at 2230-0430 LST. The TMI rainfall composites suggest a clear diurnal
cycle at all radii between 200 and 1000 km with peak rainfall coverage and rain
rate occurring in the morning (0130-0730 LST).
PMID- 29371746
TI - Clustered multistate models with observation level random effects, mover-stayer
effects and dynamic covariates: modelling transition intensities and sojourn
times in a study of psoriatic arthritis.
AB - In psoriatic arthritis, it is important to understand the joint activity
(represented by swelling and pain) and damage processes because both are related
to severe physical disability. The paper aims to provide a comprehensive
investigation into both processes occurring over time, in particular their
relationship, by specifying a joint multistate model at the individual hand joint
level, which also accounts for many of their important features. As there are
multiple hand joints, such an analysis will be based on the use of clustered
multistate models. Here we consider an observation level random-effects structure
with dynamic covariates and allow for the possibility that a subpopulation of
patients is at minimal risk of damage. Such an analysis is found to provide
further understanding of the activity-damage relationship beyond that provided by
previous analyses. Consideration is also given to the modelling of mean sojourn
times and jump probabilities. In particular, a novel model parameterization which
allows easily interpretable covariate effects to act on these quantities is
proposed.
PMID- 29371747
TI - A convergent, scalable and stereoselective synthesis of azole CYP51 inhibitors.
AB - The study and development of azole-based CYP51 inhibitors is an active area of
research across disciplines of biochemistry, pharmacology and infectious disease.
Support of in vitro and in vivo studies require the development of robust
asymmetric routes to single enantiomer products of this class of compounds.
Herein, we describe a scalable and enantioselective synthesis to VNI and VFV, the
two potent inhibitors of protozoan sterol 14alpha-demethylase (CYP51) that are
currently under consideration for clinical trials for Chagas disease. A key
transformation is the Jacobsen Hydrolytic Kinetic Resolution (HKR) reaction. The
utility of the synthetic route is illustrated by the preparation of >25 g
quantities of single enantiomers of VNI and VFV.
PMID- 29371748
TI - Ixodid tick diversity on wild mammals, birds, and reptiles in and around Etosha
National Park, Namibia.
PMID- 29371749
TI - Does Intimate Partner Violence Decline as Women's Empowerment becomes Normative?
Perspectives of Bangladeshi Women.
AB - Studies addressing the relationship between women's empowerment and intimate
partner violence (IPV) have yielded conflicting findings. Some suggest that
women's economic and social empowerment is associated with an increased risk of
intimate partner violence (IPV), arguably because men use often IPV to enforce
their dominance and reassert inegalitarian gender norms when patriarchal norms
are challenged; other studies suggest the converse. It is important to understand
why these findings are contradictory to create a more sound basis for designing
both women's empowerment interventions and anti-violence interventions. The aim
of this study is to clarify the relationship between women's empowerment and IPV
in a setting where gender roles are rapidly changing and IPV rates are high. We
examine some of the ways in which the nature of women's empowerment evolved in
six villages in rural Bangladesh during a 12-year period in which surveys have
documented a decline of 11 points in the percentage of married women experiencing
IPV in the prior year. The paper is based on data from 74 life history narratives
elicited from 2011 to 2013 with recently married Bangladeshi women from the six
villages, whom other community residents identified as empowered. Our findings
suggest that women's empowerment has evolved in several ways that may be
contributing to reductions in IPV: in its magnitude (for example, many women are
earning more income than they previously did), in women's perceived exit options
from abusive marriages, in the propensity of community members to intervene when
IPV occurs, and in the normative status of empowerment (it is less likely to be
seen as transgressive of gender norms). The finding that community-level
perceptions of empowered women can evolve over time may go a long way in
explaining the discrepant results in the literature.
PMID- 29371750
TI - A model for straight and helical solar jets: II. Parametric study of the plasma
beta.
AB - Context: Jets are dynamic, impulsive, well-collimated plasma events that develop
at many different scales and in different layers of the solar atmosphere. Aims:
Jets are believed to be induced by magnetic reconnection, a process central to
many astrophysical phenomena. Within the solar atmosphere, jet-like events
develop in many different environments, e.g., in the vicinity of active regions
as well as in coronal holes, and at various scales, from small photospheric
spicules to large coronal jets. In all these events, signatures of helical
structure and/or twisting/rotating motions are regularly observed. The present
study aims to establish that a single model can generally reproduce the observed
properties of these jet-like events. Methods: In this study, using our state-of
the-art numerical solver ARMS, we present a parametric study of a numerical
tridimensional magnetohydrodynamic (MHD) model of solar jet-like events. Within
the MHD paradigm, we study the impact of varying the atmospheric plasma beta on
the generation and properties of solar-like jets. Results: The parametric study
validates our model of jets for plasma beta ranging from 10-3 to 1, typical of
the different layers and magnetic environments of the solar atmosphere. Our model
of jets can robustly explain the generation of helical solar jet-like events at
various beta <= 1. This study introduces the new original result that the plasma
beta modifies the morphology of the helical jet, explaining the different
observed shapes of jets at different scales and in different layers of the solar
atmosphere. Conclusions: Our results allow us to understand the energisation,
triggering, and driving processes of jet-like events. Our model allows us to make
predictions of the impulsiveness and energetics of jets as determined by the
surrounding environment, as well as the morphological properties of the resulting
jets.
PMID- 29371751
TI - Physiological relevance of covalent protein modification by dietary
isothiocyanates.
AB - Isothiocyanates (ITCs), naturally occurring in abundance in cruciferous
vegetables, are the most well-studied organosulfur compounds having an
electrophilic reactivity. ITCs have been accepted as major ingredients of these
vegetables that afford their health promoting potentials. ITCs are able to
modulate protein functions related to drug-metabolizing enzymes, transporters,
kinases and phosphatases, etc. One of the most important questions about the
molecular basis for the health promoting effects of ITCs is how they modulate
cellular target proteins. Although the molecular targets of ITCs remains to be
validated, dietary modulation of the target proteins via covalent modification by
ITCs should be one of the promising strategies for the protection of cells
against oxidative and inflammatory damage. This review discusses the plausible
target proteins of dietary ITCs with an emphasis on possible involvement of
protein modification in their health promoting effects. The fundamental knowledge
of ITCs is also included with consideration of the chemistry, intracellular
behavior, and metabolism.
PMID- 29371753
TI - Clinical significance of redox effects of Kampo formulae, a traditional Japanese
herbal medicine: comprehensive estimation of multiple antioxidative activities.
AB - To clarify the clinical significance of the redox-controlling effects of Kampo, a
traditional Japanese herbal medicine, we determined the scavenging activities of
various reactive oxygen species in clinically used Kampo formulae using an
electron spin resonance-based technique. Formulae containing Rhei Rhizoma (i.e.,
mashiningan and daiobotanpito) showed high scavenging activity against the
alkoxyl radical, and crude extract quantity was significantly correlated with
scavenging activity. Hydroxyl radical scavenging activity was positively
correlated with the quantity of Zingiberis Rhizoma. Strong hydroxyl radical
scavenging activity was also found in formulae containing both Bupleuri Radix and
Scutellariae Radix, a widely used anti-inflammatory combination. Formulae
containing a clinically common combination of Scutellariae Radix, Coptidis
Rhizoma, and Phellodendri Cortex induced high superoxide scavenging activity.
Singlet oxygen scavenging activity was high in formulae containing Bupleuri Radix
and Glycyrrhizae Radix. In contrast, formulae containing Rehmanniae Radix showed
generally low reactive oxygen species scavenging activities, and the quantity of
Rehmanniae Radix was negatively correlated with hydroxyl radical and singlet
oxygen scavenging activities. These results indicate that the antioxidative
effects of Kampo formulae are not uniform but complexly varied against multiple
reactive oxygen species. Some formulae have almost no antioxidant effects but may
act as pro-oxidants.
PMID- 29371752
TI - How is edaravone effective against acute ischemic stroke and amyotrophic lateral
sclerosis?
AB - Edaravone is a low-molecular-weight antioxidant drug targeting peroxyl radicals
among many types of reactive oxygen species. Because of its amphiphilicity, it
scavenges both lipid- and water-soluble peroxyl radicals by donating an electron
to the radical. Thus, it inhibits the oxidation of lipids by scavenging chain
initiating water-soluble peroxyl radicals and chain-carrying lipid peroxyl
radicals. In 2001, it was approved in Japan as a drug to treat acute-phase
cerebral infarction, and then in 2015 it was approved for amyotrophic lateral
sclerosis (ALS). In 2017, the U.S. Food and Drug Administration also approved
edaravone for treatment of patients with ALS. Its mechanism of action was
inferred to be scavenging of peroxynitrite. In this review, we focus on the
radical-scavenging characteristics of edaravone in comparison with some other
antioxidants that have been studied in clinical trials, and we summarize its
pharmacological action and clinical efficacy in patients with acute cerebral
infarction and ALS.
PMID- 29371755
TI - The expression of Toll-like receptor 5 in preterm histologic chorioamnionitis.
AB - Spontaneous preterm birth is often caused by chorioamnionitis. Toll-like
receptors (TLRs) have a role in the response of the innate immune system. The
role of TLR5 in chorioamnionitis remains unclear: however, TLR5 was reported to
have a significantly stronger effect on the induction of interleukin (IL)-6 when
compared with other TLRs in amniotic epithelial cells. The aim of this study was
to investigate TLR5 expression in placentas with preterm histologic
chorioamnionitis (HCA). The expression levels of TLR5 were evaluated in the
amnions, chorions, deciduae and villi with and without HCA using
immunohistochemistry. The co-localization of IL-6 or IL-8 with TLR5 was examined
by immunofluorescence. The production of IL-6 was examined in primary tissue
cultured fetal membranes treated with and without the TLR5 agonist. The protein
expression of TLR5 was significantly increased in amnions with HCA (p<0.05) and
showed a trend toward an increase in chorions with HCA, whereas no significant
difference was detected in the villi and decidua. TLR5 co-localized with IL-6 and
IL-8 in amnions and chorions. IL-6 showed a significant increase (p<0.05) with
the TLR5 agonist. These results suggest that TLR5 plays a role in the
pathogenesis of preterm HCA and IL-6 production.
PMID- 29371754
TI - Glutathione homeostasis is significantly altered by quercetin via the Keap1/Nrf2
and MAPK signaling pathways in rats.
AB - Previously, we showed that 0.5% quercetin simultaneously decreased serum
homocysteine and glutathione (GSH) levels in rats. The aim of the present study
was to investigate the effects of 0.5% quercetin on GSH metabolism, related
enzymes and signal pathways in rats. Rats were fed the control diet and 0.5%
quercetin-supplemented diet for 6 weeks. The results showed that quercetin
reduced serum and hepatic content of GSH and the ratio of GSH and oxidized
glutathione (GSSG), enhanced hepatic activity and mRNA expression of glutathione
S-transferase (GST), inhibited hepatic activity and mRNA expression of glutamate
cysteine ligase (GCL), and decreased hepatic glutathione reductase (GR) mRNA
expression. Levels of phosphorylated p38 and extracellular signal-regulated
kinase (ERK) 1/2 mitogen-activated protein kinases (MAPKs) increased, while that
of nuclear factor E2-like 2 (Nrf2) protein decreased after quercetin treatment.
However, no significant hepatotoxicity was noted. We concluded that quercetin
treatment altered hepatic GSH metabolism by modulating GSH metabolic enzyme
activities and mRNA expression in rats, and p38, ERK1/2 MAPKs, and Nrf2 were
involved in modulating GSH metabolism-related enzymes.
PMID- 29371756
TI - The age-related changes of dietary phosphate responsiveness in plasma 1,25
dihydroxyvitamin D levels and renal Cyp27b1 and Cyp24a1 gene expression is
associated with renal alpha-Klotho gene expression in mice.
AB - In this study, we investigated the relationship between age-related changes in
renal alpha-Klotho gene expression, vitamin D metabolism and the responsiveness
of dietary phosphate in 1, 2 and 13 month-old mice fed a high phosphate
(phosphate 1.2%) diet or low phosphate (phosphate 0.02%) diet for 5 days. We
found that 1,25-dihydroxyvitamin D levels in plasma were significantly lower in
the high phosphate group than the low phosphate group for 1 and 2 month-old mice,
but not 13 month-old mice. In addition, in the high phosphate group plasma 1,25
dihydroxyvitamin D levels were decreased in 2 month-old mice relative to 1 month
old mice, but 13 month-old mice had higher levels than 2 month-old mice. In fact,
plasma 1,25-dihydroxyvitamin D levels showed a significant correlation with
vitamin D metabolism gene Cyp27b1 and Cyp24a1 mRNA expression in the high
phosphate group. Interestingly, renal alpha-Klotho mRNA and protein levels were
significant change with age. Furthermore, alpha-Klotho mRNA expression showed a
significant negative correlation with plasma 1,25-dihydroxyvitamin D levels in
the high phosphate group. Our results suggest that age-related alterations in
renal alpha-Klotho expression could affect the responsiveness of dietary
phosphate to vitamin D metabolism.
PMID- 29371757
TI - Daily intake of broccoli sprouts normalizes bowel habits in human healthy
subjects.
AB - Chronic oxidative stress impairs regular defecation. Sulforaphane (SFN) enhances
anti-oxidant systems, ameliorating oxidative injury. SFN inhibits overgrowth of
anaerobic microflora and protects small intestine from oxidative injury. We
assessed whether daily intake of SFN-rich broccoli sprouts (BS) improves
defecation in humans. Forty-eight subjects, with a constipation scoring system
(CSS) >2 points, were assigned to either the BS group (n = 24) or the alfalfa
sprouts (AS) group (n = 24), and were requested to eat 20 g daily of raw BS or
AS, respectively, for 4 weeks. BS contains 4.4 mg/g sulforaphane glucosinolates
(SGS), while AS contains no SGS. CSS-based questionnaires were performed to
evaluate bowel habit. Stool samples were collected to evaluate intestinal
microflora using a terminal restriction fragment length polymorphism flora
analysis. Intervention with BS, but not AS, caused a significant decrease in the
duration of attempted defecation and the total CSS score. Intervention with BS
decreased the percentage of Bifidobacterium in the stool. These results suggest
that daily intake of BS improves bowel habit in human subjects. Since BS
treatment enhance antioxidant enzyme activities, these effects of BS appear to
relate with the SFN-mediated modulation of the intestinal motility during
exposure to oxidative stress. (UMIN Clinical Trial Registration Number: UMIN
000021207).
PMID- 29371758
TI - Dietary inflammatory index and its relationship with high-sensitivity C-reactive
protein in Korean: data from the health examinee cohort.
AB - Inflammation is associated with chronic disease. High-sensitivity C-reactive
protein (hs-CRP) is a predictor of chronic disease. The dietary inflammatory
index (DII) is used to determine the overall inflammatory potential of diet. A
cross-sectional analysis of Health Examinee cohort data (2012-2014) from Korea
was performed. Subjects were 40-79 years of age (8,332 males; 19,754 females).
The DII was used to analyze the relationship between subject characteristics,
nutrient intake, and the hs-CRP. Additionally, the relationship between DII and
hs-CRP as a predictor of chronic disease was examined. The DII was divided into 4
quartile: Q1 = -7.21 to -1.88 (median: -3.020), Q2 = -1.87 to -0.02 (median:
0.410), Q3 = -0.01 to 1.87 (median = 0.870) and Q4 = 1.88 to 7.34 (median =
3.040). For each group, the carbohydrate/protein/fat intake ratio was Q1 =
66.7:16.6:19.2, Q2 = 67.2:15.6:18.7, Q3 = 67.3:15.1:18.4 and Q4 = 67.3:14.0:17.9.
The odds of elevated hs-CRP were 1.241 times higher in participants with the most
proinflammatory diets than those with the most anti-inflammatory diets [hs-CRP;
odds ratio (95% confidence interval) for Q4 vs Q1: 1.241 (1.071, 1.438); p for
trend = 0.002]. An association was found between a high DII and high levels of hs
CRP. The DII may be applied to measure the association between diet and chronic
diseases.
PMID- 29371759
TI - Dietary calcium intake is associated with serum high-sensitivity C-reactive
protein level in the general Japanese population.
AB - The beneficial effects of dietary calcium intake on high-sensitivity C-reactive
protein levels, a risk factor of cardiovascular disease, have not been fully
elucidated. This study investigated the associations between dietary calcium
intake and serum high-sensitivity C-reactive protein levels in the general
Japanese population. We analyzed the data of 2,019 subjects (1,194 men and 825
women) aged 35 to 69 years in a cross-sectional study of the Japan Multi
Institutional Collaborative Cohort Study. Nutrients intake including calcium were
estimated using a validated food-frequency questionnaire. Analysis using a
general linear model revealed that dietary calcium intake was inversely
associated with serum high-sensitivity C-reactive protein levels (p for trend
<0.001) after adjustment for age, sex, research group, leisure-time physical
activity, smoking habit, drinking habit, dietary intakes (energy, dietary fiber,
saturated fatty acids and vitamin D) and menopausal status. The association was
slightly attenuated after additional adjustment for body mass index; however,
remained significant (p for trend = 0.008). There were no significant
interactions between dietary calcium intakes and sex, body mass index, or vitamin
D intake for high-sensitivity C-reactive protein levels. This study have
demonstrated that dietary calcium intake was inversely associated with serum high
sensitivity C-reactive protein levels in the general population.
PMID- 29371760
TI - The relationship between vitamin D and estimated glomerular filtration rate and
urine microalbumin/creatinine ratio in Korean adults.
AB - The present study was conducted to assess the association between 25
hydroxyvitamin D [25(OH)D], estimated glomerular filtration rate (eGFR) and urine
microalbumin/creatinine ratio (uACR) in Korean adults. Data on 4,948 adults aged
>=20 years from the Korean National Health and Nutrition Examination Survey V-3
(2012) were analyzed. After adjusting for the related variables (except age), the
odds ratios (ORs) of vitamin D deficiency with the normal group as a reference
were significantly higher in the decreased eGFR plus elevated uACR group [3.089
(95% CI, 1.722-5.544)], but not in the elevated uACR [1.247 (95% CI, 0.986
1.577)] and decreased eGFR group [1.303 (95% CI, 0.789-2.152)]. However, when
further adjusting for age, the ORs of vitamin D deficiency with the normal group
as a reference were significantly higher in the elevated uACR group [1.312 (95%
CI, 1.035-1.662)], decreased eGFR group [1.761 (95% CI, 1.062-2.919)] and the
decreased eGFR plus elevated uACR group [3.549 (95% CI, 1.975-6.365)]. In
conclusion, vitamin D deficiency was positively associated with the elevated uACR
and decreased eGFR. In addition, vitamin D level decreased greatly when decreased
eGFR and elevated uACR appeared simultaneously.
PMID- 29371761
TI - The influence of long-term use of proton pump inhibitors on the gut microbiota:
an age-sex-matched case-control study.
AB - Proton pump inhibitors (PPIs) are widely used to treat gastro-esophageal reflux
and prevent gastric ulcers, and have been considered as low risk. However, recent
studies have identified possible associations between PPI use and gut microbiota,
suggesting that PPIs use increases the risk of enteric infections, including
Clostridium difficile infection. To investigate gut microbiota in Japanese PPIs
users, we conducted 16S metagenomics analysis of fecal samples collected from PPI
users and healthy adults. In total, 36 PPI users and 36 PPI non-users (as control
subjects) matched by age and sex were recruited and fecal samples were obtained
to analyze the gut microbiome using 16S rRNA gene sequencing. There were
significant differences in the microbial structure between PPI non-users and PPI
users. In contrast, the analysis of alpha-diversity revealed no significant
differences between PPI non-users and PPI users. When comparing in genus level
between these two groups, the genera Streptococcus was significantly abundant and
the genera Faecalibacterium was significantly decreased in PPI users. Our
findings indicate a probable association between PPI use and the alternation of
microbiota. These alterations might provide a mechanism by which PPIs predispose
enteric infection such as Clostridium difficile infection.
PMID- 29371762
TI - Effects of Maternal Work Incentives on Youth Crime.
AB - This study exploits differences in the implementation of welfare reform across
states and over time to identify causal effects of maternal work incentives, and
by inference employment, on youth arrests between 1988 and 2005, the period of
time during which welfare reform unfolded. We consider both serious and minor
crimes as classified by the Federal Bureau of Investigation, consider
differential effects by the youth's gender and age, investigate the extent to
which effects were stronger in states with more stringent work incentive policies
and larger welfare caseload declines, and use a number of different model
specifications to assess robustness and patterns. We find that welfare reform led
to reduced arrests for minor crime among youth ages 15-17 years by 9-11 %, with
similar estimates for males and females, but that it did not affect youth arrests
for serious crimes. The results from this study add to a scant knowledge base
about the effects of maternal employment on adolescent behavior by exploiting a
large-scale social experiment that greatly increased employment of low-skilled
women. The results also provide some support for the widely-embraced argument
that welfare reform would discourage undesirable social behavior, not only of
mothers, but also of the next generation.
PMID- 29371763
TI - Gene Editing in Clinical Practice.
PMID- 29371764
TI - Strong Association of C677T Polymorphism of Methylenetetrahydrofolate Reductase
Gene With Nosyndromic Cleft Lip/Palate (nsCL/P).
AB - Methylenetetrahydrofolate reductase (MTHFR) is essential for DNA biosynthesis and
the epigentic process of DNA methylation. It has been reported that abnormal DNA
methylation contributes to the pathogenesis of congenital anomalies. There were
many published case control studies assessing the associations of MTHFR C677T
polymorphism with risks of nosyndromic cleft lip with and without palate
(nsCL/P), but with inconsistent results. To derive a more precise estimation of
the relationship, a meta-analysis was performed. Eligible articles were
identified by search of databases including PubMed, Science Direct, Google
Scholar and Springer Link up to December, 2015. Finally, a total of 22 studies
with 3724 nsCL/P cases and 5275 controls were included in the present meta
analysis. Odds ratios (ORs) with corresponding 95% confidence intervals (95% CIs)
were pooled to assess the association. Subgroup analysis based on ethnicity was
also performed. All statistical analyses were done by MIX program. Meta-analysis
results suggested that MTHFR C677T polymorphism contributed to the increased
nsCL/P risk in overall population using four genetic models except homozygote
model (for T vs. C: OR = 1.24, 95% CI = 1.1-1.4; for TT + CT vs. CC: OR = 1.29,
95% CI = 1.04-1.59; for CT vs. CC: OR = 1.26, 95% CI = 0.98-1.63; for TT vs. CC:
OR = 1.02, 95% CI = 0.74-1.4; for TT vs. CT + CC: OR = 1.36, 95% CI = 1.05-1.74).
In conclusion, results of present meta-analysis suggested that MTHFR C677T
polymorphism is significantly associated with nonsyndromic orofacial cleft.
PMID- 29371765
TI - Role of Homocysteine in Cognitive Impairement and Alzheimer's Disease.
AB - A high circulating concentration of the non proteinogenic amino acid homocysteine
has been implicated as a risk factor for Alzheimer's Disease and its prodromal
stage, mild cognitive impairement. Furthermore, hyperhomocysteinaemia has been
directly attributed to a deficiency in vitamins B12, folate, and B6. Several
studies have demonstrated decrease in progression of mild cognitive impairement
to Alzheimer's Disease, and some have even shown an improvement in cognition
after vitamin supplements with B12 and folate. Plausible mechanisms linking
hyperhomocysteinaemia to Alzheimer's and cognitive impairement have been
hypothesized and demonstrated in hyperhomocysteinemic mice models. However, some
studies have not elucidated any benefit of vitamin supplements in subjects with
cognitive impairment. Hence, multicentric clinical studies need to be conducted
to substantiate the mechanisms of neuronal degeneration due to
hyperhomocysteinaemia and to demonstrate the beneficial effect of folate, B6 and
B12 supplements on cognition.
PMID- 29371767
TI - Study on Impact of Iron and Folic Acid on the Plasma Trace Minerals in Pregnant
Anemic Women.
AB - Iron deficiency anemia is one of the causes that lead to significant mortality
and morbidity among pregnant women and fetus. The present study was undertaken to
explore oral iron supplementation can modify the metal contents in pregnant
anemic women. Iron and folic acid supplementations was given to 500 anemic women
(mild = 200, moderate = 200, and severe = 100) and 100 age matched non-anemic
controls daily for 100 days. Blood index values and plasma trace minerals were
estimated as per standard protocols. Haemoglobin and ferritin levels were found
significantly increased (p < 0.001) in anemic and control subjects after
treatment. Moreover, the serum transferring receptor levels and total iron
binding capacity were found significantly decreased in all treated groups. Iron
(Fe), zinc (Zn) and copper (Cu) levels were found increased (p < 0.01) after oral
iron supplementation groups. Moreover, selenium (Se) manganese (Mn) and were
found to be decreased in all treated groups. Data provides the conclusion that
iron and folic acid supplementation recovered the essential trace minerals,
except manganese, which may lead to various complications including peroxidation
of vital body molecules resulting in increased risk for pregnant women as well as
fetus.
PMID- 29371766
TI - Possible Role of microRNA-122 in Modulating Multidrug Resistance of
Hepatocellular Carcinoma.
AB - Hepatocellular carcinoma (HCC) is a hypervascular primary liver cancer
characterized by rapid progression, besides, resistance to traditional
chemotherapeutic agents. It has been shown that microRNAs play critical roles in
regulation of tumor cell sensitivity to drugs through modulating the expression
of genes involved in drug transport. The present study investigated whether
restoration of miR-122 in HCC cells could alter the cell cycle distribution and
the expression of multidrug resistance (MDR)-related genes (ABCB1, ABCC1, ABCG2
and ABCF2). After overexpression of miR-122 in HepG2 cells treated or untreated
with doxorubicin doses, total RNAs and protein extracts were isolated for
application of QRT-PCR and western blotting techniques. Moreover, cell cycle
distribution was monitored by flow cytometry. Our results revealed that, the over
expression of miR-122 in HepG2 cells treated or untreated with doxorubicin could
modulate the sensitivity of cells to chemotherapeutic drug through downregulation
of MDR-related genes, ABCB1 and ABCF2. Interpretation of cell cycle distribution
revealed that, the anti-proliferative effect of miR-122 is associated with the
accumulation of cells in G0/G1 phase. Moreover, treatment with miR-122 and
doxorubicin resulted in high percentage of HCC cells in G0/G1 phase. Taken
together, our findings revealed that, overexpression of miR-122 inhibited HCC
cell growth by inducing cell cycle arrest and this arrest is associated with down
regulation of MDR-related genes.
PMID- 29371768
TI - Altered Kinetics Properties of Erythrocyte Lactate Dehydrogenase in Type II
Diabetic Patients and Its Implications for Lactic Acidosis.
AB - Recent studies have been noted that the erythrocytes from Type II diabetic
patients show significantly altered structural and functional characteristics
along with the changed intracellular concentrations of glycolytic intermediates.
More recent studies from our laboratory have shown that the activities of enzymes
of glycolytic pathway changed significantly in RBCs from Type II diabetic
patients. In particular the levels of lactate dehydrogenase (LDH) increased
significantly. Lactic acidosis is an established feature of diabetes and LDH
plays a crucial role in conversion of pyruvate to lactate and reportedly, the
levels of lactate are significantly high which is consistent with our observation
on increased levels of LDH. Owing to this background, we examined the role of
erythrocyte LDH in lactic acidosis by studying its kinetics properties in Type II
diabetic patients. Km, Vmax and apparent catalytic efficiency were determined
using pyruvate and NADH as the substrates. With pyruvate as the substrate the Km
values were comparable but Vmax increased significantly in the diabetic group.
With NADH as the substrate the enzyme activity of the diabetic group resolved in
two components as against a single component in the controls. The Apparent Kcat
and Kcat/Km values for pyruvate increased in the diabetic group. The Ki for
pyruvate increased by two fold for the enzyme from diabetic group with a marginal
decrease in Ki for NADH. The observed changes in catalytic attributes are
conducive to enable the enzyme to carry the reaction in forward direction towards
conversion of pyruvate to lactate leading to lactic acidosis.
PMID- 29371769
TI - Characterization and Classification of Mesenchymal Stem Cells in Several Species
Using Surface Markers for Cell Therapy Purposes.
AB - Mesenchymal stem cells are multipotent cells capable of replicating as
undifferentiated cells, and have the potential of differentiating into
mesenchymal tissue lineages such as osteocytes, adipocytes and chondrocytes. Such
lineages can then be used in cell therapy. The aim of present study was to
characterize bone marrow derived mesenchymal stem cells in four different
species, including: sheep, goat, human and mouse. Human bone-marrow mesenchymal
stem cells were purchased, those of sheep and goat were isolated from fetal bone
marrow, and those of mouse were collected by washing bone cavity of femur and
tibia with DMEM/F12. Using flow-cytometry, they were characterized by CD surface
antigens. Furthermore, cells of third passage were examined for their osteogenic
and adipogenic differentiation potential by oil red and alizarin red staining
respectively. According to the results, CD markers studied in the four groups of
mesenchymal stem cells showed a different expression. Goat and sheep expressed
CD44 and CD166, and weakly expressed CD34, CD45, CD105 and CD90. Similarly, human
and mouse mesenchymal cells expressed CD44, CD166, CD105 and CD90 whereas the
expression of CD34 and CD45 was negative. In conclusion, although all mesenchymal
stem cells display plastic adherence and tri-lineage differentiation, not all
express the same panel of surface antigens described for human mesenchymal stem
cells. Additional panel of CD markers are necessary to characterize regenerative
potential and possible application of these stem cells in regenerative medicine
and implantology.
PMID- 29371770
TI - Analysis of Association of Angiotensin II Type 1 Receptor Gene A1166C Gene
Polymorphism with Essential Hypertension.
AB - The A/C transversion at 1166 of the angiotensin II Type 1 Receptor (AT1R) gene
per se does not characterize any functional diversity but has been associated
with expression of the AT1R, consequently molecular variants of the gene may
modulate the possible risk of essential hypertension. The present study was
performed to determine the genotypic frequency of the A1166C polymorphism of the
AT1R gene in essential hypertensive patients with the aim to assess the effect of
variants of this polymorphism in hypertension. AT1R gene amplification was
performed by PCR and A1166C polymorphism was determined by enzyme digestion
methodologies in 224 consecutively enrolled essential hypertensive patients and
257 controls. Suitable descriptive statistics was used for different variables.
Results revealed that genotype and allele distribution of the A1166C variant
differed significantly in hypertensives and normotensives. Allele frequency at
the A1166C position was 61%A and 39%C for control and 52%A and 48%C for patients.
Observed frequencies were compatible with HWE expected frequencies in cases as
well as in controls. rs5186 was found to be associated with hypertension (95% CI
1.1453-2.7932, p: 0.0106). The difference remained statistically significant
after the multivariate adjustment (p < 0.05), with C/C variant conferring a risk
of 1.74-fold of essential hypertension. This association was confirmed by inter
genotypic variations in the mean systolic and diastolic blood pressure in
patients. In conclusion, genetic variation at the AT1R gene influences the risk
of hypertension stratification and might serve as a predictive marker for the
susceptibility to hypertension among affected families.
PMID- 29371771
TI - Effect of Interaction Between Duration of Alcohol Consumption and Alcohol
Dependence on Thyroid Function Test: Cross Sectional Observational Study.
AB - Dependence on alcohol, nicotine and duration of alcohol consumption are known to
alter thyroid function tests. This study was conducted to assess the effect of
interaction between the duration of alcohol consumption and alcohol dependence on
TFT. The subjects consisted of 38 male patients with alcohol dependent syndrome
co morbid with nicotine dependent syndrome, 33 male patients with alcohol
dependent syndrome and 30 male normal healthy volunteers. Liver function tests,
haematological parameters and thyroid function tests were assayed. Two way
multivariate ANOVA was used to assess the interaction effect by SPSS 21 package.
Multivariate analysis of combined TFT levels revealed no significant (P = .078)
difference amongst groups based on alcohol dependence, significant difference (P
= .001) amongst groups based on duration of alcohol consumption and no
significant (P = .604) interaction effect between duration of alcohol consumption
and alcohol dependence. Tests of between subject effects for individual TFT
revealed significant (P = .014) difference in T3 between groups based on alcohol
dependence, significant difference in the levels of fT4 (P = .001), T3 (P = .07)
and T4 (P < .001) between groups based on duration of alcohol consumption was
observed. Interaction between the effect of duration of alcohol consumption and
alcohol dependence for individual TFT did not reveal any significance. fT4, TSH
and T4 levels were significantly low in persons consuming alcohol for more than
20 years. TSH levels were significantly low in ADS compared to controls.
Significant decrease in the levels of thyroid hormones was observed as the
duration of alcohol consumption increased.
PMID- 29371772
TI - Dietary Fats and Oxidative Stress: A Cross-Sectional Study Among Coronary Artery
Disease Subjects Consuming Coconut Oil/Sunflower Oil.
AB - Coconut oil has been used by the people of Kerala as a cooking medium for several
decades. Due to its alleged hypercholesterolemic activity, general population in
recent times is shifting to cooking oils rich in polyunsaturated fats, the most
popular being sunflower oil. The effect of long-term consumption of sunflower oil
on oxidative stress in humans is not well investigated. We studied oxidative
stress among coronary artery disease (CAD) patients who were consuming coconut
oil or sunflower oil as a part of their routine diet. Men, aged 35-70 years, with
established CAD, who presented to the hospital for routine cardiac evaluations,
were enrolled in this observational study. Group 1 and 2 consisted of 73 and 80
subjects consuming coconut oil and sunflower oil respectively for over a period
of 2 years. Lipid profile and parameters for oxidative stress were evaluated
among them. Conventional lipid parameters did not differ significantly between
the two groups. Mean vitamin C concentration was significantly reduced for
subjects on sunflower oil compared to those consuming coconut oil (P = 0.044).
Malondialdehyde was higher for sunflower oil consumers compared to coconut oil
consumers (P < 0.0001). Other parameters such as oxidized LDL, GSH, GPx and SOD
were not found to be significantly different between the two groups. The results
of the present study show that coconut oil did not induce hypercholesterolemia
compared to sunflower oil. On the other hand, sunflower oil group had elevated
oxidative stress compared to coconut oil group.
PMID- 29371773
TI - The Effects of Onion Consumption on Prevention of Nonalcoholic Fatty Liver
Disease.
AB - It is well known that dietary intakes play a pivotal role in pathogenesis of
nonalcoholic fatty liver disease (NAFLD)/nonalcoholic steatohepatitis (NASH);
however, the role of each component of diet has not yet been elucidated. Our
objective was to evaluate the effects of onion consumption on prevention of
NAFLD/NASH development. Sprague-Dawley rats were fed either high-fat, high sugar
diet (model group), or high-fat, high sugar diet plus 7% onion powder (model +
onion), or chow diet ad libitum for 7 weeks. Serum levels of fasting glucose,
triglyceride, cholesterol, liver enzymes, insulin, and hepatic tumor necrosis
factor-alpha (TNF-alpha) gene expression were determined. Hepatic histology was
examined by H&E stain. Model + onion group had significantly lower hepatic
steatosis, ballooning, lobular inflammation, and portal inflammation (p < 0.001),
lower hepatic TNF-alpha gene expression (p < 0.001), lower plasma levels of ALT
(p = 0.026), AST (p = 0.041), insulin (p < 0.001), TG (p = 0.041), and glucose (p
= 0.009) compared with model group; however, weight gain, food intake, plasma
total cholesterol and LDL levels were not significantly different between these
two groups. Our data indicate that regular consumption of onion can prevent NAFLD
even in the presence of the other risk factors such as obesity,
hypercholesterolemia, and high energy, fat, and sugar intakes.
PMID- 29371774
TI - A Study of Microalbuminuria (MAU) and Advanced Glycation End Products (AGEs)
Levels in Diabetic and Hypertensive Subjects.
AB - The prevalence of non-communicable diseases like diabetes mellitus (DM) and
hypertension (HTN) is growing worldwide. Both lead to nephropathy if not
controlled effectively. Microalbuminuria (MAU) is recognized as an early
predictor for nephropathy. Additionally, the timely detection of advanced
glycation end products (AGEs) is also considered to be an important prognostic
factor for diabetic nephropathies. Hence, screening for the early detection of
MAU and AGEs would be an useful and relatively inexpensive laboratory test for
early clinical diagnosis for the incidence of nephropathy in these diseases. This
study was conducted in DM, HTN and pregnancy induced hypertensive (PIH) subjects.
MAU and Nepsilon-Carboxymethyllysine (CML) levels were estimated by in-house RIA
kits in the patient groups and controls, while the total AGEs level in serum was
determined by ELISA. The levels of MAU, CML and AGE-BSA were observed to be
significantly higher in DM, HTN and PIH subjects compared to controls (p <
0.001). Increased serum CML and AGEs levels in DM, HTN and PIH subjects indicated
ongoing glycemic damage and their susceptibility to develop renal complications.
PMID- 29371775
TI - Validating a High Performance Liquid Chromatography-Ion Chromatography (HPLC-IC)
Method with Conductivity Detection After Chemical Suppression for Water Fluoride
Estimation.
AB - A variety of methods, including the Ion Selective Electrode (ISE), have been used
for estimation of fluoride levels in drinking water. But as these methods suffer
many drawbacks, the newer method of IC has replaced many of these methods. The
study aimed at (1) validating IC for estimation of fluoride levels in drinking
water and (2) to assess drinking water fluoride levels of villages in and around
Vellore district using IC. Forty nine paired drinking water samples were measured
using ISE and IC method (Metrohm). Water samples from 165 randomly selected
villages in and around Vellore district were collected for fluoride estimation
over 1 year. Standardization of IC method showed good within run precision,
linearity and coefficient of variance with correlation coefficient R2 = 0.998.
The limit of detection was 0.027 ppm and limit of quantification was 0.083 ppm.
Among 165 villages, 46.1% of the villages recorded water fluoride levels >1.00
ppm from which 19.4% had levels ranging from 1 to 1.5 ppm, 10.9% had recorded
levels 1.5-2 ppm and about 12.7% had levels of 2.0-3.0 ppm. Three percent of
villages had more than 3.0 ppm fluoride in the water tested. Most (44.42%) of
these villages belonged to Jolarpet taluk with moderate to high (0.86-3.56 ppm)
water fluoride levels. Ion Chromatography method has been validated and is
therefore a reliable method in assessment of fluoride levels in the drinking
water. While the residents of Jolarpet taluk (Vellore distict) are found to be at
a high risk of developing dental and skeletal fluorosis.
PMID- 29371776
TI - Mutations in HNF1A Gene are not a Common Cause of Familial Young-Onset Diabetes
in Iran.
AB - Mutations in hepatocyte nuclear factor-1 alpha (HNF1A) as a homeodomain
transcription factor which regulates variety of genes, are the most common cause
of maturity-onset diabetes of the young (MODY). Detection of HNF1A mutations not
only classifies the subtype, but also predicts the likely clinical course and may
alters the method of treatment from insulin to the oral sulphonylureas, which is
shown to improve glycemic control. The coding and promoter regions of HNF1A gene
were screened for mutations in 34 unrelated Iranian MODY patients. We identified
one novel missense mutation (C49G) and two novel polymorphisms and 8 recently
identified SNPs in the HNF1A gene. It is possible that in Iran, other yet to be
identified genes are responsible for the familial young onset diabetes. Hence,
there is a need for more extensive genetic analyses in Iranian patients with
familial young onset diabetes.
PMID- 29371777
TI - Pre-micro RNA-499 Gene Polymorphism rs3746444 T/C is Associated with
Susceptibility to Rheumatoid Arthritis in Egyptian Population.
AB - Pre-miRNA-499 gene is associated with autoimmune disease. Mir-449 rs3746444
polymorphism is inconsistent for rheumatoid arthritis (RA). This study aimed to
investigate association of mir-499 rs3746444 polymorphism with RA activity and
severity in Egyptian population. The study population was conducted as case
control study in 100 RA patients diagnosed according to the American College of
Rheumatology classification criteria for RA, and the control group included 100
healthy subjects who were age-and sex-matched to the RA group. Different
genotypes were assessed using polymerase chain reaction-restriction fragment
length polymorphism. 95% Confidence interval and odds ratio were defined to
assess the strength of association. Regarding patients, thirty-three patients
carried TT genotype, fifty-three patients carried TC genotype and fourteen
patients carried CC genotype. So the frequency of the minor C allele in RA
patients was significantly higher than the control subjects (P = 0.037). TC, CC
genotypes and C allele frequencies were significantly associated with disease
severity as they had high rheumatoid factor (55.78 uIU/ml) and anti-cyclic
citrullinated peptide (Anti-CCP) antibody (297.32 uIU/ml). Moreover, the
heterozygote TC had more severe and more active form of the disease compared with
homozygote CC or TT as they had high Anti-CCP antibody, and disease activity
score 28 (score 5). Our work suggests that C allele of Pre-miRNA rs3746444
polymorphism contributes to heritability of susceptibility to RA compared to T
allele. This polymorphism was associated with the activity and severity of the
disease.
PMID- 29371778
TI - Evaluation of Preanalytical Quality Indicators by Six Sigma and Pareto's
Principle.
AB - Preanalytical steps are the major sources of error in clinical laboratory. The
analytical errors can be corrected by quality control procedures but there is a
need for stringent quality checks in preanalytical area as these processes are
done outside the laboratory. Sigma value depicts the performance of laboratory
and its quality measures. Hence in the present study six sigma and Pareto
principle was applied to preanalytical quality indicators to evaluate the
clinical biochemistry laboratory performance. This observational study was
carried out for a period of 1 year from November 2015-2016. A total of 1,44,208
samples and 54,265 test requisition forms were screened for preanalytical errors
like missing patient information, sample collection details in forms and
hemolysed, lipemic, inappropriate, insufficient samples and total number of
errors were calculated and converted into defects per million and sigma scale.
Pareto's chart was drawn using total number of errors and cumulative percentage.
In 75% test requisition forms diagnosis was not mentioned and sigma value of 0.9
was obtained and for other errors like sample receiving time, stat and type of
sample sigma values were 2.9, 2.6, and 2.8 respectively. For insufficient sample
and improper ratio of blood to anticoagulant sigma value was 4.3. Pareto's chart
depicts out of 80% of errors in requisition forms, 20% is contributed by missing
information like diagnosis. The development of quality indicators, application of
six sigma and Pareto's principle are quality measures by which not only
preanalytical, the total testing process can be improved.
PMID- 29371779
TI - Hyperferritinemia in Hemophagocytic Lymphohistiocytosis: A Single Institution
Experience in Pediatric Patients.
AB - Hemophagocytic lymphohistiocytosis (HLH) is an inflammatory condition that may
run a rapid fatal course and calls for prompt diagnosis. Early intervention with
steroids and other immunosuppressive drugs can contain the disease process and
favours positive outcome. Ferritin >=500 ng/ml is a HLH diagnostic criterion. We
evaluated the diagnostic potential of admission ferritin, in children with HLH.
Pediatric patients of a referral teaching hospital from Feb 2010-Oct 2013 having
been investigated for ferritin on admission were included. HLH was confirmed when
patients had clinical features and met 5/8 diagnostic criteria of the revised
2004 HLH guidelines. Ferritin was estimated on Cobas e411 by
electrochemiluminiscence, with a measuring range of 0.5-2000 ng/ml. Dilutions
were made when linearity exceeded and absolute values were reported. 905 on
admission ferritin investigations were reviewed out of which 346 values >=500
ng/ml. Hyperferritinemia was seen in HLH/MAS (macrophage activation syndrome)
[HLH group, median age 4 year 4 month, 59% male] and in systemic lupus
erythematosus, sepsis, juvenile idiopathic arthritis, impending HLH, haemolytic
anemias and malignancy [non-HLH group, median age 4 year 6 month, 60% male]. Of
346, 72 cases of hyperferritinemia were diagnosed with secondary HLH while one
patient had primary HLH. 13/73 patients expired. The median ferritin level of the
HLH group was significantly higher [6556 (2402-11,734) ng/ml] compared to non-HLH
group [median 1175 (943-2000) ng/ml] (p < 0.0001). Receiver operator
characteristics curve analysis revealed optimal admission ferritin of 3120 ng/ml
as the cut-off with sensitivity of 70% and specificity of 88.9% for HLH
diagnosis, exceeding the currently prescribed cut-off of 500 ng/ml.
Hyperferritinemia below 3120 ng/ml has higher negative predictive value to rule
out secondary HLH on admission in the study population of children predominantly
diagnosed with infection associated HLH than the prescribed cut-off as per the
2004 guidelines. This may prove to be beneficial to alert physicians for prompt
intervention which considerably decreases mortality in this often fatal
condition.
PMID- 29371780
TI - Cinderella in Serum Protein Electrophoresis.
AB - Paraproteinemia is characterised by clonal proliferation of plasma cells. A
common laboratory finding in paraproteinemia being a monoclonal peak in serum
protein electrophoresis (M band). But there are factors which produce a peak
similar to M spike in serum protein electrophoresis and these factors are known
as pseudoparaproteins. This case report discusses a rare cause of pseudo M spike
in a known case of autoimmune hemolytic anaemia due to administration of drug
Rituximab, a monoclonal antibody by itself.
PMID- 29371781
TI - A Proposed Method for Identification of Streptomycin Resistance from 16s rRNA
Sequence by Co-localization Analysis of Fluorescent Signals: A Step Towards
Detection of Streptomycin Resistant Mycobacterium Species in Culture Free and
Gene Amplification Independent Technique.
PMID- 29371784
TI - The Reproductive Morphology and Physiological Age Grading of the Female Salvinia
Weevil, Cyrtobagous salviniae Calder and Sands.
AB - The morphology of the female Cyrtobagous salviniae Calder and Sands reproductive
system is similar to other weevil species being meroistic and telotrophic. The
reproductive system is composed of 2 ovaries each containing 2 ovarioles where
the follicles mature. A physiological age grading system was developed where the
continuum of ovarium development was divided into 2 nulliparous and 3 parous
classes. This was based on the differentiation of the ovarioles, presence, and
appearance of follicular relics, cuticle hardness/coloration, and fat body
quantity/appearance. High correlation occurred between the parous classes and
number of eggs produced where the P3 class had over 9-fold higher number of eggs
in comparison with the P1 class. Mean number of eggs produced for each parous
class was significantly different, however, overlap occurred. Such a system
enables a determination of the past, present, and future reproductive status of
field populations and mass-rearing colonies.
PMID- 29371783
TI - Evaluation of a Serum Lung Cancer Biomarker Panel.
AB - Background: A panel of 3 serum proteins and 1 autoantibody has been developed to
assist with the detection of lung cancer. We aimed to validate the accuracy of
the biomarker panel in an independent test set and explore the impact of adding a
fourth serum protein to the panel, as well as the impact of combining molecular
and clinical variables. Methods: The training set of serum samples was purchased
from commercially available biorepositories. The testing set was from a
biorepository at the Cleveland Clinic. All lung cancer and control subjects were
>50 years old and had smoked a minimum of 20 pack-years. A panel of biomarkers
including CEA (carcinoembryonic antigen), CYFRA21-1 (cytokeratin-19 fragment 21
1), CA125 (carbohydrate antigen 125), HGF (hepatocyte growth factor), and NY-ESO
1 (New York esophageal cancer-1 antibody) was measured using immunoassay
techniques. The multiple of the median method, multivariate logistic regression,
and random forest modeling was used to analyze the results. Results: The training
set consisted of 604 patient samples (268 with lung cancer and 336 controls) and
the testing set of 400 patient samples (155 with lung cancer and 245 controls).
With a threshold established from the training set, the sensitivity and
specificity of both the 4- and 5-biomarker panels on the testing set was 49% and
96%, respectively. Models built on the testing set using only clinical variables
had an area under the receiver operating characteristic curve of 0.68, using the
biomarker panel 0.81 and by combining clinical and biomarker variables 0.86.
Conclusions: This study validates the accuracy of a panel of proteins and an
autoantibody in a population relevant to lung cancer detection and suggests a
benefit to combining clinical features with the biomarker results.
PMID- 29371782
TI - A Single Electrochemical Probe Used for Analysis of Multiple Nucleic Acid
Sequences.
AB - Electrochemical hybridization sensors have been explored extensively for analysis
of specific nucleic acids. However, commercialization of the platform is hindered
by the need for attachment of separate oligonucleotide probes complementary to a
RNA or DNA target to an electrode's surface. Here we demonstrate that a single
probe can be used to analyze several nucleic acid targets with high selectivity
and low cost. The universal electrochemical four-way junction (4J)-forming (UE4J)
sensor consists of a universal DNA stem-loop (USL) probe attached to the
electrode's surface and two adaptor strands (m and f) which hybridize to the USL
probe and the analyte to form a 4J associate. The m adaptor strand was conjugated
with a methylene blue redox marker for signal ON sensing and monitored using
square wave voltammetry. We demonstrated that a single sensor can be used for
detection of several different DNA/RNA sequences and can be regenerated in 30
seconds by a simple water rinse. The UE4J sensor enables a high selectivity by
recognition of a single base substitution, even at room temperature. The UE4J
sensor opens a venue for a re-useable universal platform that can be adopted at
low cost for the analysis of DNA or RNA targets.
PMID- 29371785
TI - A Preliminary Evaluation of the Ability of Keratotic Tissue to Act as a
Prognostic Indicator of Hip Fracture Risk.
AB - Studies have shown that Raman spectroscopic analysis of fingernail clippings can
help differentiate between post-menopausal women who have and who have not
suffered a fracture. However, all studies to date have been retrospective in
nature, comparing the proteins in nails sourced from women, post-fracture. The
objective of this study was to investigate the potential of a prospective test
for hip fracture based on spectroscopic analysis of nail tissue. Archived toenail
samples from post-menopausal women aged 50 to 63 years in the Nurses' Health
Study were obtained and analysed by Raman spectroscopy. Nails were matched case
controls sourced from 161 women; 82 who underwent a hip fracture up to 20 years
after nail collection and 81 age-matched controls. A number of clinical risk
factors (CRFs) from the Fracture Risk Assessment (FRAX) tool had been assessed at
toenail collection. Using 80% of the spectra, models were developed for
increasing time periods between nail collection and fracture. Scores were
calculated from these models for the other 20% of the sample and the ability of
the score to predict hip fracture was tested in model with and without the CRFs
by comparing the odds ratios (ORs) per 1 SD increase in standardised predictive
values. The Raman score successfully distinguished between hip fracture cases and
controls. With only the score as a predictor, a statistically significant OR of
2.2 (95% confidence interval [CI]: 1.5-3.1) was found for hip fracture for up to
20 years after collection. The OR increased to 3.8 (2.6-5.4) when the CRFs were
added to the model. For fractures limited to 13 years after collection, the OR
was 6.3 (3.0-13.1) for the score alone. The test based on Raman spectroscopy has
potential for identifying individuals who may suffer hip fractures several years
in advance. Higher powered studies are required to evaluate the predictive
capability of this test.
PMID- 29371786
TI - Total Knee Arthroplasty: Does Day of Surgery Matter?
AB - Introduction: There has been an influx of research studies aimed at identifying
all factors that contribute to minimizing cost and maximizing postoperative care
after total knee arthroplasty (TKA). Length of stay (LOS) has been defined as a
significant factor that contributes to increased burden. We aimed at looking
whether day of surgery has any significant effect on the LOS and postoperative
complications following total knee arthroplasty (TKA). Materials and methods:
Retrospective cohort study done at the Aga Khan University Hospital, Pakistan,
from January 2007 to December 2015. A total of 611 patients who underwent a
unilateral or bilateral total knee arthroplasty (TKA) were included in study: 269
(44.0%) patients underwent unilateral TKA and 342 (56.0%) underwent a bilateral
TKA. Results: Kruskal-Wallis test and post hoc pairwise comparisons showed that
unilateral TKAs performed on Tuesday, Saturday, and Sunday resulted in a lower
median LOS (P < .05). No significant association was seen in bilateral TKA group.
Day of surgery was not associated with postoperative complications. Conclusions:
Unilateral TKAs performed earlier during the week and later on the weekend are
associated with a significantly lower LOS. It is highly probable that patch
ancillary services during the latter part of the week and a higher workload for
the staff are important reasons for this phenomenon.
PMID- 29371788
TI - Awareness of 'Systolic Anterior Motion' in Different Conditions.
AB - Perioperative echocardiography, especially transesophageal echocardiography, is
of paramount importance in evaluating and managing refractory hypotension, a
potential cause of which is systolic anterior motion (SAM) of anterior mitral
leaflet. Dynamically moving anterior mitral valve leaflet towards the left
ventricular outflow tract (LVOT) is described as SAM. Although SAM was initially
observed in patients with hypertrophic cardiomyopathy, it can also be seen in
patients with complex dynamic anatomy of the left ventricle. Interestingly, SAM
may or may not give rise to clinically significant LVOT obstruction. Hence, it is
of paramount importance for perioperative physician to know such 'dynamic SAM'
which can potentially and significantly affect and alter perioperative
management.
PMID- 29371787
TI - Preeclampsia: From Inflammation to Immunoregulation.
AB - Preeclampsia (PE) affects 5% to 7% of pregnant women each year worldwide,
accounts for up to 18% of maternal deaths in the United States each year, and is
the number 1 cause of premature births. Preeclampsia is associated with
hypertension after the 20th week of gestation with or without proteinuria, in
conjunction with fetal growth restriction, maternal endothelial dysfunction, and
chronic immune activation. The mechanisms leading to the development of PE are
unclear. However, it is thought that shallow trophoblast invasion and
insufficient remodeling of uterine spiral arteries result in placental ischemia.
Consequently, an immune imbalance characterized by increases in proinflammatory
CD4+ T cells and cytokines along with decreases in regulatory T cells and anti
inflammatory cytokines occurs. This imbalance leads to chronic inflammation and
ensuing oxidative stress, proinflammatory cytokines, and autoantibodies. Studies
performed in our laboratories, using the Reduced Uterine Perfusion Pressure
(RUPP) rat model of placental ischemia, have demonstrated a role for this immune
imbalance to mediate PE pathophysiology and identified potential mechanisms of
immunoregulation that may be of benefit in the treatment of PE. Therefore, the
purpose of this commentary is to review studies demonstrating the positive
effects of immunoregulatory factors in the RUPP rat model of PE. Restoration of
the immune balance in PE may be a potential strategy for the development of
therapeutic interventions that could improve maternal and fetal outcomes
associated with this maternal syndrome.
PMID- 29371789
TI - Case Report of a Small Gastric Neuroendocrine Tumor in a Deep Layer of Submucosa
With Diagnosis by Endoscopic Ultrasound-Guided Fine-Needle Aspiration and
Treatment With Laparoscopic and Endoscopic Cooperative Surgery.
AB - Gastric neuroendocrine tumors (GNETs) are rare lesions characterized by
enterochromaffin-like cells of the stomach. Optimal management of GNETs has not
yet been definitively determined. Endoscopic resection is approximately
recommended for small GNETs associated with hypergastrinemia. However, endoscopic
resection might present risk of perforation or positive vertical margin because
neuroendocrine tumors occur in the deep mucosa, with some invading the submucosa.
In this case, a patient with type A chronic atrophic gastritis had a small
subepithelial lesion in a deep submucosal layer, and we diagnosed it as GNET
using endoscopic ultrasound-guided fine-needle aspiration biopsy using a forward
viewing and curved linear-array echoendoscope. Moreover, our results show that
laparoscopic and endoscopic cooperative surgery with regional lymph node
dissection is a safe and feasible procedure for GNETs, especially those that
cross to the muscularis propria. We suggest this approach as one therapeutic
option for GNETs because it safely minimizes resection and is less invasive.
PMID- 29371790
TI - Crizotinib, an Effective Agent in ROS1-Rearranged Adenocarcinoma of Lungs: A Case
Report.
AB - Introduction: ROS1 rearrangement has recently emerged as a new molecular subtype
in non-small-cell lung cancer (NSCLC) and is predominantly found in lung
adenocarcinoma compared with other oncogenes such as EGFR, KRAS, or ALK. It has
been identified in only 1% to 2% of NSCLC cases. Case Report: We report a case of
52-year-old man (nonsmoker) with a medical history of allergic rhinitis and
bronchial asthma. Histopathologic examination of bronchoscopic-guided biopsy
showed adenocarcinoma histology on September 2015. After 2 months, he developed
left-sided pneumonia for which he was treated with multiple intravenous
antibiotics. In the meantime, fiberoptic bronchoscopy was done which revealed
purulent secretion from right upper lobe and narrowed opening of right middle
lobe. His cancer symptoms got worsened and bronchial biopsy showed EGFR mutation
negative. For further diagnosis, fluorescent in situ hybridization test was done
which showed ROS1 mutation positive. By then, the patient was started with
crizotinib 250 mg twice daily for ROS1 mutation in July 2016. Later, patient
appears to benefit from treatment with crizotinib. X-ray report and positron
emission tomographic-computed tomographic scan revealed that the patient was
overall better with clear chest and well tolerated with the therapy. Crizotinib
was approved on March 11, 2016 by Food and Drug Administration for the treatment
of patients with ROS1-positive NSCLC. Conclusions: In this report, crizotinib
showed marked antitumor activity in patients with advanced ROS1 rearrangement, a
third molecular subgroup of NSCLC.
PMID- 29371791
TI - Drosophila melanogaster as a Model for Studying Aspergillus fumigatus.
AB - Drosophila melanogaster is a useful model organism that offers essential insights
into developmental and cellular processes shared with humans, which has been
adapted for large scale analysis of medically important microbes and to test the
toxicity of heavy metals, industrial solvents and other poisonous substances. We
here give a brief review of the use of the Drosophila model in medical mycology,
discuss the volatile organic compounds (VOCs) produced by the opportunistic human
pathogen, Aspergillus fumigatus, and give a brief summary of what is known about
the toxicity of some common fungal VOCs. Further, we discuss the use of VOC
detection as an indirect indicator of fungal growth, including for early
diagnosis of aspergillosis. Finally, we hypothesize that D. melanogaster has
promise for investigating the role of VOCs synthesized by A. fumigatus as
possible virulence factors.
PMID- 29371793
TI - Arthothelium punctatum (Arthoniaceae, Arthoniales), A New Lichen Species from
South Korea.
AB - A total of 121 species of lichens belonging to the genus Arthothelium have been
described to date, most of which have been found in tropical regions. Here, we
describe the discovery of a novel Arthothelium species for the first time in
South Korea. Until now, Arthothelium ruanum was the only Arthothelium species
reported in South Korea. Among the 113 specimens collected in this study, we
identified A. ruanum and a putative new species, Arthothelium punctatum (J. S.
Park & J.-S. Hur, sp. nov.). The diagnostic characters of A. punctatum are as
follows: apothecia punctate, shortly elongate to branched, small, 0.1-0.2 mm
wide, hypothecium hyaline to pale brown and obovate to broadly ellipsoid,
muriform ascospores, 29.5-44.6 * 12.2-18.2 MUm. The new species was found in Mt.
Seokbyeong at an altitude of 790 m on smooth bark. Upon phylogenic analysis, the
putative new species, A. punctatum, was separated from other Arthothelium species
although the specimens analyzed were clustered with Arthoniaceae in phylogenetic
trees based on both the mitochondrial small subunit (mtSSU) sequence and combined
mtSSU and nuclear ribosomal large subunit sequences. Our data clearly indicate
that this species is a new species belonging to the family Arthoniaceae. To
elucidate the taxonomic characteristics of the new species, we provide
morphological descriptions and a distribution map.
PMID- 29371792
TI - Influence of Temperature and Water Activity on Deleterious Fungi and Mycotoxin
Production during Grain Storage.
AB - Cereal grains are the most important food source for humans. As the global
population continues to grow exponentially, the need for the enhanced yield and
minimal loss of agricultural crops, mainly cereal grains, is increasing. In
general, harvested grains are stored for specific time periods to guarantee their
continuous supply throughout the year. During storage, economic losses due to
reduction in quality and quantity of grains can become very significant. Grain
loss is usually the result of its deterioration due to fungal contamination that
can occur from preharvest to postharvest stages. The deleterious fungi can be
classified based on predominance at different stages of crop growth and harvest
that are affected by environmental factors such as water activity (aw) and eco
physiological requirements. These fungi include species such as those belonging
to the genera Aspergillus and Penicillium that can produce mycotoxins harmful to
animals and humans. The grain type and condition, environment, and biological
factors can also influence the occurrence and predominance of mycotoxigenic fungi
in stored grains. The main environmental factors influencing grain fungi and
mycotoxins are temperature and aw. This review discusses the effects of
temperature and aw on fungal growth and mycotoxin production in stored grains.
The focus is on the occurrence and optimum and minimum growth requirements for
grain fungi and mycotoxin production. The environmental influence on aflatoxin
production and hypothesized mechanisms of its molecular suppression in response
to environmental changes are also discussed. In addition, the use of controlled
or modified atmosphere as an environmentally safe alternative to harmful
agricultural chemicals is discussed and recommended future research issues are
highlighted.
PMID- 29371794
TI - Taxonomy and Phylogeny of Peronospora Species (Oomycota) Parasitic to Stellaria
and Pseudostellaria in Korea, with the Introduction of Peronospora casparyi sp.
nov.
AB - The genus Peronospora, an obligate biotrophic group belonging to Oomycota, causes
serious damage to a variety of wild and ornamental plants, as well as cultivated
crops, such as beet, rose, spinach, and tobacco. To investigate the diversity of
Peronospora species parasitic to Stellaria and Pseudostellaria (Caryophyllaceae)
plants in Korea, we performed a morphological analysis on dried herbarium
specimens and molecular phylogenetic inferences based on internal transcribed
spacer rDNA and cox2 mitochondrial DNA sequences. As a result, it was confirmed
that there are four species of Peronospora parasitic to specific species of
Stellaria and Pseudostellaria, all of which were hitherto unrecorded in Korea: P.
alsinearum (ex Stellaria media), P. stellariae-aquaticae (ex Stellaria aquatica),
P. stellariae-uliginosae (ex Stellaria alsine), and P. pseudostellariae (ex
Pseudostellaria palibiniana). In addition, Peronospora specimens parasitic to
Pseudostellaria davidii differed morphologically from P. pseudostellariae owing
to the large and ellipsoidal conidia; this morphological discrepancy was also
validated by the high genetic divergence between the two species. Peronospora
casparyi sp. nov. is described and illustrated here.
PMID- 29371795
TI - Taxonomic Revision of the Lichen Genera Pertusaria, Varicellaria, and Variolaria
(Pertusariales, Ascomycota) in South Korea.
AB - The crustose lichen genus Pertusaria comprises over ca. 800 species worldwide. In
total, 20 Pertusaria species were localized to the Mt. Sorak and Jeju-do in
Korea. To date, information regarding the distribution of Pertusaria species in
the South Korean peninsula is scarce. In this study, we collected Pertusaria
species across South Korea and identified them based on morphological, chemical,
and molecular characteristics. Of the 387 samples collected, we identified 24
taxa and 1 variety, of which 17 were previously recorded, and 6 taxa were newly
found in South Korea (P. leioplaca, P. leucosora var. violascens, P. texana, P.
thiospoda, P. thwaitesii, and P. xanthodes), 2 known species were transferred to
Varicellaria (Varicellaria lactea and V. velata), one species was transferred to
Variolaria as a new record (Variolaria multipunctoides) and one was a new species
(P. jogyeensis J. S. Park & J.-S. Hur, sp. nov.). Characteristics of the newly
discovered species, P. jogyeensis, are as follows: smooth to bumpy thallus,
scattered to crowded poriform apothecia, blackish ostioles, definitely sunken,
thin yellowish green rims around ostioles, 8-spored ascus, and the presence of
perlatolic acid and thiophaninic acid (chlorinated xanthone). Phylogenetic
studies on P. jogyeensis based on the mitochondrial small subunit sequence
revealed proximity to P. flavicans and P. texana, and supported its
classification as a new species within the genus Pertusaria. Additionally, we
describe the chemical composition and morphology of all listed species in detail
and provide an artificial key for identification.
PMID- 29371796
TI - Ten New Recorded Species of Macrofungi on Ulleung Island, Korea.
AB - Ulleung Island is a biodiversity hotspot in South Korea. During a survey of
indigenous fungal species from Ulleung Island conducted from 2015 to 2016, we
discovered 10 unrecorded macrofungi in Korea. These macrofungi were identified to
the species level using morphological features and phylogenetic analysis based on
the internal transcribed spacer region: Deconica phyllogena, Mycena zephirus,
Phaeomarasmius proximans, Phlebia radiata, Pluteus semibulbosus, Postia alni,
Resinicium pinicola, Scytinostroma portentosum, Tricholomopsis flammula, and
Tyromyces kmetii. We also provide detailed morphological descriptions for these
10 species.
PMID- 29371797
TI - Saprolegnia parasitica Isolated from Rainbow Trout in Korea: Characterization,
Anti-Saprolegnia Activity and Host Pathogen Interaction in Zebrafish Disease
Model.
AB - Saprolegniasis is one of the most devastating oomycete diseases in freshwater
fish which is caused by species in the genus Saprolegnia including Saprolegnia
parasitica. In this study, we isolated the strain of S. parasitica from diseased
rainbow trout in Korea. Morphological and molecular based identification
confirmed that isolated oomycete belongs to the member of S. parasitica,
supported by its typical features including cotton-like mycelium, zoospores and
phylogenetic analysis with internal transcribed spacer region. Pathogenicity of
isolated S. parasitica was developed in embryo, juvenile, and adult zebrafish as
a disease model. Host-pathogen interaction in adult zebrafish was investigated at
transcriptional level. Upon infection with S. parasitica, pathogen/antigen
recognition and signaling (TLR2, TLR4b, TLR5b, NOD1, and major histocompatibility
complex class I), pro/anti-inflammatory cytokines (interleukin [IL]-1beta, tumor
necrosis factor alpha, IL-6, IL-8, interferon gamma, IL-12, and IL-10), matrix
metalloproteinase (MMP9 and MMP13), cell surface molecules (CD8+ and CD4+) and
antioxidant enzymes (superoxide dismutase, catalase) related genes were
differentially modulated at 3- and 12-hr post infection. As an anti-Saprolegnia
agent, plant based lawsone was applied to investigate on the susceptibility of S.
parasitica showing the minimum inhibitory concentration and percentage inhibition
of radial growth as 200 MUg/mL and 31.8%, respectively. Moreover, natural lawsone
changed the membrane permeability of S. parasitica mycelium and caused
irreversible damage and disintegration to the cellular membranes of S.
parasitica. Transcriptional responses of the genes of S. parasitica mycelium
exposed to lawsone were altered, indicating that lawsone could be a potential
anti-S. parasitica agent for controlling S. parasitica infection.
PMID- 29371798
TI - Differences among Endophytic Fungal Communities Isolated from the Roots of
Cephalanthera longibracteata Collected from Different Sites in Korea.
AB - Orchidaceous plants have symbiotic relationships with endophytic fungi, including
mycorrhizal fungi, which play important roles in the seed germination and growth
of the host plants. In this study, endophytic fungal communities isolated from
the roots of Cephalanthera longibracteata collected from three different sites in
Korea were analyzed, and it was determined whether fungal communities were
preferentially correlated with the sites. The fungal isolates were identified by
sequence analysis of the internal transcribed spacer regions of rDNA. In total,
30 species of endophytic fungi, including two species of mycorrhizal fungi
belonging to the genus Tulasnella, were identified. Leptodontidium orchidicola
showed the highest frequency and was isolated from all root samples. Species
diversity and richness were not significantly different among sites. However, the
community structure of the endophytic fungi significantly differed among sites,
suggesting that the site characteristics affected the community composition of
the endophytic fungi colonizing the roots of C. longibracteata. Our findings will
aid in developing methods involving the use of symbiotic fungi for orchid
conservation and restoration in native habitats.
PMID- 29371799
TI - Isolation and Characterization of Three Unrecorded Zygomycete Fungi in Korea:
Cunninghamella bertholletiae, Cunninghamella echinulata, and Cunninghamella
elegans.
AB - In a survey of undiscovered taxa in Korea, three zygomycete fungal strains-EML
W31, EML-HGD1-1, and EML-RUS1-1-were isolated from freshwater, grasshopper fecal,
and soil samples in Korea. On the basis of the morphological characteristics and
phylogenetic analysis of internal transcribed spacer and 28S rDNA, the isolates
of EML-W31, EML-HGD1-1, and EML-RUS1-1 were confirmed to be Cunninghamella
bertholletiae, Cunninghamella echinulata, and Cunninghamella elegans,
respectively. These species have not been previously described in Korea.
PMID- 29371800
TI - Three New Records of Ascomycetes Isolates from Field Soils in Korea.
AB - Three new records of Ascomycota species (Chaetomium acropullum, Phialemonium
globosum, Phialemonium atrogriseum) from field soils in Korea are presented in
this study. These newly discovered fungal isolates were isolated from field soils
from various places across Gyeongnam, Korea in 2016. All the isolates were
identified and described based on morphological characteristics, and rDNA
internal transcribed spacer and beta-tubulin gene sequence data. Morphological
features of these fungal species were studied on different agar media: potato
dextrose agar, oatmeal agar, malt extract agar, Czapek yeast extract agar, and
yeast extract sucrose agar. Full description and illustrations of their
morphological characters are provided. These fungal species have not officially
been previously reported in Korea.
PMID- 29371801
TI - Cryptothecia austrocoreana (Arthoniales, Arthoniaceae), a New Species from South
Korea.
AB - Cryptothecia austrocoreana is a new lichen species from South Korea. The species
is characterized by the presence of a heteromerous thallus and faveolate
ascigerous area (ascomata) immersed in a slightly raised thallus. The species has
muriform ascospores, (4)6-8-spored 8-11 * 3-4 septate, (34)36-48(51) * (17)19
23(25) MUm. Atranorin, chloroatranorin, and barbatic acid are present. In the
phylogenetic tree, C. austrocoreana belongs to the arthonioid clade in
Arthoniaceae.
PMID- 29371802
TI - Phylogenetic Status of Two Undescribed Zygomycete Species from Korea: Actinomucor
elegans and Mucor minutus.
AB - During a survey of fungal diversity of the order Mucorales, three zygomycete
isolates, CNUFC-YR113-1, CNUFC-KNU16-7, and CNUFC-BS1-1 were isolated from
freshwater and soil samples in Korea. The strains were analyzed both
morphologically and phylogenetically based on internal transcribed spacer and 28S
rDNA gene sequences. Based on their morphology and phylogeny, the CNUFC-YR113-1
and CNUFC-KNU16-7 isolates were identified as Actinomucor elegans, and CNUFC-BS1
1 was identified as Mucor minutus. To the best of our knowledge, the species A.
elegans and M. minutus, belonging to an undiscovered taxon, have not been
previously described in Korea.
PMID- 29371803
TI - Characterization of Two Species of Acremonium (Unrecorded in Korea) from Soil
Samples: A. variecolor and A. persicinum.
AB - During a survey of fungal diversity of the order Hypocreales in Korea, two
Acremonium isolates, CNUFC-1YSRS2-4 and CNUFC-GSNPF3-1, were isolated from soils
collected on a bank of the Yeongsan River, Naju, and in a forest on the Mt.
Daegak located on Sinsi Island, Gunsan, South Korea, respectively. Based on the
morphological characteristics and sequence analysis of the internal transcribed
spacer and D1/D2 domains of 28S ribosomal DNA, the isolates CNUFC-1YSRS2-4 and
CNUFC-GSNPF3-1 were identified as A. variecolor and A. persicinum, respectively.
These 2 species represent novel Hypocreales isolates in Korea.
PMID- 29371804
TI - Role of MAPK Signaling Pathways in Regulating the Hydrophobin Cryparin in the
Chestnut Blight Fungus Cryphonectria parasitica.
AB - We assessed the regulation of cryparin, a class II hydrophobin, using three
representative mitogen-activated protein kinase (MAPK) pathways in Cryphonectria
parasitica. Mutation of the CpSlt2 gene, an ortholog of yeast SLT2 in the cell
wall integrity (CWI) pathway, resulted in a dramatic decrease in cryparin
production. Similarly, a mutant of the CpBck1 gene, a MAP kinase kinase kinase
gene in the CWI pathway, showed decreased cryparin production. Additionally,
mutation of the cpmk1 gene, an ortholog of yeast HOG1, showed decreased cryparin
production. However, mutation of the cpmk2 gene, an ortholog of yeast Kss1/Fus3,
showed increased cryparin production. The easy-wet phenotype and accumulation of
the cryparin transcript in corresponding mutants were consistent with the
cryparin production results. In silico analysis of the promoter region of the
cryparin gene revealed the presence of binding motifs related to downstream
transcription factors of CWI, HOG1, and pheromone responsive pathways including
MADS-box- and Ste12-binding domains. Real-time reverse transcriptase PCR analyses
indicated that both CpRlm1, an ortholog of yeast RLM1 in the CWI pathway, and
cpst12, an ortholog of yeast STE12 in the mating pathway, showed significantly
reduced transcription levels in the mutant strains showing lower cryparin
production in C. prasitica. However, the transcription of CpMcm1, an ortholog of
yeast MCM1, did not correlate with that of the mutant strains showing
downregulation of cryparin. These results indicate that three representative MAPK
pathways played a role in regulating cryparin production. However, regulation
varied depending on the MAPK pathways: the CWI and HOG1 pathways were
stimulatory, whereas the pheromone-responsive MAPK was repressive.
PMID- 29371805
TI - Antagonistic Evaluation of Chromobacterium sp. JH7 for Biological Control of
Ginseng Root Rot Caused by Cylindrocarpon destructans.
AB - Cylindrocarpon destructans is an ascomycete soil-borne pathogen that causes
ginseng root rot. To identify effective biocontrol agents, we isolated several
bacteria from ginseng cultivation soil and evaluated their antifungal activity.
Among the isolated bacteria, one isolate (named JH7) was selected for its high
antibiotic activity and was further examined for antagonism against fungal
pathogens. Strain JH7 was identified as a Chromobacterium sp. using phylogenetic
analysis based on 16S rRNA gene sequences. This strain was shown to produce
antimicrobial molecules, including chitinases and proteases, but not cellulases.
Additionally, the ability of JH7 to produce siderophore and solubilize insoluble
phosphate supports its antagonistic and beneficial traits for plant growth. The
JH7 strain suppressed the conidiation, conidial germination, and chlamydospore
formation of C. destructans. Furthermore, the JH7 strain inhibited other plant
pathogenic fungi. Thus, it provides a basis for developing a biocontrol agent for
ginseng cultivation.
PMID- 29371806
TI - Nucleus-Selective Expression of Laccase Genes in the Dikaryotic Strain of
Lentinula edodes.
AB - In mating of Lentinula edodes, dikaryotic strains generated from certain
monokaryotic strains such as the B2 used in this study tend to show better
quality of fruiting bodies regardless of the mated monokaryotic strains. Unlike
B2, dikaryotic strains generated from B16 generally show low yields, with
deformed or underdeveloped fruiting bodies. This indicates that the two nuclei in
the cytoplasm do not contribute equally to the physiology of dikaryotic L.
edodes, suggesting an expression bias in the allelic genes of the two nuclei. To
understand the role of each nucleus in dikaryotic strains, we investigated single
nucleotide polymorphisms (SNPs) in laccase genes of monokaryotic strains to
reveal nuclear origin of the expressed mRNAs in dikaryotic strain. We performed
reverse transcription PCR (RT-PCR) analysis using total RNAs extracted from
dikaryotic strains (A5B2, A18B2, and A2B16) as well as from compatible
monokaryotic strains (A5, A18, and B2 for A5B2 and A18B2; A2 and B16 for A2B16).
RT-PCR results revealed that Lcc1, Lcc2, Lcc4, Lcc7, and Lcc10 were the mainly
expressed laccase genes in the L. edodes genome. To determine the nuclear origin
of these laccase genes, the genomic DNA sequences in monokaryotic strains were
analyzed, thereby revealing five SNPs in Lcc4 and two in Lcc7. Subsequent
sequence analysis of laccase mRNAs expressed in dikaryotic strains revealed that
these were almost exclusively expressed from B2-originated nuclei in A5B2 and
A18B2 whereas B16 nucleus did not contribute to laccase expression in A2B16
strain. This suggests that B2 nucleus dominates the expression of allelic genes,
thereby governing the physiology of dikaryons.
PMID- 29371807
TI - Antifungical Activity of Autochthonous Bacillus subtilis Isolated from Prosopis
juliflora against Phytopathogenic Fungi.
AB - The ability of Bacillus subtilis, strain ALICA to produce three mycolytic enzymes
(chitinase, beta-1,3-glucanase, and protease), was carried out by the chemical
standard methods. Bacillus subtilis ALICA was screened based on their antifungal
activity in dual plate assay and cell-free culture filtrate (25%) against five
different phytopathogenic fungi Alternaria alternata, Macrophomina sp.,
Colletotrichum gloeosporioides, Botrytis cinerea, and Sclerotium rolfesii. The B.
subtilis ALICA detected positive for chitinase, beta-1,3-glucanase and protease
enzymes. Fungal growth inhibition by both strain ALICA and its cell-free culture
filtrate ranged from 51.36% to 86.3% and 38.43% to 68.6%, respectively. Moreover,
hyphal morphological changes like damage, broken, swelling, distortions abnormal
morphology were observed. Genes expression of protease, beta-1,3-glucanase, and
lipopeptides (subtilosin and subtilisin) were confirmed their presence in the
supernatant of strain ALICA. Our findings indicated that strain ALICA provided a
broad spectrum of antifungal activities against various phytopathogenic fungi and
may be a potential effective alternative to chemical fungicides.
PMID- 29371808
TI - Influence of Peanut Cultivars and Environmental Conditions on the Diversity and
Community Composition of Pod Rot Soil Fungi in China.
AB - Peanut yield and quality are seriously affected by pod rot pathogens worldwide,
especially in China in recent years. The goals of this study are to analyze the
structure of fungal communities of peanut pod rot in soil in three peanut
cultivars and the correlation of pod rot with environmental variables using 454
pyrosequencing. A total of 46,723 internal transcribed spacer high-quality
sequences were obtained and grouped into 1,706 operational taxonomic units at the
97% similarity cut-off level. The coverage, rank abundance, and the Chao 1 and
Shannon diversity indices of the operational taxonomic units were analyzed.
Members of the phylum Ascomycota were dominant, such as Fusarium, Chaetomium,
Alternaria, and Sordariomycetes, followed by Basidiomycota. The results of the
heatmap and redundancy analysis revealed significant variation in the composition
of the fungal community among the three cultivar samples. The environmental
conditions in different peanut cultivars may also influence on the structure of
the fungal community. The results of this study suggest that the causal agent of
peanut pod rot may be more complex, and cultivars and environmental conditions
are both important contributors to the community structure of peanut pod rot
fungi.
PMID- 29371809
TI - Development of a High-Resolution Multi-Locus Microsatellite Typing Method for
Colletotrichum gloeosporioides.
AB - Colletotrichum gloeosporioides is an economically important fungal pathogen
causing substantial yield losses indifferent host plants. To understand the
genetic diversity and molecular epidemiology of this fungus, we have developed a
novel, high-resolution multi-locus microsatellite typing (MLMT) method.
Bioinformatic analysis of C. gloeosporioides unannotated genome sequence yielded
eight potential microsatellite loci, of which five, CG1 (GT)n, CG2 (GT1)n, CG3
(TC)n, CG4 (CT)n, and CG5 (CT1)n were selected for further study based on their
universal amplification potential, reproducibility, and repeat number
polymorphism. The selected microsatellites were used to analyze 31 strains of C.
gloeosporioides isolated from 20 different host plants from India. All
microsatellite loci were found to be polymorphic, and the approximate fragment
sizes of microsatellite loci CG1, CG2, CG3, CG4, and CG5 were in ranges of 213
241, 197-227, 231-265, 209-275, and 132-188, respectively. Among the 31 isolates,
55 different genotypes were identified. The Simpson's index of diversity (D)
values for the individual locus ranged from 0.79 to 0.92, with the D value of all
combined five microsatellite loci being 0.99. Microsatellite data analysis
revealed that isolates from Ocimum sanctum, Capsicum annuum (chili pepper), and
Mangifera indica (mango) formed distinct clusters, therefore exhibited some level
of correlation between certain genotypes and host. The developed MLMT method
would be a powerful tool for studying the genetic diversity and any possible
genotype-host correlation in C. gloeosporioides.
PMID- 29371810
TI - Induction of Defense-Related Physiological and Antioxidant Enzyme Response
against Powdery Mildew Disease in Okra (Abelmoschus esculentus L.) Plant by Using
Chitosan and Potassium Salts.
AB - Foliar sprays of three plant resistance inducers, including chitosan (CH),
potassium sorbate (PS) (C6H7kO2), and potassium bicarbonates (PB) (KHCO3), were
used for resistance inducing against Erysiphe cichoracearum DC (powdery mildew)
infecting okra plants. Experiments under green house and field conditions showed
that, the powdery mildew disease severity was significantly reduced with all
tested treatments of CH, PS, and PB in comparison with untreated control. CH at
0.5% and 0.75% (w/v) plus PS at 1.0% and 2.0% and/or PB at 2.0% or 3.0% recorded
as the most effective treatments. Moreover, the highest values of vegetative
studies and yield were observed with such treatments. CH and potassium salts
treatments reflected many compounds of defense singles which leading to the
activation power defense system in okra plant. The highest records of reduction
in powdery mildew were accompanied with increasing in total phenolic, protein
content and increased the activity of polyphenol oxidase, peroxidase, chitinase,
and beta-1,3-glucanase in okra plants. Meanwhile, single treatments of CH, PS,
and PB at high concentration (0.75%, 2.0%, and/or 3.0%) caused considerable
effects. Therefore, application of CH and potassium salts as natural and chemical
inducers by foliar methods can be used to control of powdery mildew disease at
early stages of growth and led to a maximum fruit yield in okra plants.
PMID- 29371811
TI - Identification and Characterization of New Record of Grape Ripe Rot Disease
Caused by Colletotrichum viniferum in Korea.
AB - In 2016, grape fruits showing ripe rot symptom were found in fields of Korea. The
fungus was isolated and identified as Colletotrichum viniferum based on
morphological characteristics and nucleotide sequence data of the internal
transcribed spacer, glyceraldehyde-3-phosphate dehydrogenase and beta-tubulin. To
our knowledge, this is the first report of C. viniferum causing grape ripe rot
disease of grape fruits in Korea.
PMID- 29371812
TI - Azole Resistance Caused by Increased Drug Efflux in Candida glabrata Isolated
from the Urinary Tract of a Dog with Diabetes Mellitus.
AB - A yeast-like organism was isolated from a urine sample of a 6-year-old neutered
male miniature poodle dog with urinary tract infection, diabetes ketoacidosis,
and acute pancreatitis. We identified the yeast-like organism to be Candida
glabrata and found that this fungus was highly resistant to azole antifungal
drugs. To understand the mechanism of azole resistance in this isolate, the
sequences and expression levels of the genes involved in drug resistance were
analyzed. The results of our analysis showed that increased drug efflux, mediated
by overexpression of ATP transporter genes CDR1 and PDH1, is the main cause of
azole resistance of the C. glabrata isolated here.
PMID- 29371813
TI - Two Endophytic Diaporthe Species Isolated from the Leaves of Astragalus
membranaceus in Korea.
AB - We characterized two endophyte fungi from the leaves of Astragalus membranaceus
in Korea. The isolated strains were identified on the basis of the morphological
characters and sequences analysis of the internal transcribed spacer and large
subunit regions of the rDNA and beta-tubulin gene. To the best of our knowledge,
this is the first report of Diaporthe oncostoma and Diaporthe infecunda in Korea,
and we have provided descriptions and figures.
PMID- 29371814
TI - An Improved Total RNA Extraction Method for White Jelly Mushroom Tremella
fuciformis Rich in Polysaccharides.
AB - An improved method for extracting high quality and quantity RNA from a jelly
mushroom and a dimorphic fungus-Tremella fuciformis which is especially rich in
polysaccharides, is described. RNA was extracted from T. fuciformis mycelium
M1332 and its parental monokaryotic yeast-like cells Y13 and Y32. The A260/280
and A260/230 ratios were both approximately 2, and the RNA integrity number was
larger than 8.9. The yields of RNA were between 108 and 213 ug/g fresh wt.
Downstream molecular applications including reverse transcriptional PCR and
quantitative real-time PCR were also performed. This protocol is reliable and may
be widely applicable for total RNA extraction from other jelly mushrooms or
filamentous fungi rich in polysaccharides.
PMID- 29371815
TI - Revolutionizing male fertility factor research in mice by using the genome
editing tool CRISPR/Cas9.
AB - Background: Reproductive research is quintessential in understanding not only the
cause of infertility, but also for creating family planning tools. The knockout
(KO) system approach is conducive to discovering genes that are essential for
fertility in mice. However, in vivo research has been limited due to its high
cost and length of time needed to establish KO mice. Methods: The mechanisms
behind the CRISPR/Cas9 system and its application in investigating male fertility
in mice are described by using original and review articles. Results: The
CRISPR/CAS9 SYSTEM has enabled researchers to rapidly, efficiently, and
inexpensively produce genetically modified mice to study male fertility. Several
genes have been highlighted that were found to be indispensable for male
fertility by using the CRISPR/Cas9 system, as well as more complicated gene
manipulation techniques, such as point mutations, tag insertions, and double
knockouts, which have become easier with this new technology. Conclusion: In
order to increase efficiency and usage, new methods of CRISPR/Cas9 integration
are being developed, such as electroporation and applying the system to embryonic
stem cells. The hidden mysteries of male fertility will be unraveled with the
help of this new technology.
PMID- 29371817
TI - Assisted reproductive technology in Japan: a summary report for 2015 by The
Ethics Committee of The Japan Society of Obstetrics and Gynecology.
AB - Purpose: The Japan Society of Obstetrics and Gynecology (JSOG) implemented an
assisted reproductive technology (ART) registry system in 1986. Here are reported
the characteristics and treatment outcomes of ART cycles that were registered in
2015. Methods: JSOG has requested all participating ART facilities to register
cycle-specific information for all ART cycles since 2007. A descriptive analysis
was performed by using the registry database for 2015. Results: In total, 424 151
cycles and 51 001 neonates (1 in 19.7 neonates born in Japan) were registered in
2015. The patients' mean age was 38.2 years (standard deviation = 4.5). Among the
fresh cycles, 94 158 of 244 718 (38.5%) egg retrieval cycles were cycles with
freeze-all embryos or oocytes, while fresh embryo transfer (ET) was performed in
70 254 cycles, signaling a decrease from 2014. There were 169 898 frozen-thawed
ET cycles, resulting in 56 355 pregnancies and 40 599 neonates. Single ET was
performed at a rate of 79.7% for fresh and 81.8% for frozen cycles and the
singleton pregnancy/live birth rates were 96.9%/96.5% and 96.8%/96.4% for the
respective cycles. Conclusion: The total ART cycles and live births resulting
from ART has been increasing in Japan. Single ET was performed at a rate of
almost 80% and ET cycles have shifted from fresh to frozen cycles.
PMID- 29371816
TI - Expression of the gonadotropin receptors during follicular development.
AB - Background: Gonadotropins induce follicular development that leads to ovulation
and luteinization. In women, the level of gonadotropins, along with the
expression of their receptors, changes dynamically throughout the menstrual
cycle. This study aimed to clarify the mechanisms underlying these phenomena.
Methods: The literature was reviewed, including that published by the authors.
Main findings Results: Follicle-stimulating hormone receptor expression in the
granulosa cells was induced by androgens that were derived from growth
differentiation factor-9-stimulated theca cells. In the theca cells, luteinizing
hormone receptor (LHR) expression was noted from their appearance. In the
granulosa cells, follicle-stimulating hormone (FSH) stimulation was essential for
LHR expression. However, FSH alone was not sufficient to respond to the
luteinizing hormone (LH) surge for oocyte maturation, ovulation, and subsequent
luteinization. To achieve these stages, various local factors that were derived
from the granulosa and theca cells in response to FSH and LH stimulation had to
work synergistically in an autocrine/paracrine manner to strongly induce LHR
expression. Following the LH surge, the LHR expression decreased markedly; miRNAs
were involved in this transient LHR downregulation. Following ovulation, LHR
expression drastically increased again toward luteinization. Conclusion: The
expression of gonadotropin receptors is controlled by sophisticated and
complicated systems; a breakdown of this system could lead to ovulation
disorders.
PMID- 29371818
TI - Measurement of endometrial thickness in premenopausal women in office gynecology.
AB - Purpose: To define the median endometrial thickness (ET) in office gynecology is
thought to be important for clinical practice. However, there are few reports
about ET that have included the general female population on a large scale. The
median ET was determined prospectively in premenopausal women who attended office
gynecology for cervical cancer screening. Methods: In total, 849 women were
enrolled. The median ET was determined by using transvaginal ultrasound and the
relationships between the ET and various clinical factors were analyzed. Results:
The participants' median age was 38.5 years. The median ET was 8.6 mm (90% and
95% quantiles: 13.8 and 15.8 mm). The ET was not related to their age, symptoms,
obstetric history, geographical location, or risk factors for endometrial cancer.
In the women with a menstrual cycle length of 28-30 days, the ET was 7 mm on days
1-6, but it increased from 5.4 mm immediately after menstruation (day 7 or 8) to
9.2 mm on days 13-14. Subsequently, the ET increased further to 11.1 mm on day
18. Conclusion: In all the women, the upper limit of the ET was 13.8 mm and 15.8
mm in the 90% and 95% quantile, respectively, in office gynecology.
PMID- 29371819
TI - Influence of multinerve-sparing, robot-assisted radical prostatectomy on the
recovery of erection in Japanese patients.
AB - Purpose: To evaluate in Japanese patients their sexual function after robot
assisted radical prostatectomy (RARP) and to investigate the influence of the
multinerve-sparing (NS) grade on their sexual function. Methods: In total, 225
patients were reviewed with localized prostate cancer who underwent RARP at the
authors' institution. They underwent RARP >3 months ago, without pre- and
posthormone therapy and salvage radiation. Self-administered International Index
of Erectile Function (IIEF) questionnaires were used for assessment
preoperatively and 1-48 months postoperatively. In all, 129 patients were
evaluated with the preoperative IIEF-Question 1 and who achieved a score of >=2
by being divided into five NS groups. The recovery rates of erection
(postoperative IIEF-Question 1 score of >=2) were calculated by using the Kaplan
Meier analysis. Results: Seventy-four percent of all the patients had not
attempted sexual intercourse, but 60% had felt sexual desire at 24 months
postoperatively. In those patients with a preoperative erection, the recovery
rate of erection was 58% at 24 months after the RARP. Across the five NS groups,
as the procedure was more nerve-sparing, the recovery rate of erection became
significantly higher. The postoperative effects on erection in the bilateral and
unilateral NS groups were significantly superior to those in the other NS groups.
Conclusion: In Japanese patients, erection after a RARP is improved with multiNS
grade procedures.
PMID- 29371820
TI - Nationwide survey of urological specialists regarding male infertility: results
from a 2015 questionnaire in Japan.
AB - Purpose: To investigate the incidence, etiology, treatment indications, and
outcomes regarding infertile male patients in Japan. Methods: Between April, 2014
and March, 2015, the authors contacted 47 clinical specialists in male
infertility who had been certified by the Japan Society for Reproductive
Medicine. The participating clinicians were sent a questionnaire regarding
information on their infertile patients, according to etiology and the number and
success rates of male infertility operations that had been performed in their
practice. Results: Thirty-nine specialists returned the questionnaire and
provided information regarding 7268 patients. The etiology of infertility
included testicular factors, sexual disorders, and seminal tract obstruction.
During the study year, the clinicians performed varicocelectomies, testicular
sperm extractions (TESEs), and re-anastomoses of the seminal tract. The rate of
successful varicocelectomies was >70%. The sperm retrieval rates with
conventional TESE and microdissection TESE were 98.3% and 34.0%, respectively,
while the patency rates with vasovasostomy and epididymovasostomy were 81.8% and
61.0%, respectively. Conclusion: Surgical outcomes for infertile male patients
are favorable and can be of great clinical benefit for infertile couples. To
achieve this, urologists should work in collaboration with gynecological
specialists in order to optimize the treatment of both partners.
PMID- 29371821
TI - Single-dose pharmacokinetic study comparing the pharmacokinetics of recombinant
human chorionic gonadotropin in healthy Japanese and Caucasian women and
recombinant human chorionic gonadotropin and urinary human chorionic gonadotropin
in healthy Japanese women.
AB - Purpose: Recombinant hCG (r-hCG) was approved in Japan in 2016. As a prerequisite
for a Phase III study in Japan related to this approval, the pharmacokinetic (PK)
profile of r-hCG was investigated. Methods: An open-label, partly randomized,
single-center, single-dose, group-comparison, Phase I PK-bridging study was done
that compared a single 250 MUg dose of r-hCG with a single 5000 IU dose of
urinary hCG (u-hCG) in healthy Japanese women, as well as comparing a single 250
MUg dose of r-hCG in Japanese and Caucasian women. The Japanese participants were
randomized 1:1 to receive either r-hCG or u-hCG, while the Caucasian participants
were weight-matched to the Japanese participants who were receiving r-hCG in a
1:1 fashion. The primary PK parameters were the area under the serum
concentration-time curve from time 0 extrapolated to infinity (AUC 0-infinity)
and the maximum serum concentration (Cmax). Results: The mean serum hCG
concentration-time profiles of r-hCG in the Japanese and Caucasian participants
were a similar shape, but the level of overall exposure was ~20% lower in the
Japanese participants. For the Japanese participants, r-hCG resulted in an 11%
lower Cmax but a 19% higher AUC 0-infinity compared with u-hCG. No new safety
signal was identified. Conclusion: This study cannot exclude a potential
difference in the PK profile of r-hCG between Japanese and Caucasian
participants. However, this study does not indicate that there are clinically
relevant differences in the serum PK of r-hCG and u-hCG in the Japanese
participants.
PMID- 29371822
TI - Superior clinical pregnancy rates after microsurgical epididymal sperm
aspiration.
AB - Purpose: To assess normal fertilization, clinical pregnancy, and live birth rates
after the use of microscopic epididymal sperm aspiration (MESA). Methods: One
hundred-and-sixty azoospermic participants who underwent MESA were evaluated. The
MESA was performed by using a micropuncture method with a micropipette. In cases
in which motile sperm were not obtained after the MESA, conventional or micro
testicular sperm extraction (TESE) was completed. Results: Adequate motile sperm
were retrieved in 71 participants by using MESA and in 59 out of 89 participants
by using TESE. Of the total number of patients, 123 underwent intracytoplasmic
sperm injection. After MESA, the normal fertilization rate was 73.5% and the
clinical pregnancy rate per case was 95.7%. Healthy deliveries resulted after
MESA in 65 (92.9%) cases and after TESE in 38 (71.7%) cases. Conclusion: The MESA
specimen collection does not have any special requirements, such as mincing
tissue disposition. The MESA also can reduce the amount of laboratory work that
is needed for cryopreservation. In the authors' experience, MESA is a beneficial
procedure and should be given priority over TESE.
PMID- 29371824
TI - Characterization of oocyte retrieval cycles with empty zona pellucida.
AB - Purpose: To identify the factors that characterize cycles with empty zona
pellucida (EZP). Methods: Thirty-six oocyte retrieval cycles from which EZP were
collected and another 36 cycles from which no EZP was collected were compared.
The patients were divided into three groups: those with no EZP collected during
any cycle, those with EZP collected during all cycles, and those experiencing
cycles both with and without EZP. Results: The mean number of oocytes collected
per cycle was higher in the cycles with EZP than without EZP. The fertilization
rate of the collected oocytes and the rate of good embryo formation were
significantly lower in the cycles with EZP. No significant difference was
observed between the three groups in terms of age, number of oocytes collected,
or hormone levels before and after the oocyte retrieval. The fertilization and
pregnancy rates were highest in the patients with no EZP being collected during
any cycle, followed by those experiencing cycles both with and without EZP, and
then by those with EZP collected during all cycles. Conclusion: The observation
of lower fertilization, poor embryo formation, and a low pregnancy rate in the
patients with EZP suggests the poor quality of oocytes that were collected with
EZP in the same cycle.
PMID- 29371823
TI - Synchrony of the first division as an index of the blastocyst formation rate
during embryonic development.
AB - Purpose: To devise an uninvasive selection system for human embryos with high
developmental potential after a single oocyte retrieval cycle by comparing the in
vitro and in vivo effectiveness of first division synchrony against subsequent
embryonic developmental stages. Methods: The effects of using assisted
reproductive technology on 948 embryos that were produced in 137 cycles were
examined by dividing the embryos into "early cleavage" (first division within
25.90 hours) and "late cleavage" (first division at or after 25.90 hours) groups
and comparing the blastocysts and good-quality blastocyst formation rates between
the two groups. These two groups were each divided further into "high synchrony"
(first division synchrony within 3.96 hours) and "low synchrony" (first division
synchrony at or after 3.96 hours) groups. The blastocysts, good-quality
blastocyst formation rates, and pregnancy rates were compared among these four
groups. Results: Both the blastocysts and good-quality blastocyst formation rates
were significantly higher in the early-cleavage groups than in the late-cleavage
groups. The blastocyst formation rate of the latter was also significantly
increased in the high-synchrony, compared with the low-synchrony, group.
Conclusion: First division synchrony in a single oocyte retrieval cycle could be
a useful assessment of the blastocyst formation rate that enables the selection
of viable embryos at an early stage of culture.
PMID- 29371825
TI - Retrospective cohort study of the risk factors for secondary infertility
following hysteroscopic metroplasty of the uterine septum in women with recurrent
pregnancy loss.
AB - Purpose: A hysteroscopic metroplasty was performed for women with recurrent
pregnancy loss owing to a uterine septum, following which some women became
infertile. The aim of this study was to elucidate the risk factors of secondary
infertility 1 year after hysteroscopic metroplasty for a uterine septum. Methods:
A retrospective, single-center, cohort study included women with a history of at
least two miscarriages that had been attributed to a uterine septum who underwent
a hysteroscopic metroplasty. The patients' background data were compared between
the patients who conceived and those who remained infertile at 1 year
postoperatively. The data were analyzed by using the Mann-Whitney U-test and
multivariate analyses. Results: The postoperative live birth rate was 83.9% (n =
26), with persistent infertility in five women at 1 year. When comparing the
pregnancy group with the infertile group, the women in the postoperative
infertility group were significantly older than those in the postoperative
pregnancy group. The multivariate analysis showed that age was an independent
risk factor for persistent infertility. Conclusion: Age was identified as an
independent risk factor for postoperative secondary infertility. Therefore,
surgery as early as possible is recommended.
PMID- 29371828
TI - Two cases of reversible male infertility due to congenital adrenal hyperplasia
combined with testicular adrenal rest tumor.
AB - Cases: Testicular adrenal rest tumor (TART) is one of the possible causes of male
infertility, accompanied by congenital adrenal hyperplasia (CAH). Here are
reported two cases of TARTs that were referred to Kobe City Medical Center West
Hospital for the treatment of infertility and testicular tumors. Outcome: In one
case, the semen analysis was improved from oligoasthenozoospermia to
normozoospermia after taking oral glucocorticoid supplementation. The other case
of original azoospermia showed that sperm had ejaculated into the semen after
taking oral glucocorticoid supplementation. Conclusion: Although the prevalence
of TARTs in male infertility is very rare, it is important to know how to
approach this disease, considering the curable pathology of spermatogenesis and
tumors resembling an appearance to germ cell tumors.
PMID- 29371827
TI - Case of heterotopic cervical pregnancy and total placenta accreta after
artificial cycle frozen-thawed embryo transfer.
AB - Case: A 39-year-old woman presented with a genital hemorrhage at 5 weeks of
gestation after an artificial cycle double frozen-thawed embryo transfer. She was
diagnosed with a cervical heterotopic pregnancy. Although hormone supplementation
was discontinued to terminate the pregnancy at 5 weeks of gestation, the
intrauterine and cervical gestational sacs continued to develop. Outcome: The
cervical gestational sac was surgically removed and the intrauterine pregnancy
continued uneventfully, except for vasa previa. At 36 weeks of gestation, the
patient underwent a cesarean section and gave birth to a healthy female infant.
At the delivery, massive bleeding occurred and a hysterectomy was performed due
to total placenta accreta. Conclusion: This case provides a novel example of a
near-term delivery after a cervical heterotopic pregnancy and emphasizes the need
for intensive care, even after the successful management of a cervical pregnancy.
Most importantly, the present case implies a possible link between hormonal
withdrawal and abnormal placentation.
PMID- 29371826
TI - Long-term clinical outcomes of testicular sperm extraction and intracytoplasmic
sperm injection for infertile men.
AB - Purpose: To find the best methods to achieve the highest pregnancy and birth
rates for couples needing testicular sperm extraction (TESE)-intracytoplasmic
sperm injection (ICSI). Methods: Retrospectively studied were 801 patients with
male factor infertility who had undergone TESE-ICSI between April, 1996 and July,
2016 and who had been categorized into four groups: obstructive azoospermia (OA);
non-obstructive azoospermia (NOA); Klinefelter syndrome (KS); and
cryptozoospermia (Crypt). The sperm retrieval rate, hormone levels, fertilization
rate (FR), pregnancy rate (PR), and birth rate (BR) after ICSI among three groups
were compared: fresh testicular sperm (FS)-fresh oocytes (FO) (Group I); frozen
thawed testicular sperm-FO (Group II); and FS-vitrified-warmed oocytes (Group
III). Results: The testicular sperm recovery rate was 57.8% (463/801): 89.6% in
the Crypt, 97.1% in the OA, 28.9% in the NOA, and 42.2% in the KS groups. The
follicle-stimulating hormone levels were significantly higher in the NOA and KS
groups and the testosterone levels were significantly lower in the KS group. The
FR, PR, and BR were: 65.2%, 43.2%, and 28.5% in group I; 59.2%, 33.4%, and 18.7%
in group II; and 56.4%, 33.8%, and 22.1% in group III. Conclusion:
Intracytoplasmic sperm injection with FS-FO achieved the best PR and BR. It
should be considered what to do in cases with no testicular sperm by TESE. The
authors hope that ICSI with donor sperm will be allowed in Japan in the near
future.
PMID- 29371829
TI - Ultrasound-guided laparotomic oocyte retrieval during surgery for fertility
preservation in a case of tumor recurrence after a unilateral salpingo
oophorectomy.
AB - Case: A 28 year old unmarried woman underwent a unilateral salpingo-oophorectomy
and was suspected of having a malignant tumor in the remaining ovary. After
consultation with the patient and her family, it was decided to cryopreserve the
unfertilized oocytes. In order to reduce the risk of puncturing or rupturing the
tumor when performing the oocyte retrieval from the ovary that was affected by
the malignant tumor, it was chosen to use direct laparotomic oocyte retrieval
during surgery, instead of conventional transvaginal retrieval. In order to
further reduce the risk of tumor rupture, an ultrasound was used in the
laparotomy field to precisely puncture only the follicle and thus avoid the
tumor. A total of 11 oocytes was retrieved and 10 of them were cryopreserved in
the MII phase. Outcome: By using an ultrasound at the same time as the oocyte
retrieval, it was possible to avoid the ovarian tumor site. Furthermore, by
checking and puncturing the follicles, it became possible to retrieve oocytes
from the healthy parts of the ovary with greater precision. The combined use of
an ultrasound with oocyte retrieval can be considered to be an effective method
because it can be performed relatively easily. Conclusion: The authors believe
that not only macroscopic, but also ultrasonic, methods are useful to reduce the
risk of tumor rupture.
PMID- 29371830
TI - A possible role for selenoprotein glutathione peroxidase (GPx1) and thioredoxin
reductases (TrxR1) in thyroid cancer: our experience in thyroid surgery.
AB - Background: Oxidative stress is responsible for some alterations in the chemical
structure and, consequently, in the function of proteins, lipids, and DNA. Recent
studies have linked oxidative stress to cancers, particularly thyroid cancer, but
the mechanisms remain unclear. Here, we further characterize the role of
oxidative stress in thyroid cancer by analyzing the expression of two selenium
antioxidant molecules, glutathione peroxidase (GPx1) and thioredoxin reductase
(TrxR1) in thyroid cancer cells. Methods: Samples of both healthy thyroid tissue
and thyroid tumor were taken for analysis after total thyroidectomy. The
expression of GPx1 and TrxR1 was revealed by Western blot analysis and quantified
by densitometric analyses, while the evaluation of free radicals was performed by
Electron Paramagnetic Resonance (EPR)-spin trapping technique. Results: Our
results show a decrease in the expression of GPx1 and TrxR1 (- 45.7 and - 43.2%
respectively, p < 0.01) in the thyroid cancer cells compared to the healthy
cells. In addition, the EPR technique shows an increase of free radicals in tumor
tissue, significantly higher than that found in healthy thyroid tissue (+ 116.3%,
p < 0.01). Conclusions: Our findings underscore the relationship between thyroid
cancer and oxidative stress, showing the imbalance of the oxidant/antioxidant
system in thyroid cancer tissue. These results suggest that either the inability
to produce adequate antioxidant defense or an increased consumption of
antioxidants, due to the hyper-production of free radicals, may play a crucial
role in thyroid cancer.
PMID- 29371831
TI - SHP-2 restricts apoptosis induced by chemotherapeutic agents via Parkin-dependent
autophagy in cervical cancer.
AB - Background: Autophagy is a cell degradation pathway that eliminates damaged or
unwanted proteins and organelles. Autophagy protects cells from chemotherapeutic
agents by scavenging damaged mitochondria. Methods: Plasmid transfection and
shRNA were used to regulate SHP-2 expression. Annexin V/PI staining were employed
to analysis apoptosis. Flow cytometry was used to analyse intracellular calcium
level and ROS. Immunofluorescence was used to detect mitochondria membrane
potential, autophagy and Parkin translocation. Results: In cervical cancer, we
found that SHP-2 suppressed apoptosis induced by Oxaliplatin and 5-FU. Further
studies have found that SHP-2 protects against mitochondrial damage. This role of
SHP-2 is associated with the activation of autophagy. In addition, SHP-2 degraded
impaired mitochondria dependent on the ubiquitin ligase function of Parkin.
Conclusions: These results suggest that SHP-2 inhibits the apoptosis induced by
chemotherapeutic drugs through activating autophagy to degrade damaged
mitochondria and ubiquitin ligase Parkin involved in SHP-2 induced autophagy.
PMID- 29371832
TI - Xenogeneic cell therapy provides a novel potential therapeutic option for cancers
by restoring tissue function, repairing cancer wound and reviving anti-tumor
immune responses.
AB - Conventional cancer treatments such as surgery, radiotherapy, chemotherapy and
targeted therapy, not only destruct tumors, but also injure the normal tissues,
resulting in limited efficacy. Recent advances in cancer therapy have aimed at
changing the host milieu of cancer against its development and progression by
targeting tumor microenvironment and host immune system to eradicate tumors. To
the host body, tumors arise in tissues. They impair the normal healthy tissue
physiological function, become chronically inflamed and develop non-healing or
overhealing wounds as well as drive immuno-suppressive activity to escape
immunity attack. Therefore, the rational therapeutic strategies for cancers
should treat both the tumors and the host body for the best efficacy to turn the
deadly malignant disease to a manageable one. Xenogeneic cell therapy (i.e.
cellular xenotransplantation) using cells from non-human source animals such as
pigs has shown promising results in animal studies and clinical
xenotransplantation in restoring lost tissue physiological function and repairing
the wound. However, the major hurdle of xenogeneic cell therapy is the host
immunological barriers that are induced by transplanted xenogeneic cells to
reject xenografts. Possibly, the immunological barriers of xenogeneic cells could
be used as immunological boosters to activate the host immune system. Here, we
hypothesized that because of the biological properties of xenogeneic cells to the
recipient humans, the transplantation of xenogeneic cells (i.e. cellular
xenotransplantation) into cancer patients' organs of the same origin with
developed tumors may restore the impaired function of organs, repair the wound,
reduce chronic inflammation and revive the anti-tumor immunity to achieve
beneficial outcome for patients.
PMID- 29371833
TI - Effects of prescription restrictive interventions on antibiotic procurement in
primary care settings: a controlled interrupted time series study in China.
AB - Background: The overuse of antibiotics has been identified as a major challenge
in regard to the rational prescription of medicines in low and middle income
countries. Extensive studies on the effectiveness of persuasive interventions,
such as guidelines have been undertaken. There is a dearth of research pertaining
to the effects of restrictive interventions. This study aimed to evaluate the
impacts of prescription restrictions in relation to types and administration
routes of antibiotics on antibiotic procurement in primary care settings in
China. Methods: Data were drawn from the monthly procurement records of medicines
for primary care institutions in Hubei province over a 31-month period from May
2011 to November 2013. We analyzed the monthly procurement volume and costs of
antibiotics. Interrupted time series analyses with a difference-in-difference
approach were performed to evaluate the effect of the restrictive intervention
(started in August 2012) on antibiotic procurement in comparison with those for
cardiovascular conditions. Sensitivity tests were performed by replacing outliers
using a simple linear interpolation technique. Results: Over the entire study
period, antibiotics accounted for 33.65% of the total costs of medicines procured
for primary care institutions: mostly non-restricted antibiotics (86.03%) and
antibiotics administered through parenteral routes (79.59%). On average, 17.14
million defined daily doses (DDDs) of antibiotics were procured per month, with
the majority (93.09%) for non-restricted antibiotics and over half (52.38%) for
parenteral administered antibiotics. The restrictive intervention was associated
with a decline in the secular trend of costs for non-restricted oral antibiotics
(- 0.36 million Yuan per month, p = 0.029), and for parenteral administered
restricted antibiotics (- 0.28 million Yuan per month, p = 0.019), as well as a
decline in the secular trend of procurement volume for parenteral administered
non-restricted antibiotics (- 0.038 million DDDs per month, p = 0.05).
Conclusions: Restrictive interventions are effective in reducing the procurement
of antibiotics. However, the effect size is relatively small and antibiotic
consumptions remain high, especially parenteral administered antibiotics.
PMID- 29371834
TI - An Interactive Simulation Program for Exploring Computational Models of Auto
Associative Memory.
AB - While neuroscience students typically learn about activity-dependent plasticity
early in their education, they often struggle to conceptually connect
modification at the synaptic scale with network-level neuronal dynamics, not to
mention with their own everyday experience of recalling a memory. We have
developed an interactive simulation program (based on the Hopfield model of auto
associative memory) that enables the user to visualize the connections generated
by any pattern of neural activity, as well as to simulate the network dynamics
resulting from such connectivity. An accompanying set of student exercises
introduces the concepts of pattern completion, pattern separation, and sparse
versus distributed neural representations. Results from a conceptual assessment
administered before and after students worked through these exercises indicate
that the simulation program is a useful pedagogical tool for illustrating
fundamental concepts of computational models of memory.
PMID- 29371835
TI - Diversity of Graduates from Bachelor's, Master's and Doctoral Degree Neuroscience
Programs in the United States.
AB - The demography of United States graduates from science, technology, engineering,
and math (STEM) degree programs is well-understood; however, data particularly
describing the gender and ethnic diversity of graduates of neuroscience programs
has not been analyzed, limiting our knowledge of specific areas where diversity
and fair representation are lacking. Using over 30 years of data from the
National Center for Education Statistics, we documented the demography of
neuroscience graduates from bachelor's, master's, and doctoral degree programs.
Recent graduation trends indicate greater numbers of female graduates from
bachelor's and graduate degree programs. White (non-Hispanic) males and females
represent the largest group of graduates while Asian/Pacific Islanders represent
the largest non-White group of graduates. Although the number of underrepresented
minorities graduating from neuroscience degree programs at every level has
increased in recent years, they still lag compared to White (non-Hispanic) and
Asian/Pacific Islanders. These data provide valuable information that can be used
to promote greater diversity among neuroscience graduates by higher education
faculty and administrators and federal funding agencies.
PMID- 29371836
TI - A Case Study in the Use of Primary Literature in the Context of Authentic
Learning Pedagogy in the Undergraduate Neuroscience Classroom.
AB - Providing opportunities for undergraduate science students to develop causal
reasoning skills and the ability to think like research scientists is a crucial
part of their preparation for professional practice as a scientist and/or a
clinician. This has led many to question whether the traditional academic in
class lecture still has a functional role in today's undergraduate science
education. Here, we performed a case study to attempt to maximize the use of in
class time to create a more authentic learning opportunity for undergraduate
neuroscience students in our institution, the majority of whom go on to be
research active scientists. We hypothesised that using seminal research papers as
a teaching tool in a flipped classroom setting would model for neuroscience
students what it means to think like a research scientist, would provide an
opportunity for them to develop their causal reasoning skills and allow them to
become more comfortable with the nature of professional practice (i.e., research)
in the context of the discipline. We describe the design and implementation of
this teaching approach to undergraduate final year neuroscience students, and
evaluate their perception of it. We provide evidence that this approach models
for the students what it means to reason like a research scientist, and discuss
the implications of these findings for future practice. We propose that these
findings will help add to the educational experience of all Neuroscience students
whether they are on pre-med or on a research track.
PMID- 29371837
TI - Measuring Salivary Alpha-Amylase in the Undergraduate Neuroscience Laboratory.
AB - Undergraduate courses in biopsychology, neuroscience, and physiology often
include laboratory exercises that examine responses to stimulation of the
sympathetic nervous system with measurements of heart rate, blood pressure, or
galvanic skin levels (sweat response). A newer bioindicator of the sympathetic
nervous system is salivary alpha-amylase (sAA) measured with a colorimetic enzyme
assay. Undergraduate students successfully measured a rise in sAA due to the
stress of giving a class presentation (n=13). Students were enthusiastic to
measure a physiological response to a real-life anxiety-producing situation. We
describe potential difficulties in the assay and our adaptations to the
manufacturer's protocol to make it more feasible in the undergraduate setting.
PMID- 29371838
TI - An Attitudinal Survey of Undergraduate Neuroscience Students Regarding Their
Views on the Relevance of Lectures to their Education.
AB - Advances in technology have seen a significant growth in the integration of e
learning into university education. Coupled with this trend are the learning
approaches used by "Generation Connected" or GenC students, whose prolific use of
digital technology is a defining characteristic. This has resulted in questions
being asked as to whether in-class university lecture time is still relevant to
university education. Here we conducted a case study with a group of
undergraduate neuroscience students to assess their views on the relevance of
attending lectures, why they attend or the reasons for non-attendance, and on
what makes a good lecture. This is with a view to informing the design of new
teaching approaches that may be more beneficial in maximising student engagement,
and facilitating learning. The survey instrument was a ten-item questionnaire
that collected both qualitative and quantitative data. Over 90% of students were
of the view that lectures were beneficial to their learning, while only 4%
thought they were an outdated mode of education. Three main themes emerged when
students were asked what makes a good lecture: 1. Engagement, 2. Time, and 3.
Varied format. We discuss the implications of these findings and suggest how
these student views could be woven into the design of teaching approaches to
increase the relevance of in-class lecture time in undergraduate neuroscience
education.
PMID- 29371840
TI - Cartoon Network: A tool for open-ended exploration of neural circuits.
AB - Cartoon Network is an open-source simulator for neural circuits. It was designed
to provide a microworld for the playful exploration of neural networks (similar
to the niche Logo/Scratch fills for computer programming). The simulator makes it
easy to construct and experiment with closed-loop circuits, enabling students to
explore how small sets of neurons can generate complex patterns of activity
(oscillations, reverberation). Cartoon Network can be connected to the Finch
robot from BirdBrain Technologies, a cheap USB robotics platform. This enables
students to design a nervous system for a Finch, creating sensory neurons which
read Finch inputs (touch, light, and temperature), motor neurons which control
Finch outputs (wheels, lights, and sound), and interneurons to produce intrinsic
activity and/or link together inputs and outputs in ways that can produce complex
and surprising behaviors. Students use Cartoon Network by taking on structured
challenges (For example, can you make the Finch follow a light? Can it turn and
run when it bumps a wall?) that require deep engagement with important principles
of neural circuit operation (e.g., lateral inhibition, parallel processing,
positive- and negative-feedback). On successfully completing a challenge,
students can systematically explore the neural properties that help control the
behavior, compare their work to other successful designs, and/or reflect on how
the circuit they designed might be modified via evolution to produce different
behaviors. Cartoon Network has proven an engaging and effective activity for
undergraduates and is accessible for students as young as late elementary school.
Download it for free at: https://github.com/rcalinjageman/cartoon_network.
PMID- 29371839
TI - Using the Tools of Behavioral Neuroscience to Determine the Identity of Different
Mouse Strains in a Laboratory Course.
AB - Understanding the neural mechanisms underlying behavior depends on our ability to
define and to measure these behaviors in the model animal. We describe an upper
level course which provides students with hands-on experience in the methods of
behavioral neuroscience. There are many well-established behavioral tests which
are relatively easy for students to conduct that can be used to determine the
performance of animals in such tasks as anxiety, motor performance and memory.
Laboratory mice bred specifically to exhibit particular behavioral
characteristics are readily available from vendors along with well documented
behavioral profiles for these strains. We used two albino strains CD1 and BALBc
as our model animals. Students were given the task of identifying the strains
based on the results of a battery of behavioral tests but were not given
information about the mice. These two strains were chosen for their clear
differences particularly in tests of anxiety. Students conducted elevated plus
maze and zero maze tests, open field test, light-dark exploratory task, rotarod,
balance beam test, spatial or novel object learning. Students were able to
correctly identify the two strains by comparing their own data with the published
literature in the field. The course structure encouraged students to work in
teams to design protocols, and then to collect and explore data. Students were
enthusiastic about the hands-on laboratory experience and were able to
demonstrate an appreciation for and understanding of these methods in behavioral
neuroscience.
PMID- 29371841
TI - "Brevity is the Soul of Wit": Use of a Stepwise Project to Teach Concise
Scientific Writing.
AB - Skillful writing is essential for professionals in science and medicine.
Consequently, many undergraduate institutions have adjusted their curriculum to
include in-depth instruction and practice in writing for students majoring in the
sciences. In neuroscience, students are often asked to write a laboratory report
in the style of a primary scientific article or a term paper structured like a
review article. Typically, students write section by section and build up to the
final draft of a complete paper. In this way, students learn how to write a
scientific paper. While learning to write such a paper is important, this is not
the only type of written communication relevant to scientific careers. Here, I
describe a stepwise writing project aimed to improve editing, succinctness, and
the ability to synthesize the literature. Furthermore, I provide feedback from
the students, and discuss the advantages and challenges of this project.
PMID- 29371842
TI - Using Action-Mapping to Design a Non-Majors Neuroeconomics Course to Teach First
Year Collegiate Skills.
AB - With its ability to address questions about how decisions are made and why,
neuroeconomics is an excellent topic of study for college students at a variety
of levels. In this paper we detail a neuroeconomics course specifically modified
for undecided First-year students. One particularly daunting challenge was
defining clear outcomes and delivering instruction at an appropriate level. We
used Action-Mapping to achieve the course objectives of teaching collegiate
skills applicable to any path of study or career while also delivering content
suitable for credits in both a social science and natural science.
PMID- 29371843
TI - Characterizing the Undergraduate Neuroscience Major in the U.S.: An Examination
of Course Requirements and Institution-Program Associations.
AB - Neuroscience is a rapidly expanding field, and many colleges and universities
throughout the country are implementing new neuroscience degree programs. Despite
the field's growth and popularity, little data exists on the structural character
of current undergraduate neuroscience programs. We collected and examined
comprehensive data on existing undergraduate neuroscience programs, including
academic major requirements and institution characteristics such as size,
financial resources, and research opportunities. Thirty-one variables covering
information about course requirements, department characteristics, financial
resources, and institution characteristics were collected from 118 colleges and
universities in the United States that offer a major titled "neuroscience" or
"neural sciences." Data was collected from publicly available sources (online
databases, institutions' neuroscience program websites) and then analyzed to
define the average curriculum and identify associations between institution and
program characteristics. Our results suggest that the average undergraduate
neuroscience major requires 3 chemistry, 3 biology, 3 laboratory, 2-3
neuroscience, 1 physics, 1 math, and 2 psychology courses, suggesting that most
neuroscience programs emphasize the natural sciences over the social sciences.
Additionally, while 98% of institutions in our database offer research
opportunities, only 31% required majors to perform research. Of note, 70% of
institutions offering a neuroscience major do not have a neuroscience department,
suggesting that most institutions offer neuroscience as an interdisciplinary
major spanning several departments. Finally, smaller liberal arts colleges
account for the majority of institutions offering a neuroscience major. Overall,
these findings may be useful for informing groups interested in undergraduate
neuroscience training, including institutions looking to improve or establish
programs, students wanting to major in neuroscience and employers hiring
neuroscience graduates.
PMID- 29371844
TI - Teaching with Big Data: Report from the 2016 Society for Neuroscience Teaching
Workshop.
AB - As part of a series of workshops on teaching neuroscience at the Society for
Neuroscience annual meetings, William Grisham and Richard Olivo organized the
2016 workshop on "Teaching Neuroscience with Big Data." This article presents a
summary of that workshop. Speakers provided overviews of open datasets that could
be used in teaching undergraduate courses. These included resources that already
appear in educational settings, including the Allen Brain Atlas (presented by
Joshua Brumberg and Terri Gilbert), and the Mouse Brain Library and GeneNetwork
(presented by Robert Williams). Other resources, such as NeuroData (presented by
William R. Gray Roncal), and OpenFMRI, NeuroVault, and Neurosynth (presented by
Russell Poldrack) have not been broadly utilized by the neuroscience education
community but offer obvious potential. Finally, William Grisham discussed the
iNeuro Project, an NSF-sponsored effort to develop the necessary curriculum for
preparing students to handle Big Data. Linda Lanyon further elaborated on the
current state and challenges in educating students to deal with Big Data and
described some training resources provided by the International Neuroinformatics
Coordinating Facility. Neuroinformatics is a subfield of neuroscience that deals
with data utilizing analytical tools and computational models. The feasibility of
offering neuroinformatics programs at primarily undergraduate institutions was
also discussed.
PMID- 29371845
TI - Integrating Brain Science into Health Studies: An Interdisciplinary Course in
Contemplative Neuroscience and Yoga.
AB - As neuroscience knowledge grows in its scope of societal applications so does the
need to educate a wider audience on how to critically evaluate its research
findings. Efforts at finding teaching approaches that are interdisciplinary,
accessible and highly applicable to student experience are thus ongoing. The
article describes an interdisciplinary undergraduate health course that combines
the academic study of contemplative neuroscience with contemplative practice,
specifically yoga. The class aims to reach a diverse mix of students by teaching
applicable, health-relevant neuroscience material while directly connecting it to
first-hand experience. Outcomes indicate success on these goals: The course
attracted a wide range of students, including nearly 50% non-science majors. On a
pre/post test, students showed large increases in their knowledge of
neuroscience. Students' ratings of the course overall, of increases in positive
feelings about its field, and of their progress on specific course objectives
were highly positive. Finally, students in their written work applied
neuroscience course content to their personal and professional lives. Such
results indicate that this approach could serve as a model for the
interdisciplinary, accessible and applied integration of relevant neuroscience
material into the undergraduate health curriculum.
PMID- 29371846
TI - Learning Experimental Design through Targeted Student-Centric Journal Club with
Screencasting.
AB - Knowledge and application of experimental design principles are essential
components of scientific methodology, and experience with these skills is
fundamental for participating in scientific research. However, undergraduates
often enter the research laboratory with little training in designing and
interpreting their own experiments. In the context of a research university
laboratory, we designed a journal club training exercise to address this need.
Students were instructed on methods for interpreting scientific literature using
a screencast, a digital recording of a slide presentation narrated by an
instructor. Students subsequently examined a series of research publications with
a focus on the experimental designs and data interpretation in a two-session
group discussion journal club format. We have found this approach to be an
efficient and productive method for engaging students in learning about
principles of experimental design and further preparing them for success in
laboratory research.
PMID- 29371847
TI - Interviewing Neuroscientists for an Undergraduate Honors Project.
AB - Honors projects that supplement standard coursework are a widely used practice in
undergraduate curricula. These projects can take many forms, ranging from
laboratory research projects to performing service learning to literature
analyses. Here we discuss an honors project focused on interviewing
neuroscientists to learn about individual scientific practice and career paths,
and synthesizing the resulting information into a personal reflection essay. We
detail step-by-step instructions for performing this type of project, including
how to develop interview questions, a sample project timeline, deliverables,
learning objectives and outcomes, and address potential pitfalls. We provide
sample interview questions, an interview solicitation email, and in the
supplemental materials an example student reflection essay, assessment rubrics,
and the transcription of a student-conducted interview of Drs. John Godwin and
Santosh Mishra of North Carolina State University. This type of project is a
promising method to enable student-researcher communication, and potentially
useful to a broad spectrum of both honors and non-honors neuroscience coursework.
PMID- 29371848
TI - Front and Back Flipping for Neurobiology! Developing a Hybrid Upper-Division Lab
Course.
AB - Flipped instruction using online enrichment is a popular way to enhance active
learning in the laboratory setting. Graduate student teaching assistants at
University of California, Irvine flipped an upper division undergraduate
neurobiology and behavior lab using the new online software platform "Rocketmix."
The following research study compares the impact of pre-lab online instruction
(front flipping) and post-lab online instruction (back flipping) on student exam
performance. We describe a novel method for unbiased categorization of exam
questions by degree of difficulty. Multi-choice instruction encourages students
to consider all distractors and discourages verbal cues and process of
elimination techniques. Eighteen identical questions were evenly distributed
across exam versions with multiple choice instruction (single answer) or a more
challenging multi-choice instruction (more than one answer). Student performance
on multiple choice questions were used to categorize the degree of difficulty of
questions that were presented in multi-choice format. Our findings reveal that
pre-lab instruction resulted in better student performance compared with post-lab
instruction on questions of moderate difficulty. This effect was significant for
both male and female students. Student survey data on the flipped lab format is
provided, indicating that students appreciated the online instructional modules,
finding them both informative and useful during lab exercises and exams.
PMID- 29371849
TI - An Integrative Approach to STEM Concepts in an Introductory Neuroscience Course:
Gains in Interdisciplinary Awareness.
AB - Neuroscience is an integrative discipline for which students must achieve broad
based proficiency in many of the sciences. We are motivated by the premise that
student pursuit of proficiency in science, technology, engineering, and
mathematics (STEM) can be supported by awareness of the application of knowledge
and tools from the various disciplines for solving complex problems. We refer to
this awareness as "interdisciplinary awareness." Faculty from biology, chemistry,
mathematics/computer science, physics, and psychology departments contributed to
a novel integrative introductory neuroscience course with no pre-requisites. STEM
concepts were taught in "flipped" class modules throughout the semester: Students
viewed brief videos and completed accompanying homework assignments
independently. In subsequent class meetings, students applied the STEM concepts
to understand nervous system structure and function through engaged learning
activities. The integrative introduction to neuroscience course was compared to
two other courses to test the hypothesis that it would lead to greater gains in
interdisciplinary awareness than courses that overlap in content but were not
designed for this specific goal. Data on interdisciplinary awareness were
collected using previously published tools at the beginning and end of each
course, enabling within-subject analyses. Students in the integrative course
significantly increased their identification of scientific terms as relevant to
neuroscience in a term-discipline relevance survey and increased their use of
terms related to levels of analysis (e.g., molecular, cellular, systems) in
response to an open-ended prompt. These gains were seen over time within the
integrative introduction to neuroscience course as well as relative to the other
two courses.
PMID- 29371851
TI - After p Values: The New Statistics for Undergraduate Neuroscience Education.
AB - Statistical inference is a methodological cornerstone for neuroscience education.
For many years this has meant inculcating neuroscience majors into null
hypothesis significance testing with p values. There is increasing concern,
however, about the pervasive misuse of p values. It is time to start planning
statistics curricula for neuroscience majors that replaces or de-emphasizes p
values. One promising alternative approach is what Cumming has dubbed the "New
Statistics", an approach that emphasizes effect sizes, confidence intervals, meta
analysis, and open science. I give an example of the New Statistics in action and
describe some of the key benefits of adopting this approach in neuroscience
education.
PMID- 29371850
TI - Using Case Studies to Promote Student Engagement in Primary Literature Data
Analysis and Evaluation.
AB - Analyzing and evaluating primary literature data is a common learning objective
in undergraduate neuroscience courses. However, students with more clinically
focused career goals often dismiss the relevance of evaluating basic neuroscience
literature. Here, we describe using case studies to promote student engagement in
primary literature in a cellular and molecular neuroscience course. Two example
literature-based case studies are provided: Untwisting Pretzel Syndrome, a
neurodevelopment case exploring synapse formation in a pretzel syndrome patient,
and The Trials of ALS, a neurodegeneration case exploring axon degeneration and
repair in an amyotrophic lateral sclerosis patient. These cases were assigned
after neurodevelopment and neurodegeneration lectures covering key concepts. Both
cases begin by introducing the patient and hypothesizing symptoms and diagnoses,
followed by scenes incorporating primary data to illustrate disease pathogenesis
and treatments. Students complete questions embedded in these cases as homework,
and class time is used to discuss their answers. Discussion emphasizes that there
can be multiple "correct" answers, and the best answers are accurate and well
supported. Accordingly, students edit their answers in class, and these
annotations are factored into a pass/fail grade on the case. Additional scenes
and questions from the same case studies are used on the course's take-home
exams, thereby allowing students to practice primary data analysis and evaluation
before a graded assignment. Student evaluations support literature-based case
studies as an effective learning tool, with students identifying cases as the
most valuable aspect of the course, and reporting increased confidence in
understanding cellular and molecular neuroscience.
PMID- 29371852
TI - Devising a Method to Study if Wernicke's Aphasia Patients are Aware That They Do
Not Comprehend Language or Speak It Understandably.
AB - Wernicke's Aphasia (WA) is characterized by an individual speaking fluent
gibberish without the ability to understand anything that is said to them or
anything they attempt to read. It is caused by damage to the left posterior
temporoparietal cortex, also known as Wernicke's area. An additional intriguing
symptom of WA patients is their apparent obliviousness to their own lack of
understanding despite their intact reasoning or other cognitive abilities. Their
only deficit seems to be in the basic rules of language that define word meaning,
also known as phonology. Growing out of a project in an undergraduate class, we
devised a phonology-free approach to communicating with WA patients that attempts
to answer the questions of whether WA patients know that they do not understand
what is said to them, that others do not understand what they have said, and if
these patients are distressed by this lack of communication. We here describe the
process and the resulting method.
PMID- 29371853
TI - The University of Ibadan/Grass Foundation Workshop in Neuroscience Teaching.
AB - The University of Ibadan/Grass Foundation Workshop in Neuroscience Teaching
(March 31st to April 2nd, 2017) in Ibadan, Nigeria was sponsored by the Grass
Foundation as a "proof of principle" outreach program for young neuroscience
faculty at Nigerian universities with limited educational and research resources.
The workshop's goal was to introduce low cost equipment for student lab exercises
and computational tutorials that could enhance the teaching and research
capabilities of local neuroscience educators. Participant assessment of the
workshop's activities was very positive and suggested that similar workshops for
other faculty from institutions with limited resources could have a great impact
on the quality of both the undergraduate and faculty experience.
PMID- 29371854
TI - For the FUN of It.
PMID- 29371855
TI - A Guerilla Guide to Common Problems in 'Neurostatistics': Essential Statistical
Topics in Neuroscience.
AB - Effective inferential statistical analysis is essential for high quality studies
in neuroscience. However, recently, neuroscience has been criticised for the poor
use of experimental design and statistical analysis. Many of the statistical
issues confronting neuroscience are similar to other areas of biology; however,
there are some that occur more regularly in neuroscience studies. This review
attempts to provide a succinct overview of some of the major issues that arise
commonly in the analyses of neuroscience data. These include: the non-normal
distribution of the data; inequality of variance between groups; extensive
correlation in data for repeated measurements across time or space; excessive
multiple testing; inadequate statistical power due to small sample sizes; pseudo
replication; and an over-emphasis on binary conclusions about statistical
significance as opposed to effect sizes. Statistical analysis should be viewed as
just another neuroscience tool, which is critical to the final outcome of the
study. Therefore, it needs to be done well and it is a good idea to be proactive
and seek help early, preferably before the study even begins.
PMID- 29371856
TI - Three Scientific Controversies to Engage Students in Reading Primary Literature.
AB - In this review, three sets of papers are presented. Each of the sets presents a
historical or active controversy in neuroscience ranging from cell biology and
cell signaling, to developmental neuroscience, to cognitive neuroscience. The
first set captures a historical controversy about whether the beta/gamma subunit
of G-proteins can be active in opening ion channels. The second set represents a
modern instantiation of the oldest debate in neuroscience: are our minds and
brains the product of innate factors or environmental influences. This debate
plays out in a series of papers on the development of the visual system. The
third set contrasts the view that the hippocampus and surrounding structures
primarily function to represent our location in space (a position for which the
2014 Nobel Prize in Physiology or Medicine was awarded to three investigators)
with the perspective that the hippocampus is a general-purpose structure for
declarative memories, spatial or non-spatial. The first and third controversies
feature publications of virtually identical experiments that show opposing
results. All three controversies are discussed in regards to the individual
scientists who did the experiments and debated directly with each other. The
first (beta/gamma subunits) emphasizes the value of reproducibility in scientific
research, the second (visual cortex development) emphasizes the value of new
techniques and updating scientific models, and the third (hippocampus) exposes
students to an ongoing, albeit under discussed, debate.
PMID- 29371857
TI - Nutritional strategies of high level natural bodybuilders during competition
preparation.
AB - Background: Competitive bodybuilders employ a combination of resistance training,
cardiovascular exercise, calorie reduction, supplementation regimes and peaking
strategies in order to lose fat mass and maintain fat free mass. Although
recommendations exist for contest preparation, applied research is limited and
data on the contest preparation regimes of bodybuilders are restricted to case
studies or small cohorts. Moreover, the influence of different nutritional
strategies on competitive outcome is unknown. Methods: Fifty-one competitors (35
male and 16 female) volunteered to take part in this project. The British Natural
Bodybuilding Federation (BNBF) runs an annual national competition for high level
bodybuilders; competitors must qualify by winning at a qualifying events or may
be invited at the judge's discretion. Competitors are subject to stringent drug
testing and have to undergo a polygraph test. Study of this cohort provides an
opportunity to examine the dietary practices of high level natural bodybuilders.
We report the results of a cross-sectional study of bodybuilders competing at the
BNBF finals. Volunteers completed a 34-item questionnaire assessing diet at three
time points. At each time point participants recorded food intake over a 24-h
period in grams and/or portions. Competitors were categorised according to
contest placing. A "placed" competitor finished in the top 5, and a "Non-placed"
(DNP) competitor finished outside the top 5. Nutrient analysis was performed
using Nutritics software. Repeated measures ANOVA and effect sizes (Cohen's d)
were used to test if nutrient intake changed over time and if placing was
associated with intake. Results: Mean preparation time for a competitor was 22 +/
9 weeks. Nutrient intake of bodybuilders reflected a high-protein, high
carbohydrate, low-fat diet. Total carbohydrate, protein and fat intakes decreased
over time in both male and female cohorts (P < 0.05). Placed male competitors had
a greater carbohydrate intake at the start of contest preparation (5.1 vs 3.7
g/kg BW) than DNP competitors (d = 1.02, 95% CI [0.22, 1.80]). Conclusions:
Greater carbohydrate intake in the placed competitors could theoretically have
contributed towards greater maintenance of muscle mass during competition
preparation compared to DNP competitors. These findings require corroboration,
but will likely be of interest to bodybuilders and coaches.
PMID- 29371858
TI - Bioinformatics-based identification of miR-542-5p as a predictive biomarker in
breast cancer therapy.
AB - Background: Tamoxifen is the first-line hormone therapy for estrogen receptor
alpha positive (ERalpha+) breast cancer. However, about 40% of patients with
ERalpha + breast cancer who receive tamoxifen therapy eventually develop
resistance resulting in a poor prognosis. The aim of this study was to mine
available data sets in the Gene Expression Omnibus (GEO) database, including in
vitro (cell lines) and in vivo (tissue samples), and to identify all miRNAs
associated with tamoxifen resistance (TamR) in breast cancer. Secondly, this
study aimed to predict the key gene regulatory networks of newly found TamR
related miRNAs and evaluate the potential role of the miRNAs and targets as
potential prognosis biomarkers for breast cancer patients. Result: Microarray
data sets from two different studies were used from the GEO database: 1.
GSE66607: miRNA of MCF-7 TamR cells; 2. GSE37405: TamR tissues. Differentially
expressed microRNAs (miRNAs) were identified in both data sets and 5
differentially expressed miRNAs were found to overlap between the two data sets.
Profiles of GSE37405 and data from the Kaplan-Meier Plotter Database (KMPD) along
with Gene Expression Profiling Interactive Analysis (GEPIA) were used to reveal
the relationship between these 5 miRNAs and overall survival. The results showed
that has-miR-542-5p was the only miRNA associated with overall survival of
ERalpha + breast cancer patients who received adjuvant tamoxifen. Targets of has
miR-542-5p were predicted by miRanda and TargetScan, and the mRNA expression of
the three 3 target gene, Tyrosine 3-Monooxygenase/Tryptophan 5-Monooxygenase
Activation Protein Beta (YWHAB), Lymphocyte Antigen 9 (LY9), and Secreted
Frizzled Related Protein 1 (SFRP1) were associated with overall survival in 2
different databases. Copy-number alterations (CNAs) of SFRP1 confer survival
disadvantage to breast cancer patients and alter the mRNA expression of SFRP1 in
cBioPortal database. Conclusion: This study indicates that miRNA has-miR-542-5p
is associated with TamR and can predict prognosis of breast cancer patients.
Furthermore, has-miR-542-5p may be acting through a mechanism involving the
target genes YWHAB, LY9, and SFRP1. Overall, has-miR-542-5p is a predictive
biomarker and potential target for therapy of breast cancer patients.
PMID- 29371859
TI - Young adults report increased pleasure from smoking cigarettes when drinking
alcohol but not when using marijuana.
AB - Background: Among young adults, cigarette smoking is strongly associated with
alcohol and marijuana use. The present study compared self-reported co-use of
cigarettes and alcohol versus cigarettes and marijuana among young adults using
cross-sectional survey data. Methods: Participants were young adult cigarette
smokers (age 18 to 25) who also reported past month alcohol or marijuana use
enrolled in a randomized trial testing a smoking cessation intervention on
Facebook. Participants self-reported extent of cigarette smoking under the
influence of alcohol or marijuana and differences in perceived pleasure from
cigarette smoking when drinking alcohol compared to using marijuana. Results:
Among cigarette smokers who drank alcohol and used marijuana in the past month
(n=200), a similar percentage of cigarettes were smoked under the influence of
alcohol (42.4%+/-31.2%) and marijuana (43.1% +/-30.0%). Among alcohol + marijuana
users, perceived pleasure from smoking cigarettes was significantly greater when
drinking alcohol versus when using marijuana (t(199)=7.05, p<0.001). There was,
on average, an increase in perceived pleasure from smoking cigarettes when
drinking alcohol, though perceived pleasure did not differ by binge drinking
frequency. In contrast, there was on average no change in perceived pleasure from
smoking cigarettes when using marijuana. Results from the cigarette smokers who
used alcohol + marijuana were similar to cigarette smokers who only used alcohol
(n=158) or only used marijuana (n=54). Conclusion: Findings highlight greater
perceived reward from smoking cigarettes when drinking alcohol compared to when
using marijuana, informing smoking cessation interventions that target users of
multiple substances.
PMID- 29371860
TI - Corrigendum: Furanodiene Induces Extrinsic and Intrinsic Apoptosis in Doxorubicin
Resistant MCF-7 Breast Cancer Cells via NF-kappaB-Independent Mechanism.
AB - [This corrects the article on p. 648 in vol. 8, PMID: 28959205.].
PMID- 29371861
TI - Corrigendum: Phylogenetic Analyses of Shigella and Enteroinvasive Escherichia
coli for the Identification of Molecular Epidemiological Markers: Whole-Genome
Comparative Analysis Does Not Support Distinct Genera Designation.
AB - [This corrects the article on p. 1573 in vol. 6, PMID: 26834722.].
PMID- 29371862
TI - Corrigendum: Mannitol Stress Directs Flavonoid Metabolism toward Synthesis of
Flavones via Differential Regulation of Two Cytochrome P450 Monooxygenases in
Coleus forskohlii.
AB - [This corrects the article on p. 985 in vol. 7, PMID: 27458469.].
PMID- 29371863
TI - Body composition, adipokines, bone mineral density and bone remodeling markers in
relation to IGF-1 levels in adults with Prader-Willi syndrome.
AB - Background: In patients with Prader-Willi syndrome (PWS) body composition is
abnormal and alterations in appetite regulating factors, bone mineral density and
insulin-like growth factor-1 (IGF-1) levels have been described. Studies in PWS
adults are limited. In this study, we investigated body composition, appetite
regulating peptides, bone mineral density and markers of bone remodeling in an
adult PWS population. Furthermore, we investigated the association between these
different parameters and IGF-1 levels because of the described similarities with
growth hormone deficient patients. Methods: In this cross-sectional observational
cohort study in a university hospital setting we studied fifteen adult PWS
patients. Anthropometric and metabolic parameters, IGF-1 levels, bone mineral
density and bone metabolism were evaluated. The homeostasis model assessment of
insulin resistance (HOMA2-IR) was calculated. Fourteen healthy siblings served as
a control group for part of the measurements. Results: In the adult PWS patients,
height, fat free mass, IGF-1 and bone mineral content were significantly lower
when compared to controls; body mass index (BMI), waist, waist-to-hip ratio and
fat mass were higher. There was a high prevalence of osteopenia and osteoporosis
in the PWS patients. Also, appetite regulating peptides and bone remodelling
markers were aberrant when compared to reference values. Measurements of body
composition were significantly correlated to appetite regulating peptides and
high-sensitive C-reactive protein (hs-CRP), furthermore HOMA was correlated to
BMI and adipokines. Conclusion: In adults with Prader-Willi syndrome alterations
in body composition, adipokines, hs-CRP and bone mineral density were
demonstrated but these were not associated with IGF-1 levels. Further
investigations are warranted to gain more insight into the exact pathophysiology
and the role of these alterations in the metabolic and cardiovascular
complications seen in PWS, so these complications can be prevented or treated as
early as possible.
PMID- 29371865
TI - Pulmonary artery banding using polytetrafluoroethylene; Choice of material.
PMID- 29371864
TI - Onset of action for loratadine tablets for the symptomatic control of seasonal
allergic rhinitis in adults challenged with ragweed pollen in the Environmental
Exposure Unit: a post hoc analysis of total symptom score.
AB - Background: Loratadine is a second-generation, non-sedating antihistamine used
for the relief of allergic rhinitis symptoms. Previous studies reported that when
loratadine was encapsulated, the onset of action for symptom relief was 180 min.
However, unmodified loratadine tablets were not evaluated at that time. Using
data from a previously published Environmental Exposure Unit (EEU) study
comparing azelastine nasal spray with loratadine tablets, cetirizine tablets, and
placebo, this post hoc analysis determines the onset of action of loratadine
tablets (i.e. unmodified) by analyzing the total symptom score for the relief of
nasal and ocular seasonal allergic rhinitis (SAR) symptoms. Methods: A Phase IV,
randomized, single-center, double-blind, placebo-controlled, double-dummy, four
way crossover study was conducted in the EEU. Seventy participants were
randomized sequentially into one of the four treatments during ragweed pollen
exposure. Nasal and ocular symptom scores were self-reported by the participants
and recorded. The original study analysis was carried out by evaluating the nasal
symptom scores only. For this post hoc analysis, both nasal and ocular data from
the loratadine and placebo treatment arms were analyzed. The primary endpoint for
this analysis was the onset of action of loratadine as measured by the change in
total symptom score (TSS) from baseline in comparison to placebo. The onset of
ocular symptom relief using the total ocular symptom score (TOSS) was also
reported. Results: Loratadine tablets demonstrated a significant and durable
improvement in both TSS (P = .005) and TOSS (P = .013) at 75 min post-treatment
administration compared to placebo. The mean proportion of participants reporting
none or mild for all component symptoms of TSS and TOSS at 75 min and thereafter
was significantly higher in the loratadine (TSS, P = .0005; TOSS, P <= .0001) vs.
placebo treatment arm. Conclusions: The onset of action of loratadine tablets was
75 min for the relief of nasal and ocular symptoms in adults with SAR. These
results suggest a faster onset of action for loratadine tablets (75 min) compared
to previously reported studies which were conducted with modified (i.e. gelatin
encapsulated) loratadine tablets (180 min).Trial registration Clinicaltrials.gov
identifier NCT00561717.
PMID- 29371866
TI - Gensini scores and well-being states among patients with coronary artery disease:
A comparison study.
AB - BACKGROUND: World Health Organization (WHO) considered Mental Health Continuum
(MHC) as a good instrument for well-being studies. Moreover, gensini score (GS)
is an intensity index for coronary artery disease (CAD). The aim of our study was
to compare GSs among patients who had coronary artery disease with different well
being states. METHODS: This was a cross-sectional study conducted in Tehran Heart
Center, Iran, in 2013. The study population consisted of 50 non-depressed
patients who were candidates for coronary artery bypass graft (CABG). All of the
participants were interviewed according to the Iranian version of Mental Health
Continuum (IV-MHC) and were allocated to flourishing, maternal mental health
(MMH) and languishing states based on the related classification criteria. GS was
calculated for each participant. Data were analyzed by SPSS. RESULTS: Forty one
(82%) patients were in flourishing, 9 (18%) in MMH and nobody was in languishing
states. The mean (standard deviation) of GS was 90.43 (44.424) and 89.67 (33.378)
for flourishing and MMH ones, respectively (P = 0.962). There was no
statistically significant correlation between GSs and well-being states (all Ps >
0.050). CONCLUSION: Considering IV-MHC classification, all of our patients were
only allocated to flourishing and MMH states. There was no relationship between
intensity of CAD and the states (P > 0.050). We recommend further research with
larger sample sizes for better evaluation of the Iranian version of the
instrument.
PMID- 29371867
TI - Design and implementation of a combined observational and interventional study:
Trends of prevalence, awareness, treatment and control hypertension and the
effect of expanded chronic care model on control, treatment and self-care.
AB - BACKGROUND: Lack of information about hypertension leads to failure in detection,
treatment and reduced estimation of this disease effects. So, a comprehensive
study, named trends of prevalence, awareness, treatment and control hypertension
among the adults in Isfahan, Iran (2001-2016) and evaluation of the effect of
expanded chronic care model (ECCM) on control, treatment and self-care, has been
designed. This study explains the aspects of design and methods of its
implementation. METHODS: This study was conducted in four stages in 2014-2016. In
the 1st stage, valid questionnaires were made to assess knowledge, attitude and
practice, and self-care. In the 2nd stage, the status of prevalence, awareness,
treatment and control and hypertension risk factors was assessed. In the 3rd
stage, a two-group clinical trial was conducted to evaluate the effectiveness of
ECCM on hypertensive patients and their families. In the 4th stage, the results
of hypertension prevalence and its risk factors in adults in 2016 were compared
with two other studies undertaken in 2001 and 2007. RESULTS: To develop the
questionnaire, face and content validity, internal and external reliability, and
construct validity were examined. Prevalence, awareness, treatment and control of
hypertension and risk factors among 2107 adult individuals were determined in
Isfahan. In a clinical trial, 216 hypertensive patients were randomly assigned
into intervention and control groups. Finally, a sample size of 8073 people was
used to determine and compare the 15-year-old trend of hypertension and its
affecting factors. CONCLUSION: It is obvious that the final findings of this
study will play a key role in health and research policy and provide a suitable
model for implementing appropriate interventional measures at the provincial and
national levels.
PMID- 29371868
TI - Factors associated with the no-reflow phenomenon following percutaneous
intervention of saphenous vein coronary bypass grafts.
AB - BACKGROUND: We investigated clinical and procedural factors associated with the
no-reflow phenomenon following percutaneous coronary intervention (PCI) of the
saphenous-vein grafts (SVG). METHODS: A cross-sectional study was done on
patients who had undergone PCI of the SVG. Patients' medical documents were
reviewed for demographic, clinical, laboratory, and procedural data. Slow/no
reflow was defined based on the thrombolysis in myocardial infarction (TIMI)
grade (0 to 2). Univariate and multiple logistic regression analyses were
performed to investigate factors associated with slow/no-reflow and P < 0.050 was
considered as significant. RESULTS: A total of 205 patients were studied (81%
man, mean +/- standard deviation of age was 66.8 +/- 9.6 years). Slow/no-reflow
was found in 38 (18.5%) patients. High diastolic blood pressure (P = 0.010),
leukocytosis (P = 0.017), diffuse lesions (P = 0.007), degenerated SVG (P <
0.001), proximal lesions (P < 0.001), thrombosis (P = 0.013), and lower number of
used stents during procedure (P = 0.032) were associated with slow/no-reflow in
unadjusted analyses. Factors independently associated with slow/no-reflow were
pre-procedural high diastolic blood pressure with odds ratio (OR) = 3.858 [95%
confidence interval (95% CI), 1.157-12.860], degenerated SVG with OR = 5.901 (95%
CI: 1.883-18.492), proximal lesions with OR = 5.070 (95% CI: 1.822-14.113), pre
intervention TIMI grade with OR = 0.618 (95% CI: 0.405-0.942), number of used
stents for PCI with OR = 0.074 (95% CI: 0.011-0.481) for > 1 stent, and length of
stents used for PCI with OR = 0.100 (95% CI: 0.019-0.529) for > 30 mm stents.
CONCLUSION: This study on the clinical and procedural factors associated with the
slow/no-reflow phenomenon following PCI of the SVG can be used in risk estimation
of this serious complication and tailoring preventive strategies to at-risk
patients.
PMID- 29371869
TI - Allopurinol prophylactic therapy and the prevention of contrast-induced
nephropathy in high-risk patients undergoing coronary angiography: A prospective
randomized controlled trial.
AB - BACKGROUND: Contrast-induced nephropathy (CIN) is considered to be a possibly
severe complication of radiography and thus, remains to be the main cause of
acute kidney injury (AKI) for inpatients. A clinical trial was executed to
measure the preventive effect of allopurinol against CIN in high-risk patients
undertaking coronary angiography. METHODS: Through randomized controlled trial,
140 patients with at minimum two risk factors of CIN, undertaking coronary
angiography, were randomly allocated to the allopurinol (n = 70) or control group
(n = 70). Those in the allopurinol group received allopurinol (300 mg) a day
before their coronary angiography and intravenous hydration for 12 hours before
and after their procedure, while members of the control group only received
intravenous hydration. Serum creatinine (SCr), blood urea nitrogen (BUN) and uric
acid were measured before and 48 hours after the procedure. CIN was defined by a
25% increase in SCr or the concentration of > 0.5 mg/dl, 48 hours after coronary
angiography. RESULTS: CIN was observed in 8 (11.4%) patients in the allopurinol
group and 11 (15.7%) patients in the control group. There was no significant
difference in the incidence of CIN between the two groups at 48 hours after
coronary angiography (P = 0.459). In the allopurinol group, the median SCr
concentration decreased non-significantly from 1.16 mg/dl to 1.13 mg/dl, 48 hours
after coronary angiography (P = 0.189). In the control group, the median SCr
concentration increased significantly from 1.11 mg/dl to 1.2 mg/dl, 48 hours
after coronary angiography (P < 0.001). CONCLUSION: Allopurinol presents no
considerable effectiveness over the hydration protocol for development of CIN in
high-risk patients.
PMID- 29371870
TI - Persian Registry Of cardioVascular diseasE (PROVE): Design and methodology.
AB - BACKGROUND: Our aim was to create and establish a database called "Persian
Registry Of cardioVascular diseasE (PROVE)" in order to be used for future
research and in addition, as a tool to develop national guidelines for diagnosis,
treatment, and prevention of cardiovascular disease (CVD). In this paper, the
design and methodology of the PROVE pilot study will be discussed, launched in
Isfahan, Iran, in 2015-2016. METHODS: Through establishing PROVE, patients' data
were collected from hospitals and outpatient clinics prospectively or
retrospectively and followed up for a maximum of three years based on the type of
CVDs. The inclusion criteria were as patients with acute coronary syndrome (ACS),
ST elevation myocardial infarction (STEMI), stroke, atrial fibrillation (AF),
heart failure (HF), congenital heart disease (CHD), percutaneous coronary
intervention (PCI), and chronic ischemic cardiovascular disease (CICD). Specific
protocols, questionnaires, and glossaries were developed for each registry. In
order to ensure the validation of the protocols, questionnaires, data collection,
management, and analysis, a well-established quality control (QC) protocol was
developed and implemented. Data confidentiality was considered. RESULTS: In order
to register patients with ACS, STEMI, stroke, HF, PCI, and CICD, the hospital
recorded data were used, whereas, in case of AF and CHD registries, the data were
collected from hospitals and outpatient clinics. During the pilot phase of the
study in Isfahan, from March 2015 to September 2016, 9427 patients were
registered as ACS including 809 as STEMI, 1195 patients with HF, 363 with AF, 761
with stroke, 1136 with CHD, 1200 with PCI, and 9 with CICD. Data collection and
management were performed under the supervision of the QC group. CONCLUSION:
PROVE was developed and implemented in Isfahan as a pilot study, in order to be
implemented at national level in future. It provides a valuable source of valid
data that could be used for future research, re-evaluation of current CVD
management and more specifically, gap analysis and as a tool for assessment of
the type of CVDs, prevention, treatment, and control by health care decision
makers.
PMID- 29371871
TI - Effect of crocin, a carotenoid from saffron, on plasma cholesteryl ester transfer
protein and lipid profile in subjects with metabolic syndrome: A double blind
randomized clinical trial.
AB - BACKGROUND: Metabolic syndrome is defined by insulin resistance and a clustering
of other cardiovascular risk factors. Crocin is a carotenoid derived from the
stigmas of the saffron flower and had previously been shown to affect lipid
profile. However, the mechanism for this function is not well understood. The
present trial aimed to investigate the possible effect of crocin on plasma levels
of cholesteryl ester transfer protein and lipid profile in individuals with
metabolic syndrome. METHODS: This was a randomized, double-blind, placebo
controlled, clinical trial consisting of an 8-week treatment with crocin, or
placebo tablets between April and June 2014, in the Nutrition Clinic of Ghaem
Teaching Hospital, Mashhad, Iran. Participants were randomly assigned to take a
30 mg/day crocin (n = 22) in the intervention group or placebo (n = 22) in the
control group. Anthropometric, hematological and biochemical parameters were
measured and recorded during pre and post-treatment periods. RESULTS: Whilst
plasma cholesteryl ester transfer protein was increased in the group taking the
crocin tablet by 27.81% during the trial period (P = 0.013), the difference
between the crocin and placebo groups was not significant (P = 0.116). Moreover,
the percent changes in cholesterol (P = 0.702), triglyceride (P = 0.080), low
density lipoprotein (LDL) (P = 0.986), high-density lipoprotein (HDL) (P = 0.687)
and fasting blood glucose (P = 0.614) did not differ significantly between
intervention and control groups. CONCLUSION: Although crocin supplements
increased the serum cholesteryl ester transfer protein in patients with metabolic
syndrome, this change was not significant between treatment and placebo groups.
PMID- 29371872
TI - Septal hematoma due to stent implementation in the septal course of the left
anterior descending artery.
AB - BACKGROUND: The interventricular septal hematoma (IVSH) is a rare and potentially
lethal finding. There are variously reported etiologies including instrumental
damages during cardiac interventions. Although there are not enough studies
available, conservative management is considered as a preferable approach in
stable patients. CASE REPORT: A 45-year man smoker with the previous history of
percutaneous coronary intervention (PCI), admitted with unstable angina in
present visit. Coronary angiography showed significant in-stent restenosis (ISR)
of the left anterior descending (LAD) artery stent. During our intervention for
treatment of the ISR, the wire movement caused a diffuse dissection without any
runoff, in the distal portion of the LAD. Therefore two stents were deployed in
the dissected segment with a short overlapping segment. Unfortunately, the
overlapping segment of these stents was located in the myocardial bridge segment.
Therefore the contraction of the interventricular septum (IVS) caused a scissor
like movement of the stents, and they ruptured the LAD into the septum.
Therefore, the contrast agent was accumulated in the IVS. Immediately, a graft
stent was deployed in the overlapping segment of stents and perforation became
sealed. In echocardiography, the IVS diameter increased to 30 mm. Since the
patient was hemodynamically and electrically stable, he underwent conservative
approach and after two months the septum returned to the normal size. CONCLUSION:
During PCI on the LAD artery, the implantation of stents in the septal course
with a short overlapping segment can result in coronary perforation, and
therefore IVS hematoma by the scissor effect. Septal hematoma may cause life
threatening arrhythmias or ventricular septal rupture, but if it is asymptomatic
or uncomplicated. Conservative management is the best strategy.
PMID- 29371873
TI - The common use of improper control diets in diet-induced metabolic disease
research confounds data interpretation: the fiber factor.
AB - Diets used to induce metabolic disease are generally high in fat and refined
carbohydrates and importantly, are usually made with refined, purified
ingredients. However, researchers will often use a low fat grain-based (GB) diet
containing unrefined ingredients as the control diet. Such a comparison between
two completely different diet types makes it impossible to draw conclusions
regarding the phenotypic differences driven by diet. While many compositional
differences can account for this, one major difference that could have the
greatest impact between GB and purified diets is the fiber content, both in terms
of the level and composition. We will review recent data showing how fiber
differences between GB diets and purified diets can significantly influence gut
health and microbiota, which itself can affect metabolic disease development.
Researchers need to consider the control diet carefully in order to make the best
use of precious experimental resources.
PMID- 29371874
TI - Z-ligustilide and anti-inflammatory prostaglandins have common biological
properties in macrophages and leukocytes.
PMID- 29371876
TI - 'The mum has to live with the decision much more than the dad'; a qualitative
study of men's perceptions of their influence on breastfeeding decision-making.
AB - Background: Although breastfeeding is widely acknowledged as the normal method of
infant feeding, there are large variations in rates of initiation and duration.
Several factors are linked to the likelihood of breastfeeding initiation,
including the influence and opinion of the child's father. There is limited
research into men's perception of their influence, or if they feel it appropriate
to be involved in deciding how to feed their children. The aim of this study was
to investigate, using a qualitative methodology, fathers' perceptions of their
influence on the decision to feed their child breastmilk or formula. Methods: Six
men were recruited through Children's Centres in Bristol, United Kingdom, and a
phenomenological research methodology implemented using semi-structured
interviews. Specific objectives were: to understand participants' views on
breastfeeding; understand if and how these views were discussed with their
partner; to determine if participants believed involvement in the feeding
decision is appropriate; to understand how they felt about the decision made; and
to see if their views changed after the birth of their child. Results: Multiple
themes emerged during analysis, including deferring of responsibility to the
mother; breastfeeding as normal practice; change in attitude; involvement in
parenting; and, advantages for the father. The men in the study accepted
breastfeeding as normal behaviour, probably because of their upbringing in
households where breastfeeding was practiced. There was consensus that women had
more say in deciding to breastfeed, which was explained as a consequence of their
greater involvement. It could also be interpreted as an unwillingness to
interfere in an area perceived as 'owned' by women. Participants acknowledged
that breastfeeding was more difficult than they had perceived. Conclusions: The
key themes emerging from the interviews are suggestive of an impact on
breastfeeding interventions that use the father as an intermediary. If they do
not feel that they are 'permitted' to comment on their partner's breastfeeding,
then simply increasing knowledge of breastfeeding benefits in these men is likely
to have minimal impact.
PMID- 29371877
TI - Using k-NN to analyse images of diverse germination phenotypes and detect single
seed germination in Miscanthus sinensis.
AB - Background: Miscanthus is a leading second generation bio-energy crop. It is
mostly rhizome propagated; however, the increasing use of seed is resulting in a
greater need to investigate germination. Miscanthus seed are small, germination
is often poor and carried out without sterilisation; therefore, automated methods
applied to germination detection must be able to cope with, for example,
thresholding of small objects, low germination frequency and the presence or
absence of mould. Results: Machine learning using k-NN improved the scoring of
different phenotypes encountered in Miscanthus seed. The k-NN-based algorithm was
effective in scoring the germination of seed images when compared with human
scores of the same images. The trueness of the k-NN result was 0.69-0.7, as
measured using the area under a ROC curve. When the k-NN classifier was tested on
an optimised image subset of seed an area under the ROC curve of 0.89 was
achieved. The method compared favourably to an established technique.
Conclusions: With non-ideal seed images that included mould and broken seed the k
NN classifier was less consistent with human assessments. The most accurate
assessment of germination with which to train classifiers is difficult to
determine but the k-NN classifier provided an impartial consistent measurement of
this important trait. It was more reproducible than the existing human scoring
methods and was demonstrated to give a high degree of trueness to the human
score.
PMID- 29371875
TI - Psychopathology of addiction: Can the SCL90-based five-dimensional structure
differentiate Heroin Use Disorder from a non-substance-related addictive disorder
such as Gambling Disorder?
AB - Background: In the Gambling Disorder (GD), there is no exogenous drug
administration that acts as the central core of the traditional meaning of
addiction. A specific psychopathology of Substance Use Disorders has been
proposed recently. In a sample of Heroin Use Disorder (HUD) patients entering
opioid agonist treatment, it became possible to identify a group of 5 mutually
exclusive psychiatric dimensions: Worthlessness-Being trapped (W-BT), Somatic
Symptoms (SS), Sensitivity-Psychoticism (SP), Panic Anxiety (PA) and Violence
Suicide (VS). The specificity of these dimensions was suggested by the absence of
their correlations with treatment choice, active substance use, psychiatric
comorbidity and the principal substance of abuse and by the opportunity, through
their use, of fully discriminating HUD from Major Depression patients and,
partially, from obese non-psychiatric patients. To further support this
specificity in the present study, we tested the feasibility of discriminating HUD
patients from those affected by a non-substance-related addictive behaviour, such
as GD. In this way, we also investigated the psychopathological peculiarities of
GD patients. Methods: We compared the severity and frequency of each of the five
aspects found by us, in 972 (83.5% males; mean age 30.12 +/- 6.6) HUD and 110
(50% males; average age 30.12 +/- 6.6) GD patients at univariate (T test; Chi
square) and multivariate (discriminant analysis and logistic regression) level.
Results: HUD patients showed higher general psychopathology indexes than GD
patients. The severity of all five psychopathological dimensions was
significantly greater in HUD patients. Discriminant analysis revealed that SS and
VS severity were able to discriminate between HUD (higher severity) and GD
patients (lower severity), whereas PA and SP could not. W-BT severity was
negatively correlated with SS and VS; GD patients were distinguished by low
scores for SS and VS low scores associated with high ones for W-BT.
Psychopathological subtypes characterized by SS and VS symptomatology were better
represented in HUD patients, whereas PA symptomatology was more frequent in GD
individuals. No differences were observed regarding the W-BT and SP dimensions.
At multivariate level, the one prominent characteristic of HUD patients was the
presence of SS (OR = 5.43) as a prominent qualification for psychopathological
status. Conclusions: Apart from the lower severity of all psychopathological
dimensions, only the lower frequency of SS typology seems to be the prominent
factor in GD patients. The SCL90-defined structure of opioid addiction seems to
be useful even in non-substance-related addictive disorders, as in the case of GD
patients, further supporting the possible existence of a psychopathology specific
to addiction.
PMID- 29371878
TI - Establishing position papers by the WSES.
AB - A position paper (PP) should establish a unified voice in areas where controversy
occurs based upon multiple practices and/or therapeutic choices. Typically, a
position paper should elucidate the knowledge gap, followed by an evidence-based
review of options, leading to an "endorsed position." A position paper should
represent more than the opinion or consensus of the authors but should present
current opinions and practices supported by the World Society of Emergency
Surgery (WSES). Accordingly, position papers should require the approval of an
expert group of WSES and in parallel be presented at an annual meeting prior to
submission for publication. It is important that a unified approach for drafting
of position papers be established and endorsed by WSES in order to establish
credibility and prevent misunderstandings during a smooth transition to
publication. The purpose of this article is to suggest a uniform process for the
development of WSES guidelines.
PMID- 29371879
TI - Casualties of peace: an analysis of casualties admitted to the intensive care
unit during the negotiation of the comprehensive Colombian process of peace.
AB - Background: After 52 years of war in 2012, the Colombian government began the
negotiation of a process of peace, and by November 2012, a truce was agreed. We
sought to analyze casualties who were admitted to the intensive care unit (ICU)
before and during the period of the negotiation of the comprehensive Colombian
process of peace. Methods: Retrospective study of hostile casualties admitted to
the ICU at a Level I trauma center from January 2011 to December 2016. Patients
were subsequently divided into two groups: those seen before the declaration of
the process of peace truce (November 2012) and those after (November 2012
December 2016). Patients were compared with respect to time periods. Results:
Four hundred forty-eight male patients were admitted to the emergency room. Of
these, 94 required ICU care. Sixty-five casualties presented before the truce and
29 during the negotiation period. Median injury severity score was significantly
higher before the truce. Furthermore, the odds of presenting with severe trauma
(ISS > 15) were significantly higher before the truce (OR, 5.4; (95% CI, 2.0
14.2); p < 0.01). There was a gradual decrease in the admissions to the ICU, and
the performance of medical and operative procedures during the period observed.
Conclusion: We describe a series of war casualties that required ICU care in a
period of peace negotiation. Despite our limitations, our study presents a
decline in the occurrence, severity, and consequences of war injuries probably as
a result in part of the negotiation of the process of peace. The hysteresis of
these results should only be interpreted for their implications in the
understanding of the peace-health relationship and must not be overinterpreted
and used for any political end.
PMID- 29371881
TI - Improving the capacity of community-based workers in Australia to provide initial
assistance to Iraqi refugees with mental health problems: an uncontrolled
evaluation of a Mental Health Literacy Course.
AB - Background: Australia is a multicultural nation with a humanitarian program that
welcomes a large number of Iraqi refugees. Despite the high prevalence of trauma
related disorders, professional help-seeking in this group is very low. This
study sought to evaluate a face-to-face mental health literacy (MHL) Course that
teaches community-based workers how to provide initial help to Iraqi refugees
with depression and post-traumatic stress disorder (PTSD) related problems.
Methods: An uncontrolled pre, post and follow-up design was used to measure
improvement in MHL in community-based workers assisting Iraqi refugees. Results:
Eighty-six participants completed the pre- and post-training questionnaires.
Forty-five (52%) completed all 3-time point questionnaires. Fifty-six percent
(48/86) of participants were able to correctly recognise 'PTSD' as the problem
depicted in a vignette before the training. This increased to 77% (66/86) after
training and was maintained at follow-up with 82% (37/45) correctly recognising
the problem (p = 0.032). Recognition of depression also increased from 69%
(59/86) at pre-training to 83% (71/86) after training and to 82% (37/45) at
follow-up. There was a significant increase in perceived helpfulness of
professional treatments for depression after training (p < 0.001 at post
training, p = 0.010 at follow-up). Significant changes were reported in
confidence of participants when helping an Iraqi refugee with PSTD (p < 0.001 at
post-training, p < 0.001 at follow-up) and depression (p < 0.001 at post
training, p = 0.003 at follow-up). A decrease were also found on social distance
mean scores associated with PTSD (p = 0.006 at post-training, p < 0.001 at follow
up) and depression (p = 0.007 at follow-up). Changes were not significant
following training for offering help and helping behaviours in both PSTD and
depression vignettes and, the 'dangerous/unpredictable' subscale in the
depression vignette. Conclusion: This training is a recommendable way to improve
and better equip staff on how to respond to mental health crises and offer Mental
Health First Aid in a culturally sensitive manner to Iraqi refugees.
PMID- 29371880
TI - Dissecting the mechanisms and molecules underlying the potential carcinogenicity
of red and processed meat in colorectal cancer (CRC): an overview on the current
state of knowledge.
AB - Meat is a crucial nutrient for human health since it represents a giant supply of
proteins, minerals, and vitamins. On the opposite hand, the intake of red and
processed meat is taken into account dangerous due to its potential of
carcinogenesis and cancer risk improvement, particularly for colorectal cancer
(CRC), although it has been reported that also the contaminations of beef
infected by oncogenic bovine viruses could increase colorectal cancer's risk.
Regarding the mechanisms underlying the potential carcinogenicity of red and
processed meat, different hypotheses have been proposed. A suggested mechanism
describes the potential role of the heterocyclic amines (HACs) and polycyclic
aromatic hydrocarbons (PHAs) in carcinogenesis induced by DNA mutation. Another
hypothesis states that heme, through the lipid peroxidation process and therefore
the formation of N-nitroso compounds (NOCs), produces cytotoxic and genotoxic
aldehydes, resulting in carcinogenesis. Furthermore, a recent proposed
hypothesis, is based on the combined actions between the N-Glycolylneuraminic
acid (Neu5Gc) and genotoxic compounds. The purpose of this narrative review is to
shed a light on the mechanisms underlying the potential carcinogenicity of red
and processed meat, by summarizing the data reported in literature on this topic.
PMID- 29371882
TI - Thematic series CAPMH "Forensic Child and Adolescent Psychiatry and Mental Health
2017".
PMID- 29371883
TI - Conversion of lignocellulosic agave residues into liquid biofuels using an AFEXTM
based biorefinery.
AB - Background: Agave-based alcoholic beverage companies generate thousands of tons
of solid residues per year in Mexico. These agave residues might be used for
biofuel production due to their abundance and favorable sustainability
characteristics. In this work, agave leaf and bagasse residues from species Agave
tequilana and Agave salmiana were subjected to pretreatment using the ammonia
fiber expansion (AFEX) process. The pretreatment conditions were optimized using
a response surface design methodology. We also identified commercial enzyme
mixtures that maximize sugar yields for AFEX-pretreated agave bagasse and leaf
matter, at ~ 6% glucan (w/w) loading enzymatic hydrolysis. Finally, the
pretreated agave hydrolysates (at a total solids loading of ~ 20%) were used for
ethanol fermentation using the glucose- and xylose-consuming strain Saccharomyces
cerevisiae 424A (LNH-ST), to determine ethanol yields at industrially relevant
conditions. Results: Low-severity AFEX pretreatment conditions are required (100
120 degrees C) to enable efficient enzymatic deconstruction of the agave cell
wall. These studies showed that AFEX-pretreated A. tequilana bagasse, A.
tequilana leaf fiber, and A. salmiana bagasse gave ~ 85% sugar conversion during
enzyme hydrolysis and over 90% metabolic yields of ethanol during fermentation
without any washing step or nutrient supplementation. On the other hand, although
lignocellulosic A. salmiana leaf gave high sugar conversions, the hydrolysate
could not be fermented at high solids loadings, apparently due to the presence of
natural inhibitory compounds. Conclusions: These results show that AFEX
pretreated agave residues can be effectively hydrolyzed at high solids loading
using an optimized commercial enzyme cocktail (at 25 mg protein/g glucan)
producing > 85% sugar conversions and over 40 g/L bioethanol titers. These
results show that AFEX technology has considerable potential to convert
lignocellulosic agave residues to bio-based fuels and chemicals in a biorefinery.
PMID- 29371884
TI - In vivo selection of sfGFP variants with improved and reliable functionality in
industrially important thermophilic bacteria.
AB - Background: Fluorescent reporter proteins (FP) have become an indispensable tool
for the optimization of microbial cell factories and in synthetic biology per se.
The applicability of the currently available FPs is, however, constrained by
species-dependent performance and misfolding at elevated temperatures. To obtain
functional reporters for thermophilic, biotechnologically important bacteria such
as Parageobacillus thermoglucosidasius, an in vivo screening approach based on a
mutational library of superfolder GFP was applied. Results: Flow cytometry-based
benchmarking of a set of GFPs, sfGFPs and species-specific codon-optimized
variants revealed that none of the proteins was satisfyingly detectable in P.
thermoglucosidasius at its optimal growth temperature of 60 degrees C. An
undirected mutagenesis approach coupled to fluorescence-activated cell sorting
allowed the isolation of sfGFP variants that were extremely well expressed in the
chassis background at 60 degrees C. Notably, a few nucleotide substitutions,
including silent mutations, significantly improved the functionality and
brightness. The best mutant sfGFP(N39D/A179A) showed an 885-fold enhanced mean
fluorescence intensity (MFI) at 60 degrees C and is the most reliable reporter
protein with respect to cell-to-cell variation and signal intensity reported so
far. The in vitro spectral and thermostability properties were unaltered as
compared to the parental sfGFP protein, strongly indicating that the combination
of the amino acid exchange and an altered translation or folding speed, or
protection from degradation, contribute to the strongly improved in vivo
performance. Furthermore, sfGFP(N39D/A179A) and the newly developed cyan and
yellow derivatives were successfully used for labeling several industrially
relevant thermophilic bacilli, thus proving their broad applicability.
Conclusions: This study illustrates the power of in vivo isolation of
thermostable proteins to obtain reporters for highly efficient fluorescence
labeling. Successful expression in a variety of thermophilic bacteria proved that
the novel FPs are highly suitable for imaging and flow cytometry-based studies.
This enables a reliable cell tracking and single-cell-based real-time monitoring
of biological processes that are of industrial and biotechnological interest.
PMID- 29371885
TI - Working towards recalcitrance mechanisms: increased xylan and homogalacturonan
production by overexpression of GAlactUronosylTransferase12 (GAUT12) causes
increased recalcitrance and decreased growth in Populus.
AB - Background: The development of fast-growing hardwood trees as a source of
lignocellulosic biomass for biofuel and biomaterial production requires a
thorough understanding of the plant cell wall structure and function that
underlie the inherent recalcitrance properties of woody biomass. Downregulation
of GAUT12.1 in Populus deltoides was recently reported to result in improved
biomass saccharification, plant growth, and biomass yield. To further understand
GAUT12.1 function in biomass recalcitrance and plant growth, here we report the
effects of P. trichocarpa GAUT12.1 overexpression in P. deltoides. Results:
Increasing GAUT12.1 transcript expression by 7-49% in P. deltoides PtGAUT12.1
overexpression (OE) lines resulted in a nearly complete opposite biomass
saccharification and plant growth phenotype to that observed previously in
PdGAUT12.1-knockdown (KD) lines. This included significantly reduced glucose,
xylose, and total sugar release (12-13%), plant height (6-54%), stem diameter (8
40%), and overall total aerial biomass yield (48-61%) in 3-month-old, greenhouse
grown PtGAUT12.1-OE lines compared to controls. Total lignin content was
unaffected by the gene overexpression. Importantly, selected PtGAUT12.1-OE lines
retained the recalcitrance and growth phenotypes upon growth for 9 months in the
greenhouse and 2.8 years in the field. PtGAUT12.1-OE plants had significantly
smaller leaves with lower relative water content, and significantly reduced stem
wood xylem cell numbers and size. At the cell wall level, xylose and galacturonic
acid contents increased markedly in total cell walls as well as in soluble and
insoluble cell wall extracts, consistent with increased amounts of xylan and
homogalacturonan in the PtGAUT12.1-OE lines. This led to increased cell wall
recalcitrance, as manifested by the 9-15% reduced amounts of recovered
extractable wall materials and 8-15% greater amounts of final insoluble pellet in
the PtGAUT12.1-OE lines compared to controls. Conclusions: The combined phenotype
and chemotype data from P. deltoides PtGAUT12.1-OE and PdGAUT12.1-KD transgenics
clearly establish GAUT12.1 as a recalcitrance- and growth-associated gene in
poplar. Overall, the data support the hypothesis that GAUT12.1 synthesizes either
an HG-containing primer for xylan synthesis or an HG glycan required for proper
xylan deposition, anchoring, and/or architecture in the wall, and the possibility
of HG and xylan glycans being connected to each other by a base-sensitive
covalent linkage.
PMID- 29371886
TI - Laccase-derived lignin compounds boost cellulose oxidative enzymes AA9.
AB - Background: The discovery of lignin as activator for the redox enzyme lytic
polysaccharide monooxygenases (LPMOs) for the oxidation of cell-wall
polysaccharides opens a new scenario for investigation of the interplay between
different lignocellulose-degrading enzymes. The lignin-active enzymes in one
hand, and the carbohydrate active in the other, are linked through a variety of
electrons carrier molecules either derived from lignin or enzymatically
transferred. Likewise, in nature, many lignocellulose-degrading organisms are
expressing those enzymes simultaneously, and we wanted to test if a major
commercial available lignin oxidase enzyme, i.e., laccase could benefit and
synergize the activity of the LPMOs by depolymerizing the insoluble lignin.
Results: In this work, two fungal laccases together with a mediator (ABTS) were
used to isolate low-molecular-weight lignin from lignocellulosic biomass. The
isolated lignins were used as electron donors for activation of LPMOs. A direct
correlation between the low-molecular-weight lignin isolated with laccases and an
increased activity of a cellulolytic cocktail containing LPMO was found when pure
cellulose was hydrolyzed. We then tried to implement existing commercial
cellulases cocktail with laccase enzymes, but under the conditions tested, the co
incubation of laccases with LPMOs showed a substrate competition towards oxygen
inhibiting the LPMO. In addition, we found that laccase treatment may cause other
modifications to pure cellulose, rendering the material more recalcitrant for
enzymatic saccharification. Conclusions: Laccase-mediated system was able to
depolymerize lignin from pre-treated and native sugarcane bagasse and wheat
straw, and the released phenolic molecules were able to donate electrons to LPMO
enzymes boosting the overall enzymatic hydrolysis of cellulose. Likewise, other
poly-phenol oxidase, we might have just started showing possible pros or cons in
applying several oxidase enzymes for a simultaneous degradation of cellulose and
lignin, and we found that the competition towards oxygen and their different
consumption rates must be taken into account for any possible co-application.
PMID- 29371887
TI - Is cancer progression caused by gradual or simultaneous acquisitions of new
chromosomes?
AB - Background: Foulds defined, "Tumor progression (as a) permanent, irreversible
qualitative change in one or more of its characters" (Cancer Res. 1954).
Accordingly progressions, such as metastases and acquired drug-resistance, were
since found to be subspecies of cancers with conserved and numerous new
chromosomes. Here we ask whether cancers acquire numerous new chromosomes
gradually or simultaneously in progressions. The currently prevailing theory of
Nowell (Science, 1976) holds that unexplained "genetic instability" generates
"variant sublines (with) changes in chromosome number" and that "clonal"
progressions arise by "stepwise selection of more aggressive sublines". The
literature, however, contains many examples of "immediate" selections of
progressions with numerous new chromosomes - notably experimentally initiated
fusions between cancers and heterologous cells. Furthermore, the stepwise
progression theory predicts intermediate sublines of cancers with multiple non
clonal additions of new chromosomes. However, the literature does not describe
such intermediates. Results: In view of these inconsistencies with stepwise
progression we test here a saltational theory, in which the inherent variability
of cancer-specific aneuploidy generates "immediate" progressions with individual
clonal karyotypes, transcriptomes and phenotypes in single steps. Using cell
fusion as an established controllable model of "immediate" progression, we
generated seven immortal murine hybridomas by fusing immortal murine myeloma
cells and normal antibody-producing B-cells with polyethylene glycol within a few
minutes. These immortal hybridomas contained individual sets of 71 to 105 clonal
chromosomes, compared to the 52 chromosomes of the parental myeloma. Thus the
myeloma had gained 19 to 53 new clonal chromosomes in seven individual hybridomas
in a single step. Furthermore, no stable intermediates were found, as would be
predicted by a saltational process. Conclusions: We conclude that random fusions
between myelomas and normal B-cells generate clonal hybridomas with multiple,
individual chromosomes in single steps. Similar single-step mechanisms may also
generate the "late" clonal progressions of cancers with gains of numerous new
chromosomes and thus explain the absence of intermediates. Latency would reflect
the low probability of rare stochastic progressions. In conclusion, the
karyotypic clonality of hybridomas and spontaneous progressions suggests
karyotypic alterations as proximate causes of neoplastic progressions. Since
cancer-specific aneuploidy catalyzes karyotypic variation, the degree of
aneuploidy predicts the clinical risk of neoplastic progression, confirming
classical predictions based on DNA content.
PMID- 29371888
TI - Identification of genomic copy number variations associated with specific
clinical features of head and neck cancer.
AB - Background: Copy number variations (CNSs) of large genomic regions are an
important mechanism implicated in the development of head and neck cancer,
however, for most changes their exact role is not well understood. The aim of
this study was to find possible associations between gains/losses of genomic
regions and clinically distinct subgroups of head and neck cancer patients.
Results: Array comparative genomic hybridization (aCGH) analysis was performed on
DNA samples in 64 patients with cancer in oral cavity, oropharynx or hypopharynx.
Overlapping genomic regions created from gains and losses were used for
statistical analysis. Following regions were overrepresented: in tumors with
stage I or II a gain of 2.98 Mb on 6p21.2-p11 and a gain of 7.4 Mb on 8q11.1
q11.23; in tumors with grade I histology a gain of 1.1 Mb on 8q24.13, a loss of a
large part of p arm of chromosome 3, a loss of a 1.24 Mb on 6q14.3, and a loss of
terminal 32 Mb region of 8p23.3; in cases with affected lymph nodes a gain of
0.75 Mb on 3q24, and a gain of 0.9 Mb on 3q26.32-q26.33; in cases with unaffected
lymph nodes a gain of 1.1 Mb on 8q23.3, in patients not treated with surgery a
gain of 12.2 Mb on 7q21.3-q22.3 and a gain of 0.33 Mb on 20q11.22. Conclusions:
Our study identified several genomic regions of interest which appear to be
associated with various clinically distinct subgroups of head and neck cancer.
They represent a potentially important source of biomarkers useful for the
clinical management of head and neck cancer. In particular, the PIK3CA and AGTR1
genes could be singled out to predict the lymph node involvement.
PMID- 29371889
TI - Cytogenetic/mutation profile of chronic lymphocytic leukemia/malignant melanoma
collision tumors of the skin.
AB - Background: Collision tumors are rare entities that consist of two histologically
distinct tumor types arising in the same anatomic site. An association between
chronic lymphocytic leukemia (CLL) and malignant melanoma (MM) has been already
described. Up to now, they have been documented only at positive regional lymph
nodes while we focused on collision tumor in a skin lesion. Case presentation: We
characterized the genomic profile of a skin CLL/MM collision tumor in a patient
with a 9-years story of CLL. Typical high-grade genomic biomarkers featured the
CLL: the immunoglobulin heavy variable genes were unmutated; a clonal del(11q),
involving ATM and BIRC3, was present in the peripheral blood (PB) and skin
lesion, while a subclonal large del(13q)/D13S319-RB1 was detected only in the PB.
Interestingly, the del(13q) clone, increased from 10% to 46% from diagnosis to
relapse. NOTCH1, SF3B1, and TP53 were wild type. The MM lesion carried a
BRAFV600E and a TERT promoter mutation.As the family story was consistent with a
genetic predisposition to cancer, we performed mutational analysis of genes
involved in familial melanoma and CLL, and of BRCA1 and BRCA2. No germinal
mutation known to predispose to CLL, MM, or breast cancer was found.
Interestingly, conventional cytogenetic detected a constitutional
t(12;17)(p13;p13). Conclusions: Our data are consistent with distinct genetic
landscape of the two tumors which were characterized by specific disease-related
abnormalities. CLL cells carried poor prognostic imbalances, i.e. large deletions
of the long arm of chromosomes 11 and 13, while in MM cells two functionally
linked mutations, i.e. BRAFV600E and a TERT promoter occurred. Although, known
germline variations predisposing to MM and/or CLL were ruled out, genetic
counseling suggested the proband family was at high risk for MM.
PMID- 29371891
TI - Characterization of a functional insertion sequence ISSau2 from Staphylococcus
aureus.
AB - Background: ISSau2 has been suggested as a member of the IS150 f subgroup in the
IS3 family. It encodes a fusion transposase OrfAB produced by programmed - 1
translational frameshifting with two overlapping reading frames orfA and orfB. To
better characterize ISSau2, the binding and cleaving activities of the ISSau2
transposase and its transposition frequency were studied. Results: The purified
ISSau2 transposase OrfAB was a functional protein in vitro since it bound
specifically to ISSau2 terminal inverted repeat sequences (IRs) and cleaved the
transposon ends at the artificial mini-transposon pUC19-IRL-gfp-IRR. In addition,
the transposition frequency of ISSau2 in vivo was approximately 1.76 +/- 0.13 *
10- 3, based on a GFP hop-on assay. Furthermore, OrfB cleaved IRs with the
similar catalytic activity of OrfAB, while OrfA had no catalytic activity.
Finally, either OrfA or OrfB significantly reduced the transposition of ISSau2
induced by OrfAB. Conclusion: We have confirmed that ISSau2 is a member of
IS150/IS3 family. The ISSau2 transposase OrfAB could bind to and cleave the
specific fragments containing the terminal inverted repeat sequences and induce
the transposition, suggesting that ISSau2 is at least partially functional.
Meanwhile, both OrfA and OrfB inhibited the transposition by ISSau2. Our results
will help understand biological roles of ISSau2 in its host S. aureus.
PMID- 29371890
TI - Diabetic Foot Australia guideline on footwear for people with diabetes.
AB - Background: The aim of this paper was to create an updated Australian guideline
on footwear for people with diabetes. Methods: We reviewed new footwear
publications, (inter)national guidelines, and consensus expert opinion alongside
the 2013 Australian footwear guideline to formulate updated recommendations.
Result: We recommend health professionals managing people with diabetes should:
(1) Advise people with diabetes to wear footwear that fits, protects and
accommodates the shape of their feet. (2) Advise people with diabetes to always
wear socks within their footwear, in order to reduce shear and friction. (3)
Educate people with diabetes, their relatives and caregivers on the importance of
wearing appropriate footwear to prevent foot ulceration. (4) Instruct people with
diabetes at intermediate- or high-risk of foot ulceration to obtain footwear from
an appropriately trained professional to ensure it fits, protects and
accommodates the shape of their feet. (5) Motivate people with diabetes at
intermediate- or high-risk of foot ulceration to wear their footwear at all
times, both indoors and outdoors. (6) Motivate people with diabetes at
intermediate- or high-risk of foot ulceration (or their relatives and caregivers)
to check their footwear, each time before wearing, to ensure that there are no
foreign objects in, or penetrating, the footwear; and check their feet, each time
their footwear is removed, to ensure there are no signs of abnormal pressure,
trauma or ulceration. (7) For people with a foot deformity or pre-ulcerative
lesion, consider prescribing medical grade footwear, which may include custom
made in-shoe orthoses or insoles. (8) For people with a healed plantar foot
ulcer, prescribe medical grade footwear with custom-made in-shoe orthoses or
insoles with a demonstrated plantar pressure relieving effect at high-risk areas.
(9) Review prescribed footwear every three months to ensure it still fits
adequately, protects, and supports the foot. (10) For people with a plantar
diabetic foot ulcer, footwear is not specifically recommended for treatment;
prescribe appropriate offloading devices to heal these ulcers. Conclusions: This
guideline contains 10 key recommendations to guide health professionals in
selecting the most appropriate footwear to meet the specific foot risk needs of
an individual with diabetes.
PMID- 29371892
TI - Hearing Loss in the Elderly: Is the Hearing Handicap Inventory for the Elderly -
Screening Version Effective in Diagnosis When Compared to the Audiometric Test?
AB - Introduction Hearing losses inherent to the natural process of aging represent
today a major public health issue, despite the little attention that their
adequate care still receives. Early recognition and proper management of these
shortcomings can significantly improve hearing, as well as the patient's general
quality of life, reducing the overall impact of this important and prevalent
condition of the aging process. Objective The aim of this research was to
evaluate the accuracy of the Hearing Handicap Inventory for the Elderly -
Screening Version (HHIE-S) in the diagnosis of hearing loss in the elderly when
compared with the audiometric test. Methods Through a cross-sectional study, our
target population was composed of 138 individuals, aged over 60 and with any
otorhinolaryngological complaints, recruited at the Clinic of Otorhinolaryngology
and Speech Therapy of the Faculdade de Medicina de Marilia (Famema), in the city
of Marilia, SP, Brazil. Patients already in the process of auditory
rehabilitation were excluded, as well as those who did not demonstrate the
minimum level of oral understanding necessary to allow the interview. Results
The prevalence of hearing loss according to the questionnaire was of 76.1%, while
audiometry showed 79.7%. We found the diagnostic accuracy of the instrument to be
of 86.2%, with a sensitivity of 89.1% and a specificity of 75.0%, regardless of
gender. Conclusion Thereby, we conclude that the standardized questionnaire
under rating is suitable for the screening of hearing loss in the elderly, given
its high accuracy and user-friendly quality.
PMID- 29371893
TI - Evaluation of Dizziness Handicap in Adolescents and Adults with Auditory
Neuropathy Spectrum Disorder.
AB - Introduction Vestibular symptoms and damage to the vestibular branch of the
eighth cranial nerve is reported in individuals with auditory neuropathy spectrum
disorder (ANSD). However, the real life handicap caused by these vestibular
problems in individuals with ANSD is not studied. Objective The present study
attempted to evaluate the dizziness-related handicap in adolescents and adults
with ANSD. Method The dizziness handicap inventory (DHI) was administered to 40
adolescents and adults diagnosed with ANSD. The study also attempted to determine
if there is any gender effect on DHI scores and its correlation to the reported
onset of hearing loss. Results The results of the study showed that adolescents
and adults with ANSD had a moderate degree of dizziness-related handicap. The
dizziness affected their quality of life, causing emotional problems. There was
no gender effect, and the level of the handicap was greater in the cases in which
the onset of the hearing loss was reported soon after the diagnosis of ANSD.
There could be a vestibular compensation that could have resulted in a reduction
in symptoms in individuals in whom the onset of the hearing loss was reported
later on. Conclusion Thus, a detailed assessment of vestibular problems and
their impact on quality of life is essential in adolescents and adults with ANSD.
Appropriate management strategies should be considered to resolve their
vestibular problems and improve their quality of life.
PMID- 29371894
TI - The Effect of the Cholesterol Levels on Noise-Induced Hearing Loss.
AB - Introduction Noise-induced hearing loss (NIHL), which is one of the most common
occupational diseases among industrialized populations, is associated with
longstanding exposure to high levels of noise. The pathogenesis of NIHL is not
clear, but some genes and their activity at the tissue level have been
investigated. Hypercholesterolemia, which can disturb the microcirculation, can
be one of the underlying pathologies in hearing loss. Objective To investigate
the relationship between NIHL and hypercholesterolemia. Methods The study group
was selected among workers who had an occupational exposure of 85 dB of noise for
at least 10 years. The audiologic assessment was recorded at seven frequencies
(500 Hz, 1,000 Hz, 2,000 Hz, 3,000 Hz, 4,000 Hz, 6,000 Hz and 8,000 Hz). A total
of 456 workers were included in the study and divided into two groups: the
control group (252 patients) and the NIHL group (204 patients). After the
audiologic measurement, blood samples were taken and investigated for blood
cholesterol levels. According to these results, the groups were compared. Results
Both groups were similarly distributed regarding age and occupational exposure
time ( p > 0.05). We could not detect any association between cholesterol levels
and noise-induced hearing loss ( p < 0.05). According to logistic regression
analyses, the odds ratios are not significant for both hypercholesterolemia and
hypertriglyceridemia ( p > 0.05). Conclusion Noise-induced hearing loss is
still a common occupational problem that can be prevented by hearing conservation
programs and occupational health and safety training. Still, we know little about
the relationship between NIHL and hypercholesterolemia. According to our
findings, we cannot detect any relationship. Controlled studies and studies with
human individuals can be made possible in the future with diagnostic innovations
in tissue imaging and tissue microcircular sampling.
PMID- 29371895
TI - Computerized Auditory Training in Students: Electrophysiological and Subjective
Analysis of Therapeutic Effectiveness.
AB - Introduction Computerized auditory training (CAT) has been building a good
reputation in the stimulation of auditory abilities in cases of auditory
processing disorder (APD). Objective To measure the effects of CAT in students
with APD, with typical or atypical phonological acquisition, through
electrophysiological and subjective measures, correlating them pre- and post
therapy. Methods The sample for this study includes14 children with APD,
subdivided into children with APD and typical phonological acquisition (G1), and
children with APD and atypical phonological acquisition (G2). Phonological
evaluation of children (PEC), long latency auditory evoked potential (LLAEP) and
scale of auditory behaviors (SAB) were conducted to help with the composition of
the groups and with the therapeutic intervention. The therapeutic intervention
was performed using the software Escuta Ativa (CTS Informatica, Pato Branco,
Brazil) in 12 sessions of 30 minutes, twice a week. For data analysis, the
appropriate statistical tests were used. Results A decrease in the latency of
negative wave N2 and the positive wave P3 in the left ear in G1, and a decrease
of P2 in the right ear in G2 were observed. In the analysis comparing the pre-
and post-CAT groups, there was a significant difference in P1 latency in the left
ear and P2 latency in the right ear, pre-intervention. Furthermore, eight
children had an absence of the P3 wave, pre-CAT, but after the intervention, all
of them presented the P3 wave. There were changes in the SAB score pre- and post
CAT in both groups. The presence of correlation between the scale and some LLAEP
components was observed. Conclusion The CAT produced an electrophysiological
modification, which became evident in the effects of the effects of neural
plasticity after CAT. The SAB proved to be useful in measuring the therapeutic
effects of the intervention. Moreover, there were behavioral changes in the SAB
(higher scores) and correlation with LLAEP.
PMID- 29371896
TI - The Effects of Prognostic Factors in Idiopathic Sudden Hearing Loss.
AB - Introduction Sudden hearing loss is one of the otologic emergencies. The
treatment of this disease is affected negatively by some prognostic factors.
Objective In this study, the effects of early treatment initiation in patients
with idiopathic sudden hearing loss and of prognostic factors in early treated
patients were investigated. Methods Out of the 216 patients admitted between
September 2007 and September 2015, 154 were identified as having idiopathic
sudden hearing loss; they were followed-up for a mean time of 7.4 months, and
evaluated retrospectively. The effects of several parameters on the success of
the treatment were statistically evaluated, such as the time the treatment was
initiated, being of the female gender, the severity of the hearing loss, having
descending type audiogram patterns, being older than 60 years old, and the co
presence of vertigo. Results Success rates were found to be significantly higher
in idiopathic hearing loss patients that were admitted within the first week ( p
< 0.05) of the onset of the hearing loss. However, the outcomes were found to be
similar when patients admitted within the first 3 days and 4-7 days after the
occurrence of the hearing loss were compared ( p > 0.05). Parameters such as
female gender, severe hearing loss, descending type audiogram, being older than
60 years old, and co-presence of vertigo didn't reveal statistically significant
effects on the outcome ( p >0.05). Conclusion The aforementioned prognostic
factors, which are well-known in the literature, did not have significant effects
when the idiopathic sudden hearing loss treatment was initiated within the first
7 days of the onset of the hearing loss.
PMID- 29371897
TI - Does Body Mass Index Interfere in the Formation of Speech Formants?
AB - Introduction Studies in the fields of voice and speech have increasingly focused
on the vocal tract and the importance of its structural integrity, and changes in
the anatomy and configuration of the vocal tract determine the variations in
phonatory and acoustic measurements, especially in the formation of the formants
(Fs). Recent studies have revealed the functional consequences arising from being
overweight and having an accumulation of fat in the pharyngeal region, including
obstructive sleep apnea syndrome (OSAS) and impacts on the voice. Objectives To
assess the relationship between body mass index (BMI) and analysis of the speech.
Methods This study was approved by the Ethics Committee of the Universidade
Federal de Sao Paulo (no. 288,430). The cohort consisted of 124 individuals aged
between 18 and 45 with full permanent dentition and selected randomly. The
participants underwent a brief medical history taking, BMI assessments and
recording emissions of the sustained vowels /a/, /epsilon/, /i/, and /u/ by
acoustic program PRAAT (v. 5.3.85, Boersma and Weenink, Amsterdam, Netherlands).
Recordings were taken using a unidirectional microphone headset (model Karsect HT
9, Guangdong, China), with a condenser connected to an external sound card (USB
SA 2.0, model Andrea, PureAudioTM, Pleasant Grove, UT, USA), to reduce noise.
Results There was a significant correlation between BMI and formant 3 (F3) vowel
/a/; however, there was a low degree of correlation intensity. Conclusions We
did not observe a correlation between the BMI and the speech formants, but we
believe there is a trend in this correlation that leads to changes in speech
patterns with increases in BMI.
PMID- 29371898
TI - Microbiology of Tracheal Secretions: What to Expect with Children and Adolescents
with Tracheostomies.
AB - Introduction People with tracheostomies exhibit a higher risk of colonization of
the lower respiratory tract, acute tracheitis and pneumonia. Despite this, the
culture of tracheal secretions is not a routine in most hospitals, and sometimes
empiric therapy is based on personal experience, which is not an ideal situation.
Objective To recognize the pathogens present in the tracheal secretions
collected from people up to 18 years old with tracheostomies. Methods
Prospective evaluation of patients under the age of 18 of a tertiary care
hospital. A standardized questionnaire was completed, and tracheal secretion
aspirates were sent for microbiological cultures and antibiograms. Results
Twenty patients under 18 years of age were evaluated, 65% of whom were male. The
microbiological culture was positive in 90% of the patients, and the most common
microorganisms found were Pseudomonas aeruginosa (55.5%) and Staphylococcus
aureus (27.7%). Discussion Tracheostomized children and adolescents have
respiratory tracts colonized by pathogens, the most common of which is
Pseudomonas aeruginosa . These patients must undergo tracheal secretion cultures,
whether they present symptoms or not, to determine if there is a correlation
between the colonization and the infections. This finding could guide the
adequate treatment, avoiding the inappropriate use of antibiotics and indicating
the better therapy in cases of laryngeal reconstruction. Conclusion In this
sample, the culture of tracheal secretions was mainly positive, and the most
common agent was P. aeruginosa . We suggest the routine access to Brazilian
children and adolescents tracheal secretion cultures, which could help to make a
profile of these children and guide the use of antibiotics.
PMID- 29371899
TI - Adenotonsillar Hypertrophy in Pre-School Children with Sickle Cell Disease and
Diagnostic Accuracy of the Sleep Disturbance Scale for Children.
AB - Introduction Adenotonsillar hypertrophy is more common in children with sickle
cell disease, and can lead to sleep-disordered breathing. Objectives To
determine the frequency of adenotonsillar hypertrophy in pre-school children with
sickle cell disease and assess the diagnostic accuracy of the sleep-disordered
breathing subscale in the Sleep Disturbance Scale for Children. Method
Observational study with a group of 48 children with sickle cell disease and a
control group of 35 children without the disease. The children underwent
oropharingoscopy and video nasal endoscopy. The parents and/or guardians answered
the questions of the subscale. Results Adenotonsillar hypertrophy was observed
in 25% of the children in the study group, and in 20% of the children in the
control group, with no statistical difference between the groups. The subscale
score ranged from 3 to 11 in both groups. There was a statistical significance in
the study group. The average was 4.79 (standard deviation [SD] +/- 2.50), with
4.19 (SD +/- 1.72) among the children without adenotonsillar hypertrophy, and 6.5
(SD +/- 3.40) among the children with adenotonsillar hypertrophy. There was also
a statistical significance in the control group. The average was 5.23 (SD +/-
2.81), with 4.44 (SD +/- 2.2) among the children without adenotonsillar
hypertrophy, and 7.87 (SD +/- 2.89) among the children with adenotonsillar
hypertrophy. Conclusion Adenotonsillar hypertrophy was not associated with
sickle cell disease in pre-school children. The subscale of sleep-disordered
breathing in the Sleep Disturbance Scale for Children was a useful tool for the
diagnostic suspicion of adenotonsillar hypertrophy in children in this age group.
PMID- 29371900
TI - Development and Evaluation of a Blog about Cleft Lip and Cleft Palate and
Hearing.
AB - Introduction Cleft lip and cleft palate can result in impairments in
communication, specifically in hearing, making the use of technological resources
such as blogs a fundamental guideline for health professionals. Objective The
aim of this study was to prepare and analyze the access to a blog about cleft lip
and cleft palate and hearing as a pedagogical tool for health professionals.
Methods The first stage for the development of the blog was the selection of the
content that would be addressed and the respective illustrations. The second
stage was making the blog available through the WordPress platform, and the third
stage included the evaluation of the blog, of the access to the WordPress
statistical features, and of the quality of the blog through the Emory
questionnaire, which was answered by 75 professionals. Results The blog, titled
"Fissure and Hearing", was developed with the architecture of a digital
information environment containing a system of organization, navigation, labeling
and search (first stage). The address hosting the blog was:
http://fissuraeaudicao.wordpress.com (second stage). The result of the third
stage included 56,269 views of the blog from different countries, and Brazil was
the country with the highest viewing. Regarding the assessment by the Emory
questionnaire, we found that for most of the major issues, the percentages
obtained were or equal to 90%, while the analysis of the scales, navigation and
structure presented the lowest scores. Conclusion The blog was developed and
enabled greater access to information available on the web about cleft lip and
cleft palate and hearing.
PMID- 29371901
TI - Modified In-Office Maxillary Balloon Sinus Dilation for Post-Procedure Sinus
Monitoring and Access.
AB - Introduction As experience grows with in-office balloon sinus dilation (BSD)
procedures, technique modifications will evolve to meet specific needs. The major
disadvantage with the maxillary BSD procedure is that the intact uncinate process
prevents visualization of and instrument access into the maxillary sinus both
intraoperatively and postoperatively. Combining a partial or complete uncinectomy
procedure with maxillary BSD could be beneficial in selected patients with
certain maxillary sinus problems. Objective The primary objective of this study
was to see if, in selected patients, combining an uncinectomy and maxillary sinus
BSD together allowed visualization of and access to the maxillary sinus cavity at
the time of the procedure and at follow-up visits. Method A chart review was
completed for BSD cases performed from 2013 through mid-2015 identifying patients
who underwent partial or complete uncinectomy with in-office maxillary sinus BSD.
A total of 14 patients were identified, with 26 sinuses treated. The data
collected included: difficulty or problems in performing an uncinectomy with the
maxillary sinus BSD; visualization and access to the maxillary sinus cavity both
intraoperatively and at follow-up visits; and occurrence of complications or late
adverse sequelae. All patients completed a minimum 6-month follow-up. Results
Combined uncinectomy and maxillary sinus BSD procedures were easily completed for
all patients without complications, and no late adverse sequelae were
encountered. The maxillary sinuses could be visualized and accessed, if needed,
intraoperatively and at all follow-up visits. Conclusions In selected subsets of
maxillary sinus conditions this procedure modification can provide significant
benefits. A case is presented for illustration.
PMID- 29371902
TI - Tomographical Findings in Adult Patients Undergoing Endoscopic Sinus Surgery
Revision.
AB - Introduction Many patients undergoing functional endoscopic sinus surgery still
have an uncontrolled clinical disease in the late post-operative period. Up to
11.4% of the patients will require a revision surgery. Findings such as the
residual uncinated process and the lateralization of the middle turbinate were
considered by some studies as being responsible for failure in the primary
surgery. Objectives To describe the tomographical findings in adult patients
undergoing revision endoscopic sinus surgery, the profile of those patients, and
verify the mucosal thickening level of the paranasal sinus. Methods Data were
collected from medical records and computed tomography reports of 28 patients
undergoing revision sinus surgery on a private service in the city of Blumenau
between 2007 and 2014. The score of Lund-Mackay was used to verify the mucosal
thickening level. Results Among the 28 patients, 23 were reoperated once, 3 were
reoperated twice, and 2 were reoperated 3 times. The most relevant findings were
mucosal thickening of the maxillary sinus (89.28%), deviated septum (75%),
thickening of the ethmoid (50%) and sphenoidal sinuses (39.28%), and
pneumatization of the middle turbinate (39.28%). The average obtained in the Lund
Mackay score was 5.71, with most patients classified in the lower range of
punctuation. Conclusion The analysis of the computed tomography scans showed
persistent structures that may be responsible for the failure of the primary
surgery. Computed tomography is a useful tool to plan the surgery and quantify
the post-operative success.
PMID- 29371903
TI - Tobacco Influence on Taste and Smell: Systematic Review of the Literature.
AB - Introduction In Brazil, estimates show that 14.7% of the adult population
smokes, and changes in smell and taste arising from tobacco consumption are
largely present in this population, which is an aggravating factor to these
dysfunctions. Objectives The objective of this study is to systematically review
the findings in the literature about the influence of smoking on smell and taste.
Data Synthesis Our research covered articles published from January 1980 to
August 2014 in the following databases: MEDLINE (accessed through PubMed),
LILACS, Cochrane Library, and SciELO. We conducted separate lines of research:
one concerning smell and the other, taste. We analyzed all the articles that
presented randomized controlled studies involving the relation between smoking
and smell and taste. Articles that presented unclear methodologies and those
whose main results did not target the smell or taste of the subjects were
excluded. Titles and abstracts of the articles identified by the research
strategy were evaluated by researchers. We included four studies, two of which
were exclusively about smell: the first noted the relation between the perception
of puff strength and nicotine content; the second did not find any differences in
the thresholds and discriminative capacity between smokers and nonsmokers. One
article considered only taste and supports the relation between smoking and
flavor, another considered both sensory modalities and observes positive results
toward the relation immediately after smoking cessation. Conclusion Three of the
four studies presented positive results for the researched variables.
PMID- 29371904
TI - Computer-Based Auditory Training Programs for Children with Hearing Impairment -
A Scoping Review.
AB - Introduction Communication breakdown, a consequence of hearing impairment (HI),
is being fought by fitting amplification devices and providing auditory training
since the inception of audiology. The advances in both audiology and
rehabilitation programs have led to the advent of computer-based auditory
training programs (CBATPs). Objective To review the existing literature
documenting the evidence-based CBATPs for children with HIs. Since there was only
one such article, we also chose to review the commercially available CBATPs for
children with HI. The strengths and weaknesses of the existing literature were
reviewed in order to improve further researches. Data Synthesis Google Scholar
and PubMed databases were searched using various combinations of keywords. The
participant, intervention, control, outcome and study design (PICOS) criteria
were used for the inclusion of articles. Out of 124 article abstracts reviewed, 5
studies were shortlisted for detailed reading. One among them satisfied all the
criteria, and was taken for review. The commercially available programs were
chosen based on an extensive search in Google. The reviewed article was well
structured, with appropriate outcomes. The commercially available programs cover
many aspects of the auditory training through a wide range of stimuli and
activities. Conclusions There is a dire need for extensive research to be
performed in the field of CBATPs to establish their efficacy, also to establish
them as evidence-based practices.
PMID- 29371905
TI - Clinical Features and Treatment of Fibrous Histiocytomas of the Tongue: A
Systematic Review.
AB - Introduction Benign fibrous histiocytomas are common lesions of the skin that
rarely affect the tongue. Such cases are available in the literature exclusively
as case reports. Similarly, malignant fibrous histiocytoma, now classified as
undifferentiated pleomorphic sarcoma, is exceedingly rare in the tongue and not
fully understood. Objectives This study systematically reviews the available
literature discussing the clinical and pathological features of malignant and
benign fibrous histiocytomas. Data Synthesis A total of 20 cases were included
in this review. Patient-level data were extracted from cases to include clinical
presentation, workup, treatment, and outcome. Conclusion Benign fibrous
histiocytomas are consistent in clinical and histopathologic presentation.
Surgical treatment provides excellent outcome, with no recurrence in all excised
cases. Malignant tumors have a more aggressive clinical and pathological
presentation. Surgical treatment with possible adjuvant radiotherapy resulted in
recurrence in 40% of cases (follow-up of 24 months), and death due to disease in
47% of patients (follow-up of 19 months).
PMID- 29371907
TI - Nanostructure design for drastic reduction of thermal conductivity while
preserving high electrical conductivity.
AB - The design and fabrication of nanostructured materials to control both thermal
and electrical properties are demonstrated for high-performance thermoelectric
conversion. We have focused on silicon (Si) because it is an environmentally
friendly and ubiquitous element. High bulk thermal conductivity of Si limits its
potential as a thermoelectric material. The thermal conductivity of Si has been
reduced by introducing grains, or wires, yet a further reduction is required
while retaining a high electrical conductivity. We have designed two different
nanostructures for this purpose. One structure is connected Si nanodots (NDs)
with the same crystal orientation. The phonons scattering at the interfaces of
these NDs occurred and it depended on the ND size. As a result of phonon
scattering, the thermal conductivity of this nanostructured material was
below/close to the amorphous limit. The other structure is Si films containing
epitaxially grown Ge NDs. The Si layer imparted high electrical conductivity,
while the Ge NDs served as phonon scattering bodies reducing thermal conductivity
drastically. This work gives a methodology for the independent control of
electron and phonon transport using nanostructured materials. This can bring the
realization of thermoelectric Si-based materials that are compatible with large
scale integrated circuit processing technologies.
PMID- 29371906
TI - Profile of epigenetic mechanisms in lung tumors of patients with underlying
chronic respiratory conditions.
AB - Background: Chronic lung diseases such as chronic obstructive pulmonary disease
(COPD) and epigenetic events underlie lung cancer (LC) development. The study
objective was that lung tumor expression levels of specific microRNAs and their
downstream biomarkers may be differentially regulated in patients with and
without COPD. Methods: In lung specimens (tumor and non-tumor), microRNAs known
to be involved in lung tumorigenesis (miR-21, miR-200b, miR-126, miR-451, miR
210, miR-let7c, miR-30a-30p, miR-155 and miR-let7a, qRT-PCR), DNA methylation,
and downstream biomarkers were determined (qRT-PCR and immunoblotting) in 40
patients with LC (prospective study, subdivided into LC-COPD and LC, N =
20/group). Results: Expression of miR-21, miR-200b, miR-210, and miR-let7c and
DNA methylation were greater in lung tumor specimens of LC-COPD than of LC
patients. Expression of downstream markers PTEN, MARCKs, TPM-1, PDCD4, SPRY-2,
ETS-1, ZEB-2, FGFRL-1, EFNA-3, and k-RAS together with P53 were selectively
downregulated in tumor samples of LC-COPD patients. In these patients, tumor
expression of miR-126 and miR-451 and that of the biomarkers PTEN, MARCKs, FGFRL
1, SNAIL-1, P63, and k-RAS were reduced. Conclusions: Biomarkers of mechanisms
involved in tumor growth, angiogenesis, migration, and apoptosis were
differentially expressed in tumors of patients with underlying respiratory
disease. These findings shed light into the underlying biology of the reported
greater risk to develop LC seen in patients with chronic respiratory conditions.
The presence of an underlying respiratory disease should be identified in all
patients with LC as the differential biological profile may help determine tumor
progression and the therapeutic response. Additionally, epigenetic events offer a
niche for pharmacological therapeutic targets.
PMID- 29371908
TI - Genetic variants of prospectively demonstrated phenocopies in BRCA1/2 kindreds.
AB - Background: In kindreds carrying path_BRCA1/2 variants, some women in these
families will develop cancer despite testing negative for the family's pathogenic
variant. These families may have additional genetic variants, which not only may
increase the susceptibility of the families' path_BRCA1/2, but also be capable of
causing cancer in the absence of the path_BRCA1/2 variants. We aimed to identify
novel genetic variants in prospectively detected breast cancer (BC) or
gynecological cancer cases tested negative for their families' pathogenic BRCA1/2
variant (path_BRCA1 or path_BRCA2). Methods: Women with BC or gynecological
cancer who had tested negative for path_BRCA1 or path_BRCA2 variants were
included. Forty-four cancer susceptibility genes were screened for genetic
variation through a targeted amplicon-based sequencing assay. Protein- and RNA
splicing-dedicated in silico analyses were performed for all variants of unknown
significance (VUS). Variants predicted as the ones most likely affecting pre-mRNA
splicing were experimentally analyzed in a minigene assay. Results: We identified
48 women who were tested negative for their family's path_BRCA1 (n = 13) or
path_BRCA2 (n = 35) variants. Pathogenic variants in the ATM, BRCA2, MSH6 and
MUTYH genes were found in 10% (5/48) of the cases, of whom 15% (2/13) were from
path_BRCA1 and 9% (3/35) from path_BRCA2 families. Out of the 26 unique VUS, 3
(12%) were predicted to affect RNA splicing (APC c.721G > A, MAP3K1 c.764A > G
and MSH2 c.815C > T). However, by using a minigene, assay we here show that APC
c.721G > A does not cause a splicing defect, similarly to what has been recently
reported for the MAP3K1 c.764A > G. The MSH2 c.815C > T was previously described
as causing partial exon skipping and it was identified in this work together with
the path_BRCA2 c.9382C > T (p.R3128X). Conclusion: All women in breast or
breast/ovarian cancer kindreds would benefit from being offered genetic testing
irrespective of which causative genetic variants have been demonstrated in their
relatives.
PMID- 29371909
TI - Persistence and Fadeout in the Impacts of Child and Adolescent Interventions.
AB - Many interventions targeting cognitive skills or socioemotional skills and
behaviors demonstrate initially promising but then quickly disappearing impacts.
Our paper seeks to identify the key features of interventions, as well as the
characteristics and environments of the children and adolescents who participate
in them, that can be expected to sustain persistently beneficial program impacts.
We describe three such processes: skill-building, foot-in-the-door and sustaining
environments. We argue that skill-building interventions should target "trifecta"
skills - ones that are malleable, fundamental, and would not have developed
eventually in the absence of the intervention. Successful foot-in-the-door
interventions equip a child with the right skills or capacities at the right time
to avoid imminent risks (e.g., grade failure or teen drinking) or seize emerging
opportunities (e.g., entry into honors classes). The sustaining environments
perspective views high quality of environments subsequent to the completion of
the intervention as crucial for sustaining early skill gains. These three
perspectives generate both complementary and competing hypotheses regarding the
nature, timing and targeting of interventions that generate enduring impacts.
PMID- 29371910
TI - Draft genome sequence of Streptomyces hyaluromycini MB-PO13T, a hyaluromycin
producer.
AB - Streptomyces hyaluromycini MB-PO13T (=NBRC 110483T = DSM 100105T) is type strain
of the species, which produces a hyaluronidase inhibitor, hyaluromycin. Here, we
report the draft genome sequence of this strain together with features of the
organism and generation, annotation and analysis of the genome sequence. The 11.5
Mb genome of Streptomyces hyaluromycini MB-PO13T encoded 10,098 putative ORFs, of
which 5317 were assigned with COG categories. The genome harbored at least six
type I PKS clusters, three type II PKS gene clusters, two type III PKS gene
clusters, six NRPS gene clusters, and one hybrid PKS/NRPS gene cluster. The type
II PKS gene cluster including 2-amino-3-hydroxycyclopent-2-enone synthetic genes
was identified to be responsible for hyaluromycin synthesis. We propose the
biosynthetic pathway based on bioinformatic analysis.
PMID- 29371911
TI - TLR signaling inhibitor, phenylmethimazole, in combination with tamoxifen
inhibits human breast cancer cell viability and migration.
AB - Heightened co-expression and dysregulated signaling associated with Toll-like
receptor 3 (TLR3) and Wnt5a is an integral component of solid tumors and
hematological malignancies. Our previous findings in pancreatic cancer and
melanoma suggest that inhibition of these pathways by a TLR3 signaling inhibitor,
phenylmethimazole (C10), results in significantly decreased IL-6 levels, STAT3
phosphorylation, minimal cancer cell migration and reduced cancer cell growth in
vitro and in vivo. In this study, we extended our earlier observations by
performing studies in human breast cancer cells. We found that human MCF-7 breast
cancer cells express high basal levels of TLR3 and Wnt5a RNA. C10 treatment
resulted in significantly decreased TLR3 and Wnt5a expression levels. This
functionally translated into significantly reduced IL-6 levels and STAT3
phosphorylation in vitro. In addition, the inhibition of this signaling cascade
by C10 further resulted in decreased cell viability and migration of MCF-7 cells.
Strikingly, the combination of C10 and tamoxifen, the standard of care therapy
for breast cancer, further decrease cancer cell growth better than either agent
alone. These data support the novel finding that inhibition of TLR3 signaling in
combination with tamoxifen, may increase the effectiveness of current treatments
of breast cancer.
PMID- 29371912
TI - The regulation of NLRP3 inflammasome expression during the development of cardiac
contractile dysfunction in chronic kidney disease.
AB - Chronic inflammation plays a crucial role in the long-term complications in
patients with chronic kidney disease (CKD). This study aimed to assess the role
of NLR pyrin domain-containing protein (NLRP3) inflammasome in cardiac
contractile dysfunctions in CKD. The cardiac contractile function was evaluated
and the expression of NLRP3 inflammasome and related cytokines in the heart was
assessed in a murine sham-operated and 5/6 nephrectomy CKD model in vivo. In
vitro, H9c2 cells were treated with uremic toxin indoxyl sulfate (IS), with or
without NLRP3 inflammasome inhibition, which was achieved by using small
interfering RNA (siRNA)-mediated knockdown of the NLRP3 gene. Moreover, the
activation of nuclear factor kappaB (NF-kappaB) signaling and apoptosis marker
levels were assessed in the IS-treated H9c2 cells. The results demonstrated that
CKD can lead to the development of cardiac contractile dysfunction in vivo
associated with the upregulation of NLRP3 inflammasome, IL-1beta, IL-18, and
contribute to the myocardial apoptosis. In vitro experiments showed the
upregulation of inflammasome, IL-1beta, and IL-18 levels, and cell apoptosis in
the IS-treated H9c2 cells through the activation of NF-kappaB signaling pathway.
The transfection of cells with si-NLRP3 was shown to alleviate IL-1beta, IL-18,
and cell apoptosis. Moreover, decreased cell viability induced by IS was shown to
be attenuated by IL-1beta or IL-18-neutralizing antibody. In summary, CKD can
result in the development of cardiac contractile dysfunction associated with the
upregulation of NLRP3 inflammasome/IL-1beta/IL-18 axis induced by the uremic
toxins.
PMID- 29371913
TI - Evaluation of efficacy and safety for bevacizumab in treating malignant pleural
effusions caused by lung cancer through intrapleural injection.
AB - Some clinical investigations have assessed the efficacy and safety of bevacizumab
combined with platinum anti-cancer drugs versus platinum drugs alone in treating
malignant pleural effusion (MPE) caused by lung cancer through intrapleural
injection. This report is a meta-analysis of independent research conclusions.
Eleven controlled trials with 769 MPE patients were included in this report.
Pooled odds ratios and standardized mean difference with 95% confidence intervals
were estimated using the fixed or random effects model of meta-analysis. For
treating MPE through intrapleural injection, bevacizumab combined with platinum
chemotherapy drugs increased the overall response rate (p = 0.003), decreased the
incidence of chest pain (p < 0.001) and relieved the dyspnea of patients with MPE
(p = 0.002), as compared with platinum chemotherapy drugs alone. In addition,
intrapleural injection of bevacizumab participation decreased the expression of
vascular endothelial growth factor in MPE (p < 0.001). The main adverse effects
of two groups were myelotoxicity, hypertension, digestive reaction and damage of
liver and kidney. However, the presence of bevacizumab did not show an extra
influence on the incidence of adverse effects (p > 0.05). In summary, bevacizumab
combined with platinum chemotherapy drugs for treating MPE caused by lung cancer
through intrapleural injection has a better benefit of overall response rate and
quality of life. And, the participation of bevacizumab did not increase adverse
effects.
PMID- 29371914
TI - MAEL contributes to gastric cancer progression by promoting ILKAP degradation.
AB - The cancer-testis gene MAEL is involved in the development and progression of
bladder, liver and colorectal cancers. However, its role in other cancers is
unclear. By systematically analyzing transcriptomics and genomics data from
various cancer databases, we identified that the MAEL gene is aberrantly elevated
in gastric cancer (GC) tissues and that its expression is strongly negatively
correlated with DNA methylation (Pearson's correlation coefficient = -0.675).
Survival analysis revealed that MAEL expression may serve as a prognostic marker
for GC patients (overall survival: hazard ratio [HR] = 1.54, p = 1.2E-4; first
progression: HR = 1.51, p = 8.7E-4). In vitro and in vivo experiments
demonstrated that silencing MAEL expression in the GC cell lines HGC-27 and AGS
inhibits proliferation, colony formation, migration, invasion and growth of
xenograft tumors, whereas MAEL overexpression exerts the opposite effects in the
normal gastric cell line GES-1. Mechanistically, MAEL promotes the lysosome
dependent degradation of the protein phosphatase ILKAP, leading to increased
phosphorylation of its substrates (p38, CHK1 and RSK2). Moreover, adenovirus
mediated ILKAP overexpression reversed the oncogenic effects of MAEL in vitro and
in vivo. Taken together, these results indicate that MAEL exerts its oncogenic
function by promoting ILKAP degradation in the GC.
PMID- 29371915
TI - Expansion of tumor-infiltrating lymphocytes and their potential for application
as adoptive cell transfer therapy in human breast cancer.
AB - Adoptive cell transfer (ACT) of ex vivo expanded tumor-infiltrating lymphocytes
(TILs) has been successful in treating a considerable proportion of patients with
metastatic melanoma. In addition, some patients with several other solid tumors
were recently reported to have benefited clinically from such ACT. However, it
remains unclear whether ACT using TILs is broadly applicable in breast cancer,
the most common cancer in women. In this study, the utility of TILs as an ACT
source in breast cancers was explored by deriving TILs from a large number of
breast cancer samples and assessing their biological potentials. We successfully
expanded TILs ex vivo under a standard TIL culture condition from over 100 breast
cancer samples, including all breast cancer subtypes. We also found that the
information about the percentage of TIL and presence of tertiary lymphoid
structure in the tumor tissues could be useful for estimating the number of
obtainable TILs after ex vivo culture. The ex vivo expanded TILs contained a
considerable level of central memory phenotype T cells (about 20%), and a large
proportion of TIL samples were reactive to autologous tumor cells in vitro.
Furthermore, the in vitro tumor-reactive autologous TILs could also function in
vivo in a xenograft mouse model implanted with the primary tumor tissue.
Collectively, these results strongly indicate that ACT using ex vivo expanded
autologous TILs is a feasible option in treating patients with breast cancer.
PMID- 29371916
TI - The IL-17B-IL-17 receptor B pathway promotes resistance to paclitaxel in breast
tumors through activation of the ERK1/2 pathway.
AB - Interleukin 17B (IL-17B) is a pro-inflammatory cytokine that belongs to the IL-17
cytokines family and binds to IL-17 receptor B (IL-17RB). Here we found that high
expression of IL-17B and IL-17RB is associated with poor prognosis in patients
with breast cancer and that IL-17B expression upregulation is specifically
associated with poorer survival in patients with basal-like breast cancer. We
thus focused on IL-17B role in breast cancer by using luminal and triple negative
(TN)/basal-like tumor cell lines. We found that IL-17B induces resistance to
conventional chemotherapeutic agents. In vivo, IL-17B induced resistance to
paclitaxel and treatment with an anti-IL-17RB neutralizing antibody completely
restored breast tumor chemosensitivity, leading to tumor shrinkage. We next
focused on the signaling pathways activated in human breast cancer cell lines
upon incubation with IL-17B. We observed that IL-17B induces ERK1/2 pathway
activation, leading to upregulation of anti-apoptotic proteins of the BCL-2
family. IL-17B-induced chemoresistance was completely abolished by incubation
with PD98059, an inhibitor of the MAPK/ERK pathway, indicating that the ERK
pathway plays a crucial role. Altogether our results emphasize the role of the IL
17B/IL-17RB signaling pathway in breast tumors and identify IL-17B and its
receptor as attractive therapeutic targets for potentiating breast cancer
chemotherapy.
PMID- 29371918
TI - Long-term administration of tacrolimus and everolimus prevents high cholesterol
high fructose-induced steatosis in C57BL/6J mice by inhibiting de-novo
lipogenesis.
AB - Aim: To investigate the effects of tacrolimus (TC) and everolimus (EV) on non
alcoholic steatohepatitis (NASH) induced by high fat, high cholesterol and
fructose (fast food) diet in C57BL/6J mice. Materials and Methods: C57BL/6J mice
were divided into four groups (n=8). 1) Standard Chow (SC); 2) Fast food (FF)
diet; 3) FF + Tacrolimus (TC, 1mg/kg) and; 4) FF + Everolimus (EV, 1mg/kg) and
treated for 16 weeks. Serum and tissue samples were analyzed for evidence of
inflammation, fibrosis, lipogenesis, and apoptosis. Results: TC and EV treatments
significantly reduced the hepatic lipid accumulation, improved liver-body weight
ratio, blood biochemistry, and insulin resistance in mice fed with FF diet.
However, inflammation, enlarged portal tracts, and fibrosis were pronounced in EV
treated group. The lipogenic parameters, Peroxisome proliferator-activated
receptor gamma (PPAR-gamma), Sterol regulatory element-binding protein 1(SREBP
1), mammalian target of rapamycin (m-TOR), Stearoyl-CoA desaturase-1 (SCD-1) and
fatty acid translocase (CD36) were significantly down-regulated in livers of TC
and EV treated groups as compared to FF group. TC improved Bcl2/Bax ratio,
decreased apoptosis, CYP2E1 protein expression and liver fibrosis levels,
however, EV offered no such protection. Further, in an In-vitro model of
lipotoxicity using the mouse hepatocyte (AML-12) cell line, treatment with TC and
EV significantly reduced lipid accumulation and lipogenic and apoptotic markers
induced with palmitic acid. Conclusion: In FF diet induced model of NASH, both TC
and EV inhibited hepatic lipid accumulation and improved metabolic parameters
such as insulin resistance and dyslipidemia. However, mice administered with EV
exhibited inflammatory and fibrotic responses despite reduced hepatic steatosis.
PMID- 29371919
TI - Sensitizing Ewing sarcoma to chemo- and radiotherapy by inhibition of the DNA
repair enzymes DNA protein kinase (DNA-PK) and poly-ADP-ribose polymerase (PARP)
1/2.
AB - Background: DNA-PK and PARP inhibitors sensitize cancer cells to chemo- and
radiotherapy. ETS transcription factors (EWS-FLI1) have been described as
biomarkers for PARP-inhibitor sensitivity. Sensitivity to single agent PARP
inhibitors has so far been limited to homologous recombination repair (HRR)
deficient tumors, exploiting synthetic lethality. Results: In clonogenic assays,
single agent rucaparib LD50 values for continuously exposed cells were similar to
those observed in HRR-defective cells (CAPAN-1 cell line, BRCA2 defective);
however, both ES cell lines (TC-71, CADO-ES1) had functional HRR. In vivo
rucaparib administration (10 mg/kg daily) showed no responses. In clonogenic
assays, rucaparib enhanced temozolomide, camptothecin and radiation cytotoxicity,
which was most profound for temozolomide (15-29 fold enhancement). NU7441
increased the cytotoxicity of etoposide, doxorubicin and radiation. Materials and
Methods: We assessed PARP1/2 (rucaparib) and DNA-PK (NU7441) inhibitors in Ewing
sarcoma (ES) cell lines by performing growth inhibition and clonogenic assays.
HRR was measured by RAD51 focus formation. Single agent rucaparib was assessed in
an in vivo orthotopic model. Conclusions: Single agent rucaparib ES sensitivity
in vitro was not replicated in vivo. DNA-PK and PARP inhibitors are good chemo
/radiosensitizers in ES. The future of these inhibitors lies in their combination
with chemo-/radiotherapy, which needs to be evaluated in clinical trials.
PMID- 29371920
TI - Hypermethylation of BEND5 contributes to cell proliferation and is a prognostic
marker of colorectal cancer.
AB - Aberrant hypermethylation of CpG islands in tumor suppressor genes (TSGs)
contributes to colorectal tumorigenesis. To identify new colorectal cancer (CRC)
screening marker, we investigated DNA methylation alterations in novel TSGs.
Using HumanMethylation450 BeadChip arrays, CpG regions in BEND5 were the most
highly methylated among all genomic regions in 26 colorectal tumors compared to
paired non-neoplastic tissues from a Taiwan cohort. Therefore, BEND5 was selected
for further analysis. Quantitative methylation-specific real-time PCR revealed
that 86.7% (117/135) of CRC patients exhibited hypermethylated BEND5. Real-time
reverse transcription PCR identified that BEND5 mRNA expression was downregulated
in 68% (32/47) of the analyzed samples. BEND5 hypermethylation was associated
with poor overall survival (OS) in Taiwan patients with early-stage CRC (P =
0.037). In a CRC tissue set from South Korea, OS was higher in patients with high
BEND5 protein expression than in those with low BEND5 protein expression (P =
0.037) by using immunohistochemistry assays. Consistently, BEND5 hypermethylation
was associated with poor OS in patients with early-stage CRC in The Cancer Genome
Atlas (TCGA) data set (P = 0.003). Multivariate Cox proportional hazards
regression analysis further supported that hypermethylation of BEND5 genes was
significantly associated with OS in Taiwan and TCGA CRC patients (P = 0.023 and
0.033, respectively). Finally, the cell model assay with transient transfection
of BEND5 or si-BEND5 knockdown indicated that BEND5 inhibited cancer cell
proliferation. In conclusion, epigenetic alteration in the candidate TSG BEND5
contributes to colorectal cancer development and is a prognostic marker of CRC.
PMID- 29371917
TI - Cell-surface marker discovery for lung cancer.
AB - Lung cancer is the leading cause of cancer deaths in the United States. Novel
lung cancer targeted therapeutic and molecular imaging agents are needed to
improve outcomes and enable personalized care. Since these agents typically
cannot cross the plasma membrane while carrying cytotoxic payload or imaging
contrast, discovery of cell-surface targets is a necessary initial step. Herein,
we report the discovery and characterization of lung cancer cell-surface markers
for use in development of targeted agents. To identify putative cell-surface
markers, existing microarray gene expression data from patient specimens were
analyzed to select markers with differential expression in lung cancer compared
to normal lung. Greater than 200 putative cell-surface markers were identified as
being overexpressed in lung cancers. Ten cell-surface markers (CA9, CA12,
CXorf61, DSG3, FAT2, GPR87, KISS1R, LYPD3, SLC7A11 and TMPRSS4) were selected
based on differential mRNA expression in lung tumors vs. non-neoplastic lung
samples and other normal tissues, and other considerations involving known
biology and targeting moieties. Protein expression was confirmed by
immunohistochemistry (IHC) staining and scoring of patient tumor and normal
tissue samples. As further validation, marker expression was determined in lung
cancer cell lines using microarray data and Kaplan-Meier survival analyses were
performed for each of the markers using patient clinical data. High expression
for six of the markers (CA9, CA12, CXorf61, GPR87, LYPD3, and SLC7A11) was
significantly associated with worse survival. These markers should be useful for
the development of novel targeted imaging probes or therapeutics for use in
personalized care of lung cancer patients.
PMID- 29371921
TI - Identification of MALT1 as both a prognostic factor and a potential therapeutic
target of regorafenib in cholangiocarcinoma patients.
AB - Intrahepatic cholangiocarcinoma (CCA) is an aggressive cancer that lacks an
effective targeted therapy. Here, we assessed the therapeutic efficacy of
regorafenib in CCA, as well as elucidated its underlying mechanism. We first
demonstrated that regorafenib not only inhibited growth but also induced
apoptosis in human CCA cells. Subsequently, we used in silico approaches to
identify MALT1 (Mucosa-associated lymphoid tissue protein 1), which plays an
important role in activating NF-kappaB, as a potential target of regorafenib.
Overexpression of Elk-1, but not Ets-1, in HuCCT1 cells markedly reduced their
sensitivity to regorafenib, which might be attributed to a significant increase
in MALT1 levels. Our results further demonstrated that this drug drastically
inhibited MALT1 expression by suppressing the Raf/Erk/Elk-1 pathway. The efficacy
of regorafenib in decreasing in vivo CCA growth was confirmed in animal models.
Regorafenib efficacy was observed in two MALT1-positive CCA patients who failed
to respond to several other lines of therapy. Finally, MALT1 was also identified
as an independent poor prognostic factor for patients with intrahepatic CCA. In
conclusion, our study identified MALT1 to be a downstream mediator of the
Raf/Erk/Elk-1 pathway and suggested that MALT1 may be a new therapeutic target
for successful treatment of CCA by regorafenib.
PMID- 29371922
TI - Helicobacter pylori CagA protein activates Akt and attenuates chemotherapeutics
induced apoptosis in gastric cancer cells.
AB - Infection with cagA-positive Helicobacter pylori is associated with a higher risk
of gastric cancer. The cagA gene product, CagA, is translocated into gastric
epithelial cells and perturbs host cellular biological functions. Etoposide, a
topoisomerase II inhibitor widely used to couple DNA damage to apoptosis, is a
common cytotoxic agent used for advanced gastric cancer. We investigate the
effect of CagA on etoposide-induced apoptosis in gastric cancer cells to
elucidate whether CagA play a role in gastric carcinogenesis via impairing DNA
damage-dependent apoptosis. AGS cell lines stably expressing CagA isolated from
H. pylori 26695 strain were established. In the presence of etoposide, viability
of parental AGS cells was decreased in a time-and dose-dependent manner, whereas
CagA-expressing AGS cells were less susceptible to etoposide induced cell-killing
effect. Suppression of etoposide-induced apoptosis was shown in CagA-expressing
but not in parental AGS cells by DNA fragmentation, cell cycle, and annexin-V
assays. This inhibitory effect of etoposide-induced apoptosis conferred by CagA
was also demonstrated in SCM1 and MKN45 gastric cancer cell lines, with two
additional chemotherapeutics, 5-FU and cisplatin. The effect of Akt activation on
inhibition of etoposide-induced cytotoxicity by CagA was also evaluated. CagA
expression and etoposide administration activate Akt in a dose-dependent manner.
Enhancement of etoposide cytotoxicity by a PI-3-kinase inhibitor, LY294002, was
evident in parental but was attenuated in CagA-expressing AGS cells. CagA may
activate Akt, either in the absence or presence of etoposide, potentially
contributing to gastric carcinogenesis associated with H. pylori infection and
therapeutic resistance by impairing DNA damage-dependent apoptosis.
PMID- 29371923
TI - Targeting the PTTG1 oncogene impairs proliferation and invasiveness of melanoma
cells sensitive or with acquired resistance to the BRAF inhibitor dabrafenib.
AB - The pituitary tumor transforming gene 1 (PTTG1) is implicated in tumor growth,
metastasis and drug resistance. Here, we investigated the involvement of PTTG1 in
melanoma cell proliferation, invasiveness and response to the BRAF inhibitor
(BRAFi) dabrafenib. We also preliminary assessed the potential value of
circulating PTTG1 protein to monitor melanoma patient response to BRAFi or to
dabrafenib plus trametinib. Dabrafenib-resistant cell lines (A375R and SK-Mel28R)
were more invasive than their drug-sensitive counterparts (A375 and SK-Mel28),
but expressed comparable PTTG1 levels. Dabrafenib abrogated PTTG1 expression and
impaired invasion of the extracellular matrix (ECM) in A375 and SK-Mel28 cells.
In contrast, it affected neither PTTG1 expression in A375R and SK-Mel28R cells,
nor ECM invasion in the latter cells, while further stimulated A375R cell
invasiveness. Assessment of proliferation and ECM invasion in control and PTTG1
silenced A375 and SK-Mel28 cells, exposed or not to dabrafenib, demonstrated that
the inhibitory effects of this drug were, at least in part, dependent on its
ability to down-regulate PTTG1 expression. PTTG1-silencing also impaired
proliferation and invasiveness of A375R and SK-Mel28R cells, and counteracted
dabrafenib-induced stimulation of ECM invasion in A375R cells. Further
experiments performed in A375R cells indicated that PTTG1-silencing impaired cell
invasiveness through inhibition of MMP-9 and that PTTG1 expression and ECM
invasion could be also reduced by the CDK4/6 inhibitor LEE011. PTTG1 targeting
might, therefore, represent a useful strategy to impair proliferation and
metastasis of melanomas resistant to BRAFi. Circulating PTTG1 also appeared to
deserve further investigation as biomarker to monitor patient response to
targeted therapy.
PMID- 29371924
TI - PTEN loss and level of HER2 amplification is associated with trastuzumab
resistance and prognosis in HER2-positive gastric cancer.
AB - Background: Trastuzumab is an active agent against human epidermal growth factor
receptor 2 (HER2)-positive gastric cancer (GC). This study aimed to characterize
resistance to trastuzumab-based front-line chemotherapy in HER2+ GC patients and
to establish factors predictive of this resistance. Results: Among 129 HER2+ GC
patients, 25% displayed rapid disease progression within 4 months from initiation
of therapy. These patients showed a higher rate of signet ring cell histology,
bone metastasis, poor performance status, frequent loss of PTEN expression, and
low HER2 amplification index compared with patients who were progression-free for
at least 4 months. In contrast, there was no significant difference in the
frequency of the PIK3R1 variant. Multivariate analyses confirmed two independent
molecular predictors for trastuzumab resistance: loss of PTEN expression and low
HER2 amplification index (<5). Patients with one or both molecular predictors at
diagnosis exhibited worse progression-free and overall survival compared to those
without risk factors (p < 0.001 and p = 0.001, respectively). Conclusion: In
HER2+ GC patients, loss of PTEN expression and low HER2 AI correlated with
resistance to trastuzumab-based therapy and dismal prognosis. Since patients
harboring these molecular predictors are unlikely to respond to trastuzumab-based
therapy, other novel therapeutic targets needed to be considered. Methods: HER2+
GC patients who were treated with trastuzumab in combination with either 5
fluorouracil/cisplatin or capecitabine/cisplatin were enrolled. Clinicopathologic
features and molecular alterations of HER2, phosphoinositide 3-kinase regulatory
subunit 1 (PIK3R1), and phosphatase and tensin homolog (PTEN) were correlated
with treatment outcome. Factors predictive of resistance were also explored.
PMID- 29371925
TI - The glucose and lipid metabolism reprogramming is grade-dependent in clear cell
renal cell carcinoma primary cultures and is targetable to modulate cell
viability and proliferation.
AB - Clear cell renal cell carcinoma (ccRCC) has a poor prognosis despite novel
biological targeted therapies. Tumor aggressiveness and poor survival may
correlate with tumor grade at diagnosis and with complex metabolic alterations,
also involving glucose and lipid metabolism. However, currently no grade-specific
metabolic therapy addresses these alterations. Here we used primary cell cultures
from ccRCC of low- and high-grade to investigate the effect on energy state and
reduced pyridine nucleotide level, and on viability and proliferation, of
specific inhibition of glycolysis with 2-deoxy-D-glucose (2DG), or fatty acid
oxidation with Etomoxir. Our primary cultures retained the tissue grade-dependent
modulation of lipid and glycogen storage and aerobic glycolysis (Warburg effect).
2DG affected lactate production, energy state and reduced pyridine nucleotide
level in high-grade ccRCC cultures, but the energy state only in low-grade.
Rather, Etomoxir affected energy state in high-grade and reduced pyridine
nucleotide level in low-grade cultures. Energy state and reduced pyridine
nucleotide level were evaluated by ATP and reduced 3-(4,5-dimethylthiazol-2-yl)
2,5-diphenyltetrazolium (MTT) dye quantification, respectively. 2DG treatment
impaired cell proliferation and viability of low-grade ccRCC and normal cortex
cultures, whereas Etomoxir showed a cytostatic and cytotoxic effect only in high
grade ccRCC cultures. Our data indicate that in ccRCC the Warburg effect is a
grade-dependent feature, and fatty acid oxidation can be activated for different
grade-dependent metabolic needs. A possible grade-dependent metabolic therapeutic
approach in ccRCC is also highlighted.
PMID- 29371926
TI - A natural inhibitor of kidney-type glutaminase: a withanolide from Physalis
pubescens with potent anti-tumor activity.
AB - Kidney-type glutaminase (KGA), a mitochondrial enzyme converting glutamine to
glutamate for energy supply, was over-expressed in many cancers and had been
regarded as a promising therapeutic target in recent years. Structure-based
virtual ligand screening predicted physapubescin K, a new withanolide from
Physalis pubescens, to be potential KGA inhibitor. Enzyme activity inhibition
assays and microscale thermophoresis experiments had demonstrated the efficiency
and specificity of physapubescin K targeting KGA. Additionally, physapubescin K
exhibited potent proliferation inhibitory effects on a panel of human cancer cell
lines, such as SW1990 and HCC827-ER. It blocked glutamine metabolism in SW1990
with increasing intracellular level of glutamine and decreasing glutamate and its
downstream metabolites. Physapubescin K also significantly inhibited the tumor
growth in a SW1990 xenograft mouse model. Interestingly, physapubescin K could
reverse the resistance of HCC827-ER cells to erlotinib and synergize with the
hexokinase 2 inhibitor to markedly enhance the inhibition of SW1990 cell
proliferation.
PMID- 29371927
TI - Independent replication of polymorphisms predicting toxicity in breast cancer
patients randomized between dose-dense and docetaxel-containing adjuvant
chemotherapy.
AB - Introduction: Although pharmacogenomics has evolved substantially, a predictive
test for chemotherapy toxicity is still lacking. We compared the toxicity of
adjuvant dose-dense doxorubicin-cyclophosphamide (ddAC) and docetaxel-doxorubicin
cyclophosphamide (TAC) in a randomized multicenter phase III trial and replicated
previously reported associations between genotypes and toxicity. Results: 646
patients (97%) were evaluable for toxicity (grade 2 and higher). Whereas AN was
more frequent after ddAC (P < 0.001), TAC treated patients more often had PNP (P
< 0.001). We could replicate 2 previously reported associations: TECTA (rs1829;
OR 4.18, 95% CI 1.84-9.51, P = 0.001) with PNP, and GSTP1 (rs1138272; OR 2.04,
95% CI 1.13-3.68, P = 0.018) with PNP. Materials and methods: Patients with pT1
3, pN0-3 breast cancer were randomized between six cycles A60C600 every 2 weeks
or T75A50C500 every 3 weeks. Associations of 13 previously reported single
nucleotide polymorphisms (SNPs) with the most frequent toxicities: anemia (AN),
febrile neutropenia (FN) and peripheral neuropathy (PNP) were analyzed using
logistic regression models. Conclusions: In this independent replication, we
could replicate an association between 2 out of 13 SNPs and chemotherapy
toxicities. These results warrant further validation in order to enable tailored
treatment for breast cancer patients.
PMID- 29371928
TI - Differentially expressed mRNAs, lncRNAs, and miRNAs with associated co-expression
and ceRNA networks in ankylosing spondylitis.
AB - Ankylosing spondylitis (AS) is a chronic autoimmune disease characterized by
systemic inflammation and pathological osteogenesis. However, the genetic
etiology of AS remains largely unknown. This study aimed to explore the potential
role of coding and noncoding genes in the genetic mechanism of AS. Using
microarray analyses, this study comprehensively compared lncRNA, microRNA, and
mRNA profiles in hip joint ligament tissues from patients with AS and controls. A
total of 661 lncRNAs, 574 mRNAs, and 22 microRNAs were differentially expressed
in patients with AS compared with controls. Twenty-two of these genes were then
validated using real-time polymerase chain reaction. Gene ontology and pathway
analyses were performed to explore the principal functions of differentially
expressed genes. The pathways were involved mainly in immune regulation,
intercellular signaling, osteogenic differentiation, protein synthesis, and
degradation. Gene signal transduction network, coding-noncoding co-expression
network, and competing endogenous RNA expression network were constructed using
bioinformatics methods. Then, two miRNAs, miR-17-5p and miR-27b-3p, that could
increase the osteogenic differentiation potentials of ligament fibroblasts were
identified. Finally, differentially expressed, five lncRNAs, four miRNAs, and
five mRNAs were validated using quantitative real-time polymerase chain reaction.
These results suggested that mRNAs, lncRNAs, and microRNAs were involved in AS
pathogenesis. The findings might help characterize the pathogenesis of AS and
provide novel therapeutic targets for patients with AS in the future.
PMID- 29371929
TI - miR-769-5p suppressed cell proliferation, migration and invasion by targeting
TGFBR1 in non-small cell lung carcinoma.
AB - MicroRNAs (miRNAs) are key regulators of multiple cancers, including non-small
cell lung carcinoma (NSCLC). The aim of this study was to determine the
expression pattern of miR-769-5p in NSCLC and to investigate its biological role
during tumorigenesis. We showed that miR-769-5p was significantly downregulated
and predicted poor prognosis in NSCLC compared with corresponding normal tissues.
We then investigated its function and found that miR-769-5p significantly
inhibited cell proliferation, migration and invasion in vitro and reduced tumor
growth and metastasis in vivo. Furthermore, we explored the molecular mechanisms
by which miR-769-5p contributes to NSCLC suppression and identified TGFBR1 as a
direct target gene of miR-769-5p. Finally, we showed that TGFBR1 had opposite
effects to those of miR-769-5p on lung cancer cells, suggesting that miR-769-5p
might inhibit lung tumorigenesis by silencing TGFBR1. Taken together, our results
demonstrated that miR-769-5p plays a pivotal role in NSCLC by inhibiting cell
proliferation, migration and invasion by targeting TGFBR1.
PMID- 29371930
TI - Identification and comparison of novel circular RNAs with associated co
expression and competing endogenous RNA networks in pulmonary tuberculosis.
AB - Pulmonary tuberculosis (PTB) is caused by Mycobacterium tuberculosis and is one
of the most serious diseases worldwide. Circular RNAs (circRNAs) are a large
class of non-coding RNAs that were identified with potential regulatory roles in
disease pathogenesis and progression. In this study, we used whole transcriptome
sequencing to identify circRNAs from 3 PTB patients and 3 healthy individuals to
determine the expression pattern of circRNAs in blood and the circRNA molecular
regulatory networks in PTB pathogenesis. One hundred and seventy differentially
expressed (>= 2-fold change) circRNAs were dysregulated in PTB, compared with in
healthy individuals. Quantitative real-time polymerase chain reaction was used to
validate the RNA sequencing analysis from 20 PTB patients, and the results were
consistent with the sequencing data. Gene Ontology annotation and Kyoto
Encyclopedia of Genes and Genomes pathway analysis were applied to explore the
potential circRNA functions of the significantly deregulated genes. Several
immunity pathways, including endocytosis pathways in cancer, mitogen-activated
protein kinase signaling pathway, human T-lymphotropic virus type 1 infection,
and ubiquitin-mediated proteolysis, were involved in PTB pathogenesis. Competing
endogenous RNAs (ceRNA) were constructed and inferred that aberrant expression of
circRNA-associated ceRNA resulted in extensive variation in gene expression by
miRNA-mediated circRNA-mRNA crosstalk interactions. Our study revealed that the
circRNA-miRNA-mRNA network may shed light on the biological functions of circRNAs
in PTB and provide useful information for exploring potential roles of circRNA in
PTB.
PMID- 29371931
TI - Progesterone and calcitriol reduce invasive potential of endometrial cancer cells
by targeting ARF6, NEDD9 and MT1-MMP.
AB - Previously, we have demonstrated that progesterone and calcitriol synergistically
inhibit growth of endometrial and ovarian cancer by enhancing apoptosis and
causing cell cycle arrest. Metastasis is the main reason of mortality in cancer
patients. Activation of ADP-Ribosylation Factor 6 (ARF6), Neural Precursor cell
expressed Developmentally Downregulated 9 (NEDD9), and Membrane-Type-1 Matrix
Metalloproteinase (MT1-MMP) have been implicated in promoting tumor growth and
metastasis. We examined the effects of progesterone, calcitriol and progesterone
calcitriol combination on metastasis promoting proteins in endometrial cancer.
Expression of ARF6, NEDD9, and MT1-MMP was enhanced in advanced-stage endometrial
tumors and in cancer cell lines compared to normal tissues and immortalized EM
E6/E7-TERT endometrial epithelial cells. Knockdown of these proteins
significantly inhibited the invasiveness of the cancer cells. The expression
levels of all three proteins was reduced with progesterone and progesterone
calcitriol combination treatment, whereas calcitriol alone showed no effect on
their expression but moderately decreased MT1-MMP activity. Fluorescence
microscopy showed membrane expression of MT1-MMP in vehicle and calcitriol
treated endometrial cancer cells. However, progesterone and calcitriol
progesterone combination treatment revealed MT1-MMP in the cytoplasm.
Furthermore, progesterone and calcitriol reduced the activity of MT1-MMP, MMP-9,
and MMP-2. In addition, invadopodia regulatory proteins were attenuated in both
progesterone and progesterone-calcitriol combination treated cells as well as in
MT1-MMP knockdown cells. Thus, targeting the aberrant MT1-MMP signaling with
progesterone-calcitriol may be a novel approach to impede MT1-MMP mediated cancer
dissemination and may have therapeutic benefits for endometrial cancer patients.
PMID- 29371932
TI - Identification of novel genes in aging osteoblasts using next-generation
sequencing and bioinformatics.
AB - During the aging process, impaired osteoblastic function is one key factor of
imbalanced bone formation and age-related bone loss. The aim of this study is to
explore the differentially expressed genes in normal and aged osteoblasts and to
identify genes potentially involved in age-related alteration in bone physiology.
Based on next generation sequencing and bioinformatics analysis, 12
differentially expressed microRNAs and 22 differentially expressed genes were
identified. Up-regulation of miR-204-5p was validated in an array of osteoporotic
hip fracture in the Gene Expression Omnibus database (GSE74209). The putative
targets for miR-204-5p were Kruppel-like factor 7 (KLF7) and SRY-box 11 (SOX11).
Ingenuity Pathway Analysis identified SOX11, involved in osteoarthritis pathway
and differentiation of osteoblasts, together with miR-204-5p, a potential
upstream regulator, suggesting the critical role of miR-204-5p-SOX11 regulation
in the aging process of human bones. In addition, as semaphorin 3A (SEMA3A) and
ephrin type-A receptor 5 (EPHA5) were involved in nervous system related
biological functions, we postulated a potential linkage between SEMA3A, EPHA5 and
development of neurogenic heterotopic ossification. Our findings implicate new
candidate genes in the diagnosis of geriatric musculoskeletal disorders, and
provide novel insights that may contribute to the elaboration of new biomarkers
for neurogenic heterotopic ossification.
PMID- 29371933
TI - Induction of oxidative stress and cell apoptosis by selenium: the cure against
oral carcinoma.
AB - Oral carcinoma (OC) remains one of the most difficult malignancies to cure.
selenium (Se) is an essential trace mineral for human and animals, but high
concentrations of Se induce apoptosis and oxidative effects. Although cell
apoptosis has been evidenced as a critical mechanism mediating the anticancer
activity of Se, the underlying molecular mechanisms remain elusive. To explore
the role of Se in rat OC, we examined the weather the oxidative stress-mediated
apoptotic pathway induced by Se was involved in the development of OC. In this
study, we successfully constructed the OC rat model by 4-Nitroquinoline-1-oxide
(4-NQO) exposure which reflected from histopathological observations. Se-induced
the productions of methane dicarboxylic aldehyde (MDA) and reactive oxygen
species (ROS), which was accompanied by the inhibition of superoxide dismutase
(SOD) both in vivo and vitro. The anti-apoptotic gene (Bcl-2) was down-regulated
and pro-apoptosis members (Bax, Bak, Cyt-c, caspase9 and caspase3) were up
regulated by Se in OC cells. Meanwhile, we also found that Se could strongly
inhibited the cell proliferation of OC lines in vitro. These results suggested
that excessive Se could effectively cause oxidative stress and induce apoptosis
in OC cells, as a result the OC was also inhibited to some extent. Therefore, the
information presented in this study is believed to be helpful in supplementing
data for further therapy of OC.
PMID- 29371934
TI - Long non-coding RNA Lucat1 is a poor prognostic factor and demonstrates malignant
biological behavior in clear cell renal cell carcinoma.
AB - Background: Many long intergenic noncoding RNAs (lincRNAs) are encoded in the
human genome. However, their biological functions, molecular mechanisms and
prognostic values associated with clear cell renal cell carcinoma (ccRCC) have
yet to be elucidated. Methods: We screened the lncRNAs' profile in ccRCC from The
Cancer Genome Atlas (TCGA) database, and selected Lucat1 for further study. MTS,
colony formation assay and transwell assay were performed to examine the effect
of Lucat1 on proliferation and metastasis of ccRCC. The Chip and Rip assay was
performed to verify that Lucat1 can bind to polycomb PRC2 complex and suppress
p57 expression. Results: In this study, we found that lncRNA Lucat1 expression
was significantly up regulated in tumor tissues compared to matched adjacent non
tumor tissues. The Lucat1 expression level was also associated with grade, the
clinical pathological stage and the survival time. Functional assays showed that
Lucat1 can promote renal cancer cell proliferation in vitro and in vivo. Further
analysis showed that Lucat1 can bind to polycomb PRC2 complex and suppress p57
expression. Conclusions: Taken together, our results suggest that Lucat1, as a
regulator of proliferation, may serve as a candidate prognostic biomarker and
target for novel therapies in human ccRCC.
PMID- 29371935
TI - Ubiquitin carboxyl-terminal hydrolase isozyme L5 inhibits human glioma cell
migration and invasion via downregulating SNRPF.
AB - Ubiquitin C-terminal Hydrolase-L5 (UCH-L5/UCH37), a member of the deubiquitinases
(DUBs), suppresses protein degeneration via removing ubiquitin from the distal
subunit of the polyubiquitin chain. The activity of UCH-L5 is enhanced when UCH
L5 combines with proteasome 19S regulatory subunit by Rpn13/Admr1 receptor and
inhibited when UCH-L5 interacts with NFRKB. But the role of UCH-L5 in gliomas
remains unknown. In this study, analysis of 19 frozen and 51 paraffin-embedded
clinic pathological cases showed that UCH-L5 expression in glioma tissues was
lower than normal brain tissues. In vitro, we found that UCH-L5 could inhibit
migration and invasion of U87MG and U251 cells. It has been reported that the
expression of SNRPN, SNRPF, and CKLF was abnormal in gliomas or other tumors. We
also found that SNRPF-siRNA, SNRPN-siRNA and CKLF-siRNA could inhibit migration
and invasion of U87MG cells. And knockdown of UCH-L5 expression improved both
mRNA expression and protein level of SNRPF. The relationship between UCH-L5 and
SNRPF was further confirmed in 293T cells. Our study showed that UCH-L5 could
inhibit migration and invasion of glioma cells via down regulating expression of
SNRPF. And the above findings suggest that UCH-L5 may inhibit occurrence and
metastasis of gliomas.
PMID- 29371936
TI - LncRNA TUG1 sponges miR-145 to promote cancer progression and regulate glutamine
metabolism via Sirt3/GDH axis.
AB - Long noncoding RNAs (lncRNAs) are important regulators in cancer progression.
Deregulation of the lncRNA taurine upregulated gene 1 (TUG1) predicts poor
prognosis and is implicated in the development of several cancers. In this study,
we investigated the role of TUG1 in the pathogenesis of intrahepatic
cholangiocarcinoma (ICC). We found that TUG1 is upregulated in ICC samples, which
correlates with poor prognosis and adverse clinical pathological characteristics.
Knockdown of TUG1 inhibited the proliferation, motility, and invasiveness of
cultured ICC cells, and decreased tumor burden in a xenograft mouse model. When
we explored the mechanisms underlying these effects, we found that TUG1 acts as
an endogenous competing RNA (ceRNA) that 'sponges' miR-145, thereby preventing
the degradation of Sirt3 mRNA and increasing expression of Sirt3 and GDH
proteins. Accordingly, glutamine consumption, alpha-KG production, and ATP levels
were dramatically decreased by TUG1 knockdown in ICC cells, and this effect was
reversed by miR-145 inhibition. These findings indicate that the TUG1/miR
145/Sirt3/GDH regulatory network may provide a novel therapeutic strategy for
treatment of ICC.
PMID- 29371937
TI - Molecular targeting of cell-permeable peptide inhibits pancreatic ductal
adenocarcinoma cell proliferation.
AB - Background: Chromosome 16 open reading frame 74 (C16orf74) is highly expressed in
pancreatic ductal adenocarcinoma (PDAC) and is involved in cancer cell
proliferation and invasion through binding to calcineurin (CN). Therefore,
C16orf74 is a good target for the development of a PDAC treatment. A cell
permeable dominant-negative (DN) peptide that can inhibit the C16orf74/CN
interaction was designed to examine whether this peptide can inhibit PDAC cell
proliferation in vitro and in vivo. Method: TheDN-C16orf74 peptide, which
corresponds to the portion of C16orf74 that interacts with CN, was synthesized,
and we assessed its anti-tumor activity in proliferation assays with human PDAC
cells and the underlying molecular signaling pathway. Using an orthotopic
xenograft model of PDAC, we treated mice intraperitoneally with phosphate
buffered saline (PBS), control peptide, or DN-C16orf74 and analyzed the tumor
suppressive effects. Result: DN-C16orf74 inhibited the binding of C16orf74 to CN
in an immunoprecipitation assay. DN-C16orf74 suppressed PDAC cell proliferation,
and the level of suppression depended on the expression levels of C16orf74 in
vitro. DN-C16orf74 also exhibited anti-tumor effects in orthotopic xenograft
model. Furthermore, the tumor-suppressive effect was associated with inhibition
of the phosphorylation of Akt and mTOR. Conclusion: The cell-permeable peptide DN
C16orf74 has a strong anti-tumor effect against PDAC in vitro and in vivo.
PMID- 29371938
TI - Large-scale copy number analysis reveals variations in genes not previously
associated with malignant pleural mesothelioma.
AB - Malignant pleural mesothelioma (MPM) is an aggressive tumor that is often
causally associated with asbestos exposure. Comparative genomic hybridization
techniques and arrays demonstrated a complex set of copy number variations (CNVs)
in the MPM-genome. These techniques however have a limited resolution, throughput
and flexibility compared to next-generation sequencing platforms. In this study,
the presence of CNVs in the MPM-genome was investigated using an MPM-cohort (N =
85) for which genomic microarray data are available through 'The Cancer Genome
Atlas' (TCGA). To validate these results, the genomes of MPMs and matched normal
samples (N = 21) were analyzed using low-pass whole genome sequencing on an
'Illumina HiSeq' platform. CNVs were detected using in-house developed analysis
pipelines and frequencies of copy number loss and gain were calculated. In both
datasets, losses on chromosomes 1, 3, 4, 6, 9, 13 and 22 and gains on chromosomes
1, 5, 7 and 17 were found in at least 25% and 15% of MPMs, respectively. Besides
the well-known MPM-associated genes, CDKN2A, NF2 and BAP1, other interesting
cancer-associated genes were listed as frequently involved in a copy number loss
(e.g. EP300, SETD2 and PBRM1). Moreover, four cancer-associated genes showed a
high frequency of copy number gain in both datasets (i.e. TERT, FCGR2B, CD79B and
PRKAR1A). A statistically significant association between overall survival and
the presence of copy number loss in the CDKN2A-containing region was observed in
the TCGA-set. In conclusion, recurrent CNVs were detected in both datasets,
occurring in regions harboring known MPM-associated genes and genes not
previously linked to MPM.
PMID- 29371939
TI - Elucidating respective functions of two domains BIR and C-helix of human IAP
survivin for precise targeted regulating mitotic cycle, apoptosis and autophagy
of cancer cells.
AB - Survivin was the smallest member of the IAP family, which was over expressed in
many different cancers, and considered to be a promising hot target for cancer
therapy, and our previous study demonstrated that multiple dominant negative
mutants from full-length survivin could have many complex effects on cancer
cells, such as cell cycle, apoptosis, and autophagy. But it was not yet known
what role the two main domains played in those functions, which would be very
important for the design of targeted anticancer drugs and for the interpretation
of their molecular mechanisms. In this study, based on preparation the two parts
(BIR domain and CC domain) of survivin by genetic engineering and cell
characterization assay, we discovered that BIR (T34A)-domain peptide could
inhibit Bcap-37 cells growth in a dose- and time-dependent manner, increase the
proportion of G2/M phase, and induce caspase-dependent apoptosis via the
mitochondrial pathway. While CC (T117A)-domain peptide increased the proportion
of S-phase cells and increased the level of the autophagy marker protein LC3B
significantly. These further experiments confirmed that TAT-BIR (T34A) peptide
could be used to inhibit cell proliferation, promote apoptosis, and block
mitosis, and TAT-CC (T117A) peptide showed mainly to promote autophagy, process
of DNA replication, and mitosis to breast cancer cells. This research will lay
the foundation for interpreting the multifunction mechanism of survivin in cell
fates, further make senses in developing the anticancer drugs targeting it
precisely and efficiently.
PMID- 29371940
TI - The lncRNA XIST interacts with miR-140/miR-124/iASPP axis to promote pancreatic
carcinoma growth.
AB - Long non-coding RNA (lncRNA) X-inactive specific transcript (XIST) is involved in
the development and progression of many tumors. In this study, XIST was
specifically upregulated in pancreatic carcinoma tissues and cell lines; a higher
XIST expression was correlated to poorer clinicopathologic features. After XIST
knockdown, the proliferation of PC cell lines was suppressed and cell cycle
stagnated in G1 phase; XIST knockdown also reduced the protein levels of
inhibitor of apoptosis-stimulating protein of p53 (iASPP) and Cyclin-dependent
kinase 1 (CDK1), increased the protein level of P21, a potent CDK inhibitor. In
PC cell lines, XIST and miR-140/miR-124, two tumor-associated miRNAs, could
inversely regulate each other, respectively; miR-140/miR-124 could bind to XIST
and the 3'UTR of PPP1R13L, respectively. XIST and miR-140/miR-124 exerted
opposite effects on iASPP, CDK1, P21 and P27 proteins; whereas the effects of LV
sh-XIST on the indicated protein levels could be partially reversed by miR-140
and/or miR-124 inhibitor. In PC tissues, miR-140 and miR-124 expression was down
regulated, iASPP and CDK1 mRNA expression was up-regulated. XIST positively
correlated with iASPP and CDK1, inversely correlated with miR-140 and miR-124,
respectively. Taken together, our data indicated that XIST might be an oncogenic
lncRNA that promoted proliferation of PC cell line through inhibiting miR-140/miR
124 expression and promoting cell cycle-related factor expression, and could be
regarded as a therapeutic target in human pancreatic carcinoma.
PMID- 29371941
TI - Doxorubicin-fucoidan-gold nanoparticles composite for dual-chemo-photothermal
treatment on eye tumors.
AB - The current research demonstrates the feasible biomedical application of AuNPs
coated with doxorubicin (Dox)-loaded fucoidan (Fu) for dual-chemotherapy and
photothermal treatment (PTT) on eye tumors in vitro and in vivo. Marine-derived
Fu was used as a capping agent to achieve high photostability for AuNPs, and Dox
as a FDA-approved anti-cancer drug was added to induce chemotherapy. The
synthesized Dox-Fu@AuNPs exhibited high cytotoxicity on the tumor cells and
strong light absorption for temperature increase in vitro. After intratumoral
injection of Dox-Fu@AuNPs in the rabbit eye tumors, PTT-assisted Dox-Fu@AuNPs
entailed the complete removal of the eye tumors without recurrence for 14 days
after the treatment. Photoacoustic image contrast from the tumor regions was
enhanced due to selective light absorption by the administered Dox-Fu@AuNPs.
Therefore, the proposed Dox-Fu@AuNPs can be a potential nano-theranostic material
for treating and diagnosing the eye tumors.
PMID- 29371942
TI - Dietary quercetin potentiates the antiproliferative effect of interferon-alpha in
hepatocellular carcinoma cells through activation of JAK/STAT pathway signaling
by inhibition of SHP2 phosphatase.
AB - Type I interferons (IFN-alpha/beta) have broad and potent immunoregulatory and
antiproliferative activities, which are negatively regulated by Src homology
domain 2 containing tyrosine phosphatase-2 (SHP-2). Inhibition of SHP2 by small
molecules may be a new strategy to enhance the effcacy of type I IFNs. Using an
in vitro screening assay for new inhibitors of SHP2 phosphatase, we found that
quercetin was a potent inhibitor of SHP2. Computational modeling showed that
quercetin exhibited an orientation favorable to nucleophilic attack in the
phosphatase domain of SHP2. Quercetin enhanced the phosphorylation of signal
transducer and activator of transcription proteins 1 (STAT1) and promoted
endogenous IFN-alpha-regulated gene expression. Furthermore, quercetin also
sensitized the antiproliferative effect of IFN-alpha on hepatocellular carcinoma
HepG2 and Huh7 cells. The overexpression of SHP2 attenuated the effect of
quercetin on IFN-alpha-stimulated STAT1 phosphorylation and antiproliferative
effect, whereas the inhibition of SHP2 promoted the effect of quercetin on IFN
alpha-induced STAT1 phosphorylation and antiproliferative effect. The results
suggested that quercetin potentiated the inhibitory effect of IFN-alpha on cancer
cell proliferation through activation of JAK/STAT pathway signaling by inhibiting
SHP2. Quercetin warrants further investigation as a novel therapeutic method to
enhance the efficacy of IFN-alpha/beta.
PMID- 29371943
TI - Tumorablative conditioning regimen for haploidentical stem cell transplantation
in 102 children with hematologic malignancies: a single-center experience.
AB - Haploidentical hematopoietic stem cell transplantation (Haplo-HSCT) is widely
carried out in China, and transplantation related complications decreased
gradually with the transplant technology improving, and the overall survival(OS)
increased year by year. However, relapse after transplantation is still one of
the main causes of death in patients with hematological malignancy. In order to
reduce the recurrence after HSCT, we set a tumorablative conditioning regimen
(TAC ) regimen; the aim is as much as possible to eliminate the malignant clone
to reduce the recurrence without increasing the conditioning toxicity. We
retrospectively analyzed 102 cases of haplo-HSCT in our hospital from 2012 to
2017. Ninety-eight out of the 99 (99.0%) patients achieved primary engraftment.
The 2-year OS and disease free survival (DFS) are 81.4% (83/102) and 77.45%
(79/102). The cumulative incidence of leukemia relapse is 16.2% (16/99), Twenty
nine patients developed II-IV acute graft-versus-host disease (aGVHD) (29%)
within 100 days and only nine patients have grade III-IV aGVHD (9%) in measurable
99 patients. The conditioning regimen was relatively well tolerated with limited
regimen-related toxicity. The preliminary results show that TAC is safe and
effective in haplo-HSCT of children with hematologic malignancies. This study
will provide a clinical basis for the individualized conditioning regimen.
PMID- 29371944
TI - Measurement of tumor volume is not superior to diameter for prediction of lymph
node metastasis in early gastric cancer with minute submucosal invasion.
AB - Background/Aim: The current indication for endoscopic resection in early gastric
cancer (EGC) with minute (< 500 um) submucosal invasion is based on tumor
diameter, which may be insufficient to predict lymph node metastasis (LNM). We
investigated whether tumor volume might more accurately predict LNM in EGC with
minute submucosal invasion. Materials and Methods: Among patients who underwent
gastrectomy for gastric cancer, 346 with well/moderately differentiated EGC with
submucosal invasion <500 um were evaluated. Three-dimensional tumor volume was
calculated using an endoscopically resected specimen and compared with 1
dimensional tumor diameter. Predictive ability of tumor diameter or volume for
LNM was evaluated using receiver operating characteristic curve analysis.
Results: Tumor diameter and volume predicted LNM with an area under the curve
(AUC) of 0.567 and 0.589, respectively. AUC, sensitivity, specificity, positive
and negative predictive values, and accuracy of the 2 models were not
significantly different. Tumor diameter >= 3 cm showed a significant association
with LNM (odds ratio [OR], 2.57; 95% confidence interval [CI], 1.01-6.57; P =
0.049), whereas a tumor volume cutoff value of 752.8 cm3 showed no significant
association with LNM (OR, 1.52; 95% CI, 0.59-3.88; P = 0.385). Conclusions: Tumor
volume had no advantage over diameter for predicting LNM in well/moderately
differentiated EGC with minute submucosal invasion.
PMID- 29371945
TI - BICD1 expression, as a potential biomarker for prognosis and predicting response
to therapy in patients with glioblastomas.
AB - There is variation in the survival and therapeutic outcome of patients with
glioblastomas (GBMs). Therapy resistance is an important challenge in the
treatment of GBM patients. The aim of this study was to identify Temozolomide
(TMZ) related genes and confirm their clinical relevance. The TMZ-related genes
were discovered by analysis of the gene-expression profiling in our cell-based
microarray. Their clinical relevance was verified by in silico meta-analysis of
the Cancer Genome Atlas (TCGA) and the Chinese Glioma Genome Atlas (CGGA)
datasets. Our results demonstrated that BICD1 expression could predict both
prognosis and response to therapy in GBM patients. First, high BICD1 expression
was correlated with poor prognosis in the TCGA GBM cohort (n=523) and in the CGGA
glioma cohort (n=220). Second, high BICD1 expression predicted poor outcome in
patients with TMZ treatment (n=301) and radiation therapy (n=405). Third,
multivariable Cox regression analysis confirmed BICD1 expression as an
independent factor affecting the prognosis and therapeutic response of TMZ and
radiation in GBM patients. Additionally, age, MGMT and BICD1 expression were
combinedly utilized to stratify GBM patients into more distinct risk groups,
which may provide better outcome assessment. Finally, we observed a strong
correlation between BICD1 expression and epithelial-mesenchymal transition (EMT)
in GBMs, and proposed a possible mechanism of BICD1-associated survival or
therapeutic resistance in GBMs accordingly. In conclusion, our study suggests
that high BICD1 expression may result in worse prognosis and could be a predictor
of poor response to TMZ and radiation therapies in GBM patients.
PMID- 29371946
TI - Episode-like pulse testosterone supplementation induces tumor senescence and
growth arrest down-modulating androgen receptor through modulation of p-ERK1/2,
pARser81 and CDK1 signaling: biological implications for men treated with
testosterone replacement therapy.
AB - Despite the growing body of knowledge showing that testosterone (T) may not
significantly affect tumor progression in hypogonadal patients treated for
prostate cancer (Pca), the use of this hormone in this population still remains
controversial. The effects of continuous or pulsed T stimulation were tested in
vitro and in vivo on androgen-sensitive Pca cell lines in order to assess the
differential biological properties of these two treatment modalities. Pulsed T
treatment resulted in a greater inhibition than continuous T supplementation of
tumor growth in vitro and in vivo. The effects of pulsed T treatment on tumor
growth inhibition, G0/G1 cell cycle arrest, and tumor senescence was more
pronounced than those obtained upon continuous T treatments. Mechanistic studies
revealed that G0/G1 arrest and tumor senescence upon pulsed T treatment were
associated with a marked decrease in cyclin D1, c-Myc and SKp2, CDK4 and p-Rb
levels and upregulation of p27 and p-ERK1/2. Pulsed, but not continuous, T
supplementation decreased the expression levels of AR, p-ARser81 and CDK1 in both
cellular models. The in vitro results were confirmed in an in vivo xenografts,
providing evidence of a greater inhibitory activity of pulsed supraphysiological
T supplementation than continuous treatment, both in terms of tumor volume and
decreased AR, p-ARser81, PSA and CDK1 staining. The rapid cycling from
hypogonadal to physiological or supra-physiological T intraprostatic
concentrations results in cytostatic and senescence effects in preclinical models
of androgen-sensitive Pca. Our preclinical evidence provides relevant new
insights in the biology of Pca response to pulsed T supplementation.
PMID- 29371947
TI - Programmed death-ligand 1 expression according to epidermal growth factor
receptor mutation status in pretreated non-small cell lung cancer.
AB - Background: Current clinical trials have suggested poorer efficacies of anti
programmed death-1 (PD-1)/PD-ligand 1 (PD-L1) immunotherapies for non-small cell
lung cancer (NSCLC) harboring epidermal growth factor receptor (EGFR) mutations,
implying lower PD-L1 expression in EGFR-mutant NSCLC than in EGFR-wild type.
Methods: We retrospectively analyzed correlation between PD-L1 expression and
EGFR status in clinical samples of pretreated NSCLC. PD-L1 immunohistochemistry
was performed using the 28-8 anti-PD-L1 antibody for tumor cell membrane
staining. H-score was adopted to evaluate both percentage and intensity. We
investigated H-scores >=1, >=5, and >=10 as PD-L1+ cut-offs. H-score >=10 was
defined as strong PD-L1+. Results: We investigated 96 available histologic
samples in 77 pretreated patients with NSCLC. Median H-score in EGFR-mutant
samples (n=65) was 3 (range, 0-150), whereas EGFR-wild-type (n=31) was 8 (range,
0-134) (p=0.0075). Using H-scores >=1, >=5, and >=10 cut-offs, incidence of PD
L1+ in EGFR-mutant vs. EGFR-wild-type samples were: 85% (55/65) vs. 94% (29/31)
(p=0.2159); 42% (27/65) vs. 74% (23/31) (p=0.0027); and 22% (14/65) vs. 48%
(15/31) (p=0.0074), respectively. Patient-oriented (n=77) univariate analysis for
strong PD-L1+ found age of sample (p=0.0226) and EGFR mutation status (p=0.0490)
as significant factors. Multivariate analysis identified EGFR mutation status as
the only significant factor (p=0.0121, odds ratio 2.99) for strong PD-L1+. H
scores of PD-L1 expression varied in all 11 cases receiving multiple rebiopsies,
and categories of positivity migrated in 10 (91%) of 11 patients. Conclusions: PD
L1 expression was significantly lower in EGFR-mutant NSCLC samples than in EGFR
wild-type samples. Its expression could be dynamic and affected by age of sample.
PMID- 29371948
TI - Intrahepatic cholangiocarcinoma patients without indications of lymph node
metastasis not benefit from lymph node dissection.
AB - BACKGROUND: To investigate the necessity of routine lymph node dissection (LND)
in intrahepatic cholangiocarcinoma (ICC) patients without indications of lymph
node metastasis (LNM) preoperatively. METHODS: 422 consecutive ICC patients who
undergone curative resection from January 2009 to December 2014 were enrolled and
categorized as two groups (hepatectomy only or hepatectomy plus LND).
Clinicopathologic data was compared between the groups by chi2 or Fisher's exact
test. Overall survival (OS) and recurrence-free survival (RFS) were calculated by
the Kaplan-Meier method and differences were analyzed using the log-rank test.
Cox regression model was adopted for multivariable analysis. RESULTS: The median
OS time of all 422 patients was 41.4 months. One-, 3-, and 5-year OS was 67%,
47%, and 35%, respectively. A total of 73 patients had undergone curative
resection combined with LND, of whom 20.5% (15/73) were confirmed lymph node
positive pathologically. The clinicopathologic characteristics between LND and
control groups showed no significant differences. Of the 422 patients, 271
patients had recurrence. The recurrence rates were 65.8% for the LND group and
63.9% for the non-LND group. Survival analysis revealed that, neither the OS (LND
vs. non-LND: 32.2 months vs. 46.2 months; p = 0.16) nor the RFS (LND vs. non-LND:
23.1 months vs. 17.0 months; p = 0.09) had significant difference. Multivariate
analysis revealed that tumor size, tumor number, carbohydrate antigen19-9,
carcinoembryonic antigen, and gamma-glutamyl transpeptidase were independent
predictive factors for OS and RFS. CONCLUSION: Routine LND may not improve
survival in resectable ICC patients with negative LNM diagnosis before operation.
PMID- 29371949
TI - Cell activity during peripheral nerve defect repair process using a nerve
scaffold.
AB - Peripheral nerve defects, but not artificial nerves, are repaired by endogenous
cells. We examined cell activity during the repair process in the presence of
autologous nerves and artificial preparations in order to guide future artificial
nerve fabrication. PLGA tubes, nerve scaffolds comprising a PLGA tube plus 6,000
fibroin fibers, or autologous nerves were implanted into 10 mm rat sciatic nerve
defects (n = 60 per group). Over a period of 1-20 weeks after nerve grafting,
sections were stained and imaged to distinguish the cell types present and we
quantified the recovery of motor and sensory function in the surgically implanted
limb. We observed a decreasing trend in inflammatory cell and fibroblast counts
over time which ranked in magnitude as: (PLGA group > nerve scaffold > autologous
nerve> sham) and an opposite trend in Schwann cell counts. Differences in
withdrawal time from hot water and static sciatic index (SSI) indicated that,
after repair, sensory and motor function were best in the sham group, followed by
the autologous group, the nerve scaffold group, and the PLGA group. These
findings indicate that the inflammatory reaction is significant in the first two
weeks after nerve grafting, followed by the rebirth of fibroblasts and Schwann
cells, which guide axon regeneration. This inflammatory response was a
fundamental stage of peripheral defect repair, but a weaker inflammatory response
corresponded to better recovery of sensorimotor functional.
PMID- 29371950
TI - Overexpression of miR-489 enhances efficacy of 5-fluorouracil-based treatment in
breast cancer stem cells by targeting XIAP.
AB - Population of cancer stem cells (CSCs) in breast cancer is reported to be
resistant to chemotherapy. Furthermore, many cases of treatment failure are
induced by the chemoresistance of CSCs in breast cancer patients. Therefore,
novel strategies should be explored urgently to reverse drug-resistance in breast
cancer stem cells (BCSCs). In this study, we isolated and cultured the BCSCs from
the T-47D and SKBR3 breast cancer cell lines. We observed significant resistance
to 5-fluorouracil in BCSCs. Mechanically, we found that expression of miR-489 was
decreased in BCSCs. Furthermore, overexpression of miR-489 was found to increase
the cytotoxicity of 5-fluorouracil to BCSCs. XIAP, a key anti-apoptotic protein,
was proved to be the target of miR-489. We found that enforced expression of XIAP
through its recombinant expression vector abolished the effect of miR-489 on
reversing the 5-fluorouracil resistance. On the contrary, embelin, a XIAP
specific inhibitor, was found to sensitize BCSCs to 5-fluorouracil similarly with
miR-489. In summary, our data demonstrate that introduction with miR-489
represents a novel strategy to enhance efficacy of 5-fluorouracil-based treatment
in BCSCs.
PMID- 29371951
TI - LKB1 loss cooperating with BRAF V600E promotes melanoma cell invasion and
migration by up-regulation MMP-2 via PI3K/Akt/mTOR pathway.
AB - The serine/threonine kinase LKB1, act as a tumor suppressor, has been reported in
several sporadic cancers. However, how the loss of LKB1 promotes melanoma
invasion and metastasis remains incompletely understood. In this study, we
inactivated LKB1expression by RNA interference in BRAF mutation and wild type
melanoma cells respectively. We found LKB1 inactivation cooperate with BRAF V600E
lead to melanoma cells more aggressive by a series of experiments including wound
scratch test, Transwell assay. While single alteration, either LKB1 loss or BRAF
V600E, fails to enhance melanoma cells invasion ability. Mechanistically, LKB1
loss synergism with BRAF V600E resulted in the activation of the PI3K/Akt/mTOR
signaling pathway and significant up-regulation expression of MMP-2. In addition,
LKB1 expression in human melanoma tissues was negatively associated with MMP-2
expression in the presence of BRAF V600E. Thus, our findings indicate a probable
explanation on LKB1 function as a tumor suppressor in melanoma and a new
therapeutic strategy for melanoma by targeting on BRAF and LKB1 together.
PMID- 29371953
TI - Evaluation of the combination of the dual m-TORC1/2 inhibitor vistusertib
(AZD2014) and paclitaxel in ovarian cancer models.
AB - Activation of the PI3K/mTOR pathway has been shown to be correlated with
resistance to chemotherapy in ovarian cancer. We aimed to investigate the effects
of combining inhibition of mTORC1 and 2 using the mTOR kinase inhibitor
vistusertib (AZD2014) with paclitaxel in in vitro and in vivo ovarian cancer
models. The combination of vistusertib and paclitaxel on cell growth was additive
in a majority of cell lines in the panel (n = 12) studied. A cisplatin- resistant
model (A2780Cis) was studied in vitro and in vivo. We demonstrated inhibition of
mTORC1 and mTORC2 by vistusertib and the combination by showing reduction in p-S6
and p-AKT levels, respectively. In the A2780CisR xenograft model compared to
control, there was a significant reduction in tumor volumes (p = 0.03) caused by
the combination and not paclitaxel or vistusertib alone. In vivo, we observed a
significant increase in apoptosis (cleaved PARP measured by immunohistochemistry;
p = 0.0003). Decreases in phospholipid and bioenergetic metabolites were studied
using magnetic resonance spectroscopy and significant changes in phosphocholine
(p = 0.01), and ATP (p = 0.04) were seen in tumors treated with the combination
when compared to vehicle-control. Based on this data, a clinical trial evaluating
the combination of paclitaxel and vistusertib has been initiated (NCT02193633).
Interestingly, treatment of ovarian cancer patients with paclitaxel caused an
increase in p-AKT levels in platelet-rich plasma and it was possible to abrogate
this increase with the co-treatment with vistusertib in 4/5 patients: we believe
this combination will benefit patients with ovarian cancer.
PMID- 29371952
TI - Truncated protein tyrosine phosphatase receptor type O suppresses AKT signaling
through IQ motif containing GTPase activating protein 1 and confers sensitivity
to bortezomib in multiple myeloma.
AB - Proteasome inhibitors are an important part of our chemotherapeutic armamentarium
against multiple myeloma, but the vast majority of patients eventually develop
drug-resistant disease through incompletely understood mechanisms. Comparison of
gene expression profiles (GEPs) of bortezomib-resistant (BR) myeloma cell lines
with their drug-naive counterparts revealed decreased expression of truncated
Protein tyrosine phosphatase receptor-type O (PTPROt) in BR cells. Over
expression of wild-type PTPROt in drug-naive and BR cells reduced myeloma cell
proliferation, induced apoptosis, and sensitized cells to bortezomib and to
alkylating agents. PTPROt expression reduced AKT phosphorylation and activity,
and sensitized to pharmacologic AKT pathway inhibitors, but this was not the case
for a substrate-trapping catalytic domain-inactivating mutant. Co
immunoprecipitation and mass spectrometry studies identified IQ motif containing
GTPase activating protein 1 (IQGAP1) as a PTPROt binding partner, and PTPROt
reduced tyrosine phosphorylation of IQGAP1, providing a link to AKT activity.
Analysis of clinically annotated GEP databases identified high PTPROt expression
as being related to an increased likelihood of achieving complete remission with
bortezomib therapy, while low expression was linked to a greater likelihood of
disease progression. Finally, high PTPROt expression associated with prolonged
median overall survival in patients receiving bortezomib-based therapy in the
front-line or relapsed and/or refractory settings. Taken together, these data
identify PTPROt suppression as a novel mechanism of myeloma resistance to
bortezomib in myeloma cell lines, and also support the possibility that PTPROt
expression could be used as a biomarker to predict outcomes with bortezomib, and
by which to select patients for therapy with AKT inhibitors.
PMID- 29371954
TI - Integrated hepatic transcriptional and serum metabolic studies on circulating
nutrient metabolism in diurnal laying hens.
AB - The aim of the study was to see the diurnal variation of nutrients metabolism and
their regulation under the management of large-scaled production. The hepatic
transcriptional and serum metabolic studies on circulating nutrient metabolism
were investigated in diurnal laying hens. Liver and blood were collected from 36
hens that were slaughtered at 3:30, 7:30, 11:30, 15:30, 19:30, and 23:30 (n = 6),
respectively. The serum amino acid, fatty acid and glucose levels, as well as the
hepatic transcriptome were analyzed. The results revealed that the circadian
clock genes such as Bmal1, Clock, Per1, and Cry2 displayed circadian rhythms in
hen livers. The genes related to circulating nutrient transportation,
lipogenesis, lipid catabolism, sterol metabolism, and oxidative/anti-oxidative
systems also oscillated. However, the nadir of glucose was observed at 7:30 and
peaked at 11:30 in the day. Amino acid levels peaked mainly at night, and most
amino acids exhibited circadian rhythms based on CircWave analysis. With the
exception of undecanoic acid (C11:0), myristoleic acid (C14:1), cis-11, 14
eicosenoic acid (C20:2), and (cis-4, 7, 10, 13, 16, 19-docosahexaenoic acid)
C20:3N6 fatty acids, others peaked at 7:30 and 15:30. The results indicated that
the hens required more glucose in the early morning. More proteins should be
ingested late in the day, since protein catabolism occurred mostly at night. To
remove the redundant fats and lipids, fewer should be ingested, especially during
the night. All these results would help to design a more accurate nutrition
schedule for improving the performance of laying hens in the future.
PMID- 29371955
TI - A comparative global phosphoproteomics analysis of obinutuzumab (GA101) versus
rituximab (RTX) against RTX sensitive and resistant Burkitt lymphoma (BL)
demonstrates differential phosphorylation of signaling pathway proteins after
treatment.
AB - We recently demonstrated that obinutuzumab (GA101), a novel glycoengineered type
II CD20 Ab compared to rituximab (RTX) mediates significantly enhanced antibody
dependent cell cytotoxicity (ADCC) in vitro and increased overall survival in a
Burkitt lymphoma (BL) xenograft non-obese diabetic severe combined
immunodeficiency gamma (NSG) model. In this study we compared the
phosphoproteomic changes by pathway analysis following obinutuzumab vs RTX
against RTX-sensitive (Raji) and -resistant BL (Raji4RH). Phosphoproteomic
analyses were performed by mass-spectrometry (MS)-based label-free quantitative
phosphoproteomic profiling. We demonstrated that 418 proteins in Raji and 377
proteins in Raji 4RH, were differentially phosphorylated (>1.5-fold) after
obinutuzumab vs. RTX. Proteins that were significantly differentially
phosphorylated included the B cell antigen receptor (BCR) (PLCG2, BTK and GSK3B),
Fc gamma phagocytosis (FCRG2B, MAPK1, PLCG2 and RAF1), and natural killer cell
mediated cytotoxicity (MAPK1, RAF1, PLCG2 and MAPK3) signaling pathways.
Differential phosphorylation of BCR or cytotoxicity pathway proteins revealed
significant up-regulation of BTK, PLCY2 and ERK1/RAF1 after obinutuzumab compared
to RTX. Silencing of PLCG2 in the BCR and MAPK1 in the cytotoxicity pathway
significantly increased BL proliferation and decreased BL cytotoxicity after
obinutuzumab compared to RTX. These results in combination with our previous
results demonstrating a significant improvement in in vitro BL cytotoxicity and
in vivo BL survival by obinutuzumab compared to RTX may in part be due to
differential effects on selected BL protein signaling pathways.
PMID- 29371956
TI - Canine distemper viral infection threatens the giant panda population in China.
AB - We evaluated exposure to canine distemper virus (CDV) in eight wild giant pandas
(Ailuropoda melanoleuca) and 125 unvaccinated domestic dogs living in and around
Foping National Nature Reserve (FNNR), China. Seventy-two percent of unvaccinated
domestic dogs (mixed breed) had neutralizing antibodies for CDV due to exposure
to the disease. The eight wild giant pandas were naive to CDV and carried no
positive antibody titer. RT-PCR assays for hemagglutinin (H) gene confirmed the
presence of CDV in 31 clinically ill dogs from several areas near FNNR. Genomic
sequence analysis showed that the 21 canine CDV were highly homologous to each
other and belonged to the Asian-1 genotype. They showed high homology with the
GP01 strain sequenced from a fatally infected giant panda, suggesting cross
species infection. Observational and GPS tracking data revealed home range
overlap in pandas and dogs around FNNR. This study shows that CDV is endemic in
domestic dogs near FNNR and that cross-species CDV infection threatens the wild
giant panda population.
PMID- 29371957
TI - Anti-Mullerian hormone levels in patients with gestational trophoblastic
neoplasia treated with different chemotherapy regimens: a prospective cohort
study.
AB - Purpose: To assess the ovarian reserve of patients with gestational trophoblastic
neoplasia (GTN) treated with chemotherapy by evaluating serum anti-Mullerian
hormone (AMH) and follicle-stimulating hormone (FSH) levels before, during, and
after chemotherapy. Results: The basal AMH level (mean: 3.98 +/- 3.20 ng/mL)
negatively correlated with age, while the basal FSH level (mean: 5.71 +/- 9.69
mIU/mL) had no correlation with age. After 3 chemotherapy cycles, serum AMH
levels decreased and FSH levels increased. The magnitude of the AMH level decline
was significantly greater for combination chemotherapy than for single-agent
dactinomycin D therapy (61.80% vs. 27.57%) (p = 0.0004) and was higher in
patients whose regimens included etoposide (73.69% vs 40.51%) (p = 0.0359). After
chemotherapy completion, AMH levels showed a further decline, and cumulative AMH
concentration change was associated with doses of vincristine (p = 0.009) and
etoposide (p = 0.032). At the 3-month follow-up, AMH levels significantly
increased in the dactinomycin D group (p = 0.0067). Materials and Methods: This
prospective study included 34 patients with GTN. Serum AMH and FSH levels were
measured before chemotherapy, after the 3rd cycle, and at 2 weeks and 3 months
after chemotherapy. Cumulative changes of serum AMH levels in patients who
received different chemotherapy regimens were analyzed. Conclusions: Chemotherapy
for GTN affects the ovarian reserve, with substantial differences between
chemotherapy protocols. The results improve our understanding of ovarian toxicity
and support the use of fertility preservation strategies.
PMID- 29371958
TI - Gestational diabetes mellitus is associated with decreased adipose and placenta
peroxisome proliferator-activator receptor gamma expression in a Chinese
population.
AB - Peroxisome proliferator-activated receptors gamma (PPARgamma) is a member of
nuclear receptor superfamily, and studies have demonstrated that dysregulation of
PPARgamma was associated with gestational diabetes mellitus (GDM), which is one
of the most common metabolic abnormalities occurring during pregnancy. However,
the results regarding the associations between PPARgamma and GDM were conflicting
among different studies. The present study aimed to determine the expression of
PPARgamma in adipose and placenta from GDM women in a Chinese population and to
further explore the role of PPARgamma in GDM women. The adipose and placenta
tissues were isolated from GDM women and healthy pregnant women at term. The mRNA
and protein expressions of PPARgamma in adipose and placenta tissues were
determined by qRT-PCR and western blot, respectively. Univariate correlation
analysis was used to analyze the relationship between PPARgamma expression and
clinical characteristics of patients. The levels of tryglycerides and HbA1c were
significantly higher, while the levels of low density lipoprotein (LDL)
cholesterol, adiponectin and insulin were significantly lower in the GDM women
than that in the healthy pregnant women. The mRNA and protein expression of
PPARgamma in both adipose and placenta from GDM women were significantly lower
than that from healthy pregnant women. PPARgamma mRNA expression in both adipose
and placenta positively correlated with LDL cholesterol and adiponectin levels,
and negatively correlated with tryglycerides and glucose levels at 0 h, 1 h and 2
h of 75 g oral glucose tolerance test. In summary, our results suggest that
PPARgamma may be a key modulator in the development of GDM, due to the roles of
PPARgamma in glucose homeostasis and adipose tissue development and function.
PMID- 29371959
TI - Modulating the metabolism by trimetazidine enhances myoblast differentiation and
promotes myogenesis in cachectic tumor-bearing c26 mice.
AB - Trimetazidine (TMZ) is a metabolic reprogramming agent able to partially inhibit
mitochondrial free fatty acid beta-oxidation while enhancing glucose oxidation.
Here we have found that the metabolic shift driven by TMZ enhances the myogenic
potential of skeletal muscle progenitor cells leading to MyoD, Myogenin, Desmin
and the slow isoforms of troponin C and I over-expression. Moreover, similarly to
exercise, TMZ stimulates the phosphorylation of the AMP-activated protein kinase
(AMPK) and up-regulates the peroxisome proliferator-activated receptor gamma
coactivator 1-alpha (PGC1alpha), both of which are known to enhance the
mitochondrial biogenesis necessary for myoblast differentiation. TMZ also induces
autophagy which is required during myoblast differentiation and promotes myoblast
alignment which allows cell fusion and myofiber formation. Finally, we found that
intraperitoneally administered TMZ (5mg/kg) is able to stimulate myogenesis in
vivo both in a mice model of cancer cachexia (C26 mice) and upon cardiotoxin
damage. Collectively, our work demonstrates that TMZ enhances myoblast
differentiation and promotes myogenesis, which might contribute recovering stem
cell blunted regenerative capacity and counteracting muscle wasting, thanks to
the formation of new myofibers; TMZ is already in use in humans as an anti
anginal drug and its repositioning might impact significantly on aging and
regeneration-impaired disorders, including cancer cachexia, as well as have
implications in regenerative medicine.
PMID- 29371960
TI - Modulation of inflammation by toll-like receptor 4/nuclear factor-kappa B in
diarrhea-predominant irritable bowel syndrome.
AB - In order to investigate the function of toll-like receptor 4/nuclear factor-kappa
B (TLR4/NF-kappaB) signal pathways in the pathogenesis of diarrhea-predominant
irritable bowel syndrome (IBS-D), IBS-D animal models were established in wistar
rats challenged with acute and chronic stresses (29 days). Wistar rats without
stress-challenged were used as controls. IBS-D models were randomly divided into
two groups: one was treated with normal saline, another group was treated with
TLR4/NF-kappaB inhibitor, pyrrolidine dithiocarbamate (PDTC) (50mg/kg/week) for
continuous four times. Our results demonstrate that continuous stresses can
induce the characteristic symptoms of IBS-D, including high wet stool rate and
intestinal flora imbalance. Further examinations of colon tissues show that the
protein expression levels of TLR4 and NF-kappaB in IBS-D groups are higher than
that in control group. The secretory levels of interleukin (IL-8), tumor necrosis
factor alpha (TNFalpha), and myeloid differentiation factor 88 (MyD88) are
significantly increased in IBS-D group. Administration with PDTC effectively
downregulates levels of these inflammatory factors. In contrast, interleukin-10
(IL-10) is in an opposite alteration with lower levels in IBS-D groups and the
PDTC treatment increases it to the levels as in control group. Moreover,
inhibition of the TLR4/NF-kappaB by PDTC improves the microstructure of
intestinal mucosa mainly by increasing the height of villi. Our results suggest
that TLR4/NF-kappaB signal pathway plays an important role in the modulation of
inflammatory responses in IBS-D, which might be a therapeutic target for the IBS
D. All of these findings also provide the evidence concerning an inherent linkage
between the axis of stress/NF-kappaB/inflammation and IBS-D.
PMID- 29371961
TI - Knock-in human GDF5 proregion L373R mutation as a mouse model for proximal
symphalangism.
AB - Proximal symphalangism (SYM1) is an autosomal dominant disorder, mainly
characterized by bony fusions of the proximal phalanges of the hands and feet.
GDF5 and NOG were identified to be responsible for SYM1. We have previously
reported on a p.Leu373Arg mutation in the GDF5 proregion present in a Chinese
family with SYM1. Here, we investigated the effects of the GDF-L373R mutation.
The variant caused proteolysis efficiency of GDF5 increased in ATDC5 cells. The
variant also caused upregulation of SMAD1/5/8 phosphorylation and increased
expression of target genes SMURF1, along with COL2A1 and SOX9 which are factors
associated with chondrosis. Furthermore, we developed a human-relevant SYM1 mouse
model by making a Gdf5L367R (the orthologous position for L373R in humans) knock
in mouse. Gdf5L367R/+ and Gdf5L367R/L367R mice displayed stiffness and adhesions
across the proximal phalanx joint which were in complete accord with SYM1. It was
also confirmed the joint formation and development was abnormal in Gdf5L367R/+
and Gdf5L367R/L367R mice, including the failure to develop the primary
ossification center and be hypertrophic chondrocytes during embryonic
development. This knock-in mouse model offers a tool for assessing the
pathogenesis of SYM1 and the function of the GDF5 proregion.
PMID- 29371962
TI - Overexpression of NR4A1 is associated with tumor recurrence and poor survival in
non-small-cell lung carcinoma.
AB - : The expression level and clinical significance of NR4A1 are presently unknown
in the non-small-cell lung carcinoma (NSCLC). This study aimed to explore the
expression, prognostic value, and function of NR4A1 in NSCLC. METHODS:
Clinicopathological parameters of 167 NSCLC patients who received radical surgery
from January 2007 and December 2012 were retrospectively reviewed. The NR4A1
expression in NSCLC tumors and the adjacent matched para-carcinoma specimens were
examined, and the association between NR4A1 expression and clinical variables was
explored. Cell viability assay, and transwell migration and invasion assays were
used to access the function of NR4A1 in NSCLC. Kaplan-Meier analysis and Cox
regression were performed to investigate the prognostic significance of NR4A1 for
NSCLC. RESULTS: NR4A1 was overexpressed in NSCLC tissues compared with the para
carcinoma specimens. Consistently, Oncomine analysis showed that NR4A1 was
overexpressed in NSCLC tissues compared with normal tissues in published datasets
(P < 0.001). The elevated NR4A1 expression was associated with carcinoma
recurrence (P < 0.05). The 5-year median overall survival (OS) and progression
free survival (PFS) were significantly poorer in the NR4A1-overexpression group.
Multivariate Cox analysis showed that NR4A1 overexpression was an independent
factor for OS (HR, 95%CI: P < 0.05) and PFS (HR, 95%CI: P < 0.05) in NSCLC.
Moreover, knockdown of NR4A1 significantly reduced NSCLC cell proliferation,
migration, and invasion. CONCLUSIONS: NR4A1 exhibits a tumor-promoting effect on
NSCLC, and might serve as a promising prognostic biomarker and a therapeutic
target for NSCLC.
PMID- 29371963
TI - Genome-wide analysis of the human malaria parasite Plasmodium falciparum
transcription factor PfNF-YB shows interaction with a CCAAT motif.
AB - Little is known about transcription factor regulation during the Plasmodium
falciparum intraerythrocytic cycle. In order to elucidate the role of the P.
falciparum (Pf)NF-YB transcription factor we searched for target genes in the
entire genome. PfNF-YB mRNA is highly expressed in late trophozoite and schizont
stages relative to the ring stage. In order to determine the candidate genes
bound by PfNF-YB a ChIP-on-chip assay was carried out and 297 genes were
identified. Ninety nine percent of PfNF-YB binding was to putative promoter
regions of protein coding genes of which only 16% comprise proteins of known
function. Interestingly, our data reveal that PfNF-YB binding is not exclusively
to a canonical CCAAT box motif. PfNF-YB binds to genes coding for proteins
implicated in a range of different biological functions, such as replication
protein A large subunit (DNA replication), hypoxanthine phosphoribosyltransferase
(nucleic acid metabolism) and multidrug resistance protein 2 (intracellular
transport).
PMID- 29371964
TI - Factor inhibiting HIF1-A novel target of SUMOylation in the human placenta.
AB - Adaptations to changes in oxygen are critical to ensure proper placental
development, and impairments in oxygen sensing mechanisms characterize placental
pathologies such as preeclampsia. In this study, we examined the involvement of
SUMOylation, a reversible posttranslational modification, in the regulation of
the asparaginyl hydroxylase Factor Inhibiting Hypoxia Inducible Factor 1 (FIH1)
in the human placenta in development and in disease status. FIH1 protein
abundance and spatial distribution in the developing placenta directly correlated
with oxygen tension in vivo. Immunofluorescence analysis showed that early on
FIH1 primarily localized to nuclei of cytotrophoblast cells, while after 10 weeks
of gestation it was present in nuclei and cytoplasm of both cytotrophoblast and
syncytiotrophoblast cells. Exposure of choriocarcinoma JEG-3 cells to hypoxia
induced FIH1 SUMOylation by promoting its association to SUMO2/3. Transfection of
JEG-3 cells with FIH1 constructs containing SUMO-mutated sites revealed that
SUMOylation of FIH1 by SUMO2/3 targeted it for proteasomal degradation,
particularly in hypoxia. SUMOylation of FIH1 directly impacted on HIF1A activity
as determined by HIF-responsive luciferase assay. Co-immunoprecipitation analyses
revealed enhanced FIH1-SUMO2/3 associations early in development, when FIH1
levels are low, while deSUMOylation of FIH1 by SENP3 increased later in
gestation, when FIH1 levels are rising. In preeclampsia, decreased FIH1 protein
expression associated with impaired deSUMOylation by SENP3 and increased
association with the ubiquitin ligase RNF4. We propose a novel mode of regulation
of FIH1 stability by dynamic SUMOylation and deSUMOylation in the human placenta
in response to changing oxygen tension, thereby mediating HIF1A transcriptional
activity in physiological and pathological conditions.
PMID- 29371965
TI - A link between RelB expression and tumor progression in laryngeal cancer.
AB - Laryngeal cancer is a frequent malignancy originating from the squamous vocal
epithelium in a multi-stage fashion in response to environmental carcinogens.
Although most cases can be cured by surgery and/or radiotherapy, advanced and
relapsing disease is common, and biomarkers of such dismal cases are urgently
needed. The cancer genome of laryngeal cancers was recently shown to feature a
signature of aberrant nuclear factor (NF)-kappaB activation, but this finding has
not been clinically exploited. We analyzed primary tumor samples of 96 well
documented and longitudinally followed patients covering the whole spectrum of
laryngeal neoplasia, including 21 patients with benign laryngeal diseases, 15
patients with dysplasia, 43 patients with early-stage carcinoma, and 17 patients
with locally advanced carcinoma, for immunoreactivity of RelA, RelB, P50, and
P52/P100, the main NF-kappaB subunits that activate transcription. Results were
cross-examined with indices of tumor progression and survival. Interestingly,
RelB expression increased with tumor stage, grade, and local extent. Moreover,
patients displaying high RelB immunoreactivity exhibited statistically
significantly poorer survival compared with patients featuring low levels of RelB
expression (P = 0.018 by log-rank test). Using Cox regression analyses and tumor
stage, local extent, grade and RelA/RelB immunoreactivity, we develop a new score
that can independently predict survival of patients with laryngeal cancer. Hence
we provide a simple and affordable NF-kappaB-based test to predict prognosis in
laryngeal cancer.
PMID- 29371966
TI - Highly preserved consensus gene modules in human papilloma virus 16 positive
cervical cancer and head and neck cancers.
AB - In this study, we investigated the consensus gene modules in head and neck cancer
(HNC) and cervical cancer (CC). We used a publicly available gene expression
dataset, GSE6791, which included 42 HNC, 14 normal head and neck, 20 CC and 8
normal cervical tissue samples. To exclude bias because of different human
papilloma virus (HPV) types, we analyzed HPV16-positive samples only. We
identified 3824 genes common to HNC and CC samples. Among these, 977 genes showed
high connectivity and were used to construct consensus modules. We demonstrated
eight consensus gene modules for HNC and CC using the dissimilarity measure and
average linkage hierarchical clustering methods. These consensus modules included
genes with significant biological functions, including ATP binding and
extracellular exosome. Eigengen network analysis revealed the consensus modules
were highly preserved with high connectivity. These findings demonstrate that
HPV16-positive head and neck and cervical cancers share highly preserved
consensus gene modules with common potentially therapeutic targets.
PMID- 29371967
TI - Prognostic values of long non-coding RNA MIR22HG for patients with hepatocellular
carcinoma after hepatectomy.
AB - Hepatocellular carcinoma (HCC) is the fifth most frequently diagnosed cancer
worldwide and the second most frequent cause of cancer death. The aim of this
study is to identify the association between the expression of long non-coding
RNA (lncRNA) MIR22HG and the clinical and tumor characteristics of patients with
HCC, and to explore the prognostic significance of lncRNA MIR22HG on patients
with HCC. We retrospectively reviewed 127 patients with HCC(42 female, 85 male)
who were managed in our hospital between May 1st 2010 and June 30th 2016. The
expressions of lncRNA MIR22HG were detected by real-time PCR. Prognostic factors
were evaluated using Kaplan-Meier curves and Cox proportional hazards models. For
the entire cohort of 127 patients, the normalized real-time PCR showed that the
expression of lncRNA MIR22HG was lower in HCC tissues compared with corresponding
nontumorous tissues. MTT assay showed that si-MIR22HG remarkably inhibited the
proliferation tumor cells in three HCC cell lines including SMMC-7721, Huh-7 and
Hep3B. Moreover, under-expression of MIR22HG was closely related to tumor
encapsulation, microvascular invasion (MVI), and TNM stage. Cox proportional
hazards analysis demonstrated that lncRNA MIR22HG under-expression was an
independent risk factor associated with the prognosis of patients with HCC. In
conclusion, we found that lncRNA MIR22HG expressed significantly lower in HCC
tissues compared with non-tumorous tissues. Under-expression of lncRNAMIR22HG was
an independent risk factor associated with the prognosis of patients with HCC.
PMID- 29371968
TI - AMRI-59 functions as a radiosensitizer via peroxiredoxin I-targeted ROS
accumulation and apoptotic cell death induction.
AB - Previously, we identified AMRI-59 as a specific pharmaceutical inhibitor of
peroxiredoxin (PRX) I enzyme activity. In this study, we examined whether AMRI-59
acts as a radiosensitizer in non-small cell lung cancer cells using clonogenic
assays. The intracellular mechanisms underlying the radiosensitization effect of
AMRI-59 were determined via immunoblotting in addition to measurement of ROS
generation, mitochondrial potential and cell death. AMRI-59 activity in vivo was
examined by co-treating nude mice with the compound and gamma-ionizing radiation
(IR), followed by measurement of tumor volumes and apoptosis. The dose
enhancement ratios of 30 MUM AMRI-59 in NCI-H460 and NCI-H1299 were 1.51 and
2.12, respectively. Combination of AMRI-59 with IR augmented ROS production and
mitochondrial potential disruption via enhancement of PRX I oxidation, leading to
increased expression of gammaH2AX, a DNA damage marker, and suppression of ERK
phosphorylation, and finally, activation of caspase-3. Notably, inhibition of ROS
production prevented ERK suppression, and blockage of ERK in combination with
AMRI-59 and IR led to enhanced caspase-3 activation and apoptosis. In a xenograft
assay using NCI-H460 and NCI-H1299, combined treatment with AMRI-59 and IR
delayed tumor growth by 26.98 and 14.88 days, compared with controls, yielding
enhancement factors of 1.73 and 1.37, respectively. Taken together, the results
indicate that AMRI-59 functions as a PRX I-targeted radiosensitizer by inducing
apoptosis through activation of the ROS/gammaH2AX/caspase pathway and suppression
of ERK.
PMID- 29371969
TI - MiR-124 acts as a target for Alzheimer's disease by regulating BACE1.
AB - Although large numbers of microRNAs (miRNAs) expressed in Alzheimer disease (AD)
have been detected, their functions and mechanisms of regulation remain to be
fully clarified. Beta-site Amyloid precursor protein Cleaving Enzyme 1 (BACE1)
has been one of the prime therapeutic targets for AD. Here, we identified that
miR-124 levels are gradually decreased in AD. In addition, we demonstrated that
miR-124 suppresses BACE1 expression by directly targeting the 3'UTR of Bace1 mRNA
in vitro. Inhibition of miR-124 significantly increased BACE1 levels in neuronal
cells. In contrast, miR-124 overexpression significantly suppressed BACE1
expression in cells. And finally we determined that downregulation of miR-124
alleviated Abeta-induced viability inhibition and decreased apoptosis in SH-SY5Y
cells. Our results demonstrated that miR-124 is a potent negative regulator of
BACE1 in the cellular AD phenotype and might be involved in the pathogenesis of
AD.
PMID- 29371970
TI - MicroRNA miR-147b promotes tumor growth via targeting UBE2N in hepatocellular
carcinoma.
AB - As the subfamily of noncoding RNA, microRNAs (miRNAs) broadly regulate the
development of cancers, while their dysregulation and function in human
hepatocellular carcinoma (HCC) remains largely unclear. Here, we found the
expression level of microRNA-147b (miR-147b) is increased aberrantly in HCC tumor
tissues, and its expression positively correlates to the tumor severity. In both
MTT and colony formation assay, knockdown of miR-147b dramatically inhibits in
vitro proliferation of HCC cell lines. More interestingly, we also performed in
vivo tumorigenesis assay and found that miR-147b can regulate in vivo
tumorigenesis in nude mice xenograft models. The ubiquitin-conjugating enzyme E2N
(UBE2N) was identified directly and functionally targeted by miR-147b. The mRNA
level of UBE2N is increased in HCC tumors or cell lines. Restoring UBE2N
expression level in tumor cells leads to inhibition of cell proliferation, which
mimics the effect upon miR-147b knockdown in the same cells. These data
elucidated the oncogenic role of miR-147b in HCC development and progression with
therapeutic target potentials.
PMID- 29371971
TI - Association between the PINX1 and NAT2 polymorphisms and serum lipid levels.
AB - Jing nationality is a relatively conservative and isolated minority in China.
Little is known about the association of the PIN2/TERF1-interacting telomerase
inhibitor 1 (PINX1) and N-acetyltransferase 2 (NAT2) single nucleotide
polymorphisms (SNPs) and serum lipid levels in the Chinese populations. This
study aimed to clarify the association of 6 SNPs of the PINX1 and NAT2 and serum
lipid levels in two Chinese populations. Genotyping of the SNPs was performed in
1236 Han subjects and 1248 Jing participants. Allelic and genotypic frequencies
of these variants (except NAT2 rs1799931) were different between the two ethnic
groups. The minor allele carriers had higher triglyceride (TG, rs11776767,
rs1495743 and rs1799930), low-density lipoprotein cholesterol (rs6601530) levels
and the apolipoprotein (Apo)A1/ApoB ratio (rs1495743) in Han nationality; and
higher total cholesterol (rs1961456), TG (rs11776767, rs6601530 and rs1495743)
and lower ApoA1 (rs6601530 and rs1799931) levels in Jing minority than the minor
allele non-carriers. The SNPs were not statistically independent by the multiple
locus linkage disequilibrium analyses. The integrative haplotypes and gene-by
gene (G * G) interactions on serum lipid traits were also observed in the two
populations. Association analysis based on haplotypes and G * G interactions
might be powerful than single-locus tests. Differences in serum lipid profiles
between the two populations might partially be attributed to these SNPs, their
haplotypes and G * G interactions.
PMID- 29371972
TI - CD44 drives aggressiveness and chemoresistance of a metastatic human osteosarcoma
xenograft model.
AB - Background: Osteosarcoma is the most common primary malignant bone tumor with a 5
year survival rate of up to 70%. However, patients with metastatic disease have
still a very poor prognosis. Osteosarcoma metastasis models are essential to
develop novel treatment strategies for advanced disease. Methods: Based on a
serial transplantation approach, we have established a U-2 OS osteosarcoma
xenograft model with increased metastatic potential and compared it to other
metastatic osteosarcoma models from international sources. Subclones with
differing invasive potential were compared for genomic gains and losses as well
as gene expression changes by several bioinformatic approaches. Based on the
acquired results, the effects of a shRNA-mediated CD44 mRNA knockdown on
migration, invasion and chemosensitivity were evaluated. Results: The CD44 gene
was part of an amplified region at chromosome 11p found in both U-2 OS subclones
with enhanced metastatic potential but not in parental U-2 OS cells,
corresponding with distinct CD44 overexpression. Accordingly, shRNA-mediated CD44
knockdown significantly attenuated osteosarcoma cell migration, invasion, and
viability especially in the metastatic subclones of U-2 OS and Saos-2 cells.
Metastatic subclones generally were hypersensitive against the integrin inhibitor
cilengitide paralleled by alterations in integrin expression pattern following
CD44 knock-down. Additionally, attenuation of CD44 expression sensitized these
cell models against osteosarcoma chemotherapy with doxorubicin but not
methotrexate and cisplatin. Conclusions: The osteosarcoma xenograft models with
increased metastatic potential developed in this study can be useful for
identification of mechanisms driving metastasis and resistance towards clinically
used and novel therapeutic regimens.
PMID- 29371973
TI - 17-beta-Estradiol induces spreading depression and pain behavior in alert female
rats.
AB - Aims: Test the putative contribution of 17-beta-estradiol in the development of
spreading depression (SD) events and head pain in awake, non-restrained rats.
Main Methods: Female, Sprague-Dawley rats were intact or underwent ovariectomy
followed one week later by surgery to place electrodes onto the dura to detect
epidural electroencephalographic activity (dEEG). dEEG activity was recorded two
days later for 12 hours after systemic administration of 17-beta-estradiol (180
MUg/kg, i.p.). A separate set of rats were observed for changes in exploratory,
ambulatory, fine, and rearing behaviors; periorbital allodynia was also assessed.
Key Findings: A bolus of 17-beta-estradiol significantly elevated serum estrogen
levels, increased SD episodes over a 12-hour recording period and decreased
rearing behaviors in ovariectomized rats. Pre-administration of ICI 182,780, an
estrogen receptor antagonist, blocked 17-beta-estradiol-evoked SD events and pain
behaviors; similar results were observed when the antimigraine therapeutic
sumatriptan was used. Significance: These data indicate that an estrogen receptor
mediated mechanism contributes to SD events in ovariectomized rats and pain
behaviors in both ovariectomized -and intact- rats. This suggests that estrogen
plays a different role in each phenomenon of migraine where intense fluctuations
in concentration may influence SD susceptibility. This is the first study to
relate estrogen peaks to SD development and pain behaviors in awake, freely
moving female rats, establishing a framework for future preclinical migraine
studies.
PMID- 29371974
TI - Novel proteasome inhibitor delanzomib sensitizes cervical cancer cells to
doxorubicin-induced apoptosis via stabilizing tumor suppressor proteins in the
p53 pathway.
AB - Cervical cancer, the third most commonly occurring cancer, is the second leading
cause of cancer related mortality among women. Aberrant ubiquitination and
proteasome activity, both human papillomavirus and tumor derived, have been shown
to contribute to tumor angiogenesis, proliferation, and invasion in many cancers,
including cervical cancer. Thus, small molecule proteasome inhibitors are a
potential and strategic treatment option for cervical cancer. In this study,
novel proteasome inhibitor delanzomib (CEP-18770) exhibited potent pro-apoptotic
and cytotoxic effects on a panel of cervical cancer cell lines by blocking
proteasomal activity. Delanzomib also significantly sensitized cervical cancer
cells to treatment of doxorubicin (Dox), a traditional chemotherapeutic agent.
Furthermore, proteasome inhibition revealed stabilization of p53 and p53
transcriptional targets and induction of p38/JNK phosphorylation. Additionally,
delanzomib worked synergistically with Dox to further upregulate p53 and its
downstream targets and enhanced Dox-induced p38 phosphorylation. Our study
strongly supports the 26S proteasome as a potential therapeutic target in
cervical cancer and proteasome inhibition by delanzomib may be a potential
treatment strategy for cervical cancer patients.
PMID- 29371975
TI - The role of prospero homeobox 1 (PROX1) expression in follicular thyroid
carcinoma cells.
AB - The prospero homeobox 1 (Prox1) transcription factor is a key player during
embryogenesis and lymphangiogenesis. Altered Prox1 expression has been found in a
variety of human cancers, including papillary thyroid carcinoma (PTC).
Interestingly, Prox1 may exert tumor suppressive or tumor promoting effect,
depending on the tissue context. In this study, we have analyzed Prox1 expression
in normal and malignant human thyroid carcinoma cell lines. Moreover, we
determined the effect of Prox1 silencing and overexpression on the cellular
processes associated with the metastatic potential of tumor cells: proliferation,
migration, invasion, apoptosis and anchorage-independent growth, in the
follicular thyroid carcinoma (FTC) FTC-133 cell line. We found that Prox1
expression was significantly higher in FTC-derived cells than in PTC-derived
cells and normal thyroid, and it was associated with the PI3K/Akt signaling
pathway. In the FTC-133 cells, it was associated with cell invasive potential,
motility and wound closure capacities, but not with proliferation or apoptosis.
Modifying Prox1 expression also induced substantial changes in the cytoskeleton
structure and cell morphology. In conclusion, we have shown that Prox1 plays an
important role in the development of FTC and that its suppression prevents,
whereas its overexpression promotes, the malignant behavior of thyroid follicular
cancer cells.
PMID- 29371976
TI - HDAC inhibition potentiates immunotherapy in triple negative breast cancer.
AB - Triple-negative breast cancer (TNBC) represents a more aggressive and difficult
subtype of breast cancer where responses to chemotherapy occur, but toxicity is
significant and resistance often follows. Immunotherapy has shown promising
results in various types of cancer, including breast cancer. Here, we
investigated a new combination strategy where histone deacetylase inhibitors
(HDACi) are applied with immune checkpoint inhibitors to improve immunotherapy
responses in TNBC. Testing different epigenetic modifiers, we focused on the
mechanisms underlying HDACi as priming modulators of immunotherapy. Tumor cells
were co-cultured with human peripheral blood mononuclear cells (PBMCs) and flow
cytometric immunophenotyping was performed to define the role of epigenetic
priming in promoting tumor antigen presentation and immune cell activation. We
found that HDACi up-regulate PD-L1 mRNA and protein expression in a time
dependent manner in TNBC cells, but not in hormone responsive cells. Focusing on
TNBC, HDACi up-regulated PD-L1 and HLA-DR on tumor cells when co-cultured with
PBMCs and down-regulated CD4+ Foxp3+ Treg in vitro. HDACi significantly enhanced
the in vivo response to PD-1/CTLA-4 blockade in the triple-negative 4T1 breast
cancer mouse model, the only currently available experimental system with
functional resemblance to human TNBC. This resulted in a significant decrease in
tumor growth and increased survival, associated with increased T cell tumor
infiltration and a reduction in CD4+ Foxp3+ T cells in the tumor
microenvironment. Overall, our results suggest a novel role for HDAC inhibition
in combination with immune checkpoint inhibitors and identify a promising
therapeutic strategy, supporting its further clinical evaluation for TNBC
treatment.
PMID- 29371977
TI - Insulin and novel thioglycosides exert suppressive effect on human breast and
colon carcinoma cells.
AB - The rationale for the implementation of novel therapies should be based on
hallmarks of cancer. Two novel compounds labelled as thioglycoside A and B were
designed and evaluated on breast and colon cancer cell lines. We assessed their
cytotoxic effect after sensitizing cancer cells with insulin. In order to explore
the underlying mechanisms, we performed tests to assess cell migration and
motility, apoptosis, expression of glucose transporter 1 and proapoptotic
proteins. Both compounds proved to have an antitumor effect which was
significantly enhanced in combination with insulin. Linking glucose and
anticancer agent presents an approach that exploits the Warburg effect. Targeting
dysfunctional glycometabolism and increased glucose absorption is emerging as a
promising anticancer strategy.
PMID- 29371978
TI - Identification and validation of colorectal neoplasia-specific methylation
biomarkers based on CTCF-binding sites.
AB - To date, the sensitivity of currently available biomarkers based on the
methylation of gene promoters is suboptimal for detecting adenomas and early
stage colorectal cancer (CRC). We aimed to develop biomarkers with methylated DNA
binding sites of the multifunctional transcriptional factor CTCF for early
detection of CRC. Using combined analyses of genome-wide occupation and the
methylation profile of CTCF-binding sites, we identified candidate CTCF-binding
sites. Then, we applied methylation-sensitive high-resolution melting (MS-HRM)
and mass spectrometry analysis to screen and validate these candidate sites in
diverse sample sets. We identified a set of colorectal neoplasia-specific
biomarkers with robust performance. The top five biomarkers were selected and
recommended for early detection of colorectal neoplasia. All of the five novel
biomarkers exhibited a more robust discriminatory performance than that by BMP3
and NDRG4, two currently acknowledged robust methylation biomarkers. When the
five new biomarkers were considered as a marker panel and tumor-positive was
defined as having two or more (of the five) positive biomarkers, the marker panel
could achieve a sensitivity of 91.67% for adenomas, 97.44% for Stage I CRC,
94.06% for Stage II CRC, 93.62% for Stage III CRC, and 93.54% for total
colorectal tumors with a specificity of 94.05%. To our knowledge, this is the
first study for colorectal neoplasia-specific methylation biomarkers based on
CTCF-binding sites. Using a similar strategy, CTCF-binding sites could be
potentially developed into biomarkers for other tumors. In summary, this study
opens a new area in developing biomarkers for tumor prevention and treatment.
PMID- 29371979
TI - ETV4 collaborates with Wnt/beta-catenin signaling to alter cell cycle activity
and promote tumor aggressiveness in gastrointestinal stromal tumor.
AB - Gastrointestinal stromal tumor (GIST) is the most common sarcoma, often resulting
from a KIT or platelet-derived growth factor receptor alpha (PDGFRA) mutation.
The lineage transcription factor ETV1 is expressed similarly in GISTs regardless
of malignant potential. Although the related transcription factor ETV4 has been
associated with metastasis and tumor progression in other cancers, its role in
GIST is unknown. In this study, we found that ETV4 levels were high in a subset
of human GISTs and correlated with high mitotic rate. Through Gene Set Enrichment
Analysis in selected human GISTs, we identified a relationship between ETV4
levels and beta-catenin signaling, especially in advanced GISTs. GIST specimens
with high ETV4 levels overexpressed cell cycle regulating genes and had aberrant
activation of the canonical Wnt pathway. In human GIST cell lines, ETV4 RNA
interference suppressed cell cycle genes and Wnt/beta-catenin signaling. ETV4
knockdown also reduced tumor cell proliferation, invasion, and tumor growth in
vivo. Conversely, ETV4 overexpression increased cyclin D1 expression and Wnt/beta
catenin signaling. Moreover, we determined that ETV4 knockdown destabilized
nuclear beta-catenin and increased its degradation via COP1, an E3 ligase
involved in both ETV4 and beta-catenin turnover. Aberrant accumulation of ETV4
and nuclear beta-catenin was found in patient derived xenografts created from
metastatic GISTs that became resistant to tyrosine kinase inhibitors.
Collectively, our findings highlight the significance of ETV4 expression in GIST
and identify ETV4 as a biomarker in human GISTs.
PMID- 29371981
TI - Suppressor of fused (Sufu) promotes epithelial-mesenchymal transition (EMT) in
cervical squamous cell carcinoma.
AB - Suppressor of fused is essential for the maximal activation of Sonic Hedgehog
signaling in development and tumorigenesis. However, the role of Sufu in cervical
carcinoma remains unknown. Here, we report new findings of Sufu in regulating the
epithelial-to-mesenchymal transition through the FoxM1 transcriptional modulation
by 14-3-3zeta protein in cervical carcinoma. Sufu is overexpressed in cervical
squamous cell carcinoma and its level in clinical tumor tissues is positively
correlated with 14-3-3zeta. Functionanlly, siSufu remarkably prevents the cancer
cell migration and invasion. We further demonstrate that the transcriptional
activity of Sufu is increased by FoxM1, of which stability is promoted by 14-3
3zeta. Knockdown FoxM1 decreases the invasion of SiHa cells and reconstitution of
Sufu rescues the invasion of these cells.Finally, overexpression of Sufu is
significantly associated with differentiation grade, FIGO stage, Depth of stromal
invasion and vascular cancer embolus. Our findings highlight a novel role for
Sufu in cervical carcinogenesis.
PMID- 29371980
TI - Personalized therapy: CNS HGNET-BCOR responsiveness to arsenic trioxide combined
with radiotherapy.
AB - High-grade neuroepithelial tumor of the central nervous system with BCOR
alteration (HGNET-BCOR) is a rare, highly malignant tumor. At the time of this
publication, no standard protocol exists to treat this tumor entity. In this
work, we tested the responsiveness of the primary culture PhKh1 derived from
tumor tissue from a pediatric HGNET-BCOR patient (P1) to inhibitors of the Sonic
hedgehog pathway combined with radiation. The SMO inhibitors vismodegib and
itraconazole had low effect on the proliferation of the PhKh1 cells. However, the
GLI inhibitor arsenic trioxide reduced the expression of GLI target genes in the
PhKh1 cells and in combination with radiotherapy significantly decreased their
clonogenic potential. PhKh1 cells resistant to arsenic trioxide were
characterized by the overexpression of molecular chaperones. We combined arsenic
trioxide and radiation in the relapse therapy protocol of P1, achieving complete
remission after seven weeks. Clinical remission lasted for six months, when P1
developed systemic metastases. Meanwhile, an increase in the concentration of
circulating tumor DNA carrying a BCOR internal tandem duplication was observed.
Molecular characterization of a second patient (P2) was also performed. In P2, we
detected a larger tandem duplication and greater activation of the Sonic hedgehog
pathway than in P1. These findings suggest that combining arsenic trioxide with
radiotherapy may represent a new therapeutic approach. Moreover, peripheral blood
analysis for circulating tumor DNA could help in the early detection of systemic
metastases.
PMID- 29371982
TI - Biomarkers identification by a combined clinical and metabonomics analysis in
Henoch-Schonlein purpura nephritis children.
AB - Background: In children with Henoch-Schonlein purpura (HSP), the severity of
Henoch-Schonlein purpura nephritis (HSPN) is considered responsible for the
prognosis of HSP. The pathological process from HSP to HSPN is not clear yet and
current diagnostic tools have shortcomings in accurate diagnosis of HSPN. This
study aims to assess clinical characteristics of HSP and HSPN, to identify
metabolic perturbations involved in HSP progress, and to combine metabolic
biomarkers and clinical features into a better prediction for HSPN. Methods: A
total of 162 children were recruited, including 109 HSP patients and 53 healthy
children (HC). The clinical characteristics were compared between HSPN and HSP
without nephritis (HSPWN). The serum metabonomics analysis was performed to
determine the metabolic differences in HSP and HC. Results: Among 109 HSP
children, 57 progressed to HSPN. The increased D-dimer level was significantly
associated with renal damage in HSP. The metabonomic profiles revealed
alterations between various subgroups of HSP and HC, making it possible to
investigate small-molecule metabolites related to the pathological process of
HSP. In total, we identified 9 biomarkers for HSP vs. HC, 7 for HSPWN vs. HC, 9
for HSPN vs. HC, and 3 for HSPN vs. HSPWN. Conclusions: (S)-3-hydroxyisobutyric
acid, p-Cresol sulfate, and 3-carboxy-4-methyl-5-pentyl-2-furanpropanoic acid
were found associated with the progress of HSP to HSPN. Moreover, resulting
biomarkers, when combined with D-dimer, allowed improving the HSPN prediction
with high sensitivity (94.7%) and specificity (80.8%). Together these findings
highlighted the strength of the combination of metabonomics and clinical analysis
in the research of HSP.
PMID- 29371983
TI - Augmentation of hypoxia-inducible factor-1-alpha in reinfused blood cells
enhances diabetic ischemic wound closure in mice.
AB - Diabetes-associated dysfunction in angiogenesis predominantly contributes to
impairment of wound closure, but a role of hypoxia-inducible factor 1 alpha (HIF
1a) in the process remain poorly understood. Here, we examined whether expression
of HIF-1a in re-infused blood cells may improve the diabetic wound closure in
mice. We found that that expression of HIF-1a in re-infused isogeneic blood cells
significantly improved diabetic wound healing in mice, seemingly through
augmentation of wound-associated angiogenesis. Mechanistically, expression of HIF
1a in re-infused blood cells significantly increased macrophage infiltration at
the wound site, and macrophages produced vascular endothelial growth factor A
(VEGF-A) to promote wound-associated angiogenesis. Together, our data suggest
that augmentation of HIF-1a in reinfused blood cells may enhance diabetic
ischemic wound closure.
PMID- 29371984
TI - Clinical significances and features of prompt brain CT scan after intracranial
artery stenting: analysis of 501 cases.
AB - Cerebral hemorrhage is a serious complication of intracranial artery stenting
that could be fatal without timely identification and treatment. Prompt brain CT
scan would help to evaluate whether cerebral hemorrhage occurs, however, the
diverse features of the CT scan immediately after stenting could influence the
judgement sometimes. Therefore, we analyzed and summarized these features to help
to determine the clinical significance of these CT features. The prompt CT
features after stenting were classified into three types. Type I indicates that
no high-density shadows. Type II indicates that high-density shadows scattered in
the infarct areas and/or subarachnoid spaces without mass effect. Type III
indicates high-density shadows scattered in and/or out of the infarct areas
and/or subarachnoid space with obvious mass effects. Based on this
classification, the patients in both Type I and II would continue the double anti
platelet treatment (DAPT) and anti-coagulation treatment, while the later need
closer monitoring. However, patients in Type III must immediately withdraw the
DAPT and anti-coagulation treatment with close monitoring and surgical
intervention was needed when necessary. Nineteen (3.79%) patients were classified
into Type III, and 5 (1.00%) of the 19 were accepted surgical intervention. Two
of these patients died (0.40%). The prompt CT scan timely distinguishing the
cerebral hemorrhage was necessary after intracranial artery stent angioplasty.
Additionally, based on the different prompt CT features to take different
therapeutic strategies after stenting would achieve better outcomes for ischemic
stroke or transient ischemic stroke (TIA) patients underwent intracranial artery
endovascular therapy.
PMID- 29371985
TI - Relationships between lymphocyte counts and treatment-related toxicities and
clinical responses in patients with solid tumors treated with PD-1 checkpoint
inhibitors.
AB - The relationships between absolute lymphocyte counts (ALC), drug- related
toxicities, and clinical responses remain unclear in cancer patients treated with
PD-1 (programmed cell death 1) inhibitors. We performed a retrospective review of
167 adult solid tumor patients treated with nivolumab or pembrolizumab at a
single institution between January 2015 and November 2016. Patients with an ALC
>2000 at baseline had an increased risk of irAE (OR 1.996, p<0.05) on
multivariate analysis. In a multivariate proportional hazards model, a shorter
time to progression was noted in patients who were lymphopenic at baseline (HR
1.45 (p<0.05)) and at three months (HR 2.01 (p<0.05)). Patients with baseline
lymphopenia and persistent lymphopenia at month 3 had a shorter time to
progression compared to those who had baseline lymphopenia but recovered with ALC
> 1000 at 3 months (HR 2.76, p<0.05). Prior radiation therapy was the
characteristic most strongly associated with lymphopenia at 3 months (OR 2.24,
p<0.001). These data suggest that patients with higher baseline lymphocyte counts
have a greater risk for irAE, whereas patients with lymphopenia at baseline and
persistent lymphopenia while on therapy have a shorter time to progression on
these agents. These associations require further validation in additional patient
cohorts.
PMID- 29371986
TI - MicroRNA-424/E2F6 feedback loop modulates cell invasion, migration and EMT in
endometrial carcinoma.
AB - Our previous study explored the roles of microRNA-424 (miR-424) in the
development of endometrial carcinoma (EC) and analyzed the miR-424/E2F7 axis in
EC cell growth. In this study, we investigated the status of miR-424 in human
endometrial cancer tissues, which were collected from a cohort of Zunyi patients.
We found that the expression level of miR-424 was associated with clinical tumor
stage, cell differentiation, lymph node metastasis and cell migration ability.
Cell function experiments demonstrated that miR-424 overexpression suppressed the
invasion and migration abilities of endometrial carcinoma cells in vitro.
Bioinformatic predictions and dual-luciferase reporter assays suggested E2F6 as a
possible target of miR-424. RT-PCR and western blot assays demonstrated that miR
424 transfection reduced the expression level of E2F6, while inhibiting miR-424
with ASO-miR-424 (antisense oligonucleotides of miR-424) increased the expression
level of E2F6. Cell function experiments indicated that E2F6 transfection rescued
the EC cell phenotype induced by miR-424. In addition, we also found that E2F6
negatively regulated miR-424 expression in EC cells. In summary, our results
demonstrated that the miR-424/E2F6 feedback loop modulates cell invasion,
migration and EMT in EC and that the miR-424/E2Fs regulation network may serve as
a new and potentially important therapeutic target in EC.
PMID- 29371987
TI - Sequentially administrated of pemetrexed with icotinib/erlotinib in lung
adenocarcinoma cell lines in vitro.
AB - Combination of chemotherapy and epidermal growth factor receptor-tyrosine kinase
inhibitors (EGFR-TKIs) had been proved to be a potent anti-drug for the treatment
of tumors. However, survival time was not extended for the patients with lung
adenocarcinoma (AdC) compared with first-line chemotherapy. In the present study,
we attempt to assess the optimal schedule of the combined administration of
pemetrexed and icotinib/erlotinib in AdC cell lines. Human lung AdC cell lines
with wild-type (A549), EGFR T790M (H1975) and activating EGFR mutation (HCC827)
were applied in vitro to assess the differential efficacy of various sequential
regimens on cell viability, cell apoptosis and cell cycle distribution. The
results suggested that the antiproliferative effect of the sequence of pemetrexed
followed by icotinib/erlotinib was more effective than that of icotinib/erlotinib
followed by pemetrexed. Additionally, a reduction of G1 phase and increased S
phase in sequence of pemetrexed followed by icotinib/erlotinib was also observed,
promoting cell apoptosis. Thus, the sequential administration of pemetrexed
followed by icotinib/erlotinib exerted a synergistic effect on HCC827 and H1975
cell lines compared with the reverse sequence. The sequential treatment of
pemetrexed followed by icotinib/erlotinib has been demonstrated promising
results. This treatment strategy warrants further confirmation in patients with
advanced lung AdC.
PMID- 29371988
TI - Sirt6 mRNA-incorporated endothelial microparticles (EMPs) attenuates DM patient
derived EMP-induced endothelial dysfunction.
AB - Background: Endothelial microparticles (EMPs) are small vesicles released by
endothelial cells (ECs); they are considered biomarkers for endothelial
dysfunction and therapeutic targets in diabetes-related vascular disease.
Sirtuins have also been shown to play important roles in diabetes by regulating
endothelial dysfunction. However, the effect of sirtuin-incorporated EMPs on
their parental ECs remains unknown. Aim: The present study aims to investigate
the diagnostic value of EMPs in diabetes and detect the protective effects of
sirtuin 6 (Sirt6) mRNA -incorporated EMPs on endothelial dysfunction. Methods:
EMPs were prepared from cultured HUVECs and venous blood from patients with
diabetes (n=10) and from healthy volunteers (n=6) after sequential
centrifugation. Adv-Sirt6 or Sirt6 siRNA was used to alter Sirt6 expression. EC
angiogenesis, inflammatory phenotypes, nitric oxide (NO) formation and eNOS
phosphorylation were used to evaluate endothelial dysfunction. Results: The
levels of EMPs in diabetic patients and high glucose-cultured HUVECs are high,
whereas Sirt6 expression in plasma and EMPs is low. EMPs generated from diabetic
patients or high glucose-cultured HUVECs increase inflammatory chemokine release
and blunt EC angiogenesis. Furthermore, EMPs enriched with Sirt6 mRNA induces EC
angiogenesis, increases eNOS phosphorylation and impedes inflammatory chemokine
release. Inhibition of Sirt6 mRNA expression in EMPs by siRNA hinders
angiogenesis and eNOS phosphorylation but increases cellular inflammation.
Conclusion: The Sirt6 mRNA-carrying EMPs may ameliorate endothelial dysfunction
in diabetic patients.
PMID- 29371989
TI - Synthesis and characterization of polyphosphazene microspheres incorporating
demineralized bone matrix scaffolds controlled release of growth factor for
chondrogenesis applications.
AB - As a promising strategy for the successful regeneration of articular cartilage,
tissue engineering has received increasing recognition of control release. Two
kinds of functional poly (alanine ethyl ester-co-glycine ethyl ester) phosphazene
microspheres with different ratios of side-substituent groups were synthesized by
emulsion technique. The rate of degradation/hydrolysis of the polymers was
carefully tuned to suit the desired application for control release. For
controlled delivery of growth factors, the microspheres overcame most of severe
side effects linked to demineralized bone matrix (DBM) scaffolds, which had been
previously optimized for cartilage regeneration. The application of scaffolds in
chondrogenic differentiation was investigated by subcutaneous implantation in
nude mice. In the present study, we have provided a novel microsphere
incorporating demineralized bone matrix (MS/DBM) scaffolds to release
transforming growth factor-beta1 or insulin-like growth factors-1. Laser confocal
fluorescence staining showed that the surface of microspheres was a suitable
environment for cell attachment. Histological and immunohistochemical evaluations
have shown that significantly more cartilaginous extracellular matrix was
detected in MS/DBM group when compared with DBM alone group (P<0.05). In
addition, the biomechanical test showed that this composite scaffold exhibited
favorable mechanical strength as a delivery platform. In conclusion, we
demonstrated that MS/DBM scaffolds was sufficient to support stem bone marrow
derived mesenchymal stem cells chondrogenesis and neo-cartilage formation.
PMID- 29371990
TI - CDC5L drives FAH expression to promote metabolic reprogramming in melanoma.
AB - Metabolic reprogramming allows tumor cells to thrive in the typically hypoxic
tumor microenvironment. Using immunodetection and clinical data analyses, we
demonstrate here that fumarylacetoacetate hydrolase (FAH) is highly expressed in
melanoma and correlates with poor survival. FAH knockdown inhibits proliferation
and migration, while promoting apoptosis in melanoma cells, result in prolonged
survival in tumor-bearing mice. Molecular analyses using real time RT-PCR,
western blot, and 13C tracing showed that these changes are driven by strong
stimulation of anaplerotic reactions through the TCA cycle and the pentose
phosphate pathway, resulting in increased fatty acid and nucleotide synthesis.
Using bioinformatic, ChIP-PCR, and gene silencing analyses, we determined that
cell division cycle 5-like protein (CDC5L) is an important transcription factor
regulating FAH expression in melanoma cells. These findings reveal that FAH
induces metabolic reprogramming in melanoma and so emerges as both a potentially
useful independent prognostic indicator and an attractive therapeutic target.
PMID- 29371991
TI - Association of miR-196a2 rs11614913 and miR-499 rs3746444 polymorphisms with
cancer risk: a meta-analysis.
AB - Background: MicroRNAs (miRNAs) are small non-coding RNA molecules, which
participate in diverse biological processes and may regulate tumor suppressor
genes or oncogenes. Rs11614913 in miR-196a2 and rs3746444 in miR-499 are shown to
associate with increased/decreased cancer risk. This meta-analysis was performed
to systematically assess the overall association. Materials and Methods: We
searched Pubmed, Web of Knowledge, EMBASE, Chinese National Knowledge
Infrastructure (CNKI) databases until December 2016 to identify eligible studies.
Odds ratios (ORs) and 95% confidence intervals (CIs) were used to estimate the
strength of the associations. Results: We assessed published studies of the
association between these microRNA polymorphisms and cancer risk from 56 studies
with 21958/26436 cases/controls for miR-196a2 and from 37 studies with
13759/17946 cases/controls for miR-499. The results demonstrated that miR-196a2
rs11614913 was significantly associated with a decreased cancer risk, in
particular with a decreased risk for colorectal cancer and gastric cancer, or for
Asian population subgroup. In addition, miR-499 rs3746444 polymorphism was
observed as a risk factor for cancers, in particular, for breast cancer, or for
in the Asian population. Conclusions: Our meta-analysis suggests that the
rs11614913 most likely contributes to decreased susceptibility to cancer,
especially in Asians and colorectal cancer and gastric cancer, and that the
rs3746444 may increase risk for cancer. Furthermore, more well-designed studies
with large sample size are still necessary to further elucidate the association
between polymorphisms and different kinds of cancers risk.
PMID- 29371992
TI - Prediction of low-risk breast cancer using quantitative DCE-MRI and its
pathological basis.
AB - Purpose: This study aimed to evaluate the difference of mass in dynamic contrast
enhanced magnetic resonance imaging (DCE-MRI) characteristics between low-risk
and non-low-risk breast cancers and to explore the possible pathological basis.
Materials and Methods: Approval from the institutional review board and informed
consent were acquired for this study. The MR images of 104 patients with
pathologically proven breast cancer (104 lesions) were prospectively analyzed.
All of included patients were Chinese woman. The DCE-MRI morphologic findings,
apparent diffusion coefficient (ADC) values, quantitative DCE-MRI parameters, and
pathological biomarkers between the two subtypes of breast cancer were compared.
The quantitative DCE-MRI parameters and ADC values were added to the morphologic
features in multivariate models to evaluate diagnostic performance in predicting
low-risk breast cancer. The values were further subjected to the receiver
operating characteristic (ROC) curve analysis. Results: Low-risk tumors showed
significantly lower Ktrans and Kepvalues (t = 2.065, P = 0.043 and t = 3.548, P =
0.001, respectively) and higher ADC value (t = 4.713, P = 0.000) than non-low
risk breast cancers. Our results revealed no significant differences in clinic
data and conventional imaging findings between the two breast cancer subtypes.
Adding the quantitative DCE-MRI parameters and ADC values to conventional MRI
improved the diagnostic performance of MRI: The area under the ROC improved from
0.63 to 0.91. Low-risk breast cancers showed significantly lower matrix
metalloproteinase (MMP)-2 expression (P = 0.000), lower MMP-9 expression (P =
0.001), and lower microvessel density (MVD) values (P = 0.008) compared with non
low-risk breast cancers. Ktrans and Kep values were positively correlated with
pathological biomarkers. The ADC value showed a significant inverse correlation
with pathological biomarkers. Conclusions: The prediction parameter using Ktrans,
Kep, and ADC obtained on DCE-MRI and diffusion-weighted imaging could facilitate
the identification of low-risk breast cancers. Decreased biological factors,
including MVD, vascular endothelial growth factor, MMP-2, and MMP-9, may explain
the possible pathological basis.
PMID- 29371993
TI - Genomic alterations of ERBB receptors in cancer: clinical implications.
AB - The ERBB family of receptor tyrosine kinases has been implicated in
carcinogenesis for over three decades with rigorous attention to EGFR and HER2.
ERBB receptors, consisting of EGFR, HER2, HER3, and HER4 are part of a
complicated signaling network that activates downstream signaling pathways
including PI3K/AKT, Ras/Raf/MAPK, JAK/STAT and PKC. It is well established that
EGFR is amplified and/or mutated in gliomas and non-small-cell lung carcinoma
while HER2 is amplified and/or over-expressed in breast, gastric, ovarian, non
small cell lung carcinoma, and several other tumor types. With the advent of next
generation sequencing and large scale efforts to explore the entire spectrum of
genomic alterations involved in human cancer progression, it is now appreciated
that somatic ERBB receptor mutations occur at relatively low frequencies across
multiple tumor types. Some of these mutations may represent oncogenic driver
events; clinical studies are underway to determine whether tumors harboring these
alterations respond to small molecule EGFR/HER2 inhibitors. Recent evidence
suggests that some somatic ERBB receptor mutations render resistance to FDA
approved EGFR and HER2 inhibitors. In this review, we focus on the landscape of
genomic alterations of EGFR, HER2, HER3 and HER4 in cancer and the clinical
implications for patients harboring these alterations.
PMID- 29371995
TI - Fluorescence Detection of Cell Death in Liver of Mice Treated with Thioacetamide.
AB - The purpose of this study was to detect cell death in the liver of mice treated
with thioacetamide (TAA) using fluorescence bioimaging and compare this outcome
with that using conventional histopathological examination. At 6 weeks of age, 24
mice were randomly divided into three groups: group 1 (G1), control group; group
2 (G2), fluorescence probe control group; group 3 (G3), TAA-treated group. G3
mice were treated with TAA. Twenty-two hours after TAA treatment, G2 and G3 mice
were treated with Annexin-Vivo 750. Fluorescence in vivo bioimaging was performed
by fluorescence molecular tomography at two hours after Annexin-Vivo 750
treatment, and fluorescence ex vivo bioimaging of the liver was performed. Liver
damage was validated by histopathological examination. In vivo bioimaging showed
that the fluorescence intensity was increased in the right upper part of G3 mice
compared with that in G2 mice, whereas G1 mice showed no signal. Additionally ex
vivo bioimaging showed that the fluorescence intensity was significantly
increased in the livers of G3 mice compared with those in G1 or G2 mice (p <
0.05). Histopathological examination of the liver showed no cell death in G1 and
G2 mice. However, in G3 mice, there was destruction of hepatocytes and increased
cell death. Terminal deoxynucleotidyl transferase dUTP nick end labeling staining
confirmed many cell death features in the liver of G3 mice, whereas no
pathological findings were observed in the liver of G1 and G2 mice. Taken
together, fluorescence bioimaging in this study showed the detection of cell
death and made it possible to quantify the level of cell death in male mice. The
outcome was correlated with conventional biomedical examination. As it was
difficult to differentiate histological location by fluorescent bioimaging, it is
necessary to develop specific fluorescent dyes for monitoring hepatic disease
progression and to exploit new bioimaging techniques without dye-labeling.
PMID- 29371996
TI - Molecular Mechanism of Atopic Dermatitis Induction Following Sensitization and
Challenge with 2,4-Dinitrochlorobenzene in Mouse Skin Tissue.
AB - Laboratory animal models have been developed to investigate preventive or
therapeutic effect of medicinal products, or occurrence or progression mechanism
of atopic dermatitis (AD), a pruritic and persistent inflammatory skin disease.
The murine model with immunologic phenomena resembling human AD was introduced,
which demonstrated skewedness toward predominance of type-2 helper T cell
reactivity and pathophysiological changes similar as human AD following 2,4
dinitrochlorobenzene (DNCB) sensitization and challenge. Molecular mechanism on
the DNCB-mediated AD was further evaluated. Skin tissues were collected from mice
treated with DNCB, and each tissue was equally divided into two sections; one for
protein and the other for mRNA analysis. Expression of filaggrin, an important
protein for keratinocyte integrity, was evaluated through SDS-PAGE. Level of mRNA
expression for cytokines was determined through semi-quantitative reverse
transcriptase polymerase chain reaction. Expression of filaggrin protein was
significantly enhanced in the mice treated with DNCB compared with the vehicle
(acetone : olive oil = 4 : 1 mixture) treatment group or the normal group without
any treatment. Level of tumor necrosis factor-alpha and interleukin-18 mRNA
expression, cytokines involved in activity of type-1 helper T (TH1) cell, was
significantly downregulated in the AD group compared with other control groups.
These results suggest that suppression of TH1 cell-mediated immune response could
be reflected into the skin tissue of mice treated with DNCB for AD induction, and
disturbance of keratinocyte integrity might evoke a compensatory mechanism.
PMID- 29371997
TI - Inhibition of Adipocyte Differentiation by Anthocyanins Isolated from the Fruit
of Vitis coignetiae Pulliat is Associated with the Activation of AMPK Signaling
Pathway.
AB - Anthocyanins are naturally occurring water-soluble polyphenolic pigments in
plants that have been shown to protect against cardiovascular diseases, and
certain cancers, as well as other chronic human disorders. However, the anti
obesity effects of anthocyanins are not fully understood. In this study, we
investigated the effects of anthocyanins isolated from the fruit of Vitis
coignetiae Pulliat on the adipogenesis of 3T3-L1 preadipocytes. Our data
indicated that anthocyanins attenuated the terminal differentiation of 3T3-L1
preadipocytes, as confirmed by a decrease in the number of lipid droplets, lipid
content, and triglyceride production. During this process, anthocyanins
effectively enhanced the activation of the AMP-activated protein kinase (AMPK);
however, this phenomenon was inhibited by the co-treatment of compound C, an
inhibitor of AMPK. Anthocyanins also inhibited the expression of adipogenic
transcription factors, including peroxisome proliferator-activated receptor
gamma, CCAAT/enhancer-binding protein a and b, and sterol regulatory element
binding protein-1c. In addition, anthocyanins were found to potently inhibit the
expression of adipocyte-specific genes, including adipocyte fatty acid-binding
protein, leptin, and fatty acid synthase. These results indicate that
anthocyanins have potent anti-obesity effects due to the inhibition of adipocyte
differentiation and adipogenesis, and thus may have applications as a potential
source for an anti-obesity functional food agent.
PMID- 29371998
TI - Protective Effect of Isoliquiritigenin against Ethanol-Induced Hepatic Steatosis
by Regulating the SIRT1-AMPK Pathway.
AB - Ethanol-induced fat accumulation, the earliest and most common response of the
liver to ethanol exposure, may be involved in the pathogenesis of liver diseases.
Isoliquiritigenin (ISL), an important constituent of Glycyrrhizae Radix, is a
chalcone derivative that exhibits antioxidant, anti-inflammatory, and
phytoestrogenic activities. However, the effect of ISL treatment on lipid
accumulation in hepatocytes and alcoholic hepatitis remains unclear. Therefore,
we evaluated the effect and underlying mechanism of ISL on ethanol-induced
hepatic steatosis by treating AML-12 cells with 200 mM ethanol and/or ISL (0~50
MUM) for 72 hr. Lipid accumulation was assayed by oil red O staining, and the
expression of sirtuin1 (SIRT1), sterol regulatory element-binding protein-1c
(SREBP-1c), AMP-activated protein kinase (AMPK), and peroxisome proliferator
activated receptor alpha (PPARalpha) was studied by western blotting. Our results
indicated that ISL treatment upregulated SIRT1 expression and downregulated SREBP
1c expression in ethanol-treated cells. Similarly, oil red O staining revealed a
decrease in ethanol-induced fat accumulation upon co-treatment of ethanol-treated
cells with 10, 20, and 50 MUM of ISL. These findings suggest that ISL can reduce
ethanol induced-hepatic lipogenesis by activating the SIRT1-AMPK pathway and thus
improve lipid metabolism in alcoholic fatty livers.
PMID- 29371999
TI - Antioxidant and Skin Anti-Aging Effects of Marigold Methanol Extract.
AB - The objective of this study was to evaluate the antioxidant and anti-aging
effects of marigold methanol extract (MGME) in human dermal fibroblasts. Total
polyphenolic and flavonoid contents in MGME were 74.8 mg TAE (tannic acid
equivalent)/g and 85.6 mg RE (rutin equivalent)/g, respectively. MGME (500
MUg/mL) increased 1,1-diphenyl-2-picryl hydrazyl (DPPH) and 2,2'-azino-3
ethylbenzothiazoline-6-sulfonic acid (ABTS) radical-scavenging, and superoxide
dismutase (SOD)-like antioxidant activities by 36.5, 54.7, and 14.8%,
respectively, compared with the control. At 1,000 MUg/mL, these activities
increased by 63.7, 70.6, and 20.6%, respectively. MGME (100 MUg/mL) significantly
increased the synthesis of type 1 procollagen by 83.7% compared with control
treatment. It also significantly decreased Matrix Metalloproteinase-2 (MMP-2)
activity and MMP-1 mRNA expression by 36.5% and 69.5%, respectively; however, it
significantly increased laminin-5 mRNA expression by 181.2%. These findings
suggest that MGME could protect human skin against photo-aging by attenuating
oxidative damage, suppressing MMP expression and/or activity as well as by
stimulating collagen synthesis.
PMID- 29372001
TI - Subacute Inhalation Toxicity of Cyclohexanone in B6C3F1 Mice.
AB - Cyclohexanone (C6H10O, CAS No. 108-94-1) is a colorless oily liquid obtained
through the oxidation of cyclohexane or dehydrogenation of phenol. It is used in
the manufacture of adhesives, sealant chemicals, agricultural chemicals, paint
and coating additives, solvent, electrical and electronic products, paints and
coatings, photographic supplies, film, photochemicals, and as an intermediate in
nylon production. Owing to the lack of information on repeated inhalation
toxicity of cyclohexaone, in this study, we aimed to characterize the subacute
inhalation toxicity. B6C3F1 mice were exposed to 0, 50, 150, and 250 ppm of
cyclohexanone for 6 hr/day, 5 days/week for 4 weeks via whole-body inhalation in
accordance with the OECD Test Guideline 412 (subacute inhalation toxicity: 28-day
study). Mortality, clinical signs, body weights, food consumption, hematology,
serum biochemistry, organ weights, as well as gross and histopathological
findings were evaluated between the control and exposure groups. No mortality or
remarkable clinical signs were observed during the study. No adverse effects on
body weight, food consumption, hematology, serum biochemistry, and organ weights,
gross or histopathological lesions were observed in any male or female mice in
any of the exposure groups, although some statistically significant changes were
observed in organ weights. We concluded that no observable adverse effect level
(NOAEL) is above 250 ppm in mice exposed to cyclohexanone for 6 hr/day for 5
days/week.
PMID- 29371994
TI - Microglia at center stage: a comprehensive review about the versatile and unique
residential macrophages of the central nervous system.
AB - Microglia cells are the unique residential macrophages of the central nervous
system (CNS). They have a special origin, as they derive from the embryonic yolk
sac and enter the developing CNS at a very early stage. They play an important
role during CNS development and adult homeostasis. They have a major contribution
to adult neurogenesis and neuroinflammation. Thus, they participate in the
pathogenesis of neurodegenerative diseases and contribute to aging. They play an
important role in sustaining and breaking the blood-brain barrier. As innate
immune cells, they contribute substantially to the immune response against
infectious agents affecting the CNS. They play also a major role in the growth of
tumours of the CNS. Microglia are consequently the key cell population linking
the nervous and the immune system. This review covers all different aspects of
microglia biology and pathology in a comprehensive way.
PMID- 29372000
TI - Highly Active Antiretroviral Therapy Alters Sperm Parameters and Testicular
Antioxidant Status in Diet-Induced Obese Rats.
AB - The efficacy of highly active antiretroviral therapy (HAART) has led to an
increase demand for therapeutic use, thereby necessitating investigation into
drug toxicity. This study was designed to investigate the in vivo effects of
HAART on sperm parameters and testicular oxidative stress in lean and obese rats.
Wistar rats (males, n = 40, weighing 180~200 g) were assigned randomly into 4
groups and treated accordingly for 16 weeks as follows: Control (C): lean group
fed with standard rat chow; Diet induced obesity (DIO): obese animals fed a high
caloric diet; C + ART: lean animals treated with HAART; DIO + ART: obese animals
treated with HAART. An antiretroviral drug combination of Tenofovir,
Emtricitabine and Efavirenz at a dose of 17, 26 and 50 mg/kg/day was administered
for the latter 6 weeks via jelly cube feeding. At the end of the experimental
period, sperm analysis was performed on sperm collected from the caudal
epididymis, while the testis was homogenized for antioxidant enzyme and lipid
peroxidation assays. Results showed that HAART significantly decreased sperm
motility (p < 0.05) in both lean and obese animals, and viability (p < 0.05) in
the DIO group. Testicular glutathione, catalase and superoxide dismutase were
significantly decreased (p < 0.05), while Thiobarbituric acid reactive substances
(TBARS) levels were significantly increased (p < 0.05) when the DIO+ART group was
compared to Control group. Thus, the decreased sperm qualities associated with
HAART might be as a result of increased testicular oxidative stress prominent in
obese animals.
PMID- 29372002
TI - Subchronic Oral Dose Toxicity Study of Enterococcus Faecalis 2001 (EF 2001) in
Mice.
AB - As a part of general toxicity studies of Enterococcus Faecalis 2001 (EF 2001)
prepared using heat-treatment bacillus mort body EF 2001 in mice, this study
examined the toxicity of EF 2001 in single and repeated administrations following
the previous report in order to apply this product to preventive medicine. The
safety of oral ingestion of EF 2001 was examined in 6-week-old male and female
ICR mice with 1,000 mg/kg, 3,000 mg/kg and 5,000 mg/kg body weight/day
administrated by gavage of the maximum acceptable dose of EF 2001. The study was
conducted using distilled water as a control following the methods for general
toxicity studies described in the "Guidelines for Non-clinical Studies of
Pharmaceutical Products 2002". As a control, 1) observation of general
conditions, 2) measurement of body weight, 3) determination of food consumption,
4) determination of water consumption, 5) blood test and urinalysis and 6)
pathological examination were performed for the administration of EF 2001. Mice
received EF 2001 for 13 weeks and results were compared with those of the control
group that received distilled water. The results of the above examinations
revealed no significant differences between control and EF 2001 groups for both
males and females. Thus, no notable toxicity was confirmed with single and
repeated oral administrations of EF 2001. Oral administration in the above doses
did not result in abnormal symptoms or death during the observation period. No
abnormalities in blood cell count or organ weights were seen. Without any
evidence of toxicity to cells and organs, EF 2001 is speculated to not adversely
affect living organisms. The 50% lethal dose of EF 2001 with oral administration
in mice is estimated to be greater than 5,000 mg/kg body weight/day for both male
and female mice. Therefore, LD50 value for animals was 5,000 mg/kg or more.
PMID- 29372003
TI - Pretreatment of Low-Dose and Super-Low-Dose LPS on the Production of In Vitro LPS
Induced Inflammatory Mediators.
AB - Pretreatment of low-dose lipopolysaccharide (LPS) induces a hyporesponsive state
to subsequent secondary challenge with high-dose LPS in innate immune cells,
whereas super-low-dose LPS results in augmented expression of pro-inflammatory
cytokines. However, little is known about the difference between super-low-dose
and low-dose LPS pretreatments on immune cell-mediated inflammatory and hepatic
acute-phase responses to secondary LPS. In the present study, RAW 264.7 cells,
EL4 cells, and Hepa-1c1c7 cells were pretreated with super-low-dose LPS (SL-LPS:
50 pg/mL) or low-dose LPS (L-LPS: 50 ng/mL) in fresh complete medium once a day
for 2~3 days and then cultured in fresh complete medium for 24 hr or 48 hr in the
presence or absence of LPS (1~10 MUg/mL) or concanavalin A (Con A). SL-LPS
pretreatment strongly enhanced the LPS-induced production of tumor necrosis
factor (TNF)-alpha, interleukin (IL)-6, TNF-alpha/IL-10, prostaglandin E2 (PGE2),
and nitric oxide (NO) by RAW 264.7 cells compared to the control, whereas L-LPS
increased IL-6 and NO production only. SL-LPS strongly augmented the Con A
induced ratios of interferon (IFN)-gamma/IL-10 in EL4 cells but decreased the LPS
induced ratios of IFN-gamma/IL-10 compared to the control, while L-LPS decreased
the Con A- and LPS-induced ratios of IFN-gamma/IL-10. SL-LPS enhanced the LPS
induced production of IL-6 by Hepa1c1c-7 cells compared to the control, while L
LPS increased IL-6 but decreased IL-1beta and C reactive protein (CRP) levels. SL
LPS pretreatment strongly enhanced the LPS-induced production of TNF-alpha, IL-6,
IL-10, PGE2, and NO in RAW 264.7 cells, and the IL-6, IL-1beta, and CRP levels in
Hepa1c1c-7 cells, as well as the ratios of IFN-gamma/IL-10 in LPS- and Con A
stimulated EL4 cells compared to L-LPS. These findings suggest that pre
conditioning of SL-LPS may contribute to the mortality to secondary infection in
sepsis rather than pre-conditioning of L-LPS.
PMID- 29372004
TI - Mental Stress from Animal Experiments: a Survey with Korean Researchers.
AB - Animal experiments have been widely conducted in the life sciences for more than
a century, and have long been a subject of ethical and societal controversy due
to the deliberate infliction of harm upon sentient animals. However, the harmful
use of animals may also negatively impact the mental health of researchers
themselves. We sought to evaluate the anxiety level of researchers engaged in
animal use to analyse the mental stress from animal testing. The State Anxiety
Scale of the State-Trait Anxiety Inventory (STAI) was used to evaluate how
researchers feel when they conduct animal, as opposed to non-animal, based
experiments (95 non-animal and 98 animal testing researchers). The Trait Anxiety
Scale of STAI was employed to measure proneness to anxiety, namely the base trait
of the researchers. Additionally, the information on sex, age, education, income,
and total working periods was collected. While the Trait Anxiety scores were
comparable (41.5 +/- 10.9 versus 42.9 +/- 10.1, p = 0.3682, t-test), the State
Anxiety scores were statistically significantly higher for animal users than non
animal users (45.1 +/- 10.7 versus 41.3 +/- 9.4, p = 0.011). This trend was
consistent for both male and female. Notably, younger animal testers (<= 30 years
of age) with less work experience (<= 2 years) and lower income level (<= 27,000
USD) exhibited higher anxiety scores, whereas these factors did not affect the
anxiety level of non-animal users. The present study demonstrated that
participation in animal experiments can negatively impact the mental health of
researchers.
PMID- 29372005
TI - Peptide self-assembly into lamellar phases and the formation of lipid-peptide
nanostructures.
AB - Lipids exhibit an extraordinary polymorphism in self-assembled mesophases, with
lamellar phases as biologically most relevant representative. To mimic lipid
lamellar phases with amphiphilic designer peptides, seven systematically varied
short peptides were engineered. Indeed, four peptide candidates (V4D, V4WD,
V4WD2, I4WD2) readily self-assembled into lamellae in aqueous solution: small
angle X-ray scattering patterns (SAXS) revealed ordered lamellar structures with
a repeat distance of ~4-5 nm. Transmission electron microscopy (TEM) images
confirmed the presence of stacked sheets. Two derivatives (V3D and V4D2) remained
as loose aggregates dispersed in solution; one peptide (L4WD2) formed twisted
tapes with internal lamellae and an antiparallel beta-type monomer alignment. To
understand the interaction of peptides with lipids they were mixed with
phosphatidylcholines. Low peptide concentrations (1.1 mM) induced the formation
of a heterogeneous mixture of vesicular structures: large multilamellar vesicles
(d-spacing ~6.3 nm) coexisted with oligo- or unilamellar vesicles (~50 nm in
diameter) and bicelle-like structures (~45 nm length, ~18 nm width). High peptide
concentrations (11 mM) led to unilamellar vesicles (ULV, diameter ~260-280 nm)
with a homogeneous mixing of lipids and peptides. SAXS revealed the temperature
dependent fine structure of these ULVs: at 25 degrees C the bilayer is in a
fully interdigitated state (headgroup-to-headgroup distance dhh ~2.9 nm), whereas
at 50 degrees C this interdigitation opens up (dhh ~3.6 nm). Our results
highlight the versatility of self-assembled peptide superstructures: subtle
changes in the amino acid composition are key design elements in creating peptide
or lipid-peptide nanostructures with the same richness in morphology as known
from the lipid-world.
PMID- 29372006
TI - Dissecting the molecular mechanisms of gene x environment interactions:
implications for diagnosis and treatment of stress-related psychiatric disorders.
AB - Epidemiological studies indicate a combined contribution of genetic and
environmental factors, mainly exposure to adverse life events, in the risk for
psychiatric disease. Understanding how adverse life events interact with genetic
predisposition on the molecular level to shape risk and resilience to psychiatric
disorders may yield important insight into disease mechanism. Using the example
of the molecular mechanisms of interaction of functional genetic variants within
the stress-regulating gene FKBP5 and early adversity, it is delineated how this
interaction could contribute to transdiagnostic disease risk via a combined
genetic and epigenetic disinhibition of FKBP5 transcription. This knowledge may
now allow to develop biomarkers for a transdiagnostic subset of psychiatric
patients and to personalize treatment.
PMID- 29372007
TI - Clinical Virtual Reality tools to advance the prevention, assessment, and
treatment of PTSD.
AB - Numerous reports indicate that the incidence of posttraumatic stress disorder
(PTSD) in Operation Enduring Freedom/Operation Iraqi Freedom/Operation New Dawn
(OEF/OIF/OND) military personnel has created a significant behavioural healthcare
challenge. These findings have served to motivate research on how to better
develop and disseminate evidence-based treatments for PTSD. The current article
presents the use of Virtual Reality (VR) as a clinical tool to address the
assessment, prevention, and treatment of PTSD, based on the VR projects that were
evolved at the University of Southern California Institute for Creative
Technologies since 2004. A brief discussion of the definition and rationale for
the clinical use of VR is followed by a description of a VR application designed
for the delivery of prolonged exposure (PE) for treating Service Members (SMs)
and Veterans with combat- and sexual assault-related PTSD. The expansion of the
virtual treatment simulations of Iraq and Afghanistan for PTSD assessment and
prevention is then presented. This is followed by a forward-looking discussion
that details early efforts to develop virtual human agent systems that serve the
role of virtual patients for training the next generation of clinical providers,
as healthcare guides that can be used to support anonymous access to trauma
relevant behavioural healthcare information, and as clinical interviewers capable
of automated behaviour analysis of users to infer psychological state. The paper
will conclude with a discussion of VR as a tool for breaking down barriers to
care in addition to its direct application in assessment and intervention.
PMID- 29372008
TI - Early indicators of problematic grief trajectories following bereavement.
AB - Background: Little is known about the development of Prolonged Grief Disorder
(PGD) symptoms over time in adults. For clinical purposes, it would be useful to
have knowledge about early indicators of a problematic grief trajectory.
Objective: This study aimed to identify classes of bereaved individuals with
similar trajectories of PGD symptoms and to design a provisional screening tool
including symptoms predicting membership of classes with problematic grief
trajectories. Method: In a Dutch sample of 166 bereaved individuals, we conducted
a latent class analysis to identify classes of bereaved individuals with similar
trajectories of PGD symptoms between two time points (mean of 6 and 18 months
post-loss, respectively). Next, we used Receiver Operating Characteristic (ROC)
analyses to examine which symptoms at baseline best predicted membership of
classes with problematic grief trajectories. Results: We found four different
classes: a class including individuals with persistent high PGD symptoms (class
1, 6%), a class of individuals with persistent moderate PGD symptoms (class 2,
35%), a class of individuals with slightly decreasing moderate PGD symptoms
(class 3, 33%) and a class of individuals with persistent low PGD symptoms (class
4, 26%). The endorsement of symptoms 'yearning', 'stunned', 'life is empty' and
'bitterness' as present 'often' during the preceding month at baseline best
predicted membership of class 1 or 2. Conclusions: Two classes of individuals
with problematic grief trajectories were identified. Four symptoms were found
which could act as early indicators of these two classes in a provisional
screening tool.
PMID- 29372009
TI - Attachment styles, grief responses, and the moderating role of coping strategies
in parents bereaved by the Sewol ferry accident.
AB - Background: Previous studies on the influence of different types of attachment on
grief responses have yielded contradictory outcomes. Little research has been
conducted to identify the psychological processes that moderate the relationship
between attachment representations and patterns of grief in disaster-related
grief. Objective: The present study examines the effects of different attachment
types on the grief responses of parents bereaved by loss of a child in a ferry
accident, along with the moderating role of coping strategies. Methods: Bereaved
parents (n = 81) completed self-report questionnaires evaluating attachment,
coping strategies, complicated grief, and shame/guilt. We performed correlational
analyses to examine the associations among variables. We also conducted
hierarchical regression analyses and simple slope analyses to examine the
moderation effects of coping strategies. Results: Anxious attachment was
associated with severe shame/guilt, and avoidant attachment correlated with
complicated grief. Anxious attachment was positively associated with all types of
coping strategies, and avoidant attachment was negatively related to problem- and
emotion-focused coping. The use of problem-focused coping strategies was a
significant moderator of the relationship between the avoidant attachment
dimension and shame/guilt. Avoidant attachment had a significant effect on
shame/guilt in groups with a high level of problem-focused coping. In contrast,
none of the coping strategies significantly moderated the relationship between
anxious attachment and grief response. Conclusions: The results suggest that
people with highly avoidant attachment might be overwhelmed by shame and guilt
when they try to use problem-focused coping strategies. This finding suggests
that grief interventions should be organized with consideration of individual
differences in attachment representations.
PMID- 29372010
TI - PTSD and Complex PTSD: ICD-11 updates on concept and measurement in the UK, USA,
Germany and Lithuania.
AB - The 11th revision to the World Health Organization's International Classification
of Diseases (ICD-11) proposes two distinct sibling conditions: Posttraumatic
Stress Disorder (PTSD) and Complex PTSD (CPTSD). In this paper, we aim to provide
an update on the latest research regarding the conceptual structure and
measurement of PTSD and CPTSD using the International Trauma Questionnaire (ITQ)
as per ICD-11 proposals in the USA, UK, Germany and Lithuania. Preliminary
findings suggest that CPTSD is common in clinical and population samples,
although there may be variations across countries in prevalence rates. In
clinical samples, preliminary evidence suggests that CPTSD is a more commonly
observed condition than PTSD. Preliminary evidence also suggests that the ITQ
scores are reliable and valid and can adequately distinguish between PTSD and
CPTSD. Further cross-cultural work is proposed to explore differences in PTSD and
CPTSD across different countries with regard to prevalence, incidence, and
predictors of PTSD and CPTSD.
PMID- 29372011
TI - A scoping review of ICD-11 adjustment disorder research.
AB - Background: Adjustment disorder (AjD) is one of the most used mental disorder
diagnoses among mental health professionals. Important revisions of the AjD
definition in the 11th edition of the International Classification of Diseases
(ICD-11) are proposed. AjD is included in a chapter of disorders specifically
associated with stress in ICD-11. Objective: This paper aims to review recent
developments in ICD-11 AjD research, and to discuss the available ICD-11 AjD
diagnosis validation studies, AjD measures, treatment studies, and outline the
future perspectives for AjD research and clinical practice. Methods: In total, 10
empirical studies of AjD ICD-11 were identified and included in this review. We
searched for studies in Embase, PubMed, PsycINFO, Scopus, PILOTS, SocINDEX, and
via additional search by contacting authors of published empirical studies and
reference screening. Results: Review of the studies revealed a lack of validation
studies of the ICD-11 AjD symptom structure. AjD validation study findings are
ambiguous, and there is still little support for the proposed two symptom
structure of AjD for the ICD-11. A self-report AjD measure 'Adjustment Disorder
New Module' (ADNM) based on the ICD-11 definition has been developed and used in
all 10 reviewed studies. Two self-help interventions have been developed for the
ICD-11 AjD, and findings from these studies indicate that self-help low-intensity
cognitive-behavioural interventions, delivered via bibliography or internet
based, might be effective treatment of AjD. Conclusions: The AjD definition in
ICD-11 with a description of a new symptom profile facilitates AjD measurement
and AjD-focused treatment developments. More studies and insights from clinical
practice are needed to move the field of AjD research and practice forward.
PMID- 29372012
TI - The treatment of PTSD in an older adult Norwegian woman using narrative exposure
therapy: a case report.
AB - The bulk of the literature on effective treatments for posttraumatic stress
disorder (PTSD) has focused on children, adolescents, and young adults. The
evidence on treatments for older adults is sparse. This case report presents the
application of narrative exposure therapy (NET) for a 70-year-old Norwegian woman
suffering from PTSD as a result of multiple childhood and late life traumatic
events. NET is a manualized, short-term, cognitive-behavioural therapy for PTSD,
originally developed to meet the needs of survivors of war and organized
violence. Some aspects of NET may be a good fit for older adults, including its
brevity, simplicity, and concrete nature. The course of therapy included
psychoeducation, a lifeline exercise, imaginal exposure, and the creation of a
coherent narrative. Symptoms of depression and posttraumatic stress showed
improvement over the course of therapy and at follow-up. This suggests that NET
may have potential as a trauma treatment for older adults.
PMID- 29372013
TI - The mediating role of shame in the relationship between childhood bullying
victimization and adult psychosocial adjustment.
AB - Background: Psychological distress following experiencing bullying victimization
in childhood has been well documented. Less is known about the impact of bullying
victimization on psychosocial adjustment problems in young adulthood and about
potential pathways, such as shame. Moreover, bullying victimization is often
studied in isolation from other forms of victimization. Objective: This study
investigated (1) whether childhood experiences of bullying victimization and
violence were associated with psychosocial adjustment (distress, impaired
functioning, social support barriers) in young adulthood; (2) the unique effect
of bullying victimization on psychosocial adjustment; and (3) whether shame
mediated the relationship between bullying victimization and these outcomes in
young adulthood. Method: The sample included 681 respondents (aged 19-37 years)
from a follow-up study (2017) conducted via phone interviews derived from a
community telephone survey collected in 2013. Results: The regression analyses
showed that both bullying victimization and severe violence were significantly
and independently associated with psychological distress, impaired functioning,
and increased barriers to social support in young adulthood. Moreover, causal
mediation analyses indicated that when childhood physical violence, sexual abuse,
and sociodemographic factors were controlled, shame mediated 70% of the
association between bullying victimization and psychological distress, 55% of the
association between bullying victimization and impaired functioning, and 40% of
the association between bullying victimization and social support barriers.
Conclusions: Our findings support the growing literature acknowledging bullying
victimization as a trauma with severe and long-lasting consequences and indicate
that shame may be an important pathway to continue to explore. The unique effect
of bullying victimization, over and above the effect of violence, supports the
call to integrate the two research fields.
PMID- 29372014
TI - A psychometric assessment of Disturbances in Self-Organization symptom indicators
for ICD-11 Complex PTSD using the International Trauma Questionnaire.
AB - Background: Two 'sibling disorders' have been proposed for the 11th version of
the International Classification of Diseases (ICD-11): Posttraumatic Stress
Disorder (PTSD) and Complex PTSD (CPTSD). To date, no research has attempted to
identify the optimal symptom indicators for the 'Disturbances in Self
Organization' (DSO) symptom cluster. Objective: The aim of the current study was
to assess the psychometric performance of scores of 16 potential DSO symptom
indicators from the International Trauma Questionnaire (ITQ). Criteria relating
to score variability and their ability to discriminate were employed. Method:
Participants (N = 1839) were a nationally representative household sample of non
institutionalized adults currently residing in the US. Item scores from the ITQ
were examined in relation to basic criteria associated with interpretability,
variability, homogeneity, and association with functional impairment. The
performance of the DSO symptoms was also assessed using 1- and 2-parameter item
response theory (IRT) models. Results: The distribution of responses for all DSO
indicators met the criteria associated with interpretability, variability,
homogeneity, and association with functional impairment. The 1-parameter graded
response model was considered the best model and indicated that each set of
indictors performed very similarly. Conclusions: The ITQ contains 16 DSO symptom
indicators and they perform well in measuring their respective symptom cluster.
There was no evidence that particular indicators were 'better' than others, and
it was concluded that the indicators are essentially interchangeable.
PMID- 29372015
TI - Training the next generation of psychotraumatologists: COllaborative Network for
Training and EXcellence in psychoTraumatology (CONTEXT).
AB - In this paper we present a description of the Horizon2020, Marie Sklodowska-Curie
Action funded, research and training programme CONTEXT: COllaborative Network for
Training and EXcellence in psychoTraumatology. The three objectives of the
programme are put forward, each of which refers to a key component of the CONTEXT
programme. First, we summarize the 12 individual research projects that will take
place across three priority populations: (i) refugees and asylum seekers, (ii)
first responders, and (iii) perpetrators and survivors of childhood and gender
based violence. Second, we detail the mentoring and training programme central to
CONTEXT. Finally, we describe how the research, together with the training, will
contribute towards better policy, guidelines, and practice within the field of
psychotraumatology.
PMID- 29372016
TI - Dialectical behaviour therapy for posttraumatic stress disorder related to
childhood sexual abuse: a pilot study in an outpatient treatment setting.
AB - Background: Dialectical behaviour therapy for posttraumatic stress disorder (DBT
PTSD), which is tailored to treat adults with PTSD and co-occurring emotion
regulation difficulties, has already demonstrated its efficacy, acceptance and
safety in an inpatient treatment setting. It combines elements of DBT with trauma
focused cognitive behavioural interventions. Objective: To investigate the
feasibility, acceptance and safety of DBT-PTSD in an outpatient treatment setting
by therapists who were novice to the treatment, we treated 21 female patients
suffering from PTSD following childhood sexual abuse (CSA) plus difficulties in
emotion regulation in an uncontrolled clinical trial. Method: The Clinician
Administered PTSD Symptom Scale (CAPS), the Davidson Trauma Scale (DTS), the
Borderline Section of the International Personality Disorder Examination (IPDE)
and the Borderline Symptom List (BSL-23) were used as primary outcomes. For
secondary outcomes, depression and dissociation were assessed. Assessments were
administered at pretreatment, post-treatment and six-week follow-up. Results:
Improvement was significant for PTSD as well as for borderline personality
symptomatology, with large pretreatment to follow-up effect sizes for completers
based on the CAPS (Cohens d = 1.30), DTS (d = 1.50), IPDE (d = 1.60) and BSL-23
(d = 1.20). Conclusion: The outcome suggests that outpatient DBT-PTSD can safely
be used to reduce PTSD symptoms and comorbid psychopathology in adults who have
experienced CSA.
PMID- 29372018
TI - Sales promotion by wholesalers affects general practitioners' prescription
behaviours in Japan.
AB - Background: One method for promoting drugs in Japan has been utilizing
wholesalers for promotion; however, the effectiveness of the sales promotion has
been brought into question. Methods: A total of 74,552 responses were collected
from an internet survey of 511 prescribing doctors in hospitals with less than 19
beds, which recalled the visits by wholesalers' sales representatives (MS) in
2014. Each assessed the degree to which MS and/or sales representatives from a
pharmaceutical company (MR) influenced a decision to prescribe each drug. The
responses were analysed using the chi-square test and Goodman-Kruskal's gamma to
evaluate the association between MS calls and doctors' prescription orders.
Results: Results showed a significant effect of the MS calls on doctors'
behaviours in terms of new drug prescriptions and subsequent behaviours. The
results by therapeutic category showed a similar strong influence of the joint
calls on new prescriptions on some therapeutic classes. The MS calls
significantly influenced doctors to maintain and increase the prescription volume
(p < 0.01). Conclusion: This paper demonstrates that sales promotion on the part
of MSs and MRs adds value to the prescription decisions. Moreover, results
suggest that MSs enhance prescription outcomes in competitive therapeutic
categories.
PMID- 29372019
TI - Can predictive biomarkers of chronic pain find in the immune system?
PMID- 29372017
TI - Therapeutic biomaterials based on extracellular vesicles: classification of bio
engineering and mimetic preparation routes.
AB - Extracellular vesicles (EVs) are emerging as novel theranostic tools. Limitations
related to clinical uses are leading to a new research area on design and
manufacture of artificial EVs. Several strategies have been reported in order to
produce artificial EVs, but there has not yet been a clear criterion by which to
differentiate these novel biomaterials. In this paper, we suggest for the first
time a systematic classification of the terms used to build up the artificial EV
landscape, based on the preparation method. This could be useful to guide the
derivation to clinical trial routes and to clarify the literature. According to
our classification, we have reviewed the main strategies reported to date for
their preparation, including key points such as: cargo loading, surface targeting
strategies, purification steps, generation of membrane fragments for the
construction of biomimetic materials, preparation of synthetic membranes inspired
in EV composition and subsequent surface decoration.
PMID- 29372020
TI - Pain medication and long QT syndrome.
AB - Long QT syndrome is a cardiac repolarization disorder and is associated with an
increased risk of torsades de pointes. The acquired form is most often
attributable to administration of specific medications and/or electrolyte
imbalance. This review provides insights into the risk for QT prolongation
associated with drugs frequently used in the treatment of chronic pain. In the
field of pain medicine all the major drug classes (i.e. NSAIDs, opioids,
anticonvulsive and antidepressant drugs, cannabinoids, muscle relaxants) contain
agents that increase the risk of QT prolongation. Other substances, not used in
the treatment of pain, such as proton pump inhibitors, antiemetics, and diuretics
are also associated with long QT syndrome. When the possible benefits of therapy
outweigh the associated risks, slow dose titration and electrocardiography
monitoring are recommended.
PMID- 29372021
TI - Allopregnanolone suppresses mechanical allodynia and internalization of
neurokinin-1 receptors at the spinal dorsal horn in a rat postoperative pain
model.
AB - Background: To identify a new strategy for postoperative pain management, we
investigated the analgesic effects of allopregnanolone (Allo) in an incisional
pain model, and also assessed its effects on the activities of the primary
afferent fibers at the dorsal horn. Methods: In experiment 1, 45 rats were
assigned to Control, Allo small-dose (0.16 mg/kg), and Allo large-dose (1.6
mg/kg) groups (n = 15 in each). The weight bearing and mechanical withdrawal
thresholds of the hind limb were measured before and at 2, 24, 48, and 168 h
after Brennan's surgery. In experiment 2, 16 rats were assigned to Control and
Allo (0.16 mg/kg) groups (n = 8 in each). The degree of spontaneous pain was
measured using the grimace scale after the surgery. Activities of the primary
afferent fibers in the spinal cord (L6) were evaluated using immunohistochemical
staining. Results: In experiment 1, the withdrawal threshold of the Allo small
dose group was significantly higher than that of the Control group at 2 h after
surgery. Intergroup differences in weight bearing were not significant. In
experiment 2, intergroup differences in the grimace scale scores were not
significant. Substance P release in the Allo (0.16 mg/kg) group was significantly
lower than that in the Control group. Conclusions: Systemic administration of
Allo inhibited mechanical allodynia and activities of the primary afferent fibers
at the dorsal horn in a rat postoperative pain model. Allo was proposed as a
candidate for postoperative pain management.
PMID- 29372022
TI - Neuroticism and pain catastrophizing aggravate response to pain in healthy
adults: an experimental study.
AB - Background: The aim of this study was to investigate the association between
neuroticism, pain catastrophizing, and experimentally induced pain threshold and
pain tolerance in a healthy adult sample from two regions of the country of
Croatia: the island of Korcula and city of Split. Methods: A total of 1,322
participants were enrolled from the Island of Korcula (n = 824) and the city of
Split (n = 498). Participants completed a self-reported personality measure
Eysenck Personality Questionnaire (EPQ) and pain catastrophizing questionnaire
Pain Catastrophizing Scale (PCS), followed by a mechanical pain pressure
threshold and tolerance test. We have explored the mediating role of
catastrophizing in the relationship between neuroticism and pain intensity.
Results: The results showed that pain catastrophizing partially mediated the
relationship between neuroticism and pain intensity, suggesting the importance of
pain catastrophizing in increasing vulnerability to pain. The results also
indicated gender-related differences, marked by the higher pain threshold and
tolerance in men. Conclusions: This study adds to the understanding of the
complex interplay between personality and pain, by providing a better
understanding of such mechanisms in healthy adults.
PMID- 29372024
TI - Double blind randomized control trial to evaluate the efficacy of ketoprofen
patch to attenuate pain during venous cannulation.
AB - Background: Venipuncture pain is an uncomfortable suffering to the patient. It
creates anxiety, fear and dissatisfaction. The ketoprofen transdermal patch is a
proven treatment for musculoskeletal and arthritic pain. We planned this study to
evaluate the efficacy of the ketoprofen patch to reduce venipuncture pain.
Methods: Two hundred adult patients, aged 18-60 years, of either sex, ASA grade I
or II, were enrolled. Presuming that therapy would decrease venipuncture pain by
30%, a power calculation with alpha = 0.05 and beta = 0.80 required enrollment of
at least 24 patients into each group. However, 100 patients in each group were
recruited. Group I (Control) received a placebo patch; Group II (Ketoprofen)
received a 20 mg ketoprofen patch. A selected vein on the dorsum of the patient's
non-dominant hand was cannulated with 18 g intravenous cannula 1 h after the
application of the respective patch. Assessment of pain was done by a 10 cm
visual analogue scale (VAS) of 0-10, where 0 depicts "no pain" and 10 is "the
worst imaginable pain". The venipuncture site was assessed for the presence of
skin erythema, swelling and rashes at 12 h, 24 h and at the time of
decannulation. Results: Incidence of pain was 100% (94/94) in the control group
as compared to 93% (85/91) in the ketoprofen group. The severity of the
venipuncture pain was 6 (2) and 2 (2) for control and ketoprofen groups
respectively (P < 0.05). Conclusions: Application of a ketoprofen patch at the
proposed site of venipuncture one hour before the attempt is effective and safe
for attenuating venipuncture pain.
PMID- 29372023
TI - Therapeutic lumbar facet joint nerve blocks in the treatment of chronic low back
pain: cost utility analysis based on a randomized controlled trial.
AB - Background: Related to escalating health care costs and the questionable
effectiveness of multiple interventions including lumbar facet joint
interventions, cost effectiveness or cost utility analysis has become the
cornerstone of evidence-based medicine influencing coverage decisions. Methods:
Cost utility of therapeutic lumbar facet joint nerve blocks in managing chronic
low back pain was performed utilizing data from a randomized, double-blind,
controlled trial with a 2-year follow-up, with direct payment data from 2016.
Based on the data from surgical interventions, utilizing the lowest proportion of
direct procedural costs of 60%, total cost utility per quality adjusted life year
(QALY) was determined by multiplying the derived direct cost at 1.67. Results:
Patients in this trial on average received 5.6 +/- 2.6 procedures over a period
of 2 years, with average relief over a period of 2 years of 82.8 +/- 29.6 weeks
with 19 +/- 18.77 weeks of improvement per procedure. Procedural cost for one
year improvement in quality of life showed USD $2,654.08. Estimated total costs,
including indirect costs and drugs with multiplication of direct costs at 1.67,
showed a cost of USD $4,432 per QALY. Conclusions: The analysis of therapeutic
lumbar facet joint nerve blocks in the treatment of chronic low back pain shows
clinical effectiveness and cost utility at USD $2,654.08 for the direct costs of
the procedures, and USD $4,432 for the estimated overall cost per one year of
QALY, in chronic persistent low back pain non-responsive to conservative
management.
PMID- 29372025
TI - Cytotoxic activity and subset populations of peripheral blood natural killer
cells in patients with chronic pain.
AB - Background: Chronic pain reportedly exerts complex effects on immune function.
Natural killer (NK) cells are lymphocytes that play a critical role in cellular
and innate immunity. This study examined changes in the subset populations and
cytotoxic activity of peripheral blood NK cells in patients with chronic pain.
Methods: Thirty patients with chronic moderate-to-severe pain (group P) and age
matched pain-free subjects (group NoP) were enrolled. Peripheral whole blood was
analyzed for the percentage and expression of NK cell surface markers (CD56 and
CD16) by flow cytometry. Cytotoxic activity was assayed by evaluating CD69
expression on CD3-/CD56+NK cells. Results: The percentage of NK cells among total
lymphocytes was not significantly different between groups P and NoP (16.3 +/-
9.3 vs. 20.2 +/- 10.5%). Likewise, the percentages of two major NK cell subsets,
CD56bright and CD56dim, were also not significantly different between the two
groups. However, the percentage of CD56bright/CD16+ subset, was slightly but
significantly increased in group P (1.0 +/- 0.9%; P < 0.01) compared with group
NoP (0.5 +/- 0.6%). The cytotoxicity of NK cells was not different between the
two groups, showing similar CD69 expression (P vs. NoP = 29.2 +/- 15.2 vs. 32.0
+/- 15.0%). These findings were not influenced by pain intensity, opioid use, or
disease causing pain in group P. Conclusions: NK cell cytotoxic activity and
major subset populations, with the exception of an increased percentage of the
CD56bright/CD16+ subset, are not significantly altered in patients with chronic
severe pain.
PMID- 29372026
TI - Transient paraplegia after neurolytic splanchnic block in a patient with
metastatic colon carcinoma.
AB - We present a patient with metastatic colon carcinoma who developed paraplegia
following a neurolytic splanchnic block. A 41-year old man with metastatic
adenocarcinoma of the colon received a splanchnic neurolytic block using alcohol
because of severe abdominal pain. Bilateral motor weakness and a sensorial
deficit in both legs developed after the procedure. Diffusion magnetic resonance
imaging revealed spinal cord ischemia between T8 and L1. The motor and sensorial
deficits were almost completely resolved at the end of the third month. We think
that anterior spinal artery syndrome due to reversible spasms of the lumbar
radicular arteries using alcohol have resulted in transient paraplegia. The
retrograde spread of alcohol to neural structures may have also contributed.
PMID- 29372027
TI - Lumbar burner and stinger syndrome in an elderly athlete.
AB - Burner or stinger syndrome is a rare sports injury caused by direct or indirect
trauma during high-speed or contact sports mainly in young athletes. It affects
peripheral nerves, plexus trunks or spinal nerve roots, causing paralysis,
paresthesia and pain. We report the case of a 57-year-old male athlete suffering
from burner syndrome related to a lumbar nerve root. He presented with prolonged
pain and partial paralysis of the right leg after a skewed landing during the
long jump. He was initially misdiagnosed since the first magnet resonance imaging
was normal whereas electromyography showed denervation. The insurance company
refused to pay damage claims. Partial recovery was achieved by pain medication
and physiotherapy. Burner syndrome is an injury of physically active individuals
of any age and may appear in the cervical and lumbar area. MRI may be normal due
to the lack of complete nerve transection, but electromyography typically shows
pathologic results.
PMID- 29372028
TI - Pain in beta-thalassemia major patients: an important yet neglected issue.
PMID- 29372029
TI - Iranian Journal of Basic Medical Sciences comes of age on the 20th anniversary of
publication.
PMID- 29372030
TI - Changes in expression of klotho affect physiological processes, diseases, and
cancer.
AB - Klotho (KL) encodes a single-pass transmembrane protein and is predominantly
expressed in the kidney, parathyroid glands, and choroid plexus. Genetic studies
on the KL gene have revealed that DNA hypermethylation is one of the major risk
factors for aging, diseases, and cancer. Besides, KL exerts anti-inflammatory and
anti-tumor effects by regulating signaling pathways and the expression of target
genes. KL participates in modulation of the insulin/insulin-like growth factor-1
(IGF-1) signaling, which induces the growth hormone (GH) secretion. Accordingly,
KL mutant mice display multiple aging-like phenotypes, which are ameliorated by
overexpression of KL. Therefore, KL is an important contributor to lifespan. KL
is further identified as a regulator of calcium (Ca2+) channel-dependent cell
physiological processes. KL has been also shown to induce cancer cell apoptosis,
thus, it is considered as a potential tumor suppressor. Our recent studies have
indicated that KL modulates an influx of Ca2+ from the extracellular space,
leading to a change in CCL21-dependent migration in dendritic cells (DCs).
Interestingly, the regulation of the expression of KL was mediated through a
phosphoinositide 3-kinase (PI3K) pathway in DCs. Moreover, downregulating of KL
expression by using siRNA knockdown technique, we observed that the expression of
Ca2+ channels including Orai3, but not Orai1, Orai2, TRPV5 and TRPV6 was
significantly reduced in KL-silenced as compared to control BMDCs. Clearly,
additional research is required to define the role of KL in the regulation of
organismic and cellular functions through the PI3K signaling and the expression
of the Ca2+ channels.
PMID- 29372031
TI - Design, synthesis, and biological evaluation of 6-methoxy-2-arylquinolines as
potential P-glycoprotein inhibitors.
AB - Objectives: In the present study, a new series of 6-methoxy-2-arylquinoline
analogues was designed and synthesized as P-glycoprotein (P-gp) inhibitors using
quinine and flavones as the lead compounds. Materials and Methods: The cytotoxic
activity of the synthesized compounds was evaluated against two human cancer cell
lines including EPG85-257RDB, multidrug-resistant gastric carcinoma cells (P-gp
positive gastric carcinoma cell line), and EPG85-257P, drug-sensitive gastric
carcinoma cells. Compounds showing low to moderate toxicity in the MTT test were
selected to investigate their P-gp inhibition activity. Moreover, trying to
explain the results of biological experiments, docking studies of the selected
compounds into the homology-modeled human P-gp, were carried out. The
physicochemical and ADME properties of the compounds as drug candidate were also
predicted. Results: Most of our compounds exhibited negligible or much lower
cytotoxic effect in both cancer cells. Among the series, 5a and 5b, alcoholic
quinoline derivatives were found to inhibit the efflux of rhodamine 123 at the
concentration of 10 MUM significantly. Conclusion: Among the tested quinolines,
5a and 5b showed the most potent P-gp inhibitory activity in the series and were
1.3-fold and 2.1-fold stronger than verapamil, respectively. SAR data revealed
that hydroxyl methyl in position 4 of quinolines has a key role in P-gp efflux
inhibition of our compounds. ADME studies suggested that all of the compounds
included in this study may have a good human intestinal absorption.
PMID- 29372032
TI - Protective effect of metformin on D-galactose-induced aging model in mice.
AB - Objectives: Metformin (Met), an antidiabetic biguanide, reduces hyperglycemia via
improving glucose utilization and reducing the gluconeogenesis. Met has been
shown to exert neuroprotective, antioxidant and anti-inflammatory properties. The
present study investigated the possible effect of Met on the D-galactose (D-gal)
induced aging in mice. Materials and Methods: Met (1 and 10 mg/kg/p.o.), was
administrated daily in D-gal-received (500 mg/kg/p.o.) mice model of aging for
six weeks. Anxiety-like behavior, cognitive function, and physical power were
evaluated by the elevated plus-maze, novel object recognition task (NORT), and
forced swimming capacity test, respectively. The brains were analyzed for the
level of superoxide dismutase (SOD) and brain-derived neurotrophic factor (BDNF).
Results: Met decreased the anxiety-like behavior in D-gal-treated mice. Also, Met
treated mice showed significantly improved learning and memory ability in NORT
compared to the D-gal-treated mice. Furthermore, Met increased the physical power
as well as the activity of SOD and BDNF level in D-gal-treated mice. Conclusion:
Our results suggest that the use of Met can be an effective strategy for
prevention and treatment of D-gal-induced aging in animal models. This effect
seems to be mediated by attenuation of oxidative stress and enhancement of the
neurotrophic factors.
PMID- 29372033
TI - Immunogenicity of chimeric MUC1-HER2 vaccine against breast cancer in mice.
AB - Objectives: Breast cancer is one of the most common cancers in the world and is
on the increase. MUC1 and HER2 as tumor-associated antigens (TAAs) are abnormally
expressed to some extent in 75-80% of breast cancers. In our present research, a
novel chimeric MUC1-HER2 (HM) protein was designed and used to study whether an
immune response can be generated against these TAAs. In vitro analysis of the
HER2-MUC1 construct confirmed the co-expression of MUC1 and HER2. Materials and
Methods: BALB/c mice were immunized with this novel chimeric protein. The humoral
immune response was assessed by enzyme-linked immunosorbent assay (ELISA). Then,
BALB/c mice were injected subcutaneously 2*105 4T1-MUC1-HER2 tumor cells.
Subsequently, tumor size and tumor necrosis measurements, MTT, cytokines assay
and survival test were performed. Results: The results implied a critical role of
HER2 and MUC1 antibodies in vaccination against breast cancer. This engineered
protein can be a good vaccine to stop breast cancer. Conclusion: The results
implied a critical role of HER2 and MUC1 antibodies in vaccination against breast
cancer. This engineered protein can be a good vaccine to stop breast cancer.
PMID- 29372034
TI - Protosappanin A protects against atherosclerosis via anti- hyperlipidemia, anti
inflammation and NF-kappaB signaling pathway in hyperlipidemic rabbits.
AB - Objectives: Protosappanin A (PrA) is an effective and major ingredient of
Caesalpinia sappan L. The current study was aimed to explore the effect of PrA on
atherosclerosis (AS). Materials and Methods: Firstly, the experimental model of
AS was established in rabbits by two-month feeding of high fat diet. Then, the
rabbits were randomly divided into five groups and treated with continuous high
lipid diet (model control), high lipid diet containing rosuvastatin (positive
control), 5 mg/kg PrA (low dose) or 25 mg/kg PrA (high dose). Results: Our
results showed that PrA markedly alleviated AS as indicated by hematoxylin/eosin
(HE) staining. PrA also reduced hyperlipidemia (as demonstrated by the serum
levels of total blood cholesterol (TC), triglyceride (TG), low-density
lipoprotein (LDL) and high-density lipoprotein (HDL)) in a time and dose
dependent manner, and decreased inflammation (as indicated by the serum levels of
matrix metalloproteinase-9 [MMP-9], interleukin-6 [IL-6] and tumor necrosis
factor-alpha [TNF-alpha]). Moreover, PrA significantly inactivated nuclear factor
kappa B (NF-kappaB) signaling as indicated by nuclear NF-kappaB p65 protein
expression, as well as the mRNA expression and serum levels of downstream genes,
interferon-gamma (IFN-gamma) and interferon-gamma-inducible protein 10 (IP10).
Conclusion: This study proved that PrA might protect against atherosclerosis via
anti-hyperlipidemia, anti-inflammation and NF-kappaB signaling pathways in
hyperlipidemic rabbits.
PMID- 29372035
TI - The toxicity study of synthesized inverse carnosine peptide analogues on HepG2
and HT-29 cells.
AB - Objective: Cancer has risen as the main cause of diseases with the highest rate
of mortality in the world. Drugs used in cancer, usually demonstrate side effects
on normal tissues. On the other hand, anticancer small peptides, effective on
target tissues, should be safe on healthy organs, as being naturally originated
compounds. In addition, they may have good pharmacokinetic properties. carnosine,
a natural dipeptide, has shown many biological functions, including anti-oxidant,
anti-senescence, anti-inflammatory and anticancer activities. This study, with
the aim of introducing new anticancer agents with better properties, is focused
on the synthesis and cytotoxic evaluation of some peptide analogues of carnosine.
Materials and Methods: The cytotoxic activity of the synthesized peptides,
prepared by the solid-phase peptide synthesis method, was evaluated against two
cell lines of HepG2 and HT-29 using MTT assay, lactate dehydrogenase (LDH) assay
and flow cytometry analysis. Results: Linear and cyclic analogues of carnosine
peptide showed cytotoxicity, demonstrated by several experiments, against HepG2
and HT-29 cell lines with mean IC50 values ranging from 9.81 to 16.23 ug/ml.
Among the peptides, compounds 1c, 3c and 6b (linear analogue of 3c) showed a
considerable toxic activity on the cancerous cell lines. Conclusion: The cyclic
peptide analogues of carnosine with His-beta-Ala-Pro-beta-Ala-His (1c) and beta
Ala-His-Pro-His-beta-Ala (3c) sequences showed cytotoxic activity on cancerous
cells of HepG2 and HT-29, better than carnosine, and thus can be good candidates
to develop new anticancer agents. The mechanism of cytotoxicity may be through
cell apoptosis.
PMID- 29372036
TI - Histomorphometric evaluation of mice testicular tissue following short- and long
term effects of lipopolysaccharide-induced endotoxemia.
AB - Objectives: Lipopolysaccharide (LPS)-induced endotoxemia is known to cause male
infertility. This study was designed to explore the effects of bacterial LPS on
histomorphometric changes of mice testicular tissues. Materials and Methods: In
experiment 1, a pilot dose responsive study was performed with mice that were
divided into five groups, receiving 36000, 18000, 9000, and 6750 ug/kg body
weight (B.W) of LPS or only saline (control). White blood cells (WBC) were
observed for 3 days after LPS inoculation. In experiment 2, two groups of mice
were treated with 6750 ug/kg B.W of LPS or only saline (control). Five cases from
each experimental group were sacrificed at 3, 30, and 60 days after LPS
inoculation. Left testes were fixed in Bouin's solution, and stained for
morphometrical assays. Results: Time-course changes of WBC obtained from
different doses of LPS-treated mice showed that inoculation of 6750 ug/kg B.W
produced a reversible endotoxemia that lasts for 72 hr and so it was used in the
second experiment. In experiment 2, during the first 3 days, no significant
changes were observed in the evaluated parameters instead of seminiferous tubules
diameter. Spermatogenesis, Johnsen's score, meiotic index, and epithelial height
were significantly affected at 30th day. However, complete recovery was only
observed for the spermatogenesis at day 60. Interestingly, deleterious effects of
LPS on spermatogonia were only seen at 60th day (P<0.05). Conclusion: Endotoxemia
induced by LPS has long-term detrimental effects on spermatogonia and later stage
germ cells, which are reversible at the next spermatogenic cycle.
PMID- 29372037
TI - Neuroprotective effect of berberine chloride on cognitive impairment and
hippocampal damage in experimental model of vascular dementia.
AB - Objectives: The major objective of the present study was to investigate the
potential neuroprotective effect of berberine chloride on vascular dementia.
Berberine, as an ancient medicine in China and India, is the main active
component derived from the Berberis sp. Several studies have revealed the
beneficial effects of berberine in various neurodegenerative disorders. Materials
and Methods: To induce vascular dementia, chronic bilateral common carotid artery
occlusion was performed on male Wistar rats. After surgery, the rats were treated
daily by oral administration of berberine chloride (50 mg/kg) for two months. The
cognition function of treated rats, were evaluated by Morris Water Maze (MWM)
test. In addition, Nissl and TUNEL staining were chosen to assess neuronal damage
within the hippocampal CA1 area. Results: It was obvious that chronic cerebral
hypoperfusion (CCH), caused cognitive impairment and neuronal damages within CA1
hippocampal subregion. Berberine chloride was able to prevent cognitive deficits,
(P<0.05) and reversed CCH-induced hippocampal neuronal loss and apoptosis,
(P<0.05). Conclusion: Berberine chloride may be considered as a potential
treatment for cognitive deficits and neuronal injury caused by CCH in the
hippocampal CA1 area.
PMID- 29372038
TI - Metabolomics diagnostic approach to mustard airway diseases: a preliminary study.
AB - Objectives: This study aims to evaluate combined proton nuclear magnetic
resonance (1H NMR) spectroscopy and gas chromatography-mass spectrometry (GC-MS)
metabolic profiling approaches, for discriminating between mustard airway
diseases (MADs) and healthy controls and for providing biochemical information on
this disease. Materials and Methods: In the present study, analysis of serum
samples collected from 17 MAD subjects and 12 healthy controls was performed
using NMR. Of these subjects, 14 (8 patients and 6 controls) were analyzed by GC
MS. Then, their spectral profiles were subjected to principal component analysis
(PCA) and orthogonal partial least squares regression discriminant analysis (OPLS
DA). Results: A panel of twenty eight metabolite biomarkers was generated for
MADs, sixteen NMR-derived metabolites (3-methyl-2-oxovaleric acid, 3
hydroxyisobutyrate, lactic acid, lysine, glutamic acid, proline, hydroxyproline,
dimethylamine, creatine, citrulline, choline, acetic acid, acetoacetate,
cholesterol, alanine, and lipid (mainly VLDL)) and twelve GC-MS-derived
metabolites (threonine, phenylalanine, citric acid, myristic acid, pentadecanoic
acid, tyrosine, arachidonic acid, lactic acid, propionic acid, 3-hydroxybutyric
acid, linoleic acid, and oleic acid). This composite biomarker panel could
effectively discriminate MAD subjects from healthy controls, achieving an area
under receiver operating characteristic curve (AUC) values of 1 and 0.79 for NMR
and GC-MS, respectively. Conclusion: In the present study, a robust panel of
twenty-eight biomarkers for detecting MADs was established. This panel is
involved in three metabolic pathways including aminoacyl-tRNA biosynthesis,
arginine, and proline metabolism, and synthesis and degradation of ketone bodies,
and could differentiate MAD subjects from healthy controls with a higher
accuracy.
PMID- 29372039
TI - Monitoring wound healing of burn in rat model using human Wharton's jelly
mesenchymal stem cells containing cGFP integrated by lentiviral vectors.
AB - Objectives: Human Wharton's Jelly mesenchymal stem cells (hWMSCs) are
undifferentiated cells commonly used in regenerative medicine. The aim of this
study was to develop a reliable tool for tracking hWMSCs when utilized as
therapeutics in burnt disorders and also to optimize the cell-based treatment
procedure. Materials and Methods: The hWMSCs were first isolated from fresh
umbilical cord Wharton's jelly and cultured. The 293LTV cell line was transfected
by cGFP containing lentiviral vector and the helper plasmids for production of
the viral particle. The viral particles were collected to transduce the hWMSCs.
The transduced cells were finally selected based on resistance to puromycin. The
burned rats (n=24) were treated with cGFP expressing hWMSCs using the cell spray
method, with the cells being tracked 7, 14 and 21 days later. The rats were
sacrificed 7, 14 and 21 days following treatment and paraffin embedded sections
prepared from the burned area for downstream pathological analyses. Results: The
lentiviral particles carrying the cGFP gene were generated and the hWMSCs were
transduced. The cGFP-expressing hWMSCs were detected in the burned tissue and the
burned injuries were improved dramatically as compared to control. Conclusion:
Because of the establishment of stably transduced cGFP expressing cells and the
ability to detect cGFP for a relatively long-time interval, the method was found
to be quite efficient for the purpose of cell tracking. The combination of hWMSC
based cell therapy and sterile Gauze Vaseline (GV) as covering was proven much
more efficient than the traditional methods based on GV alone.
PMID- 29372040
TI - 99mTc-Glucarate for assessment of paclitaxel therapy in human ovarian cancer in
mice.
AB - Objectives: The monitoring of cancer treatment response to chemotherapy is
considered an essential strategy for follow-up of patients. The aim of this study
was to evaluate the use of 99mTc-glucarate as a radiotracer for in vivo
quantification and visualization of necrotic area and therapeutic effect of
paclitaxel in ovarian cancer xenografted nude mice. Materials and Methods: After
implantation of human ovarian cancer (SKOV-3) in nude mice, tumor xenografted
mice were enrolled in two groups as control and treatment (paclitaxel) groups.
99mTc-glucarate uptakes were quantified in tumors of control and treatment groups
and also tumor imaging was performed with a gamma camera. The necrotic and viable
areas of tumor and tumoral masses were evaluated through histopathological and
macroscopic observations, respectively. Results: 99mTc-glucarate uptake in tumor
of treatment group was higher than control group.99mTc-glucarate uptake in
ovarian tumor was clearly visualized with gamma imaging in both groups, but
paclitaxel treated group showed higher radioactive uptake than control mice. The
necrotic area in tumoral mass of mice treated with paclitaxel was confirmed by
histopathological observations. Conclusion: 99mTc-glucarate is an effective
radiotracer for evaluation and monitoring of tumor necrosis caused by
chemotherapy, and it may be helpful for therapy monitoring in patients with
cancer.
PMID- 29372041
TI - Tanshinone IIA inhibits AGEs-induced proliferation and migration of cultured
vascular smooth muscle cells by suppressing ERK1/2 MAPK signaling.
AB - Objectives: Vascular smooth muscle cells (VSMCs) play a key role in the
pathogenesis of diabetic vascular disease. Our current study sought to explore
the effects of tanshinone IIA on the proliferation and migration of VSMCs induced
by advanced glycation end products (AGEs). Materials and Methods: In this study,
we examined the effects of tanshinone IIA by cell proliferation assay and cell
migration assay. And we explored the underlying mechanism by Western blotting.
Results: AGEs significantly induced the proliferation and migration of VSMCs, but
treatment with tanshinone IIA attenuated these effects. AGEs could increase the
activity of the ERK1/2 and p38 pathways but not the JNK pathway. Treatment with
tanshinone IIA inhibited the AGEs-induced activation of the ERK1/2 pathway but
not the p38 pathway. Conclusion: Tanshinone IIA inhibits AGEs-induced
proliferation and migration of VSMCs by suppressing the ERK1/2 MAPK signaling
pathway.
PMID- 29372042
TI - Intrauterine xenotransplantation of human Wharton jelly-derived mesenchymal stem
cells into the liver of rabbit fetuses: A preliminary study for in vivo
expression of the human liver genes.
AB - Objectives: End-stage hepatic failure is a potentially life-threatening condition
for which orthotopic liver transplantation is the only effective treatment.
However, a shortage of available donor organs for transplantation each year
results in the death of many patients waiting for liver transplantation.
Xenotransplantation, or the transplantation of cells, tissues, or organs between
different species, was proposed as a possible solution to the worldwide shortage
of human organs and tissues for transplantation. The purpose of this preliminary
study was to reconstruct human liver tissue by xenotransplantation of human
Wharton jelly mesenchymal stem cells (hWJ-MSCs) into fetal rabbit. Materials and
Methods: Isolation and confirmation of hWJ-MSCs from human umbilical cord was
performed. Eight rabbits at gestational day 14 were anesthetized. All rabbits
carried pregnancies to term yielding 40 rabbit fetuses. Intrauterine injection of
hWJ-MSCs was performed in 24 fetuses. Twenty-seven fetuses were born alive. Ten
liver samples from injected fetuses were sampled, eight rabbits 3 days after
birth and two rabbits 21 days after birth. The non-injected fetuses served as
positive control. Fetuses of non-injected rabbits were negative controls. Using
real-time polymerase chain reaction (RT-PCR), mRNA expression of albumin (ALB),
alpha-fetoprotein (AFP), hepatic nuclear factor 4 (HNF4), and CYP2B6 (CYP) were
detected in liver samples. Results: The human ALB, AFP, HNF4, and CYP mRNAs were
expressed in the injected sampled fetuses by hWJ-MSCs into fetuses of rabbits in
utero. Conclusion: Developing xenotransplantation of hWJ-MSCs into rabbit uterus
can introduce an applied approach for producing human liver tissue in rabbits.
PMID- 29372043
TI - Mast cells and pro-inflammatory cytokines roles in assessment of grape seeds
extract anti-inflammatory activity in rat model of carrageenan-induced paw edema.
AB - Objectives: Reactive oxygen species (ROS)-produced oxidative disorders were
involved at the pathophysiology of many inflammatory processes via the generation
of pro-inflammatory cytokines and antioxidant defense system suppression.
Although herbal antioxidants as mono-therapy relief many inflammatory diseases
including, autoimmunity rheumatoid arthritis, but as combination therapy with
other proven anti-inflammatory drugs in order to decreasing their toxic impacts
has not yet been studied clearly, especially against chemical substances that's
induced local inflammation with characteristic edema. Materials and Methods:
Grape seeds extract (GSE) at a concentration of 40 mg/kg B. wt alone or in
combination with indomethacin (Indo.) at a dose of 5 mg/Kg B. wt orally given for
10 days prior (gps VI, VII, VIII) or as a single dose after edema induction (gps
IX, X, XI) in rat's left hind paw by sub-planter single injection of 0.1
carrageenan: saline solution (1%) (gp. V) to assess the prophylactic and
therapeutic anti-inflammatory activities of both through the estimation of
selective inflammatory mediators and oxidative damage-related biomarkers as well
as tissue mast cell scoring. Furthermore, both substances were given alone (gps
II, III, IV) for their blood, liver and kidney safety evaluation comparing with
negative control rats (gp. I) which kept without medication. Results: A marked
reduction on the inflammatory mediators, edema volume and oxidative byproducts in
edema bearing rats' prophylactic and treated with grape seeds extract and
indomethacin was observed. Indomethacin found to induce some toxicological
impacts which minimized when administered together with GSE. Conclusion: GSE is a
safe antioxidant agent with anti-inflammatory property.
PMID- 29372044
TI - Novel frameshift mutation in the KCNQ1 gene responsible for Jervell and Lange
Nielsen syndrome.
AB - Objectives: Jervell and Lange-Nielsen syndrome is an autosomal recessive disorder
caused by mutations in KCNQ1 or KCNE1 genes. The disease is characterized by
sensorineural hearing loss and long QT syndrome. Materials and Methods: Here we
present a 3.5-year-old female patient, an offspring of consanguineous marriage,
who had a history of recurrent syncope and congenital sensorineural deafness. The
patient and the family members were screened for mutations in KCNQ1 gene by
linkage analysis and DNA sequencing. Results: DNA sequencing showed a
c.1532_1534delG (p. A512Pfs*81) mutation in the KCNQ1 gene in homozygous form.
The results of short tandem repeat (STR) markers showed that the disease in the
family is linked to the KCNQ1 gene. The mutation was confirmed in the parents in
heterozygous form. Conclusion: This is the first report of this variant in KCNQ1
gene in an Iranian family. The data of this study could be used for early
diagnosis of the condition in the family and genetic counseling.
PMID- 29372045
TI - Spore liberation in mosses revisited.
AB - The ability to perform hygroscopic movements has evolved in many plant lineages
and relates to a multitude of different functions such as seed burial, flower
protection or regulation of diaspore release. In most mosses, spore release is
controlled by hygroscopic movements of the peristome teeth and also of the spore
capsule. Our study presents, for the first time, temporally and spatially well
resolved kinematic analyses of these complex shape changes in response to
humidity conditions and provides insights into the sophisticated functional
morphology and anatomy of the peristome teeth. In Brachythecium populeum the
outer teeth of the peristome perform particularly complex hygroscopic movements
during hydration and desiccation. Hydration induces fast inward dipping followed
by partial re-straightening of the teeth. In their final shape, wet teeth close
the capsule. During desiccation, the teeth perform an outward flicking followed
by a re-straightening which opens the capsule. We present a kinematic analysis of
these shape changes and of the underlying functional anatomy of the teeth. These
teeth are shown to be composed of two layers which show longitudinal gradients in
their material composition, structure and geometry. We hypothesize that these
gradients result in (i) differences in swelling/shrinking capacity and velocity
between the two layers composing the teeth, and in (ii) a gradient of velocity of
swelling and shrinking from the tip to the base of the teeth. We propose these
processes explain the observed movements regulating capsule opening or closing.
This hypothesis is corroborated by experiments with isolated layers of peristome
teeth. During hydration and desiccation, changes to the shape and mass of the
whole spore capsule accompany the opening and closing. Results are discussed in
relation to their significance for humidity-based regulation of spore release.
PMID- 29372046
TI - The schism in chiropractic through the eyes of a 1st year chiropractic student.
AB - Since its inception, the chiropractic profession has been divided along
ideological fault lines. These divisions have led to a profession wide schism,
which has limited mainstream acceptance, utilisation, social authority and
integration. The authors explore the historical origins of this schism, taking
time to consider historical context, religiosity, perpetuating factors, logical
fallacies and siege mentality. Evidence is then provided for a way forward, based
on the positioning of chiropractors as mainstream partners in health care.
PMID- 29372047
TI - Prevalence and risk factors for hyperthyroidism in Irish cats from the greater
Dublin area.
AB - Background: Hyperthyroidism is common in older cats. Prevalence varies
geographically, but is anecdotally considered low in Ireland. The aim of this
study was to document prevalence of hyperthyroidism in older cats in the greater
Dublin area of Ireland and to assess environmental and clinical associations for
development and identification of the disease. Methods: Primary-care veterinary
practices were requested to select cats aged 10 years or older where blood
sampling was being performed for health screening or clinical investigations.
Surplus serum/plasma samples were submitted to University College Dublin
Diagnostic Endocrine Laboratory for total thyroxine (T4) measurement. Cats were
classified as hyperthyroid, equivocal or euthyroid based on a total T4
concentration (reference interval, 15-60 nmol/L), of >60 nmol/L, 30-60 nmol/L or
<30 nmol/L, respectively. Simultaneous free T4 or repeat (after 4-6 weeks) total
T4 measurement was recommended in all equivocal cases. Animals receiving
treatment for hyperthyroidism were excluded. A questionnaire completed by the
client and veterinarian detailing historical and physical information was also
required. Associations between categorical variables were analysed by Chi-square
or Fisher's exact test and odds ratio (OR) calculated. A P value of <0.05 was
considered statistically significant. Results: Samples were submitted from 507
cats including 107 (21.1%) hyperthyroid, 54 (10.6%) equivocal and 346 (68.2%)
euthyroid. The presence of goitre (P < 0.0001), tachypnoea (P = 0.0378),
tachycardia (P = 0.002), polyphagia (P = 0.0003) and weight loss (P < 0.0001)
were significantly associated with hyperthyroidism. Cats with goitre were more
likely to be diagnosed as hyperthyroid [OR 2.85, (95% CI 1.75-4.62] compared to
those without. However, goitre was only palpated in 40 of 102 (39.2%)
hyperthyroid cats. Increasing age was the only significant (P < 0.002) risk
factor for development of hyperthyroidism. A relationship between hyperthyroidism
and sex, breed, lifestyle, parasite control, vaccination status or feeding habits
was not identified. Conclusions: Hyperthyroidism is not uncommon in Irish cats.
Age was the only significant risk factor for its development. The high proportion
of hyperthyroid cats without palpable goitre (> 60%) may reflect failure to
detect goitre and account for the perceived low prevalence of this condition in
Ireland.
PMID- 29372049
TI - Open Access publishing: the continuing development of the Journal of Nutritional
Science.
PMID- 29372048
TI - Prevalence and characterization of Salmonella in two integrated broiler
operations in Korea.
AB - Background: Vertical integration of the broiler industry allows producers to
combine different biosecurity and sanitation practices, housing technologies, and
feeding regimens to improve food safety. The purpose of this study was to
investigate the prevalence and distribution of Salmonella, to determine the
source of Salmonella contaminants, and to reveal the relationships between
isolates at each step in the vertically integrated broiler production system in
two representative integrated broiler companies in Korea. Results: A total of
2148 samples were collected from 2 broiler breeder hatcheries, 14 broiler breeder
farms, 3 broiler hatcheries, 16 broiler farms, 8 broiler transporting trucks and
6 slaughterhouses belonging to representative integrated broiler companies, and
205 (9.5%) of these samples were positive for Salmonella. The Salmonella
prevalence in broiler hatcheries (34.0%) and broiler transporting trucks (62.5%)
was higher (P < 0.05) whereas that in the broiler breeder hatchery (0.8%) was
lower (P < 0.05), than the overall prevalence. Nine and 13 different Salmonella
serotypes were isolated from integrated companies A and B, and the predominant
serotypes were S. Virchow (39.7%) and S. Hadar (59.2%), respectively. Pulsed
field gel electrophoresis patterns of isolates from the two operations showed
significant genetic relatedness within a single system. Conclusions: In a
comparison of the two operations that participated in this study, the prevalence
of Salmonella differed significantly between the broiler breeder hatchery, and
broiler hatcheries and broiler farms.
PMID- 29372050
TI - Improvement of glucose and lipid metabolism via mung bean protein consumption:
clinical trials of GLUCODIATM isolated mung bean protein in the USA and Canada.
AB - The aim of the present study was to confirm the effects of a commercially
available mung bean protein isolate (GLUCODIATM) on glucose and lipid metabolism.
The main component of GLUCODIATM is 8S globulin, which constitutes 80 % of the
total protein. The overall structure of this protein closely resembles soyabean
beta-conglycinin, which accounts for 20 % of total soya protein (soya protein
isolate; SPI). Many physiological beneficial effects of beta-conglycinin have
been reported. GLUCODIATM is expected to produce beneficial effects with fewer
intakes than SPI. We conducted two independent double-blind, placebo-controlled
clinical studies. In the first (preliminary dose decision trial) study, mung bean
protein was shown to exert physiological beneficial effects when 3.0 g were
ingested per d. In the second (main clinical trial) study, mung bean protein
isolate did not lower plasma glucose levels, although the mean insulin level
decreased with consumption of mung bean protein. The homeostatic model assessment
of insulin resistance (HOMA-IR) values significantly decreased with mung bean
protein. The mean TAG level significantly decreased with consumption of mung bean
protein isolate. A significant increase in serum adiponectin levels and
improvement in liver function enzymes were observed. These findings suggest that
GLUCODIATM could be useful in the prevention of insulin resistance and visceral
fat accumulation, which are known to trigger the metabolic syndrome, and in the
prevention of liver function decline.
PMID- 29372051
TI - Effects of krill oil and lean and fatty fish on cardiovascular risk markers: a
randomised controlled trial.
AB - Fish consumption and supplementation with n-3 fatty acids reduce CVD risk. Krill
oil is an alternative source of marine n-3 fatty acids and few studies have
investigated its health effects. Thus, we compared krill oil supplementation with
the intake of fish with similar amounts of n-3 fatty acids on different
cardiovascular risk markers. In an 8-week randomised parallel study, thirty-six
healthy subjects aged 18-70 years with fasting serum TAG between 1.3 and 4.0
mmol/l were randomised to receive either fish, krill oil or control oil. In the
fish group, subjects consumed lean and fatty fish, according to dietary
guidelines. The krill and control group received eight capsules per d containing
4 g oil per d. The weekly intake of marine n-3 fatty acids from fish given in the
fish group and from krill oil in the krill group were 4103 and 4654 mg,
respectively. Fasting serum TAG did not change between the groups. The level of
total lipids (P = 0.007), phospholipids (P = 0.015), cholesterol (P = 0.009),
cholesteryl esters (P = 0.022) and non-esterified cholesterol (P = 0.002) in the
smallest VLDL subclass increased significantly in response to krill oil
supplementation. Blood glucose decreased significantly (P = 0.024) in the krill
group and vitamin D increased significantly in the fish group (P = 0.024).
Furthermore, plasma levels of marine n-3 fatty acids increased significantly in
the fish and krill groups compared with the control (all P <= 0.0003). In
conclusion, supplementation with krill oil and intake of fish result in health
beneficial effects. Although only krill oil reduced fasting glucose, fish provide
health-beneficial nutrients, including vitamin D.
PMID- 29372053
TI - Optimization of culture conditions for short-term maintenance, proliferation, and
colony formation of porcine gonocytes.
AB - Background: Gonocytes give rise to spermatogonial stem cells, and thereby play an
essential role in establishing spermatogenesis. Optimized culture conditions for
gonocytes provide an opportunity for their study and in vitro manipulation for
potential application in reproductive technologies. Using six experiments in a
step-wise design, we examined the effects of several culture conditions on the
maintenance, proliferation, and colony formation of porcine gonocytes. Testis
cells from neonatal piglets were cultured for 7 d in DMEM supplemented with 10%
fetal bovine serum. The examined culture conditions included using different cell
seeding densities, gonocyte proportions, incubation temperatures, sampling
strategies, and medium changing regimens. Results: Confluency of cells was
optimal (>90% by ~6 d) when 3.0 * 104 testis cells/cm2 containing ~40% gonocytes
were used. Incubating the cells at 35 degrees C or 37 degrees C resulted in
similar cell number and viability at confluency, but incubation at 35 degrees C
resulted in a delayed confluency. In the first 2 d of culture, gonocytes remained
mostly floating in the medium and gradually settled over the next 5 d.
Consequently, not changing the medium for 7 d (as opposed to changing it every 2
d) led to a significant increase in the number of gonocyte colonies by reducing
the loss of "floating gonocytes". Conclusion: We found that gonocytes require the
presence of a critical minimum number of somatic cells for settlement, and can
proliferate and form growing colonies even in a basic medium. Large numbers of
viable gonocytes remain floating in the medium for several days. The optimized
culture conditions in the present study included seeding with 3.0 * 104 testis
cells/cm2 containing ~40% gonocytes, incubating at 37 degrees C, and without
changing the medium in the first week, which can result in improved colony
formation of porcine gonocytes.
PMID- 29372055
TI - HIV positive sero-status disclosure and its determinants among people living with
HIV /AIDS following ART clinic in Jimma University Specialized Hospital,
Southwest Ethiopia: a facility- based cross-sectional study.
AB - Background: Even though, the disclosure of HIV sero- status to sexual partners,
friends or relatives is the main tool for prevention and care strategies, most of
the HIV/AIDS patients do not inform their close friends. The most common reasons
for not disclosure of their status to the community were majorly fear of social
rejection and discriminations. Therefore, this study assessed the HIV positive
sero-status disclosure and its determinants among People Living with HIV /AIDS
(PLWH/A) followed by the Antiretroviral therapy (ART) Clinic in Jimma University
Specialized Hospital, Southwest Ethiopia. Methods: A facility based cross
sectional study design was used among 351 ART patients that selected by
systematic random sampling from ART clinic of Jimma University Specialized
Hospital in March-2014. Data were collected through interviewer-administered
questionnaires and analyzed using SPSS version 20.0 software. In a descriptive
analysis frequency, mean and percentage were calculated. Bivariate and
multivariate analyses were used to identify associated factors and the
association between the explanatory and dependent variables was estimated.
Results: Only 37.6% (n = 132) were revealed their HIV positive status to anyone.
Disclosure was done towards the sexual partners (88.6%), close family (72.7%) and
a larger population (18.2%). Age <= 39 years (AOR = 0.014 [95%, CI = 0.005,
0.037]),Male sex (AOR = 3.039, [95% CI = 1.164, 7.935]), WHO stage III - IV at
ART start(AOR = 2.766, [95%, CI = 1.321, 5.791]), presence of comorbidity (AOR =
2.500, [95%, CI = 1.483, 4.214]), having any clinical symptoms for HIV(AOR =
2.98, [95%, CI = 1.724, 5.152]),Low physical domain related quality of life (AOR
= 3.83, [95%, CI = 2.008, 7.315]) and high social domain related quality of life
(AOR = 0.053, [95%, CI = 0.022, 0.125]) were statistically significant
association with their HIV sero-status disclosure. Conclusions: Findings of this
study indicated, the disclosure of HIV status is very low. Discloser is more
likely when the patient is older, male, and has a higher level of education.
Clinical determinants for disclosure was the WHO stage III-IV, treatment duration
of >=2 years, comorbidity, presence of clinical symptoms for HIV, low physical
domain related quality of life, low social domain related quality of life and low
overall quality of life.
PMID- 29372052
TI - Nutritional requirements of meat-type and egg-type ducks: what do we know?
AB - The demand for duck meat, duck eggs, and associated products is increasing each
year. Classic and modern selection programs have been applied to enhance the
economic traits of ducks to satisfy the requirements of consumers and enhance the
incomes of producers. The nutritional requirements of unselected ducks may not be
adequate, however, to fulfill the potential productivity performance of modern
birds, including both meat-type and egg-type ducks. In particular, an imbalanced
diet is associated with low productive performance and signs of nutritional
deficiency (if insufficient nutrients are supplied), as well as with high feed
costs and manure problems that reflect flock health and welfare (if excessive
nutrients are supplied). Thus, the main aim of this review is to summarize the
results of previous studies that estimated the nutrient requirements of meat-type
and egg-type ducks in order to evaluate current knowledge and to identify further
issues that need to be addressed. In addition, the results obtained in previous
studies are compared in order to understand how to lower commercial feed costs,
fulfill the genetic potential of selected ducks, protect the environment from
pollution, and satisfy the welfare and health needs of ducks.
PMID- 29372054
TI - Alfalfa-containing diets alter luminal microbiota structure and short chain fatty
acid sensing in the caecal mucosa of pigs.
AB - Background: Pork produced by outdoor-reared pigs raised mostly on alfalfa
pastures attracts increasing population of consumer from most of the world. In
China, pigs were raised with alfalfa-containing diets to seek for good quality
pork. However, the influence of dietary alfalfa involving high level of insoluble
dietary fiber (IDF) on pig intestinal luminal microbiota composition remains
unclear. The objective of this study was to investigate the effects of alfalfa on
luminal microbiota and short chain fatty acids (SCFA) production, and gene
expressions involved in SCFA sensing, transporting and absorbing in pig caecal
mucosa. Results: Twenty-four growing pigs were randomly allotted to four diets
containing 0%, 5%, 10% and 15% alfalfa meal for a 28-d experiment. Ingestion of
alfalfa meal-contained diets significantly increased the ratio of body weight
gain to feed consumption. Illumina MiSeq sequencing of the V3 region of the 16S
rRNA genes showed that alfalfa-containing diet significantly decreased the
relative abundance of genera Turicibacter, Acidiphilium, Paracoccus,
Propionibacterium, Corynebacterium, Pseudomonas, Acinetobacter, and
Staphylococcus, and increased the relative abundance of genera Lachnospira,
Marvinbryantia, and Desulfovibrio in the caecal digesta. Butyrate concentration
was significantly increased in the hindgut by the supplementation of alfalfa meal
in diets. The mRNA gene expressions of FFAR3, SMCT1, MCT1, PYY, and GCG were
significantly increased in the caecal mucosa of pigs fed alfalfa meal.
Conclusions: Our results suggested that alfalfa-containing diet has exerted
significant impacts on caecal microbiota composition, butyrate concentration and
significantly upregulated mRNA expression of host caecal mucosal genes involved
in SCFA sensing and absorption as well as regulation of satiety.
PMID- 29372056
TI - Home exercise improves the quality of sleep and daytime sleepiness of elderlies:
a randomized controlled trial.
AB - Background: Aging causes physiological changes which affect the quality of sleep.
Supervised physical exercise is an important therapeutic resource to improve the
sleep of the elderlies, however there is a low adherence to those type of
programs, so it is necessary to implement an exercise program which is feasible
and effective. The study aimed to test the hypothesis that a semi-supervised home
exercise program, improves sleep quality and daytime sleepiness of elderlies of
the community who present poor sleep quality. Methods: This was a randomized
controlled trial study, conducted from May to September 2017, in Northeastern
Brazil, with elderlies of the community aging 60 years old or older, sedentary,
with lower scores or equal to 5 at the Pittsburgh Sleep Quality Index (PSQI) and
without cognitive decline. From one hundred ninety-one potential participants
twenty-eight refused to participate, therefore, one hundred thirty-one (mean age
68 +/- 7 years), and 88% female, were randomly assigned to an intervention group
IG (home exercise and sleep hygiene, n = 65) and a control group - CG (sleep
hygiene only, n = 66). Sleep assessment tools were used: PSQI, Epworth sleepiness
scale (ESS) and clinical questionnaire of Berlin. The level of physical activity
has been assessed by means of International Physical Activity Questionnaire
adapted for the elderly (IPAQ) and Mini-Mental State Examination for cognitive
decline. All participants were assessed before and after the 12-week intervention
period and, also, the assessors were blind. Results: The IG showed significant
improvement in quality of sleep with a mean reduction of 4.9 +/- 2.7 points in
the overall PSQI (p < 0.01) and in all its 7 components of evaluation (p < 0.05),
and improvement of secondary endpoint, daytime sleepiness, a decline of 2.8 +/-
2.2 points in the ESS (p < 0.01). Conclusion: Our results suggest that semi
supervised home exercise is effective in improving the quality of sleep and self
referred daytime sleepiness of sedentary elderlies of the community who presented
sleep disorders. Trial registration: Ensaiosclinicos.gov.br process number: RBR
3cqzfy.
PMID- 29372057
TI - Right orbital edema masquerading a hematologic malignancy.
AB - Introduction: Multiple myeloma is caused by abnormal proliferation of plasma
cells that affects more commonly African Americans. It classically presents with
hypercalcemia, renal failure, anemia, and lytic bone lesions. The aim of this
article is to present an unusual case of a 63-year-old African-American female
with multiple myeloma who presented with worsening right-sided eye swelling for
the past 3 weeks and to briefly review ophthalmologic manifestations of multiple
myeloma. Case description: Our patient's presentation was associated with a
throbbing frontal headache, nasal congestion, malaise, and weight loss.
Differential diagnosis on admission included giant cell arteritis,
conjunctivitis, preseptal cellulitis, glaucoma, acute sinusitis, or cavernous
sinus thrombosis. Extensive ophthalmologic evaluation did not show any
intraocular abnormality. However, a magnetic resonance imaging of the brain
showed hyperintense foci in the right frontal calvarium leading to the eye
swelling. Further evaluation revealed pancytopenia, elevated protein levels, and
inverse albumin-globulin ratio suggestive of a plasma cell dyscrasia. A skeletal
survey revealed multiple osteolytic lesions. Serum and urine protein
electrophoresis revealed elevated immunoglobulin G Kappa monoclonal gammopathy.
Bone marrow biopsy demonstrated a hypercellular marrow comprised at least 70%
mature appearing plasma cells staining positive for CD138. Chemotherapy with
cyclophosphamide, bortezomib, and dexamethasone was initiated. After 2 months of
chemotherapy, orbital swelling has resolved with decrease in M-spike,
immunoglobulin G, and serum kappa light chains. Conclusion: This case illustrates
an unusual presentation of multiple myeloma which was eye swelling caused by bony
infiltration in the calvarium. Although hematologic malignancies tend to have
more specific signs and symptoms, they should be included in the differentials of
unilateral orbital edema.
PMID- 29372058
TI - The rewarding nature of provocation-focused rumination in women with borderline
personality disorder: a preliminary fMRI investigation.
AB - Background: Understanding why individuals with borderline personality disorder
(BPD) ruminate on prior provocations, despite its negative outcomes, is crucial
to improving interventions. Provocation-focused rumination may be rewarding in
the short term by amplifying anger and producing feelings of justification,
validation, and increased energy, while reducing self-directed negative affect.
If provocation-focused rumination is utilized regularly as a rewarding emotion
regulation strategy, it could result in increased activation in reward-related
neural regions. The present pilot study examined neural correlates of provocation
focused rumination, relative to other forms of thought, in BPD. Method:
Functional magnetic resonance imaging (fMRI) was utilized to examine this theory
in a pilot study of women diagnosed with BPD (n = 13) and healthy controls (n =
16). All participants received highly critical feedback on a previously written
essay in the scanner, followed by prompts to engage in provocation-focused, self
focused, and neutral thought. Results: Whole-brain analyses showed that in
response to the provocation, participants with BPD (compared to controls)
demonstrated increased activation in the ventrolateral prefrontal cortex (PFC).
BPD participants also showed greater activation in the dorsomedial PFC during
provocation-focused rumination (relative to neutral-focus). Subsequent ROI
analyses revealed that provocation-focused rumination (compared to neutral-focus)
increased activation in the nucleus accumbens for the BPD group only.
Conclusions: These findings, while preliminary due to the small sample size and
limitations of the protocol, provide initial data consistent with the proposed
neurobiological mechanism promoting provocation-focused rumination in BPD.
Directions for further research are discussed.
PMID- 29372059
TI - Factor V Leiden G1691A and prothrombin G20210A mutations among Palestinian
patients with sickle cell disease.
AB - Background: Vascular thrombosis is an important pathophysiological aspect of
sickle cell disease (SCD). This study aimed to investigate the prevalence and
clinical impact of factor V Leiden G1691A (FVL) and prothrombin G20210A mutations
among Palestinian sickle cell disease (SCD) patients. Methods: A total of 117 SCD
patients, including 59 patients with sickle cell anemia (SS), 33 patients with
sickle beta-thalassemia and 25 individuals with sickle cell trait (AS) were
studied. The control group consisted of 118 healthy individuals. FVL and
prothrombin G20210A mutations were determined by RFLP PCR. Results: Analysis of
the clinical history of SCD patients revealed that seven patients have had
vascular complications such as ischemic stroke or deep vein thrombosis. In SCD
patients, the inheritance of the FVL mutation showed a significantly higher
incidence of pain in joints, chest and abdomen as well as regular dependence on
blood transfusion compared to SCD with the wild type. Age- and sex-adjusted
logistic regression analysis revealed a significant association between FVL and
sickle cell anemia with an odds ratio (OR) of 5.6 (95% confidence intervals [CI]
of 1.91-39.4, P = 0.039) in SS patients. However, increased prevalence of the FVL
in AS subjects and sickle beta-thalassemia patients was not statistically
significant compared to controls (OR 3.97, 95% CI 0.51-28.6, P = 0.17 and OR
3.59, 95% CI 0.35-41.6, P = 0.26, respectively). The distribution of prothrombin
G20210A mutation among SCD patients compared to controls was not significantly
different, thus our findings do not support an association of this mutation with
SCD. Conclusions: FVL was more prevalent among SS patients compared to controls
and it was associated with higher incidence of disease complications among SCD
patients.
PMID- 29372060
TI - Pregnant mothers are more anemic than lactating mothers, a comparative cross
sectional study, Bahir Dar, Ethiopia.
AB - Background: Information on the hemoglobin status of pregnant and lactating
mothers was scarce. The objectives of this study were to determine the burden and
determinants of anemia in the pregnant and lactating mother. Methods: A
comparative cross-sectional study was conducted. Descriptive statistics were used
to identify the prevalence of anemia. Binary logistic regression and multiple
linear regressions were used to identify the predictors of anemia. Results: The
prevalence of anemia in lactating and pregnant women was 43.00% (95% CI
{confidence interval}, 41% - 45%) and 84% of anemia was microcytic and hypocromic
anemia. Anemia in lactating and pregnant women was positively associated with
malaria infection [AOR{adjusted odds ratio} 3.61 (95% CI: 2.63-4.95)], abortion
[AOR 6.63 (95% CI: 3.23-13.6)], hookworm infection [AOR 3.37 (95% CI: 2.33
4.88)], tea consumption [AOR 3.63 (95% CI: 2.56-5.14)], pregnancy [AOR 2.24 (95%
CI: 1.57-3.12)], and Mid-upper arm circumference [ B 0.36 (95% CI: 0.33, -0.4)].
Anemia in pregnant and lactating mother was negatively associated with urban
residence [AOR 0.68, (95% CI: 0.5-0.94)], iron supplementation during pregnancy
[AOR 0.03 (95% CI, 0.02-0.04)], parity [ B -0.18 (95% CI: -0.23, -0.14)], age [B
0.03 (95% CI: -0.04, -0.03)]. Conclusion: The burden of anemia was higher in
pregnant women than lactating women.
PMID- 29372061
TI - Analysis of changes in trends in the consumption rates of benzodiazepines and
benzodiazepine-related drugs.
AB - Background: To analyse trends in the rates of consumption of benzodiazepine (BZD)
anxiolytics, BZD hypnotics and non-BZD hypnotics and the association with
contextual factors. Methods: Descriptive time series study. Units of analysis
were monthly dose per inhabitant per day (DID) and dose per medical card per
day(DCD) of benzodiazepine(BZD anxiolytics(BZD-A), BZD hypnotics(BZD-H) and non
BZD hypnotics(Non-BZD-H) between January 2006-December 2015. We analysed 6
primary healthcare districts(PHD) and used defined daily doses (DDDs) to
calculate the monthly DIDs(overall and by ATC group). Trends and monthly
percentage change (MPC) were analysed through joinpoint regression. Results: The
annual DID increased by 26% overall, the trend was different across ATC groups.
Consumption in BZD-A and BZD-H increased (27.1%,61.9%), consumption in Non-BZD-H
decreased by 35%. There was high variability in DCD across the PHD, with an
overall increase of 10.2%(5.7%-22.9%). By ATC, DCD increased by 10.4% in BZD
A(4.2%-22.2%) and by 44.2% in BZD-H(33.2%-76.5%). The overall DCD in the Non-BZD
H decreased by 42.1%(19.7%-50.8%). We found an initial upward trend in
consumption of BZD-A until April/2008(monthly percentage change -MPC- +0.5%),
followed by a slightly slower increase (+0.1%). No changes in trend were detected
in BZD-H. In Non-BZD-H, we observed an upward trend until February/2013(+0.1%),
followed by a sharp decrease until August/2013(-6.3%), and finally a slight
decrease(-0.3%). Conclusions: BZD consumption has increased in the last decade,
with variability across areas. The changes in trends do not coincide with the
financial crisis, introduction of prescriptions by active ingredient, electronic
prescriptions or copayment. The only decrease in the Non-BZD-H may be linked to
an intervention.
PMID- 29372062
TI - Inferior Olivary nucleus degeneration does not lessen tremor in essential tremor.
AB - Background: In traditional models of essential tremor, the inferior olivary
nucleus was posited to play a central role as the pacemaker for the tremor.
However, recent data call this disease model into question. Case presentation:
Our patient had progressive, long-standing, familial essential tremor. Upper limb
tremor began at age 10 and worsened over time. It continued to worsen during the
nine-year period he was enrolled in our brain donation program (age 85 - 94
years), during which time the tremor moved from the moderate to severe range on
examination. On postmortem examination at age 94, there were degenerative changes
in the cerebellar cortex, as have been described in the essential tremor
literature. Additionally, there was marked degeneration of the inferior olivary
nucleus, which was presumed to be of more recent onset. Such degeneration has not
been previously described in essential tremor postmortems. Despite the presence
of this degeneration, the patient's tremor not only persisted but it continued to
worsen during the final decade of his life. Conclusions: Although the
pathophysiology of essential tremor is not completely understood, evidence such
as this suggests that the inferior olivary nucleus does not play a critical role
in the generation of tremor in these patients.
PMID- 29372063
TI - Production of Aspergillus niger biomass on sugarcane distillery wastewater:
physiological aspects and potential for biodiesel production.
AB - Background: Sugarcane distillery waste water (SDW) or vinasse is the residual
liquid waste generated during sugarcane molasses fermentation and alcohol
distillation. Worldwide, this effluent is responsible for serious environmental
issues. In Reunion Island, between 100 and 200 thousand tons of SDW are produced
each year by the three local distilleries. In this study, the potential of
Aspergillus niger to reduce the pollution load of SDW and to produce interesting
metabolites has been investigated. Results: The fungal biomass yield was 35 g L-1
corresponding to a yield of 0.47 g of biomass/g of vinasse without nutrient
complementation. Analysis of sugar consumption indicated that mono-carbohydrates
were initially released from residual polysaccharides and then gradually consumed
until complete exhaustion. The high biomass yield likely arises from
polysaccharides that are hydrolysed prior to be assimilated as monosaccharides
and from organic acids and other complex compounds that provided additional C
sources for growth. Comparison of the size exclusion chromatography profiles of
raw and pre-treated vinasse confirmed the conversion of humic- and/or phenolic
like molecules into protein-like metabolites. As a consequence, chemical oxygen
demand of vinasse decreased by 53%. Interestingly, analysis of intracellular
lipids of the biomass revealed high content in oleic acid and physical properties
relevant for biodiesel application. Conclusions: The soft-rot fungus A. niger
demonstrated a great ability to grow on vinasse and to degrade this complex and
hostile medium. The high biomass production is accompanied by a utilization of
carbon sources like residual carbohydrates, organic acids and more complex
molecules such as melanoidins. We also showed that intracellular lipids from
fungal biomass can efficiently be exploited into biodiesel.
PMID- 29372065
TI - As in Real Estate, Location Is What Matters: A Case Report of Transplant Ureteral
Obstruction Due to an Inguinal Hernia.
AB - Background: Kidney allograft dysfunction is common and often reversible but can
lead to allograft loss if not promptly evaluated. Transplant ureteral obstruction
in an inguinal hernia is a rare cause of allograft dysfunction, but early
recognition may prevent allograft loss. Case Presentation: We present a case of a
man with acute kidney allograft dysfunction who received a deceased donor kidney
transplant 6 years earlier for end-stage kidney disease secondary to polycystic
kidney disease. Abdominal ultrasounds revealed hydronephrosis without full
visualization of the transplant ureter. Abdominal computed tomography revealed
moderate hydronephrosis of the transplant kidney due to obstructed herniation of
the transplant ureter in a right inguinal hernia. A stent was inserted into the
transplant ureter to prevent further allograft dysfunction and facilitate hernia
repair. Conclusions: Transplant ureteral obstruction is a rare cause of acute
kidney allograft dysfunction, and its detection can be challenging. The
recognition of transplant ureteral obstruction is vital to timely management for
preventing allograft loss.
PMID- 29372064
TI - Canadians Seeking Solutions and Innovations to Overcome Chronic Kidney Disease
(Can-SOLVE CKD): Form and Function.
AB - Purpose of review: This article serves to describe the Can-SOLVE CKD network, a
program of research projects and infrastructure that has excited patients and
given them hope that we can truly transform the care they receive. Issue: Chronic
kidney disease (CKD) is a complex disorder that affects more than 4 million
Canadians and costs the Canadian health care system more than $40 billion per
year. The evidence base for guiding care in CKD is small, and even in areas where
evidence exists, uptake of evidence into clinical practice has been slow.
Compounding these complexities are the variations in outcomes for patients with
CKD and difficulties predicting who is most likely to develop complications over
time. Clearly these gaps in our knowledge and understanding of CKD need to be
filled, but the current state of CKD research is not where it needs to be. A
culture of clinical trials and inquiry into the disease is lacking, and much of
the existing evidence base addresses the concerns of the researchers but not
necessarily those of the patients. Program overview: The Canadian Institutes of
Health Research (CIHR) has launched the national Strategy for Patient-Oriented
Research (SPOR), a coalition of federal, provincial, and territorial partners
dedicated to integrating research into care. Canadians Seeking Solutions and
Innovations to Overcome Chronic Kidney Disease (Can-SOLVE CKD) is one of five pan
Canadian chronic kidney disease networks supported through the SPOR. The vision
of Can-SOLVE CKD is that by 2020 every Canadian with or at high risk for CKD will
receive the best recommended care, experience optimal outcomes, and have the
opportunity to participate in studies with novel therapies, regardless of age,
sex, gender, location, or ethnicity. Program objective: The overarching objective
of Can-SOLVE CKD is to accelerate the translation of knowledge about CKD into
clinical research and practice. By focusing on the patient's voice and
implementing relevant findings in real time, Can-SOLVE CKD will transform the
care that CKD patients receive, and will improve kidney health for future
generations.
PMID- 29372067
TI - The relationship between physical inactivity and mental wellbeing: Findings from
a gamification-based community-wide physical activity intervention.
AB - Mental ill health accounts for 13 per cent of total global disease burden with
predictions that depression alone will be the leading cause of disease burden
globally by 2030. Poor mental health is consistently associated with deprivation,
low income, unemployment, poor education, poorer physical health and increased
health-risk behaviour. A plethora of research has examined the relationship
between physical activity and mental wellbeing; however, the influence of
community-wide gamification-based physical activity interventions on mental
wellbeing, to the authors' knowledge, is yet to be explored. In view of this
paucity of attention, the current study examined the relationship between
physical activity and mental wellbeing pre/post a community-wide, gamification
based intervention. The findings revealed that increases in mental wellbeing were
significantly greater for the least active prior to the intervention, and a
strong, positive correlation between increase in physical activity and increase
in mental wellbeing was observed.
PMID- 29372066
TI - Self-regulation resources and physical activity participation among adults with
type 2 diabetes.
AB - Physical activity plays a crucial role in the prevention and treatment of type 2
diabetes. Therefore, it is important to understand why so few adults with type 2
diabetes regularly engage in physical activity. The role of self-regulation in
the context of health-related behavior adherence, especially in terms of physical
activity engagement and adherence, has largely been reviewed based on the
strength energy model. Building on this line of research, the aim of this
theoretical work was to highlight how self-regulation and ego depletion can
influence the lower rate of physical activity participation among adults with
type 2 diabetes, compared to adults from the general population.
PMID- 29372068
TI - Congenital absence of the portal vein in a cat.
AB - Case summary: A 9-month-old female neutered domestic shorthair cat presented with
a history of episodic ptyalism, lethargy and abnormal behaviour. The clinical
signs together with elevated pre- and post-prandial bile acid concentrations were
consistent with hepatic encephalopathy (HE). In the absence of a portosystemic
shunt (PSS) on abdominal ultrasound, medical management of HE was established
with a protein-restricted diet and lactulose and the neurological signs resolved.
Following an episode of acute vomiting and haemorrhagic diarrhoea at 19 months of
age abdominal ultrasonography was repeated. The portal vein could not be
demonstrated ultrasonographically; instead, portal vein tributaries were tortuous
and communicated with the caudal vena cava (CdVC) at the level of the left
kidney. CT angiography (CTA) confirmed the absence of the portal vein. CTA
demonstrated the tortuous terminations of the portal tributaries, and several
systemic veins, draining into the CdVC via a large-diameter paracaval vessel at
the level of the left kidney. Gastrointestinal signs were stabilised and medical
management for HE of a protein-restricted diet and lactulose was re-established.
Relevance and novel information: Congenital absence of the portal vein has not
been described previously in the cat and should be considered in cats presenting
with signs suggestive of a PSS and HE. The portal vein in the cat can be
demonstrated using ultrasound, but complex congenital vascular malformations of
the portal or systemic abdominal veins should be characterised using CTA and
further distinguished from other vascular anomalies that may present with similar
ultrasonographic features.
PMID- 29372069
TI - Diagnosis and treatment of latent tuberculosis in patients with multiple
sclerosis, expert consensus. On behalf of the Colombian Association of Neurology,
Committee of Multiple Sclerosis.
AB - Background: Multiple sclerosis is an inflammatory and neurodegenerative
demyelinating disease. Current treatment of multiple sclerosis focuses on the use
of immunomodulatory, immunosuppressant, and selective immunosuppressant agents.
Some of these medications may result in high risk of opportunistic infections
including tuberculosis. Objective: The purpose of this study was to obtain
consensus from a panel of neurologists, pulmonologists, infectious disease
specialists, and epidemiology experts regarding the diagnosis, treatment, and
monitoring of latent tuberculosis in patients with multiple sclerosis. Methods: A
panel of experts in multiple sclerosis and tuberculosis was established. The
methodological process was performed in three phases: definition of questions,
answer using Delphi methodology, and the discussion of questions not agreed.
Results: Tuberculosis screening is suggested when multiple sclerosis drugs are
prescribed. The recommended tests for latent tuberculosis are tuberculin and
interferon gamma release test. When an anti-tuberculosis treatment is indicated,
monitoring should be performed to determine liver enzyme values with
consideration of age as well as comorbid conditions such as a history of
alcoholism, age, obesity, concomitant hepatotoxic drugs, and history of liver
disease. Conclusion: Latent tuberculosis should be considered in patients with
multiple sclerosis who are going to be treated with immunomodulatory and
immunosuppressant medications. Transaminase level monitoring is required on a
periodic basis depending on clinical and laboratory characteristics. In addition
to the liver impairment, other side effects should be considered when Isoniazid
is prescribed.
PMID- 29372070
TI - A feasibility study of a randomised controlled trial to examine the impact of the
ABCDE bundle on quality of life in ICU survivors.
AB - Background: Early rehabilitation has been found to prevent delirium and weakness
that can hamper the recovery of intensive care unit (ICU) survivors. Integrated
clinical practice guidelines for managing patient pain, agitation and delirium
(PAD) have been developed. The Awakening and Breathing Coordination, Delirium
monitoring/management, and Early exercise/mobility (ABCDE) bundle provides a
strategy to implement PAD guidelines into everyday clinical practice. However,
there is limited evidence on the effectiveness of the ABCDE bundle in the
literature.The purpose of this study was to evaluate the feasibility of
conducting a full-scale randomised controlled trial comparing the ABCDE bundle to
standard care in an ICU. Trial feasibility was defined as the successful
recruitment and retention of trial participants, adherence to the intervention,
identification of barriers to the intervention, and the rigorous collection of
outcome data. Methods: A prospective, single-centre, randomised controlled
feasibility study was conducted. Thirty adult mechanically ventilated
participants were recruited from an eight-bed ICU in south east Queensland,
Australia, between April 2015 and December 2015. Participants were randomised to
receive either the ABCDE bundle or standard routine management. The ABCDE bundle
integrated prescribed awakening and breathing trials, delirium monitoring and
management, and prescribed exercise and mobility regimes. Feasibility outcomes
measured included recruitment and retention rates, intervention fidelity, and the
feasibility of participant outcome data collection. Outcome measurement assessors
were blinded to participant assignment. It was not possible to blind the research
team or the participant to group assignment. Results: In total, 30 (81.1%) of 37
eligible participants consented and were randomised to the intervention group (n
= 15) or the control group (n = 15). Of these, 23 (76.6%) participants
successfully completed the 90-day post discharge assessment. A lengthy
recruitment period of 8 months was related to overly stringent inclusion and
exclusion criteria. Intervention adherence exceeded defined success rates with
participation in awakening and breathing trials, delirium monitoring and exercise
interventions performed on 80.2, 97.4 and 90.2% of ventilated days respectively.
Outcome assessments were successfully and accurately performed at ICU and
hospital discharge and 90-day post hospital discharge. Intervention participants
were deemed to be delirious on 39.6% of mechanically ventilated days indicating a
requirement for a scripted regime to prevent delirium. Conclusions: With minor
adjustment of inclusion and exclusion criteria, the inclusion of delirium
management protocols, and encouragement of family engagement and involvement, a
large-scale definitive randomised controlled trial to test the impact of the
ABCDEF bundle will be feasible. Trial registration: Australian New Zealand
Clinical Trials Registry 12614000763640 Date registered 17/08/2014.
PMID- 29372071
TI - A randomised feasibility study to investigate the impact of education and the
addition of prompts on the sedentary behaviour of office workers.
AB - Background: Office workers have been identified as being at risk of accumulating
high amounts of sedentary time in prolonged events during work hours, which has
been associated with increased risk of a number of long-term health
conditions.There is some evidence that providing advice to stand at regular
intervals during the working day, and using computer-based prompts, can reduce
sedentary behaviour in office workers. However, evidence of effectiveness,
feasibility and acceptability for these types of intervention is currently
limited. Methods: A 2-arm, parallel group, cluster-randomised feasibility trial
to assess the acceptability of prompts to break up sedentary behaviour was
conducted with office workers in a commercial bank (n = 21). Participants were
assigned to an education only group (EG) or prompt and education group (PG). Both
groups received education on reducing and breaking up sitting at work, and the PG
also received hourly prompts, delivered by Microsoft Outlook over 10 weeks,
reminding them to stand. Objective measurements of sedentary behaviour were made
using activPAL monitors worn at three time points: baseline, in the last 2 weeks
of the intervention period and 12 weeks after the intervention. Focus groups were
conducted to explore the acceptability of the intervention and the motivations
and barriers to changing sedentary behaviour. Results: Randomly generated,
customised prompts, delivered by Microsoft Outlook, with messages about breaking
up sitting, proved to be a feasible and acceptable way of delivering prompts to
office workers. Participants in both groups reduced their sitting, but changes
were not maintained at follow-up. The education session seemed to increase
outcome expectations of the benefits of changing sedentary behaviour and promote
self-regulation of behaviour in some participants. However, low self-efficacy and
a desire to conform to cultural norms were barriers to changing behaviour.
Conclusions: Prompts delivered by Microsoft Outlook were a feasible, low-cost way
of prompting office workers to break up their sedentary behaviour, although
further research is needed to determine whether this has an additional impact on
sedentary behaviour, to education alone. The role of cultural norms, and
promoting self-efficacy, should be considered in the design of future
interventions. Trial registration: This study was registered retrospectively as a
clinical trial on ClinicalTrials.gov (ID no. NCT02609282) on 23 March 2015.
PMID- 29372072
TI - The market trend analysis and prospects of cancer molecular diagnostics kits.
AB - Background: The molecular diagnostics market can be broadly divided into PCR (rt
PCR, d-PCR), NGS(Next Generation Sequencing), Microarray, FISH(Fluorescent in
situ-hybridization) and other categories, based on the diagnostic technique.
Also, depending on the disease being diagnosed, the market can also be divided
into cancer, infectious diseases, HIV/STDs (herpes, syphilis), and women's health
issues such as breast cancer, cervical cancer, ovarian cancer, HPV(human
papillomavirus), and vaginitis.Chromosome analysis (including Fluorescent In-situ
Hybridization) is one type of blood cancer diagnostic method, which involves the
direct detection of individual cells with chromosomal translocation, but there
have been problems of sensitivity when using this method. PCR targeting
individual genes or the RT (reverse transcription)-PCR method offers outstanding
sensitivity, but one drawback is the risk of false-positive reaction caused by
contamination of samples, etc. Blood cancer molecular diagnostics kits allow us
to overcome these shortcomings, and related products have been under development,
with a focus on improving detection sensitivity, enabling multiple tests, and
reducing the cost and diagnostic time. Results: Blood cancer molecular
diagnostics is usually performed based on platforms such as PCR. The global
market for blood cancer molecular diagnostics kits is $ 335.9 million as of 2016
and is expected to reach $ 6980 million in 2026 with an average annual growth
rate of 32.9%. The market in South Korea is anticipated to grow at an average
annual rate of 28.9%, from $ 3.75 million as of 2016 to $ 60.89 million in 2026.
Conclusions: The Market for blood cancer molecular diagnostics kits is judged to
be higher in growth possibility due to the increase in the number of cancer
patients.
PMID- 29372074
TI - Jules Gonin and the Nobel Prize: pioneer of retinal detachment surgery who almost
received a Nobel Prize in medicine.
PMID- 29372073
TI - Flight feather development: its early specialization during embryogenesis.
AB - Background: Flight feathers, a type of feather that is unique to extant/extinct
birds and some non-avian dinosaurs, are the most evolutionally advanced type of
feather. In general, feather types are formed in the second or later generation
of feathers at the first and following molting, and the first molting begins at
around two weeks post hatching in chicken. However, it has been stated in some
previous reports that the first molting from the natal down feathers to the
flight feathers is much earlier than that for other feather types, suggesting
that flight feather formation starts as an embryonic event. The aim of this study
was to determine the inception of flight feather morphogenesis and to identify
embryological processes specific to flight feathers in contrast to those of down
feathers. Results: We found that the second generation of feather that shows a
flight feather-type arrangement has already started developing by chick embryonic
day 18, deep in the skin of the flight feather-forming region. This was confirmed
by shh gene expression that shows barb pattern, and the expression pattern
revealed that the second generation of feather development in the flight feather
forming region seems to start by embryonic day 14. The first stage at which we
detected a specific morphology of the feather bud in the flight feather-forming
region was embryonic day 11, when internal invagination of the feather bud
starts, while the external morphology of the feather bud is radial down-type.
Conclusion: The morphogenesis for the flight feather, the most advanced type of
feather, has been drastically modified from the beginning of feather
morphogenesis, suggesting that early modification of the embryonic morphogenetic
process may have played a crucial role in the morphological evolution of this key
innovation. Co-optation of molecular cues for axial morphogenesis in limb
skeletal development may be able to modify morphogenesis of the feather bud,
giving rise to flight feather-specific morphogenesis of traits.
PMID- 29372075
TI - Feasibility of using experimental high viscosity silicone oils: a pilot study.
AB - Background: Polydimethyl silicones (silicone oils) are used in complex retinal
surgeries, including difficult or recurrent retinal detachments, severe eye
trauma, and other indications for long term tamponade. Two major problems with
currently available silicone oils are emulsification and recurrent retinal
detachment. The primary endpoint of this study was to evaluate the toxicity and
safety of high viscosity silicone oils and second, the feasibility of using them
with currently available vitrectomy systems. Methods: In this experimental study,
8 eyes of 8 New Zealand White rabbits underwent vitrectomy. The vitreous cavities
of 2 eyes were filled with medical grade 5500 cS silicone oil, 4 eyes with 12,500
cS oil, and 2 eyes with 30,000 cS oil for 3 months, after which the animals were
sacrificed and the eyes sent for histopathological examination. The duration
required to inject 5 cc each of 1300, 12,500 and 30,000 cS oils, using a
commercially available system (Alcon VFC Pac) were also evaluated. Results:
Retinal histopathology was comparable in all eyes, with no excess toxic effect or
damage seen in eyes with experimental oils. All oils were readily injectable with
the VFC Pac system. Conclusions: High viscosity experimental silicone oils have
similar chemical and physical properties to lower viscosity oils currently used.
Therefore, toxicities are expected to be similar. In a small pilot sample of 8
rabbit eyes filled with silicone oil for 3 months, histopathology in eyes with
12,500, 30,000 cS or medical grade 5500 cS silicone oil were similar. Injecting
these oils using available vitrectomy equipment is feasible. New, high viscosity
silicone oils may offer several advantages over currently available oils for some
patients.
PMID- 29372077
TI - The Role of Patients' Families in Cancer Treatment Decision-Making: Perspectives
among Eastern and Western families.
PMID- 29372076
TI - Effects of diffusion time on non-Gaussian diffusion and intravoxel incoherent
motion (IVIM) MRI parameters in breast cancer and hepatocellular carcinoma
xenograft models.
AB - Background: Perfusion-related intravoxel incoherent motion (IVIM) and non
Gaussian diffusion magnetic resonance (MR) parameters are becoming important
biomarkers for differentiating malignant from benign tumors without contrast
agents. However, diffusion-time dependence has rarely been investigated in
tumors. Purpose: To investigate the relationship between diffusion time and
diffusion parameters in breast cancer and hepatocellular carcinoma xenograft
mouse models. Material and Methods: Diffusion-weighted MR images (DWI) were
obtained on a 7-T magnetic resonance imaging (MRI) scanner at two different
diffusion times (9.6 ms and 27.6 ms) in human breast cancer (MDA-MB-231) and
hepatocellular carcinoma (HepG2 and PLC/PRF/5) xenograft mouse models. Perfusion
related IVIM (fIVIM and D*) and non-Gaussian diffusion (ADC0 and K) parameters
were estimated. Parametric maps of diffusion changes with the diffusion times
were generated using a synthetic apparent diffusion coefficient (sADC) obtained
from b = 438 and 2584 s/mm2. Results: ADC0 values significantly decreased when
diffusion times were changed from 9.6 ms to 27.6 ms in MDA-MB-231, HepG2, and
PLC/PRF/5 groups (P = 0.0163, 0.0351, and 0.0170, respectively). K values
significantly increased in MDA-MB-231 and HepG2 groups (P < 0.0003 and = 0.0007,
respectively); however, no significant difference was detected in the PLC/PRF/5
group. fIVIM values increased, although not significantly (P = 0.164-0.748). The
maps of sADC changes showed that diffusion changes with the diffusion time were
not homogeneous across tumor tissues. Conclusion: Diffusion MR parameters in both
breast cancer and HCC xenograft models were found to be diffusion time-dependent.
Our results show that diffusion time is an important parameter to consider when
interpreting DWI data.
PMID- 29372078
TI - Trauma Care in Oman: Where do we stand and where should we be heading?
PMID- 29372079
TI - Deciphering the Role of the Barr Body in Malignancy: An insight into head and
neck cancer.
AB - X chromosome inactivation is the epitome of epigenetic regulation and long non
coding ribonucleic acid function. The differentiation status of cells has been
ascribed to X chromosome activity, with two active X chromosomes generally only
observed in undifferentiated or poorly differentiated cells. Recently, several
studies have indicated that the reactivation of an inactive X chromosome or X
chromosome multiplication correlates with the development of malignancy; however,
this concept is still controversial. This review sought to shed light on the role
of the X chromosome in cancer development. In particular, there is a need for
further exploration of the expression patterns of X-linked genes in cancer cells,
especially those in head and neck squamous cell carcinoma (HNSCC), in order to
identify different prognostic subpopulations with distinct clinical implications.
This article proposes a functional relationship between the loss of the Barr body
and the disproportional expression of X-linked genes in HNSCC development.
PMID- 29372080
TI - Troponin Testing in the Emergency Department: Real world experience.
AB - Objectives: The aim of this study was to examine patterns of troponin testing in
the emergency department of a large tertiary care hospital in Oman and to
determine its effect on patient management, including length of hospital stay
(LOS). Methods: This retrospective study analysed the medical records of all
adult patients undergoing troponin testing in the emergency department of the
Sultan Qaboos University Hospital, Muscat, Oman, during the month of July 2015.
Patients who presented with an ST-elevation myocardial infarction were excluded.
Results: A total of 4,845 patients attended the emergency department during the
study period; of these, troponin tests were ordered for 588 patients. The
majority of the patients had negative troponin test results (81.3%). Chest pain,
palpitations and breathlessness were the most common presenting complaints for
those with positive troponin results. However, 41.8% of patients did not have any
cardiac symptoms. Individuals with positive troponin tests had a significantly
longer LOS compared to those with negative tests (mean: three versus one day; P =
0.001). In total, only 28.2% of those with positive troponin test results had
final diagnoses associated with a cardiac condition, such as heart failure, an
acute coronary syndrome (ACS), atrial fibrillation or other types of arrhythmia.
Conclusion: A positive troponin test was associated with increased LOS; however,
only a small proportion of these patients had a final diagnosis associated with a
cardiac condition. Guidelines should be provided to ensure that troponin testing
is performed only in cases where an ACS is suspected.
PMID- 29372081
TI - Epidemiology of Chronic Hepatitis C Infections at a Tertiary Care Centre in Oman.
AB - Objectives: Chronic hepatitis C (CHC) is a leading cause of liver cirrhosis and
hepatocellular carcinoma (HCC) worldwide. However, there is a lack of data
regarding the epidemiology of CHC in Oman. This study aimed to describe the
clinicopathological characteristics and outcomes of CHC-infected patients at a
tertiary care hospital in Oman. Methods: This retrospective descriptive hospital
based study included all CHC-infected patients who presented to the Sultan Qaboos
University Hospital (SQUH) in Muscat, Oman, between January 2010 and December
2015. The baseline demographic, clinical, laboratory and radiological data of the
patients were analysed. Results: A total of 603 CHC-infected patients were
identified during the study period; of these, 65.8% were male and the mean age
was 44.8 +/- 16.5 years. The main risk factors associated with CHC infection were
intravenous drug abuse (23.9%) and a history of blood transfusions (20.7%). The
most prevalent virus genotypes were 1 and 3 (44.0% and 35.1%, respectively). Upon
initial presentation, 33.0% of the cohort had liver cirrhosis; of these, 48.7%
had decompensated cirrhosis and 23.1% had HCCs. Liver transplantation was only
performed for 7.5% of the cirrhosis patients, mostly as a curative treatment for
HCC. Conclusion: The implementation of national policies to prevent hepatitis C
transmission and encourage the early screening of at-risk patients is recommended
to reduce the burden and consequences of this disease in Oman.
PMID- 29372082
TI - Interpreting Neonatal Growth Parameters in Oman: Are we doing it right?
AB - Objectives: This study aimed to compare reference anthropometric measures of
Omani neonates with the international standard growth charts of the World Health
Organization (WHO) in order to determine the appropriateness of these growth
charts to assess the growth of Omani neonates. Methods: This cross-sectional
study included all healthy full-term Omani neonates born between November 2014
and November 2015 at the Sultan Qaboos University Hospital, Muscat, Oman. Birth
weight, length and head circumference measurements were identified and compared
to those of the 2006 WHO growth charts. Results: A total of 2,766 full-term
neonates were included in the study, of which 1,401 (50.7%) were male and 1,365
(49.3%) were female. Mean birth weights for Omani males and females were 3.16 +/-
0.39 kg and 3.06 +/- 0.38 kg, respectively; these were significantly lower than
the WHO standard measurements (P <0.001). Similarly, the mean head circumferences
of Omani males and females (33.8 +/- 1.27 cm and 33.3 +/- 1.26 cm, respectively)
were significantly lower than those reported in the WHO growth charts (P <0.001).
In contrast, mean lengths for Omani males and females (52.0 +/- 2.62 cm and 51.4
+/- 2.64 cm, respectively) were significantly higher than the WHO standard
measurements (P <0.001). Conclusion: The WHO growth charts might not be
appropriate for use with Omani neonates; possible alternatives should therefore
be considered, such as national growth charts based on local data.
PMID- 29372083
TI - Discrepancies Between Planned and Actual Operating Room Turnaround Times at a
Large Rural Hospital in Germany.
AB - Objectives: While several factors have been shown to influence operating room
(OR) turnaround times, few comparisons of planned and actual OR turnaround times
have been performed. This study aimed to compare planned and actual OR turnaround
times at a large rural hospital in Northern Germany. Methods: This retrospective
study examined the OR turnaround data of 875 elective surgery cases scheduled at
the Marienhospital, Vechta, Germany, between July and October 2014. The frequency
distributions of planned and actual OR turnaround times were compared and
correlations between turnaround times and various factors were established,
including the time of day of the procedure, patient age and the planned duration
of the surgery. Results: There was a significant difference between mean planned
and actual OR turnaround times (0.32 versus 0.64 hours; P <0.001). In addition,
significant correlations were noted between actual OR turnaround times and the
time of day of the surgery, patient age, actual duration of the procedure and
staffing changes affecting the surgeon or the medical specialty of the surgery (P
<0.001 each). The quotient of actual/planned OR turnaround times ranged from
1.733-3.000. Conclusion: Significant discrepancies between planned and actual OR
turnaround times were noted during the study period. Such findings may be
potentially used in future studies to establish a tool to improve OR planning,
measure OR management performance and enable benchmarking.
PMID- 29372084
TI - Mortality Rate and Years of Life Lost Due to Prostate Cancer in Yazd Province,
Iran: A 10-year study.
AB - Objectives: Prostate cancer is a leading cause of cancer-related deaths. The
number of deaths and years of life lost (YLL) due to a disease can be used to
monitor health status, assess healthcare needs and determine the prioritisation
and allocation of health resources within a population; in addition, the latter
calculation can serve as a baseline indicator of premature mortality. This study
aimed to measure prostate cancer-related mortality and YLL in Yazd Province,
Iran. Methods: This study included all prostate cancer-related deaths in Yazd
Province reported between March 2001 and March 2010. Mortality data were obtained
from a provincial death registration system which integrates data from different
sources. YLL was calculated based on an individual's age at death and their life
expectancy according to age group. Results: During the study period, prostate
cancer was the fourth most common fatal cancer among men, resulting in 324 deaths
or 10.0% of all cancer-related deaths. The crude mortality rate per 100,000
individuals increased from 4.7 in 2001 to 8.8 in 2010. Premature deaths caused
1,358.7 YLL and the YLL caused by prostate cancer deaths more than doubled from
94.7 in 2001 to 196.5 in 2010. Conclusion: Due to changes in population
structure, it is likely that the burden of prostate cancer will continue to
increase in Yazd Province. As such, it is necessary that the national health
system implements screening programmes and improves public awareness of prostate
cancer-associated risk factors.
PMID- 29372085
TI - Distribution of Trauma Care Facilities in Oman in Relation to High-Incidence Road
Traffic Injury Sites: Pilot study.
AB - Objectives: Road traffic injuries (RTIs) are considered a major public health
problem worldwide. In Oman, high numbers of RTIs and RTI-related deaths are
frequently registered. This study aimed to evaluate the distribution of trauma
care facilities in Oman with regards to their proximity to RTI-prevalent areas.
Methods: This descriptive pilot study analysed RTI data recorded in the national
Royal Oman Police registry from January to December 2014. The distribution of
trauma care facilities was analysed by calculating distances between areas of
peak RTI incidence and the closest trauma centre using Google Earth and Google
Maps software (Google Inc., Googleplex, Mountain View, California, USA). Results:
A total of 32 trauma care facilities were identified. Four facilities (12.5%)
were categorised as class V trauma centres. Of the facilities in Muscat, 42.9%
were ranked as class IV or V. There were no class IV or V facilities in Musandam,
Al-Wusta or Al-Buraimi. General surgery, orthopaedic surgery and neurosurgery
services were available in 68.8%, 59.3% and 12.5% of the centres, respectively.
Emergency services were available in 75.0% of the facilities. Intensive care
units were available in 11 facilities, with four located in Muscat. The mean
distance between a RTI hotspot and the nearest trauma care facility was 34.7 km;
however, the mean distance to the nearest class IV or V facility was 83.3 km.
Conclusion: The distribution and quality of trauma care facilities in Oman needs
modification. It is recommended that certain centres upgrade their levels of
trauma care in order to reduce RTI-associated morbidity and mortality in Oman.
PMID- 29372086
TI - Evaluation of Family Planning Counselling in North Jordan.
AB - Objectives: Counselling plays a key role in enhancing reproductive services,
providing contraception-related information and supporting long-term family
planning for women of childbearing age. This study aimed to evaluate family
planning counselling sessions in selected governmental and private clinics in
northern Jordan. Methods: This cross-sectional study was conducted between
January and June 2016 in Irbid, Jordan. A total of 200 women attending two
private clinics affiliated with the Jordanian Association for Family Planning and
Protection (JAFPP) and six governmental clinics were invited to participate in
the study. Counselling sessions were attended by an independent observer and
evaluated with regards to their compliance with the standard Greet, Ask, Tell,
Help, Explain, Return (GATHER) framework. Results: A total of 198 women
participated in the study (response rate: 99.0%), including 80 women (40.4%) from
JAFPP clinics and 118 (59.6%) from governmental clinics. In total, 42.9% of the
counselling sessions were deemed adequate, with providers applying 80% or more of
the GATHER framework, while 26.8% of the sessions were deemed semi-adequate and
30.3% were considered inadequate. Counselling services provided in the
governmental clinics were significantly less adequate than those provided in
JAFPP clinics (P <0.001). Conclusion: The quality of counselling services in
governmental family planning centres in Jordan needs to be improved to ensure
that women receive the highest possible level of care. Healthcare policymakers
should therefore focus on developing and supporting effective family planning
counselling services in northern Jordan.
PMID- 29372087
TI - Diabetes-Related Knowledge and Preventative Practices Among Government Employees
with Diabetes in Kuwait.
AB - Objectives: Diabetes mellitus (DM)-related knowledge and preventative practices
are vital for the successful management of this condition. In Kuwait, few studies
have been conducted to evaluate the knowledge and preventative practices of DM
patients. This study aimed to assess DM-related knowledge and preventative
practices among government employees with DM in Kuwait and to examine
associations between DM knowledge, preventative practices and other variables.
Methods: This cross-sectional study was conducted in June 2015 and involved 345
employees with DM from 15 government ministries in Kuwait. A self-administered
Arabic-language questionnaire was designed to assess DM-related knowledge and
preventative practices based on the Diabetes Knowledge Test and the
recommendations of the American Diabetes Association, respectively. Results: A
total of 312 ministry employees agreed to participate in the study (response
rate: 90.4%). The mean age was 45.6 +/- 10.6 years. A total of 63.4% were male
and 64.1% were Kuwaiti. The median DM knowledge score was 9 out of 14 and the
median preventative practice score was 5 out of 14. High knowledge scores were
significantly associated with education (beta = 1.510; P <=0.001) and income
(beta = 0.896; P <=0.001). High preventative practice scores were significantly
associated with income (beta = 1.376; P = 0.002), DM duration (beta = 0.919; P =
0.026) and knowledge scores (beta = 1.783; P = 0.015). Conclusion: Government
employees in Kuwait were found to have average DM knowledge and poor preventative
practices. It is therefore imperative that policy-makers develop educational and
health-promoting campaigns to target government employees with DM in Kuwait.
PMID- 29372088
TI - Soft Tissue Rosai-Dorfman Disease: Case report.
AB - Rosai-Dorfman disease (RDD) is a rare benign proliferative histiocytic disorder
characterised by massive lymphadenopathy. While extranodal involvement can occur
in generalised RDD, isolated soft tissue RDD (STRDD) is extremely rare. We report
a 17-year-old male patient who presented to the maxillofacial outpatient
department of the Sultan Qaboos Hospital, Salalah, Oman, in 2015 with a painless
cheek mass which had been slowly growing over the previous two months. Routine
histopathological examinations and immunohistochemistry confirmed a diagnosis of
STRDD. Currently, surgical excision is considered to be the most effective
curative treatment for STRDD, as the outcomes of other treatment modalities are
still unknown. Despite its rarity, STRDD should be considered in the differential
diagnosis of histiocytic soft tissue lesions.
PMID- 29372089
TI - A Case of Persistent Urogenital Sinus: Pitfalls and challenges in diagnosis.
AB - Persistent urogenital sinus (PUGS) is a rare anomaly whereby the urinary and
genital tracts fail to separate during embryonic development. We report a three
year-old female child who was referred to the Sabah Women & Children Hospital,
Sabah, Malaysia, in 2016 with a pelvic mass. She had been born prematurely at 36
gestational weeks via spontaneous vaginal delivery in 2013 and initially
misdiagnosed with neurogenic bladder dysfunction. The external genitalia appeared
normal and an initial sonogram and repeat micturating cystourethrograms did not
indicate any urogenital anomalies. She therefore underwent clean intermittent
catheterisation. Three years later, the diagnosis was corrected following the
investigation of a persistent cystic mass posterior to the bladder. At this time,
a clinical examination of the perineum showed a single opening into the
introitus. Magnetic resonance imaging of the pelvis revealed gross hydrocolpos
and a genitogram confirmed a diagnosis of PUGS, for which the patient underwent
surgical separation of the urinary and genital tracts.
PMID- 29372090
TI - Thyrotoxic Neuropathy: A rare cause of acute flaccid paraplegia.
AB - Acute polyneuropathy is a rare manifestation of severe hyperthyroidism. We report
a 22-year-old Omani male who presented to the Sohar Hospital, Sohar, Oman, in
2016 with acute-onset rapidly progressive flaccid areflexic paraplegia as the
presenting manifestation of thyrotoxicosis. Nerve conduction studies revealed
mixed axonal and demyelinating polyneuropathy in both the motor and sensory
nerves. Treatment of the hyperthyroidism with beta-blockers and carbimazole along
with physiotherapy resulted in the patient's full recovery and the alleviation of
his symptoms. Besides highlighting this rare association, this report underscores
the importance of including thyroid function tests in the evaluation of patients
with acute polyneuropathy.
PMID- 29372091
TI - Pitting Oedema in a Patient with Lumbar Disc Herniation: Case report of an
unusual association.
AB - Oedema refers to the excessive accumulation of fluid within intercellular tissues
as a result of disequilibrium between the capillary hydrostatic and oncotic
pressure gradients. Lumbar disc herniation (LDH) commonly causes lower back pain
and radicular leg pain. We report a 57-year-old female who presented to the
neurosurgery clinic of the Bam University of Medical Sciences, Bam, Iran, in 2015
with pain and pitting oedema in the bilateral lower extremities. Magnetic
resonance imaging confirmed a diagnosis of LDH of the L3-L4 and L4-L5 vertebrae.
The patient subsequently underwent a bilateral laminotomy and foraminotomy of the
involved vertebrae to relieve her pain. Following the surgery, there was a
complete resolution of the LDH-related symptoms as well as the oedema. Although
LDH has never before been associated with oedema, it may nevertheless cause lower
limb oedema in exceptional and rare cases, as highlighted in this patient.
PMID- 29372092
TI - Intravenous Remifentanil Analgaesia for an Obstetric Patient with Type I
Neurofibromatosis and a Factor V Leiden Mutation.
AB - Type I neurofibromatosis is characterised by altered skin pigmentation and the
growth of benign tumours, particularly along the peripheral nerves and central
nervous system. We report a 36-year-old primigravida woman in labour who was
admitted to the obstetric suite of the Hospital Sant Joan de Deu, Barcelona,
Spain, in 2007 with hypothyroidism, type I neurofibromatosis and a factor V
Leiden mutation. Due to a lack of cranial and spinal imaging data, an epidural
was not indicated; instead, continuous intravenous remifentanil analgaesia was
administered. The remifentanil infusion was self-titrated by the patient using a
visual analogue scale, with the dosage ranging from 0.01 to 0.25 MUg/kg/minute.
Due to rotational dystocia, Kjelland-type forceps were used during the delivery.
After birth, the infant was found to have Apgar scores of 9 and 10, with no
maternal or neonatal adverse effects observed. Although still controversial,
remifentanil may be a successful alternative for analgaesia in similar cases;
however, the specific risks and benefits for each patient should be considered
prior to administration.
PMID- 29372093
TI - Gingival Leiomyosarcoma in a Young Woman: Case report and literature review.
AB - Leiomyosarcoma (LMS) is a rare mesenchymal malignancy, of which 3-10% of cases
occur in the head and neck region. We report a 22-year-old woman who was referred
to the University Hospital of Siena, Italy, in 2016 with an ostensibly benign
asymptomatic lump on the mandibular gingiva. The lesion grew rapidly, causing
otalgia in the right ear. An excisional biopsy was performed and primary LMS was
diagnosed histologically. Subsequently, the patient underwent radical re-excision
of the perilesional mucosa, a partial bone resection and the extraction of four
teeth. No recurrences or metastases were detectable at a 20-month follow-up. This
report discusses the differential diagnosis of LMS with regards to other benign
and malignant lesions and reviews the recent literature on primary and secondary
oral LMS. Due to its innocuous clinical features-including its asymptomatic
nature and presentation at a young age-this aggressive malignancy can go
undetected; therefore, an early histopathological diagnosis is crucial.
PMID- 29372094
TI - Broad Ligament Lipoleiomyoma Masses: Two curious cases masquerading as ovarian
carcinomas.
AB - Lipoleiomyomas are an extremely rare form of uterine leiomyoma; moreover, the
occurrence of this type of tumour on the broad ligament is even rarer. We report
two cases of broad ligament lipoleiomyomas in 15- and 38-year-old female patients
who presented to the Lok Nayak Jai Prakash Hospital in New Delhi, India, between
2016 and 2017. In both cases, the preoperative diagnosis was of a solid ovarian
malignancy. Most broad ligament tumours are mistaken for ovarian masses as they
are difficult to diagnose radiologically.
PMID- 29372095
TI - Cardiovocal Syndrome: A rare cause of hoarseness in a patient with a history of
pulmonary tuberculosis.
AB - Hoarseness is a common clinical condition with underlying causes which can vary
from reversible and benign to life-threatening and malignant. Cardiovocal
syndrome may cause hoarseness secondary to left recurrent laryngeal nerve palsy
when the recurrent laryngeal nerve is mechanically affected due to enlarged
cardiovascular structures. We report a 28-year-old male who presented to the
Government Medical College, Thiruvananthapuram, India, in 2013 with hoarseness.
He had undergone irregular treatment for pulmonary tuberculosis (TB) two years
previously. Fiber-optic laryngoscopy indicated left vocal cord palsy and a
computed tomography scan of the chest revealed features of pulmonary hypertension
with extensive enlargement of the pulmonary arteries. An echocardiogram confirmed
severe pulmonary arterial hypertension with severe tricuspid regurgitation. He
was diagnosed with left recurrent laryngeal palsy secondary to cardiovocal
syndrome. Although reports exist of recurrent laryngeal palsy in TB, this case
appears to be the first to report cardiovocal syndrome in a patient treated for
pulmonary TB.
PMID- 29372096
TI - Calvarial Tuberculosis in a Preschool-Aged Child: An uncommon entity.
AB - Calvarial tuberculosis is a rare manifestation of tuberculosis, especially in
children under five years of age. We report a two-and-a-half-year-old male child
who presented to the Nilratan Sircar Medical College, Kolkata, India, in 2015
with frontoparietal fluctuant swelling of three months' duration. He had also had
chronic sinus discharge from the left lower eyelid over the previous six months.
Computed tomography of the head revealed a frontal swelling along with erosion of
both the outer and inner plates of the left frontal bone. Fine needle aspiration
of the pus indicated the presence of acid-fast bacilli. Unfortunately, no primary
focus of tuberculosis could be established. The patient improved after one year
of antitubercular therapy without requiring any surgical intervention and with no
sign of subsequent disease recurrence.
PMID- 29372097
TI - Paget's Disease of the Breast: A dangerous imitator of eczema.
PMID- 29372098
TI - T Cell Large Granular Lymphocyte Leukaemia with Cutaneous Infiltration.
PMID- 29372099
TI - A race to uncover a panoramic view of primary liver cancer.
PMID- 29372100
TI - The ascent of immune checkpoint inhibitors: is the understudy ready for a leading
role?
PMID- 29372101
TI - Cell cycle regulation and anticancer drug discovery.
AB - Cellular growth, development, and differentiation are tightly controlled by a
conserved biological mechanism: the cell cycle. This cycle is primarily regulated
by cyclin-dependent kinase (CDK)-cyclin complexes, checkpoint kinases, and CDK
inhibitors. Deregulation of the cell cycle is a hallmark of the transformation of
normal cells into tumor cells. Given its importance in tumorigenesis, several
cell cycle inhibitors have emerged as potential therapeutic drugs for the
treatment of cancers-both as single-agent therapy and in combination with
traditional cytotoxic or molecular targeting agents. In this review, we discuss
the mechanisms underlying cell cycle regulation and present small-molecule
anticancer drugs that are under development, including both pan-CDK inhibitors
and CDK4/6-selective inhibitors. In addition, we provide an outline of some
promising CDK inhibitors currently in preclinical and clinical trials that target
cell cycle abnormalities in various cancers.
PMID- 29372102
TI - Camptothecin-based nanodrug delivery systems.
AB - The drug camptothecin has a wide range of antitumor effects in cancers including
gastric cancer, rectal and colon cancer, liver cancer, and lung cancer.
Camptothecin-based drugs inhibit topoisomerase 1 (Topo 1), leading to destruction
of DNA, and are currently being used as important chemotherapeutic agents in
clinical antitumor treatment. However, the main obstacle associated with cancer
therapy is represented by systemic toxicity of conventional anticancer drugs and
their low accumulation at the tumor site. In addition, low bioavailability, poor
water solubility, and other shortcomings hinder their anticancer activity.
Different from traditional pharmaceutical preparations, nanotechnology-dependent
nanopharmaceutical preparations have become one of the main strategies for
different countries worldwide to overcome drug development problems. In this
review, we summarized the current hotspots and discussed a variety of
camptothecin-based nanodrugs for cancer therapy. We hope that through this
review, more efficient drug delivery systems could be designed with potential
applications in clinical cancer therapy.
PMID- 29372103
TI - Brain metastasis in advanced colorectal cancer: results from the South Australian
metastatic colorectal cancer (SAmCRC) registry.
AB - Objective: Brain metastasis is considered rare in metastatic colorectal cancer
(mCRC); thus, surveillance imaging does not routinely include the brain. The
reported incidence of brain metastases ranges from 0.6% to 3.2%. Methods: The
South Australian mCRC Registry (SAmCRC) was analyzed to assess the number of
patients presenting with brain metastasis during their lifetime. Due to small
numbers, a descriptive analysis is presented. Results: Only 59 patients of 4,100
on the registry at the time of analysis had developed brain metastasis (1.4%).
The clinical characteristics of those with brain metastasis were as follows: the
median age was 65.3 years and 51% were female. Where the V-Ki-ras2 Kirsten rat
sarcoma viral oncogene homolog (KRAS) mutation status of the tumor was known, the
majority harbored a KRAS mutation (55%); 31 (53%) underwent craniotomy and 55
(93%) underwent whole-brain radiotherapy. The median survival time from diagnosis
of brain metastasis was 4.2 months (95% confidence interval 2.9-5.5). Patients
who underwent craniotomy and radiotherapy had superior survival compared to those
who underwent whole-brain radiotherapy (8.5 months vs. 2.2 months, respectively).
Data from the SAmCRC (a population-based registry) confirm that brain metastases
are rare and the median time to development is approximately 2 years.
Conclusions: Brain metastasis is a rare outcome in advanced CRC. Patients within
the registry tended to be female, young in age, and harbored with higher rates of
KRAS mutations. Whether routine surveillance brain scanning should be considered
remains controversial given the relative rarity of developing brain metastases in
mCRC and ultimately, most patients with central nervous system involvement die
from their extracranial disease.
PMID- 29372104
TI - Promoter methylation of Wnt/beta-Catenin signal inhibitor TMEM88 is associated
with unfavorable prognosis of non-small cell lung cancer.
AB - Objective: Recent research has indicated that altered promoter methylation of
oncogenes and tumor suppressor genes is an important mechanism in lung cancer
development and progression. In this study, we investigated the association
between promoter methylation of TMEM88, a possible inhibitor of the Wnt/beta
Catenin signaling, and the survival of patients with non-small cell lung cancer
(NSCLC). Methods: Twelve pairs of tumor and adjacent non-tumor samples were used
for microarray analyses of DNA methylation and gene expression. For validation,
more than two hundred additional samples were analyzed for methylation using
bisulfite pyrosequencing and for gene expression using qRT-PCR. Then the cell
function were tested by wound healing, transwell, CCK8 and cell cycle assay.
Results: Our analysis of patient specimens showed that TMEM88 methylation was
higher in NSCLC tumors (82.2% +/- 10.3, P < 0.01) compared with the adjacent
normal tissues (65.9% +/- 7.2). The survival analysis revealed that patients with
high TMEM88 methylation had a shorter overall survival (46 months) compared with
patients with low TMEM88 methylation (>56 months;P=0.021). In addition, we found
that demethylation treatment could inhibit tumor cell proliferation, migration,
and invasion, which was supportive of an association between methylation and
survival. Conclusions: Based on these consistent observations, we concluded that
TMEM88 may play an important role in NSCLC progression and that promoter
methylation of TMEM88 may serve as a biomarker for NSCLC prognosis and treatment.
PMID- 29372106
TI - Thermogenic protein UCP1 and UCP3 expression in non-small cell lung cancer:
relation with glycolysis and anaerobic metabolism.
AB - Uncoupling protein 1 (UCP1) is a proton transporter/channel residing on the inner
mitochondrial membrane and is involved in cellular heat production. Using
immunohistochemistry, we investigated the expression of UCP1 and UCP3 in a series
of 98 patients with non-small cell lung cancer (NSCLC) treated with surgery.
Expression patterns were correlated with histopathological variables, prognosis,
and the expression of enzymes/proteins related to cell metabolism. Bronchial
epithelium did not express UCP1 or UCP3, while alveolar cells strongly expressed
UCP1. In tumors, strong expression of UCP1 and UCP3 was recorded in 43/98 (43.8%)
and 27/98 (27.6%) cases, respectively. UCP1 was significantly associated with
squamous cell histology (P = 0.05), whilst UCP3 was more frequently overexpressed
in large cell carcinomas (P = 0.08), and was inversely related to necrosis (P =
0.009). In linear regression analysis, UCP1 was directly related to markers of
glycolysis [hexokinase (HXKII) and phosphofructokinase (PFK1)] and anaerobic
glucose metabolism [pyruvate dehydrogenase kinase (PDK1) and lactate
dehydrogenase (LDH5)]. UCP3 was directly linked with a glucose transporter
(GLUT2), monocarboxylate transporter (MCT2), glycolysis markers (PFK1 and
aldolase), and with the phosphorylation of pyruvate dehydrogenase (pPDH). Kaplan
Meier survival analysis showed that UCP3 was significantly related to poor
prognosis in squamous cell carcinomas (P = 0.04). UCP1 and UCP3 are overexpressed
in a large subgroup of non-small cell lung tumors and their expression coincides
with increased glucose absorption, intensified glycolysis, and anaerobic glucose
usage. Whether UCPs are targets for therapeutic interventions in lung cancer is a
hypothesis that demands further investigation.
PMID- 29372105
TI - Profile of the breast cancer susceptibility marker rs4245739 identifies a role
for miRNAs.
AB - Objective: To determine the influence of the single nucleotide polymorphism (SNP)
rs4245739 on the binding and expression of microRNAs and subsequent MDM4
expression and the correlation of these factors with clinical determinants of ER
negative breast cancers. Methods: FindTar and miRanda were used to detect the
manner in which potential microRNAs are affected by the SNP rs4245739-flanking
sequence. RNA sequencing data for ER-negative breast cancer from The Cancer
Genome Atlas (TCGA) were used to compare the expression of miR-184, miR-191, miR
193a, miR-378, and MDM4 in different rs4245739 genotypes. Results: Comparison of
ER-negative cancer patients with and without the expression of miR-191 as well as
profile microRNAs (miR-184, miR-191, miR-193a and miR-378 altogether) can
differentiate the expression of MDM4 among different rs4245739 genotypes.
Although simple genotyping alone did not reveal significant clinical
relationships, the combination of genotyping and microRNA profiles was able to
significantly differentiate individuals with larger tumor size and lower number
of involved lymph nodes (P < 0.05) in the risk group (A allele). Conclusions: We
present two novel methods to analyze SNPs within 3'UTRs that use: (i) a single
miRNA marker expression and (ii) an expression profile of miRNAs predicted to
bind to the SNP region. We demonstrate that the application of these two methods,
in particular the miRNA profile approach, permits detection of new molecular and
clinical features related to the rs4245739 variant in ER-negative breast cancer.
PMID- 29372107
TI - Increased expression of YAP1 in prostate cancer correlates with extraprostatic
extension.
AB - Objective: Yes associated protein 1 (YAP1) is a member of the Hippo pathway,
acting as a transcriptional coactivator. To elucidate the role of YAP1 and
phosphorylated (p)YAP1 in prostate cancer (PCa) tumorigenesis, we investigated
their expression in clinical samples of PCa and cell lines. Methods: Fifty-four
tumor, adjacent nontumor, and prostate intraepithelial neoplasia (PIN) tissues
from patients with PCa after radical prostatectomy were selected from a
retrospective cohort and studied using immunohistochemistry (IHC). Protein and
mRNA expression levels of YAP1 were evaluated by Western blot analysis and
quantitative real-time reverse transcription PCR, respectively, in cancer cell
lines. Publicly available gene expression datasets were downloaded to analyze
YAP1 mRNA and protein levels in PCa tissue samples. Results: IHC analysis of PCa
tissues revealed that YAP1 staining intensities were moderate to weak in the
nucleus and cytoplasm of tumor cells, whereas adjacent normal epithelia showed
strong staining. We observed that benign prostates were characterized by higher
expression levels of both nuclear (P=0.004) and cytosolic (P=0.005) YAP1. pYAP1
staining was weak in the cytoplasm and absent in the nucleus of all the tissues
investigated. YAP1 expression was an indicator of extraprostatic extension (EPE).
The level of YAP1 was negatively correlated with the level of the androgen
receptor (AR) in The Cancer Genome Atlas dataset and Western blot analysis of
cell lines. Conclusions: Our study suggested that YAP1 expression is
heterogeneous in PCa tissue samples; therefore, YAP1 might play different roles
in different aspects of PCa progression. This might involve AR-YAP1 interplay in
PCa.
PMID- 29372108
TI - Complete pathologic response after chemoradiotherapy in a patient with rectal
squamous cell carcinoma: a case report.
AB - Squamous cell carcinoma (SCC) of the rectum is a rare disease. A 59-year-old man
presented with SCC of the middle rectum located 10 cm from the anus. The stage of
the tumor was revealed to be T3N+M0. The patient received a combined treatment
with cisplatin and fluorouracil in concomitance with external radiation therapy.
He then underwent an anterior resection of the rectum. The postoperative
histopathological findings classified the tumor as ypT0N0 with cancer-free
margins and lymph nodes. Treatment of SCC remains very challenging, and the
acquisition of more consistent data is needed.
PMID- 29372109
TI - Brain metastasis in non-small cell lung cancer (NSCLC) patients with uncommon
EGFR mutations: a report of seven cases and literature review.
AB - Brain metastasis (BM) arising from non-small cell lung cancer (NSCLC) with rare
epidermal growth factor receptor (EGFR) mutations is quite rare. The prognosis
and therapeutic effects of BM remain enigmatic. To the best of our knowledge,
this is the first report to make a separate analysis of BM from NSCLC patients
with original uncommon EGFR mutations. We retrospectively reviewed 7 cases of BM
arising from 42 cases of uncommon EGFR mutated lung cancer in Tianjin Medical
University Cancer Institute and Hospital. We also performed a literature review
to assess therapeutic features and outcomes.
PMID- 29372110
TI - The accuracy of a non-invasive glucose monitoring device does not depend on
clinical characteristics of people with type 2 diabetes mellitus.
AB - Objective: GlucoTrack is a non-invasive device that indirectly measures glucose
fluctuation in the earlobe tissue. Thus, its accuracy may be subjected to a time
lag between glucose concentration in blood and tissue. This time lag was shown to
depend on individual characteristics related to microvascular complications, such
as diabetes duration, HbA1c level, and smoking history. Therefore, the current
study investigated the effects of these factors on GlucoTrack performance.
Research design and methods: Clinical trials were conducted on 114 people with
type 2 diabetes. Device performance was clinically evaluated using Clarke error
grid (CEG) analysis and numerically evaluated using the distribution of absolute
relative difference (ARD) values. Results: CEG analysis revealed that 98.0% of
glucose readings were within the clinically acceptable CEG A + B zones. Total
mean ARD was 22.7%. Clinical and numerical accuracies were comparable between
never smokers and former/current smokers, but slightly reduced in the HbA1c >=
7.5% group and in the diabetes duration >=15 years group. Yet, likelihood ratio
and parametric bootstrap tests statistically demonstrated that ARD values did not
depend on diabetes duration, HbA1c level, or smoking history. Conclusions:
GlucoTrack performance does not depend on diabetes duration, HbA1c level, and
smoking history, indicating the device is suitable for various people with type 2
diabetes.
PMID- 29372111
TI - Positively worded subscale score of the Perceived Stress Scale is associated with
cognitive domain function.
AB - Objectives: To examine the cross-sectional associations of the separate subscales
of the Perceived Stress Scale (PSS) and tests measuring cognitive domains in
older adults. Methods: 897 adults over the age of 70 free of amnestic mild
cognitive impairment and dementia and enrolled in the Einstein Aging Study made
up the study sample. The PSS-14 was used to measure stress. Three cognitive
domains (language, episodic memory, and frontal-executive) had previously been
found using principle component analysis. Linear regression analyses were used to
determine the relationship between the PSS subscales and cognitive domain
function. Results: The study sample had a mean age of 79.1 years and 62.8% were
female. Bivariate correlations show that the PSS-14 positively worded subscale of
the PSS (PSS-PW) was significantly associated with all three cognitive domains
(language: r = -0.15, p < 0.001; episodic memory: r = -0.16, p < 0.001; frontal
executive: r = -0.21, p <0.001) while the negatively worded subscale of the PSS
(PSS-NW) was not significantly associated with any cognitive domain. In linear
regression analyses adjusted for age, white race, gender, years of education, and
depressive symptoms, the PSS-PW remained significantly associated with each of
the cognitive domains. The PSS-NW was not associated with any cognitive domains
in any model. The PSS-14 was significantly associated with language and episodic
memory, but not the frontal-executive domain. Conclusion: Worse PSS-PW scores are
associated with reduced cognitive function in the executive, memory, and language
domains in nondemented older adults. The PSS-PW subscale correlated better with
cognitive function than the overall PSS-14. Future research should evaluate the
temporality of the association and if stress reduction therapies improve
cognitive performance.
PMID- 29372112
TI - Objective Assessment of Activity Limitation in Glaucoma with Smartphone Virtual
Reality Goggles: A Pilot Study.
AB - Purpose: To evaluate the use of smartphone-based virtual reality to objectively
assess activity limitation in glaucoma. Methods: Cross-sectional study of 93
patients (54 mild, 22 moderate, 17 severe glaucoma). Sociodemographics, visual
parameters, Glaucoma Activity Limitation-9 and Visual Function Questionnaire -
Utility Index (VFQ-UI) were collected. Mean age was 67.4 +/- 13.2 years; 52.7%
were male; 65.6% were driving. A smartphone placed inside virtual reality goggles
was used to administer the Virtual Reality Glaucoma Visual Function Test (VR
GVFT) to participants, consisting of three parts: stationary, moving ball,
driving. Rasch analysis and classical validity tests were conducted to assess
performance of VR-GVFT. Results: Twenty-four of 28 stationary test items showed
acceptable fit to the Rasch model (person separation 3.02, targeting 0). Eleven
of 12 moving ball test items showed acceptable fit (person separation 3.05,
targeting 0). No driving test items showed acceptable fit. Stationary test person
scores showed good criterion validity, differentiating between glaucoma severity
groups (P = 0.014); modest convergence validity, with mild to moderate
correlation with VFQ-UI, better eye (BE) mean deviation, BE pattern deviation, BE
central scotoma, worse eye (WE) visual acuity, and contrast sensitivity (CS) in
both eyes (R = 0.243-0.381); and suboptimal divergent validity. Multivariate
analysis showed that lower WE CS (P = 0.044) and greater age (P = 0.009) were
associated with worse stationary test person scores. Conclusions: Smartphone
based virtual reality may be a portable objective simulation test of activity
limitation related to glaucomatous visual loss. Translational Relevance: The use
of simulated virtual environments could help better understand the activity
limitations that affect patients with glaucoma.
PMID- 29372113
TI - Preperimetric Glaucoma Prospective Study (PPGPS): Predicting Visual Field
Progression With Basal Optic Nerve Head Blood Flow in Normotensive PPG Eyes.
AB - Purpose: To investigate the site specificity of visual field changes in eyes with
normotensive preperimetric glaucoma (PPG), and to determine factors influencing
visual field progression. Methods: This prospective study comprised 84 eyes of 84
normotensive PPG patients followed for at least 16 months. Optic nerve head (ONH)
blood flow was assessed with tissue-area mean blur rate (MBRT), derived from
laser speckle flowgraphy. Total deviation (TD) was measured in each sector of the
Garway-Heath map to evaluate the site specificity of visual field loss. Subjects
with a TD slope in the first quartile were classified as progressive, and other
subjects as nonprogressive. Linear and multiple regression analyses were
performed to determine factors affecting visual field progression. Results: TD in
the superior sector significantly decreased in the subjects overall during the
follow-up periods (-0.48 +/- 1.92 dB/y, P = 0.025). Linear regression analysis
showed that basal MBRT-inferior was correlated significantly with TD-superior
slope (r = 0.332, P = 0.002). Furthermore, basal MBRT was significantly lower in
this sector in the progressive than the nonprogressive group (P = 0.010).
Multiple linear regression analysis revealed that basal MBRT-inferior was the
only predictive factor for TD-superior slope (beta = 0.329, P = 0.005).
Conclusions: These findings suggest that superior-sector visual field progression
is most common in normotensive PPG eyes, and that reduced basal ONH blood flow is
associated with visual field progression. Translational Relevance: These findings
provide new insight into the involvement of ONH blood flow impairment in glaucoma
pathogenesis, and demonstrate the importance of assessing ONH blood flow to
determine visual field progression in normotensive PPG.
PMID- 29372114
TI - The Effect of Topical Substance-P Plus Insulin-like Growth Factor-1 (IGF-1) on
Epithelial Healing After Photorefractive Keratectomy in Rabbits.
AB - Purpose: To determine whether topical Substance-P (SP) plus insulin-like growth
factor-1 (IGF-1) can improve corneal healing after photorefractive surface
ablation in a rabbit. Methods: After a 9.0-mm corneal de-epithelialization using
a combination of chemical (18% alcohol) and mechanical debridement, excimer
photorefractive surface ablation was performed bilaterally in eight rabbits (16
eyes) with an 8.0-mm ablation zone and 70-MUm depth. The right eye was treated
with SP (250 MUg/mL) and IGF-1 (25 ng/mL) in hyaluronic acid, one drop twice a
day, and the other eye treated with only hyaluronic acid. The epithelial healing
process was documented photographically twice a day until healing was complete.
Six rabbits were sacrificed 6 weeks after photorefractive keratectomy (PRK) and
corneas examined histologically. Results: Seven of eight rabbit eyes treated with
SP/IGF-1 healed in a shorter time than the untreated eye. For rabbit #6, both
eyes healed at the same time. The average healing time (total time until wound
closure) for the treated eyes was 99 hours, while the average healing time for
the untreated eyes was 170 hours (P = 0.0490). A persistent epithelial defect was
found in two of the nontreated eyes but none in the treated eyes. Corneal
pathology showed some degree of epithelial separation in the central corneal
wound in three out of six nontreated eyes and in just the treated eye of rabbit
#6. Conclusion: Topical SP plus IGF-1 increases the epithelial healing rate after
PRK. There may have been beneficial effects upon cell adhesion as well.
Translational Relevance: Better and faster healing.
PMID- 29372115
TI - Benchmark datasets for phylogenomic pipeline validation, applications for
foodborne pathogen surveillance.
AB - Background: As next generation sequence technology has advanced, there have been
parallel advances in genome-scale analysis programs for determining evolutionary
relationships as proxies for epidemiological relationship in public health. Most
new programs skip traditional steps of ortholog determination and multi-gene
alignment, instead identifying variants across a set of genomes, then summarizing
results in a matrix of single-nucleotide polymorphisms or alleles for standard
phylogenetic analysis. However, public health authorities need to document the
performance of these methods with appropriate and comprehensive datasets so they
can be validated for specific purposes, e.g., outbreak surveillance. Here we
propose a set of benchmark datasets to be used for comparison and validation of
phylogenomic pipelines. Methods: We identified four well-documented foodborne
pathogen events in which the epidemiology was concordant with routine
phylogenomic analyses (reference-based SNP and wgMLST approaches). These are
ideal benchmark datasets, as the trees, WGS data, and epidemiological data for
each are all in agreement. We have placed these sequence data, sample metadata,
and "known" phylogenetic trees in publicly-accessible databases and developed a
standard descriptive spreadsheet format describing each dataset. To facilitate
easy downloading of these benchmarks, we developed an automated script that uses
the standard descriptive spreadsheet format. Results: Our "outbreak" benchmark
datasets represent the four major foodborne bacterial pathogens (Listeria
monocytogenes, Salmonella enterica, Escherichia coli, and Campylobacter jejuni)
and one simulated dataset where the "known tree" can be accurately called the
"true tree". The downloading script and associated table files are available on
GitHub: https://github.com/WGS-standards-and-analysis/datasets. Discussion: These
five benchmark datasets will help standardize comparison of current and future
phylogenomic pipelines, and facilitate important cross-institutional
collaborations. Our work is part of a global effort to provide collaborative
infrastructure for sequence data and analytic tools-we welcome additional
benchmark datasets in our recommended format, and, if relevant, we will add these
on our GitHub site. Together, these datasets, dataset format, and the underlying
GitHub infrastructure present a recommended path for worldwide standardization of
phylogenomic pipelines.
PMID- 29372116
TI - FUSTr: a tool to find gene families under selection in transcriptomes.
AB - Background: The recent proliferation of large amounts of biodiversity
transcriptomic data has resulted in an ever-expanding need for scalable and user
friendly tools capable of answering large scale molecular evolution questions.
FUSTr identifies gene families involved in the process of adaptation. This is a
tool that finds genes in transcriptomic datasets under strong positive selection
that automatically detects isoform designation patterns in transcriptome
assemblies to maximize phylogenetic independence in downstream analysis. Results:
When applied to previously studied spider transcriptomic data as well as
simulated data, FUSTr successfully grouped coding sequences into proper gene
families as well as correctly identified those under strong positive selection in
relatively little time. Conclusions: FUSTr provides a useful tool for novice
bioinformaticians to characterize the molecular evolution of organisms throughout
the tree of life using large transcriptomic biodiversity datasets and can utilize
multi-processor high-performance computational facilities.
PMID- 29372117
TI - Expression status and clinical significance of lncRNA APPAT in the progression of
atherosclerosis.
AB - Background: Long non-coding RNAs (lncRNAs) have been reported to modulate
cardiovascular diseases, and expression dynamics of lncRNAs in the bloodstream
were proposed to be potential biomarkers for clinical diagnosis. However, few
cardiovascular diseases-related circulating lncRNAs were identified and their
prediction power has not been investigated in depth. Here we report a new
circulating lncRNA, atherosclerotic plaque pathogenesis associated transcript
(APPAT), and evaluated its role and predicting ability in atherosclerotic
development. Methods: APPAT was analyzed and screened by high-throughput
sequencing, and then detected in vitro and in vivo. Immunofluorescence
fluorescence in situ hybridization (IF-FISH) was utilized to explore distribution
and subcellular location of APPAT. The expressing alteration of APPAT in samples
of healthy and pathological coronary artery was explored further. We also
assessed the level of circulating APPAT in blood samples from healthy
individuals, and patients with angina pectoris (AP) or myocardial infarction
(MI). Additionally, we predicted and validated microRNA targets of APPAT, then
showed the expression level of a candidate target which was primarily measured in
human VSMCs cell line, coronary artery, and blood samples. Lastly, we examined
the potential indicating ability of APPAT for the risk of AP or MI. Results:
APPAT showed significant reduction in ox-LDL treated human VSMCs in vitro. It
enriched in contractile VSMCs of artery tunica media and mainly existed in
cytoplasm. Significant down-regulation of APPAT was found in coronary artery
samples with severe stenosis. More importantly, we observed decreased expression
of APPAT in blood samples accompanying disease progression. ROC and correlation
analyses further verified the relatively high predicting ability of APPAT. We
also observed the predicted miRNA exhibited opposite expression direction to that
of APPAT. Conclusions: This study revealed that circulating lncRNA-APPAT may
perform an important function and have some indicating ability on the development
of atherosclerosis.
PMID- 29372118
TI - Effects of chronic exposure to thiamethoxam on larvae of the hoverfly Eristalis
tenax (Diptera, Syrphidae).
AB - There is widespread concern over the use of neonicotinoid pesticides in the agro
ecosystem, due in part to their high water solubility which can lead to
widespread contamination of non-target areas including standing surface water.
Most studies investigating the negative fitness consequences of neonicotinoids
have focused on bees, with little research on the impact on other non-target
insects. Here we examined the effect of exposure on the aquatic larval stages of
the hoverfly Eristalis tenax L. (Diptera: Syrphidae) to a range of concentrations
(control, 5, 15, 50, 100 and 500 ppb) of the neonicotinoid thiamethoxam; no
published studies have thus far examined the effects of neonicotinoids on
hoverflies. Survival was significantly lower when exposed to 500 ppb
thiamethoxam, but this concentration exceeds that likely to be found in the
field. We observed no effect on survival, development or any latent effects on
adult activity budgets resulting from exposure to lower concentrations (up to 100
ppb). Our results suggest that E. tenax exposed as larvae to thiamethoxam are
unlikely to be negatively impacted by this neonicotinoid under field conditions.
PMID- 29372119
TI - CoMiniGut-a small volume in vitro colon model for the screening of gut microbial
fermentation processes.
AB - Driven by the growing recognition of the influence of the gut microbiota (GM) on
human health and disease, there is a rapidly increasing interest in understanding
how dietary components, pharmaceuticals and pre- and probiotics influence GM. In
vitro colon models represent an attractive tool for this purpose. With the dual
objective of facilitating the investigation of rare and expensive compounds, as
well as an increased throughput, we have developed a prototype in vitro parallel
gut microbial fermentation screening tool with a working volume of only 5 ml
consisting of five parallel reactor units that can be expanded with multiples of
five to increase throughput. This allows e.g., the investigation of interpersonal
variations in gut microbial dynamics and the acquisition of larger data sets with
enhanced statistical inference. The functionality of the in vitro colon model,
Copenhagen MiniGut (CoMiniGut) was first demonstrated in experiments with two
common prebiotics using the oligosaccharide inulin and the disaccharide lactulose
at 1% (w/v). We then investigated fermentation of the scarce and expensive human
milk oligosaccharides (HMOs) 3-Fucosyllactose, 3-Sialyllactose, 6-Sialyllactose
and the more common Fructooligosaccharide in fermentations with infant gut
microbial communities. Investigations of microbial community composition dynamics
in the CoMiniGut reactors by MiSeq-based 16S rRNA gene amplicon high throughput
sequencing showed excellent experimental reproducibility and allowed us to
extract significant differences in gut microbial composition after 24 h of
fermentation for all investigated substrates and fecal donors. Furthermore, short
chain fatty acids (SCFAs) were quantified for all treatments and donors.
Fermentations with inulin and lactulose showed that inulin leads to a microbiota
dominated by obligate anaerobes, with high relative abundance of Bacteroidetes,
while the more easily fermented lactulose leads to higher relative abundance of
Proteobacteria. The subsequent study on the influence of HMOs on two infant GM
communities, revealed the strongest bifidogenic effect for 3'SL for both infants.
Inter-individual differences of infant GM, especially with regards to the
occurrence of Bacteroidetes and differences in bifidobacterial species
composition, correlated with varying degrees of HMO utilization foremost of 6'SL
and 3'FL, indicating species and strain related differences in HMO utilization
which was also reflected in SCFAs concentrations, with 3'SL and 6'SL resulting in
significantly higher butyrate production compared to 3'FL. In conclusion, the
increased throughput of CoMiniGut strengthens experimental conclusions through
elimination of statistical interferences originating from low number of
repetitions. Its small working volume moreover allows the investigation of rare
and expensive bioactives.
PMID- 29372120
TI - Social network community structure and the contact-mediated sharing of commensal
E. coli among captive rhesus macaques (Macaca mulatta).
AB - In group-living animals, heterogeneity in individuals' social connections may
mediate the sharing of microbial infectious agents. In this regard, the genetic
relatedness of individuals' commensal gut bacterium Escherichia coli may be ideal
to assess the potential for pathogen transmission through animal social networks.
Here we use microbial phylogenetics and population genetics approaches, as well
as host social network reconstruction, to assess evidence for the contact
mediated sharing of E. coli among three groups of captively housed rhesus
macaques (Macaca mulatta), at multiple organizational scales. For each group,
behavioral data on grooming, huddling, and aggressive interactions collected for
a six-week period were used to reconstruct social network communities via the
Data Cloud Geometry (DCG) clustering algorithm. Further, an E. coli isolate was
biochemically confirmed and genotypically fingerprinted from fecal swabs
collected from each macaque. Population genetics approaches revealed that Group
Membership, in comparison to intrinsic attributes like age, sex, and/or matriline
membership of individuals, accounted for the highest proportion of variance in E.
coli genotypic similarity. Social network approaches revealed that such sharing
was evident at the community-level rather than the dyadic level. Specifically,
although we found no links between dyadic E. coli similarity and social contact
frequencies, similarity was significantly greater among macaques within the same
social network communities compared to those across different communities.
Moreover, tests for one of our study-groups confirmed that E. coli isolated from
macaque rectal swabs were more genotypically similar to each other than they were
to isolates from environmentally deposited feces. In summary, our results suggest
that among frequently interacting, spatially constrained macaques with complex
social relationships, microbial sharing via fecal-oral, social contact-mediated
routes may depend on both individuals' direct connections and on secondary
network pathways that define community structure. They lend support to the
hypothesis that social network communities may act as bottlenecks to contain the
spread of infectious agents, thereby encouraging disease control strategies to
focus on multiple organizational scales. Future directions includeincreasing
microbial sampling effort per individual to better-detect dyadic transmission
events, and assessments of the co-evolutionary links between sociality,
infectious agent risk, and host immune function.
PMID- 29372121
TI - Morphology and structure of Homo erectus humeri from Zhoukoudian, Locality 1.
AB - Background: Regional diversity in the morphology of the H. erectus postcranium is
not broadly documented, in part, because of the paucity of Asian sites preserving
postcranial fossils. Yet, such an understanding of the initial hominin taxon to
spread throughout multiple regions of the world is fundamental to documenting the
adaptive responses to selective forces operating during this period of human
evolution. Methods: The current study reports the first humeral rigidity and
strength properties of East Asian H. erectus and places its diaphyseal
robusticity into broader regional and temporal contexts. We estimate true cross
sectional properties of Zhoukoudian Humerus II and quantify new diaphyseal
properties of Humerus III using high resolution computed tomography. Comparative
data for African H. erectus and Eurasian Late Pleistocene H. sapiens were
assembled, and new data were generated from two modern Chinese populations.
Results: Differences between East Asian and African H. erectus were
inconsistently expressed in humeral cortical thickness. In contrast, East Asian
H. erectus appears to exhibit greater humeral robusticity compared to African H.
erectus when standardizing diaphyseal properties by the product of estimated body
mass and humeral length. East Asian H. erectus humeri typically differed less in
standardized properties from those of side-matched Late Pleistocene hominins
(e.g., Neanderthals and more recent Upper Paleolithic modern humans) than did
African H. erectus, and often fell in the lower range of Late Pleistocene humeral
rigidity or strength properties. Discussion: Quantitative comparisons indicate
that regional variability in humeral midshaft robusticity may characterize H.
erectus to a greater extent than presently recognized. This may suggest a
temporal difference within H. erectus, or possibly different ecogeographical
trends and/or upper limb loading patterns across the taxon. Both discovery and
analysis of more adult H. erectus humeri are critical to further evaluating and
potentially distinguishing between these possibilities.
PMID- 29372122
TI - Alpha-actinin of the chlorarchiniophyte Bigelowiella natans.
AB - The genome of the chlorarchiniophyte Bigelowiella natans codes for a protein
annotated as an alpha-actinin-like protein. Analysis of the primary sequence
indicate that this protein has the same domain structure as other alpha-actinins,
a N-terminal actin-binding domain and a C-terminal calmodulin-like domain. These
two domains are connected by a short rod domain, albeit long enough to form a
single spectrin repeat. To analyse the functional properties of this protein, the
full-length protein as well as the separate domains were cloned and isolated.
Characerisation showed that the protein is capable of cross-linking actin
filaments into dense bundles, probably due to dimer formation. Similar to human
alpha-actinin, calcium-binding occurs to the most N-terminal EF-hand motif in the
calmodulin-like C-terminal domain. The results indicate that this Bigelowiella
protein is a proper alpha-actinin, with all common characteristics of a typical
alpha-actinin.
PMID- 29372124
TI - The French press: a repeatable and high-throughput approach to exercising
zebrafish (Danio rerio).
AB - Zebrafish are increasingly used as a vertebrate model organism for various traits
including swimming performance, obesity and metabolism, necessitating high
throughput protocols to generate standardized phenotypic information. Here, we
propose a novel and cost-effective method for exercising zebrafish, using a
coffee plunger and magnetic stirrer. To demonstrate the use of this method, we
conducted a pilot experiment to show that this simple system provides repeatable
estimates of maximal swim performance (intra-class correlation [ICC] = 0.34-0.41)
and observe that exercise training of zebrafish on this system significantly
increases their maximum swimming speed. We propose this high-throughput and
reproducible system as an alternative to traditional linear chamber systems for
exercising zebrafish and similarly sized fishes.
PMID- 29372123
TI - The importance of local settings: within-year variability in seawater temperature
at South Bay, Western Antarctic Peninsula.
AB - The Western Antarctic Peninsula (WAP) has undergone significant changes in air
and seawater temperatures during the last 50 years. Although highly stenotherm
Antarctic organisms are expected to be severely affected by the increase of
seawater temperature, high-resolution datasets of seawater temperature within
coastal areas of the WAP (where diverse marine communities have been reported)
are not commonly available. Here we report on within-year (2016-2017) variation
in seawater temperature at three sites on Doumer Island, Palmer Archipelago, WAP.
Within a year, Antarctic organisms in South Bay were exposed to water
temperatures in excess of 2 degrees C for more than 25 days and 2.5 degrees C
for more than 10 days. We recorded a temperature range between -1.7 degrees to
3.0 degrees C. Warming of seawater temperature was 3.75 times faster after
October 2016 than it was before October. Results from this study indicate that
organisms at South Bay are already exposed to temperatures that are being used in
experimental studies to evaluate physiological responses to thermal stress in WAP
organisms. Continuous measurements of short to long-term variability in seawater
temperature provides important information for parametrizing meaningful
experimental treatments that aim to assess the local effects of environmental
variation on Antarctic organisms under future climate scenarios.
PMID- 29372126
TI - An Atypical Clinical Presentation of Post-traumatic Syringomyelia: A Case Report
and Brief Review of the Literature.
AB - Syringomyelia classically presents as a bilateral sensory loss of the dissociated
type which includes the loss of pain and temperature with the preservation of
fine touch, vibratory sensation, and proprioception in the shoulder, arm, and
hand. Eventually, weakness of the legs, muscle wasting, and ataxia can also be
seen due to the involvement of the corticospinal tracts and the posterior columns
of the spinal cord. We present the case of a 64-year-old patient with an atypical
presentation of post-traumatic syringomyelia. This atypical presentation included
a unilateral sensory loss of fine touch, pain, and temperature in the shoulder,
arm, and hand which was of the non-dissociated type with no weakness, muscular
atrophy, loss of vibratory sensation, or proprioception.
PMID- 29372125
TI - Dilated Thoracic Esophagus Presenting with Painful Progressive Persistent
Dysphagia and Leukocytosis of Unknown Origin.
AB - Esophageal cancer is the eighth-most common cause of cancer-related mortality
worldwide. The most common presenting symptom in advanced distal esophageal
cancer is the sensation of sticking food, but it may sometimes present with
bleeding and related complications, or asymptomatic leukocytosis. We present the
case of a 77-year-old afebrile man with chronic alcoholism and a dilated thoracic
esophagus with painful, progressive, and persistent dysphagia and leukocytosis of
unknown origin. A 77-year-old man with a past medical history of hypertension and
colonic cancer status post right hemicolectomy (surveillance negative) presented
to the emergency department with painful, progressive, persistent, and worsening
dysphagia for the past three weeks. It was associated with an unintentional
weight loss of ten pounds in one month and nausea with non-bilious and non-bloody
vomiting for several days. He denied fever, diarrhea, hoarseness of voice, change
in bowel movement, hematemesis, hematochezia, melena, orthopnea, dyspnea at rest,
palpitation, and abdominal pain. A chest x-ray (lateral view) showed debris in a
dilated thoracic esophagus with fluid. An esophagogram showed a 10 x 3 cm
obstructive mass with irregular mucosa within the proximal esophagus from the
thoracic vertebra levels four to ten. A computed tomography scan of the chest
with contrast showed long segment dilatation of the upper and mid-thoracic
esophagus with generalized circumferential thickening of the distal esophagus. He
was empirically on cefazolin and metronidazole but later switched to
piperacillin, tazobactam, and fluconazole. Cardiac risk stratification was done
for an esophagogastroduodenoscopy. However, the patient and the family opted for
palliative care and agreed to a do-not-resuscitate/do-not-intubate status. In
esophageal cancers, tumor-related leukocytosis and neutrophilia are common
presentations. However, there is no standardized routine screening test for
esophageal cancers. Thus, when asymptomatic afebrile elderly patients present
with leukocytosis of unknown origin, clinicians should have suspicions of occult
malignancy such as esophageal cancers, gastric cancer, and pancreatic cancer.
PMID- 29372127
TI - "False" Ligaments: A Review of Anatomy, Potential Function, and Pathology.
AB - This paper, although not an exhaustive review of "false" ligaments in the body,
describes eight such ligaments. False ligaments are defined as ligamentous
structures connecting separate parts of the same bone and are thus immobile. The
ligaments reviewed include the suprascapular ligament, the transforaminal lumbar
ligaments, the mamillo-accessory ligament, the transverse atlantal ligament, the
transverse occipital ligament, the transverse humeral ligament, the
coracoacromial ligament, and the transverse part of the ulnar collateral
ligament. In this review, the anatomy and histological characteristics of each
ligament are reviewed. Furthermore, possible functions and associated pathologies
are described.
PMID- 29372128
TI - Ticking Bomb: Asymptomatic Mirizzi Syndrome.
AB - Mirizzi syndrome, also known as extrinsic biliary compression syndrome, is a rare
clinical entity in which the common bile duct is obstructed by compression by the
impaction of one or more gallstones in the cystic duct or gallbladder
infundibulum. This case illustrates an absolutely asymptomatic presentation of
Mirizzi syndrome in a 62-year-old, otherwise healthy, woman. Mirizzi syndrome was
treated with preemptive laparotomy cholecystectomy. The present case is exemplary
for careful evaluation with the proper index of suspicion in establishment of
preoperative diagnosis as well as prompt treatment prior to development of
complications.
PMID- 29372129
TI - Biomechanics of an Expandable Lumbar Interbody Fusion Cage Deployed Through
Transforaminal Approach.
AB - Introduction: A novel expandable lumbar interbody fusion cage has been developed
which allows for a broad endplate footprint similar to an anterior lumbar
interbody fusion (ALIF); however, it is deployed from a minimally invasive
transforaminal unilateral approach. The perceived benefit is a stable
circumferential fusion from a single approach that maintains the anterior tension
band of the anterior longitudinal ligament.The purpose of this biomechanics
laboratory study was to evaluate the biomechanical stability of an expandable
lumbar interbody cage inserted using a transforaminal approach and deployed in
situ compared to a traditional lumbar interbody cage inserted using an anterior
approach (control device). Methods: Twelve cadaveric spine specimens (L1-L5) were
tested intact and after implantation of both the control and experimental devices
in two (L2-L3 and L3-L4) segments of each specimen; the assignments of the
control and experimental devices to these segments were alternated. Effect of
supplemental pedicle screw-rod stabilization was also assessed. Moments were
applied to the specimens in flexion-extension (FE), lateral bending (LB), and
axial rotation (AR). The effect of physiologic preload on construct stability was
evaluated in FE. Segmental motions were measured using an optoelectronic motion
measurement system. Results: The deployable expendable TLIF cage and control
devices significantly reduced FE motion with and without compressive preload when
compared to the intact condition (p<0.05). Segmental motions in LB and AR were
also significantly reduced with both devices (p<0.05). Under no preload, the
deployable expendable TLIF cage construct resulted in significantly smaller FE
motion compared to the control cage construct (p<0.01). Under all other testing
modes (FE under 400N preload, LB, and AR) the postoperative motions of the two
constructs did not differ statistically (p>0.05). Adding bilateral pedicle screws
resulted in further reduction of ROM for all loading modes compared to intact
condition, with no statistical difference between the two constructs (p>0.05).
Conclusions: The ability of the deployable expendable interbody cage in reducing
segmental motions was equivalent to the control cage when used as a stand-alone
construct and also when supplemented with bilateral pedicle screw-rod
instrumentation. The larger footprint of the fully deployed TLIF cage combined
with preservation of the anterior soft-tissue tension band may provide a better
biomechanical fusion environment by combining the advantages of the traditional
ALIF and TLIF approaches.
PMID- 29372130
TI - Tethered Cord as a Complication of Chronic Cerebral Spinal Fluid Diversion.
AB - Introduction: The etiology and treatment of tethered cord syndrome remains
controversial, especially in adults. We present an unusual case of tethered cord
syndrome with an associated epidural lipomatosis likely due to chronic over
shunting of cerebrospinal fluid (CSF). Methods: A 25-year-old woman had a history
of Chiari malformation, hydrocephalus, and a ventriculoperitoneal shunt. She
demonstrated progressively worsening gait, which led to a diagnosis of myelopathy
and a posterior cervical decompression and fusion was performed. Imaging revealed
engorgement of the epidural veins ventral to the spinal cord which was causing
cord compression. Magnetic resonance (MR) imaging of the lumbar spine
demonstrated a low-lying conus at the level of L2-L3 with an absence of CSF in
the lumbar cistern. This was associated with this was a widening of the epidural
space with secondary epidural lipomatosis. She underwent a laminectomy of L5 as
well as decompression of the inferior aspect of the L4 and superior aspect of the
S1 lamina. No free flow of CSF could ever be appreciated as was suggested by
postoperative MR imaging. Postoperatively, the patient did well and was
discharged in stable condition. Conclusion: Tethering of the spinal cord,
associated with epidural lipomatosis, may be secondary to over-drainage of CSF.
Symptoms of back pain and tethered cord phenomenon may warrant surgical
intervention.
PMID- 29372131
TI - Tranexamic Acid Reduced the Percent of Total Blood Volume Lost During Adolescent
Idiopathic Scoliosis Surgery.
AB - Background: Multilevel posterior spine fusion is associated with significant
intraoperative blood loss. Tranexamic acid is an antifibrinolytic agent that
reduces intraoperative blood loss. The goal of this study was to compare the
percent of total blood volume lost during posterior spinal fusion (PSF) with or
without tranexamic acid in patients with adolescent idiopathic scoliosis (AIS).
Methods: Thirty-six AIS patients underwent PSF in 2011-2014; the last half (n=18)
received intraoperative tranexamic acid. We retrieved relevant demographic,
hematologic, intraoperative and outcomes information from medical records. The
primary outcome was the percent of total blood volume lost, calculated from
estimates of intraoperative blood loss (numerator) and estimated total blood
volume per patient (denominator, via Nadler's equations). Unadjusted outcomes
were compared using standard statistical tests. Results: Tranexamic acid and no
tranexamic acid groups were similar (all p>0.05) in mean age (16.1 vs. 15.2
years), sex (89% vs. 83% female), body mass index (22.2 vs. 20.2 kg/m2),
preoperative hemoglobin (13.9 vs. 13.9 g/dl), mean spinal levels fused (10.5 vs.
9.6), osteotomies (1.6 vs. 0.9) and operative duration (6.1 hours, both). The
percent of total blood volume lost (TBVL) was significantly lower in the
tranexamic acid-treated vs. no-tranexamic acid group (median 8.23% vs. 14.30%, p
= 0.032); percent TBVL per level fused was significantly lower with tranexamic
acid than without it (1.1% vs. 1.8%, p=0.048). Estimated blood loss (milliliters)
was similar across groups. Conclusions: Tranexamic acid significantly reduced the
percentage of total blood volume lost versus no tranexamic acid in AIS patients
who underwent PSF using a standardized blood loss measure.Level of Evidence: 3.
Institutional Review Board status: This medical record chart review (minimal
risk) study was approved by the University of Minnesota Institutional Review
Board.
PMID- 29372132
TI - Comparison of quality of life between men and women who underwent Transforaminal
Percutaneous Endoscopic Discectomy for lumbar disc herniation.
AB - Background: Studies describing the efficacy of TPED on shortness of recovery and
improvement of postoperative quality of life are limited, especially regarding
gender something that has never been reported before in the literature. The
purpose of this study is to evaluate possible differences of the health-related
quality of life in patients who underwent TPED for LDH in accordance with sex.
Methods: Seventy-six patients diagnosed and treated with TPED for LDH with 1 year
follow-up were selected and divided into two groups of equal number depending on
sex. Their quality of life was evaluated by using the SF-36 before the operation,
six weeks, three, six and twelve months postoperatively. A statistical analysis
was conducted, in order to compare the 8 scaled scores of the SF-36 combining
each time two chronological phases in the total of patients, in each group and
between groups. Results: Fifty-two (68.4%) patients were <=63 years old, while
the rest 24 (31,4%) were >63 years old (mean +/-SD = 56,5 +/-12,1 years). Apart
from the PF domain, the scores were higher in every visit for the two groups, but
the change between groups was not significant. Women had a significantly higher
increase of PF score in 3 months after TPED and in the interval 6 weeks-3 months
comparing with men. However, in the intervals 3 months-6 months and 3 months-12
months men presented significantly higher increase compared to women.
Conclusions: Statistically significant improvement of the quality of life for
both men and women was observed. Generally, there was no significant difference
between the two groups. As regards to the physical functioning, it appears to be
a significant difference which is counterpoised over time. Level of evidence: 2.
Clinical relevance: TPED for LDH does not present major differences in the
improvement of quality of life regarding gender.
PMID- 29372133
TI - The Effect of Smoking on Spinal Fusion.
AB - Background: Spinal fusion surgery is performed about half a million times per
year in the United States and millions more worldwide. It is an effective method
for reducing pain, increasing stability, and correcting deformity in patients
with various spinal conditions. In addition to being a well-established risk
factor for a variety of medical conditions, smoking has deleterious effects on
the bone healing of spinal fusions. This review aims to specifically analyze the
ways in which smoking affects the outcomes of spinal fusion and to explore ways
in which these negative consequences can be avoided. Purpose: This article
provides a complete understanding of the ways smoking affects spinal fusion from
a biochemical and clinical perspective. Recommendations are also provided for
ways in which surgeons can limit patient exposure to the most serious negative
outcomes associated with cigarette smoking. Study Design/Setting: This study was
a retrospective literature review done using the NCBI database. The research was
compiled at NYU Hospital for Joint Diseases and the NYU Center for
Musculoskeletal Care. Methods: A comprehensive literature review was done
spanning research on a variety of subjects related to smoking and spinal fusion
surgery. The biochemistry of smoking and fusion healing were examined in great
detail. In addition, both in vivo animal studies and human clinical studies were
evaluated to explore fusion success related to the effects of smoking and its
biochemical factors on spinal fusion surgery. Results: Smoking significantly
increases the risk of pseudoarthrosis for patients undergoing both lumbar and
cervical fusions. In addition to nonunion, smoking also increases the risk of
other perioperative complications such as infection, adjacent-segment pathology,
and dysphagia. Treatment options are available that can be explored to reduce the
risk of smoking-related morbidity, such as nicotine replacement therapy and use
of bone morphogenetic proteins (BMPs). Conclusions: It has been clearly
demonstrated from both a biochemical and clinical perspective that smoking
increases the rate of perioperative complications for patients undergoing spinal
fusion surgery, particularly pseudoarthosis. It has also been shown that there
are certain approaches that can reduce the risk of morbidity. The most important
recommendation is smoking cessation for four weeks after surgery. In addition,
patients may be treated with certain surgical techniques, including the use of
BMPs, to reduce the risk of pseudoarthrosis. Lastly, nicotine replacement therapy
is an area of continued interest in relation to spinal fusion outcomes and more
research needs to be done to determine its efficacy moving forward.
PMID- 29372134
TI - A Rare Case of T1-2 Thoracic Disc Herniation Mimicking Cervical Radiculopathy.
AB - Introduction: Thoracic disc herniation is not as common as other disc herniations
seen at other levels of spinal column. Th1-Th2 disc herniation is an extremely
rare condition. Physical and cautious radiological examination is significantly
important for diagnosis. Case Presentation: We report a 45 years old male case
with complaint of neck pain radiating to right upper extremity. The physical
examination revealed Th1 radiculopathy symptoms. According to his images
degeneration at C6-7 level and right T1 root compression due to Th1-Th2 disc
herniation at foraminal region were evaluated. The patient underwent
hemilaminectomy, foraminatomy and discectomy at T1-T2 level via posterior
approach. Conclusion: T1-2 level thoracic disc herniation can accompany with
cervical region problems and some syndromes can mimic Th1 radiculopathy symptoms.
The aim of this case report is to keep on mind of this rare condition and to
emphasize the importance of physical findings and correlations with magnetic
resonance imaging.
PMID- 29372135
TI - Long-term Evaluation of Cervical Disc Arthroplasty with the Mobi-C(c) Cervical
Disc: A Randomized, Prospective, Multicenter Clinical Trial with Seven-Year
Follow-up.
AB - Background: Cervical total disc replacement (TDR) is an increasingly accepted
procedure for the treatment of symptomatic cervical degenerative disc disease.
Multiple Level I evidence clinical trials have established cervical TDR to be a
safe and effective procedure in the short-term. The objective of this study is to
provide a long-term assessment of TDR versus anterior discectomy and fusion for
the treatment of one- and two-level disc disease. Methods: This study was a
continuation of a prospective, multicenter, randomized, US FDA IDE clinical trial
comparing cervical TDR with the Mobi-C(c) Cervical Disc versus ACDF through 7
years follow-up. Inclusion criteria included a diagnosis of symptomatic cervical
degenerative disc disease at one or two cervical levels. TDR patients were
treated using a Mobi-C(c) artificial disc (Zimmer Biomet, Austin TX, USA). ACDF
with allograft and anterior plate was used as a control treatment. Outcome
measures were collected preoperatively and postoperatively at 6 weeks, at 3, 6,
12, 18 months, annually through 60 months, and at 84 months. Measured outcomes
included Overall success, Neck Disability Index (NDI), VAS neck and arm pain,
segmental range of motion (ROM), patient satisfaction, SF-12 MCS/PCS, major
complications, and subsequent surgery rate. The primary endpoint was an FDA
composite definition of success comprising clinical improvement and an absence of
major complications and secondary surgery events. Results: A total of 599
patients were enrolled and treated, with 164 treated with one-level TDR, 225
treated with two-level TDR, 81 treated with one-level ACDF, and 105 treated with
two-level ACDF. At seven years, follow-up rates ranged from 73.5% to 84.4%
(overall 80.2%).The overall success rates of two level TDR and ACDF patients were
60.8% and 34.2%, respectively (p<0.0001). The overall success rates of one level
TDR and ACDF patients were 55.2% and 50%, respectively (p>0.05). Both the single
and two level TDR and ACDF groups showed significant improvement from baseline
NDI scores, VAS neck and arm pain scores, and SF-12 MCS/PCS scores (p<0.0001). In
the single level cohort, there was an increased percentage of TDR patients who
reported themselves as "very satisfied" (TDR 90.9% vs ACDF 77.8%; p= 0.028).
There was a lower rate of adjacent level secondary surgery in the single level
TDR patients (3.7%) versus the ACDF patients (13.6%; p = 0.007).In the two level
TDR group, the NDI success rate was significantly greater in the TDR group (TDR:
79.0% vs. ACDF: 58.0%; p=0.001). There was significantly more improvement in NDI
change score at 7 years in the TDR patients versus ACDF. The TDR group had a
significantly higher rate of patients who were "very satisfied" with their
treatment compared to the ACDF group (TDR: 85.9% vs. ACDF: 73.9%). The rate of
subsequent surgery at the index level was significantly lower in the TDR group
compared to the ACDF group (TDR: 4.4% vs. ACDF: 16.2%; p=0.001). The rate of
adjacent level secondary surgery was significantly lower in the two level TDR
(4.4%) patients compared to the ACDF (11.3%; p=0.03) patients. In both single and
two level cohorts, the percentage of patients with worse NDI (2.5%-3.8% of two
level surgeries and 1.2%-2.5% of single level surgeries) or worse neck pain (5%
6.8% of the two level surgeries and 1.3% - 3.8% of the single level surgeries)
was strikingly low in both groups but trended lower in the TDR patients.
Conclusions: At seven years, the composite success analysis demonstrated clinical
superiority of two level TDR over ACDF and non-inferiority of single level TDR
versus ACDF. There were lower rates of secondary surgery and higher adjacent
level disc survivorship in both groups. Both surgeries were remarkably effective
in alleviating pain relative to baseline and the rate of patients with worse
disability or neck pain was surprisingly low. Overall, greater than 95% of
patients (from both groups) who underwent TDR and 88% of patients who underwent
ACDF were "very satisfied" at seven years. The differences in clinical
effectiveness of TDR versus ACDF becomes more apparent as treatment increases
from one to two levels, indicating a significant benefit for TDR over ACDF for
two-level procedures. Ethical Standards: The Mobi-C Clinical Trial
(ClinicalTrials.gov registration number: NCT00389597) was conducted at 24 sites
in the US and was approved by the Institutional Review Board, Research Ethics
Committee, or local equivalent of each participating site. Level of Evidence: 1.
PMID- 29372136
TI - A Comparison of Three Different Methods of Fixation in the Management of
Thoracolumbar Fractures.
AB - Introduction: Management of thoracolumbar fractures remains controversial in the
literature. The primary aims of this study were to assess different levels of
fixation with respect to radiological outcomes in terms of fracture reduction and
future loss of correction. Methods: This is a single center, retrospective study.
Fifty-five patients presenting with thoracolumbar fractures between January 2012
and December 2015 were analyzed in the study. The levels of fixation were divided
in 3 groups, 1 vertebra above and 1 below the fracture (1/1), 2 above and 2 below
(2/2), and 2 above and 1 below (2/1). Results: The most common mechanism was high
fall injury and the most common vertebra L1. Burst fractures were the ones with
the highest incidence. The 2/2 fixation achieved the best reduction of the
fracture but with no statistical significance. The correction is maintained
better by the 2/2 fixation but there is no statistical difference compared to the
other fixations. Insertion of screws at the fracture level did not improve
outcomes. Conclusion: The data of this study identified a trend towards better
radiological outcomes for fracture reduction and maintenance of the correction in
the 2/2 fixations. However these results are not statistically significant.
Future multicenter prospective clinical trials are needed in order to agree on
the ideal management and method of fixation for thoracolumbar fractures.
PMID- 29372137
TI - Demographic Trends in the Use of Intraoperative Neuromonitoring for Scoliosis
Surgery in the United States.
AB - Background: Intraoperative neuromonitoring (ION) such as motor-evoked potential
(MEP), somatosensory evoked potentials (SSEP) and electromyography (EMG) are used
to detect impending neurological injuries during spinal surgery. To date, little
is known on the trends in the use of ION for scoliosis surgery in the United
States. Methods: A retrospective review was performed using the PearlDiver
Database to identify patients that had scoliosis surgery with and without ION
from years 2005 to 2011. Demographic information (such as age, gender, region
within the United States) and clinical information (such as type of ION and rates
of neurological injury) were assessed. Results: There were 3618 patients who had
scoliosis surgery during the study period. ION was used in 1361 (37.6%) of these
cases. The number of cases in which ION was used increased from 27% in 2005 to
46.9% in 2011 (p < 0.0001). Multimodal ION was used more commonly than unimodal
ION (64.6% vs. 35.4%). The most commonly used modality was combined SSEP and EMG
while the least used modality was MEP only. Neurological injuries occurred in
1.8% and 2.0% of patients that had surgery with and without ION, respectively (p
= 0.561). ION was used most commonly in patients < 65 years of age and in the
Northeastern part of the United States (age; p = 0.006, region; p < 0.0001).
Conclusions: The use of ION for scoliosis surgery gradually increased annually
from 2005 to 2011. Age and regional differences were noted with neuromonitoring
being most commonly used for scoliosis surgery in non-elderly patients and in the
Northeastern part of the United States. No differences were noted in the risk of
neurological injury in patients that had surgery with and without ION. Although
the findings from this study may seem to suggest that ION may not influence the
risk of neurologic injury, this result must be interpreted with caution as
inherently riskier surgeries may utilize ION more, leading to an actual reduction
in injuries more dramatic than observed in this study.
PMID- 29372138
TI - Surgeon Reliability for the Assessment of Lumbar Spinal Stenosis on MRI: The
Impact of Surgeon Experience.
AB - Background: Treating surgeon's visual assessment of axial MRI images to ascertain
the degree of stenosis has a critical impact on surgical decision-making. The
purpose of this study was to prospectively analyze the impact of surgeon
experience on inter-observer and intra-observer reliability of assessing severity
of spinal stenosis on MRIs by spine surgeons directly involved in surgical
decision-making. Methods: Seven fellowship trained spine surgeons reviewed MRI
studies of 30 symptomatic patients with lumbar stenosis and graded the stenosis
in the central canal, the lateral recess and the foramen at T12-L1 to L5-S1 as
none, mild, moderate or severe. No specific instructions were provided to what
constituted mild, moderate, or severe stenosis. Two surgeons were "senior"
(>fifteen years of practice experience); two were "intermediate" (>four years of
practice experience), and three "junior" (< one year of practice experience). The
concordance correlation coefficient (CCC) was calculated to assess inter-observer
reliability. Seven MRI studies were duplicated and randomly re-read to evaluate
inter-observer reliability. Results: Surgeon experience was found to be a strong
predictor of inter-observer reliability. Senior inter-observer reliability was
significantly higher assessing central(p<0.001), foraminal p=0.005 and lateral
p=0.001 than "junior" group.Senior group also showed significantly higher inter
observer reliability that intermediate group assessing foraminal stenosis
(p=0.036). In intra-observer reliability the results were contrary to that found
in inter-observer reliability. Conclusion: Inter-observer reliability of
assessing stenosis on MRIs increases with surgeon experience. Lower intra
observer reliability values among the senior group, although not clearly
explained, may be due to the small number of MRIs evaluated and quality of MRI
images.Level of evidence: Level 3.
PMID- 29372139
TI - Validity and reliability of a novel patient reported outcome tool to evaluate
post-operative dysphagia, odynophagia, and voice (DOV) disability after anterior
cervical procedures.
AB - Background: Existing evaluative instruments for dysphagia, odynophagia, and voice
disturbance are cumbersome, focus pre-dominately on dysphagia, and often require
administration by a certified Speech Pathologist. This study was conducted to
utilize widely accepted instruments such as the American Speech and Hearing
Association's National Outcomes Measurement System (NOMS) and VAS pain scales to
validate a novel, patient-reported instrument that quantifies the severity of
post-operative dysphagia, odynophagia, and voice disabilities (DOV). Methods: The
DOV was developed and subjected to multiple rounds of face and content validation
by representative patient cohorts and a panel of clinical experts. An
established, prospective clinical registry was utilized to collect pre and post
operative VAS-swallow related pain and DOV measurements for subjects with recent
anterior cervical procedures (n=25 content validation, n=20 criterion
validation), or recent lumbar decompressions (n=33). NOMS evaluations were
performed by a certified Speech Language Pathologist on the first post-operative
day after minimally invasive anterior approaches to cervical reconstruction were
performed in the criterion validation cohort. Results: Content validity: Subjects
with a recent anterior cervical procedure reported a significant increase in post
operative dysphagia (pre-op: 0.13+/-0.35, post-op: 1.08+/-1.41, p=0.01),
odynophagia (pre-op: 0.24+/-0.69, post-op: 0.84+/-0.90, p=0.001), and voice (pre
op: 0.10+/-0.41, post-op: 0.88+/-0.92, p=0.0004) disturbance. In contrast,
subjects with a recent lumbar procedure did not demonstrate a significant
increase in post-operative dysphagia, odynophagia, or voice disturbance
(p>0.05).Criterion validity: Chi-squared contingency testing for independence
between converted NOMS and DOV instrument scores accepted linkage between the two
instruments for dysphagia X2(DF: 12, n=20, Expected: 21.03, Observed: 24.4, p:
0.02) and voice X2(DF: 6, n=20, Expected: 12.60, Observed: 21.28, p: 0.002)
dimensions. Similarly, converted swallow related VAS and DOV odynophagia
instruments demonstrated linkage X2(DF: 9, n=20, Expected: 16.92, Observed:
24.21, p: 0.004).Internal Reliability: Chronbach's alpha coefficient of
reliability was 0.74 between all DOV survey dimensions. Conclusions: The DOV
survey is a valid patient-reported instrument to rapidly and reliably detect post
operative swallow and voice dysfunction.
PMID- 29372140
TI - Enhancing evaluation of cervical spine: Thresholds for normal CT relationships in
the subaxial cervical spine.
AB - Background: Very little normative CT scan data exist defining expected
relationships of vertebral structures in the intact cervical spine. Better
understanding of normal relationships should improve sensitivity of injury
detection, particularly for facet subluxation. The purpose of this paper was to
describe the normal anatomical relationships and most sensitive measurements to
detect abnormal alignment in the subaxial cervical spine. Methods: A group of 30
CT scans with no documented cervical spine injury were utilized from an
established data base in a trauma population. Twenty-two anatomical measurements
were made for each level of the subaxial cervical spine using Microview software.
For the purposes of measurement, the upper confidence limit of normal was
reported as two standard deviations from the mean. Results: The novel, CT based
measurements of bone articulation were generally smaller and had lower confidence
intervals compared to traditional radiographic measurements of midline structures
(such as interspinous distance, interlaminar widening, disc space widening). The
upper limit of normal of facet joint height was reported (1.54mm anterior, 1.27mm
posterior, and 2.0mm midportion) which may help identify distractive-flexion
injuries. The upper limit of normal vertebral translation (2.0mm) was also
reported to identify translation/rotation injuries. Conclusions: Normal CT
measurements for the subaxial cervical spine, especially in the facets, were
found to have small confidence limits and variation. Based upon these findings,
we conclude that facet measurements and translation may be better screening tools
than traditional radiographic criteria based upon midline structures. Using these
measurements may improve detection of cervical spine injuries warranting further
imaging or investigation and reducing missed injuries. Clinical Relevance:
Improved understanding of normal anatomic measures in the subaxial spine will
allow for better screening and identification of injuries. Ethical Statement:
This was approved by the Office of Research Institutional Review Board, Baylor
College of Medicine.
PMID- 29372142
TI - Corrigendum: HmsC Controls Yersinia pestis Biofilm Formation in Response to Redox
Environment.
AB - [This corrects the article on p. 355 in vol. 7, PMID: 28848715.].
PMID- 29372141
TI - Minimally Invasive Excision of Lumbar Tophaceous Gout: Case Report.
AB - Background: Symptomatic spinal gout is relatively rare. Open laminectomy, with or
without fusion, has been so far the standard treatment for symptomatic spinal
gout. We describe here the first case of spinal tophaceus gout treated with
minimally invasive surgery. Methods: A 60-year-old patient, morbidly obese, with
no previous history of gout, presented with neurogenic claudication due to severe
lumbar canal stenosis at L3/4. Surgery was performed through a minimally invasive
approach, using tubular retractors. During surgery, an extradural mass with a
thin capsule and containing white "chalky" partially calcified material, slightly
adherent to and compressing the theca, was removed. Results: There were no intra-
or perioperative complications. Surgery successfully improved the functional
status, with a significant increase in walking distance and no residual leg pain
or neurogenic claudication. Histopathology confirmed the diagnosis of spinal
tophaceous gout. Conclusions/Level of evidence: Although spinal gout is usually
responsive to medical treatment, surgery is often the first line treatment,
particularly in patients with neurological deficits. Would surgery be indicated,
we believe that minimally invasive surgery can be effective in treating
symptomatic spinal tophaceous gout. Level of Evidence: Class IV.
PMID- 29372143
TI - Colposcopy at a turning point.
AB - For women who have abnormal Pap test results, the biopsy under colposcopic
evaluation is the gold standard for determining the treatment modality. To
increase the effectiveness of colposcopic communication, the International
Federation for Cervical Pathology and Colposcopy (IFCPC) recently published
fourth new nomenclature, aiming to create an evidence-based terminology. To
increase the diagnostic accuracy of colposcopy, multiple biopsies of two or more
sites are recommended. Recently, with the introduction of human papillomavirus
(HPV) vaccine for cervical cancer prevention, pre-cancerous diseases caused by
virus types 16 and 18 have been reduced, which is expected to reduce the number
of colposcopic examinations and make colposcopic diagnosis more difficult.
PMID- 29372144
TI - Antenatal corticosteroids and outcomes of preterm small-for-gestational-age
neonates in a single medical center.
AB - Objective: This study investigated the effect of an antenatal corticosteroid
(ACS) in preterm small-for-gestational-age (SGA) neonate. Methods: This study was
a retrospective cohort study. We compared women who received ACS with unexposed
controls and evaluated neonatal complications among those having a singleton SGA
neonate born between 29 and 34 complete gestational weeks. The neonates born
after 32 weeks of gestation were divided into subgroups. Multivariable logistic
regression analysis was performed. Results: A total 82 of the preterm infants met
inclusion criteria; 57 (69.5%) were born after 32 weeks of gestation. There were
no significant differences in terms of mechanical ventilation, seizure,
intracranial hemorrhage, retinopathy of prematurity, necrotizing enterocolitis,
feeding difficulty, and neonatal mortality between infants whose mothers received
ACS ant those whose mothers did not (all P>0.05). However, newborns whose mothers
received ACS exhibited a significantly increased risk of developing respiratory
distress syndrome (RDS) (adjusted odds ratio [aOR], 3.271; 95% confidence
interval [CI], 1.038-10.305; P=0.043). In case of neonates born beyond 32 weeks
of gestation, the risk of neonatal hypoglycemia was significantly higher in women
receiving ACS after controlling for confounding factors (aOR, 5.832; 95% CI,
1.096-31.031; P=0.039). Conclusion: ACS did not improve neonatal morbidities, in
SGA neonates delivered between 29 and 34 gestational weeks. Rather, ACS could
increase the risk of RDS. In cases of SGA neonate delivered between 32 and 34
complete gestational weeks, the risk of hypoglycemia was significantly increased.
The use of ACS in women with preterm SGA infants needs to be evaluated further,
especially after 32 weeks' gestation.
PMID- 29372145
TI - Effects of corticotropin-releasing hormone on the expression of adenosine
triphosphate-sensitive potassium channels (Kir6.1/SUR2B) in human term pregnant
myometrium.
AB - Objective: Corticotropin-releasing hormone (CRH) is a crucial regulator of human
pregnancy and parturition. Adenosine triphosphate (ATP)-sensitive potassium
(KATP) channels are important for regulating myometrial quiescence during
pregnancy. We investigated regulatory effects of different concentrations of CRH
on KATP channel expression in human myometrial smooth muscle cells (HSMCs) in in
vitro conditions. Methods: After treating HSMCs with different concentrations of
CRH (1, 10, 102, 103, 104 pmol/L), mRNA and protein expression of KATP channel
subunits (Kir6.1 and SUR2B) was analyzed by reverse transcription-polymerase
chain reaction and western blot. We investigated which CRH receptor was involved
in the reaction and measured the effects of CRH on intracellular Ca2+
concentration when oxytocin was administered in HSMCs using Fluo-8 AM ester.
Results: When HSMCs were treated with low (1 pmol/L) and high (103, 104 pmol/L)
CRH concentrations, KATP channel expression significantly increased and
decreased, respectively. SUR2B mRNA expression at low and high CRH concentrations
was significantly antagonized by antalarmin (CRH receptor-1 antagonist) and
astressin 2b (CRH receptor-2 antagonist), respectively; however, Kir6.1 mRNA
expression was not affected. After oxytocin treatment, the intracellular Ca2+
concentration in CRH-treated HSMCs was significantly lowered in low concentration
of CRH (1 pmol/L), but not in high concentration of CRH (103 pmol/L), compared to
control. Conclusion: Our data demonstrated the regulatory effect was different
when HSMCs were treated with low (early pregnancy-like) and high (labor-like) CRH
concentrations and the KATP channel expression showed significant increase and
decrease. This could cause inhibition and activation, respectively, of uterine
muscle contraction, demonstrating opposite dual actions of CRH.
PMID- 29372146
TI - History-indicated cerclage: the association between previous preterm history and
cerclage outcome.
AB - Objective: Our hospital's policy is to perform history-indicated cerclage (HIC)
for pregnant patients with 1 or more second-trimester pregnancy losses. Recently,
the American College of Obstetricians and Gynecologists (ACOG) guideline
regarding indications for HIC was changed from 3 or more previous second
trimester fetal losses to one or more. In this study, we aimed to evaluate the
efficacy of the revised guideline and to investigate the association between
previous preterm history and cerclage outcome. Methods: We conducted a
retrospective observational study of cases of HIC in singleton pregnancies
performed at our hospital between January 2007 and June 2016. We compared the
perioperative complications and incidences of preterm delivery in patients with
one previous second-trimester pregnancy loss against those in patients with >=2
losses. Results: The incidence of preterm delivery (<32 weeks) was significantly
lower in patients with one previous second-trimester pregnancy loss than in those
with >=2 losses (15/194 [8%] vs. 28/205 [14%]). In the 1 loss and >=2 losses
groups, the rates of preterm premature rupture of membranes (PPROM) were 7% and
8%, the rates of PPROM at <32 weeks 2.1% and 3.4%, and the ratios of neonatal
intensive care unit admission 10% and 17%, respectively. Conclusion: Comparison
of HIC in one previous second-trimester pregnancy loss group with HIC in the 2 or
more previous second-trimester pregnancy loss group found no difference in
pregnancy outcome. This finding supports the amended ACOG guideline for HIC
indications. Based on our results, we also propose development of a new protocol
for HIC-related complications.
PMID- 29372147
TI - Evaluation of nausea and vomiting in pregnancy using the Pregnancy-Unique
Quantification of Emesis and Nausea scale in Korea.
AB - Objective: Severity of nausea and vomiting of pregnancy (NVP) is associated with
adverse pregnancy outcomes and poorer quality of life (QOL). The aim of this
study was to evaluate the severity of NVP and maternal well-being status using
the Pregnancy-Unique Quantification of Emesis and Nausea (PUQE) scale in a Korean
population. Methods: A total of 527 pregnant women who were receiving prenatal
care at 4 hospitals were asked to participate in the study between January 2015
and June 2015. The severity of NVP was evaluated by the PUQE scale and maternal
well-being status was evaluated using the visual analogue scale (VAS).
Statistical analyses were performed to determine the risk factors associated with
NVP and the associations between the severity of NVP and QOL. Results: Among the
472 eligible pregnant women, 381 (80.7%) were suffering from NVP during
pregnancy. No significant differences (P>0.05) were observed in any of the
variables between the 2 study groups, with the exception of smoking, alcohol
consumption, and history of NVP. NVP history was found to be the most powerful
risk factor (adjusted odds ratio, 11.6; 95% confidence interval, 4.7-28.7). The
correlation coefficient (r) between the VAS scores of maternal well-being status
and PUQE severity was -0.25 (r2=0.062; P<0.001). Conclusion: In this study, an
explicit decline in maternal well-being status was observed according to severity
of NVP. The PUQE scale may be of help to clinicians, healthcare providers, and
researchers because of its simplicity and usefulness as a tool for NVP
evaluation.
PMID- 29372148
TI - Prevalence of vaginal microorganisms among pregnant women according to trimester
and association with preterm birth.
AB - Objective: The aim of this study was to investigate the prevalence of abnormal
vaginal microorganisms in pregnant women according to trimester, and to determine
whether the presence of abnormal vaginal colonization is associated with higher
risk of miscarriage or preterm delivery. Furthermore, we analyzed delivery
outcomes according to individual microorganism species. Methods: We included
pregnant women who underwent vaginal culture during routine prenatal check-up
between January 2011 and June 2016. We compared delivery outcomes according to
the presence or absence of abnormal vaginal flora grouped by trimester. Results:
This study included 593 singleton pregnancies. We classified participants into 3
groups, according to the trimester in which vaginal culture was performed; 1st
trimester (n=221), 2nd trimester (n=138), and 3rd trimester (n=234). Abnormal
vaginal colonization rate significantly decreased with advancing trimester of
pregnancy (21.7% for 1st, 21.0% for 2nd, 14.5% for 3rd; P=0.048). Abnormal
vaginal colonization detected in the 2nd trimester but not in 1st trimester was
associated with a significant increase in preterm delivery before 28 weeks of
gestation (6.9% vs. 0%; P=0.006). Among abnormal vaginal flora isolated in the
2nd trimester, the presence of Klebsiella pneumonia was identified as significant
microorganism associated with preterm delivery before 28 weeks of gestation (50%
vs. 0.7% for K. pneumonia; P=0.029). Conclusion: There is an association between
abnormal vaginal colonization detected in the 2nd trimester and preterm delivery
before 28 weeks. K. pneumonia has been identified as the likely causative
microorganisms.
PMID- 29372149
TI - Decision-to-delivery interval in emergency cesarean delivery in tertiary care
hospital in Thailand.
AB - Objective: To determine the decision-to-delivery interval (DDI) in emergency
cesarean delivery and associated factors. Methods: A total of 431 pregnant women
who were indicated for emergency cesarean delivery were included. Clinical
information and timing of process after decision until delivery and pregnancy
outcomes were evaluated. Results: Mean age was 30 years, and 59.4% were
nulliparous. Mean gestational age at delivery was 37.9 weeks. The decision was
made during normal office hour in 33.2%. Median decision-to-operating room
interval, decision-to-incision interval, and DDIs were 45, 70, and 82 minutes,
respectively. Only 3.5% of patients had DDI <=30 minutes, while 52.0% had DDI >75
minutes. During after office hours, every time interval was significantly shorter
and 4.9% had DDI <=30 minutes compared to 0.7% in normal office hours (P=0.001).
Compared to other indications, time intervals were significantly shorter in those
with non-reassuring fetal heart rate (FHR), and DDI <=30 minutes was achieved in
18.8% vs. 0.8% (P<0.001). Shortest DDI was observed among those with non
reassuring FHR during after office hours. Neonatal outcomes were comparable
between different DDIs. Conclusion: Only 3.5% of emergency cesarean delivery had
a DDI <=30 minutes (median 82 minutes). Significant shorter time intervals were
observed in those with non-reassuring FHR during after office hours.
PMID- 29372150
TI - Total and ionized serum magnesium and calcium levels during magnesium sulfate
administration for preterm labor.
AB - Objective: This study aimed to estimate the association between total and ionized
magnesium, and the changes in serum magnesium and calcium levels in patients with
preterm labor during magnesium sulfate (MgSO4) administration. Methods: The study
population included 64 women who were candidates for intravenous MgSO4 treatment
for preterm labor. Serial blood samples were taken and measured total magnesium
(T-Mg), ionized magnesium (I-Mg), total calcium (T-Ca), and ionized calcium (I
Ca) levels every one-week interval (1st, 2nd, 3rd). Results: There was no
significant difference in T-Mg and I-Mg levels during MgSO4 administration
(P>0.05). There was no significant difference in T-Ca and I-Ca levels during
MgSO4 administration (P>0.05). Compared before and after administration of MgSO4,
T-Mg and I-Mg levels and T-Ca levels were changed allow statistically significant
(P<0.05). But, there was no significant difference in the I-Ca serum levels
before and after MgSO4 administration (P=0.495). The I-Mg levels for patients
with adverse effect were higher than other group but did not reach statistical
significance (P>0.05). There was significant correlation between levels of I-Mg
and T-Mg (I-Mg=0.395*T-Mg+0.144, P<0.01). Conclusion: There were no significant
differences in serum Mg and Ca levels during MgSO4 administration for preterm
labor. Compared to the before and after administration of MgSO4, only I-Ca levels
were not substantially changed. There are significant correlations between I-Mg
and T-Mg levels during administration of MgSO4 and I-Mg level seemed to have more
correlation with adverse effect than T-Mg.
PMID- 29372151
TI - Efficacy and side effect of ritodrine and magnesium sulfate in threatened preterm
labor.
AB - Objective: In terms of efficacy, several previous studies have shown that the
success rate in inhibiting preterm labor was not different between magnesium
sulfate and ritodrine. However, there is a paucity of information regarding the
efficacy of both medications after consideration of intra-amniotic infection,
which is one of the most important prognostic factors in patients of threatened
preterm birth. The objective of this study was to compare the efficacy and safety
of magnesium sulfate with that of ritodrine in preterm labor. Methods: In this
retrospective cohort study, we included patients who were admitted and treated
with either ritodrine or magnesium sulfate with the diagnosis of preterm labor at
24-33.6 weeks of gestational age between January 2005 to April 2015. Patients
were divided into 2 groups according to the first-used tocolytics (ritodrine
group and magnesium sulfate group). We compared the efficacy and prevalence of
side effect in each group. The efficacy of both tocolytics was evaluated in terms
of preterm delivery within 48 hours, 7 days, or 37 weeks of gestation and need
for 2nd line therapy. Results: A total number of 201 patients were enrolled
including 177 cases in ritodrine group and 24 cases in magnesium sulfate group.
The efficacy of both tocolytics (preterm delivery within 48 hours, 7 days, or 37
weeks of gestation and need for 2nd line therapy) was not different between the 2
groups of cases. In multivariate analysis, gestational age at treatment, twin
gestation, intra-amniotic infection and maternal C-reactive protein (CRP) was
associated with treatment failure (preterm delivery within 48 hours), but the
type of tocolytics was not significantly associated with treatment failure. The
type of side effect was different in the 2 groups, but the frequency of total
adverse effect, need for discontinuation of therapy because of maternal adverse
effect, and severe adverse effect were not different between the two groups of
cases. Conclusion: The efficacy and safety of magnesium sulfate was similar to
ritodrine, and can be a substitute tocolytics. Additionally, failure of tocolytic
therapy was determined by gestational age at treatment, twin gestation, intra
amniotic infection, and maternal CRP, not by the type of tocolytics.
PMID- 29372152
TI - Effects of estradiol on HIF-1alpha expression and trophoblast differentiation in
first trimester villous explant cultures.
AB - Objective: The purpose of this study was to investigate the effects of estradiol
on the expression of hypoxia-inducible factor (HIF)-1alpha and the
differentiation of trophoblasts in human first trimester villous explant
cultures. Methods: Villous explant cultures were established from first trimester
human placentas (6-8 weeks of gestation, n=3). Normal villous tissues were
explanted on Matrigel and incubated under 3% O2 tension for 5 days. To evaluate
the effects of estradiol on the villous explant cultures, 1 ng/mL of estradiol
was added to the culture medium. The morphological integrities and viabilities of
the villous explants were monitored. Immunohistochemistry for alpha5 and alpha1
integrin was performed to assess differentiation of extravillous trophoblasts
(EVTs). Expression of HIF-1alpha in villous explant cultures was evaluated by
western blotting and densitometry. Results: EVTs emerging from first trimester
villous explant cultures formed outgrowths of cells from the distal ends and
invaded the surrounding Matrigel. Exposure of villous explants to estradiol
resulted in the decreased outgrowth of cells from the distal end and decreased
expression of alpha5 integrin. However, estradiol treatment increased the
invasion of villous explants into the surrounding Matrigel, concomitant with the
increased expression of alpha1 integrin, indicating differentiation of EVTs into
more invasive EVTs. On western blots, the expression of HIF-1alpha decreased
significantly after treatment with estradiol under 3% O2 tension. Conclusion: Our
findings suggest that estradiol may downregulate expression of HIF-1alpha in
placenta, which in turn promote trophoblast differentiation into invasive
phenotype.
PMID- 29372153
TI - Cosmetic outcomes of cesarean section scar; subcuticular suture versus
intradermal buried suture.
AB - Objective: The objective of the study was to compare cosmetic outcomes and
overall satisfaction rate of cesarean section scar between conventional
subcuticular suture and intradermal buried vertical mattress. Methods: Patients
were enrolled to the study by chart review. A scar assessment was obtained
retrospectively through a telephone survey. The patient component of the patient
and observer scar assessment scale (POSAS) was utilized along with the overall
satisfaction of the patient regarding their cesarean section scar and their
willingness to choose the same skin closure technique when anticipating their
next cesarean section. Results: A total of 303 cases of cesarean section was
recruited, 102 finished telephone surveys were calculated for the analyses.
Subcuticular suture was regarded as control group (n=52) and intradermal buried
suture as test group (n=50). The PSAS score of the test group (mean, 21.8) was
lower than that of the control group (mean, 28), with a statistical significance
(P=0.02). Overall satisfaction rate did not differ between the two groups. Two
parameters of the PSAS score and the level of overall satisfaction showed
significant correlation (Pearson's r, -0.63; P<0.01). Conclusion: We suggested
the use of intradermal buried vertical mattress as a cosmetically superior skin
closure method for application in cesarean sections over subcuticular stitch.
PMID- 29372154
TI - Factors associated with parametrial involvement in patients with stage IB1
cervical cancer: Who is suitable for less radical surgery?
AB - Objective: To detect the possible clinicopathologic factors associated with
parametrial involvement in patients with stage IB1 cervical cancer and to
identify a cohort of patients who may benefit from less radical surgery. Methods:
We retrospectively reviewed 120 patients who underwent radical hysterectomy and
pelvic lymphadenectomy as treatment for stage IB1 cervical cancer. Results:
Overall, 18 (15.0%) patients had parametrial tumor involvement. Tumor size larger
than 2 cm, invasion depth greater than 1 cm, presence of lymphovascular space
involvement (LVSI), corpus involvement, and positive lymph nodes were
statistically associated with parametrial involvement. Multivariate analysis for
other factors showed invasion depth >1 cm (P=0.029), and corpus involvement
(P=0.022) were significantly associated with parametrial involvement. A subgroup
with tumor size smaller than 2 cm showed no parametrial involvement, regardless
of invasion depth or presence of LVSI. Conclusion: Tumor size smaller than 2 cm
showed no parametrial involvement, regardless of invasion depth or presence of
LVSI. Invasion depth >1 cm and corpus involvement were significantly associated
with parametrial involvement in multivariate analysis. These finding may suggest
that tumor size may a strong predictor of parametrial involvement in
International Federation of Gynecology and Obstetrics stage IB1 cervical cancer,
which can be used to select a subgroup population for less radical surgery.
PMID- 29372155
TI - Pre-implantation genetic diagnosis and pre-implantation genetic screening: two
years experience at a single center.
AB - Objective: Indications for preimplantation genetic diagnosis
(PGD)/preimplantation genetic screening (PGS) cycles and clinical outcomes were
evaluated at CHA Gangnam Medical Center. Methods: This is retrospective cohort
study. All patients (n=336) who went through in vitro fertilization (IVF)-PGD/PGS
cycles (n=486) between January 2014 and December 2015 were included in Fertility
Center of CHA Gangnam Medical Center. Patients underwent IVF-PGD/PGS with 24
chromosome screening. Patients with euploid embryos had transfer of one or 2
embryos in a fresh cycle with any subsequent frozen embryo transfer (ET) cycle.
Compared implantation, clinical pregnancy, ongoing pregnancy, and early abortion
rates were the main outcome measures. Results: The most common indication for
PGD/PGS was recurrent spontaneous abortion (n=160). The chromosome rearrangement
cases (n=116) included 24 Robertsonian translocations, 60 reciprocal
translocations, 3 inversions, 2 deletions, 4 additions, and 23 mosaicisms. PGS
cases rather than the PGD cases showed higher implantation rates (26.4% vs.
20.3%), ongoing pregnancy rates (19.5% vs. 16.4%), and clinical pregnancy rates
(28.6% vs. 23.3%). Implantation rates (30.3% vs. 23.7%), clinical pregnancy rates
(39.2% vs. 25.2%), and ongoing pregnancy rates (25.7% vs. 17.5%) were significant
higher in the blastocyst evaluation group than cleavage stage evaluation group.
Conclusion: This was the largest study of PGD/PGS for 2 years at a single center
in Korea. The pregnancy outcomes of PGD cases are slightly lower than PGS cases.
It was confirmed again that success rate of PGD/PGS is higher if biopsy was done
at blastocyst than cleavage stage.
PMID- 29372156
TI - Efficacy of luteal estrogen administration and an early follicular Gonadotropin
releasing hormone antagonist priming protocol in poor responders undergoing in
vitro fertilization.
AB - Objectives: We investigated whether luteal estrogen administration and an early
follicular Gonadotropin-releasing hormone antagonist (E/G-ant) priming protocol
improves clinical outcomes in poor responders to controlled ovarian stimulation
for in vitro fertilization (IVF)-embryo transfer, and identified underlying
mechanisms. Methods: This restrospective study consisted of 65 poor responders
who underwent the E/G-ant priming protocol. Sixty-four other poor responders
undergoing conventional protocols without pretreatment were included as the
control group. Clinical outcomes were compared between 2 groups. Results: The E/G
ant priming protocol group exhibited improvements over the control group in terms
of the number of retrieved oocytes (3.58+/-2.24 vs. 1.70+/-1.45; P=0.000), mature
oocytes (2.68+/-2.11 vs. 1.65+/-1.23; P=0.000), fertilized oocytes (2.25+/-1.74
vs. 1.32+/-1.26; P=0.001), good embryos (1.62+/-0.91 vs. 1.14+/-0.90, P=0.021).
Day 3 follicle-stimulating hormone (FSH; 8.40+/-4.84 vs. 16.39+/-13.56; P=0.000)
and pre-ovulation progesterone levels (0.67 vs. 1.28 ng/mL; P=0.016) were
significantly higher in the control group than in the E/G-ant priming group. The
overall rate of positive human chorionic gonadotropin tests was higher in the E/G
ant priming group than in the control group (32.3% vs.16.1%; P=0.039). Also,
clinical pregnancy rate (26.2% vs. 12.5%; P=0.048) and the rate of live births
(23.1% vs. 7.1%; P=0.023) were significantly higher in the E/G-ant priming group
than in the control group. Conclusion: The E/G-ant priming protocol would lead to
promising results in poor responders to IVF by suppressing endogenous FSH and by
preventing premature luteinization.
PMID- 29372158
TI - Acculturation and body mass index among marriage-based immigrant Vietnamese women
in Korea.
AB - Objective: This study aimed to analyze the association of socioeconomic factors,
acculturation, and body mass index (BMI) as the first large prospective cohort
study to determine the state of health of Vietnamese-born migrant women residing
in Korea. Methods: Participants were Vietnamese marriage-based immigrant women
living in Korea. Data (n=1,066) was collected during both periods of baseline
(2006-2011) and follow-up (2012-2014) in 34 cities in Korea. Results: The results
show that acculturation stress is relatively low among participants. Current BMI
showed a significant difference according to the current age, monthly family
income, and psychophysical stress. Depending on age, education level, monthly
family income, we identified a significant difference in the annual BMI change.
In correlation analysis, current BMI was significantly associated with age at
arrival, reading and writing in Korean language adaptation, and psychophysical
stress. Annual BMI change was significantly associated with age at arrival and
years since immigration. Conclusion: Our analysis revealed that acculturation
measured by Acculturative Stress Scale for International Students had no
association with current BMI or annual BMI change, but had an association with
several socioeconomic statuses. This study had the advantage that subjects had a
homogenous background of marriage-based immigrant women, so we could see the
association of BMI and acculturation, without considering cofounding factors.
PMID- 29372157
TI - Clinical experience of long-term use of dienogest after surgery for ovarian
endometrioma.
AB - Objective: Endometriosis is a common and recurring gynecologic disease which have
afflicting females of reproductive age. We investigated the efficacy of long
term, post-operative use of dienogest for ovarian endometrioma. Methods: We
studied 203 patients who had undergone laparoscopic or robotic surgery for
ovarian endometrioma, and were administrated dienogest 2 mg/day beginning in July
of 2013, and continuing. We evaluated side effects of dienogest and
ultrasonography was performed every 6 months to detect potential recurrence of
endometrioma (2 cm) in these post-surgical patients. Results: The follow-up
observation periods were 30.2+/-20.9 months from surgery. The mean age was 34.1+/
7.2 years old. The mean diameter of pre-operative endometrioma was 5.6+/-3.0 cm2.
One hundred eighty-two (89.7%) women received dienogest continuously for 12.0+/
7.1 months. Of the subjects, 21 (10.3%) patients discontinued dienogest at 2.4+/
1.0 months. The most common side effect when dienogest was discontinued was
abnormal uterine bleeding. The occurrence rate of vaginal bleeding was 15.8%, a
number which did not differ significantly in patients with/without post-operative
gonadotropin releasing hormone agonist administration. The other side effects
were gastrointestinal trouble including constipation, acne, headache, depression,
hot flush, weight gain, and edema. However, no serious adverse events or side
effects were documented and recurrent endometriomas were diagnosed in 3 patients
(1.5%). Conclusion: The data indicates that dienogest was both tolerable and safe
for long-term use as prophylaxis in an effort to obviate the recurrence of
ovarian endometrioma post-operatively, as well as potential need for surgical re
intervention.
PMID- 29372159
TI - The expression of Mullerian inhibiting substance/anti-Mullerian hormone type II
receptor in myoma and adenomyosis.
AB - Objective: We compared the expression levels of Mullerian inhibiting substance
(MIS)/anti-Mullerian hormone type II receptor (AMHRII) in uterine myoma and
adenomyosis to evaluate the possibility of using MIS/anti-Mullerian hormone (AMH)
as a biological regulator or therapeutic agent in patients with uterine leiomyoma
and adenomyosis. Methods: We studied normal uterine myometrium, leiomyoma,
endometrial tissue, and adenomyosis from 57 patients who underwent hysterectomy
for uterine leiomyoma (22 cases) or adenomyosis (28 cases) and myomectomy for
uterine myoma (7 cases). Immunohistochemical staining was used to confirm the
MIS/AMHRII protein expression level in each tissue. Reverse transcription
polymerase chain reaction was performed to quantify MIS/AMHRII mRNA expression.
Results: The MIS/AMHRII protein was more strongly expressed in uterine myoma
(frequency of MIS/AMHRII expressing cells: 51.95%+/-13.96%) and adenomyosis
(64.65%+/-4.85%) tissues than that in the normal uterine myometrium (3.15%+/
1.69%) and endometrium (31.10%+/-7.19%). In the quantitative analysis of
MIS/AMHRII mRNA expression, MIS/AMHRII mRNA expression levels in uterine myoma
(mean density: 4.51+/-0.26) and adenomyosis (6.84+/-0.20) tissues were higher
than that in normal uterine myometrial tissue (0.08+/-0.09) and endometrial
tissue (1.63+/-0.06). Conclusion: This study demonstrated that MIS/AMHRII was
highly and strongly expressed on uterine myoma and adenomyosis. Our data suggest
that MIS/AMH may be evaluated as a biological modulator or therapeutic agent on
MIS/AMHRII expressing uterine myoma and adenomyosis.
PMID- 29372160
TI - Is robot-assisted laparoscopic myomectomy limited in multiple myomas?: a
feasibility for ten or more myomas.
AB - Objective: To evaluate the feasibility of robot-assisted laparoscopic myomectomy
in multiple myomas over 10. Methods: A retrospective study was conducted for 662
patients who underwent robot-assisted laparoscopic myomectomy and open myomectomy
by a single operator in a tertiary university hospital. Results: A total of 30
women underwent removal of 10 or more uterine myomas by robotics and 13 patients
were selected for this study. The average number of myomas removed was 13.7
(range 10-20). The maximum diameter of the myomas was 6.8 cm (range 5.0-10.0 cm).
The sum of the diameters of each myoma was 34.7 cm (range 20.0-54.5 cm) and the
mass of resected myomas for each case was 229.1 g (range 106.8-437.9 g). In no
case was the robotic procedure converted into conventional laparoscopy or
laparotomy, and all patients recovered without any major complications. In
comparison with 13 cases of open myomectomy during the same period, robotic
surgery took longer time than open surgery (360.5 vs. 183.8 minutes; P=0.001) but
had shorter postoperative hospital days after surgery (mean 2.5 vs. 3.5 days;
P=0.003). Conclusion: Robot-assisted laparoscopic myomectomy could be an
alternative to laparotomic myomectomy for numerous myomas over 10 in number.
PMID- 29372161
TI - Menstrual characteristics in Korean women with endometriosis: a pilot study.
AB - Objective: This study aimed to determine whether the menstrual characteristics
are different in Korean women with or without ovarian endometrioma. Methods: We
selected 95 premenopausal women aged below 39 years who underwent laparoscopic
surgery for ovarian endometrioma (n=46) or other benign ovarian tumors (n=49)
between April 2016 and February 2017. We excluded those with uterine diseases
that could potentially affect the menstrual characteristics and those on
anticoagulants or hormonal medication. At admission, menstrual characteristics
such as cycle length, cycle regularity, and menstrual duration, were collected.
In addition, amount of menstrual bleeding and severity of dysmenorrhea were
recorded using a pictorial blood loss assessment chart (PBAC) and visual analogue
scale, respectively. Results: Age and parity were similar in both women with
ovarian endometrioma and women with other benign ovarian tumors. Body mass index
(BMI) was significantly lower (median, 20.9 vs. 22.1 kg/m2; P=0.031) in women
with ovarian endometrioma. The amount of menstrual bleeding (median PBAC score,
183 vs. 165), menstrual duration (median, 6 vs. 6 days), and cycle length in
women with regular cycle (median, 29.0 vs. 29.2 days) were not different between
the 2 groups. Pain score was significantly higher (median, 4 vs. 3; P=0.005) in
women with ovarian endometrioma. Conclusion: We found that the menstrual
characteristics between women with ovarian endometrioma and women with other
benign ovarian tumors were similar. We also observed that low BMI may be one of
the risk factor for endometriosis.
PMID- 29372162
TI - Ultrasound-guided local injection of methotrexate and systemic intramuscular
methotrexate in the treatment of cesarean scar pregnancy.
AB - Objective: To assess the efficacy and safety of ultrasound-guided
intragestational injection of methotrexate (MTX) and systemic intramuscular MTX
in the management of cesarean scar pregnancies. Methods: This was a retrospective
case-control study that included women diagnosed with cesarean scar pregnancy at
CHA Bundang Medical Center unit between 2009 and 2015. The 26 cases were managed
with local injection of MTX under ultrasound guidance and 15 cases were treated
with systemic intramuscular of MTX. After the procedure, serial follow-up
sonographic examination and serum beta-human chorionic gonadotropin (beta-hCG)
measurement were performed. Results: The mean initial beta-hCG level was
20,610.73 mIU/mL and ranged from 263.00-71,316.50 mIU/mL. Mean gestational age
was 6.3 weeks and ranged from 4.8 to 8.5 weeks. The majority of ectopic cases
were treated successfully and follow-up beta-hCG level declined abruptly
following the first dose of MTX. The rate of success of local MTX treatment was
significantly higher than that of systemic MTX treatment. It was 93.75% vs.
73.33%, respectively (P<0.05). Conclusion: Ultrasound-guided intragestational
injection of MTX is an effective method for the management of cesarean scar
pregnancies and is associated with minimal side effects and high treatment
success.
PMID- 29372163
TI - A secondary abdominal pregnancy with unusual placental implantation in the
fallopian tube: a diagnostic challenge.
AB - We reported a case of secondary abdominal pregnancy with placental implantation
into the fallopian tube, diagnosed at 16 weeks, in a woman admitted to the
emergency room complaining of syncopal attacks. The best approach would be
termination of the pregnancy, taking into consideration the high risk to the
mother and the low possibility of alive and healthy birth. We had to perform an
urgent surgical intervention due to the fact that the patient was in a clinically
unstable condition, which was related to hemoperitoneum. If placental
implantation is on abdominal organs or vessel the best approach would be to
ligate the cord and to leave placenta in situ. Taking into consideration the
place of placental implant, the removal of the fallopian tube with the placenta
was the safest approach in this case. The best and most acceptable form of
treatment would be individualized in case of rare form of ectopic pregnancy.
PMID- 29372164
TI - Prenatal diagnosis of isolated coronary arteriovenous fistula.
AB - Coronary arteriovenous fistula (CAVF) is a rare condition defined as an anomalous
termination of the coronary arteries. The etiology of CAVF is either congenital
or acquired, and iatrogenic CAVF is most commonly caused by cardiovascular
surgery or percutaneous intervention. Most of the prenatally diagnosed CAVFs were
related to complex heart disease, and only few cases of an isolated CAVF have
been reported to date. We successfully diagnosed an isolated CAVF by fetal
echocardiography at 25.3 weeks of gestation. Accurate prenatal diagnosis resulted
in the prompt decision for postnatal surgical correction, and the neonate thrived
well without any complications.
PMID- 29372165
TI - Gastric type mucinous endocervical adenocarcinoma of the uterine cervix: very
rare and interesting case.
AB - Gastric type mucinous endocervical adenocarcinomas of the uterine cervix (GAC)
are a newly classified mucinous subtype with morphologically in 2014, WHO. They
have a much more aggressiveness and show unusual metastatic patterns compared to
usual type endocervical adenocarcinoma. They tend to present at higher stage and
even in stage I, they have worse survival. Therefore, differential diagnosis of
GAC from the usual type of endocervical adenocarcinoma is very important because
they are related to a significant risk of recurrence and decreased 5-year disease
specific survival. Besides, GACs are mostly not associated with human
papillomavirus (HPV) infection and p16 immunohistochemistry is also typically
negative in GAC that is HPV-unassociated tumor. We report a very rare and
interesting case of stage IB1 GAC with negative HPV DNA and p16.
PMID- 29372166
TI - Benign multicystic peritoneal mesothelioma treated with laparoendoscopic single
site surgery: a case report and review of the literature.
AB - Benign multicystic peritoneal mesothelioma (BMPM) is rare and difficult to
diagnose before surgery. We report a case of BMPM incidentally discovered during
laparoendoscopic single site surgery (LESS) for treatment of a pelvic mass. A 47
year-old Korean menopaused woman presented to our outpatient clinic with a pelvic
mass. She had a history of right ovary cystectomy with adhesiolysis at a local
hospital in 2010. Imaging study of the pelvis revealed a multilocular cystic
mass. LESS was performed and multiple grapelike clusters of cysts were seen in
the uterus, cul-de-sac, both adnexa, pelvic wall, and omentum. The appendix was
grossly free. Frozen biopsy confirmed BMPM. Excision of multiple cyst clusters of
cysts, resection of the uterus with both adnexa, appendectomy, omentectomy, and
adhesiolysis were performed with LESS. She is well for 2 years with no
recurrence. BMPM should be included in the differential diagnosis when small
multichamber cystic mass is found on ultrasonography.
PMID- 29372168
TI - Comparison of 3 Knee-Specific Quality-of-Life Instruments for Patients With
Meniscal Tears.
AB - Background: Meniscal tears are a common cause of knee pain and disability. The
objective measurement of the health-related quality of life of patients with
meniscal tears plays a key role in clinical evaluation and therapeutic decision
making. Several evaluation tools have been used to measure the effects of
meniscal tears on knee function and quality of life. However, most of these tools
are nonspecific for meniscal pathology. Purpose/Hypothesis: The purpose of the
present study was to compare the capability of 3 commonly used knee assessment
tools to measure the impact of meniscal tears on knee function and quality of
life: the Western Ontario and McMaster Universities Osteoarthritis Index (WOMAC),
the Knee injury and Osteoarthritis Outcome Score (KOOS), and the Western Ontario
Meniscal Evaluation Tool (WOMET). Our null hypothesis was that no difference
would exist among the 3 assessment tools. Study Design: Cohort study (diagnosis);
Level of evidence, 2. Methods: A total of 207 consecutive patients (mean +/- SD:
age, 52.6 +/- 14.3 years) with arthroscopically confirmed meniscal tear were
included. Preoperatively, 3 knee function and quality-of-life scores were
obtained: KOOS, WOMAC, and WOMET. The relative outcome scores of the
questionnaires were compared postoperatively. Results: The sum scores (relative
scores) were as follows: 234.2 +/- 92.5 (55.7%) for the KOOS, 132.6 +/- 54.3
(55.5%) for the WOMAC, and 113 +/- 30.8 (71%) for the WOMET. The relative score
results for the WOMET were significantly higher than those for the WOMAC and the
KOOS (both P < .01), while no significant difference was found between the WOMAC
and the KOOS (P = .735). Conclusion: A greater impact on health-related quality
of life for patients with meniscal tears can be measured with the WOMET when
compared with the WOMAC and the KOOS. Therefore, using the WOMET can be
recommended for the evaluation of knee function and quality-of-life impairment of
patients with meniscal tears.
PMID- 29372167
TI - A Biomechanical Assessment of Biceps Femoris Repair Techniques.
AB - Background: Knee injuries encountered in clinical practice can involve avulsions
of the biceps femoris from the fibula and proximal tibia. Advances in tendon
repair methods now allow for repairs with increased surface areas using modern
suture anchor techniques. Despite descriptions of repair techniques, there are no
biomechanical studies on the biceps femoris for comparison. Purpose/Hypothesis:
The objective of this controlled laboratory study was to determine the failure
load of the native biceps femoris distal insertion and to evaluate modern repair
techniques. Our hypothesis was 2-fold: (1) Suture repairs to the tibia and fibula
would perform better on tensile testing than repairs to the fibula alone, and (2)
complex bridge repairs, similar to those frequently used in rotator cuff surgery,
would perform better on tensile testing than simple repairs. Study Design:
Controlled laboratory study. Methods: A total of 40 paired, fresh-frozen
cadaveric specimens were dissected, identifying the biceps femoris and its
insertion on the proximal tibia and fibula. The native biceps femoris footprint
was left intact in 8 specimens and tested to failure on a uniaxial materials
testing machine evaluating tensile properties, while in the other 32 specimens,
the biceps femoris insertion was dissected using a No. 15 scalpel blade,
underwent repair, and was then tested to failure on a uniaxial materials testing
machine evaluating tensile properties. Four repair constructs were evaluated,
with 8 specimens allocated for each: construct 1 involved a simple repair (ie,
passing suture through tissue in a running Krackow fashion and tying at the
anchor site) to the fibula with 2 suture anchors, construct 2 involved a simple
repair to the fibula and tibia with 3 suture anchors, construct 3 was a fibular
repair with a tibial suture bridge involving the fibula and tibia and 3 suture
anchors, construct 4 involved a transosseous repair through the fibula and 1
suture anchor on the tibia. Analysis of variance was used to evaluate for
significance of the mean failure load and stiffness between groups. Results: The
mean (+/-95% CI) failure loads were the following: native biceps femoris, 1280 +/
247.0 N; simple fibular repair, 173 +/- 84.6 N; simple fibular and tibial
repair, 176 +/- 48.1 N; fibular repair with tibial suture bridge, 191 +/- 78.5 N;
and transosseous repair, 327 +/- 66.3 N. The mean stiffness values were the
following: native, 46 +/- 13.0 N/mm; simple fibular repair, 16 +/- 5.1 N/mm;
simple fibular and tibial repair, 14 +/- 5.4 N/mm; fibular repair with tibial
suture bridge, 13 +/- 2.8 N/mm; and transosseous repair, 15 +/- 2.5 N/mm.
Interconstruct comparison of failure loads revealed no statistical difference
between constructs utilizing anchors alone. The transosseous repair showed a
significant difference for the failure load when compared with each anchor repair
construct (P = .02, .02, and .04 for constructs 1, 2, and 3, respectively).
Interconstruct comparison of stiffness revealed no statistical difference between
all constructs (P > .86). None of the repair techniques re-created the failure
load or stiffness of the native biceps femoris tendon (P = .02). Conclusion: In
this biomechanical study, no difference was found between the mean failure loads
of different biceps femoris repair constructs involving suture anchors alone and
No. 2 braided polyester and ultra-high-molecular-weight polyethylene suture. A
technique involving transosseous fibular tunnels and 2-mm suture tape illustrated
a greater mean failure load than repairs relying on suture anchors for fixation.
Clinical Relevance: Understanding the tensile performance of biceps femoris
repair constructs aids clinicians with preoperative and intraoperative decisions.
Current biceps femoris repair techniques do not approximate the native strength
of the tendon. A transosseous style of repair offers the highest failure load.
PMID- 29372169
TI - A Comprehensive Evaluation of Factors Affecting Healing, Range of Motion,
Strength, and Patient-Reported Outcomes After Arthroscopic Rotator Cuff Repair.
AB - Background: Rotator cuff repair (RCR) leads to improved patient outcomes, which
may or may not coincide with biological healing of the tendon. Many patient
factors may play a role in subjective and objective patient outcomes of surgery.
Purpose: To evaluate the effect of various patient factors and tendon healing on
range of motion, strength, and functional outcomes after arthroscopic RCR. Study
Design: Case-control study; Level of evidence, 3. Methods: We reviewed patients
who underwent arthroscopic RCR. Postoperative endpoints included physical
examination, repeat magnetic resonance imaging (MRI), and patient-reported
outcome measures. The Short Form-36 (SF-36) was also completed at enrollment.
Physical examination included range of motion and strength testing. Preoperative
tear characteristics and postoperative healing on MRI were recorded. Associations
between these characteristics and rotator cuff healing were determined.
Multivariate models investigated factors affecting healing and final outcomes.
Results: A total of 81 patients had MRI before and a minimum of 1 year after RCR.
Patient-reported outcomes were available for all patients at mean 2.7 years
(range, 1-7.7 years) after RCR. Seventy-five patients had physical examination
data. Patients were less likely to heal if they had tears involving multiple
tendons (P = .037), tears >2.2 cm (P = .037), tears retracted >2.0 cm (P = .006),
and tears with cumulative Goutallier grade >=3 (P = .003). Patients who healed
were stronger on manual muscle testing in forward elevation (P < .001) and
external rotation (P = .005) and on forward elevation isometric testing (P =
.033), and they reported better patient-reported outcomes (P <= .01) at final
follow-up. In multivariate models, tendon healing was associated with less pain
(P = .019) and better patient-reported outcomes (all P <= .006). Lower SF-36
mental component summary (MCS) score was associated with increased pain (P =
.025) and lower final American Shoulder and Elbow Surgeons score (P = .035),
independent of healing status. Conclusion: Larger, more retracted tears with
greater fatty infiltration are less likely to heal per MRI. Patients who do not
heal are weaker and have worse patient-reported outcome measures. Lower SF-36 MCS
score was associated with poorer patient-reported outcomes independent of tendon
healing.
PMID- 29372170
TI - Elbow Dislocation and Subluxation Injuries in the National Collegiate Athletic
Association, 2009-2010 Through 2013-2014.
AB - Background: Examination of the incidence of elbow dislocation and subluxation
injuries in the collegiate athlete population is limited. Purpose: To determine
the incidence of elbow dislocation and subluxation injuries in the National
Collegiate Athletic Association (NCAA) and investigate the risk factors involved.
Study Design: Descriptive epidemiology study. Methods: All elbow subluxation and
dislocation injuries from the 2009-2010 through 2013-2014 academic years in the
NCAA Injury Surveillance Program database were extracted. The incidence was
calculated for different injuries, sports, activity, time in game, competition
status, and injury characteristics. Such differences were compared by use of risk
ratios to determine risk factors for injury. Results: The overall incidence of
elbow instability injuries was 0.04 per 10,000 athlete-exposures (AEs). Elbow
dislocations were more common, with 553 injuries (82.2%, 0.03/10,000 AEs), while
elbow subluxations were the minority, with 119 injuries (17.8%, 0.01/10,000 AEs).
Men's wrestling had the highest incidence of elbow instability (1.08/10,000 AEs),
more than women's gymnastics (0.74), men's football (0.11), and women's
volleyball (0.06). All injuries occurred via a contact mechanism, and 99.2% were
new injuries. Sixty-nine percent of injuries kept athletes sidelined for more
than 2 weeks. Injuries were 3 times more likely to occur in competition
(0.08/10,000 AEs) than practice (0.03/10,000 AEs). Injuries sustained during
competition were 1.4 times more likely to occur early in the match than late.
Conclusion: Elbow instability injuries are an infrequent but serious source of
disability for select NCAA athletes, with a number of associated risk factors.
Athletes sustaining these injuries, along with their coaches and medical
providers, may benefit from these return-to-play data to best manage expectations
and outcomes.
PMID- 29372171
TI - Sleep Facilitates Generalisation of Accent Adaptation to a New Talker.
AB - Lexically-guided phonetic retuning helps listeners adapt to the phonetic
"fingerprint" of a talker. Previous findings show that listeners can generalise
from one accented talker to another accented talker, but only for phonetically
similar talkers. We tested whether sleep-mediated consolidation promotes
generalisation across accented talkers who are not phonetically similar. Native
English participants were trained on a Mandarin-accented talker and tested on
this talker and an untrained Mandarin talker. Experiment 1 showed adaptation for
the trained talker and a weak transfer to the untrained talker. In Experiment 2,
participants were trained and tested either in the morning (Same-Day group) or
evening (Overnight group), and again after twelve hours. Both groups retained
talker-specific learning over the 12-hour delay. Importantly, the Overnight group
showed improvements for the untrained talker, whereas the Same-Day group's
performance on the untrained talker deteriorated. We suggest that sleep
facilitated talker generalisation by helping listeners abstract away from
specific acoustic properties of the trained talker.
PMID- 29372172
TI - Cryptococcal Disease in the Era of "Test and Treat": Is There Cause for Concern?
AB - Treatment of cryptococcosis requires deferred initiation of antiretroviral
therapy (ART). Early ART initiation may be detrimental in the context of
cryptococcal infection by increasing the risk of immune reconstitution
inflammatory syndrome (IRIS). We present 3 cases where early ART initiation in
the presence of unrecognized cryptococcal disease had fatal outcomes.
PMID- 29372173
TI - Acute Transverse Myelitis Caused by Echovirus 11 in a Pediatric Patient: Case
Report and Review of the Current Literature.
AB - A 12-year-old boy presented with acute flaccid weakness of the right upper
extremity and was found to have acute flaccid myelitis with transverse myelitis
involving the cervical cord (C1-T1). An interdisciplinary team-based approach was
undertaken, including input from a generalist, an infectious diseases physician,
and a pediatric neurologist. Consultation was sought from the Minnesota
Department of Health to investigate for a potential etiology and source of the
responsible infection. Evaluation for an infectious etiology demonstrated
infection with human echovirus 11. The patient recovered with some disability.
Echovirus 11 is among the more common etiologies of acute flaccid myelitis and
should be considered in the differential diagnosis of this increasingly
recognized pediatric infection.
PMID- 29372174
TI - PRUNE Syndrome Is a New Neurodevelopmental Disorder: Report and Review.
AB - PRUNE syndrome, or neurodevelopmental disorder with microcephaly, hypotonia, and
variable brain anomalies (OMIM#617481), is a new rare autosomal recessive
neurodevelopmental disease that is caused by homozygous or compound heterozygous
mutation in PRUNE1 on chromosome 1q21. Here, We report on 12-month-old and 30
month-old girls from 2 unrelated Saudi families with typical presentations of
PRUNE syndrome. Both patients had severe developmental delay, progressive
microcephaly, and dysmorphic features. Brain magnetic resonance imaging showed
slight thinning in the corpus callosum, mild frontal brain atrophy, and delayed
myelination in one of the patients. Both patients had the same missense mutation
in PRUNE1 (c.383G>A, p.Arg128Gln), which was not reported before in a homozygous
state. We compared our patients to previously reported cases. In conclusion, We
suggest that clinicians consider PRUNE syndrome in any child presenting with
dysmorphic features, developmental delay, progressive microcephaly, central
hypotonia, peripheral spasticity, delayed myelination, brain atrophy, and a thin
corpus callosum.
PMID- 29372175
TI - Reconstruction of a severe mandibular pathological fracture caused by Langerhans
cell histiocytosis using a free fibula osteocutaneous flap:a case report.
AB - Langerhans cell histiocytosis (LCH) is a rare disease in which Langerhans cells,
which are bone marrow-derived antigen-presenting cells, proliferate in single or
multiple organs. We successfully treated a patient with unifocal LCH of the
mandible with malocclusion due to a severe pathological fracture, using
reconstruction with a vascularised free bone.
PMID- 29372176
TI - Choice and Constraint in the Negotiation of the Grandparent Role: A Mixed-Methods
Study.
AB - Few studies have examined how the allocation and consequences of grandchild care
vary across different socioeconomic groups. We analyze qualitative data alongside
data from The Irish Longitudinal Study on Ageing (TILDA), in a convergent mixed
methods approach. Regression models examined characteristics associated with
grandchild care, and the relationship between grandchild care and depressive
symptoms and well-being. Qualitative data shed light on processes and choices
that explain patterns of grandchild care provision. Tertiary-educated
grandparents provided less intensive grandchild care compared with primary
educated. Qualitative data indicated that this pattern stems from early boundary
drawing among higher educated grandparents while lower socioeconomic groups were
constrained and less able to say no. Intensive grandchild care was associated
with more depressive symptoms and lower well-being and was moderated by
participation in social activities and level of education attainment. The effect
of grandchild care on well-being of grandparents depends on whether it is
provided by choice or obligation.
PMID- 29372177
TI - Characterizing Pediatric Non-Malarial Fever and Identifying the At-Risk Child in
Rural Malawi.
AB - Objective. To characterize children with non-malarial fever at risk of
nonrecovery or worsening in rural Malawi. Methods. This is a subgroup analysis of
patients <=14 years of age from a prospective cohort study in non-malarial fever
subjects (temperature >=37.5 degrees C, or fever within 48 hours, and malaria
negative) in southern Malawi cared for at a mobile clinic during the 2016 dry
(August to September) or wet (November to December) season. Data collection
included chart review and questionnaires; 14-day follow-up was conducted. We
conducted univariate descriptive statistics on cohort characteristics, bivariate
analyses to examine associations between characteristics and outcomes, and
multivariate logistic regressions to explore factors associated with nonrecovery.
Results. A total of 2893 patients were screened, 401 were enrolled, 286 of these
were children, and 280 children completed follow-up. Eighty-seven percent
reported symptom resolution, 12.9% reported no improvement, and there were no
deaths or hospitalizations. No improvement was associated with dry season
presentation (42.6% vs 75.0%, P < .0003), >2 days of symptoms (51.6% vs 72.2%, P
= .03), and food insecurity (62.3% vs 86.1%, P = .007). Dry season subjects had a
4.35 times greater likelihood of nonimprovement (95% confidence interval [CI] =
1.96-11.11). Household food insecurity and being >2 hours from a permanent clinic
were associated with no improvement (adjusted odds ratio [AOR] = 4.61, 95% CI =
1.81-14.29; and AOR = 2.38, 95% CI = 1.11-5.36, respectively). Conclusion.
Outcomes were generally excellent in this rural, outpatient pediatric cohort,
though risk factors for nonrecovery included food insecurity, access to a
standing clinic, and seasonality. Ideally, this study will inform clinic- and
policy-level changes aimed at ameliorating the modifiable risk factors in Malawi
and throughout rural Africa.
PMID- 29372178
TI - Divide and Control: Comparison of Split and Switch Hybridization Sensors.
AB - Hybridization probes have been intensively used for nucleic acid analysis in
medicine, forensics and fundamental research. Instantaneous hybridization probes
(IHPs) enable signalling immediately after binding to a targeted DNA or RNA
sequences without the need to isolate the probe-target complex (e. g. by gel
electrophoresis). The two most common strategies for IHP design are
conformational switches and split approach. A conformational switch changes its
conformation and produces signal upon hybridization to a target. Split approach
uses two (or more) strands that independently or semi independently bind the
target and produce an output signal only if all components associate. Here, we
compared the performance of split vs switch designs for deoxyribozyme (Dz)
hybridization probes under optimal conditions for each of them. The split design
was represented by binary Dz (BiDz) probes; while catalytic molecular beacon
(CMB) probes represented the switch design. It was found that BiDz were
significantly more selective than CMBs in recognition of single base
substitution. CMBs produced high background signal when operated at 55 degrees C.
An important advantage of BiDz over CMB is more straightforward design and
simplicity of assay optimization.
PMID- 29372179
TI - De Novo Synthesis of Phosphorylated Triblock Copolymers with Pathogen Virulence
Suppressing Properties That Prevent Infection-Related Mortality.
AB - Phosphate is a key and universal "cue" in response to which bacteria either
enhance their virulence when local phosphate is scarce or downregulate it when
phosphate is adundant. Phosphate becomes depleted in the mammalian gut following
physiologic stress and serves as a major trigger for colonizing bacteria to
express virulence. This process cannot be reversed with oral supplementation of
inorganic phosphate because it is nearly completely absorbed in the proximal
small intestine. In the present study, we describe the de novo synthesis of
phosphorylated polyethylene glycol compounds with three defined ABA (hydrophilic/
phobic/-philic) structures, ABA-PEG10k-Pi10, ABA-PEG16k-Pi14, and ABA-PEG20k
Pi20, and linear polymer PEG20k-Pi20 absent of the hydrophobic block. The 10k,
16k, and 20k demonstrate the molecular weights of the poly(ethylene glycol)
block, and Pi10, Pi14, and Pi20 represent the repeating units of phosphate.
Polymers were tested for their efficacy against Pseudomonas aeruginosa virulence
in vitro and in vivo by assessing the expression of the phosphate sensing protein
PstS, the production of key virulence factor pyocyanin, and Caenorhabditis
elegans killing assays. Results indicate that all phosphorylated polymers
suppressed phosphate sensing, virulence expression, and lethality in P.
aeruginosa. Among all of the phosphorylated polymers, ABA-PEG20k-Pi20 displayed
the greatest degree of protection against P. aeruginosa. To define the role of
the hydrophobic core in ABA-PEG20k-Pi20 in the above response, we synthesized
PEG20k-Pi20 in which the hydrophobic core is absent. Results indicate that the
hypdrophobic core of ABA-PEG20k-Pi20 is a key structure in its protective effect
against P. aeruginosa, in part due to its ability to coat the surface of
bacteria. Taken together, the synthesis of novel polymers with defined structures
and levels of phosphorylation may elucidate their antivirulence action against
clinically important and lethal pathogens such as P. aeruginosa.
PMID- 29372180
TI - An atom interferometer inside a hollow-core photonic crystal fiber.
AB - Coherent interactions between electromagnetic and matter waves lie at the heart
of quantum science and technology. However, the diffraction nature of light has
limited the scalability of many atom-light-based quantum systems. We use the
optical fields in a hollow-core photonic crystal fiber to spatially split,
reflect, and recombine a coherent superposition state of free-falling 85Rb atoms
to realize an inertia-sensitive atom interferometer. The interferometer operates
over a diffraction-free distance, and the contrasts and phase shifts at different
distances agree within one standard error. The integration of phase coherent
photonic and quantum systems here shows great promise to advance the capability
of atom interferometers in the field of precision measurement and quantum sensing
with miniature design of apparatus and high efficiency of laser power
consumption.
PMID- 29372181
TI - Dynamic traction of lattice-confined platinum atoms into mesoporous carbon matrix
for hydrogen evolution reaction.
AB - Constructing atomically dispersed platinum (Pt) electrocatalysts is essential to
build high-performance and cost-effective electrochemical water-splitting
systems. We present a novel strategy to realize the traction and stabilization of
isolated Pt atoms in the nitrogen-containing porous carbon matrix (Pt@PCM). In
comparison with the commercial Pt/C catalyst (20 weight %), the as-prepared
Pt@PCM catalyst exhibits significantly boosted mass activity (up to 25 times) for
hydrogen evolution reaction. Results of extended x-ray absorption fine structure
investigation and density functional theory calculation suggest that the active
sites are associated with the lattice-confined Pt centers and the activated
carbon (C)/nitrogen (N) atoms at the adjacency of the isolated Pt centers. This
strategy may provide insights into constructing highly efficient single-atom
catalysts for different energy-related applications.
PMID- 29372182
TI - Stereochemistry and amyloid inhibition: Asymmetric triplex metallohelices
enantioselectively bind to Abeta peptide.
AB - Stereochemistry is vital for pharmaceutical development and can determine drug
efficacy. Herein, 10 pairs of asymmetric triplex metallohelix enantiomers as a
library were used to screen inhibitors of amyloid beta (Abeta) aggregation via a
fluorescent cell-based high-throughput method. Intriguingly, Lambda enantiomers
show a stronger inhibition effect than Delta enantiomers. In addition, the
metallohelices with aromatic substituents are more effective than those without,
revealing that these groups play a key role in the Abeta interaction.
Fluorescence stopped-flow kinetic studies indicate that binding of the Lambda
enantiomer to Abeta is much faster than that of the Delta enantiomer.
Furthermore, studies in enzyme digestion, isothermal titration calorimetry,
nuclear magnetic resonance, and computational docking demonstrate that the
enantiomers bind to the central hydrophobic alpha-helical region of Abeta13-23,
although with different modes for the Lambda and Delta enantiomers. Finally, an
in vivo study showed that these metallohelices extend the life span of the
Caenorhabditis elegans CL2006 strain by attenuating Abeta-induced toxicity. Our
work will shed light on the design and screening of a metal complex as an amyloid
inhibitor against Alzheimer's disease.
PMID- 29372183
TI - Verwey-type charge ordering transition in an open-shell p-electron compound.
AB - The Verwey transition in Fe3O4, a complex structural phase transition concomitant
with a jump in electrical conductivity by two orders of magnitude, has been a
benchmark for charge ordering (CO) phenomena in mixed-valence transition metal
materials. CO is of central importance, because it frequently competes with
functional properties such as superconductivity or metallic ferromagnetism.
However, the CO state in Fe3O4 turned out to be complex, and the mechanism of the
Verwey transition remains controversial. We demonstrate an archetypical Verwey
type transition in an open p-shell anionic mixed-valence compound using
complementary diffraction and spectroscopic techniques. In Cs4O6, a phase change
from a cubic structure with a single crystallographic site for the molecular O2x-
building units to a tetragonal structure with ordered superoxide O2- and peroxide
O22- entities is accompanied by a drastic drop in electronic conductivity and
molecular charge fluctuation rates. The simple CO pattern of molecular units and
the lack of magnetic order suggest Cs4O6 as a model system for disentangling the
complex interplay of charge, lattice, orbital, and spin degrees of freedom in
Verwey-type CO processes.
PMID- 29372184
TI - Toward biomass-derived renewable plastics: Production of 2,5-furandicarboxylic
acid from fructose.
AB - We report a process for converting fructose, at a high concentration (15 weight
%), to 2,5-furandicarboxylic acid (FDCA), a monomer used in the production of
polyethylene furanoate, a renewable plastic. In our process, fructose is
dehydrated to hydroxymethylfurfural (HMF) at high yields (70%) using a gamma
valerolactone (GVL)/H2O solvent system. HMF is subsequently oxidized to FDCA over
a Pt/C catalyst with 93% yield. The advantage of our system is the higher
solubility of FDCA in GVL/H2O, which allows oxidation at high concentrations
using a heterogeneous catalyst that eliminates the need for a homogeneous base.
In addition, FDCA can be separated from the GVL/H2O solvent system by
crystallization to obtain >99% pure FDCA. Our process eliminates the use of
corrosive acids, because FDCA is an effective catalyst for fructose dehydration,
leading to improved economic and environmental impact of the process. Our techno
economic model indicates that the overall process is economically competitive
with current terephthalic acid processes.
PMID- 29372185
TI - Case Report: Induced Lactation in a Transgender Woman.
AB - Objective: Our report describes a case of nonpuerperal induced lactation in a
transgender woman. Methods: We present the relevant clinical and laboratory
findings, along with a review of the relevant literature. Results: A 30-year-old
transgender woman who had been receiving feminizing hormone therapy for the past
6 years presented to our clinic with the goal of being able to breastfeed her
adopted infant. After implementing a regimen of domperidone, estradiol,
progesterone, and breast pumping, she was able to achieve sufficient breast milk
volume to be the sole source of nourishment for her child for 6 weeks. This case
illustrates that, in some circumstances, modest but functional lactation can be
induced in transgender women.
PMID- 29372186
TI - Newborn follow-up after discharge from a tertiary care hospital in the Western
Cape region of South Africa: a prospective observational cohort study.
AB - Background: Current practice in the Western Cape region of South Africa is to
discharge newborns born in-hospital within 24 h following uncomplicated vaginal
delivery and two days after caesarean section. Mothers are instructed to bring
their newborn to a clinic after discharge for a health assessment. We sought to
determine the rate of newborn follow-up visits and the potential barriers to
timely follow-up. Methods: Mother-newborn dyads at Tygerberg Hospital in Cape
Town, South Africa were enrolled from November 2014 to April 2015. Demographic
data were obtained via questionnaire and medical records. Mothers were contacted
one week after discharge to determine if they had brought their newborns for a
follow-up visit, and if not, the barriers to follow-up. Factors associated with
follow-up were analyzed using logistic regression. Results: Of 972 newborns, 794
(82%) were seen at a clinic for a follow-up visit within one week of discharge.
Mothers with a higher education level or whose newborns were less than 37 weeks
were more likely to follow up. The follow-up rate did not differ based on
hospital length of stay. Main reported barriers to follow-up included maternal
illness, lack of money for transportation, and mother felt follow-up was
unnecessary because newborn was healthy. Conclusions: Nearly 4 in 5 newborns were
seen at a clinic within one week after hospital discharge, in keeping with local
practice guidelines. Further research on the outcomes of this population and
those who fail to follow up is needed to determine the impact of postnatal
healthcare policy.
PMID- 29372188
TI - Intraoperative OCT of a Retinal Tack.
PMID- 29372187
TI - GLOBAL OPIOID EPIDEMIC: DOOMED TO FAIL WITHOUT GENETICALLY BASED PRECISION
ADDICTION MEDICINE (PAMTM): LESSONS LEARNED FROM AMERICA.
AB - It is a reality that globally opioid deaths have soared for men and women of all
social, economic status and age from heroin and fentanyl overdoses. Specifically,
in the United States, deaths from narcotic overdoses have reached alarming
metrics since 2010. In fact, the Fentanyl rise is driven by drug dealers who sell
it as heroin or who use it to lace cocaine or to make illegal counterfeit
prescription opioids. The President's Commission on the crisis has linked the
death toll as equivalent to "September 11th every three weeks." In fact, The U.S.
Centre for Disease Control (CDC) released data showing that opioid-related
overdoses were up 15% in the first three quarters of 2016 compared to 2015.
Various governmental organizations including NIDA, are actively seeking
solutions. However, we argue that unless the scientific community embraces
genetic addiction risk coupled with potential precision or personalized medicine
to induce "dopamine homeostasis" it will fail. We now have evidence that a ten
gene and eleven single nucleotide polymorphism (SNP) panel predicts Addiction
Severity Index (ASI) for both alcohol and drugs of abuse (e.g., Opioids). In a
large multi-addiction centre study involving seven diverse treatment programs,
the genetic addiction risk score (GARSTM) was shown to have a predictive
relationship with ASI-MV derived alcohol (>= seven alleles), and other drugs (>=
4 alleles) severity risk scores. In a number of neuroimaging studies, we also
display that in both animal (bench) and abstinent Chinese severe heroin-dependent
patients (bedside), BOLD dopamine activation across the brain reward circuitry
revealed increases in resting state functional connectivity as well volume
connectivity. It is also known that published nutrigenomic (coupling gene
polymorphisms with altered KB220z) studies reveal improved clinical outcomes
related to obesity.
PMID- 29372189
TI - The OCT Angiography Revolution: Five Emerging Themes.
PMID- 29372190
TI - Impact of Having a Mentally Disabled Child in the Family on the Oral Health of
the Healthy Sibling: A Comparative Study.
AB - PURPOSE: To determine and compare oral health status, knowledge and attitude
among siblings of mentally disabled with siblings of normal healthy children.
MATERIALS AND METHODS: 240 study subjects consisting of 120 each of siblings of
mentally disabled and normal, healthy children (control group) matched for age
and gender were selected by convenience sampling. Oral health was assessed using
the WHO-1997 proforma. A pretested questionnaire (30 items) was used for oral
health knowledge and attitude assessment. Statistical analysis was performed
using the chi-squared and Mann-Whitney tests. RESULTS: Caries prevalence among
the siblings of mentally disabled and control group was 82% (mean DMFT 1.68 +/-
1.18) and 45% (mean DMFT 0.58 +/- 0.72), respectively (p < 0.05). The siblings of
mentally disabled children also showed good oral health knowledge (mean 7.1 +/-
1.4) and a positive attitude (p < 0.05). CONCLUSION: Siblings of mentally
disabled children showed higher caries experience. Knowledge and attitude towards
oral health was comparatively good among siblings of mentally disabled children.
They exhibited favourable attitudes and involvement in helping their sibling to
maintain oral health.
PMID- 29372191
TI - Use of Casein Amorphous Calcium Phosphate (CPP-ACP) on White-spot Lesions:
Randomised Clinical Trial.
AB - PURPOSE: To evaluate the efficacy of casein phosphopeptide-amorphous calcium
phosphate (CPP-ACP) in the remineralisation of white-spot lesions in 80 anterior
teeth of children aged 5-13 years enrolled in public schools of the city of
Botucatu, Sao Paulo, Brazil. MATERIALS AND METHODS: The study sample consisted of
36 individuals divided into 4 groups: G1. control (placebo paste); G2. fluoride
gel; G3. CPP-ACP; G4: CPP-ACP + fluoride. Each product was applied twice by a
calibrated examiner with a week of interval between. Lesions were monitored with
a DIAGNOdent Pen before the first application, before the second application, and
1 and 3 months after the first application. To evaluate the lesion intensity, the
Friedman test was applied, and to compare experimental groups, the Kruskal-Wallis
test was applied. The analyses were complemented with the Dunn test and the level
of significance was 5%. RESULTS: At the end of the 90-day trial, the use of CPP
ACP and fluoride showed comparable results in terms of remineralisation. The best
white-spot lesion remineralisation was observed when CPP-ACP and fluoride were
combined. CONCLUSION: The use of CPP-ACP is a good alternative for the
remineralisation of white-spot lesions. The effect can be improved when this
product is applied in combination with fluoride.
PMID- 29372192
TI - Challenge in optoelectronic duplex switches: a red emission large-size single
crystal and a unidirectional flexible thin film of a hybrid multifunctional
material.
AB - Photoelectric dual-function features in bulk crystals or flexible thin films make
them excellent candidates for important and thriving applications in storage,
sensing and other information fields. Based on superior advantages such as easy
and environmentally friendly processing, mechanical flexibility, and ability to
fabricate films and bulk single crystals; we designed a type of molecular
material with a photoelectric multi-function switch, [N(NH2CH2CH2)3]2Mn2Cl12
(compound 1), which exhibits intriguing temperature-dependent dielectric and red
emission switchable characteristics. This material perfectly explains the
advantages of molecular materials, while 1 can also be used to fabricate a
transparent unidirectional film with ultra-flexibility. Moreover, this material
shows the highest record in signal contrast of ~5 (exceeding all the known
molecular materials/crystalline switches, revealing its potential to obtain high
efficiency signal-to-noise ratio), sensitive dielectric bi-stability, and
excellent switching anti fatigue. These features give it a high application value
in integrated circuits, optoelectronic seamless integration devices and flexible
multifunctional devices.
PMID- 29372193
TI - Photochemical relaxation pathways of S6-methylthioinosine and O6-methylguanosine
in solution.
AB - S6-Methylthioinosine and O6-methylguanosine are byproducts resulting from the
enzymatic reactions of sulfur-substituted prodrugs in cells and from the
interaction of alkylating agents with cellular DNA, respectively. Their
photochemistry has not been investigated, and it is currently unknown whether
light absorption by these byproducts may pose any threat to the cell. In this
contribution, their photoinduced processes upon absorption of UVB radiation are
reported using broadband transient absorption spectroscopy. Plausible electronic
relaxation mechanisms are proposed for both biological molecules, which are
supported by steady-state absorption and emission measurements, and by singlet
and triplet vertical excitation energies performed on a large subset of ground
state optimized conformational isomers in solution. The results are compared to
the body of knowledge gathered in the scientific literature about the light
induced processes in the sulfur-substituted and canonical purine monomers. In
particular, it is shown that S6-methylation decreases the rate to populate the
lowest-energy triplet state and blueshifts the ground-state absorption spectrum
compared to those for the sulfur-substituted prodrugs and for the 6-thioguanosine
metabolite. Similarly, O6-methylation decreases the rate of internal conversion
to the ground state observed in the guanine monomers by more than 10-fold in
acetonitrile and 40-fold in aqueous solution, while it redshifts the ground-state
absorption spectrum. Collectively, this investigation provides relevant new
insights about the relationship between structural modifications of the purine
chromophore and the electronic relaxation mechanisms in this important group of
biological molecules.
PMID- 29372194
TI - A combined theoretical and experimental investigation of the kinetics and
dynamics of the O(1D) + D2 reaction at low temperature.
AB - The O(1D) + H2 reaction is a prototype for simple atom-diatom insertion type
mechanisms considered to involve deep potential wells. While exact quantum
mechanical methods can be applied to describe the dynamics, such calculations are
challenging given the numerous bound quantum states involved. Consequently,
efforts have been made to develop alternative theoretical strategies to portray
accurately the reactive process. Here we report an experimental and theoretical
investigation of the O(1D) + D2 reaction over the 50-296 K range. The
calculations employ three conceptually different approaches - mean potential
phase space theory, the statistical quantum mechanical method and ring polymer
molecular dynamics. The calculated rate constants are in excellent agreement over
the entire temperature range, exhibiting only weak temperature dependence. The
agreement between experiment and theory is also very good, with discrepancies
smaller than 26%. Taken together, the present and previous theoretical results
validate the hypothesis that long-lived complex formation dominates the reaction
dynamics at low temperature.
PMID- 29372195
TI - Microfluidic thread based electroanalytical system for green chromatographic
separations.
AB - The use of miniaturized chromatographic systems is an important strategy for
reducing the consumption of supplies related to separations, allowing the
development of more sustainable analytical methodologies. However, the high cost
and complexity in the production of these systems combined with the operational
difficulties and the need for the use of solvent and sample pretreatment are
challenges to be overcome in order to make the chromatographic methods greener.
Here, we report the construction and development of a low cost microfluidic
system for green and solvent-free chromatographic separations with
electrochemical detection integrated into cotton threads without the use of any
mechanical pumping to transport the solutions. The manufacture of the proposed
system was performed by simple assembly of the components, with the separation of
the species based on an ion exchange mechanism and detection using gold
electrodes manufactured directly on the cotton threads. A linear range of 0.025
5.0 mM was obtained for the effective separation of ascorbic acid (AA) and
dopamine (DA) with detection limits of 2.89 MUM (for AA) and 4.41 MUM (for DA).
Each analysis was performed at a low cost (less than 0.01 dollars), and with a
small volume of waste generated (107.1 MUL). So, the proposed system was
successfully employed to determine the levels of AA and DA present in the tears
of healthy volunteers without sample pretreatment, indicating the good analytical
performance of the system and the possibility of performing greener
chromatographic separations.
PMID- 29372196
TI - Fluorescence in sub-10 nm channels with an optical enhancement layer.
AB - Fluorescence microscopy uniquely enables physical and biological research in
micro- and nanofluidic systems. However, in channels with depths below 10 nm, the
limited number of fluorophores results in fluorescence intensity below the
detection limit of optical microscopes. To overcome this barrier, we applied
Fabry-Perot interference to enhance fluorescence intensity with a silicon nitride
layer below the sub-10 nm channel. A silicon nitride layer of suitable thickness
can selectively enhance both absorption and emission wavelengths, leading to a
fluorescent signal that is enhanced 20-fold and readily imaged with traditional
microscopes. To demonstrate this method, we studied the mass transport of a
binary solution of ethanol and Rhodamin B in 8 nm nanochannels. The large
molecular size of Rhodamin B (~1.8 nm) relative to the channel depth results in
both separation and reduced diffusivity, deviating from behavior at larger
scales. This method extends the widely available suite of fluorescence analysis
tools and infrastructure to unprecedented sub-10 nm scale with relevance to a
wide variety of biomolecular interactions.
PMID- 29372197
TI - A method for the quantitative extraction of gold nanoparticles from human
bronchoalveolar lavage fluids through a glycerol gradient.
AB - Bronchoalveolar lavage (BAL) is a diagnostic procedure which samples the cellular
and non-cellular components of the pulmonary epithelial surface. The inherent
biological noise of BAL fluids inhibits their direct mineralogical analysis while
currently available particle retrieval protocols are suspected to impose
quantitative and qualitative bias on the studied particle load. This study
presents a simple method for the near-lossless extraction of citrate-capped gold
nanoparticles from human BAL fluids at sub-ppm levels which enables their
quantitation and surface characterization. This procedure was modeled according
to fundamental principles of particle sedimentation and liquid-liquid
interdiffusion and was evaluated by a battery of analytical techniques. The
extraction yield of gold nanoparticles ranged from 61 to 86%, with a quantitation
limit at 0.5 MUg ml-1, as measured by inductively-coupled optical emission
spectroscopy. Dynamic light scattering could resolve the hydrodynamic size
distribution of extracted particles which returned significantly different photon
count rates at various concentrations. Their shape and primary size were easily
observable by electron microscopy while atomic force microscopy, Auger electron
spectroscopy and X-ray photoelectron spectroscopy could respectively probe the
particles' biomolecular corona, detect surface-adsorbed S- and N- species, and
identify carbon-based covalent bonds.
PMID- 29372198
TI - Complexation of halide ions to tyrosine: role of non-covalent interactions
evidenced by IRMPD spectroscopy.
AB - The binding motifs in the halide adducts with tyrosine ([Tyr + X]-, X = Cl, Br,
I) have been investigated and compared with the analogues with 3-nitrotyrosine
(nitroTyr), a biomarker of protein nitration, in a solvent-free environment by
mass-selected infrared multiple photon dissociation (IRMPD) spectroscopy over two
IR frequency ranges, namely 950-1950 and 2800-3700 cm-1. Extensive quantum
chemical calculations at B3LYP, B3LYP-D3 and MP2 levels of theory have been
performed using the 6-311++G(d,p) basis set to determine the geometry, relative
energy and vibrational properties of likely isomers and interpret the measured
spectra. A diagnostic carbonyl stretching band at ~1720 cm-1 from the intact
carboxylic group characterizes the IRMPD spectra of both [Tyr + X]- and [nitroTyr
+ X]-, revealing that the canonical isomers (maintaining intact amino and
carboxylic functions) are the prevalent structures. The spectroscopic evidence
reveals the presence of multiple non-covalent forms. The halide complexes of
tyrosine conform to a mixture of plane and phenol isomers. The contribution of
phenol-bound isomers is sensitive to anion size, increasing from chloride to
iodide, consistent with the decreasing basicity of the halide, with relative
amounts depending on the relative energies of the respective structures. The
stability of the most favorable phenol isomer with respect to the reference plane
geometry is in fact 1.3, -2.1, -6.8 kJ mol-1, for X = Cl, Br, I, respectively.
The change in pi-acidity by ring nitration also stabilizes anion-pi interactions
yielding ring isomers for [nitroTyr + X]-, where the anion is placed above the
face of the aromatic ring.
PMID- 29372199
TI - Barbiturate end-capped non-fullerene acceptors for organic solar cells: tuning
acceptor energetics to suppress geminate recombination losses.
AB - We report the synthesis of two barbiturate end-capped non-fullerene acceptors and
demonstrate their efficient function in high voltage output organic solar cells.
The acceptor with the lower LUMO level is shown to exhibit suppressed geminate
recombination losses, resulting in enhanced photocurrent generation and higher
overall device efficiency.
PMID- 29372200
TI - Consequences of realistic embedding for the L2,3 edge XAS of alpha-Fe2O3.
AB - Cluster models of condensed systems are often used to simulate the core-level
spectra obtained with X-ray Photoelectron Spectroscopy, XPS, or with X-ray
Absorption Spectroscopy, XAS, especially for near edge features. The main
objective of this paper is to examine the dependence of the predicted L2,3 edge
XAS of alpha-Fe2O3, an example of a high spin ionic crystal, on increasingly
realistic models of the condensed system. It is shown that an FeO6 cluster model
possessing the appropriate local site symmetry describes most features of the XAS
and is a major improvement over the isolated Fe3+ cation. In contrast, replacing
next nearest neighbor positive point charges with Sc3+, a closed shell cation of
similar spatial extent to Fe3+, only marginally improves the match to experiment.
This work suggests that second nearest neighbor effects are negligible. Rather,
major improvements to the predicted L2,3 edge XAS likely requires additional many
body effects that go beyond the present study in which the multiplets are
restricted to arise from angular momentum coupling within a single open shell
configuration.
PMID- 29372201
TI - High-precision modular microfluidics by micromilling of interlocking injection
molded blocks.
AB - Wider use and adaptation of microfluidics is hindered by the infrastructure,
knowledge, and time required to build prototype systems, especially when multiple
fluid operations and measurements are required. As a result, 3D printing of
microfluidics is attracting interest, yet cannot readily achieve the feature
size, smoothness, and optical transparency needed for many standard microfluidic
systems. Herein we present a new approach to the design and construction of high
precision modular microfluidics, using standard injection-molded blocks that are
modified using micromilling and assembled via elastically averaged contacts.
Desktop micromilling achieves channel dimensions as small as 50 MUm depth and 150
MUm width and adhesive films seal channels to allow internal fluid pressure of
>400 kPa. Elastically averaged connections between bricks result in a mechanical
locating repeatability of ~1 MUm, enabling fluid to pass between bricks via an O
ring seal with >99.9% reliability. We demonstrated and tested block-based systems
for generating droplets at rates above 9000 min-1 and COV <3%, and integrated
optical sensors. We also show how blocks can be used to build easily
reconfigurable interfaces with glass microfluidic devices and imaging hardware.
Microfluidic bricks fabricated by FDM and SLA 3D printing cannot achieve the
dimensional quality of molded bricks, yet 3D printing allows customized bricks to
be integrated with standard LEGOs. Our approach enables a wide variety of modular
microfluidic units to be built using a widely available, cost-effective platform,
encouraging use in both research and education.
PMID- 29372202
TI - High-capacity and long-life lithium storage boosted by pseudocapacitance in three
dimensional MnO-Cu-CNT/graphene anodes.
AB - Boosting the lifespan of MnO-based materials for future lithium ion batteries is
one of the primary challenges due to the intrinsic low ionic conductivity and
volume expansion during the conversion process. Herein, superior lithium storage
in a new quaternary MnO-Cu-CNT/graphene composite has been demonstrated, which is
boosted by pseudocapacitance benefitting from the three-dimensional CNT/graphene
and nanosized Cu additives. Such architecture offers highly interpenetrated
porous conductive networks in intimate contact with MnO-Cu grains and abundant
stress buffer space for effective charge transport upon cycling. The ternary MnO
Cu-graphene electrode contributes an ever-increasing reversible capacity of 938.3
mA h g-1 after 800 cycles at 0.8 A g-1. In particular, the quaternary MnO-Cu
CNT/graphene electrode demonstrates a high specific capacity of 1334 mA h g-1 at
0.8 A g-1 after 800 cycles and long lifetimes of more than 3500 cycles at 5 A g-1
with a capacity of 557.9 mA h g-1 and close-to-100% Coulombic efficiency. The
boosted pseudocapacitive lithium storage together with the simple material
fabrication method in a MnO-Cu-CNT/graphene hybrid could pave the way for the
development of high-capacity and long-life energy storage devices.
PMID- 29372203
TI - Self-standing aptamers by an artificial defect-rich matrix.
AB - The classical alkanethiol post-passivation can prevent nonspecific binding of
nucleotide bases onto supporting substrates and help aptamers transition from a
"lying down" to a "standing up" orientation. However, the surface probes display
lower binding affinity towards targets than those in bulk solutions due to
unsatisfied hybridization spaces on the alkanethiol passivated substrate. To
overcome this challenge, an artificial defect-rich matrix possessing an aptamer
"self-standing" property created by chemical lift-off lithography (CLL) is
demonstrated. This approach provided artificial defects on a hydroxyl-terminated
alkanethiol self-assembled monolayer (SAM), which allowed the insertion of
thiolated aptamers. The diluted surface molecular environment assisted aptamers
not only to "self-stand" on the surface, but also to separate from each other,
providing a suitable surface aptamer density and sufficient space for capturing
targets. With this approach, the binding affinity of the aptamer towards a target
was comparable to solution-type probes, showing higher recognition efficiency
than that in conventional methods.
PMID- 29372204
TI - Graphene induced electrical percolation enables more efficient charge transport
at a hybrid organic semiconductor/graphene interface.
AB - Self-assembly of semiconducting polymer chains during crystallization from a
liquid or melt dictates to a large degree the electronic properties of the
resulting solid film. However, it is still unclear how charge transport pathways
are created during crystallization. Here, we performed complementary in situ
electrical measurements and synchrotron grazing incidence X-ray diffraction
(GIXD), during slow cooling from the melt of highly regio-regular poly(3
hexylthiophene) (P3HT) films deposited on both graphene and on silicon. Two
different charge transport mechanisms were identified, and were correlated to the
difference in crystallites' orientations and overall amount of crystallites in
the films on each surface as molecular self-assembly proceeded. On silicon, a
weak charge transport was enabled as soon as the first edge-on lamellae formed,
and further increased with the higher amount of crystallites (predominantly edge
on and randomly oriented lamellae) during cooling. On graphene however, the
current remained low until a minimum amount of crystallites was reached, at which
point interconnection of conducting units (face-on, randomly oriented lamellae
and tie-chains) formed percolated conducting pathways across the film. This lead
to a sudden rapid increase in current by ~10 fold, and strongly enhanced charge
transport, despite a much lower amount of crystallites than on silicon.
PMID- 29372205
TI - An experimental and theoretical study of adenine adsorption on Au(111).
AB - A model study of adenine adsorption on the Au(111) surface is reported for
molecular adlayers prepared by evaporation in vacuum and deposition from
saturated aqueous solution. The electronic structure and adsorption geometry of
the molecular films were studied experimentally by X-ray photoelectron
spectroscopy and near edge X-ray absorption fine structure spectroscopy.
Adsorption models are proposed for the adlayers arising from the different
preparation methods. Density functional theory calculations were used to examine
both parallel and upright adenine adsorption geometries, supply additional
information on the bond strength, and identify which atom is involved in bonding
to Au(111). In the case of deposition in vacuum, the adenine molecule is bound
via van der Waals forces to Au(111) with the molecular plane parallel to the
surface, consistent with the published scanning tunneling microscopy data on this
system. The most stable parallel adenine configuration was found to have an
adsorption energy of ca. -1.1 eV using the optB86b-vdW functional. For adenine
deposition from aqueous solution, the adlayer is disordered, with molecules in an
upright geometry, and with an adsorption energy of ca. -1.0 eV, coordinated via
the imino N3 nitrogen atom. The present study contributes to the substantial
literature of model studies of adenine on Au(111), complementing the existing
knowledge with information on electronic structure, bonding geometry and
adsorption energy of this system.
PMID- 29372206
TI - Electronic characterization of silicon intercalated chevron graphene nanoribbons
on Au(111).
AB - Electronic and thermal properties of chevron-type graphene nanoribbons can be
widely tuned, making them interesting candidates for electronic and
thermoelectric applications. Here, we use post-growth silicon intercalation to
unambiguously access nanoribbons' energy position of their electronic frontier
states. These are otherwise obscured by substrate effects when investigated
directly on the growth substrate. In agreement with first-principles calculations
we find a band gap of 2.4 eV.
PMID- 29372207
TI - A continuing challenge: N-heterocyclic carbene-catalyzed syntheses of gamma
butyrolactones.
AB - Catalytic, stereoselective N-heterocyclic carbene-catalyzed reactions facilitate
efficient construction of many different heterocyclic compounds, such as the
enantioenriched 5-membered (gamma) lactones highlighted in this tutorial review.
Herein, various strategies to enable formal [3+2] type annulations between
electrophilic carbonyl equivalents and homoenolate nucleophiles for the synthesis
of gamma-lactones are summarized.
PMID- 29372208
TI - Protective effect of rosmarinic acid and carnosic acid against streptozotocin
induced oxidation, glycation, inflammation and microbiota imbalance in diabetic
rats.
AB - This study evaluated the protective effects of two rosemary components,
rosmarinic acid (RA) and carnosic acid (CA), against hypoglycemia,
hyperlipidemia, oxidative stress and an imbalanced gut microbiota architecture in
diabetic rats. Treatment with RA and CA (30 mg kg-1) decreased the levels of
fasting plasma glucose (23.7%, 15.6%), total cholesterol (30.4%, 14.1%) and
triglyceride (65.7%, 47.8%) at 15 weeks. RA and CA also exhibited an anti
oxidative and anti-glycative effect by lowering the formation of malondialdehyde
and advanced glycation end products. In addition, they showed protective effects
against tissue damage and inflammation in the abdominal aorta, based on
microscopic observations and the analysis of protein expression. Finally, the
prebiotic effects of RA and CA on gut microbiota were demonstrated by increasing
the population of diabetes-resistant bacteria and decreasing the amounts of
diabetes-sensitive bacteria. Overall, RA showed a stronger protective effect than
CA in mitigating diabetic symptoms in rats.
PMID- 29372209
TI - High-throughput sorting of eggs for synchronization of C. elegans in a
microfluidic spiral chip.
AB - In this study, we report the use of a high-throughput microfluidic spiral chip to
screen out eggs from a mixed age nematode population, which can subsequently be
cultured to a desired developmental stage. For the sorting of a mixture
containing three different developmental stages, eggs, L1 and L4, we utilized a
microfluidic spiral chip with a trapezoidal channel to obtain a sorting
efficiency of above 97% and a sample purity (SP) of above 80% for eggs at
different flow rates up to 10 mL min-1. The result demonstrated a cost-effective,
simple, and highly efficient method for synchronizing C. elegans at a high
throughput (~4200 organisms per min at 6 mL min-1), while eliminating challenges
such as clogging and non-reusability of membrane-based filtration. Due to its
simplicity, our method can be easily adopted in the C. elegans research
community.
PMID- 29372210
TI - X-ray photochemistry of carbon hydride molecular ions.
AB - Hydride molecular ions are key ingredients of the interstellar chemistry since
they are precursors of more complex molecules. In regions located near a soft X
ray source these ions may resonantly absorb an X-ray photon which triggers a
complex chain of reactions. In this work, we simulate ab initio the X-ray
absorption spectrum, Auger decay processes and the subsequent fragmentation
dynamics of two hydride molecular ions, namely CH2+ and CH3+. We show that these
ions feature strong X-ray absorption resonances which relax through Auger decay
within 7 fs. The doubly-charged ions thus formed mostly dissociate into smaller
ionic carbon fragments: in the case of CH2+, the dominant products are either
C+/H+/H or CH+/H+. For CH3+, the system breaks primary into CH2+ and H+, which
provides a new route to form CH2+ near a X-ray source. Furthermore, our
simulations provide the branching ratios of the final products formed after the X
ray absorption as well as their kinetic and internal energy distributions. Such
data can be used in the chemistry models of the interstellar medium.
PMID- 29372211
TI - Adenine radicals generated in alternating AT duplexes by direct absorption of low
energy UV radiation.
AB - There is increasing evidence that the direct absorption of photons with energies
that are lower than the ionization potential of nucleobases may result in
oxidative damage to DNA. The present work, which combines nanosecond transient
absorption spectroscopy and quantum mechanical calculations, studies this process
in alternating adenine-thymine duplexes (AT)n. We show that the one-photon
ionization quantum yield of (AT)10 at 266 nm (4.66 eV) is (1.5 +/- 0.3) * 10-3.
According to our PCM/TD-DFT calculations carried out on model duplexes composed
of two base pairs, (AT)1 and (TA)1, simultaneous base pairing and stacking does
not induce important changes in the absorption spectra of the adenine radical
cation and deprotonated radical. The adenine radicals, thus identified in the
time-resolved spectra, disappear with a lifetime of 2.5 ms, giving rise to a
reaction product that absorbs at 350 nm. In parallel, the fingerprint of reaction
intermediates other than radicals, formed directly from singlet excited states
and assigned to AT/TA dimers, is detected at shorter wavelengths. PCM/TD-DFT
calculations are carried out to map the pathways leading to such species and to
characterize their absorption spectra; we find that, in addition to the path
leading to the well-known TA* photoproduct, an AT photo-dimerization path may be
operative in duplexes.
PMID- 29372212
TI - Enhanced cyclability of Li-O2 batteries with cathodes of Ir and MnO2 supported on
well-defined TiN arrays.
AB - The cycling stability of Li-O2 batteries has been impeded by the lack of high
efficiency, and durable oxygen cathodes for the oxygen-reduction reaction (ORR)
and the oxygen-evolution reaction (OER). Herein we report a novel TiN nanorod
array-based cathode, which was firstly prepared by growing a TiN nanorod array on
carbon paper (CP), and then followed by depositing MnO2 ultrathin sheets or Ir
nanoparticles on the TiN nanorods to form well-ordered, three-dimensional (3D),
and free-standing structured cathodes: TiN@MnO2/CP and TiN@Ir/CP. Both cathodes
exhibited good specific capacity and excellent cycling stability. Their specific
discharge capacities were up to 2637 and 2530 mA h g-1, respectively. After 200
cycles for 2000 h at a current density of 100 mA g-1, no obvious decays were
observed for TiN@MnO2/CP and TiN@Ir/CP cathodes, while significant decreases were
observed after the 80th and 30th cycles for the Pt/C and TiN/CP cathodes,
respectively. Such high performance can be ascribed to the 3D array structure
with enough microspace and high surface area, which facilitated the high
dispersion of active components and prevented the formation of large/irreversible
Li2O2.
PMID- 29372220
TI - Improved quantum efficiency models of CZTSe: GE nanolayer solar cells with a
linear electric field.
AB - We fabricated and characterized CZTSe:Ge nanolayer (<10 nm) thin film solar cells
to quantitatively demonstrate an exact analytical model of quantum efficiency for
Ge doped CZTSe devices. The linear electric field model is developed with the
incomplete gamma function of the quantum efficiency as compared to the empirical
data at forward bias conditions. This model is characterized with a consistent
set of parameters from a series of measurements and the literature. Using the
analytical modelling method, the carrier collection profile in the absorber is
calculated and closely fitted by the developed mathematical expressions to
identify the carrier dynamics during the quantum efficiency measurement of the
device. The analytical calculation is compared with the measured quantum
efficiency data at various bias conditions.
PMID- 29372221
TI - Remarkable reversal of electrostatic interaction forces on zwitterionic soft
nanointerfaces in a monovalent aqueous electrolyte: an AFM study at the single
nanoparticle level.
AB - Soft (nano)colloids are increasingly used in medical applications due to the
versatile options they offer in terms of e.g. tunable chemical composition,
adaptable physical properties and (bio)functionalization perspectives. Obtaining
a clear understanding of the nature of the interaction forces that such particles
experience with neighboring charged (bio)surfaces is a mandatory prerequisite to
draw a comprehensive and mechanistic picture of their stability and reactivity
and to further optimize their current functionalities. In this study, adopting an
original strategy for nanoparticle attachment to atomic force microscopy (AFM)
tips, we demonstrate that the sign of electrostatic forces between carboxylate
terminated poly(amidoamine) nanodendrimers (~9 nm in diameter) and planar
cysteamine-coated gold surfaces can be tailored under fixed pH conditions upon
the sole variation of the monovalent salt concentration in solution. The origin
of this unconventional electrostatic force reversal is deciphered upon
confrontation between AFM force measurements and mean-field force evaluation
performed beyond the Derjaguin approximation by integrating the dendrimer and
cysteamine electrostatic properties derived independently from electrokinetic
measurements. It is shown that the electrostatic force reversal (i) originates
from the zwitterionic character of the nanodendrimer-solution interphase, and
(ii) becomes operational under the strict condition that the sub-nanometric
separation distance between peripheral carboxylate groups and intraparticulate
amines is of the order of the characteristic electric Debye layer thickness. The
possibility to mediate - via suitable adjustment of monovalent salt content in
solution - both the magnitude and sign of the electrostatic forces acting on soft
interfaces with zwitterionic functionality paves the way for the design of
innovative strategies to control the stability of nanoparticles against
aggregation, and to modulate their adhesion onto inorganic surfaces or living
organisms.
PMID- 29372222
TI - Orthogonal supramolecular protein assembly on patterned bifunctional surfaces.
AB - We report successful and selective dual protein assembly on patterned
bifunctional betaCD-Ni(ii)NTA surfaces, using red fluorescent protein variants
with hexahistidine-tags and teal fluorescent protein variants conjugated with a
peptide containing three adamantyl groups. We show that dual protein patterns can
only be assembled, when opposing supramolecular interactions have been optimized
and nonspecific interactions have been sufficiently suppressed.
PMID- 29372224
TI - Polyacrylamide "revisited": UCST-type reversible thermoresponsive properties in
aqueous alcoholic solutions.
AB - Combining experiments and all-atom molecular dynamics simulations, we study the
conformational behavior of polyacrylamide (PAM) in aqueous alcohol mixtures over
a wide range of temperatures. This study shows that even when the microscopic
interaction is dictated by hydrogen bonding, unlike its counterparts that present
a lower critical solution temperature (LCST), PAM shows a counterintuitive
tunable upper critical solution temperature (UCST)-type phase transition in
water/alcohol mixtures that was not reported before. The phase transition
temperature was found to be tunable between 4 and 60 degrees C by the type and
concentration of alcohol in the mixture as well as by the solution concentration
and molecular weight of the polymer. In addition, molecular dynamics simulations
confirmed a UCST-like behaviour of the PAM in aqueous alcoholic solutions.
Additionally, it was observed that the PAM is more swollen in pure alcohol
solutions than in 80% alcoholic solutions due to theta-like behaviour.
Additionally, in the globular state, the size of the aggregates was found to
increase with increasing solvent hydrophobicity and polymer concentration of the
solutions. Above its phase transition temperature, PAM might be present as
individual polymer chains in the coil state (<=10 nm). As PAM is a widespread
polymer in many biomedical applications (gel electrophoresis, etc.), this finding
could be of high relevance for many more practical applications in high
performance pharmaceuticals and/or sensors.
PMID- 29372223
TI - Chronic consumption of a western diet modifies the DNA methylation profile in the
frontal cortex of mice.
AB - In our previous work in mice, we have shown that chronic consumption of a Western
diet (WD; 42% kcal fat, 0.2% total cholesterol and 34% sucrose) is correlated
with impaired cognitive function. Cognitive decline has also been associated with
alterations in DNA methylation. Additionally, although there have been many
studies analyzing the effect of maternal consumption of a WD on DNA methylation
in the offspring, few studies have analyzed how an individual's consumption of a
WD can impact his/her DNA methylation. Since the frontal cortex is involved in
the regulation of cognitive function and is often affected in cases of cognitive
decline, this study aimed to examine how chronic consumption of a WD affects DNA
methylation in the frontal cortex of mice. Eight-week-old male mice were fed
either a control diet (CD) or a WD for 12 weeks, after which time alterations in
DNA methylation were analyzed. Assessment of global DNA methylation in the
frontal cortex using dot blot analysis revealed that there was a decrease in
global DNA methylation in the WD-fed mice compared with the CD-fed mice.
Bioinformatic analysis identified several networks and pathways containing genes
displaying differential methylation, particularly those involved in metabolism,
cell adhesion and cytoskeleton integrity, inflammation and neurological function.
In conclusion, the results from this study suggest that consumption of a WD
alters DNA methylation in the frontal cortex of mice and could provide one of the
mechanisms by which consumption of a WD impairs cognitive function.
PMID- 29372225
TI - Self-organization in dipolar cube fluids constrained by competing anisotropies.
AB - For magnetite spherical nanoparticles, the orientation of the dipole moment in
the crystal does not affect the morphology of either zero field or field induced
structures. For non-spherical particles however, an interplay between particle
shape and direction of the magnetic moment can give rise to unusual behaviors, in
particular when the moment is not aligned along a particle symmetry axis. Here we
disclose for the first time the unique magnetic properties of hematite cubic
particles and show the exact orientation of the cubes' dipole moment. Using a
combination of experiments and computer simulations, we show that dipolar
hematite cubes self-organize into dipolar chains with morphologies remarkably
different from those of spheres, and demonstrate that the emergence of these
structures is driven by competing anisotropic interactions caused by the
particles' shape anisotropy and their fixed dipole moment. Furthermore, we have
analytically identified a specific interplay between energy, and entropy at the
microscopic level and found that an unorthodox entropic contribution mediates the
organization of particles into the kinked nature of the dipolar chains.
PMID- 29372229
TI - Protein-mediated anti-adhesion surface against oral bacteria.
AB - Biomedical materials, such as orthopedic biomaterials, have a great impact on
improving life quality and self-esteem of human beings. However, the usage of
fixed appliances during dental treatment often increases the difficulty of oral
cleaning and enlarges the adhesion opportunity of oral bacteria. Furthermore, the
existing anti-adhesion coatings, such as polyethylene glycol derivatives and
zwitterionic polymers, have not been universally accepted in the oral environment
due to their intrinsic problems. Herein, inspired by the anti-adhesion capability
of bovine serum albumin, we report a facile protein-based anti-bacterial surface,
showing excellent anti-adhesion performance toward oral bacteria. This protein
mediated anti-adhesion strategy may provide a promising clue for developing new
anti-bacterial biomaterials, such as dental fixed appliances, restorative
materials and medical mouthwashes.
PMID- 29372230
TI - Near infrared dye-labelled polymeric micro- and nanomaterials: in vivo imaging
and evaluation of their local persistence.
AB - The use of micro- and nanomaterials as carriers of therapeutic molecules can
enhance the efficiency of treatments while avoiding side effects thanks to the
development of controlled drug delivery systems. The binding of a dye to a drug
or to a drug carrier has opened up a wide range of possibilities for an effective
in vivo optical tracing of drug biodistribution by using non-invasive real-time
technologies prior to their potential use as therapeutic vectors. Here, we
describe the fluorescent tagging of polymeric micro- and nanomaterials based on
poly(lactic-co-glycolic) acid and on the thermoresponsive poly(N
isopropylacrylamide) with the fluorescent probe IR-820 which was chemically
modified for its covalent coupling to the materials. The chemical modification of
the dye and the polymers yielded micro- and nanoparticulated labelled materials
to be potentially used as drug depots of different therapeutic molecules. In
vitro biological studies revealed their reduced cytotoxicity. A spatiotemporal in
vivo micro- and nanoparticle tracking allowed the evaluation of the
biodistribution of materials showing their local persistence and high
biocompatibility after pathological studies. These results underline the
suitability of these materials for the local, sustained, not harmful and/or on
demand drug delivery and the remarkable importance of evaluating the
biodistribution of materials and tissue persistence for their use as local drug
depots.
PMID- 29372231
TI - Nanospheres with a smectic hydrophobic core and an amorphous PEG hydrophilic
shell: structural changes and implications for drug delivery.
AB - The structure of nanospheres with a crystalline core and an amorphous diffuse
shell was investigated by small-angle neutron scattering (SANS), small-, medium-,
and wide-angle X-ray scattering (SAXS, MAXS and WAXS), and differential scanning
calorimetry (DSC). Nanospheres, 28 to 35 nm in diameter, were prepared from a
triblock copolymer with poly(ethylene glycol) (PEG) hydrophilic end-blocks and
oligomers of alternating desaminotyrosyl-tyrosine octyl ester (DTO) and suberic
acid (SA) as the central hydrophobic block. In the lyophilized nanospheres, the
diffraction patterns show that the PEG shell is ~10 nm in thickness and
crystalline, and the hydrophobic core is ~10 nm in diameter with a smectic liquid
crystalline texture. In aqueous dispersions, the hydrated PEG forms an amorphous
shell, but the crystalline phase in the core persists at concentrations down to 1
mg ml-1 as evidenced by the sharp MAXS diffraction peak at a d-spacing of 24.4 A
and a melting endotherm at 40 degrees C. As the dispersion is diluted (<1 mg ml
1), the core becomes less ordered, and its diameter decreases by 50% even though
the overall size of the nanosphere remains essentially unchanged. It is likely
that below a critical concentration, intermixing of hydrophobic segments with the
PEG segments reduces the size and the crystallinity of the core. At these
concentrations, the PEG corona forms a eutectic with water. The mechanisms by
which the concentration of the dispersion influences the structure of the
nanospheres, and consequently their drug-release characteristics, are discussed.
PMID- 29372232
TI - Assessing Metronomic Chemotherapy for Progressive Pediatric Solid Malignant
Tumors.
PMID- 29372233
TI - Birth Defects Potentially Related to Zika Virus Infection During Pregnancy in the
United States.
PMID- 29372234
TI - Comparison of Residual Risk-Based Eligibility vs Tumor Size and Nodal Status for
Power Estimates in Adjuvant Trials of Breast Cancer Therapies.
AB - Importance: Many large adjuvant clinical trials end up underpowered because of
fewer than expected events in the control arm. Ensuring a minimum number of
events would result in more informative trials. Objective: To calculate
individualized residual risk estimates using residual risk prediction software
and assess whether defining eligibility based on a minimum residual risk
threshold could increase the reliability of clinical trial power calculations
compared with eligibility criteria based on tumor size and nodal status. Design,
Setting, and Participants: We estimated residual risk in 443 consecutive patients
with early-stage breast cancer and assessed clinical trial power as a function of
residual risk distribution among the accrued patients. We defined residual risk
as the risk of recurrence that remains despite receipt of standard-of-care
therapy; this risk is determined by baseline prognostic risk and by the
improvement from adjuvant therapy. We performed trial simulations to examine how
the power of a 2-arm, 1:1 randomized clinical trial would change as the residual
risk distribution of the trial population that met eligibility criteria based on
tumor size and nodal status changes. We also simulated trials that use a minimum
residual risk value as eligibility criterion. Main Outcomes and Measures:
Residual risk; clinical trial power as a function of residual risk distribution
among the patients. Results: In the 443 patients (mean [SD] age, 56.1 [12.3]
years; range, 23-89 years), baseline prognostic and residual risks differed
substantially: 328 (74%) patients had more than 20% baseline risk of recurrence;
however, after adjustment for treatment effect only 12 (27%) had more than 20%
residual risk. We assessed residual risk distribution in patient cohorts that met
tumor size- and nodal status-based eligibility criteria for 3 currently accruing
randomized adjuvant trials; the median residual risks were 28% (interquartile
range [IQR], 25%-31%), 22% (IQR, 15%-28%), and 22% (IQR, 15%-28%), respectively,
indicating that the power of these trials could vary unpredictably. Simulations
showed that trials that use anatomical risk-based eligibility criteria can become
underpowered if they accrue patients with low residual risk despite all
participants meeting eligibility requirements. Using a minimum required residual
risk threshold as eligibility criterion produced more reliable power
calculations. Conclusions and Relevance: When tumor size and nodal status are
used to determine trial eligibility, the residual risk of recurrence can vary
broadly, leading to unstable power estimates. The success of future adjuvant
trials could be improved by defining patient eligibility based on a minimal
residual risk of recurrence, and these trials can achieve a prespecified power
with smaller sample sizes.
PMID- 29372235
TI - Computational Fluid Dynamics to Evaluate the Effectiveness of Inferior Turbinate
Reduction Techniques to Improve Nasal Airflow.
AB - Importance: Inferior turbinate reduction (ITR) is a commonly performed procedure
for the treatment of nasal obstruction. Which portion of the inferior turbinates
should be surgically addressed to improve nasal airflow has yet to be determined.
Objective: To use computational fluid dynamics (CFD) analysis to evaluate the
airflow changes after reduction along different portions of the inferior
turbinate. Design, Setting, and Participants: Computed tomographic scans of 5
patients were selected. Seven CFD models were created for each patient: 1
unaltered and 6 various ITRs, including 3 one-third ITRs (anterior, middle, and
posterior one-third); 2 two-thirds ITRs (anterior and posterior two-thirds); and
1 full-length ITR model. Total airflow rate and nasal resistance was obtained
through CFD analysis, and regression analysis was performed on the increased
nasal volume, locations, and nasal resistance for all 5 patients. Main Outcomes
and Measures: Total airflow rate and nasal resistance was obtained through CFD
analysis, and regression analysis was performed on the increased nasal volume,
locations, and nasal resistance for all 5 patients. Results: Full ITR over the
whole length was consistently most effective to improve nasal airflow and
resistance for all 5 patients (2 men and 3 women), adjusted for the volume.
Regression analysis showed a strong linear (R2>=0.79) relationship between nasal
volume changes and nasal airflow. However, the most effective location of partial
turbinate reduction was not consistent among patients. Surprisingly, for some
patients, posterior ITRs were more effective than anterior ITRs. The site of most
effective partial ITR differed from 1 side to the other even in the same
individual. Conclusions and Relevance: The effectiveness of partial ITR and
target location likely depends on individual patient anatomy. The fact that full
ITRs were consistently most effective and the linear regression between flow and
nasal volume changes may indicate that the entire length of the IT has a
functional impact on nasal airflow and resistance. Level of Evidence: NA.
PMID- 29372237
TI - Data-Driven Surgical Decision Making in Nasal Airway Surgery.
PMID- 29372236
TI - Comparison Between Adjuvant and Early-Salvage Postprostatectomy Radiotherapy for
Prostate Cancer With Adverse Pathological Features.
AB - Importance: Prostate cancer with adverse pathological features (ie, pT3 and/or
positive margins) after prostatectomy may be managed with adjuvant radiotherapy
(ART) or surveillance followed by early-salvage radiotherapy (ESRT) for
biochemical recurrence. The optimal timing of postoperative radiotherapy is
unclear. Objective: To compare the clinical outcomes of postoperative ART and
ESRT administered to patients with prostate cancer with adverse pathological
features. Design, Setting, and Participants: This multi-institutional, propensity
score-matched cohort study involved 1566 consecutive patients who underwent
postprostatectomy ART or ESRT at 10 US academic medical centers between January
1, 1987, and December 31, 2013. Propensity score 1-to-1 matching was used to
account for covariates potentially associated with treatment selection. Data were
collected from January 1 to September 30, 2016. Data analysis was conducted from
October 1, 2016, to October 21, 2017. Main Outcomes and Measures: Freedom from
postirradiation biochemical failure, freedom from distant metastases, and overall
survival. All outcomes were measured from date of surgery to address lead-time
bias. Results: Of 1566 patients, 1195 with prostate-specific antigen levels of
0.1 to 0.5 ng/mL received ESRT and 371 patients with prostate-specific antigen
levels lower than 0.1 ng/mL received ART. The median age (interquartile range)
was 60 (55-65) years. After propensity score matching, the median (interquartile
range) follow-up after surgery was similar between the ESRT and ART groups (73.3
[44.9-106.6] months vs 65.8 [40-107] months; P = .22). Adjuvant RT, compared with
ESRT, was associated with higher freedom from biochemical failure (12-year
actuarial rates: 69% [95% CI, 60%-76%] vs 43% [95% CI, 35%-51%]; effect size,
26%), freedom from distant metastases (95% [95% CI, 90%-97%] vs 85% [95% CI, 76%
90%]; effect size, 10%), and overall survival (91% [95% CI, 84%-95%] vs 79% [95%
CI, 69%-86%]; effect size, 12%). Adjuvant RT, lower Gleason score and T stage,
nodal irradiation, and postoperative androgen deprivation therapy were favorable
prognostic features on multivariate analysis for biochemical failure. Sensitivity
analysis demonstrated that the decreased risk of biochemical failure associated
with ART remained significant unless more than 56% of patients in the ART group
were cured by surgery alone. This threshold is greater than the estimated 12-year
freedom from biochemical failure rate of 33% to 52% after radical prostatectomy
alone, as determined by a contemporary dynamic nomogram. Conclusions and
Relevance: Adjuvant RT, compared with ESRT, was associated with reduced
biochemical recurrence, distant metastases, and death for high-risk patients,
pending prospective validation. These findings suggest that a greater proportion
of patients with prostate cancer who have adverse pathological features may
benefit from postprostatectomy ART rather than surveillance followed by ESRT.
PMID- 29372239
TI - Hearing Loss Among Older Adults With Heart Failure in the United States: Data
From the National Health and Nutrition Examination Survey.
PMID- 29372238
TI - Otolaryngologic Manifestations of Klippel-Feil Syndrome in Children.
AB - Importance: Children with Klippel-Feil syndrome (KFS), characterized principally
by abnormal fusion of 2 or more cervical vertebrae, may have many additional
congenital anomalies. The overall prevalence of otolaryngologic manifestations
among patients with KFS has not been previously characterized. Objective: To
define the otolaryngologic diagnoses made and procedures performed in 95 patients
with KFS, which, to our knowledge, is the largest series of this challenging
patient population published to date. Design, Setting, and Participants: For this
retrospective review, all patients with KFS who underwent otolaryngology
consultation at our institution over a 26-year period (January 1989 to December
2015) were included. Patients were identified using International Classification
of Diseases, Ninth Revision (ICD-9) codes and were confirmed through individual
medical record review. Relevant otolaryngologic diagnoses and procedures were
extracted using ICD-9 and Current Procedural Terminology codes, respectively.
Selected demographics included age, sex, number of clinic visits, and number of
procedures. Main Outcomes and Measures: The primary outcomes were the
otolaryngologic diagnoses and procedures associated with the KFS patient
population; the secondary outcome was Cormack-Lehane classification documented
during airway procedures. Results: Overall, 95 patients with KFS were included in
this study (55 males [58%] and 40 females [42%]); mean (range) age at time of
presentation to the otorhinolaryngology clinic was 5.8 (birth-23.0) years. Each
patient with KFS averaged 8 visits to the otorhinolaryngology office and 5
otolaryngologic diagnoses. The most common diagnosis was conductive hearing loss
(n = 49 [52%]), followed by sensorineural hearing loss (n = 38 [40%]), and
dysphagia (n = 37 [39%]). Sixty-two (65%) patients underwent otolaryngologic
procedures, with 44 (46%) undergoing multiple procedures. The most common
procedure was tympanostomy tube placement (n = 36 [38%]), followed by office
flexible endoscopy (n = 23 [24%]). Twelve of the 20 patients who underwent direct
laryngoscopy had documented Cormack-Lehane classification; 5 of 12 patients (42%)
had a compromised view (grade 2, 3, or 4) of the larynx. Three patients required
tracheotomies at this institution for airway stabilization purposes; each had
severe upper airway obstruction leading to respiratory failure. Conclusions and
Relevance: Patients with KFS require consultation for a variety of
otolaryngologic conditions. Among these, hearing loss is the most common, but
airway issues related to cervical spine fusion are the most challenging.
Formulating an appropriate care plan in advance is paramount, even for routine
otolaryngology procedures.
PMID- 29372240
TI - Medical Specialties of Clinicians Providing Mechanical Thrombectomy to Patients
With Acute Ischemic Stroke in the United States.
PMID- 29372241
TI - Pathogen-Reduced Platelets for the Prevention of Bleeding in People of Any Age.
AB - Clinical Question: Are pathogen-reduced platelets as effective as standard
platelets for the prevention of bleeding in people of any age requiring platelet
transfusions? Bottom Line: In people with cancer who have a low platelet count
due to their disease or its treatment, pathogen-reduced platelet transfusions
increase risk of platelet refractoriness and platelet transfusion requirement
(high-quality evidence) but probably do not effect all-cause mortality, risk of
clinically significant or severe bleeding, or risk of a serious adverse event
(moderate-quality evidence). There was insufficient evidence for people with
other diagnoses.
PMID- 29372242
TI - Characteristics of Patients Using Patient Portals in Oncology.
PMID- 29372243
TI - Chronic Inflammation After YAG Capsulotomy.
PMID- 29372244
TI - Assessing Metronomic Chemotherapy for Progressive Pediatric Solid Malignant
Tumors-Reply.
PMID- 29372245
TI - Assessing Metronomic Chemotherapy for Progressive Pediatric Solid Malignant
Tumors.
PMID- 29372246
TI - Reducing the Burden of Unilateral Vision Impairment and Blindness in Australia.
PMID- 29372248
TI - Prevalence of High-Risk Human Papillomavirus in Tonsil Tissue in Healthy Adults
and Colocalization in Biofilm of Tonsillar Crypts.
AB - Importance: The pathogenesis of human papillomavirus (HPV)-associated
oropharyngeal squamous cell carcinoma is currently an important topic of
elucidation. The presence of latent HPV infection in tonsil tissue of healthy
adults may provide an explanation for a component of this process and contribute
to the understanding of HPV-associated squamous cell carcinoma oncogenesis of the
oropharynx. Objective: To determine the prevalence of oropharyngeal HPV and to
determine the spatial relationship between the virus and crypt biofilm in tonsil
tissue. Design, Setting, and Participants: A retrospective, cross-sectional study
was carried out using samples obtained from tonsils that were archived at a
university hospital following elective nononcologic tonsillectomy from 2012 to
2015. Samples consisted of formalin-fixed paraffin embedded samples of tumor-free
tonsil tissue from 102 adults between the ages of 20 and 39 years. Exposures:
Human papillomavirus status was assessed by polymerase chain reaction, and high
risk subtypes 16 and 18 were assessed with quantitative polymerase chain reaction
assay. Samples that demonstrated presence of HPV were then analyzed by in situ
hybridization to localize the viral capsid protein. These samples were then
stained with concanavalin A to establish biofilm presence and morphology. These
samples were also stained with diamidino-phenylindole (DAPI) to visualize
location of the virus in relation to cell nuclei. These data were then assembled
for aggregate analysis to colocalize HPV in the biofilm of the tonsillar crypts.
Main Outcomes and Measures: Outcome measurements were determined prior to data
collection and include prevalence of high-risk HPV types 16 and 18 in tonsil
tissue of otherwise healthy adults, as well as demonstration with
immunohistochemistry of HPV in tonsillar crypt biofilm. Results: In 102 otherwise
healthy adults (55 [53.9%] female; age range, 20-39 years), the overall
prevalence of HPV in tonsils was 4.9% (n = 5); and high-risk type 16 or 18, 3.9%
(n = 4). In this sample population, in situ hybridization colocalized HPV virus
to the biofilm of the tonsillar crypts. Conclusions and Relevance: Biofilm is
present in the tonsillar crypts in a considerable proportion of tonsil tissues
and may be reproducibly identified. Human papillomavirus is demonstrated to
colocalize to the crypt biofilm. This has important implications with respect to
the determination of HPV prevalence rates in the oropharynx. It may also play a
role in the pathogenesis of HPV-related oropharyngeal carcinoma.
PMID- 29372247
TI - Association of Intracerebral Hemorrhage Among Patients Taking Non-Vitamin K
Antagonist vs Vitamin K Antagonist Oral Anticoagulants With In-Hospital
Mortality.
AB - Importance: Although non-vitamin K antagonist oral anticoagulants (NOACs) are
increasingly used to prevent thromboembolic disease, there are limited data on
NOAC-related intracerebral hemorrhage (ICH). Objective: To assess the association
between preceding oral anticoagulant use (warfarin, NOACs, and no oral
anticoagulants [OACs]) and in-hospital mortality among patients with ICH. Design,
Setting, and Participants: Retrospective cohort study of 141 311 patients with
ICH admitted from October 2013 to December 2016 to 1662 Get With The Guidelines
Stroke hospitals. Exposures: Anticoagulation therapy before ICH, defined as any
use of OACs within 7 days prior to hospital arrival. Main Outcomes and Measures:
In-hospital mortality. Results: Among 141 311 patients with ICH (mean [SD] age,
68.3 [15.3] years; 48.1% women), 15 036 (10.6%) were taking warfarin and 4918
(3.5%) were taking NOACs preceding ICH, and 39 585 (28.0%) and 5783 (4.1%) were
taking concomitant single and dual antiplatelet agents, respectively. Patients
with prior use of warfarin or NOACs were older and had higher prevalence of
atrial fibrillation and prior stroke. Acute ICH stroke severity (measured by the
National Institutes of Health Stroke Scale) was not significantly different
across the 3 groups (median, 9 [interquartile range, 2-21] for warfarin, 8 [2-20]
for NOACs, and 8 [2-19] for no OACs). The unadjusted in-hospital mortality rates
were 32.6% for warfarin, 26.5% for NOACs, and 22.5% for no OACs. Compared with
patients without prior use of OACs, the risk of in-hospital mortality was higher
among patients with prior use of warfarin (adjusted risk difference [ARD], 9.0%
[97.5% CI, 7.9% to 10.1%]; adjusted odds ratio [AOR], 1.62 [97.5% CI, 1.53 to
1.71]) and higher among patients with prior use of NOACs (ARD, 3.3% [97.5% CI,
1.7% to 4.8%]; AOR, 1.21 [97.5% CI, 1.11-1.32]). Compared with patients with
prior use of warfarin, patients with prior use of NOACs had a lower risk of in
hospital mortality (ARD, -5.7% [97.5% CI, -7.3% to -4.2%]; AOR, 0.75 [97.5% CI,
0.69 to 0.81]). The difference in mortality between NOAC-treated patients and
warfarin-treated patients was numerically greater among patients with prior use
of dual antiplatelet agents (32.7% vs 47.1%; ARD, -15.0% [95.5% CI, -26.3% to
3.8%]; AOR, 0.50 [97.5% CI, 0.29 to 0.86]) than among those taking these agents
without prior antiplatelet therapy (26.4% vs 31.7%; ARD, -5.0% [97.5% CI, -6.8%
to -3.2%]; AOR, 0.77 [97.5% CI, 0.70 to 0.85]), although the interaction P value
(.07) was not statistically significant. Conclusions and Relevance: Among
patients with ICH, prior use of NOACs or warfarin was associated with higher in
hospital mortality compared with no OACs. Prior use of NOACs, compared with prior
use of warfarin, was associated with lower risk of in-hospital mortality.
PMID- 29372249
TI - Prevalence and Causes of Unilateral Vision Impairment and Unilateral Blindness in
Australia: The National Eye Health Survey.
AB - Importance: This study determines the prevalence of unilateral vision impairment
(VI) and unilateral blindness to assist in policy formulation for eye health care
services. Objective: To determine the prevalence and causes of unilateral VI and
unilateral blindness in Australia. Design, Setting, and Participants: This cross
sectional population-based survey was conducted from March 2015 to April 2016 at
30 randomly selected sites across all strata of geographic remoteness in
Australia. A total of 1738 indigenous Australians 40 years or older and 3098
nonindigenous Australians 50 years or older were included. Main Outcomes and
Measures: The prevalence and causes of unilateral vision impairment and
blindness, defined as presenting visual acuity worse than 6/12 and 6/60,
respectively, in the worse eye, and 6/12 or better in the better eye. Results: Of
the 1738 indigenous Australians, mean (SD) age was 55.0 (10.0) years, and 1024
participants (58.9%) were female. Among the 3098 nonindigenous Australians, mean
(SD) age was 66.6 (9.7) years, and 1661 participants (53.6%) were female. The
weighted prevalence of unilateral VI in indigenous Australians was 12.5% (95% CI,
11.0%-14.2%) and the prevalence of unilateral blindness was 2.4% (95% CI, 1.7%
3.3%), respectively. In nonindigenous Australians, the prevalence of unilateral
VI was 14.6% (95% CI, 13.1%-16.3%) and unilateral blindness was found in 1.4%
(95% CI, 1.0%-1.8%). The age-adjusted and sex-adjusted prevalence of unilateral
vision loss was higher in indigenous Australians than nonindigenous Australians
(VI: 18.7% vs 14.5%; P = .02; blindness: 2.9% vs 1.3%; P = .02). Risk factors for
unilateral vision loss included older age (odds ratio [OR], 1.60 for each decade
of age for indigenous Australians; 95% CI, 1.39-1.86; OR, 1.65 per decade for
nonindigenous Australians; 95% CI, 1.38-1.96), very remote residence (OR, 1.65;
95% CI, 1.01-2.74) and self-reported diabetes (OR, 1.52; 95% CI, 1.12-2.07) for
indigenous Australians, and having not undergone an eye examination in the past 2
years for nonindigenous Australians (OR, 1.54; 95% CI, 1.04-2.27). Uncorrected
refractive error and cataract were leading causes of unilateral VI in both
populations (70%-75%). Corneal pathology (16.7%) and cataract (13.9%) were
leading causes of unilateral blindness in indigenous Australians, while amblyopia
(18.8%), trauma (16.7%), and age-related macular degeneration (10.4%) were major
causes of unilateral blindness in nonindigenous Australians. Conclusions and
Relevance: Unilateral vision loss is prevalent in indigenous and nonindigenous
Australians; however, most cases are avoidable. As those with unilateral vision
loss caused by cataract and posterior segment diseases may be at great risk of
progressing to bilateral blindness, national blindness prevention programs may
benefit from prioritizing examination and treatment of those with unilateral
vision loss.
PMID- 29372251
TI - Temporal Expression of Genes in Biofilm-Forming Ocular Candida albicans Isolated
From Patients With Keratitis and Orbital Cellulitis.
AB - Purpose: To study antibiotic susceptibility and biofilm-forming potential of
ocular isolates of Candida albicans along with gene expression. Methods: Seven
clinical isolates of C. albicans (keratitis-6 and orbital cellulitis-1) were
evaluated. Biofilm formation in one isolate was monitored by scanning electron
microscopy (SEM) and confocal laser scanning microscopy (CLSM). Expression of 27
genes (real-time PCR) associated with biofilm formation and virulence was
compared between biofilm-positive and biofilm-negative ocular C. albicans
isolates. The temporal expression (4 to 72 hours) of the 27 overexpressed genes
was also determined. Similar studies were also done with biofilm-positive and
biofilm-negative nonocular C. albicans. Results: Four of seven ocular C. albicans
isolates exhibited the potential to form biofilm, one of which was resistant to
three antifungals, whereas three were susceptible to all. SEM studies indicated
that biofilm increased from two to three adherent layers of cells at 24 hours to
multiple layers by 72 hours. CLSM showed that biofilm thickness increased from
5.2 MUm at 24 hours to 17.98 MUm at 72 hours. Upregulation of 27 genes involved
in virulence and biofilm formation was observed both in the ocular and nonocular
C. albicans positive for biofilm formation and compared to the respective non
biofilm-forming C. albicans. The results also indicated similarity in expression
of genes between biofilm-forming ocular and nonocular pathogenic C. albicans.
Temporal expression of the 27 genes (involved in adhesion, initiation,
maturation, and dispersal stages of biofilm) in the biofilm-positive ocular
isolate indicated that expression pattern followed four different patterns.
Conclusions: This is the first study showing similarity in expression of genes in
biofilm-forming ocular and nonocular isolates of C. albicans, suggesting that
upregulated genes could serve as a potential target for developing therapeutic
strategies.
PMID- 29372252
TI - A Novel Type of Multiterminal Motor Endplate in Human Extraocular Muscles.
AB - Purpose: To investigate the relation between type of motor endplate,
acetylcholine receptor (AChR) subunit composition, and fiber types in human
extraocular muscles (EOMs). Methods: EOM samples collected from subjects aged 34
to 82 years were serially sectioned and processed for immunohistochemistry, with
specific antibodies against different myosin heavy chain (MyHC) isoforms,
neurofilament, synaptophysin, and adult epsilon (epsilon) and fetal gamma (gamma)
AChR subunits as well as alpha-bungarotoxin. Results: A novel type of motor
endplate consisting of large, multiterminal en plaque endings was found in human
EOMs, in addition to the previously well-described single en plaque and multiple
en grappe endplates. Such novel endplates were abundant but exclusively observed
in myofibers lacking MyHC slow and fast IIa but containing MyHC extraocular
(MyHCeom), isoforms. Multiple en grappe endings were found only in myofibers
containing MyHC slow-tonic isoform and contained fetal gamma AChR subunit. Adult
epsilon and fetal gamma AChR subunits, alone or combined, were found in the
multiterminal endplates. Distinct AChR subunits were present in adjacent motor
endplates of a given myofiber containing MyHCeom. Conclusions: Human EOMs have a
more complex innervation pattern than previously described, comprising also a
novel type of multiterminal motor endplate present in myofibers containing
MyHCeom. The heterogeneity in AChR subunit composition in a given myofiber
suggests the possible presence of polyneuronal innervation in human EOMs.
PMID- 29372250
TI - Approach for a Clinically Useful Comprehensive Classification of Vascular and
Neural Aspects of Diabetic Retinal Disease.
AB - The Early Treatment Diabetic Retinopathy Study (ETDRS) and other standardized
classification schemes have laid a foundation for tremendous advances in the
understanding and management of diabetic retinopathy (DR). However, technological
advances in optics and image analysis, especially optical coherence tomography
(OCT), OCT angiography (OCTa), and ultra-widefield imaging, as well as new
discoveries in diabetic retinal neuropathy (DRN), are exposing the limitations of
ETDRS and other classification systems to completely characterize retinal changes
in diabetes, which we term diabetic retinal disease (DRD). While it may be most
straightforward to add axes to existing classification schemes, as diabetic
macular edema (DME) was added as an axis to earlier DR classifications, doing so
may make these classifications increasingly complicated and thus clinically
intractable. Therefore, we propose future research efforts to develop a new,
comprehensive, and clinically useful classification system that will identify
multimodal biomarkers to reflect the complex pathophysiology of DRD and
accelerate the development of therapies to prevent vision-threatening DRD.
PMID- 29372253
TI - Outer Retinal Dysfunction in the Absence of Structural Abnormalities in Multiple
Sclerosis.
AB - Purpose: Recent evidence suggests structural changes distal to the inner retina
in multiple sclerosis (MS) patients. The functional correlates of these proposed
structural abnormalities remain unclear. We investigated outer retinal function
and structure in MS patients, and quantified to what extent outer retinal
structure influenced function in these patients. Methods: Outer retinal function
was assessed using the full-field and multifocal electroretinogram (ERG/MF-ERG),
whereas retinal structure was assessed using spectral-domain optical coherence
tomography (OCT). Results were compared with preexisting normative data. The
relationships between electrophysiology parameters and the OCT values
corresponding to the proposed cellular origins of the ERG and MF-ERG were
analyzed. Results: Most electrophysiological responses were delayed in MS
patients, independently of optic neuritis (ON). Inner retinal thickness and
volumes were reduced, and inner nuclear layer volume marginally increased, in
eyes with previous ON; all other OCT parameters were normal. OCT results
correlated with ERG amplitudes, but not with ERG peak times or any MF-ERG
parameters. Conclusions: We recorded outer retinal dysfunction without detectable
abnormalities of the corresponding retinal layers in MS patients, not ascribable
to retrograde degeneration following ON. The findings complement a growing body
of literature reporting primary retinal abnormalities distal to the ganglion cell
inner plexiform layer complex in MS patients, with our data suggesting that this
may be a more widespread phenomenon than previously thought. ERG may be of more
utility in detecting retinal dysfunction in MS patients than MF-ERG. Analysis of
peak times, rather than response amplitudes, is recommended.
PMID- 29372254
TI - A Novel C-Terminal Mutation in Gsdma3 (C+/H-) Leads to Alopecia and Corneal
Inflammatory Response in Mice.
AB - Purpose: Mutations in the gene encoding Gasdermin A3 (Gsdma3) have been described
to cause severe skin phenotypes, including loss of sebaceous glands and alopecia,
in mice. We discovered a novel C-terminal mutation in Gsdma3 in a new mouse line
and characterized a less frequently reported corneal phenotype, likely caused by
degeneration of Meibomian glands of the inner eyelid. Methods: We used histologic
methods to evaluate the effects of the C+/H- mutation on sebaceous gland and skin
morphology as well as Meibomian glands of the inner eyelid and corneal tissue.
Chromosomal aberrations were excluded by karyogram analyses. The mutation was
identified by Sanger sequencing of candidate genes. Results: Analyses of skin
samples from affected mice confirmed the frequently reported phenotypes
associated with mutations in Gsdma3: Degeneration of sebaceous glands and
complete loss of pelage. Immunologic staining of corneal samples suggested an
inflammatory response with signs of neovascularization in half of the affected
older mice. While the corneal phenotype was observed at irregular time points,
mainly after 6 months, its appearance coincided with a degeneration of Meibomian
glands in the eyelids of affected animals. Conclusions: The mutation described
herein is associated with inflammation and neovascularization of corneal tissue.
Simultaneous degeneration of Meibomian glands in affected animals suggested a
change in tear-film composition as the underlying cause for the corneal
phenotype. Our data further support that different pathogenic mechanisms underlie
some of the reported mutations in Gsdma3.
PMID- 29372255
TI - Outer Retinal Dysfunction in the Absence of Structural Abnormalities in Multiple
Sclerosis.
AB - Purpose: Recent evidence suggests structural changes distal to the inner retina
in multiple sclerosis (MS) patients. The functional correlates of these proposed
structural abnormalities remain unclear. We investigated outer retinal function
and structure in MS patients, and quantified to what extent outer retinal
structure influenced function in these patients. Methods: Outer retinal function
was assessed using the full-field and multifocal electroretinogram (ERG/MF-ERG),
whereas retinal structure was assessed using spectral-domain optical coherence
tomography (OCT). Results were compared with preexisting normative data. The
relationships between electrophysiology parameters and the OCT values
corresponding to the proposed cellular origins of the ERG and MF-ERG were
analyzed. Results: Most electrophysiological responses were delayed in MS
patients, independently of optic neuritis (ON). Inner retinal thickness and
volumes were reduced, and inner nuclear layer volume marginally increased, in
eyes with previous ON; all other OCT parameters were normal. OCT results
correlated with ERG amplitudes, but not with ERG peak times or any MF-ERG
parameters. Conclusions: We recorded outer retinal dysfunction without detectable
abnormalities of the corresponding retinal layers in MS patients, not ascribable
to retrograde degeneration following ON. The findings complement a growing body
of literature reporting primary retinal abnormalities distal to the ganglion cell
inner plexiform layer complex in MS patients, with our data suggesting that this
may be a more widespread phenomenon than previously thought. ERG may be of more
utility in detecting retinal dysfunction in MS patients than MF-ERG. Analysis of
peak times, rather than response amplitudes, is recommended.
PMID- 29372256
TI - Dopamine Deficiency Mediates Early Rod-Driven Inner Retinal Dysfunction in
Diabetic Mice.
AB - Purpose: Electroretinograms (ERGs) are abnormal in diabetic retinas before the
appearance of vascular lesions, providing a possible biomarker for diabetic
vision loss. Previously, we reported that decreased retinal dopamine (DA) levels
in diabetic rodents contributed to early visual and retinal dysfunction. In the
current study, we examined whether oscillatory potentials (OPs) could serve as a
potential marker for detecting early inner retinal dysfunction due to retinal DA
deficiency. Methods: Retinal function was tested with dark-adapted ERGs, taken at
3, 4, and 5 weeks after diabetes induction with streptozotocin. Electrical
responses were analyzed and correlations were made with previously reported
retinal DA levels. The effect of restoring systemic DA levels or removing DA from
the retina in diabetic mice on OPs was assessed using L-3,4
dihydroxyphenylalanine (L-DOPA) treatments and retina-specific tyrosine
hydroxylase (Th) knockout mice (rTHKO), respectively. Results: Diabetic animals
had significantly delayed OPs compared to control animals in response to dim, but
not bright, flash stimuli. L-DOPA treatment preserved OP implicit time in
diabetic mice. Diabetic rTHKO mice had further delayed OPs compared to diabetic
mice with normal retinal Th, with L-DOPA treatment also providing benefit.
Decreasing retinal DA levels significantly correlated with increasing OP delays
mediated by rod pathways. Conclusions: Our data suggest that inner retinal
dysfunction in early-stage diabetes is mediated by rod-pathway deficits and DA
deficiencies. OP delays may be used to determine the earliest functional deficits
in diabetic retinopathy and to establish an early treatment window for DA
therapies that may prevent progressive vision loss.
PMID- 29372257
TI - Rapid Detection and Identification of Uveitis Pathogens by Qualitative Multiplex
Real-Time PCR.
AB - Purpose: Infectious uveitis is a serious sight-threatening infection commonly
caused by herpesviruses and Toxoplasma gondii. Etiologic diagnosis based on the
clinical evaluation is often challenging. We developed and validated a multiplex
real-time PCR assay coupled with high-resolution melting (HRM) for rapid
detection and identification of herpes simplex viruses 1 and 2 (HSV-1 and HSV-2),
varicella-zoster virus (VZV), cytomegalovirus (CMV), and T. gondii. Methods: The
assay was designed to target pathogen genome regions that yield products with
distinct melting temperatures. Analytical specificity, sensitivity, and precision
of HRM identification were determined. Clinical validation was performed by
testing 108 intraocular fluids collected from eyes suffering with infectious
uveitis (n = 30) and controls (n = 78). Results: A nonoverlapping high-precision
profile for each pathogen was generated following HRM (coefficient of variation
0%). The assay was highly sensitive, with a limit of detection of 20 genome
copies for herpesviruses and 200 genome copies for T. gondii. The intra- and
interassay variability of cycle threshold (Ct) measurement was <=4% and <=6%,
respectively. Thirteen intraocular specimens collected from suspected cases of
infectious uveitis were positive (mean Ct values varied from 19.4 to 27.7).
Melting profiles of positive cases were consistent with HSV-2 (n = 5), VZV (n =
5), CMV (n = 2), and T. gondii (n = 1). Amplicon identities were confirmed by
sequencing. Control intraocular samples from patients without a clinical
diagnosis of infectious uveitis were all negative. Conclusions: This assay allows
rapid, sensitive, and reliable detection and identification of the most common
known causes of infectious uveitis, making early pathogen information-based
intervention possible.
PMID- 29372258
TI - Retinal Lesion Detection With Deep Learning Using Image Patches.
AB - Purpose: To develop an automated method of localizing and discerning multiple
types of findings in retinal images using a limited set of training data without
hard-coded feature extraction as a step toward generalizing these methods to rare
disease detection in which a limited number of training data are available.
Methods: Two ophthalmologists verified 243 retinal images, labeling important
subsections of the image to generate 1324 image patches containing either
hemorrhages, microaneurysms, exudates, retinal neovascularization, or normal
appearing structures from the Kaggle dataset. These image patches were used to
train one standard convolutional neural network to predict the presence of these
five classes. A sliding window method was used to generate probability maps
across the entire image. Results: The method was validated on the eOphta dataset
of 148 whole retinal images for microaneurysms and 47 for exudates. A pixel-wise
classification of the area under the curve of the receiver operating
characteristic of 0.94 and 0.95, as well as a lesion-wise area under the
precision recall curve of 0.86 and 0.64, was achieved for microaneurysms and
exudates, respectively. Conclusions: Regionally trained convolutional neural
networks can generate lesion-specific probability maps able to detect and
distinguish between subtle pathologic lesions with only a few hundred training
examples per lesion.
PMID- 29372259
TI - Lycium Barbarum Polysaccharides Protect Retina in rd1 Mice During Photoreceptor
Degeneration.
AB - Purpose: As an active component in wolfberry, lycium barbarum polysaccharides
(LBP) are capable of protecting retinal neurons in several animal disease models.
Here, we asked whether LBP rescues the retinal morphology and function in rd1
mouse, a photoreceptor fast-degenerating animal model of retinitis pigmentosa,
and in particular focused on LBP's effects on the function of retinal ganglion
cells (RGCs) during photoreceptor degeneration. Methods: An equal volume of LBP
or control vehicle was daily intraperitoneal (i.p.) injected in rd1 mice from
postnatal day 4 (P4) to P14, P20, or P24 when photoreceptors completely
degenerate. Immunostaining, electroretinogram (ERG), visual behavior tests and
multielectrode array (MEA) recordings were assessed to determine the structure
and function of the treated retina. Results: LBP treatment greatly promoted
photoreceptor survival, enhanced ERG responses, and improved visual behaviors in
rd1 mice. MEA data showed that LBP treatment in general decreased the abnormally
high spontaneous spiking that occurs in rd1 mice, and increased the percentage of
light-responsive RGCs as well as their light-evoked response, light sensitivity,
signal-to-noise ratio, and response speed. Interestingly, LBP treatment affected
ON and OFF responses differently. Conclusions: LBP improves retinal morphology
and function in rd1 mice, and delays the functional decay of RGCs during
photoreceptor degeneration. This is the first study that has examined in detail
the effects of LBP on RGC responses. Our data suggest that LBP may help extend
the effective time window before more invasive RP therapeutic approaches such as
retinoprosthesis are applied.
PMID- 29372260
TI - Comparison of outcome measures from different pathways following total knee
arthroplasty.
AB - INTRODUCTION: The benefits of extended inpatient rehabilitation following total
knee arthroplasty (TKA) in local community hospitals (CHs) are unproven. Our
study compared functional outcomes between patients discharged home and to CHs
following TKA. METHODS: A case-control study was conducted of patients undergoing
primary unilateral TKA. Consecutive patients (n = 1,065) were retrospectively
reviewed using the Knee Society Clinical Rating System (KSCRS), 36-item Short
Form Health Survey (SF-36) and Oxford Knee Score (OKS) preoperatively, and at the
six-month and two-year follow-ups. RESULTS: Overall, 967 (90.8%) patients were
discharged home and 98 (9.2%) to CHs. CH patients were older (mean age 70.7 vs.
67.2 years; p < 0.0001), female (86.7% vs. 77.5%; p = 0.0388) and less educated
(primary education and above: 61.7% vs. 73.8%; p = 0.0081). Median CH length of
stay was 23.0 (range 17.0-32.0) days. Significant predictors of discharge
destination were older age, female gender, lower education, and poorer ambulatory
status and physical health. Preoperatively, CH patients had worse KSCRS Function
(49.2 +/- 19.5 vs. 54.4 +/- 16.8; p = 0.0201), SF-36 Physical Functioning (34.3
+/- 22.6 vs. 40.4 +/- 22.2; p = 0.0017) and Social Functioning (48.2 +/- 35.1 vs.
56.0 +/- 35.6; p = 0.0447) scores. CH patients had less improvement for all
scores at all follow-ups. Regardless of preoperative confounders, with repeated
analysis of variance, discharge destination was significantly associated with
KSCRS, SF-36 and OKS scores. CONCLUSION: Older, female and less educated patients
with poorer preoperative functional scores were more likely to be discharged to
CHs after TKA. At the two-year follow-up, patients in CHs had less improvement in
functional outcomes than those discharged home.
PMID- 29372261
TI - Patients with primary restless legs syndrome have higher prevalence of autonomic
dysfunction and irritable bowel syndrome.
AB - INTRODUCTION: Given the limited data on autonomic dysfunction in patients with
primary restless legs syndrome (pRLS), we compared autonomic dysfunction and
presence of irritable bowel syndrome (IBS) between patients with pRLS and control
patients. METHODS: Consecutive adult drug-naive patients with pRLS, and age- and
gender-matched healthy control patients were enrolled in this study. Diagnoses,
based on validated self-reported questionnaires, were made using the following
guidelines: Rome III classification system for functional gastrointestinal
disorders for IBS; Beck Anxiety Inventory (BAI) and Beck Depression Inventory
(BDI) for the presence of anxiety and depression, respectively; Pittsburgh Sleep
Quality Index (PSQI) for severity of sleep disturbances; and Scales for Outcomes
in Parkinson's disease-Autonomic (SCOPA-AUT) for autonomic dysfunction. RESULTS:
There were 88 patients with pRLS (18 male, 70 female) and 128 control patients
(40 men, 88 women). The mean age of the pRLS patients and control patients was
50.3 +/- 9.3 years and 49.7 +/- 8.2 years, respectively. Overall, 41 (46.6%) of
the patients with pRLS and 16 (12.5%) of the control patients had IBS. Among
patients with pRLS, IBS was significantly more common and the total autonomic
SCOPA-AUT scores were higher than those found among control patients. Among pRLS
patients with IBS, total autonomic SCOPA-AUT, PSQI, BAI and BDI scores were
significantly higher than among pRLS patients without IBS. The presence of IBS
did not affect the severity of restless legs syndrome. CONCLUSION: The presence
of autonomic nervous system impairment in patients with pRLS and the strong link
between IBS and pRLS merit further, more extensive investigation.
PMID- 29372263
TI - [E-Health and reality - what are we facing in patient care?]
AB - The terms e-Health and digitization are core elements of a change in our time.
The main drivers of this change - in addition to a dynamic market - are the
serious advantages for the healthcare sector in the processing of tasks and
requirements. The large amounts of data, the intensively growing medical
knowledge, the rapidly advancing technological developments and the goal of a
personalized, customized therapy for the patient, make the application absolutely
necessary. While e-Health describes the use of information and communication
technologies in healthcare, the concept of digitization is associated with the
underlying processes of change and innovation. Digital technologies include
software and hardware based developments. The term clinical data intelligence
describes the property of workability and also characterizes the collaboration of
clinically relevant systems with which the medical user works. The hierarchy in
digital processing maps the levels from pure data management through clinical
decision support to automated process flows and autonomously operating units. The
combination of patient data management and clinical decision support proves its
value in terms of error reduction, prevention, quality and safety, especially in
drug therapy. The aim of this overview is the presentation of the existing
reality in medical centers with perspectives derived from the point of view of
the medical user.
PMID- 29372262
TI - Vascular endothelium dysfunction: a conservative target in metabolic disorders.
AB - AIM: Vascular endothelium plays a role in capillary transport of nutrients and
drugs and regulates angiogenesis, homeostasis, as well as vascular tone and
permeability as a major regulator of local vascular homeostasis. The present
study has been designed to investigate the role of endothelium in metabolic
disorders. METHODS: The endothelium maintains the balance between vasodilatation
and vasoconstriction, procoagulant and anticoagulant, prothrombotic and
antithrombotic mechanisms. RESULTS: Diabetes mellitus causes the activation of
aldose reductase, polyol pathway and advanced glycation-end-product formation
that collectively affect the phosphorylation status and expression of endothelial
nitric oxide synthatase (eNOS) and causes vascular endothelium dysfunction.
Elevated homocysteine levels have been associated with increase in LDL oxidation,
generation of hydrogen peroxides, superoxide anions that increased oxidative
degradation of nitric oxide. Hyperhomocysteinemia has been reported to increase
the endogenous competitive inhibitors of eNOS viz L-N-monomethyl arginine (L
NMMA) and asymmetric dimethyl arginine (ADMA) that may contribute to vascular
endothelial dysfunction. Hypercholesterolemia stimulates oxidation of LDL
cholesterol, release of endothelins, and generation of ROS. The increased
cholesterol and triglyceride level and decreased protective HDL level, decreases
the activity and expression of eNOS and disrupts the integrity of vascular
endothelium, due to oxidative stress. Hypertension also stimulates release of
endothelins, vasoconstrictor prostanoids, angiotensin II, inflammatory cytokines,
xanthine oxidase and, thereby, reduces bioavailability of nitric oxide.
CONCLUSION: Thus, the cellular and molecular mechanisms underlying diabetes
mellitus, hyperhomocysteinemia, hypercholesterolemia hypertension and
hyperuricemia leads to an imbalance of phosphorylation and dephosphorylation
status of lipid and protein kinase that cause modulation of vascular endothelial
L-arginine/nitric oxide synthetase (eNOS), to produce vascular endothelium
dysfunction.
PMID- 29372264
TI - [Lichenoid drug reactions].
AB - Lichenoid drug reactions are rare compared to typical morbilliform drug exanthema
or urticaria. They are associated with specific drugs or drug families like gold,
antimalarial drugs, beta-blockers and angiotensin-converting-enzyme inhibitors.
Recent observations included associations with novel drugs such as biologics (e.
g. tumour necrosis factor antagonists) and immune checkpoint inhibitors (anti
programme cell death protein 1 antibodies). Lichenoid drug reactions most often
resemble lichen planus mainly in areas of ultraviolet-light exposed skin, but
also mucosal lichen planus and even bullous lesions may occur.
PMID- 29372265
TI - Percutaneous cholecystostomy for severe (Tokyo 2013 stage III) acute
cholecystitis.
AB - PURPOSES: To evaluate the impact of percutaneous cholecystostomy (PC) on severe
acute cholecystitis (AC). METHODS: According to the ICD-9 classification, we
retrospectively retrieved medical records of patients discharged with a diagnosis
of AC from January 2007 to December 2016 at our hospital. Patients were then
stratified according to the Tokyo 2013 (TG 13) AC severity criteria. Grade III AC
was diagnosed according to the TG 13 criteria. Indications for PC were failure of
optimal medical treatment within 48 h, worsening of clinical condition within
early medical treatment, patients unfit for upfront surgery and patient's
preference. Ascites was considered a contraindication to PC while coagulopathy
was considered a minor contraindication. Primary end points were: clinical
improvement, morbidity and related mortality. Secondary endpoints were AC
recurrences and elective laparoscopic cholecystectomies (LS). Response was
evaluated by clinical and blood test improvement. Morbidity was evaluated
according to the Dindo-Clavien scale. RESULTS: A total of 117 eligible patients
were diagnosed as grade III AC. Of these, 29 (24.7%) underwent PC. The procedure
was completed in all cases. Overall morbidity rate was 20.6%. Main complication
was the drainage dislodgement due to involuntary patient's movement. Overall
mortality was 17.2% but no causes of death were dependent upon the procedure.
Clinical improvement was reported in 95.5% of surviving patients. CONCLUSION:
This study confirms that PC is a valuable tool in the treatment of severe AC.
Randomized trials are needed to clarify the criteria for patient selection and to
optimize the timing for both cholecystostomy and cholecystectomy.
PMID- 29372266
TI - [Leiomyosarcoma of the foreskin : A rare case of mesenchymal foreskin tumor].
AB - We report a case of a rare mesenchymal foreskin tumor. A slow-growing node was
detected during the urological examination of a 39-year-old man. A histological
examination following the node excision showed a superficial leiomyosarcoma.
Superficial leiomyosarcomas rarely metastasize and are associated with a better
prognosis than deeper tumors. The patient had no relapse 9 months after a
successful radical circumcision. We strongly recommend a histological examination
whenever a growing node in the genital area is found.
PMID- 29372267
TI - Discussion: Management of Tuberous Breast Deformities: Review of Long-Term
Outcomes and Patient Satisfaction with BREAST-Q.
PMID- 29372268
TI - Outcomes of Fat-Augmented Latissimus Dorsi (FALD) Flap Versus Implant-Based
Latissimus Dorsi Flap for Delayed Post-radiation Breast Reconstruction.
AB - BACKGROUND: Although free abdominal flaps constitute the gold standard in post
radiation delayed breast reconstruction, latissimus dorsi-based methods offer
alternative reconstructive options. This retrospective study aims to compare
outcomes of delayed breast reconstruction using the fat-augmented latissimus
dorsi (FALD) autologous reconstruction and the latissimus dorsi-plus-implant
reconstruction in irradiated women. METHODS: We reviewed the files of 47 post
mastectomy irradiated patients (aged 29-73 years), who underwent delayed
latissimus dorsi-based breast reconstruction between 2010 and 2016. Twenty-three
patients (Group A) had an extended FALD flap and twenty-four patients (Group B)
an implant-based latissimus dorsi reconstruction. Patients' age, BMI,
pregnancies, volume of injected fat, implant size, postoperative complications,
and secondary surgical procedures were recorded and analyzed. RESULTS: Age, BMI,
pregnancies, and donor-site complications were similar in both groups (p > 0.05).
Mean fat volume injected initially was 254 cc (ranged 130-380 cc/session); mean
implant volume was 323 cc (ranged 225-420 cc). Breast complications were
significantly fewer in Group A (one wound dehiscence, two oily cysts) compared to
Group B (three cases with wound dehiscence, two extrusions, thirteen severe
capsular contractions). Non-statistically significant difference was documented
for secondary procedures between groups; although the mean number of additional
surgeries/patient was higher in Group A, they referred to secondary lipofilling,
whereas in Group B they were revision surgeries for complications. CONCLUSIONS:
The FALD flap constitutes an alternative method for delayed autologous
reconstruction after post-mastectomy irradiation, avoiding implant-related
complications. Although additional fat graft sessions might be required, it
provides an ideal autogenous reconstructive option for thin nulliparous women,
with a small opposite breast and adequate fat donor sites. LEVEL OF EVIDENCE IV:
This journal requires that authors assign a level of evidence to each article.
For a full description of these Evidence-Based Medicine ratings, please refer to
the Table of Contents or the online Instructions to Authors
www.springer.com/00266 .
PMID- 29372269
TI - Traumatic fractures as a result of motor vehicle collisions in children and
adolescents.
AB - PURPOSE: We investigated the incidence and pattern of traumatic fractures
resulting from motor vehicle collisions in a population of children and
adolescents (<=18 years old) and to determine the risk factors for nerve injury.
METHODS: We retrospectively reviewed 734 patients admitted to our university
affiliated hospitals from 2001 to 2010. RESULTS: This study enrolled 498 male
(67.8%) and 236 female (32.2%) patients aged 10.9 +/- 5.3 years old. The most
common injuries were to pedestrians, and the most common fracture sites (438,
59.7%) were to lower extremities (n = 441, 60.0%). A total of 201 (27.4%)
patients experienced a nerve injury. Univariate logistic regression analysis
showed that age (P = 0.014), lower-extremity (P = 0.000), craniofacial (P =
0.000) and spinal (P = 0.000) fractures were risk factors for nerve injury.
Multivariate logistic regression analysis indicated that craniofacial [odds ratio
(OR) = 9.003, 95% confidence interval (CI) 5.159-15.711, P = 0.000)] and spinal
(experiencedOR = 10.141, 95% CI: 4.649-22.121, P = 0.011) fractures were
independent risk factors for nerve injury. CONCLUSIONS: Patients in the 15- to 18
years old group and drivers had the largest sex ratio and highest frequencies of
both nerve injury and early complications. Craniofacial and spinal fractures were
independent risk factors for nerve injury. It is therefore important to focus on
these risk factors to determine the presence of a nerve injury so that early,
timely diagnosis and targeted treatment can be provided.
PMID- 29372270
TI - Letter regarding article by Sun et al.: Locking plates versus intramedullary
nails in the management of displaced proximal humeral fractures: a systematic
review and meta-analysis.
PMID- 29372271
TI - Current Status and Future Prospects of Next-Generation Data Management and
Analytical Decision Support Tools for Enhancing Genetic Gains in Crops.
AB - Agricultural disciplines are becoming data intensive and the agricultural
research data generation technologies are becoming sophisticated and high
throughput. On the one hand, high-throughput genotyping is generating petabytes
of data; on the other hand, high-throughput phenotyping platforms are also
generating data of similar magnitude. Under modern integrated crop breeding,
scientists are working together by integrating genomic and phenomic data sets of
huge data volumes on a routine basis. To manage such huge research data sets and
use them appropriately in decision making, Data Management Analysis & Decision
Support Tools (DMASTs) are a prerequisite. DMASTs are required for a range of
operations including generating the correct breeding experiments, maintaining
pedigrees, managing phenotypic data, storing and retrieving high-throughput
genotypic data, performing analytics, including trial analysis, spatial
adjustments, identifications of MTAs, predicting Genomic Breeding Values (GEBVs),
and various selection indices. DMASTs are also a prerequisite for understanding
trait dynamics, gene action, interactions, biology, GxE, and various other
factors contributing to crop improvement programs by integrating data generated
from various science streams. These tools have simplified scientists' lives and
empowered them in terms of data storage, data retrieval, data analytics, data
visualization, and sharing with other researchers and collaborators. This chapter
focuses on availability, uses, and gaps in present-day DMASTs. Graphical
Abstract.
PMID- 29372272
TI - Combined evaluation of regional coronary artery calcium and myocardial perfusion
by 82Rb PET/CT in the identification of obstructive coronary artery disease.
AB - PURPOSE: Cardiac imaging with PET/CT allows measurement of coronary artery
calcium (CAC), myocardial perfusion and coronary vascular function. We
investigated whether the combined assessment of regional CAC score, ischemic
total perfusion deficit (ITPD) and quantitative coronary vascular function would
further improve the diagnostic accuracy of PET/CT in predicting obstructive
coronary artery disease (CAD). METHODS: We analyzed 113 patients with suspected
CAD referred to 82Rb PET/CT myocardial perfusion imaging with available coronary
angiographic data. Obstructive CAD was defined as >=75% stenosis. The receiver
operating characteristic area under curve (AUC) was applied to evaluate the
ability of CAC score, ITPD, hyperemic myocardial blood flow (MBF) and coronary
flow reserve (CFR) to identify CAD. RESULTS: Vessels with obstructive CAD (71
vessels) had higher ITPD (4.6 +/- 6.2 vs. 0.6 +/- 1.3) and lower hyperemic MBF
(1.01 +/- 0.5 vs. 1.75 +/- 0.6 ml/min/g) and CFR (1.56 +/- 0.6 vs. 2.38 +/- 0.7;
all p < 0.001) than those without. In prediction of per-vessel CAD, the AUCs for
the models including CAC/ITPD/hyperemic MBF (0.869) and CAC/ITPD/CFR (0.875) were
higher (both p < 0.01) than for the model including CAC/ITPD (0.790). Compared
with CAC/ITPD, continuous net reclassification improvement was 0.69 (95%
bootstrap confidence interval, CI, 0.365-1.088) for the CAC/ITPD/hyperemic MBF
model and 0.99 (95% bootstrap CI 0.64-1.26) for the CAC/ITPD/CFR model.
CONCLUSION: Hyperemic MBF and CFR provide incremental information about the
presence of CAD over CAC score and perfusion imaging parameters. The combined use
of CAC, myocardial perfusion imaging and quantitative coronary vascular function
in may help predict more accurately the presence of obstructive CAD.
PMID- 29372273
TI - Extraction and detection of bisphenol A in human serum and urine by aptamer
functionalized magnetic nanoparticles.
AB - A new type of magnetic nanoparticles (MNPs), as the absorbents of bisphenol A
(BPA), was prepared by functionalization of Fe3O4@SiO2 with BPA-specific aptamer
in this work. ssDNA aptamer was immobilized on the Fe3O4@SiO2 surface through
biotin-avidin interactions, playing a role of the specific probe for BPA. The
resultant materials (Apt-MNPs) exhibited outstanding magnetic responsibility and
can be separated efficiently by the magnetic field. Experimental results also
showed that Apt-MNPs had large adsorption capacity and high competitive
selectivity for the targeted compound BPA. Furthermore, Apt-MNPs were adopted as
the specific absorbents to extract and enrich BPA from human serum and urine
samples. Therefore, an efficient detection method of BPA was developed in
combination with high-performance liquid chromatography (HPLC). The linearity of
the method was over a range of 5-10,000 ng mL-1 with a correlation coefficient of
0.99997, and the limit of detections (LODs) for serum and urine were 2.0 and 1.0
ng mL-1, respectively. The recoveries of BPA in the spiked human serum and urine
samples were 90.8 +/- 7.3% (RSD) and 92.3 +/- 1.5%, respectively. Our results
demonstrated that Apt-MNPs were high-performance adsorbents for extracting and
enriching BPA, resulting in fast and efficient detection of BPA in serum and
urine samples. Graphical abstract Aptamer-MNPs were effective for BPA separation
from serum and urine.
PMID- 29372274
TI - A comprehensive evaluation of tyrosol and hydroxytyrosol derivatives in extra
virgin olive oil by microwave-assisted hydrolysis and HPLC-MS/MS.
AB - A rapid and reliable method to assay the total amount of tyrosol and
hydroxytyrosol derivatives in extra virgin olive oil has been developed. The
methodology intends to establish the nutritional quality of this edible oil
addressing recent international health claim legislations (the European
Commission Regulation No. 432/2012) and changing the classification of extra
virgin olive oil to the status of nutraceutical. The method is based on the use
of high-performance liquid chromatography coupled with tandem mass spectrometry
and labeled internal standards preceded by a fast hydrolysis reaction step
performed through the aid of microwaves under acid conditions. The overall
process is particularly time saving, much shorter than any methodology previously
reported. The developed approach represents a mix of rapidity and accuracy whose
values have been found near 100% on different fortified vegetable oils, while the
RSD% values, calculated from repeatability and reproducibility experiments, are
in all cases under 7%. Graphical abstract Schematic of the methodology applied to
the determination of tyrosol and hydroxytyrosol ester conjugates.
PMID- 29372275
TI - Muscle Mass, Muscle Morphology and Bone Health Among Community-Dwelling Older
Men: Findings from the Hertfordshire Sarcopenia Study (HSS).
AB - Sarcopenia and osteoporosis are associated with poor health outcomes in older
people. Relationships between muscle and bone have typically been reported at a
functional or macroscopic level. The aims of this study were to describe the
relationships between muscle morphology and bone health among participants of the
Hertfordshire Sarcopenia Study (HSS). 105 older men, mean age 72.5 (SD 2.5)
years, were recruited into the HSS. Whole body lean mass as well as appendicular
lean mass, lumbar spine and femoral neck bone mineral content (BMC) and bone
mineral density (BMD) were obtained through dual-energy X-ray absorptiometry
scanning. Percutaneous biopsy of the vastus lateralis was performed successfully
in 99 participants. Image analysis was used to determine the muscle morphology
variables of slow-twitch (type I) and fast-twitch (type II) myofibre area,
myofibre density, capillary and satellite cell (SC) density. There were strong
relationships between whole and appendicular lean body mass in relation to
femoral neck BMC and BMD (r >= 0.43, p < 0.001). Type II fibre area was
associated with both femoral neck BMC (r = 0.27, p = 0.01) and BMD (r = 0.26, p =
0.01) with relationships robust to adjustment for age and height. In unadjusted
analysis, SC density was associated with whole body area (r = 0.30, p = 0.011)
and both BMC (r = 0.26, p = 0.031) and area (r = 0.29, p = 0.017) of the femoral
neck. We have demonstrated associations between BMC and changes in muscle at a
cellular level predominantly involving type II myofibres. Interventions targeted
at improving muscle mass, function and quality may improve overall
musculoskeletal health. Larger studies that include women are needed to explore
these relationships further.
PMID- 29372277
TI - Hand MRI and the Greulich-Pyle atlas in skeletal age estimation in adolescents.
AB - OBJECTIVE: To evaluate the feasibility of hand MRI in age assessment in
adolescents using the Greulich-Pyle (GP) atlas criteria. MATERIALS AND METHODS:
Two radiologists, who were blinded to the study subjects' chronologic ages, semi
objectively evaluated 1.5-T MRIs of the left hands of ten patients (13.5 +/- 2.6
years) who had left-hand radiographs and 50 healthy volunteers (15 +/- 2 years).
RESULTS: A coronal T1-weighted, volumetric, interpolated, breath-hold examination
with water excitation (T1 VIBE-3D-WE) achieved the best image quality. The
correlation between estimated patients' ages on radiographs and MRI was high. The
average estimated age difference between the MRIs and radiographs was -0.05 years
for reader 1 and -0.175 years for reader 2. The interclass coefficients (ICCs)
showed high interobserver agreement (radiographs: ICC = 0.95, MRI: ICC = 0.97).
The ICC, calculated separately for the male and female volunteers' estimated ages
by MRI, also showed a high agreement between the two readers (male: ICC = 0.97,
female: ICC = 0.95). Reader 1 estimated 94% of volunteers within 2 standard
deviations (SD) and 62% within 1 SD. The results for reader 2 were 92% and 54%,
respectively. Thirty-nine percent of girls and 27% of boys were estimated to be
older using 1 SD. CONCLUSION: MRI of the left hand is a feasible alternative to
hand radiographs for skeletal age estimation in adolescents using the GP criteria
with 2 SD. Using 1 SD, the age of healthy volunteers tended to be estimated as
higher than the chronologic age. Future studies should evaluate the results in a
larger number of participants.
PMID- 29372278
TI - Isolation and characterization of a novel bacteriophage infecting Vibrio
alginolyticus.
AB - Vibrio alginolyticus is a common marine bacterium implicated in disease outbreaks
in marine farmed fish and invertebrates. Due to the inappropriate use of
antibiotics in aquaculture, alternative therapies have been proposed. One of the
most promising options is the use of lytic bacteriophages to control pathogenic
bacteria. This work describes the isolation and characterization of a lytic phage
(VEN) against a V. alginolyticus strain (V2) isolated from a disease outbreak in
common dentex (Dentex dentex) cultured at the Hellenic Centre for Marine Research
(HCMR) in Crete, Greece. The bacteriophage is morphologically similar to phages
from Podoviridae family and remained stable for 1 year at 4 degrees C and over 1
h when kept at 50 degrees C. VEN was able to lyse the host bacteria at several
multiplicity of infection (MOI) (0.1-100) in liquid cultures. However, it was
unable to infect other V. alginolyticus strains. Its genome consists of 44,603 bp
with a GC content of 43.5%, while sequence analysis revealed the presence of 54
potential ORFs with a T7-like genomic organization. Almost 65% of the predicted
ORFs presented homology with proteins of the vibriophages Vc1 and phi-A318
infecting Vibrio cyclitrophicus and Vibrio alginolyticus, respectively.
Phylogenetic analysis applying the amino acid sequence of the large terminase
subunit confirmed the close relationship of these phages. Furthermore, the
comparison of the RNA polymerase of these phages revealed that the motifs A, B
and C related to the catalytic activity and the recognition loop related to
promotor identification were also conserved. VEN has an obligate lytic life cycle
demonstrated by experimental data and genomic analysis. These results suggest
that VEN may provide a good candidate to control recurrent diseases caused by V.
alginolyticus at HCMR.
PMID- 29372280
TI - Correction to: Routine Sildenafil Does Not Improve Clinical Outcomes After Fontan
Operation.
AB - The original version of this article unfortunately contained a mistake. The
presentation of Table 2 was incorrect .The corrected table is given below.
PMID- 29372279
TI - Blood pressure targets in type 2 diabetes. Evidence against or in favour of an
aggressive approach.
AB - When associated with high blood pressure, type 2 diabetes mellitus is
characterised by a high risk of adverse cardiovascular (CV) and renal outcomes.
However, both can be effectively reduced by antihypertensive treatment. Current
guidelines on the treatment of hypertension emphasize the need to effectively
treat high blood pressure in diabetic individuals, but their recommendations
differ in terms of the optimal target blood pressure value to aim for in order to
maximise CV and renal protection. In some guidelines the recommended target blood
pressure values are <140/90 mmHg (systolic/diastolic), whereas in others, blood
pressure values close or even less than 130/80 mmHg are recommended. This paper
will discuss the evidence for and against a conservative or more aggressive blood
pressure target for treated diabetic hypertensive individuals based on the
evidence provided by randomised trials, trial meta-analyses and large
observational studies. Based on the available evidence, it appears that blood
pressure targets will probably have to be lower than <140/90 mmHg, and that
values approaching 130/80 mmHg should be recommended. However, evidence in favour
of even lower systolic values, i.e. <130 mmHg, is limited and is definitively
against a reduction to <120 mmHg.
PMID- 29372281
TI - Characterization of the Gut Microbiota in Six Geographical Populations of Chinese
Rhesus Macaques (Macaca mulatta), Implying an Adaptation to High-Altitude
Environment.
AB - Knowledge about the impact of different geographical environments on rhesus
macaque gut microbiota is limited. In this study, we compared the characteristics
of gut microbiota in six different Chinese rhesus macaque populations, including
Hainan, Nanning, Guizhou, Xichang, Jianchuan and Tibet. Through the composition
analysis of operational taxonomic units (OTUs), we found that there were
significant differences in the abundance of core overlapping OTUs in the six
Chinese groups. Specifically, the Tibet population exhibited the highest gut
microbial diversity and the most unique OTUs. Statistically significant
differences in the composition of gut microbiota among the six groups at phylum
and family level were evident. Specifically, Tibet had higher abundances of
Firmicutes and lower abundances of Bacteroidetes than the other geographical
groups, and the higher abundance of Firmicutes in the Tibetan group was mainly
caused by a significant increase in the family Ruminococcaceae and
Christensenellaceae. Phylogenetic investigation of communities by reconstruction
of unobserved state analysis showed that the enrichment ratio for environmental
information processing and organismal systems was the highest in the Tibet
population. Additionally, our results suggested that in the adaptation process of
rhesus macaques to different geographical environments, the abundance of the core
common flora of the intestinal microbes had undergone varying degree of change
and produced new and unique flora, both of which helped to reshape the gut
microbiota of rhesus macaques. In particular, this change was more obvious for
animals in the high-altitude environments.
PMID- 29372283
TI - Construction of a genome-anchored, high-density genetic map for melon (Cucumis
melo L.) and identification of Fusarium oxysporum f. sp. melonis race 1
resistance QTL.
AB - KEY MESSAGE: Four QTLs and an epistatic interaction were associated with disease
severity in response to inoculation with Fusarium oxysporum f. sp. melonis race 1
in a recombinant inbred line population of melon. The USDA Cucumis melo inbred
line, MR-1, harbors a wealth of alleles associated with resistance to several
major diseases of melon, including powdery mildew, downy mildew, Alternaria leaf
blight, and Fusarium wilt. MR-1 was crossed to an Israeli cultivar, Ananas
Yok'neam, which is susceptible to all of these diseases, to generate a
recombinant inbred line (RIL) population of 172 lines. In this study, the RIL
population was genotyped to construct an ultra-dense genetic linkage map with
5663 binned SNPs anchored to the C. melo genome and exhibits the overall high
quality of the assembly. The utility of the densely genotyped population was
demonstrated through QTL mapping of a well-studied trait, resistance to Fusarium
wilt caused by Fusarium oxysporum f. sp. melonis (Fom) race 1. A major QTL co
located with the previously validated resistance gene Fom-2. In addition, three
minor QTLs and an epistatic interaction contributing to Fom race 1 resistance
were identified. The MR-1 * AY RIL population provides a valuable resource for
future QTL mapping studies and marker-assisted selection of disease resistance in
melon.
PMID- 29372282
TI - Mapping resistance to powdery mildew in barley reveals a large-effect nonhost
resistance QTL.
AB - KEY MESSAGE: Resistance factors against non-adapted powdery mildews were mapped
in barley. Some QTLs seem effective only to non-adapted mildews, while others
also play a role in defense against the adapted form. The durability and
effectiveness of nonhost resistance suggests promising practical applications for
crop breeding, relying upon elucidation of key aspects of this type of
resistance. We investigated which genetic factors determine the nonhost status of
barley (Hordeum vulgare L.) to powdery mildews (Blumeria graminis). We set out to
verify whether genes involved in nonhost resistance have a wide effectiveness
spectrum, and whether nonhost resistance genes confer resistance to the barley
adapted powdery mildew. Two barley lines, SusBgtSC and SusBgtDC, with some
susceptibility to the wheat powdery mildew B. graminis f.sp. tritici (Bgt) were
crossed with cv Vada to generate two mapping populations. Each population was
assessed for level of infection against four B. graminis ff.spp, and QTL mapping
analyses were performed. Our results demonstrate polygenic inheritance for
nonhost resistance, with some QTLs effective only to non-adapted mildews, while
others play a role against adapted and non-adapted forms. Histology analyses of
nonhost interaction show that most penetration attempts are stopped in
association with papillae, and also suggest independent layers of defence at
haustorium establishment and conidiophore formation. Nonhost resistance of barley
to powdery mildew relies mostly on non-hypersensitive mechanisms. A large-effect
nonhost resistance QTL mapped to a 1.4 cM interval is suitable for map-based
cloning.
PMID- 29372284
TI - Do modern total knee replacements improve tibial coverage?
AB - PURPOSE: The purpose of the present study is to compare newer designs of various
symmetric and asymmetric tibial components and measure tibial bone coverage using
the rotational safe zone defined by two commonly utilized anatomic rotational
landmarks. METHODS: Computed tomography scans (CT scans) of one hundred
consecutive patients scheduled for total knee arthroplasty were obtained pre
operatively. A virtual proximal tibial cut was performed and two commonly used
rotational axes were added for each image: the medio-lateral axis (ML-axis) and
the medial 1/3 tibial tubercle axis (med-1/3-axis). Different symmetric and
asymmetric implant designs were then superimposed in various rotational positions
for best cancellous and cortical coverage. The images were imported to a public
domain imaging software, and cancellous and cortical bone coverage was computed
for each image, with each implant design in various rotational positions.
RESULTS: One single implant type could not be identified that provided the best
cortical and cancellous coverage of the tibia, irrespective of using the med-1/3
axis or the ML-axis for rotational alignment. However, it could be confirmed that
the best bone coverage was dependent on the selected rotational landmark.
Furthermore, improved bone coverage was observed when tibial implant positions
were optimized between the two rotational axes. CONCLUSIONS: Tibial coverage is
similar for symmetric and asymmetric designs, but depends on the rotational
landmark for which the implant is designed. The surgeon has the option to improve
tibial coverage by optimizing placement between the two anatomic rotational
alignment landmarks, the medial 1/3 and the ML-axis. Surgeons should be careful
assessing intraoperative rotational tibial placement using the described anatomic
rotational landmarks to optimize tibial bony coverage without compromising
patella tracking. LEVEL OF EVIDENCE: III.
PMID- 29372285
TI - Comparison of inflammatory urine markers in patients with interstitial cystitis
and overactive bladder.
AB - INTRODUCTION AND HYPOTHESIS: Chronic inflammatory conditions seem to be a shared
characteristic in patients with interstitial cystitis (IC) and overactive bladder
(OAB). Thus, we measured 40 inflammatory urine markers in IC patients with or
without Hunner's lesions (HIC and NHIC respectively) and OAB patients. METHODS:
Urine was collected from consecutive HIC patients, NHIC patients, and age and
gender-matched OAB patients with no history of IC, recurrent urinary tract
infection or bladder cancer. The diagnosis of IC was based on the Asian IC
guideline criteria. A representative 40 inflammatory growth factors, cytokines,
and chemokines in urine were measured using a MILLIPLEX immunoassay kit.
Statistical differences in these markers among the groups were determined by
nonparametric ANOVA followed by multiple comparison test. The diagnostic
efficiency of these markers was measured using receiver operating characteristic
analysis. RESULTS: Vascular endothelial growth factor (VEGF), interleukin-1alpha
(IL-1alpha), IL-6, and chemokines including CCL2, CCL5, CXCL1, CXCL8, and CXCL10
were significantly increased in HIC (n = 30) and NHIC (n = 30) patients compared
with OAB (n = 28) patients. The significant increases in CXCL8 and CXCL10 were
also found in HIC patients compared with NHIC patients. However, there were no
significant differences in the other urine markers among the groups. Area under
the curves for VEGF, CXCL10, CXCL8, IL-1alpha, CCL5, CCL2, IL-6, and CXCL1 to
detect IC in these patients were 0.87, 0.86, 0.81, 0.80, 0.80, 0.71, 0.66, and
0.50 respectively. CONCLUSIONS: The increases in angiogenesis-associated proteins
such as VEGF and CXCL10 may be pathophysiologically important for the development
of IC.
PMID- 29372286
TI - Generalized q-sampling imaging fiber tractography reveals displacement and
infiltration of fiber tracts in low-grade gliomas.
AB - PURPOSE: Low-grade gliomas (LGGs) are slow growing brain tumors that often cause
displacement and/or infiltration of the surrounding white matter pathways.
Differentiation between infiltration and displacement of fiber tracts remains a
challenge. Currently, there is no reliable noninvasive imaging method capable of
revealing such white matter alteration patterns. We employed quantitative
anisotropy (QA) derived from generalized q-sampling imaging (GQI) to identify
patterns of fiber tract alterations by LGGs. METHODS: Sixteen patients with a
neuropathological diagnosis of LGG (WHO grade II) were enrolled. Peritumoral
fiber tracts underwent qualitative and quantitative evaluation. Contralateral
hemisphere counterparts were used for comparison. Tracts were qualitatively
classified as unaffected, displaced, infiltrated or displaced, and infiltrated at
once. The average QA of whole tract (W), peritumoral tract segment (S), and their
ratio (S/W) were obtained and compared to the healthy side for quantitative
evaluation. RESULTS: Qualitative analysis revealed 9 (13.8%) unaffected, 24
(36.9%) displaced, 13 (20%) infiltrated, and 19 (29.2%) tracts with a combination
of displacement and infiltration. There were no disrupted tracts. There was a
significant increase in S/W ratio among displaced tracts in the pre-operative
scans in comparison with the contralateral side. QA values of peritumoral tract
segments (S) were significantly lower in infiltrated tracts. CONCLUSION: WHO
grade II LGGs might displace, infiltrate, or cause a combination of displacement
and infiltration of WM tracts. QA derived from GQI provides valuable information
that helps to differentiate infiltration from displacement. Anisotropy changes
correlate with qualitative alterations, which may serve as a potential biomarker
of fiber tract integrity.
PMID- 29372287
TI - Poor glycaemic control and its metabolic and demographic risk factors in a
Malaysian community-based study.
AB - OBJECTIVES: The lack of population-based evidence on the risk factors for poor
glycaemic control in diabetics, particularly in resource-poor settings, is a
challenge for the prevention of long-term complications. This study aimed to
identify the metabolic and demographic risk factors for poor glycaemic control
among diabetics in a rural community in Malaysia. METHODS: A total of 1844 (780
males and 1064 females) known diabetics aged >= 35 years were identified from the
South East Asia Community Observatory (SEACO) health and demographic surveillance
site database. RESULTS: 41.3% of the sample had poor glycaemic control. Poor
glycaemic control was associated with age and ethnicity, with older participants
(65+) better controlled than younger adults (45-54), and Malaysian Indians most
poorly controlled, followed by Malay and then Chinese participants. Metabolic
risk factors were also highly associated with poor glycaemic control.
CONCLUSIONS: There is a critical need for evidence for a better understanding of
the mechanisms of the associations between risk factors and glycaemic control.
PMID- 29372288
TI - Retrospective inconsistent analysis cannot validate safety of a treatment
strategy.
PMID- 29372289
TI - ?
PMID- 29372290
TI - Patient positioning in head and neck cancer : Setup variations and safety margins
in helical tomotherapy.
AB - OBJECTIVE: To evaluate the interfractional variations of patient positioning
during intensity-modulated radiotherapy (IMRT) with helical tomotherapy in head
and neck cancer and to calculate the required safety margins (sm) for bony
landmarks resulting from the necessary table adjustments. MATERIALS AND METHODS:
In all, 15 patients with head and neck cancer were irradiated using the Hi-Art II
tomotherapy system between April and September 2016. Before therapy sessions,
patient position was frequently checked by megavolt computed tomography (MV-CT).
Necessary table adjustments (ta) in the right-left (rl), superior-inferior (si)
and anterior-posterior (ap) directions were recorded for four anatomical points:
second, fourth and sixth cervical vertebral body (CVB), anterior nasal spine
(ANS). Based upon these data sm were calculated for non-image-guided
radiotherapy, image-guided radiotherapy (IGRT) and image guidance limited to a
shortened area (CVB 2). RESULTS: Based upon planning CT the actual treatment
required ta from -0.05 +/- 1.31 mm for CVB 2 (ap) up to 2.63 +/- 2.39 mm for ANS
(rl). Considering the performed ta resulting from image control (MV-CT) we
detected remaining ta from -0.10 +/- 1.09 mm for CVB 4 (rl) up to 1.97 +/- 1.64
mm for ANS (si). After theoretical adjustment of patients position to CVB 2 the
resulting ta ranged from -0.11 +/- 2.44 mm for CVB6 (ap) to 2.37 +/- 2.17 mm for
ANS (si). These data imply safety margins: uncorrected patient position: 3.63
9.95 mm, corrected positioning based upon the whole target volume (IGRT): 1.85
6.63 mm, corrected positioning based upon CVB 2 (IGRT): 3.13-6.66 mm.
CONCLUSIONS: The calculated safety margins differ between anatomic regions.
Repetitive and frequent image control of patient positioning is necessary that,
however, possibly may be focussed on a limited region.
PMID- 29372293
TI - Human bone cellsin vitro.
AB - Human bone cell cultures were established by maintaining collagenase-treated,
bone fragments in low Ca++ medium. The resulting cell cultures exhibited a high
level of alkaline phosphatase activity and produced a significant increase in
intracellular cAMP when exposed to the 1-34 fragment of human parathyroid
hormone. With continued culture, the cells formed a thick, extracellular matrix
that mineralized when cultures were provided daily with normal levels of calcium,
fresh ascorbic acid (50 MUg/ml) and 10 mM beta-glycerol phosphate.
Biosynthetically, these cells produced type I collagen (without any type III
collagen), and the bone-specific protein, osteonectin. In addition, the cells
produced sulfated macromolecules electrophoretically identical to those
positively identified as the bone proteoglycan in parallel cultures of fetal
bovine bone cells. This technique provides a useful system for the study of
osteoblast metabolismin vitro.
PMID- 29372291
TI - Effects of shorter versus longer storage time of transfused red blood cells in
adult ICU patients: a systematic review with meta-analysis and Trial Sequential
Analysis.
AB - PURPOSE: Patients in the intensive care unit (ICU) are often transfused with red
blood cells (RBC). During storage, the RBCs and storage medium undergo changes,
which may have clinical consequences. Several trials now have assessed these
consequences, and we reviewed the present evidence on the effects of shorter
versus longer storage time of transfused RBCs on outcomes in ICU patients.
METHODS: We conducted a systematic review with meta-analyses and trial sequential
analyses (TSA) of randomised clinical trials including adult ICU patients
transfused with fresher versus older or standard issue blood. RESULTS: We
included seven trials with a total of 18,283 randomised ICU patients; two trials
of 7504 patients were judged to have low risk of bias. We observed no effects of
fresher versus older blood on death (relative risk 1.04, 95% confidence interval
(CI) 0.97-1.11; 7349 patients; TSA-adjusted CI 0.93-1.15), adverse events (1.26,
0.76-2.09; 7332 patients; TSA-adjusted CI 0.16-9.87) or post-transfusion
infections (1.07, 0.96-1.20; 7332 patients; TSA-adjusted CI 0.90-1.27). The
results were unchanged by including trials with high risk of bias. TSA confirmed
the results and the required information size was reached for mortality for a
relative risk change of 20%. CONCLUSIONS: We may be able to reject a clinically
meaningful effect of RBC storage time on mortality in transfused adult ICU
patients as our trial sequential analyses reject a 10% relative risk change in
death when comparing fresher versus older blood for transfusion.
PMID- 29372297
TI - Genomic and functional characterisation of two Enterococcus strains isolated from
Cotija cheese and their potential role in ripening.
AB - Enterococcus spp. are present in the native microbiota of many traditional
fermented foods. Their ability to produce antibacterial compounds, mainly against
Listeria monocytogenes, has raised interest recently. However, there is scarce
information about their proteolytic and lipolytic potential, and their
biotechnological application is currently limited because enterococcal strains
have been related to nosocomial infections. In this work, next-generation
sequencing and optimised bioinformatic pipelines were used to annotate the
genomes of two Enterococcus strains-one E. faecium and one E. faecalis-isolated
from the Mexican artisanal ripened Cotija cheese. A battery of genes involved in
their proteolytic system was annotated. Genes coding for lipases, esterases and
other enzymes whose final products contribute to cheese aroma and flavour were
identified as well. As for the production of antibacterial compounds, several
peptidoglycan hydrolase- and bacteriocin-coding genes were identified in both
genomes experimentally and by bioinformatic analyses. E. faecalis showed
resistance to aminoglycosides and E. faecium to aminoglycosides and macrolides,
as predicted by the genome functional annotation. No pathogenicity islands were
found in any of the strains, although traits such as the ability of biofilm
formation and cell aggregation were observed. Finally, a comparative genomic
analysis was able to discriminate between the food strains isolated and
nosocomial strains. In summary, pathogenic strains are resistant to a wide range
of antibiotics and contain virulence factors that cause host damage; in contrast,
food strains display less antibiotic resistance, include genes that encode class
II bacteriocins and express virulence factors associated with host colonisation
rather than invasion.
PMID- 29372298
TI - Convenient synthetic approach for tri- and tetraprenylated cyclodipeptides by
consecutive enzymatic prenylations.
AB - The prenyltransferases EchPT1 and EchPT2 from Aspergillus ruber are responsible
for the consecutive prenylations of cyclo-L-Trp-L-Ala, leading to the formation
of the triprenylated echinulin as the predominant product. In this study, we
demonstrate that EchPT1 also accepts all stereoisomers of cyclo-Trp-Ala and cyclo
Trp-Pro and catalyses regiospecific reverse C2-prenylation at the indole nucleus.
EchPT1 products were well accepted by EchPT2 for multiple consecutive
prenylations, with conversion yields of 84 to 98% for six of the eight
substrates. C2-, C5- and C7-triprenylated derivatives are identified as major
enzyme products, with product yields of 40 to 86% in seven cases. High product
yields of 25-36%, i.e. approximate 30% of the total enzyme products, were
observed for tetraprenylated derivatives in the four reaction mixtures with one D
and one L-configured amino acid residues. To the best of our knowledge,
enzymatic preparation of tetraprenylated cyclodipeptides with such high efficacy
has not been reported prior to this study.
PMID- 29372299
TI - Enzymatic synthesis of avermectin B1a glycosides for the effective prevention of
the pine wood nematode Bursaphelenchus xylophilus.
AB - Avermectin produced by Streptomyces avermitilis is an anti-nematodal agent
against the pine wood nematode Bursaphelenchus xylophilus. However, its potential
usage is limited by its poor water solubility. For this reason, continuous
efforts are underway to produce new derivatives that are more water soluble.
Here, the enzymatic glycosylation of avermectin was catalyzed by uridine
diphosphate (UDP)-glycosyltransferase from Bacillus licheniformis with various
UDP sugars. As a result, the following four avermectin B1a glycosides were
produced: avermectin B1a 4"-beta-D-glucoside, avermectin B1a 4"-beta-D
galactoside, avermectin B1a 4"-beta-L-fucoside, and avermectin B1a 4"-beta-2
deoxy-D-glucoside. The avermectin B1a glycosides were structurally analyzed based
on HR-ESI MS and 1D and 2D nuclear magnetic resonance spectra, and the anti
nematodal effect of avermectin B1a 4"-beta-D-glucoside was found to exhibit the
highest activity (IC50 = 0.23 MUM), which was approximately 32 times greater than
that of avermectin B1a (IC50 = 7.30 MUM), followed by avermectin B1a 4"-beta-2
deoxy-D-glucoside (IC50 = 0.69 MUM), avermectin B1a 4"-beta-L-fucoside (IC50 =
0.89 MUM), and avermectin B1a 4"-beta-D-galactoside (IC50 = 1.07 MUM). These
results show that glycosylation of avermectin B1a effectively enhances its in
vitro anti-nematodal activity and that avermectin glycosides can be further
applied for treating infestations of the pine wood nematode B. xylophilus.
PMID- 29372300
TI - The antibacterial activity of LI-F type peptide against methicillin-resistant
Staphylococcus aureus (MRSA) in vitro and inhibition of infections in murine
scalded epidermis.
AB - LI-F type peptides are a family of cyclic lipodepsipeptide antibiotics isolated
from Paenibacillus polymyxa and display potent activities against positive
bacteria including methicillin-resistant S. aureus (MRSA). In this study, we
investigated the mechanism of action of LI-F type peptide AMP-jsa9 against a MRSA
(S. aureus CICC10790), which is resistant to ciprofloxacin, gentamicin,
kanamycin, chloramphenicol, methicillin, and tetracycline. It was found that AMP
jsa9 mainly targets the cell membrane of MRSA and is able to inhibit biofilm
formation through killing planktonic bacteria cells. Moreover, AMP-jsa9 can bind
to DNA in vitro, which represents another pathway for the action on MRSA.
Furthermore, in vivo treatment of scalded mice with AMP-jsa9 resulted in
inhibiting MRSA infections and healing of the scalded wound. In addition, it was
demonstrated that AMP-jsa9 can effectively inhibit MRSA infections in scalded
murine epidermis and that inflammatory cytokines including IL-8, IL-6, tumor
necrosis factor alpha (TNF-alpha), and monocyte chemotactic factor-1 (MCP-1) were
reduced; moreover, both protein and gene expression levels of vascular
endothelial growth factor (VEGF) and endothelial nitric oxide synthase (e-NOS)
were enhanced, which promote neovascularization and proliferation of new
granulation tissue.
PMID- 29372303
TI - [Comparison of two optical biometric devices for intraocular lens calculation].
AB - BACKGROUND: Modern cataract surgery not only consists of a minimally invasive
lens extraction but also of the implantation of a suitable intraocular lens.
OBJECTIVE: The aim of this prospective trial was a comparison of the predicted
refractive error of two optical biometers, the IOLMaster 500 and LenStar LS 900
for intraocular lens power calculation in cataract surgery. MATERIAL AND METHODS:
This was a prospective, analytical, comparative, non-masked study. A total of 86
eyes of 86 patients were examined and measured with both instruments before and
after uneventful cataract surgery. Primary outcome measures were the differences
of the predicted refractive error of both instruments. The predicted refractive
error was calculated with different formulas. The results were compared to each
other, to the desired target refraction as well as to the postoperative spherical
equivalent. RESULTS: The mean differences in predicted refractive error of both
instruments varied between 0.9 +/- 0.19 (standard deviation) diopters (D) and
0.18 +/- 0.30 D depending on the chosen formula. The IOLMaster 500 predicted less
difference to the desired target refraction as well as to the spherical
equivalent than the LenStar LS 900 with nearly all formulas. CONCLUSION: Both
devices generated reproducible exact data with only a small deviation from the
desired target refraction and from the postoperative spherical equivalent. There
were statistically significant differences based on the chosen a-constants as
well as the utilized measurement methods of both instruments.
PMID- 29372301
TI - Effect of variations in dietary Pi intake on intestinal Pi transporters (NaPi
IIb, PiT-1, and PiT-2) and phosphate-regulating factors (PTH, FGF-23, and MEPE).
AB - Hyperphosphatemia is a common condition in patients with chronic kidney disease
(CKD) and can lead to bone disease, vascular calcification, and increased risks
of cardiovascular disease and mortality. Inorganic phosphate (Pi) is absorbed in
the intestine, an important step in the maintenance of homeostasis. In CKD, it is
not clear to what extent Pi absorption is modulated by dietary Pi. Thus, we
investigated 5/6 nephrectomized (Nx) Wistar rats to test whether acute variations
in dietary Pi concentration over 2 days would alter hormones involved in Pi
metabolism, expression of sodium-phosphate cotransporters, apoptosis, and the
expression of matrix extracellular phosphoglycoprotein (MEPE) in different
segments of the small intestine. The animals were divided into groups receiving
different levels of dietary phosphate: low (Nx/LPi), normal (Nx/NPi), and high
(Nx/HPi). Serum phosphate, fractional excretion of phosphate, intact serum
fibroblast growth factor 23 (FGF-23), and parathyroid hormone (PTH) were
significantly higher and ionized calcium was significantly lower in the Nx/HPi
group than in the Nx/LPi group. The expression levels of NaPi-IIb and PiT-1/2
were increased in the total jejunum mucosa of the Nx/LPi group compared with the
Nx/HPi group. Modification of Pi concentration in the diet affected the apoptosis
of enterocytes, particularly with Pi overload. MEPE expression was higher in the
Nx/HPi group than in the Nx/NPi. These data reveal the importance of early
control of Pi in uremia to prevent an increase in serum PTH and FGF-23. Uremia
may be a determining factor that explains the expressional modulation of the
cotransporters in the small intestine segments.
PMID- 29372304
TI - Multiple reward-cue contingencies favor expectancy over uncertainty in shaping
the reward-cue attentional salience.
AB - Reward-predicting cues attract attention because of their motivational value. A
debated question regards the conditions under which the cue's attentional
salience is governed more by reward expectancy rather than by reward uncertainty.
To help shedding light on this relevant issue, here, we manipulated expectancy
and uncertainty using three levels of reward-cue contingency, so that, for
example, a high level of reward expectancy (p = .8) was compared with the highest
level of reward uncertainty (p = .5). In Experiment 1, the best reward-cue during
conditioning was preferentially attended in a subsequent visual search task. This
result was replicated in Experiment 2, in which the cues were matched in terms of
response history. In Experiment 3, we implemented a hybrid procedure consisting
of two phases: an omission contingency procedure during conditioning, followed by
a visual search task as in the previous experiments. Crucially, during both
phases, the reward-cues were never task relevant. Results confirmed that, when
multiple reward-cue contingencies are explored by a human observer, expectancy is
the major factor controlling both the attentional and the oculomotor salience of
the reward-cue.
PMID- 29372302
TI - Pathogenic role of inflammatory response during Shiga toxin-associated hemolytic
uremic syndrome (HUS).
AB - Hemolytic uremic syndrome (HUS) is defined as a triad of noninmune
microangiopathic hemolytic anemia, thrombocytopenia, and acute kidney injury. The
most frequent presentation is secondary to Shiga toxin (Stx)-producing
Escherichia coli (STEC) infections, which is termed postdiarrheal, epidemiologic
or Stx-HUS, considering that Stx is the necessary etiological factor. After
ingestion, STEC colonize the intestine and produce Stx, which translocates across
the intestinal epithelium. Once Stx enters the bloodstream, it interacts with
renal endothelial and epithelial cells, and leukocytes. This review summarizes
the current evidence about the involvement of inflammatory components as central
pathogenic factors that could determine outcome of STEC infections. Intestinal
inflammation may favor epithelial leakage and subsequent passage of Stx to the
systemic circulation. Vascular damage triggered by Stx promotes not only release
of thrombin and increased fibrin concentration but also production of cytokines
and chemokines by endothelial cells. Recent evidence from animal models and
patients strongly indicate that several immune cells types may participate in HUS
physiopathology: neutrophils, through release of proteases and reactive oxygen
species (ROS); monocytes/macrophages through secretion of cytokines and
chemokines. In addition, high levels of Bb factor and soluble C5b-9 (sC5b-9) in
plasma as well as complement factors adhered to platelet-leukocyte complexes,
microparticles and microvesicles, suggest activation of the alternative pathway
of complement. Thus, acute immune response secondary to STEC infection, the Stx
stimulatory effect on different immune cells, and inflammatory stimulus secondary
to endothelial damage all together converge to define a strong inflammatory
status that worsens Stx toxicity and disease.
PMID- 29372305
TI - Genomic diversity and population structure of three autochthonous Greek sheep
breeds assessed with genome-wide DNA arrays.
AB - In the present study, genome-wide genotyping was applied to characterize the
genetic diversity and population structure of three autochthonous Greek breeds:
Boutsko, Karagouniko and Chios. Dairy sheep are among the most significant
livestock species in Greece numbering approximately 9 million animals which are
characterized by large phenotypic variation and reared under various farming
systems. A total of 96 animals were genotyped with the Illumina's OvineSNP50K
microarray beadchip, to study the population structure of the breeds and develop
a specialized panel of single-nucleotide polymorphisms (SNPs), which could
distinguish one breed from the others. Quality control on the dataset resulted in
46,125 SNPs, which were used to evaluate the genetic structure of the breeds.
Population structure was assessed through principal component analysis (PCA) and
admixture analysis, whereas inbreeding was estimated based on runs of
homozygosity (ROHs) coefficients, genomic relationship matrix inbreeding
coefficients (FGRM) and patterns of linkage disequilibrium (LD). Associations
between SNPs and breeds were analyzed with different inheritance models, to
identify SNPs that distinguish among the breeds. Results showed high levels of
genetic heterogeneity in the three breeds. Genetic distances among breeds were
modest, despite their different ancestries. Chios and Karagouniko breeds were
more genetically related to each other compared to Boutsko. Analysis revealed
3802 candidate SNPs that can be used to identify two-breed crosses and purebred
animals. The present study provides, for the first time, data on the genetic
background of three Greek indigenous dairy sheep breeds as well as a specialized
marker panel that can be applied for traceability purposes as well as targeted
genetic improvement schemes and conservation programs.
PMID- 29372306
TI - [Updates on tumours of the salivary glands : 2017 WHO classification].
AB - In the new 2017 WHO classification, a reduction of the high number of entities of
salivary carcinomas was implemented. There is only one new carcinoma entity:
secretory carcinoma. There is a slight increase of reactive and benign entities
by the inclusion of rare and well-established, but so far not included, lesions.
Furthermore, there are some conceptual changes and pure changes in terminology.
The impact of molecular findings is increasing and is so far restricted to
diagnostic aspects.
PMID- 29372307
TI - [Proposal for an extended pTNM classification of thyroid carcinoma : Commentary
on deficits of the 8th edition of the TNM classification (German version)].
AB - In the 8th edition of the TNM classification of thyroid carcinomas, which was
introduced in 2017, carcinomas with minimal extrathyroidal extension are no
longer mentioned, which might cause problems. These tumors were explicitly
categorized in previous TNM classifications (5-7th editions). Studies on the
prognostic relevance of minimal extrathyroidal extension have shown conflicting
results. Moreover, the vast majority of these studies retrospectively analyzed
only subgroups of thyroid carcinomas (e.g. differentiated thyroid carcinoma,
papillary thyroid carcinoma). The proposed subcategorization of the current TNM
classification (8th edition) ensures the continuity of the parameter minimal
extrathyroidal extension within the TNM categorization of thyroid carcinomas and
also offers the possibility to prospectively analyze in a standardized manner the
potential biological relevance of minimal extrathyroidal extension in relation to
tumor categories (T/pT category).
PMID- 29372308
TI - Internal tandem duplication mutations in the tyrosine kinase domain of FLT3
display a higher oncogenic potential than the activation loop D835Y mutation.
AB - Acute myeloid leukemia (AML) remains the most common form of acute leukemia among
adults and accounts for a large number of leukemia-related deaths. Mutations in
FMS-like tyrosine kinase 3 (FLT3) is one of the most prevalent findings in this
heterogeneous disease. The major types of mutations in FLT3 can be categorized as
internal tandem duplications (ITD) and point mutations. Recent studies suggest
that ITDs not only occur in the juxtamembrane region as originally described, but
also in the kinase domain. Although the juxtamembrane ITDs have been well
characterized, the tyrosine kinase domain ITDs have not yet been thoroughly
studied due to their recent discovery. For this reason, we compared ITD mutations
in the juxtamembrane domain with those in the tyrosine kinase domain, as well as
with the most common activating point mutation in the tyrosine kinase domain,
D835Y. The purpose of this study was to understand whether it is the nature of
the mutation or the location of the mutation that plays the main role in
leukemogenesis. The various FLT3 mutants were expressed in the murine pro-B cell
line Ba/F3 and examined for their capacity to form colonies in semisolid medium.
The size and number of colonies formed by Ba/F3 cells expressing either the
internal tandem duplication within juxtamembrane domain of the receptor (JMD-ITD)
or the tyrosine kinase domain (TKD)-ITD were indistinguishable, while Ba/F3 cells
expressing D835Y/FLT3 failed to form colonies. Cell proliferation and cell
survival was also significantly higher in TKD-ITD expressing cells, compared to
cells expressing D835Y/FLT3. Furthermore, TKD-ITD is capable of inducing
phosphorylation of STAT5, while D835Y/FLT3 fails to induce tyrosine
phosphorylation of STAT5. Other signal transduction pathways such as the RAS/ERK
and the PI3K/AKT pathways were activated to the same level in TKD-ITD cells as
compared to D835Y/FLT3 expressing cells. Taken together, our data suggest that
TKD-ITD displays similar oncogenic potential to the JMD-ITD but a higher
oncogenic potential than the D835Y point mutation.
PMID- 29372310
TI - Potential of delphinidin-3-rutinoside extracted from Solanum melongena L. as
promoter of osteoblastic MC3T3-E1 function and antagonist of oxidative damage.
AB - PURPOSE: Increasing evidence suggests the potential use of natural antioxidant
compounds in the prevention/treatment of osteoporosis. This study was undertaken
to investigate the effects of purified delphinidin-3-rutinoside (D3R), isolated
from Solanum melongena L., on osteoblast viability and differentiation in basal
conditions and its ability to protect MC3T3-E1 cells against oxidative damage
induced by tert-butyl hydroperoxide (t-BHP). METHODS: MC3T3-E1 osteoblastic cells
were treated with D3R (10-11-10-5 M for 24 h), followed by treatment with t-BHP
(250 uM for 3 h). To test cell viability, MTT test was performed. Apoptotic cells
were stained with Hoechst-33258 dye. Cytoskeleton rearrangement was stained with
FICT-labelled phalloidin. Intracellular ROS production was measured using
dichlorofluorescein CM-DCFA. The reduced glutathione to oxidized glutathione
ratio (GSH/GSSG) contents was measured according to the OPT fluorimetric assay.
RESULTS: D3R (10-9 M) significantly increases viability of MC3T3-E1 cells and
promotes osteoblast differentiation by increasing the expression of type I
collagen, alkaline phosphatase and osteocalcin. Pre-treatment with D3R (10-9 M)
significantly prevented t-BHP-induced osteoblastic dysfunction and changes in the
cytoskeleton organization by decreasing intracellular ROS and preventing the
reduction in GSH/GSSG. D3R did not significantly modify the expression of
Osteoprotegerin/RANKL system activated by t-BHP suggesting a lack of effect of
D3R on osteoblast/osteoclast crosstalk. D3R protective effects against t-BHP
induced osteoblastic dysfunction were mediated by the PI3K/Akt pathway since they
were completely prevented by LY294002, a PI3K/Akt specific inhibitor.
CONCLUSIONS: These findings indicate that D3R protects MC3T3-E1 cells from
oxidative damage and suggest the potential utility of dietary D3R supplement to
prevent osteoblast dysfunction in age-related osteoporosis.
PMID- 29372309
TI - More sex chromosomes than autosomes in the Amazonian frog Leptodactylus
pentadactylus.
AB - Heteromorphic sex chromosomes are common in eukaryotes and largely ubiquitous in
birds and mammals. The largest number of multiple sex chromosomes in vertebrates
known today is found in the monotreme platypus (Ornithorhynchus anatinus, 2n =
52) which exhibits precisely 10 sex chromosomes. Interestingly, fish, amphibians,
and reptiles have sex determination mechanisms that do or do not involve
morphologically differentiated sex chromosomes. Relatively few amphibian species
carry heteromorphic sex chromosomes, and when present, they are frequently
represented by only one pair, either XX:XY or ZZ:ZW types. Here, in contrast,
with several evidences, from classical and molecular cytogenetic analyses, we
found 12 sex chromosomes in a Brazilian population of the smoky jungle frog,
designated as Leptodactylus pentadactylus Laurenti, 1768 (Leptodactylinae), which
has a karyotype with 2n = 22 chromosomes. Males exhibited an astonishing stable
ring-shaped meiotic chain composed of six X and six Y chromosomes. The number of
sex chromosomes is larger than the number of autosomes found, and these data
represent the largest number of multiple sex chromosomes ever found among
vertebrate species. Additionally, sequence and karyotype variation data suggest
that this species may represent a complex of species, in which the chromosomal
rearrangements may possibly have played an important role in the evolution
process.
PMID- 29372311
TI - Association between sugar-sweetened beverage consumption and incident
hypertension in Korean adults: a prospective study.
AB - PURPOSE: Epidemiological information on the association between sugar-sweetened
beverage (SSB) consumption and the risk for hypertension (HTN) in Koreans is very
limited. We tested the hypothesis that increased SSB consumption is related to a
higher risk of HTN among middle-aged Korean adults in a Korean community-based
cohort. METHODS: From participants of the cohort from 2001 to 2010, we selected
5775 subjects without HTN, diabetes, cardiovascular disease, and cancer and who
had no information on dietary assessment at baseline. To assess the relationship
between SSB consumption and HTN, we estimated hazard ratios (HRs) and 95%
confidence intervals using Cox regression analysis. In addition, stratified
analysis by body mass index (BMI) was conducted. RESULTS: During the follow-up,
we identified 1175 cases of incident HTN. The adjusted HR of HTN for the highest
quartile of SSB consumption was 1.21 compared to the lowest quartile.
Furthermore, higher consumption of SSB was significantly associated with
increased incidence of HTN in subjects with BMI >= 25 kg/m2, whereas there was no
significant association among subjects with BMI < 25 kg/m2. CONCLUSIONS: The
results of this study suggest that SSB consumption was associated with an
increased risk of HTN, particularly among obese participants.
PMID- 29372312
TI - Benefit of 18F-fluorocholine PET imaging in parathyroid surgery.
AB - OBJECTIVES: To assess the additional diagnostic value of 18F-fluorocholine PET
imaging in preoperative localization of pathologic parathyroid glands in
clinically manifest hyperparathyroidism in case of negative or conflicting
ultrasound and scintigraphy results. METHODS: A retrospective, single-institution
study of 26 patients diagnosed with hyperparathyroidism. In cases where
ultrasound and scintigraphy failed to detect the location of an adenoma in order
to allow a focused surgical approach, an additional 18F-fluorocholine PET scan
was performed and its results were compared with the intraoperative findings.
RESULTS: A total of 26 patients underwent 18F-fluorocholine PET/CT (n = 11) or
PET/MRI (n = 15). Adenomas were detected in 25 patients (96.2%). All patients
underwent surgery, and the location predicted by PET hybrid imaging was confirmed
intraoperatively by frozen section and adequate parathyroid hormone drop after
removal. None of the patients needed revision surgery during follow-up.
CONCLUSIONS: These results demonstrate that 18F-fluorocholine PET imaging is a
highly accurate method to detect parathyroid adenomas even in case of previous
localization failure by other imaging examinations. KEY POINTS: * With 18 F
fluorocholine PET imaging, parathyroid adenomas could be detected in 96.2%. * 18
F-fluorocholine imaging is a highly accurate method to detect parathyroid
adenomas. * We encourage its use, where ultrasound fails to detect an adenoma.
PMID- 29372313
TI - Immersive virtual reality-based training improves response in a simulated
operating room fire scenario.
AB - BACKGROUND: SAGES FUSE curriculum provides didactic knowledge on OR fire
prevention. The objective of this study is to evaluate the impact of an immersive
virtual reality (VR)-based OR fire training simulation system in combination with
FUSE didactics. METHODS: The study compared a control with a simulation group.
After a pre-test questionnaire that assessed the baseline knowledge, both groups
were given didactic material that consists of a 10-min presentation and reading
materials about precautions and stopping an OR fire from the FUSE manual. The
simulation group practiced on the OR fire simulation for one session that
consisted of five trials within a week from the pre-test. One week later, both
groups were reassessed using a questionnaire. A week after the post-test both
groups also participated in a simulated OR fire scenario while their performance
was videotaped for assessment. RESULTS: A total of 20 subjects (ten per group)
participated in this IRB approved study. Median test scores for the control group
increased from 5.5 to 9.00 (p = 0.011) and for the simulation group it increased
from 5.0 to 8.5 (p = 0.005). Both groups started at the same baseline (pre-test,
p = 0.529) and reached similar level in cognitive knowledge (post-test, p =
0.853). However, when tested in the mock OR fire scenario, 70% of the simulation
group subjects were able to perform the correct sequence of steps in
extinguishing the simulated fire whereas only 20% subjects in the control group
were able to do so (p = 0.003). The simulation group was better than control
group in correctly identifying the oxidizer (p = 0.03) and ignition source (p =
0.014). CONCLUSIONS: Interactive VR-based hands-on training was found to be a
relatively inexpensive and effective mode for teaching OR fire prevention and
management scenarios.
PMID- 29372315
TI - Predicting the ergogenic response to methylphenidate.
AB - PURPOSE: Methylphenidate (MPH) and other stimulants have been shown to enhance
physical performance. However, stimulant research has almost exclusively been
conducted in young, active persons with a normal BMI, and may not generalize to
other groups. The purpose of this study was to determine whether the ergogenic
response to MPH could be predicted by individual level characteristics. METHODS:
We investigated whether weekly minutes of moderate-to-vigorous physical activity
(MVPA), age, and BMI could predict the ergogenic response to MPH. In a double
blind, cross-over design 29 subjects (14M, 15F, 29.7 +/- 9.68 years, BMI: 26.1 +/
6.82, MVPA: 568.8 +/- 705.6 min) ingested MPH or placebo before performing a
handgrip task. Percent change in mean force between placebo and MPH conditions
was used to evaluate the extent of the ergogenic response. RESULTS: Mean force
was significantly higher in MPH conditions [6.39% increase, T(25) = 3.09, p =
0.005 118.8 +/- 37.96 (+/- SD) vs. 111.8 +/- 34.99 Ns] but variable (coefficient
of variation:163%). Using linear regression, we observed that min MVPA (T(25) =
2.15, beta = -0.400, p = 0.044) and age [T(25) = -3.29, beta = -0.598, p = 0.003]
but not BMI [T(25) = 1.67, beta = 0.320 p = 0.109] significantly predicted
percent change in mean force in MPH conditions. CONCLUSIONS: We report that lower
levels of physical activity and younger age predict an improved ergogenic
response to MPH and that this may be explained by differences in dopaminergic
function. This study illustrates that the ergogenic response to MPH is partly
dependent on individual differences such as habitual levels of physical activity
and age.
PMID- 29372314
TI - Adaptive servo-ventilation and sleep quality in treatment emergent central sleep
apnea and central sleep apnea in patients with heart disease and preserved
ejection fraction.
AB - BACKGROUND: Reduced sleep quality is associated with impaired quality of life and
increased mortality in patients with heart failure. The aim of this study was to
observe changes in sleep fragmentation and sleep quality in patients with heart
disease and preserved left ventricular ejection fraction (pEF) treated with
adaptive servo-ventilation (ASV) therapy for treatment of emergent central sleep
apnea (TECSA) or central sleep apnea (CSA). METHODS: 114 patients with structural
heart disease and pEF introduced to ASV therapy between 2010 and 2015 were
retrospectively analyzed. Patients were stratified into two groups; TECSA (n =
60) or CSA (n = 54). Changes of sleep fragmentation and sleep quality from
baseline to ASV initiation were compared. RESULTS: ASV therapy leads to a
significant reduction of apnea-hypopnea index (AHI) and arousal index in patients
with TECSA and CSA (?AHI: - 43 +/- 21 vs. - 47 +/- 22/h; ?arousal index - 11 +/-
15, vs. - 11 +/- 21/h). ASV treatment leads to a significant increase in sleep
efficiency in TECSA compared to CSA (?SE: 10 +/- 19 vs. 1 +/- 18%, p = 0.019).
Both groups had significantly longer stage N3 (N3) and rapid eye movement sleep
(REM) on ASV (?N3: 8 +/- 11 vs. 9 +/- 13%; ?REM 7 +/- 9 vs. 3 +/- 8%; p < 0.05
for all comparisons baseline vs. ASV). CONCLUSIONS: In patients with heart
disease and pEF, whose TECSA and CSA were treated with ASV, a significant
reduction of AHI and arousal index as well as an increase of N3 and REM sleep was
observed. Increase of sleep efficiency was significantly greater in TECSA
compared to CSA. Hence, improvements of sleep quality were modestly greater in
patients with TECSA compared to those with CSA.
PMID- 29372316
TI - DAWN: Another Boost for Endovascular Thrombectomy in Patients with Acute Ischemic
Stroke.
PMID- 29372318
TI - cIMPACT-NOW update 1: Not Otherwise Specified (NOS) and Not Elsewhere Classified
(NEC).
PMID- 29372319
TI - Molecular detection of Oxyspirura larvae in arthropod intermediate hosts.
AB - To determine potential intermediate hosts of Oxyspirura petrowi, a common
nematode eyeworm of wild gallinaceous birds, various arthropod species including
red harvester ants, beetles, wood cockroaches, crickets, grasshoppers, katydids,
and desert termites were screened for the presence of O. petrowi using specific
polymerase chain reaction (PCR) primers targeting the internal transcribed spacer
2 region (ITS2) of the eyeworm ribosomal deoxyribonucleic acid (rDNA). This is
the first study to investigate the intermediate hosts of O. petrowi utilizing
molecular techniques. We determined 38% (13/34) of the cockroaches, 27% (3/11) of
the crickets, and 23% (68/289) of the grasshoppers which were positive for O.
petrowi. Identifying potential intermediate hosts of O. petrowi is essential to
better understanding the epizoology of the eyeworm's transmission mechanics and
to controlling infections in wild gallinaceous birds.
PMID- 29372320
TI - Advances in cardiac cellular electrophysiology - Relevance for clinical
translation.
PMID- 29372317
TI - The genetic architecture of mitochondrial dysfunction in Parkinson's disease.
AB - Mitochondrial impairment is a well-established pathological pathway implicated in
Parkinson's disease (PD). Defects of the complex I of the mitochondrial
respiratory chain have been found in post-mortem brains from sporadic PD
patients. Furthermore, several disease-related genes are linked to mitochondrial
pathways, such as PRKN, PINK1, DJ-1 and HTRA2 and are associated with
mitochondrial impairment. This phenotype can be caused by the dysfunction of
mitochondrial quality control machinery at different levels: molecular,
organellar or cellular. Mitochondrial unfolded protein response represents the
molecular level and implicates various chaperones and proteases. If the molecular
level of quality control is not sufficient, the organellar level is required and
involves mitophagy and mitochondrial-derived vesicles to sequester whole
dysfunctional organelle or parts of it. Only when the impairment is too severe,
does it lead to cell death via apoptosis, which defines the cellular level of
quality control. Here, we review how currently known PD-linked genetic variants
interfere with different levels of mitochondrial quality control. We discuss the
graded risk concept of the most recently identified PARK loci (PARK 17-23) and
some susceptibility variants in GBA, LRRK2 and SNCA. Finally, the emerging
concept of rare genetic variants in candidates genes for PD, such as HSPA9, TRAP1
and RHOT1, complete the picture of the complex genetic architecture of PD that
will direct future precision medicine approaches.
PMID- 29372321
TI - Correction to: Collagen degradation as a possibility to determine the post-mortem
interval (PMI) of animal bones: a validation study referring to an original study
of Boaks et al. (2014).
AB - The original version of this article contains an error. The Author Katharina
Hoeland incorrectly listed as Katharina Holand. The correct spelling is presented
above. The original article has been corrected.
PMID- 29372322
TI - Marker evaluation for differentiation of blood and menstrual fluid by methylation
sensitive SNaPshot analysis.
AB - The differentiation of blood and menstrual fluid is especially important in cases
of alleged sexual assault. While the identification of blood is relatively
straightforward, the identification of menstrual fluid in trace evidence has been
shown to be more challenging. This may be due to the complex nature of menstrual
fluid that leads to intra- and inter-individual differences in composition.
Nevertheless, recent advances in DNA methylation profiling have revealed
promising markers for the differentiation of the two body fluids and furthermore,
markers to distinguish menstrual fluid from vaginal fluid. A literature study was
performed and in total, 11 markers were evaluated in this study of which seven
could be validated for menstrual fluid and blood identification purposes. Marker
"BLU2" (chr16:29757334) was identified as most suitable for differentiation of
blood and menstrual fluid.
PMID- 29372323
TI - Correction to: Dentate granule progenitor cell properties are rapidly altered
soon after birth.
AB - In the original publication figure parts 8c, 8f, and 8i were mixed up and thus
incorrectly labeled. Here is a corrected version with the parts properly labeled.
PMID- 29372325
TI - Grey matter structural differences in alcohol-dependent individuals with and
without comorbid depression/anxiety-an MRI study.
AB - Although depression and anxiety disorders are common comorbid conditions in
alcohol dependence, few structural brain imaging studies have compared alcohol
dependent subjects with and without such comorbidity. In the current study, brain
scans of 35 alcohol-dependent with and 40 individuals without diagnosis of a
comorbid ICD-10 depressive or anxiety disorder receiving detoxification inpatient
treatment were evaluated. Thickness and volumes of automatically segmented
neuroanatomical structures were measured in FreeSurfer. Furthermore, associations
of brain structure with biological markers and clinical severity markers of
alcohol dependence were assessed. Despite comparable addiction severity, the non
comorbid group had evidence of higher cytotoxic effects of alcohol use on hepatic
and haematological markers, and showed significantly smaller volumes of total
cerebral, and cerebellar grey matter. Similarly, they showed unexpected smaller
hippocampal and nucleus accumbens volumes, and thinner frontal, temporal and
occipital cortices. Smaller brain volumes correlated with increased markers of
hepatic and haematological dysfunction, and with longer duration of alcohol
dependence in the non-comorbid group. Evidence of higher biomarkers of alcohol
use may be indicative of more severe alcohol dependence or higher vulnerability
to ethanol toxicity in this group. Furthermore, psychopathology-related drug
treatment, which occurred in 53% of the comorbid group over the recent years, or
tissue inflammation may have a moderate effect on the grade of cerebral atrophy
in alcohol-dependent patients. Longitudinal studies are needed to investigate
this issue more fully.
PMID- 29372324
TI - Transient and localized optogenetic activation of somatostatin-interneurons in
mouse visual cortex abolishes long-term cortical plasticity due to vision loss.
AB - Unilateral vision loss through monocular enucleation (ME) results in partial
reallocation of visual cortical territory to another sense in adult mice. The
functional recovery of the visual cortex occurs through a combination of spared
eye potentiation and cross-modal reactivation driven by whisker-related,
somatosensory inputs. Brain region-specific intracortical inhibition was recently
recognized as a crucial regulator of the cross-modal component, yet the
contribution of specific inhibitory neuron subpopulations remains poorly
understood. Somatostatin (SST)-interneurons are ideally located within the
cortical circuit to modulate sensory integration. Here we demonstrate that
optogenetic stimulation of visual cortex SST-interneurons prior to eye removal
decreases ME-induced cross-modal recovery at the stimulation site. Our results
suggest that SST-interneurons act as local hubs, which are able to control the
influx and extent of cortical cross-modal inputs into the deprived cortex. These
insights critically expand our understanding of SST-interneuron-specific
regulation of cortical plasticity induced by sensory loss.
PMID- 29372326
TI - Molecular modeling of immersion optical clearing of biological tissues.
AB - The interaction of six low-molecular tissue-clearing agents (1,2 and 1,3
propanediol, ethylene glycol, glycerol, xylitol, sorbitol) with the collagen
mimetic peptide (GPH)3 was studied by applying the methods of classical molecular
dynamics (GROMACS), molecular docking (AutoDock Vina) and quantum chemistry (PM6
and B3LYP). The spatial configurations of intermolecular complexes were
determined and interaction energies calculated. The dependence of the volume
occupied by the collagen peptide on the clearing agent concentration in an
aqueous solution was calculated. This dependence is not linear, and has a maximum
for almost all the agents in the study. The correlations between the optical
clearing potential and intermolecular interactions parameters, such as the time
of an agent being in a hydrogen-bonded state, and the relative probability of
formation of double hydrogen bonds and interaction energies, were determined.
Using the correlations determined, we predicted the numeric value of the optical
clearing potential of dextrose molecules in rat skin, which correlates with
experimental data. A molecular mechanism of tissue optical clearing within the
post-diffusion stage is suggested. Graphical abstract The molecular modeling of
the interaction between clearing agents and collagen.
PMID- 29372327
TI - Content Based Image Retrieval by Using Color Descriptor and Discrete Wavelet
Transform.
AB - Due to recent development in technology, the complexity of multimedia is
significantly increased and the retrieval of similar multimedia content is a open
research problem. Content-Based Image Retrieval (CBIR) is a process that provides
a framework for image search and low-level visual features are commonly used to
retrieve the images from the image database. The basic requirement in any image
retrieval process is to sort the images with a close similarity in term of
visually appearance. The color, shape and texture are the examples of low-level
image features. The feature plays a significant role in image processing. The
powerful representation of an image is known as feature vector and feature
extraction techniques are applied to get features that will be useful in
classifying and recognition of images. As features define the behavior of an
image, they show its place in terms of storage taken, efficiency in
classification and obviously in time consumption also. In this paper, we are
going to discuss various types of features, feature extraction techniques and
explaining in what scenario, which features extraction technique will be better.
The effectiveness of the CBIR approach is fundamentally based on feature
extraction. In image processing errands like object recognition and image
retrieval feature descriptor is an immense among the most essential step. The
main idea of CBIR is that it can search related images to an image passed as
query from a dataset got by using distance metrics. The proposed method is
explained for image retrieval constructed on YCbCr color with canny edge
histogram and discrete wavelet transform. The combination of edge of histogram
and discrete wavelet transform increase the performance of image retrieval
framework for content based search. The execution of different wavelets is
additionally contrasted with discover the suitability of specific wavelet work
for image retrieval. The proposed algorithm is prepared and tried to implement
for Wang image database. For Image Retrieval Purpose, Artificial Neural Networks
(ANN) is used and applied on standard dataset in CBIR domain. The execution of
the recommended descriptors is assessed by computing both Precision and Recall
values and compared with different other proposed methods with demonstrate the
predominance of our method. The efficiency and effectiveness of the proposed
approach outperforms the existing research in term of average precision and
recall values.
PMID- 29372329
TI - Gaseous Signaling Molecules in Cardiovascular Function: From Mechanisms to
Clinical Translation.
AB - Carbon monoxide (CO), hydrogen sulfide (H2S), and nitric oxide (NO) constitute
endogenous gaseous molecules produced by specific enzymes. These gases are
chemically simple, but exert multiple effects and act through shared molecular
targets to control both physiology and pathophysiology in the cardiovascular
system (CVS). The gases act via direct and/or indirect interactions with each
other in proteins such as heme-containing enzymes, the mitochondrial respiratory
complex, and ion channels, among others. Studies of the major impacts of CO, H2S,
and NO on the CVS have revealed their involvement in controlling blood pressure
and in reducing cardiac reperfusion injuries, although their functional roles are
not limited to these conditions. In this review, the basic aspects of CO, H2S,
and NO, including their production and effects on enzymes, mitochondrial
respiration and biogenesis, and ion channels are briefly addressed to provide
insight into their biology with respect to the CVS. Finally, potential
therapeutic applications of CO, H2S, and NO with the CVS are addressed, based on
the use of exogenous donors and different types of delivery systems.
PMID- 29372328
TI - Review and clinical presentation in reverse shoulder arthroplasty in deltoid
palsy.
AB - Deltoid palsy is a classical contraindication for reverse shoulder arthroplasty
(RSA). However, in cases associating axillary nerve palsy and rotator cuff tear
or glenohumeral arthritis, few options remain. We present a case in which
combining RSA with transfer of the pectoralis major and upper and middle
trapezius transfer provided satisfactory results in a patient suffering of both
an irreparable rotator cuff tear and a deltoid palsy.Level of evidence IV.
PMID- 29372330
TI - Pre-incubation in soil improves the nitrogen fertiliser value of hair waste.
AB - Global generation of human hair waste and its disposal at landfills could
contribute to the leaching of nitrates into ground water. High concentrations of
nitrogen (N) and other elements suggest that the waste could be a source of plant
nutrients and differences in ethnic hair types could affect nutrient release and
fertiliser value. The objective of this study was to determine the effects of
hair type, as an N source, and pre-incubation time on dry-matter yield, nutrient
uptake by spinach (Spinacia oleracea L.) and residual soil nutrients. Salons in
Pietermaritzburg provided bulk African and Caucasian hair waste, without
distinguishing age, sex, health status or livelihood of the individuals. The hair
waste was analysed for elemental composition. A pot experiment was set up under
glasshouse conditions. The hair waste was incorporated (400 kg N ha-1) into a
loamy oxisol and pre-incubated for 0, 28, 56 and 84 days before planting spinach.
Potassium (K) and phosphorus (P) were corrected to the same level for all
treatments. Spinach seedlings were then cultivated for 6 weeks. Shoot dry-matter
and the uptake of all nutrients, except P, were increased by the pre-incubation
of hair. African hair pre-incubated for 28 days resulted in greater dry-matter,
N, K, Mn and S uptake than Caucasian hair. Increasing pre-incubation resulted in
a decline in the residual soil pH and exchangeable K. The findings suggested that
pre-incubation improves the N fertiliser value of hair and that African hair has
greater value than Caucasian hair when pre-incubated for a short period.
PMID- 29372332
TI - Letter from the Editor-in-Chief.
PMID- 29372331
TI - Barriers and facilitators to parents seeking and accessing professional support
for anxiety disorders in children: qualitative interview study.
AB - Anxiety disorders are among the most common mental health disorders experienced
by children, but only a minority of these children access professional help.
Understanding the difficulties parents face seeking support for child anxiety
disorders could inform targeted interventions to improve treatment access. The
aims of the study were to identify barriers and facilitators to seeking and
accessing professional support for child anxiety disorders, and ways to minimise
these barriers. A qualitative interview study was conducted with parents of 16
children (aged 7-11 years) with anxiety disorders identified through screening in
schools. Barriers and facilitators were identified in relation to four distinct
stages in the help-seeking process: parents recognising the anxiety difficulty,
parents recognising the need for professional support, parents contacting
professionals, and families receiving professional support. Barriers and
facilitators at each stage related to the child's difficulties, the role of the
parent, and parent perceptions of professionals and services. Findings illustrate
the need (1) for readily available tools to help parents and professionals
identify clinically significant anxiety in children, (2) to ensure that families
and professionals can easily access guidance on the help-seeking process and
available support, and (3) to ensure existing services offer sufficient provision
for less severe difficulties that incorporates direct support for parents.
PMID- 29372333
TI - PCOS and bone fractures.
PMID- 29372334
TI - Comparison of clinical, biochemical and histomorphometric analysis of bone
biopsies in dialysis patients with and without fractures.
AB - Chronic kidney disease-mineral bone disorders (CKD-MBD) are associated with
increased risk of fracture. Studies report about 3% of fractures in CKD patients,
and these occur earlier than in the general population, namely 16 and 13 years
earlier for men and women, respectively. Better understanding of the
pathophysiology of fractures would probably contribute to new therapeutic
approaches. This study aimed to evaluate report of long bone fractures from a
bone biopsies bank from patients on hemodialysis and compare clinical and
biochemical characteristics, as well as the results of the histomorphometric
analysis of trabecular and cortical bone of these patients with a control group
(without fractures), paired for age, gender, and time on hemodialysis. Bone
proteins (SOST, DMP1 and MEPE) were evaluated by immunohistochemistry. Seventeen
patients with fracture and controls were studied. Fracture prevalence was
0.82/1000 patients/year. Serum phosphorus levels were significantly lower in the
fracture group. Histomorphometric analysis revealed that all the patients had
high turnover disease, and the fracture group had smaller volume and trabecular
thickness, greater osteoid surface, smaller eroded surface, smaller mineralizing
surface, formation rate and longer mineralization lag time when compared to
controls; the DMP1 expression in the cortical bone was smaller and the SOST in
the trabecular bone was higher in fractured patients. As conclusion, we found low
prevalence of fractures. Both groups had high turnover disease, but the fractured
ones presented more impaired bone microarchitecture, as well as lower formation
and greater mineralization defect. Bone proteins expression correlated with
parameters involved in bone remodeling.
PMID- 29372335
TI - Higher serum uric acid is associated with higher lumbar spine bone mineral
density in male health-screening examinees: a cross-sectional study.
AB - Bone health has been associated with oxidative stress and antioxidants have
received interest to this end. Serum uric acid (SUA), an end product of purine
metabolism in humans, has recently shown antioxidant properties regarding bone
health, but there are conflicting results. The aim of this study was to
investigate the relationship between SUA levels and lumbar spine bone mineral
density (BMD) in clinically apparently healthy males aged 40-60 years. We
performed a cross-sectional study of 6588 Korean males who completed a health
screening program from January 2011 to December 2014. Of the study participants,
the mean age was 48.2 +/- 10.7 years. Multiple regression analyses resulted in a
significant positive association with lumbar spine BMD across SUA quintiles in a
dose-response manner after adjusting for various confounding factors (p = 0.013);
for each 1 mg/dl increase of SUA, BMD rose by 0.0054 g/cm2 (p = 0.004).
Stratified analyses revealed that this association between SUA and lumbar spine
BMD was consistently observed across all clinically relevant subgroups. The
present study demonstrated a positive association in males between SUA and lumbar
spine BMD, suggesting that SUA could have a profitable effect on bone metabolism.
PMID- 29372336
TI - High-resolution suborganellar localization of Ca2+-binding protein CAS, a novel
regulator of CO2-concentrating mechanism.
AB - Many aquatic algae induce a CO2-concentrating mechanism (CCM) associated with
active inorganic carbon transport to maintain high photosynthetic affinity using
dissolved inorganic carbon even in low-CO2 (LC) conditions. In the green alga
Chlamydomonas reinhardtii, a Ca2+-binding protein CAS was identified as a novel
factor regulating the expression of CCM-related proteins including bicarbonate
transporters. Although previous studies revealed that CAS associates with the
thylakoid membrane and changes its localization in response to CO2 and light
availability, its detailed localization in the chloroplast has not been examined
in vivo. In this study, high-resolution fluorescence images of CAS fused with a
Chlamydomonas-adapted fluorescence protein, Clover, were obtained by using a
sensitive hybrid detector and an image deconvolution method. In high-CO2 (5% v/v)
conditions, the fluorescence signals of Clover displayed a mesh-like structure in
the chloroplast and part of the signals discontinuously overlapped with
chlorophyll autofluorescence. The fluorescence signals gathered inside the
pyrenoid as a distinct wheel-like structure at 2 h after transfer to LC-light
condition, and then localized to the center of the pyrenoid at 12 h. These
results suggest that CAS could move in the chloroplast along the thylakoid
membrane in response to lowering CO2 and gather inside the pyrenoid during the
operation of the CCM.
PMID- 29372337
TI - The roles of H2S and H2O2 in regulating AsA-GSH cycle in the leaves of wheat
seedlings under drought stress.
AB - This paper investigated the roles of hydrogen sulfide (H2S) and hydrogen peroxide
(H2O2) and the possible relationship between them in regulating the AsA-GSH cycle
in wheat leaves under drought stress (DS). Results showed that DS markedly
increased the production of H2S and H2O2, the transcript levels and activities of
ascorbate peroxidase (APX), glutathione reductase (GR), monodehydroascorbate
reductase (MDHAR), and dehydroascorbate reductase (DHAR); malondialdehyde (MDA)
content; and electrolyte leakage (EL). Meanwhile, DS markedly reduced plant
height and biomass. Above increases induced by drought stress except MDA content
and EL were all suppressed by pretreatments with H2S synthesis inhibitor
aminooxyaceticacid (AOA) and H2O2 synthesis inhibitor diphenylene iodonium (DPI).
Besides, pretreatments with AOA and DPI further significantly increased MDA
content and EL and significantly reduced plant height and biomass under DS. DPI
reduced the production of H2O2 and H2S induced by DS. AOA also reduced the
production of H2S and H2O2 induced by DS. Pretreatments with NaHS + AOA and H2O2
+ DPI reversed above effects of AOA and DPI. Our results suggested that H2S and
H2O2 all participated in the up-regulation of AsA-GSH cycle in wheat leaves by DS
and possibly affected each other.
PMID- 29372338
TI - Screening for cytotoxic chemical constituents from Justicia procumbens by HPLC
DAD-ESI-MS and NMR.
AB - BACKGROUND: The Acanthaceae family is an important source of therapeutic drugs
and ethno medicines. There are many famous medicinal plants from this family,
such as Andrographis paniculata, Baphicacanthus cusia, and Dicliptera chinensis.
Justicia procumbens (J. procumbens) is widely distributed in tropical and sub
tropical of the world. It has long been used in traditional Chinese medicine for
cancer. The 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay
showed the ethyl acetate extract of J. procumbens had a cytotoxic activity.
Therefore, qualitative and quantitative analysis of the chemical constituents in
the ethyl acetate extract was important for understanding its pharmacological
mechanism. RESULTS: A high-performance liquid chromatography with diode array
detection coupled to electrospray ionisation quadrupole time-of-flight tandem
mass spectrometry procedure was established. Eleven dibenzylbutanes and four
arylnaphthalenes were confirmed by HPLC-DAD-ESI-QTOF-MS analysis. A novel
dibenzylbutane (5-methoxy-4,4'-di-O-methylsecolariciresinol-9'-monoacetate) and
seven isomers of arylnaphthalene were isolated and characterized by NMR and QTOF
MS. Compounds 1, 2, and 13 were detected for the first time. The content of six
lignans were determinated in the ethyl acetate extract. CONCLUSIONS: This study
showed that the cytotoxic activity assay of J. procumbens could be mainly
attributed to the constituents of lignans. The bioactivity of the ethyl acetate
extract and determined compounds support the traditional use of this plant in
cancer. These chemical constituents may be developed as novel therapeutics.
PMID- 29372339
TI - Mind-Body Therapies for Late-Life Mental and Cognitive Health.
AB - PURPOSE OF REVIEW: A growing body of research supports the use of mind-body
therapies (MBTs) as minimally invasive and effective approaches for the
management of late-life mood and cognitive disorders. RECENT FINDINGS: Recent
randomized controlled trials and meta-analyses indicate that MBTs are effective
for enhancing well-being, mood, sleep, and cognition in older adults. Evidence
suggests that mindful movement (e.g., yoga, tai chi, walking meditation) may even
outperform conventional physical exercise with regard to effects on quality of
life, mood, and cognitive functioning. Practitioners may recommend MBTs as
holistic, effective approaches for the management of common late-life mood and
cognitive disorders. Continued research on MBTs will inform the development of
even more effective/targeted interventions and contribute to greater acceptance
and integration of these therapies into geriatric medicine and psychiatry.
PMID- 29372340
TI - Bowel obstruction secondary to migration of a Ventralex mesh: report of a rare
complication.
PMID- 29372341
TI - Parasitic egg rejection decisions of chalk-browed mockingbirds Mimus saturninus
are independent of clutch composition.
AB - Obligate avian brood parasites lay their eggs in nests of other host species,
which assume all the costs of parental care for the foreign eggs and chicks. The
most common defensive response to parasitism is the rejection of foreign eggs by
hosts. Different cognitive mechanisms and decision-making rules may guide both
egg recognition and rejection behaviors. Classical optimization models generally
assume that decisions are based on the absolute properties of the options (i.e.,
absolute valuation). Increasing evidence shows instead that hosts' rejection
decisions also depend on the context in which options are presented (i.e.,
context-dependent valuation). Here we study whether the chalk-browed
mockingbird's (Mimus saturninus) rejection of parasitic shiny cowbird (Molothrus
bonariensis) eggs is a fixed behavior or varies with the context of the clutch.
We tested three possible context-dependent mechanisms: (1) range effect, (2)
habituation to variation, and (3) sensitization to variation. We found that
mockingbird rejection of parasitic eggs does not change according to the
characteristics of the other eggs in the nest. Thus, rejection decisions may
exclusively depend on the objective characteristics of the eggs, meaning that the
threshold of acceptance or rejection of a foreign egg is context-independent in
this system.
PMID- 29372342
TI - Determination of flutamide and two major metabolites using HPLC-DAD and HPTLC
methods.
AB - Flutamide is a potential antineoplastic drug classified as an anti-androgen. It
is a therapy for men with advanced prostate cancer, administered orally after
which it undergoes extensively first pass metabolism in the liver with the
production of several metabolites. These metabolites are predominantly excreted
in urine. One of the important metabolites in plasma is 4-nitro-3
(trifluoromethyl)phenylamine (Flu-1), while the main metabolite in urine is 2
amino-5-nitro-4-(trifluoromethyl)phenol (Flu-3). In this work the two
metabolites, Flu-1 and Flu-3, have been synthesized, and then structural
confirmation has been carried out by HNMR analysis. Efforts were exerted to
develop chromatographic methods for resolving Flutamide and its metabolites with
the use of acceptable solvents without affecting the efficiency of the methods.
The drug along with its metabolites were quantitatively analyzed in pure form,
human urine, and plasma samples using two chromatographic methods, HPTLC and HPLC
DAD methods. FDA guidelines for bio-analytical method validation were followed
and USP recommendations were used for analytical method validation. Interference
from excipients has been tested by application of the methods to pharmaceutical
tablets. No significant difference was found between the proposed methods and the
official one when they were statistically compared at p value of 0.05%.
PMID- 29372343
TI - Radio Frequency Ablation and Pulsed Radiofrequency for Treating Peripheral
Neuralgias.
AB - PURPOSE OF REVIEW: Peripheral nerve pain is common among patients with typical
management including the use of pain medications, neuropathic agents, steroid
injections, and nerve blocks. Additionally, the use of pulsed radiofrequency
(PRF) and radiofrequency ablation (RFA) can be used in the management of chronic
peripheral nerve pain. Previous studies investigating the effectiveness of RFA
and PRF, typically case reports, have demonstrated that peripheral nerve RFA and
PRF have the potential to provide relief of chronic pain for long duration. Our
study aimed at testing efficacy of RFA/PRF for treating peripheral neuralgia.
This was a retrospective review. We identified 16 patients who received 17
RFAs/PRFs. Outcomes of interest collected included pain scores before and after
procedures, percent improvement in pain after each procedure, and duration of
improvement until the time of data collection. In addition, demographic data
including age, sex, and nerves involved were collected. RECENT FINDINGS: Eleven
patients (12 RFAs/PRFs) (80%) reported improvement after their procedure. Pain
scores improved significantly from 6.3 +/- 2.3 before each procedure to 3.6 +/-
2.7 after each procedure (p = 0.003). Eleven patients (12 RFAs/PRFs) reported an
average improvement of 60.8% +/- 35% after their procedure with an average
duration of improvement of 128.8 +/- 106.8 days. RFA and PRF can be used to treat
chronic peripheral pain after conservative methods fail to do so. Large clinical
trials are needed to confirm our finding.
PMID- 29372344
TI - "We get them up, moving, and out the door. How do we get them to do what is
recommended?" Using behaviour change theory to put exercise evidence into action
for rehabilitation professionals.
AB - : Recommendations suggest a multicomponent exercise for people with osteoporosis.
We identified rehabilitation professionals' barriers and facilitators to
implementing exercise recommendations with people with osteoporosis, and used
those to make suggestions for targeted knowledge translation interventions.
Future work will report on development and evaluation of the interventions
informed by our study. PURPOSE: Rehabilitation professionals can help people with
osteoporosis to engage in a multicomponent exercise program and perform
activities of daily living safely. However, rehabilitation professional face
barriers to implementing exercise evidence, especially for specific disease
conditions like osteoporosis. We performed a behavioural analysis and identified
rehabilitation professionals' barriers to and facilitators of implementing
disease-specific physical activity and exercise recommendations (Too Fit to
Fracture recommendations), and used the Behaviour Change Wheel to select
interventions. METHODS: Semi-structured interviews and focus groups were
conducted with rehabilitation professionals, including physical therapists,
kinesiologists, and occupational therapists, and transcribed verbatim. Two
researchers coded data and identified emerging themes. Using the Behaviour Change
Wheel framework, themes were categorized into capability, opportunity, and
motivation, and relevant interventions were identified. RESULTS: Ninety-four
rehabilitation professionals (mean age 40.5 years, 88.3% female) participated.
Identified barriers were as follows: capability-lack of training in behaviour
change, how to modify recommendations for physical and cognitive impairments;
opportunity-lack of resources, time, and team work; motivation-lack of trust
between providers, fear in providing interventions that may cause harm.
Interventions selected were as follows: education, training, enablement,
modelling and persuasion. Policy categories are communication/marketing,
guidelines, service provision and environmental/social planning. CONCLUSIONS: Key
barriers to implementing the recommendations are rehabilitation professionals'
ability to use behaviour change techniques, to modify the recommendations for
physical and cognitive limitations and to feel comfortable with delivering
challenging but safe interventions for people with osteoporosis, and lacking
trust and team work across sectors. Future work will report on development and
evaluation of knowledge translation interventions informed by our study.
PMID- 29372345
TI - Correction to: Recurrence with pagetoid spread arising 17 years after surgery for
intramucosal rectal cancer: a case report.
AB - After publication of the original article [1] the authors noted that the
following errors had occurred.
PMID- 29372346
TI - Heavy Chain Disease of the Small Bowel.
AB - PURPOSE OF REVIEW: The purpose of this review is to discuss current knowledge and
recent findings regarding pathogenesis, outcome, and treatment for heavy chain
disease (HCD) involving the small bowel, focusing on alpha HCD or
immunoproliferative small intestinal disease (IPSID), the HCD subtype typically
affecting the small bowel. RECENT FINDINGS: A link between Campylobacter jejuni
infection and IPSID has been established, but there is controversy as to the role
played by this organism in disease pathogenesis. While cytogenetic abnormalities
involving various immunoglobulin loci and PAX5 have been reported, these have
been described in rare, single cases, limiting their ability to shed further
light on disease pathogenesis. IPSID is typically regarded as a pre-lymphomatous
condition with eventual progression to frank lymphoma; however, recent reports of
longstanding non-progressive cases have expanded its clinical spectrum. IPSID is
an uncommon disorder affecting the small intestine. This review focuses on
current knowledge and novel insight regarding its pathogenesis, outcome, and
treatment, with an emphasis on future directions.
PMID- 29372347
TI - Thoracic wall muscle metastasis from pancreatic cancer.
AB - Skeletal muscle metastasis from pancreatic cancer is rare. We present a 72-year
old female patient with unresectable pancreatic tail cancer. Fifteen months after
the introduction of the chemoradiotherapy, an 18-mm elastic hard tumor was found
in her right chest wall and resected after confirmation of no other metastatic
lesions. Postoperative pathological examination diagnosed it as a muscle
metastasis from the pancreatic cancer, and the patient has since been continuing
chemotherapy for 10 months. A review of the literature regarding skeletal muscle
metastasis from pancreatic cancer is also presented.
PMID- 29372348
TI - The Use of Autonomic Modulation Device to Control Training Performance after High
Intensity Interval Training Program.
AB - The aim of the present research was to analyze the autonomic response in a group
of trained swimmers before and after conducting a 4-week period of high-intensity
interval training (HIT). Heart rate variability was analyzed in 14 swimmers (16.2
+/- 2.6 years, 169.1 +/- 10.2 cm and 61.3 +/- 9.9 kg) in basal condition and
during a HIT session before and after completing a training period. The HIT
session that was evaluated consisted of: 16 * 25 m maximum speed, resting 30 s
between sets. Participants combined aerobic training with tethered swimming and
HIT sessions three times per week in a period of 4 weeks. Results showed a
significantly decrease (p < 0.05) of the standard deviation of the NN intervals
(SDNN), the standard deviation of differences between adjacent NN intervals
(SDSD), the number of successive difference of intervals which differ by more
than 50 ms (NN50), after the training period. Results showed a higher
parasympathetic activation besides improvements in autonomic adaptation after HIT
training period.
PMID- 29372349
TI - Cognitive impairment in rheumatoid arthritis: role of lymphocyte subsets,
cytokines and neurotrophic factors.
AB - To what extent the cognitive impairment of rheumatoid arthritis (RA) is modulated
by autoimmune and/or inflammatory activity is largely unknown. The aim of this
study was to investigate the role of peripheral inflammation on cognitive
functions of patients with active (Ac-), controlled (Co-) RA and healthy
controls. In a cross-sectional study, 102 RA patients and 30 matched healthy
controls were recruited. B and T cell subsets were immunophenotyped by flow
cytometry. Plasma cytokines and neurotrophins were measured by flow cytometry and
ELISA, respectively. Cognitive performance, depression and stress were evaluated
by structured clinical interviews. Generalized linear modeling (GzLM) was used to
compare differences between groups and multiple linear regression models were
used to explore the predictive value of immune variables on cognitive
performance. RA patients had overall cognitive impairment. Of note, the Ac-RA had
the poorest performance on digit span (DST) and N-back when compared to Co-RA and
control group (DST 9.9 +/- 2.1, 12.9 +/- 4.2, 15.5 +/- 4.7, respectively; N-back
49.2 +/- 8.3, 55.5 +/- 11.1, 60.8 +/- 9.1, respectively, all p < 0.0001). RA
patients had expansions of immature B cells (Ac-RA 11.2 +/- 7.1, Co-RA: 9 +/-
5.7, control 5.9 +/- 2.1) and plasma cells (Ac-RA 5.2 +/- 2.5, Co-RA 6.9 +/- 3.7,
control 2.8 +/- 1.7) as compared to controls, all p < 0.05. RA patients
(controlled and active disease) had higher plasma levels of TNF, IL-2, IL-4, IL-6
and IL-10 than controls (all p < 0.002). RA patients had higher BDNF levels (Ac
RA 17,354.4 +/- 5357.3, Co-RA 13,841.2 +/- 5953.7, control 11,543.3 +/- 3772),
but lower GDNF levels [median (interquartile range) Ac-RA 0 pg/ml (0.0), Co-RA 0
pg/ml (4.6) and control 4.7 pg/ml (18.1)] than controls (all p < 0.05). RA
patients had global cognitive impairment, which was associated with disease
activity and immune changes.
PMID- 29372350
TI - Bilateral vision loss due to Leber's hereditary optic neuropathy after long-term
alcohol, nicotine and drug abuse.
AB - PURPOSE: Leber's hereditary optic neuropathy is relatively rare, and no clinical
pathognomonic signs exist. We present a rare case of bilateral vision loss of a
patient with multiple drug abuse in the history. OBSERVATION: A 31-year-old man
presented with a history of progressive, decreased vision in both eyes for 6
month. On examination, his visual acuity was hand motion in both eyes. Funduscopy
demonstrated a temporal pallor of the optic disc. Goldmann visual field perimetry
showed a crescent visual field in the right eye and a circular decrease to less
than 50 degrees in the left eye. Electroretinogram showed a scotopic b-wave
amplitude reduction. Optical coherence tomographies, Heidelberg Retina
tomography, visual evoked potentials, and magnetic resonance imaging with
contrast as well as blood tests were normal. The patient reported to consume
various kinds of drugs as well as recreational drug use and alcohol consumption
since he was 16 years old. We started a hemodilution therapy, believing the
patient suffered from a bilateral, toxic optic neuropathy due to his lifestyle.
Laboratory results later on showed Leber's hereditary optic neuropathy.
CONCLUSION AND IMPORTANCE: Leber's hereditary optic neuropathy is a rare disease
without a typical, pathognomonic presentation. Even though the patient gave good
reasons for a toxic optic neuropathy, one should never stop to test for other
diseases.
PMID- 29372351
TI - A Method for Guarding Animal Welfare and Public Health: Tracking the Rise of
Backyard Poultry Ordinances.
AB - In response to a growing number of permissive urban animal agriculture ordinances
across the nation, this research reviews the spatial and temporal attributes of
urban poultry ordinances across 100 municipalities in Colorado. More poultry
ordinances have been passed or modified in Colorado in the last 5 years than in
the previous hundred. Comparing regulations to commercial operations and animal
shelter surrenders, we find that permissive ordinances cluster near major urban
areas even where they are in close proximity to large commercial operations. Most
ordinances inadequately address both human and animal health and welfare
concerns. Provisions governing animal slaughter and routine veterinary care are
rare, presenting a concern for monitoring and intervening in public health
crises. In addition, shelters anticipate higher poultry intakes, particularly as
unwanted birds are turned loose to become strays.
PMID- 29372352
TI - Three dimensional models in uro-oncology: a future built with additive
fabrication.
AB - PURPOSE: Three-dimensional (3D) printing was invented in 1983 but has only just
begun to influence medicine and surgery. Conversion of digital images into
physical models demonstrates promise to revolutionize multiple domains of
surgery. In the field of uro-oncology, researchers and clinicians have recognized
the potential of this technology and are working towards making it an integral
part of urological practice. We review current literature regarding 3D printing
and other 3D technology in the field of urology. METHOD: A comprehensive
assessment of contemporary literature was performed according to a modified
PRISMA analysis for the purposes of this narrative review article. Medical
databases that were searched included: Web of Science, EMBASE and Cochrane
databases. Articles assessed were limited only to English-language peer-reviewed
articles published between 1980 and 2017. The search terms used were "3D", "3
dimensional", "printing", "printing technology", "urology", "surgery". Acceptable
articles were reviewed and incorporated for their merit and relevance with
preference given for articles with high impact, original research and recent
advances. RESULTS: Thirty-five publications were included in final analysis and
discussion. CONCLUSIONS: The area of 3D printing in Urology shows promising
results, but further research is required and cost reduction must occur before
clinicians fully embrace its use. As costs continue to decline and diversity of
materials continues to expand, research and clinical utilization will increase.
Recent advances have demonstrated the potential of this technology in the realms
of education and surgical optimization. The generation of personalized organs
using 3D printing scaffolding remains the 'holy grail' of this technology.
PMID- 29372353
TI - Novel technology of molecular radio-guidance for lymph node dissection in
recurrent prostate cancer by PSMA-ligands.
AB - PURPOSE: Recently, prostate-specific membrane antigen-radioguided surgery (PSMA
RGS) has been introduced as a promising new and individual treatment concept in
patients with localised recurrent prostate cancer (PC). In the following, we want
to review our experience with PSMA-RGS in patients with localised biochemical
recurrent PC. METHODS: A non-systematic review of the literature was carried out
with focus on technical and logistical aspects of PSMA-RGS. Furthermore,
published data on intraoperative detection of metastatic lesions compared to
preoperative PSMA-PET and postoperative histopathology, postoperative
complications as well as oncological follow-up data are summarized. Finally,
relevant aspects on prerequisites for PSMA-RGS, patient selection, and the
potential benefit of additional salvage radiotherapy or potential future
applications of robotic PSMA-RGS with drop-in gamma-probes are discussed.
RESULTS: First results show that PSMA-RGS is very sensitive and specific in
tracking suspicious lesions intraoperatively. Prerequisite for patient selection
and localisation of tumour recurrence is a positive Ga-HBED-CC PSMA positron
emission tomography (PET) scan with preferably only singular soft tissue or lymph
node recurrence after primary treatment. Furthermore, PSMA-RGS has the potential
to positively influence oncological outcome. CONCLUSIONS: PSMA-RGS seems to be of
high value in patients with localised PC recurrence for exact localisation and
resection of oftentimes small metastatic lesions using intraoperative and ex vivo
gamma-probe measurements. However, patient identification on the basis of Ga-HBED
CC-PSMA PET imaging as well as clinical parameters is crucial to obtain
satisfactory results.
PMID- 29372354
TI - Urinary tract infections following radical cystectomy and urinary diversion: a
review of 1133 patients.
AB - OBJECTIVE: To investigate the incidence and microbiology of urinary tract
infection (UTI) within 90 days following radical cystectomy (RC) and urinary
diversion. METHODS: We reviewed 1133 patients who underwent RC for bladder cancer
at our institution between 2003 and 2013; 815 patients (72%) underwent orthotopic
diversion, 274 (24%) ileal conduit, and 44 (4%) continent cutaneous diversion. 90
day postoperative UTI incidence, culture results, antibiotic
sensitivity/resistance and treatment were recorded through retrospective review.
Fisher's exact test, Kruskal-Wallis test, and multivariable analysis were
performed. RESULTS: A total of 151 urinary tract infections were recorded in 123
patients (11%) during the first 90 days postoperatively. 21/123 (17%) had
multiple infections and 25 (20%) had urosepsis in this time span. Gram-negative
rods were the most common etiology (54% of positive cultures). 52% of UTI
episodes led to readmission. There was no significant difference in UTI rate,
etiologic microbiology (Gram-negative rods, Gram-positive cocci, fungi), or
antibiotic sensitivity and resistance patterns between diversion groups.
Resistance to quinolones was evident in 87.5% of Gram-positive and 35% of Gram
negative bacteria. In multivariable analysis, Charlson Comorbidity Index > 2 was
associated with higher 90-day UTI rate (OR = 1.8, 95% CI 1.1-2.9, p = 0.05) and
Candida UTI (OR 5.6, 95% CI 1.6-26.5, p = 0.04). CONCLUSIONS: UTI is a common
complication and cause of readmission following radical cystectomy and urinary
diversion. These infections are commonly caused by Gram-negative rods. High
comorbidity index is an independent risk factor for postoperative UTI, but
diversion type is not.
PMID- 29372355
TI - Worth a second look: outcomes of patients with initial finding of regular renal
tissue in CT-guided renal tumor biopsies.
AB - INTRODUCTION AND OBJECTIVE: Renal tumor biopsy is recommended for histological
diagnosis of radiologically indeterminate renal masses, to select patients with
small-renal masses for surveillance approaches, before ablative treatments and to
confirm metastatic spread of renal cell cancer (RCC), according to the EAU
guidelines. We aimed to determine outcomes of patients with suspicious renal
masses with initial finding of regular renal tissue in renal tumor biopsies.
METHODS: Retrospective database analysis of 101 patients undergoing CT-guided-,
percutaneous renal tumor biopsies in local anesthesia. RESULTS: In 23/101
patients, histopathologic evaluation of the biopsies showed regular renal tissue.
Of these, two patients underwent simultaneous radiofrequency ablation (RFA), 2/23
underwent radical nephrectomy, despite negative biopsy because of radiological
suspicious aspect. Overall, 12 patients underwent a second set of biopsies due to
persistent clinical suspicion. Of these, five were diagnosed with RCC: three
clear cell renal cell carcinoma (ccRCC) and two papillary renal cell carcinoma
(pRCC). Benign tumours were found in two patients. A lymphoma was found in two
patients. In 3/12 patients, also the second set of biopsies showed regular renal
tissue. CONCLUSION: An unsuspicious histology in CT-guided renal tumor biopsy
does not preclude patients with suspicious renal masses from being diagnosed with
malignancies.
PMID- 29372356
TI - Evaluation of clinical staging of the American Joint Committee on Cancer (eighth
edition) for prostate cancer.
AB - OBJECTIVES: This study aimed to evaluate the eighth edition of the American Joint
Committee on Cancer (AJCC) for clinical staging of prostate cancer based upon
Surveillance, Epidemiology and, End Results (SEER) database. MATERIALS AND
METHODS: Patients diagnosed as prostate adenocarcinoma during 2004-2009 without
any surgical treatment to the primary site were selected from the SEER registry.
Excluded were cases with incomplete or unavailable staging, PSA and Gleason score
information. RESULTS: A total of 144,443 cases were identified. The median follow
up time was 84 months. The median age at diagnosis was 69 years, and median PSA
was 7 ng/ml. CSS at 10th years was 96.2% for cT2a and 86.2% for cT2b/2c,
respectively. The survival differences between clinical stage cT2a and cT2b/2c
still had statistical significance (P < 0.001). For patients with grade group 1,
there was no statistically significant difference for CCS between the cT2a and
cT1 (P = 0.310), and between the subgroup of cT1/cT2a with 10 ng/ml <= PSA < 20
ng/ml and the subgroup of cT2b/2c with PSA < 20 ng/ml (P = 0.126), respectively.
The CSS of IIIA (T1/2 with PSA >= 20 ng/ml) was less than IIC (P < 0.001), which
has worst prognosis within stage I/II. The prognosis of T1/2 stage with Gleason
score grade group 5 and PSA < 20 ng/ml was not only worse than AJCC IIC (P <
0.001) but also worse than AJCC IIIB (P < 0.001). CONCLUSION: It is necessary to
maintain a three-tier system to subdivide T2 disease clinically. For patients
with grade group 1, cT2a and cT1 could merge into one group. Organ-confined
disease with PSA >= 20 ng/ml or grade group 5 should be separated from stage II.
PMID- 29372357
TI - Micro-ureteroscopy vs. ureteroscopy: effects of miniaturization on renal
vascularization and intrapelvic pressure.
AB - PURPOSE: Ureteroscopy (URS) is related to complications, as fever or
postoperative urinary sepsis, due to high intrapelvic pressure (IPP) during the
procedure. Micro-ureteroscopy (m-URS) aims to reduce morbidity by miniaturizing
the instrument. The objective of this study is to compare IPP and changes in
renal haemodynamics, while performing m-URS vs. conventional URS. METHODS: A
porcine model involving 14 female pigs was used in this experimental study. Two
surgeons performed 7 URS (8/9.8 Fr), for 45 min, and 7 m-URS (4.85 Fr), for 60
min, representing a total of 28 procedures in 14 animals. A catheter pressure
transducer measured IPP every 5 min. Haemodynamic parameters were evaluated by
Doppler ultrasound. The volume of irrigation fluid employed in each procedure was
also measured. RESULTS: The range of average pressures was 5.08-14.1 mmHg in the
m-URS group and 6.08-20.64 mmHg in the URS (NS). 30 mmHg of IPP were not reached
in 90% of renal units examined with m-URS, as compared to 65% of renal units in
the URS group. Mean peak diastolic velocity decreased from 15.93 to 15.22 cm/s
(NS) in the URS group and from 19.26 to 12.87 cm/s in the m-URS group (p < 0.01).
Mean resistive index increased in both groups (p < 0.01). Irrigation fluid volume
used was 485 mL in the m-URS group and 1475 mL in the URS group (p < 0.001).
CONCLUSIONS: m-URS requires less saline irrigation volumes than the conventional
ureteroscopy and increases renal IPP to a lesser extent.
PMID- 29372358
TI - Laryngeal Manipulation for Dysphagia with Muscle Tension Dysphonia.
AB - The purpose of the article is to describe the use of laryngeal manipulation as a
treatment for dysphagia resulting from excessive paralaryngeal muscle tension and
to identify the patients likely to have symptomatic improvement. This is a
retrospective single-center case series. A retrospective review identified
patients from 2007 to 2013 with laryngeal manipulation for muscle tension
dysphagia in an academic Otolaryngology practice. Subjects with dysphagia not
attributable to an anatomic cause who attended therapy at least one time were
included in the study. The primary outcome of the study was subjective
improvement in dysphagia symptoms (yes/no) during follow-up. Symptoms,
demographic information, treatment, and response to therapy were recorded. The
Kruskal-Wallis test was used for analysis of continuous variables, while a Chi
squared test or fisher's exact test was used for analysis of all categorical
variables. Forty-four subjects were included, consisting of 37 women and 7 men.
Subjective improvement in dysphagia was seen in 34 subjects (77.3%). No
significant differences were seen in improvement based on gender (p = 0.3223),
race (p = 0.4317), number of sessions with a speech pathologist (p = 0.3198), or
presenting symptoms including hoarseness (p = 0.0853), pain (p = 1.000), globus
(p = 0.2834), and cough (p = 1.000). We found subjective improvement with
laryngeal manipulation as reported during follow-up visits to clinic among
individuals with muscle tension dysphagia. Patient age, presenting symptoms, and
number of therapy sessions were not found to be significantly associated with
resolution of symptoms.
PMID- 29372359
TI - Anti-inflammatory and antinociceptive properties of the hydroalcoholic fractions
from the leaves of Annona crassiflora Mart. in mice.
AB - BACKGROUND: Annona crassiflora Mart., popularly known as "Araticum", is a native
tree of the Brazilian Cerrado used in folk medicine for treatment of pain and
inflammatory diseases. We proposed to analyze analgesic and anti-inflammatory
properties of the filtrate (F1) and the precipitate (F2) of the hydroalcoholic
fraction from the leaves of Annona crassiflora Mart. in mice. MATERIALS AND
METHODS: Swiss mice were submitted to formalin-induced nociception test and tail
flick reflex test, to assess antinociceptive properties, and to the rota-rod
test, for motor performance analyses. To evaluate anti-inflammatory properties,
F1 and F2 were orally administered 1 h prior to the intrathoracic injection of
carrageenan, zymosan, LPS, CXCL8, or vehicle in Balb/c mice and neutrophil
infiltration was evaluated 4 h after injection. RESULTS: F1 and F2 reduced the
licking time in the second phase of formalin-induced nociception test, but only
F2 showed a dose-dependent response. Neither F1 nor F2 reduced the latency time
in the tail-flick reflex test. In addition, motor performance alteration was not
observed in F1- or F2-treated mice. F2 treatment significantly inhibited the
neutrophilia induced by carrageenan, LPS, or CXCL8, but not zymosan. CONCLUSIONS:
The experimental data demonstrated that hydroalcoholic fractions of Annona
crassiflora Mart. leaves have remarkable anti-inflammatory and antinociceptive
activities.
PMID- 29372360
TI - Ranking composite Cancer Burden Indices for geographic regions: point and
interval estimates.
AB - PURPOSE: To develop a composite Cancer Burden Index and produce 95% confidence
intervals (CIs) as measures of uncertainties for the index. METHODS: The Kentucky
Cancer Registry has developed a cancer burden Rank Sum Index (RSI) to guide
statewide comprehensive cancer control activities. However, lack of interval
estimates for RSI limits its applications. RSI also weights individual measures
with little inherent variability equally as ones with large variability. To
address these issues, a Modified Sum Index (MSI) was developed to take into
account of magnitudes of observed values. A simulation approach was used to
generate individual and simultaneous 95% CIs for the rank MSI. An uncertainty
measure was also calculated. RESULTS: At the Area Development Districts (ADDs)
level, the ranks of the RSI and the MSI were almost identical, while larger
variation was found at the county level. The widths of the CIs at the ADD level
were considerably shorter than those at the county level. CONCLUSION: The
measures developed for estimating composite cancer burden indices and the
simulated CIs provide valuable information to guide cancer prevention and control
effort. Caution should be taken when interpreting ranks from small population
geographic units where the CIs for the ranks overlap considerably.
PMID- 29372361
TI - Lp (p <= 1) Norm Partial Directed Coherence for Directed Network Analysis of
Scalp EEGs.
AB - Partial directed coherence (PDC), which is capable of estimating directed brain
networks in the frequency domain, has been widely used in various physiological
recordings such as electroencephalograms (EEGs) and functional magnetic resonance
imaging. However, clinical data from EEGs are inevitably contaminated with
unexpected outlier artifacts. This will result in biased networks, which are
different from the original physiological mechanism because of the L2 norm
structure utilized in PDC to estimate the directed links. In this work, we define
a new PDC model in the Lp norm (p <= 1) space to restrict outlier influence and
use a feasible iteration procedure to solve this model for directed network
construction. The quantitative evaluation using a predefined simulation network
demonstrates that Lp-PDC is more consistent with the predefined networks than LS
PDC and Lasso-PDC under various simulated outlier conditions. Applying the Lp-PDC
model to resting-state EEGs with ocular artifacts also show that the proposed PDC
can effectively restrict the ocular artifacts to recover the networks, which is
also more consistent with the physiological basis. Both simulation and real-life
EEG applications demonstrate the efficiency of the proposed PDC in suppressing
the influence of outliers in EEG signals, and the proposed Lp-PDC may be helpful
to capture reliable causal relationships for related studies contaminated with
outlier artifacts.
PMID- 29372362
TI - Large-Scale Traveling Waves in EEG Activity Following Eye Movement.
AB - In spontaneous, stimulus-evoked, and eye-movement evoked EEG, the oscillatory
signal shows large scale, dynamically organized patterns of phase. We
investigated eye-movement evoked patterns in free-viewing conditions.
Participants viewed photographs of natural scenes in anticipation of a memory
test. From 200 ms intervals following saccades, we estimated the EEG phase
gradient over the entire scalp, and the wave activity, i.e. the goodness of fit
of a wave model involving a phase gradient assumed to be smooth over the scalp.
In frequencies centered at 6.5 Hz, large-scale phase organization occurred,
peaking around 70 ms after fixation onset and taking the form of a traveling
wave. According to the wave gradient, most of the times the wave spreads from the
posterior-inferior to anterior-superior direction. In these directions, the
gradients depended on the size and direction of the saccade. Wave propagation
velocity decreased in the course of the fixation, particularly in the interval
from 50 to 150 ms after fixation onset. This interval corresponds to the fixation
related lambda activity, which reflects early perceptual processes following
fixation onset. We conclude that lambda activity has a prominent traveling wave
component. This component consists of a short-term whole-head phase pattern of
specific direction and velocity, which may reflect feedforward propagation of
visual information at fixation.
PMID- 29372363
TI - Tumor-Specific Labeling of Pancreatic Cancer Using a Humanized Anti-CEA Antibody
Conjugated to a Near-Infrared Fluorophore.
AB - BACKGROUND/PURPOSE: Development of a humanized fluorophore-conjugated antibody
that can improve contrast for fluorescence-guided oncologic surgeries. METHODS:
BxPC-3-GFP pancreatic cancer cells were injected into flanks of nude mice.
Fragments of subcutaneous tumors were grafted onto the pancreatic tail of
recipient mice to create orthotopic xenograft models of pancreatic cancer. After
tumors developed for 4 weeks, a humanized anti-carcinoembryonic antigen antibody
conjugated to an 800 nm near-infrared fluorescent dye (hM5A-IR800) was injected
intravenously. Mice were imaged at 6, 12, 24, 48, and 72 h after injection.
RESULTS: Fluorescence imaging showed that hM5A-IR800 specifically localized to
BxPC-3 human pancreatic cancer cells. The fluorescent probe localized to cell
surfaces in vitro and specifically co-localized with green fluorescent protein
labeled tumors in an orthotopic pancreatic xenograft model in vivo. Serial
imaging at specific time points showed peak signal intensity of the orthotopic
pancreatic tumor at 48 h; this time point corresponded with a maximal tumor-to
background ratio (TBR) of 16.6 at 48 h. DISCUSSION: hM5A-IR800 was successfully
able to specifically label orthotopic pancreatic tumors in situ. The longer
wavelength allowed deeper tissue penetration, particularly in tumor areas covered
by normal pancreatic parenchyma. The probe had expected kinetics for an antibody
fluorophore conjugate, with the peak signal intensity reached at 48 h. A clear
tumor signal was observed with a TBR > 5 at all time points, with high contrast
(TBR of 16.6) at 48 h. CONCLUSION: hM5A-IR800 demonstrated excellent tumor
localization and a very bright signal. It is a promising agent for future
clinical fluorescence-guided surgery applications.
PMID- 29372364
TI - Reproductive Decision Support: Preferences and Needs of Couples at Risk for
Hereditary Cancer and Clinical Geneticists.
AB - : For couples at high risk of transmitting a cancer predisposition to offspring,
reproductive decision-making can be challenging. As the choice between available
reproductive options is preference-sensitive, the use of a decision aid can
support these couples in their decisional process. The present study aims to
investigate preferences and needs of involved stakeholders regarding the
development and implementation of a patient decision aid. Semi-structured
interviews assessing the needs and preferences regarding the content and
functionalities of a decision support program were conducted among seven couples
at risk for hereditary cancer and among eight clinical geneticists involved in
oncogenetic counseling. Many similarities were found between the expressed
preferences and needs of both stakeholder groups concerning the content, barriers
and facilitating factors regarding the use of the decision aid, and its
implementation. Emphasis was placed on the use of simple non-medical language, an
extensive explanation of the procedures and techniques used in prenatal diagnosis
(PND) and preimplantation genetic diagnosis (PGD), and the role of health care
providers to refer couples to the decision aid. Both stakeholder groups were in
favor of incorporating narrative stories in the decision aid. Integrating the
present findings with knowledge on reproductive decisional motives and
considerations is essential in guiding the development of a decision aid that
corresponds to the preferences and needs of end-users. TRIAL REGISTRATION:
NTR5467.
PMID- 29372365
TI - How Might the Genetics Profession Better Utilize Social Media.
AB - Social media is a common method of communication in people's personal lives and
professional settings. Gallagher et al. (2016) recommended, "it is time for
genetic counselors to embrace social media as a means of communicating with
patients or other healthcare professionals." Full members of the National Society
of Genetic Counselors (NSGC) in the USA and Canada and genetics patients in
Cleveland, OH, were surveyed to determine interest in using social media for
patient-provider interactions. Both cohorts indicated that patient privacy and
confidentiality would be a concern; however, survey results indicated patients
would be interested in using social media to receive general information about
genetic counseling and to learn about genetics services. Genetic counselors
indicated privacy issues were not concerning if social media were to be used in
this capacity. The majority of genetic counselor participants (88.7%) indicated
they would welcome national guidelines for patient-provider social media use.
Data from this study demonstrated that sharing what to expect at a genetic
counseling appointment, defining genetic counseling, and announcing community
outreach events are possible ways genetic counselors could utilize social media
to communicate with and educate patients.
PMID- 29372366
TI - Lethal and sublethal effects of metal-polluted sediments on Chironomus
sancticaroli Strixino and Strixino, 1981.
AB - The Cantareira Complex is one of the most important water supplies of the
metropolitan region of Sao Paulo, Brazil. Previously, it was demonstrated that
the sediments in this complex were polluted with metals and that Paiva Castro
Reservoir-the last reservoir in the sequence, which receives water from the five
previous reservoirs-was the reservoir with the greatest concentration of
pollutants. Based on field data, it was noticed that copper concentrations in
sediments were related to morphological alterations in chironomids. The present
study provides novel monitoring methods and results for the complex by isolating
the environmental and biological sources of variation. An adaptation of the in
situ assay proposed by Soares et al. (Arch Environ Contam Toxicol 49:163-172,
2005), which uses a native tropical Chironomus species and low-cost materials, is
also provided. The aim of this study was to isolate the effects of sediments from
Paiva Castro on controlled populations of C. sancticaroli larvae using an in situ
assay. A seven-day experiment was performed in triplicate. Third instar larvae
were inoculated in chambers containing sediments from two distinct regions of
Paiva Castro reservoir and a control site with sand. Five biological responses
were considered: mouthpart alterations, larval length, width of cephalic capsule,
mortality and total damage. The results suggest the effects of sediment toxicity
on larvae include a reduction in length and a higher occurrence of total damage.
PMID- 29372368
TI - Correction to: Emotion Regulation via the Autonomic Nervous System in Children
with Attention-Deficit/Hyperactivity Disorder (ADHD).
AB - This erratum reports an error in Musser, E. D., Backs, R. W., Schmitt, C. F.,
Ablow, J. C., Measelle, J. R., & Nigg, J. T. (2011). Emotion regulation via the
autonomic nervous system in children with attention-deficit/hyperactivity
disorder (ADHD).
PMID- 29372367
TI - An Imbalance of Approach and Effortful Control Predicts Externalizing Problems:
Support for Extending the Dual-Systems Model into Early Childhood.
AB - Although the association between deficits in effortful control and later
externalizing behavior is well established, many researchers (Nigg Journal of
Child Psychology and Psychiatry, 47(3-4), 395-422, 2006; Steinberg Developmental
Review, 28(1), 78-106, 2008) have hypothesized this association is actually the
product of the imbalance of dual systems, or two underlying traits: approach and
self-regulation. Very little research, however, has deployed a statistically
robust strategy to examine that compelling model; further, no research has done
so using behavioral measures, particularly in longitudinal studies. We examined
the imbalance of approach and self-regulation (effortful control, EC) as
predicting externalizing problems. Latent trait models of approach and EC were
derived from behavioral measures collected from 102 children in a community
sample at 25, 38, 52, and 67 months (2 to 5 1/2 years), and used to predict
externalizing behaviors, modeled as a latent trait derived from parent-reported
measures at 80, 100, 123, and 147 months (6 1/2 to 12 years). The imbalance
hypothesis was supported: Children with an imbalance of approach and EC had more
externalizing behavior problems in middle childhood and early preadolescence,
relative to children with equal levels of the two traits.
PMID- 29372369
TI - "Transcriptomics": molecular diagnosis of inborn errors of metabolism via RNA
sequencing.
AB - Exome wide sequencing techniques have revolutionized molecular diagnostics in
patients with suspected inborn errors of metabolism or neuromuscular disorders.
However, the diagnostic yield of 25-60% still leaves a large fraction of
individuals without a diagnosis. This indicates a causative role for non-exonic
regulatory variants not covered by whole exome sequencing. Here we review how
systematic RNA-sequencing analysis (RNA-seq, "transcriptomics") lead to a
molecular diagnosis in 10-35% of patients in whom whole exome sequencing failed
to do so. Importantly, RNA-sequencing based discoveries cannot only guide
molecular diagnosis but might also unravel therapeutic intervention points such
as antisense oligonucleotide treatment for splicing defects as recently reported
for spinal muscular atrophy.
PMID- 29372370
TI - SLC30A family expression in the pancreatic islets of humans and mice: cellular
localization in the beta-cells.
AB - Zinc is a vital co-factor for insulin metabolism in the pancreatic beta-cell,
involved in synthesis, maturation, and crystallization. Two families of zinc
transporters, namely SLC30A (ZNT) and SLC39A (ZIP) are involved in maintaining
cellular zinc homeostasis in mammalian cells. Single nuclear polymorphisms or
mutations in zinc transporters have been associated with insulin resistance and
risk of type 2 diabetes (T2D) in both humans and mice. Thus, mice can be useful
for studying the underlying mechanisms of zinc-associated risk of T2D
development. To determine potential differences in zinc transporter expression
and cellular localization in the pancreatic beta-cells between humans and mice,
we examined all members (ZNT1-10) of the ZNT family in pancreatic islets and in
beta-cell lines derived from both species using immunohistochemistry and
immunofluorescence microscopic analysis. We found that there were no substantial
differences in the expression of nine ZNT proteins in the human and mouse islets
and beta-cells with exception of ZNT3, which was only detected in human beta
cells, but not in mouse beta-cells. Moreover, we found that ZNT2 was localized on
the cell surface of both human and mouse beta-cells, suggesting a role of ZNT2 in
direct export of zinc out of the beta-cell. Together, our study suggests
functional conservations of the ZNT proteins between humans and mice. We believe
that our results are of interest for future studies in the association of zinc
metabolism with risk of T2D in humans using mouse models.
PMID- 29372371
TI - Surgical treatment of patients with myelomeningocele-related spine deformities:
study of 26 cases.
AB - INTRODUCTION: Scoliosis, kyphosis, and sacral agenesis (SA) are common spine
deformities in myelomeningocele (MMC) patients. Surgery of spine deformities in
MMC patients is associated with various difficulties as infection, pathological
skin breakage, instrumentation failure, and neurological deterioration. The
purposes of this study are to share our clinical experience and discuss different
surgical techniques which are defined in the literature. PATIENTS AND METHOD: We
retrospectively evaluated our database of patients with MMC who underwent
surgical procedures for spine deformities from 2014 to 2016. Demographic and
clinical data, surgical parameters, surgical techniques and levels, pre- and
postoperative deformity angles, level of posterior fusion defect, spinal
malformations, neurological evaluation of lower extremities and complications
were collated. We divided the cases into three groups according to the type of
deformities. The groups were lumbar kyphosis (Group 1), congenital scoliosis
(Group 2), and paralytic scoliosis (Group 3). RESULTS: There were 26 patients in
the study. Fifteen patients were male and 11 patients were female. The median age
of the patients was 8.03 (range = 3-17 years) at the time of operation. There
were 10 patients in Group 1, 7 patients in Group 2, and 9 patients in Group 3. In
Group 1, preoperative kyphosis angle varied between 51 degrees and 160 degrees ,
with an average of 95.7 degrees . In Group 2, preoperative Cobb angle varied
between 57 degrees and 150 degrees , with an average of 106.6 degrees . Kyphosis
was present in 4 patients. Preoperative kyphosis angle varied between 74 degrees
and 140 degrees , with an average of 93 degrees . In Group 3, preoperative Cobb
angle varied between 45 degrees and 145 degrees , with an average of 72.5
degrees . CONCLUSION: Spinal deformity in children with MMC has been considered
to cause severe disability. Surgical treatment is a challenging procedure with a
wide spectrum of complications, but can provide good correction of spinal
deformity and pelvic obliquity, and improve the quality of life. Self-growing
systems which are a new alternative to traditional growing rod systems, must be
considered to preserve growing potential of spine.
PMID- 29372372
TI - Surgery for Hepatocellular Carcinoma in Patients with Child-Pugh B Cirrhosis:
Hepatic Resection Versus Living Donor Liver Transplantation.
AB - BACKGROUND: Liver transplantation has been established as the optimal treatment
for hepatocellular carcinoma in cirrhotic patients, but hepatic resection is also
effective in patients with well-preserved liver function. Determining the
suitable surgical treatment for patients with Child-Pugh class B cirrhosis is a
more difficult challenge. METHODS: We retrospectively compared the results of
hepatic resection and living donor liver transplantation for hepatocellular
carcinoma in 137 patients with Child-Pugh class B cirrhosis. The procedures were
performed at Kyushu University Hospital from April 2014 through October 2016.
RESULTS: Patients who underwent hepatic resection were significantly older and
had better liver function, larger tumor size, smaller number of tumors, and less
surgical stress compared with patients who underwent living donor liver
transplantation. The overall survival rate and the recurrence-free survival rate
in patients with transplantation were significantly better than that in patients
with resection. The multivariate analysis showed that recurrent hepatocellular
carcinoma and microvascular invasion were significant prognostic factors for both
overall and recurrence-free survival in the hepatic resection group. In the group
with protein induced by vitamin K absence or antagonist-II >=300 mAU/mL, both the
overall survival curve and the recurrence-free survival curve in patients with
living donor liver transplantation were not significantly different from those in
patients with hepatic resection. CONCLUSIONS: Living donor liver transplantation
for hepatocellular carcinoma in patients with Child-Pugh class B cirrhosis was
favorable under the condition of protein induced by vitamin K absence or
antagonist-II <300 mAU/mL in selected recipients and donors. Hepatic resection
for recurrent hepatocellular carcinoma and excessive blood loss should be avoided
in patients with Child-Pugh class B cirrhosis.
PMID- 29372373
TI - Excellent Prognosis of Central Lymph Node Recurrence-Free Survival for cN0M0
Papillary Thyroid Carcinoma Patients Who Underwent Routine Prophylactic Central
Node Dissection.
AB - INTRODUCTION: In Japan, prophylactic central node dissection (p-CND) for
papillary thyroid carcinoma (PTC) has been routinely performed in many
institutions, including ours (Kuma Hospital, Japan). We evaluated the recurrence
to a central lymph node in patients with cN0M0 PTC who underwent routine p-CND.
MATERIALS AND METHODS: We enrolled 4301 patients with cN0M0 PTC who underwent an
initial surgery between 1987 and 2005 (median age 51 years). The postoperative
follow-up periods ranged from 4 to 362 months (median 164 months). Only 15
patients underwent radioactive iodine (RAI) ablation (>=30 mCi) after total or
near total thyroidectomy. RESULTS: Of the 4301 patients with N0M0 PTC who
underwent p-CND, 2548 (59%) were diagnosed as pN1a on postoperative pathological
examination. To date, only 52 cases (1.2%) showed recurrence to a central lymph
node. The 10-year and 20-year central node recurrence-free survival rates were
excellent at 99.1 and 98.2%, respectively. On multivariate analysis, age >=55
years, significant extrathyroid extension, tumor size >2 cm, and >=5
pathologically confirmed central node metastases (but not the presence of central
node metastasis) independently affected central node recurrence. CONCLUSIONS:
Under the situation of routine p-CND, the central node recurrence-free survival
of cN0M0 PTC is excellent. However, future studies, including double-arm studies
from Japan, should examine whether the omission of p-CND cN0M0 PTC is appropriate
without RAI ablation in consideration of various factors, including the pros and
cons of p-CND.
PMID- 29372374
TI - Cysto-cholecystostomy: A More Physiological Procedure for Hepatic Cysts with
Biliary Communications and Cystic Dilatations of Main Intrahepatic Ducts.
AB - OBJECTIVE: Hepatic cysts with biliary communications (HC) and cystic dilatations
of main intrahepatic ducts (CIHD) can cause biliary obstruction, cholestasis,
stone formation, cholangitis, liver damage and carcinoma. Conventionally, Roux-Y
cysto-jejunostomy is employed to manage these conditions. However, it is
technically demanding and may be complicated with major biliary disruption and
bacteria migration from intestine to intrahepatic duct. We have carried out
laparoscopic cysto-cholecystostomies for HC with biliary communication and CIHD
and evaluated outcomes. METHODS: Twenty patients with HC (n = 10) or CIHD (n =
10) who successfully underwent laparoscopic cysto-cholecystostomies in our
center, between September 2010 and March 2017, were reviewed. RESULTS: The mean
age of the patients at surgery was 2.06 and 2.23 years for HC and CIHD groups,
respectively. Eighteen patients were symptomatic, with abdominal pain, fever,
vomiting and jaundice. Laboratory results showed abnormal liver functions in 8
patients. Pathological results verified hepatic cellular damages in 8 patients.
The mean operative time was 0.97 and 0.92 h for HC and CIHD patients,
respectively. The median follow-up duration was 27 months (1-54 months) and 35
months (1-79 months) for HC and CIHD groups, respectively. No patient developed
bile leak, anastomotic stenosis, stone formation or cholangitis. Liver function
normalized postoperatively. CONCLUSIONS: Laparoscopic cysto-cholecystostomy is a
simpler and more physiological surgical alternative for managing HC with biliary
communication and CIHD.
PMID- 29372375
TI - Endoluminal Vacuum Therapy (E-Vac): A Treatment Option in Oesophagogastric
Surgery.
AB - BACKGROUND: Leaks from the upper gastrointestinal tract often pose a management
challenge, particularly when surgical treatment has failed or is impossible.
Vacuum therapy has revolutionised the treatment of wounds, and its role in
enabling and accelerating healing is now explored in oesophagogastric surgery.
METHODS: A piece of open cell foam is sutured around the distal end of a
nasogastric tube using a silk suture. Under general anaesthetic, the foam covered
tip is placed endoscopically through the perforation and into any extra-luminal
cavity. Continuous negative pressure (125 mmHg) is then applied. Re-evaluation
with change of the negative pressure system is performed every 48-72 h depending
on the clinical condition. Patients are fed enterally and treated with broad
spectrum antibiotics and anti-fungal medication until healing, assessed
endoscopically and/or radiologically, is complete. RESULTS: Since April 2011,
twenty one patients have been treated. The cause of the leak was
postoperative/iatrogenic complications (14 patients) and ischaemic/spontaneous
perforation (seven patients). Twenty patients (95%) completed treatment
successfully with healing of the defect and/or resolution of the cavity and were
subsequently discharged from our care. One patient died from sepsis related to an
oesophageal leak after withdrawing consent for further intervention following a
single endoluminal vacuum (E-Vac) treatment. In addition, two patients who were
successfully treated with E-Vac for their leak subsequently died within 90 days
of E-Vac treatment from complications that were not associated with the E-Vac
procedure. In two patients, E-Vac treatment was complicated by bleeding. The
median number of E-Vac changes was 7 (range 3-12), and the median length of
hospital stay was 35 days (range 23-152). CONCLUSIONS: E-Vac therapy is a safe
and effective treatment for upper gastrointestinal leaks and should be considered
alongside more established therapies. Further research is now needed to
understand the mechanism of action and to improve the ease with which E-Vac
therapy can be delivered.
PMID- 29372376
TI - Remote effects in the ipsilateral thalamus and/or contralateral cerebellar
hemisphere using FDG PET in patients with brain tumors.
AB - PURPOSE: To evaluate reduced metabolism in the ipsilateral thalamus (TH) and/or
contralateral cerebellum (CE) according to tumor localization and cortical
metabolism around the tumor in patients with brain tumors based on FDG uptake.
METHODS: This study investigated 48 consecutive patients with solitary cerebral
hemisphere parenchymal brain tumors who underwent PET/CT and MRI. Patients were
divided into 4 groups (A: reduced uptake in ipsilateral TH and contralateral CE,
B: reduced uptake in ipsilateral TH only, C: reduced uptake in contralateral CE
only, and D: no reduced uptake in ipsilateral TH or contralateral CE). FDG uptake
and MRI findings were compared among these groups. RESULTS: Of 48 patients, group
A included 24 (50%), group B included 10 (21%), group C included 0, and group D
included 14 (29%). No significant tendencies were observed between the groups
regarding tumor localization. However, reduced cortical metabolism around the
tumor was observed in 22 patients in group A, 7 patients in group B, and 1
patient in group D. All patients in group B showed reduced metabolism from around
the tumor up to the ipsilateral TH. CONCLUSION: Reduced FDG uptake in ipsilateral
TH and contralateral CE usually occur simultaneously in patients with solitary
brain tumors.
PMID- 29372377
TI - Microarray profiles reveal that circular RNA hsa_circ_0007385 functions as an
oncogene in non-small cell lung cancer tumorigenesis.
AB - OBJECTIVE: Circular RNAs (circRNAs) are a novel class of non-protein-coding RNA.
Emerging evidence indicates that circRNAs participate in the regulation of many
pathophysiological processes. This study aims to explore the expression profiles
and pathological effects of circRNAs in non-small cell lung cancer (NSCLC).
METHODS: Human circRNAs microarray analysis was performed to screen the
expression profile of circRNAs in NSCLC tissue. Expressions of circRNA and miRNA
in NSCLC tissues and cells were quantified by qRTPCR. Functional experiments were
performed to investigate the biological functions of circRNA, including CCK-8
assay, colony formation assay, transwell assay and xenograft in vivo assay.
RESULTS: Human circRNAs microarray revealed a total 957 abnormally expressed
circRNAs (> twofold, P < 0.05) in NSCLC tissue compared with adjacent normal
tissue. In further studies, hsa_circ_0007385 was significantly up regulated in
NSCLC tissue and cells. In vitro experiments with hsa_circ_0007385 knockdown
resulted in significant suppression of the proliferation, migration and invasion
of NSCLC cells. In vivo xenograft assay using hsa_circ_0007385 knockdown,
significantly reduced tumor growth. Bioinformatics analysis and luciferase
reporter assay verified the potential target miR-181, suggesting a possible
regulatory pathway for hsa_circ_0007385. CONCLUSION: In summary, results suggest
hsa_circ_0007385 plays a role in NSCLC tumorigenesis, providing a potential
therapeutic target for NSCLC.
PMID- 29372379
TI - Effect on cerebral oxygenation of paracetamol for patent ductus arteriosus in
preterm infants.
AB - : Paracetamol seems to have similar success rates compared with indomethacin and
ibuprofen in closing patent ductus arteriosus (PDA) in preterm infants, but with
a better safety profile. The aim of our study was to evaluate the possible
effects of paracetamol on cerebral oxygenation and cerebral blood flow velocity
(CBFV). Infants with gestational age < 32 weeks with hemodynamically significant
PDA (hsPDA) were prospectively studied by near infrared spectroscopy (NIRS) after
the first dose of paracetamol (15 mg/kg) or ibuprofen (10 mg/kg). Cerebral
regional oxygenation (rSO2C) and fractional oxygen extraction ratio (FOEC) were
recorded 30 min before (T0) and 60 +/- 20 min (T1), 180 +/- 30 min (T2), and 360
+/- 30 min (T3) after the beginning of drug infusion. Moreover, mean flow
velocity (Vmean) and resistance index (RI = PSV-DV/PSV) measured with Doppler
ultrasound in pericallosal artery were recorded at the same times. Significant
changes in rSO2C and FOEC were not found during the study period within and
between the groups. Similarly, Vmean did not vary in infants treated with
paracetamol or ibuprofen, while RI decreased in the ibuprofen group. CONCLUSION:
The treatment of hsPDA with paracetamol does not affect cerebral oxygenation in
very preterm infants; there were no differences in cerebral oxygenation in
infants treated with paracetamol or ibuprofen, although in the ibuprofen group,
the possible closure progression of PDA was associated to changes of RI. What is
Known: * Paracetamol has similar success rates to indomethacin and ibuprofen in
closing PDA with a better safety profile since previous studies did not report
adverse effects. What is New: * Paracetamol does not affect cerebral oxygenation
and perfusion in very preterm infants with PDA and this confirms its good safety
profile.
PMID- 29372380
TI - Correlation between religion and hypertension.
AB - The objectives of the study were to investigate the relationship between religion
and hypertension, as well as the theoretical mechanism through which religion
exerts effect on hypertension. A MEDLINE literature search was performed on
articles describing religion and hypertension (N = 543) excluding unqualified
ones such as those without expected information, those neither correcting
confounding factors nor matching the comparison groups and those reporting
repeated trials. Eight extra articles from references of reviews were added to
the included studies. Finally, 79 articles were formerly evaluated. Briefly,
there are limited trials on correlation between religion and hypertension and
their results are inconsistent. First of all, longitudinal investigations,
especially the high-quality ones, are deficient. Secondly, studies evaluating
religion as an integral are scarce, although they can assess religions most
comprehensively. Third, few studies use several religious measurements that
represent distinct dimensions of religion. Moreover, divergence exists among
diverse populations, even if they are assessed by the same indicator. In
addition, 59% studies are concerned with an unspecified species of religion, and
Christianity is studied the most among those with a specific category of
religion. Finally, the possible mechanism underlying religion and hypertension is
complex, which can partially explain the different results among various
populations. Comprehensive evaluation of a specific religion should be
encouraged. In addition, for a specific population, the correlation between
religion and hypertension should be examined particularly, even if similar
investigations in other populations have been conducted. Finally, more evidence
focused on the effects of distinct religions/sects is also required.
PMID- 29372378
TI - SYK-targeted dendritic cell-mediated cytotoxic T lymphocytes enhance the effect
of immunotherapy on retinoblastoma.
AB - PURPOSE: Retinoblastoma (RB) is the most common primary intraocular tumor in
children. Chemotherapy is currently the main method of RB treatment.
Unfortunately, RB often becomes chemoresistant and turns lethal. Here, we used in
vitro cell immunotherapy to explore whether adoptive immunotherapy could be used
as a potential treatment for RB. We focused on spleen tyrosine kinase (SYK),
which is significantly upregulated in RB cells and serves as a marker for RB
cells. METHODS: Using lentiviruses, we genetically modified dendritic cells (DCs)
to express and present the SYK peptide antigen to cytotoxic T lymphocytes (CTLs)
in vitro. We used SYK-negative cell lines (MDA-MB-231, MCF-10A, and hTERT-RPE1)
and SYK-positive cell lines (MCF-7 and RB-Y79) to evaluate the specificity and
cytotoxicity of DC presented CTLs using FACS, live-cell imaging, and RNA
interference. RESULTS: The cytotoxicity of CTLs induced by SYK-overexpressing DCs
(SYK-DC-CTLs) was enhanced more than three times in SYK-positive cell lines
compared with SYK-negative cell lines. DCs primed with SYK could drive CTL
cytotoxicity against SYK-positive cell lines but not against SYK-negative cell
lines. Moreover, SYK-silenced RB-Y79 cells successfully evaded the cytotoxic
attack from SYK-DC-CTLs. However, SYK-DC-CTLs could target SYK overexpressed
hTERT-RPE1 cells, suggesting that SYK is a specific antigen for RB. Furthermore,
SYK-DC-CTL exhibited specific cytotoxicity against carboplatin-resistant RB-Y79
cells in vitro. CONCLUSIONS: Our data showed that SYK could be a potential
immunotherapy target mediated by DCs. We propose SYK as a candidate target for
treatment of chemoresistant RB.
PMID- 29372382
TI - Comorbid insomnia and sleep apnea: a prevalent but overlooked disorder.
PMID- 29372381
TI - Cloning and characterization of a specific UDP-glycosyltransferase gene induced
by DON and Fusarium graminearum.
AB - KEY MESSAGE: TaUGT5: can reduce the proliferation and destruction of F.
graminearum and enhance the ability of FHB resistance in wheat. Deoxynivalenol
(DON) is one of the most important toxins produced by Fusarium species that
enhances the spread of the pathogen in the host. As a defense, the UDP
glycosyltransferase (UGT) family has been deduced to transform DON into the less
toxic form DON-3-O-glucoside (D3G), but the specific gene member in wheat that is
responsible for Fusarium head blight (FHB) resistance has been little
investigated and proved. In this study, a DON and Fusarium graminearum responsive
gene TaUGT5, which is specific for resistant cultivars, was cloned with a 1431 bp
open reading frame (ORF) encoding 476 amino acids in Sumai3. TaUGT5 is located on
chromosome 2B, which has been confirmed in nulli-tetrasomic lines of Chinese
Spring (CS) and is solely expressed among three homologs on the A, B and D
genomes. Over-expression of this gene in Arabidopsis conferred enhanced tolerance
when grown on agar plates that contain DON. Similarly, the coleoptiles of wheat
over-expressing TaUGT5 showed more resistance to F. graminearum, evidencing
reduced proliferation and destruction of plant tissue by the pathogen. However,
the disease resistance in spikes was not as significant as that on coleoptile
compared with wild-type plants. A subcellular localization analysis revealed that
TaUGT5 was localized on the plasma membrane of tobacco leaf epidermal cells. It
is possible that TaUGT5 could enhance tolerance to DON, protect the plant cell
from the pathogen infection and result in better maintenance of the cell
structure, which slows down pathogen proliferation in plant tissue.
PMID- 29372383
TI - Surface IgM lambda light chain is involved in the binding and infection of
infectious bursal disease virus (IBDV) to DT40 cells.
AB - Infectious bursal disease virus (IBDV) is an important immunosuppressive virus in
chickens. Surface immunoglobulin M (sIgM)-bearing B lymphocytes act as the major
targets of IBDV in the bursa of Fabricius, and sIgM may function as one of the
membrane binding sites responsible for IBDV infection. Recently, using the virus
overlay protein binding assay, the chicken lambda light chain of sIgM was
identified to specifically interact with IBDV in a virulence-independent manner
in vitro. To further investigate sIgM lambda light chain-mediated IBDV binding
and infection in pre-B cells, the cell line DT40, which is susceptible to both
pathogenic and attenuated IBDV, was used. Based on the RNA interference strategy,
the DT40 cell line whose lambda light chain of sIgM was stably knocked down,
herein termed DT40LKD, was generated by the genomic integration of a specific
small hairpin RNA and a green fluorescence protein co-expression construct. Flow
cytometry analysis indicated that the binding of IBDV to DT40LKD cells was
significantly reduced due to the loss of sIgM lambda light chain. In particular,
reduced viral replication was observed in IBDV-incubated DT40LKD cells, and no
viral release into cell culture medium was detected by the IBDV rapid diagnostic
strips. In addition, the rescue of sIgM lambda light chain expression restored
viral binding and replication in DT40LKD cells. These results show that sIgM
lambda light chain appears to be beneficial for IBDV attachment and infection,
suggesting that sIgM acts as a binding site involved in IBDV infection.
PMID- 29372384
TI - Validation of the German version of the Kujala score in patients with
patellofemoral instability: a prospective multi-centre study.
AB - INTRODUCTION: The Kujala score is the most frequently used questionnaire for
patellofemoral disorders like pain, instability or osteoarthritis. Unfortunately,
we are not aware of a validated German version of the Kujala score. The aim of
our study was the translation and linguistic validation of the Kujala score in
German-speaking patients with patella instability and the assessment of its
measurement characteristics. MATERIALS AND METHODS: The German Kujala score was
developed in several steps of translation. In addition to healthy controls, the
Kujala German was assessed in consecutive patients undergoing reconstruction of
the medial patellofemoral ligament for recurrent patellar dislocations. Pre-op, 6
and 12 months postop the patients completed the Kujala German score, the KOOS,
the Lysholm score, a VAS Pain, and the SF-12v2 scores. In addition, there was a
Kujala German Score retest preop after a 1-week interval. RESULTS: We found high
reliability in terms of internal consistency for the Kujala score (Cronbach's
alpha = 0.87). Convergent validity with the KOOS (symptom r = 0.65, pain r =
0.78, ADL r = 0.74, sports/recreation r = 0.84, quality of life r = 0.70), the
Lysholm score (r = 0.88) and the SF-12 physical component summary score (r =
0.79) and VAS pain (r = - 0.71) was also very high. Discriminant validity in
terms of correlation with the SF-12 mental component summary Score was
satisfactory (r = 0.14). CONCLUSIONS: In conclusion, the German version of the
Kujala score proved to be a reliable and valid instrument in the setting of a
typical patellofemoral disease treated with a standard patellofemoral procedure.
PMID- 29372386
TI - Comparison of sagittal values between lateral decubitus plain radiography and
supine computed tomography in thoracolumbar fractures: a greater degree of
kyphosis is observed in plain radiography than CT.
AB - OBJECTIVE: Radiologic parameters are important factors for planning the treatment
for thoracolumbar fracture. However, we noted that measurements of the degree of
kyphosis by lateral decubitus plain radiography were greater than supine CT. The
cause of this discrepancy is unclear. METHODS: We retrospectively reviewed the
plain radiographs and CT scans of 90 patients with thoracolumbar fractures
(fracture group). We measured the segmental sagittal angle (SSA) on lateral
decubitus plain radiographs and in the median sagittal plane on CT scans obtained
in the supine position. The method agreement (plain radiography versus CT) was
determined by utilizing Bland-Altman plots. For the purpose of comparison, the
same analyses were performed in a group of age and sex-matched controls (normal
group). After establishing the method disagreement in the fracture group, the
factors that contributed to the difference in the SSA between plain radiography
and CT, as well as their threshold values, were determined. RESULTS: On Bland
Altman plots for the fracture group, the mean difference was 4.53 degrees [95%
confidence interval (CI) - 4.87 degrees to 13.93 degrees ]. For the normal
group, the mean difference was - 0.64 degrees (95% CI - 5.87 degrees to 4.58
degrees ). On univariate analysis, male sex, thoracolumbar level, and SSA(X) were
significant factors associated with ?SSA (P = 0.03, 0.002, and 0.000,
respectively). Multivariable regression analysis showed that SSA(X) was the only
significant factor. Receiver operating characteristic curve analysis indicated
that the optimal threshold of SSA(X) was 17 degrees with a sensitivity of 78%
and a specificity of 75% (area under curve: 0.752). CONCLUSIONS: The mean SSA
determined on lateral decubitus plain radiographs indicated significantly more
kyphosis than that determined on CT images obtained in supine position. When the
SSA on plain radiography is more than 17 degrees , there might be a significant
discrepancy between the two imaging modalities. This discrepancy seems to be
mainly attributable to the difference in patient positioning (lateral decubitus
position for plain radiography versus supine position for CT imaging).
PMID- 29372387
TI - Myasthenia gravis and infectious disease.
AB - BACKGROUND AND PURPOSE: Myasthenia gravis (MG) is an autoimmune disease with
muscular weakness as the only symptom, and often with immunosuppressive
treatment. All these aspects could have relevance for the risk of infections as
well as their prophylactic and curative treatment. METHODS: This is a review
article, where Web of Science has been searched for relevant key words and key
word combinations. Full papers were selected first by title and then by abstract.
RESULTS AND CONCLUSIONS: MG can be triggered and worsened by infections. No virus
or other pathogen has been proven to have a specific link to MG. Treatment with
immunosuppressive drugs and thymectomy implies a slightly increased risk for
infections. Infections should be actively treated, but a few antibiotics are
avoided due to potential interference with neuromuscular transmission.
Hospitalization and intensive care may be necessary during infections because of
MG deterioration and risk of insufficient respiration. Vaccinations are generally
recommended in MG, but live microorganisms should be avoided if possible in
immunosuppressed patients.
PMID- 29372389
TI - Lothar von Frankl-Hochwart (1862-1914).
PMID- 29372388
TI - Increased pro-inflammatory cytokine gene expression in peripheral blood
mononuclear cells of patients with polyneuropathies.
AB - BACKGROUND: Distinct cytokine expression patterns have been reported in
biomaterial of patients with polyneuropathies (PNP). We investigated gene
expression profiles of pro- and anti-inflammatory cytokines in peripheral blood
mononuclear cells (PBMC) of patients with neuropathies of different etiologies.
METHODS: We prospectively studied 97 patients with neuropathies and compared data
between diagnostic subgroups and healthy controls. Gene expression of a panel of
pro- and anti-inflammatory cytokines was analyzed (interleukin-1 [IL-1], IL-2, IL
6, IL-8, tumor necrosis factor alpha [TNF], IL-4, and IL-10) in PBMC samples.
Furthermore, protein levels of IL-6, IL-8, and TNF were measured in supernatant
of PBMC stimulated with lipopolysaccharide (LPS). RESULTS: PNP were associated
with higher PBMC gene expression of IL-1 (p < 0.05), IL-2 (p < 0.05), IL-8 (p <
0.001), and TNF (p < 0.01) compared to healthy controls. Inflammatory
neuropathies were associated with higher gene expression of IL-8 (p < 0.001) and
TNF (p < 0.05) and lower gene expression of IL-10 (p < 0.05) compared to healthy
controls. More pro-inflammatory cytokines were elevated in painful neuropathy (IL
1, IL-2 [p < 0.05], IL-8 [p < 0.001] and TNF [p < 0.05]) than in painless
neuropathy (IL-8 [p < 0.01] and TNF [p < 0.01]) compared to healthy controls,
while IL-10 expression was higher in treatment naive patients with painless
neuropathy compared to patients with painful neuropathy (p < 0.05). Disease
duration positively correlated with IL-6 gene expression (p < 0.01). Supernatant
protein levels of IL-6, IL-8, and TNF did not differ between groups. CONCLUSION:
Systemic gene expression of pro-inflammatory cytokines is increased in patients
with neuropathies and may be influenced by the presence of neuropathic pain.
PMID- 29372390
TI - Impact of D-dimer levels for short-term or long-term outcomes in cryptogenic
stroke patients.
AB - BACKGROUND: D-dimer levels are used in several clinical settings, such as in
predicting venous thrombosis, cardioembolic stroke and cancer status. In the
present study, we investigated the associations between plasma D-dimer levels at
admission, clinical characteristics and mortality at discharge in cryptogenic
stroke patients. We also investigated whether D-dimer levels can predict long
term outcomes in those patients, including those with and without right-to-left
shunt (RLS). METHODS: Acute cryptogenic stroke patients (n = 295, 72 +/- 13 years
old) were consecutively enrolled and retrospectively analyzed. We defined the
cryptogenic stroke as an undetermined etiology according to the Trial of Org
10172 in Acute Stroke Treatment criteria. Plasma D-dimer levels at admission were
evaluated. Assessments for RLS were performed using saline contrast-transcranial
Doppler ultrasonography or contrast-transesophageal echography. Survivors (at
discharge) underwent follow-up for up to 3 years after stroke onset. RESULTS: Of
the total enrolled cohort, 17 patients died at discharge. D-dimer levels
correlated with initial National Institutes of Health Stroke Scale (NIHSS) score
(r = 0.391, P < 0.001) and were associated with mortality at discharge [odds
ratio 1.04; 95% confidence interval (CI) 1.00-1.08, P = 0.049] after adjusting
for age, sex and initial NIHSS score. Of the 278 survivors at discharge, 266
patients were evaluated to assess RLS during hospitalization, and 62 patients
(23.3%) exhibited RLS. According to the median plasma D-dimer levels at admission
(0.7 ug/ml), the patients were divided into a low D-dimer group (n = 136, <
median) and a high D-dimer group (n = 130, >= median). Patients in the high D
dimer group were older, more frequently female, had a lower BMI, had a higher
prevalence of cancer and had greater initial neurological severity compared to
the patients in the low D-dimer group. During the follow-up period (median, 1093
days), 31 patients developed recurrent stroke and 33 patients died. High D-dimer
levels at admission were independently associated with recurrent stroke and all
cause mortality [hazard ratio (HR) 3.76; 95% CI 1.21-14.1, P = 0.021) in patients
with RLS, but not in those without RLS (HR 1.35; 95% CI 0.74-2.50, P = 0.335).
CONCLUSIONS: Increased D-dimer levels at admission were associated with mortality
at discharge in cryptogenic stroke patients. In addition, high D-dimer levels
were also associated with long-term outcomes in cryptogenic stroke patients with
RLS.
PMID- 29372391
TI - Genotype-phenotype correlation and frequency of distribution in a cohort of
Chinese Charcot-Marie-Tooth patients associated with GDAP1 mutations.
AB - Mutations in ganglioside-induced differentiation-associated-protein 1 (GDAP1)
have been associated with both subtypes of Charcot-Marie-Tooth (CMT) disease,
autosomal recessive (CMT4A and AR-CMT2K) and autosomal dominant (AD-CMT2K). Over
80 different mutations have been identified so far. With the use of Sanger
sequencing and Next Generation Sequencing (NGS) technologies, we screened a
cohort of 306 unrelated Chinese CMT patients and identified 8 variants in the
GDAP1 gene in 4 families, 5 of which were novel (R41H, N201Kfs*5, Q38X, V215I and
Q38R). Application of Bioinformatics tool and classification according to the
American College of Medical Genetics (ACMG) predicted them of being likely
pathogenic with the exception of one variant of uncertain significance (VUS). In
addition, we detected the presence of a single heterozygous variant of uncertain
significance H256R in one additional family from the CMT cohorts. We found a
GDAP1 prevalence rate of 1.63% (5/306). Three families (families 1, 2 and 3) were
found to have an autosomal recessive (AR) pattern of inheritance while family 4
displayed an autosomal dominant (AD) mode of inheritance. Electro-physiologic
studies revealed an axonal type of neuropathy (AR-CMT2K and AD-CMT2K) in all
affected individuals. Clinical characteristics and findings in our study
demonstrated that the recessive form presented earlier in life and exhibited
severe symptoms and rapid evolution compared to the dominant form. We observed a
marked intra-familial variability within the AD family in terms of age at disease
onset, symptom severity and clinical progression. Our study expands the
mutational spectrum of GDAP1-related CMT disease with the identification of new
and unreported GDAP1 variants and demonstrates the predominance of the axonal
form of neuropathy in CMT disease associated with GDAP1. We highlight the
clinical characteristics associated with these genotypes and describe the
relative frequency of GDAP1 variants amongst the Chinese population.
PMID- 29372392
TI - Management of nonfunctioning pituitary tumors: radiotherapy.
AB - External beam radiotherapy (RT) is an essential part of the management of
intracranial tumors and has been used in treating pituitary adenomas for more
than five decades. It has been demonstrated that conventional RT for
postoperative residual or progressive nonfunctioning pituitary adenomas (NFAs)
present an excellent long-term local tumor control, although its use has been
limited because of the potential late toxicity related to radiation treatments.
Recent advances in radiation techniques have led to more accurate treatments,
rendering obsolete many commonly held views of the "old" radiotherapy. New
techniques include intensity modulated radiotherapy, volumetric-modulated arc
therapy, and stereotactic techniques, either stereotactic radiosurgery or
fractionated stereotactic radiotherapy. New techniques allow the delivering of
higher radiation doses to the target with rapid dose fall-off in the surrounding
normal tissues, and potentially limiting the long term toxicity of radiation. In
this review, we present a critical analysis of the most recent available
literature on the use of radiation in patients with NFAs, focusing particularly
on the efficacy and safety of radiation stereotactic techniques.
PMID- 29372393
TI - Approach to the Diagnostic Workup and Management of Small Bowel Lesions at a
Tertiary Care Center.
AB - BACKGROUND: Small bowel lesions (SBL) are rare, representing diagnostic and
management challenges. The purpose of this cross-sectional study was to evaluate
diagnostic modalities used and management practices of patients with SBL at an
advanced endoscopic referral center. METHODS: We analyzed patients undergoing
surgical management for SBL from 2005 to 2015 at a single tertiary care center.
Patients were stratified into gastrointestinal bleed/anemia (GIBA) or
obstruction/pain (OP). RESULTS: One hundred and twelve patients underwent surgery
after presenting with either GIBA (n = 67) or OP (n = 45). The mean age of our
study population was 61.8 years and 45% were women. Patients with GIBA were more
likely to have chronic or acute-on-chronic symptoms (100% vs 67%) and more often
referred from outside hospitals (82 vs. 44%) (p < 0.01). The most common
preoperative imaging modalities were video capsule endoscopy (VCE) (96%) for GIBA
and computer tomography CT (78%) for OP. Findings on VCE and CT were most
frequently concordant with operative findings in GIBA (67%) and OP (54%)
patients, respectively. Intraoperatively, visual inspection or palpation of the
bowel successfully identified lesions in 71% of patients. When performed in GIBA
(n = 26), intraoperative enteroscopy (IE) confirmed or identified lesions in 69%
of patients. Almost all (90%) GIBA patients underwent small bowel resections;
most were laparoscopic-assisted (93%). Among patients with OP, 58% had a small
bowel resection and the majority (81%) were laparoscopic-assisted. Surgical
exploration failed to identify lesions in 10% of GIBA patients and 24% of OP
patients. Among patients who underwent resections, 20% of GIBA patients had
recurrent symptoms compared with 13% of OP patients. CONCLUSION: Management and
identification of SBL is governed by presenting symptomatology. Optimal
management includes VCE and IE for GIBA and CT scans for OP patients.
Comprehensive evaluation may require referral to specialized centers.
PMID- 29372394
TI - Financial and socio-economic factors influencing pre- and post-cancer therapy
oral care.
AB - PURPOSE: The primary objective of this study is to evaluate how attendance at
dental visits may change as cancer patients move through pre-diagnosis,
diagnosis, and into survivorship. METHODS: The Health and Retirement Study
consists of longitudinal survey data collected biannually detailing financial and
health information in subjects over 51 years old. We assessed a subset of 4195
patients who received a new cancer diagnosis during the study period. The odds of
reporting a dental visit were examined using a mixed effects logistic regression
model. A propensity score weighted analysis of the association between dental
attendance and survival was also undertaken. RESULTS: The odds of attending a
dental visit were substantially lower in the peri-diagnosis period OR = 0.784
(0.700, 0.876) and the post-diagnosis period OR = 0.734 (0.655, 0.823) compared
to pre-diagnosis. This effect persisted in patients who survived for at least 2
years indicating that the decline in oral health visits was not due to low
expected survival. After propensity score weighting, patients who attended a
dental visit in the peri-diagnosis period demonstrated a reduced hazard of all
cause mortality HR = 0.825 (0.681, 0.979) compared with those with no attendance.
CONCLUSIONS: Dental attendance decreases by a statistically and clinically
significant amount both during and after cancer therapy despite guideline
recommendations encouraging dental referral and monitoring for many types of
cancer therapy. Attendance at dental appointments during cancer therapy is
associated with improved survival, which is likely due to a combination of direct
and indirect effects.
PMID- 29372395
TI - Impaired skeletal muscle oxygenation following allogeneic hematopoietic stem cell
transplantation is associated with exercise capacity.
AB - INTRODUCTION: Impaired skeletal muscle oxygenation potentially contributes to
reduced exercise capacity in allogeneic hematopoietic stem cell transplantation
(allo-HSCT) patients during early recovery and may explain altered hemoglobin
responses to exercise following allo-HSCT. We investigated whether skeletal
muscle oxygenation parameters and hemoglobin parameters in the tibialis anterior
decreased following allo-HSCT, and whether these results were associated with
declines in exercise capacity. METHODS: We used near-infrared spectroscopy during
and following a repeated isometric contraction task at 50% of maximal voluntary
contraction in 18 patients before and after allo-HSCT. RESULTS: The rate of
decrease in the muscle oxy-hemoglobin saturation (SmO2; an index of skeletal
muscle oxygenation) was significantly lower after allo-HSCT (P < 0.01). In
contrast, total hemoglobin (an index of hemoglobin) was not different after allo
HSCT. Furthermore, SmO2 during and following exercise was associated with
exercise capacity (r = 0.648; P = 0.004 vs. r = 0.632; P = 0.005). CONCLUSION:
The results of this study reveal that although the peripheral hemoglobin response
was not altered by allo-HSCT, skeletal muscle oxygenation was decreased following
allo-HSCT. Furthermore, the decrease in skeletal muscle oxygenation was
associated with a reduction in exercise capacity.
PMID- 29372396
TI - Symptom management: the utility of regional cooling for hand-foot syndrome
induced by pegylated liposomal doxorubicin in ovarian cancer.
AB - PURPOSE: Hand-foot syndrome (HFS) is a major side effect of pegylated liposomal
doxorubicin (PLD). Regional cooling during PLD infusion was shown to improve
severe HFS. We investigated the utility of frozen gloves and socks (FGS) as a
simpler cooling method. METHODS: To evaluate the utility and safety of regional
cooling with FGS for PLD-induced HFS, we retrospectively analyzed patients with
advanced ovarian cancer who used FGS during PLD-containing regimens. RESULTS:
Ninety-six patients were analyzed. The incidence of HFS was 51% (>= grade 2, 32%)
in the PLD group and 38% (>= grade 2, 6%) in the PLD + CBDCA group. The
respective percentages of patients who underwent PLD dose
modification/discontinuation were 41%/75% in the PLD group and 9%/30% in the PLD
+ CBDCA group. The reasons for discontinuation of PLD and PLD + CBDCA therapy
were progressive disease, HFS, allergy, oral mucositis, and others. HFS was the
only reason for PLD dose modification in both the PLD and PLD + CBDCA groups. The
completion rate of FGS was 96%, with discontinuation in three cases due to pain
from cooling. CONCLUSIONS: Our study indicates that FGS is a safe, simple method
with good tolerability. A prospective study is needed for further assessment.
PMID- 29372397
TI - Aortic root remodeling in a patient with Turner syndrome using the reference
curves of aortic diameters in children.
AB - We report the successful surgical treatment of aortic regurgitation in a 27-year
old woman with Turner syndrome (TS) who was admitted with exacerbation of dyspnea
on exertion. Echocardiography showed a bicuspid aortic valve with severe aortic
regurgitation and computed tomography showed dilatation of the ascending aorta
and aortic root. Due to the patient's low body surface area (due to TS), standard
determination of aortic size was not possible; therefore, we used the reference
curves of aortic diameters in children. Because of the possibility of fatal
ascending aortic dissection and rupture, we performed concomitant aortic root
remodeling and aortic valve repair.
PMID- 29372398
TI - Transapical approach in transcatheter cardiovascular interventions.
AB - The left ventricular apex has excellent accessibility to the aortic valve, mitral
valve, left ventricular outflow tract and thoracic aorta. Although the number of
transapical approach in transcatheter aortic valve replacement has been
decreasing in recent years, it is still a useful option for patients with very
poor peripheral vascular access. The apex has been chosen as a primary access
site for many devices of transcatheter mitral valve repair/replacement and mitral
valve-in-valve procedures. Additionally, the transapical approach has been used
for other transcatheter cardiovascular interventions such as paravalvular leak
repair after mitral or aortic valve replacement, pseudoaneurysm repair of the
left ventricular outflow tract, and thoracic endovascular aortic repair. Herein,
I review our own experience and articles of the transapical transcatheter
cardiovascular interventions and discuss about clinical usability, technical tips
and complications of the transapical approach in various transcatheter
cardiovascular interventions.
PMID- 29372399
TI - Does my patient have a pulmonary embolism? The Wells vs. PISA 2 rule in
orthopedic patients.
AB - The diagnosis of venous thromboembolism is difficult in the postoperative setting
because signs such as hypoxemia, leg pain, and swelling are so common. CTPA can
also detect subsegmental PE (SSPE), of which the clinical significance has been
widely debated. Clinical decision rules (CDR), such as the Wells and PISA 2, have
been developed to identify symptomatic patients at low risk for PE who could
forgo imaging. We performed this study in order to (1) compare the performance of
the Wells and PISA 2 CDR in orthopedic patients; (2) compare CDR scores in
patients with subsegmental PE (SSPE) versus larger clots; and (3) identify
variables that improve performance of the Wells in orthopedic patients. This
retrospective cohort study included all orthopedic surgery patients that
underwent computerized tomographic pulmonary angiography at a single institution
from 1/1/13 to 12/31/14 and had data to calculate both Wells and PISA 2 scores.
CDR sensitivity, specificity and c-statistics were calculated. Multivariable
logistic regression was used to identify variables that improved CDR performance.
402 patients were included in the study. The Wells rule (cutoff > 4) had
sensitivity 74% and specificity 45%. PISA 2 (cutoff 0.6) had sensitivity 90% and
specificity 11%. The Wells performed better than PISA 2: c-statistic 0.60 vs.
0.50; p = 0.007. The mean Wells score was 5.20 +/- 1.68 for patients with SSPE
and 5.41 +/- 1.86 for patients with larger clots. Adding the variables prior
smoking and varicose veins improved the performance of the Wells rule (c
statistic 0.66 vs. 0.60, p = 0.008). The Wells rule (cutoff > 4) performs better
than PISA 2 in orthopedic patients. Neither can distinguish patients with SSPE
from those with larger clots. Although adding past smoking and varicose veins to
the Wells improves its performance, this requires validation in other
populations.
PMID- 29372400
TI - Andexanet alfa to reverse the anticoagulant activity of factor Xa inhibitors: a
review of design, development and potential place in therapy.
AB - Direct oral anticoagulants are associated with rates of major bleeding which are
not negligible, albeit lower than those associated with vitamin K antagonists. No
specific reversal agent for factor Xa (FXa) direct inhibitors is currently
available for clinical use. A modified activated human FXa decoy protein,
andexanet alfa, is being developed that binds FXa direct inhibitors in their
active site, thus reversing their anticoagulant effect. The purpose of this
article is to review the design, development and clinical trials of andexanet
alfa. Andexanet alfa was shown to reverse FXa inhibitors anticoagulant activity
both in thrombosis animal models, healthy volunteers and patients with acute
major bleeding. Andexanet alfa has been studied in double-blind, placebo
controlled phase II and III studies. A preliminary report of the phase III study
showed that an effective hemostasis was obtained after andexanet alfa infusion in
the majority of the patients with acute major bleeding associated with FXa
inhibitors. Additional studies are ongoing and andexanet alfa is expected to be
launched in the market in the near future.
PMID- 29372401
TI - Exosomes in Extracellular Matrix Bone Biology.
AB - PURPOSE OF REVIEW: Exosomes are membrane vesicles that are released by most cell
types into the extracellular environment. The purpose of this article is to
discuss the main morphological features and contents of bone-derived exosomes, as
well as their major isolation and physical characterization techniques.
Furthermore, we present various scenarios and discuss potential clinical
applications of bone-derived exosomes in bone repair and regeneration. RECENT
FINDINGS: Exosomes were believed to be nanosized vesicles derived from the
multivesicular body. Reports now suggest that nanovesicles could bud directly
from the plasma membrane. However, the exosome cargo is cell-type specific and is
derived from the parent cell. In the bone matrix, several intracellular proteins
lacking a signal peptide are transported to the ECM by exosomes. Besides
proteins, several mRNA, miRNA, and lipids are exported to the ECM by bone cells
and bone marrow stromal cells. Recent evidence suggests that several of the
functional components in the cargo could regulate processes of bone formation,
inhibit osteoclast activity, and promote fracture repair. Exosomes are powerful
cellular molecular machines produced without human intervention and packaged with
physiological cargo that could be utilized for molecular therapy in several
skeletal disorders such as osteoporosis, osteogenesis imperfecta, and fracture
healing. Although much work has been done, there is a lot of information that is
still unknown, as exosomes contain a multitude of molecules whose identity and
function have yet to be identified.
PMID- 29372402
TI - Intraoperative intravenous fluorescein as an adjunct during surgery for peroneal
intraneural ganglion cysts.
AB - The intraoperative use of intravenous fluorescein is presented in a case of
peroneal intraneural ganglion cyst. When illuminated with the operative
microscope and yellow filter, this fluorophore provided excellent visualization
of the abnormal cystic peroneal nerve and its articular branch connection. The
articular (synovial) theory for the pathogenesis of intraneural cysts is further
supported by this pattern of fluorescence. Further, our report presents a novel
use of fluorescein in peripheral nerve surgery.
PMID- 29372403
TI - There Is no Ideal Bariatric Procedure.
PMID- 29372404
TI - Problems of classification in the family Paramyxoviridae.
AB - A number of unassigned viruses in the family Paramyxoviridae need to be
classified either as a new genus or placed into one of the seven genera currently
recognized in this family. Furthermore, numerous new paramyxoviruses continue to
be discovered. However, attempts at classification have highlighted the
difficulties that arise by applying historic criteria or criteria based on
sequence alone to the classification of the viruses in this family. While the
recent taxonomic change that elevated the previous subfamily Pneumovirinae into a
separate family Pneumoviridae is readily justified on the basis of RNA dependent
RNA polymerase (RdRp or L protein) sequence motifs, using RdRp sequence
comparisons for assignment to lower level taxa raises problems that would require
an overhaul of the current criteria for assignment into genera in the family
Paramyxoviridae. Arbitrary cut off points to delineate genera and species would
have to be set if classification was based on the amino acid sequence of the RdRp
alone or on pairwise analysis of sequence complementarity (PASC) of all open
reading frames (ORFs). While these cut-offs cannot be made consistent with the
current classification in this family, resorting to genus-level demarcation
criteria with additional input from the biological context may afford a way
forward. Such criteria would reflect the increasingly dynamic nature of virus
taxonomy even if it would require a complete revision of the current
classification.
PMID- 29372405
TI - Molecular analysis of barley stripe mosaic virus isolates differing in their
biological properties and the development of reverse transcription loop-mediated
isothermal amplification assays for their detection.
AB - Barley stripe mosaic virus (BSMV) is an important seed-transmitted pathogen
occurring worldwide. Recently, the occurrence of mild BSMV pathotypes has been
observed in barley crops in Poland. In this study, the full-length genome
sequences of mild and aggressive Polish and German BSMV isolates was established.
Phylogenetic and recombination analysis was performed using Polish and other BSMV
isolates described to date. The analysis revealed that Polish isolates differed
only in 25 nucleotides, which suggests that point mutations might have had a
great impact on the biological properties of the virus. The phylogenetic analysis
revealed that the closest relationship was that between European and BSMV-CV42,
BSMV-ND18 and BSMV-Type isolates, whereas the highest genetic distance was
observed for BSMV-Qasr Ibrim and BSMV-China isolates. A recombination event
within the alphaa protein of BSMV-De-M and BSMV-CV42 isolates was also detected.
Moreover, a sensitive reverse transcription loop-mediated isothermal
amplification (RT-LAMP) method was developed for rapid detection of BSMV
isolates. The RT-LAMP assay can be used for routine diagnostics of BSMV in seed
and plant material.
PMID- 29372406
TI - Evidence for a complex of emergent poleroviruses affecting pepper worldwide.
AB - In recent years, symptoms of vein yellowing and leaf roll in pepper crops
associated with the presence of poleroviruses (genus Polerovirus, family
Luteoviridae) have been emerging in many countries worldwide. Spain was the first
country in Europe where the yellowing disease of pepper was observed. In this
work, a polerovirus isolate from Spain that infects pepper and has been shown to
be transmitted by the aphid Aphis gossyppii (Spain-Almeria 2-2013) was sequenced
and compared with isolates from Japan, Israel, China and Australia. The genome
(6125 nt in length, GenBank accession number KY523072) of the isolate from Spain
has the typical organization of poleroviruses and contains seven open reading
frames (ORF0 to ORF5 and ORF3a), putatively encoding proteins P0 to P5 and P3a. A
comparison of the sequence from Spain with the four complete sequences available
for poleroviruses infecting pepper showed a closer relationship to the isolate
from Israel and supports the existence of a complex of at least five polerovirus
species. Given that the symptoms caused by all pepper poleroviruses described to
date are similar, if not identical, we propose to name them "pepper vein yellows
virus 1" to "pepper vein yellows virus 5" (PeVYV-1 to PeVYV-5), with PeVYV-5
corresponding to the polerovirus from Spain described in this work. Our results
and those published over the last few years have shown that the emergent
poleroviruses threatening pepper crops around the world are highly complex due to
recombination events.
PMID- 29372407
TI - Stroke chameleon (cortical hand syndrome) in a patient with moderate carotid
stenosis: a neurological double-trouble.
PMID- 29372408
TI - Ectomycorrhizal inoculation with Pisolithus tinctorius reduces stress induced by
drought in cork oak.
AB - We investigated whether the performance of cork oak under drought could be
improved by colonization with the ectomycorrhizal fungus Pisolithus tinctorius.
Results show that inoculation alone had a positive effect on plant height, shoot
biomass, shoot basal diameter, and root growth. Under drought, root growth of
mycorrhizal plants was significantly increased showing that inoculation was
effective in increasing tolerance to drought. In accordance, mycorrhizal plants
subjected to drought showed less symptoms of stress when compared to non
mycorrhizal plants, such as lower concentration of soluble sugars and starch,
increased ability to maintain fatty acid content and composition, and increased
unsaturation level of membrane lipids. After testing some of the mechanisms
suggested to contribute to the enhanced tolerance of mycorrhizal plants to
drought, we could not find any by which Pisolithus tinctorius could benefit cork
oak, at least under the drought conditions imposed in our experiment. Inoculation
did not increase photosynthesis under drought, suggesting no effect in sustaining
stomatal opening at low soil water content. Similarly, plant water status was not
affected by inoculation suggesting that P. tinctorius does not contribute to an
increased plant water uptake during drought. Inoculation did increase nitrogen
concentration in plants but it was independent of the water status. Furthermore,
no significant mycorrhizal effect on drought-induced ROS production or osmotic
adjustment was detected, suggesting that these factors are not important for the
improved drought tolerance triggered by P. tinctorius.
PMID- 29372409
TI - Do doctors understand the test characteristics of lung cancer screening?
AB - BACKGROUND: Screening for lung cancer with a low-dose computed tomography (CT)
scan is estimated to prevent 3 deaths per 1000 individuals at high risk; however,
false positive results and radiation exposure are relevant harms and deserve
careful consideration. Screening candidates can only make an autonomous decision
if doctors correctly inform them of the pros and cons of the method; therefore,
this study aimed to evaluate whether doctors understand the test characteristics
of lung cancer screening. METHODS: In a randomized trial 556 doctors (members of
the Austrian Respiratory Society) were invited to answer questions regarding lung
cancer screening based on online case vignettes. Half of the participants were
randomized to the group 'solutions provided' and received the correct solutions
in advance. The group 'solutions withheld' had to rely on prior knowledge or
estimates. The primary endpoint was the between-group difference in the estimated
number of deaths preventable by screening. Secondary endpoints were the between
group differences in the prevalence of lung cancer, prevalence of a positive
screening results, sensitivity, specificity, positive predictive value, and false
negative rate. Estimations were also compared with current data from the
literature. RESULTS: The response rate was 29% in both groups. The reduction in
the number of deaths due to screening was overestimated six-fold (95% confidence
interval CI: 4-8) compared with the actual data, and there was no effect of group
allocation. Providing the correct solutions to doctors had no systematic effect
on their answers. CONCLUSION: Doctors poorly understand the test characteristics
of lung cancer screening. Providing the correct solutions in advance did not
improve the answers. Continuing education regarding lung cancer screening and the
interpretation of test characteristics may be a simple remedy. CLINICAL TRIAL
REGISTRATION: Clinical trial registered with www.clinicaltrials.gov
(NCT02542332).
PMID- 29372410
TI - Assessing serum albumin concentration, lymphocyte count and prognostic
nutritional index might improve prognostication in patients with myelofibrosis.
AB - BACKGROUND: Primary and secondary myelofibrosis (PMF and SMF) are malignant
diseases of hematopoietic stem cell characterized by the neoplastic
myeloproliferation and a strong inflammatory milieu. The prognostic nutritional
index (PNI) integrates information on albumin and absolute lymphocyte count (ALC)
and reflects the inflammatory, nutritional and immune status of a patient. The
clinical and prognostic significance of albumin, ALC and PNI in patients with
myelofibrosis has not been previously investigated. METHODS: We retrospectively
analyzed a cohort of 83 myelofibrosis patients treated in our institution from
2006 to 2017. Albumin, ALC and PNI were assessed in addition to other disease
specific markers. RESULTS: The PMF and SMF patients had significantly lower ALC
and PNI but similar albumin compared to controls. Lower albumin was significantly
associated with older age and parameters reflecting more aggressive disease
biology (e.g. anemia, lower platelet levels, higher lactate dehydrogenase (LDH),
circulatory blasts, transfusion dependency, blast phase disease), inflammation
(higher C reactive protein (CRP), constitutional symptoms) and higher degree of
bone marrow fibrosis. Lower ALC was significantly associated with lower white
blood cells (WBC) and lower circulatory blasts. Low PNI was associated with lower
albumin, lower ALC, anemia, lower WBCs, lower serum iron and lower transferrin
saturation. There was no difference in albumin, ALC and PNI regarding the driver
mutations. In multivariate analysis adjusted for age and gender, low albumin
(hazard ratio [HR] = 4.61, P = 0.001), low ALC (HR = 3.54, P = 0.004) and Dynamic
International Prognostic Scoring System (DIPSS) (HR = 2.45, P = 0.001) were able
to predict inferior survival independently of each other. Accordingly, low PNI
(HR = 4.32, P < 0.001) predicted poor survival independently of DIPSS (HR = 3.31,
P < 0.001). CONCLUSION: Assessing albumin, ALC and PNI might improve
prognostication in patients with myelofibrosis and could assist in recognition of
patients under increased risk of death.
PMID- 29372411
TI - [S1 guideline - Austrian consensus for anticoagulation in the context of atrial
fibrillation ablation].
AB - In summary, uninterrupted oral antikoagulation can be recommended, with different
recommendation classes and levels of evidence, for both, VKA and NOAC therapy, in
the framework of PVI. Even with low CHA2DS2 VASc scores, OAK is indicated 3-4
weeks before and 8 weeks after the procedure. Periinterventional bridging with
heparins should be avoided due to increased bleeding events.The present Consensus
provides recommendations on the current state of knowledge and has been prepared
exclusively by members of the Rhythmology Working Group of the Austrian
Cardiological Society who have great practical experience in catheter ablation
and peri-interventional OAK in patients with atrial fibrillation. Publication of
new randomized and controlled studies on the subject are expected in the coming
months, so that there will certainly be changes in the recommendations. The
Rhythmology Working Group of the Austrian Cardiological Society will strive to
keep this S1 guideline regularly up to date. We hope that this consensus is used
to increase the safety for patients undergoing PVI and to provide physicians with
a homogeneous approach in Austria.
PMID- 29372412
TI - The effect of in situ simulation training on the performance of tasks related to
patient safety during sedation.
AB - In many countries, procedural sedation outside of the operating room is performed
by pediatricians. We examined if in situ sedation simulation training (SST) of
pediatricians improves the performance of tasks related to patient safety during
sedation in the Emergency Department (ED). We performed a single-center, quasi
experimental, study evaluating the performance of sedation, before-and-after SST.
Sixteen pediatricians were evaluated during sedation as part of their usual
practice, using the previously validated Sedation-Performance-Score (SPS). This
tool evaluates physician behaviors during sedation that are conducive to safe
patient outcomes. Following the sedation, providers completed SST, followed by a
structured debriefing. They were then re-evaluated with the SPS during a
subsequent patient sedation in the ED. Using multivariate regression, odds ratios
were calculated for each SPS component, and were compared before and after the
SST. Thirty-two sedations were performed, 16 before and 16 after SST. SPS scores
improved from a median of 4 (IQR 2-5) to 6 (IQR 4-7) following SST (p < 0.0009,
median difference 2, 95% CI 1-3). SST was associated with improved performance in
four SPS components. The findings of this pilot study suggest that sedation
simulation training of pediatricians improves several tasks related to patient
safety during sedation.
PMID- 29372413
TI - Persistent isoflurane-induced hypotension causes hippocampal neuronal damage in a
rat model of chronic cerebral hypoperfusion.
AB - BACKGROUND: Postoperative cognitive dysfunction (POCD) is likely to occur in
elderly people, who often suffer from cerebral hypoperfusion and white matter
lesions even in the absence of cerebral infarctions. METHODS: Thirty-two adult
male rats were randomly assigned to one of four groups: the cerebral
normoperfusion + normotension group (n = 8), cerebral normoperfusion +
hypotension group (n = 8), chronic cerebral hypoperfusion (CCH) + normotension
group (n = 8), and CCH + hypotension group (n = 8). A rat model of CCH was
developed via the permanent ligation of the bilateral common carotid arteries,
but ligation was avoided in the cerebral normoperfusion groups. Two weeks later,
the rats were intubated and mechanically ventilated under isoflurane anesthesia,
and their mean arterial blood pressure was maintained over 80 mmHg (normotension)
or below 60 mmHg (hypotension) for 2 h. After preparing brain slices,
histological cresyl violet staining, ionized calcium binding adaptor molecule 1,
a marker of microglial activation, or beta amyloid precursor protein, a marker of
axonal damage, were performed. RESULTS AND CONCLUSION: CCH per se caused
microglial activation and axonal damage, which was not accentuated by
hypotension. CCH alone did not cause neuronal damage, but CCH combined with
hypotension caused significant neuronal damage in the hippocampal CA1 region.
These results suggest that persistent hypotension during general anesthesia might
cause neuronal damage in patients with CCH, such as elderly people, and
contribute to prevention against POCD.
PMID- 29372414
TI - Changes of the phytoplankton community as symptoms of deterioration of water
quality in a shallow lake.
AB - Covering more than 60% of the lake surface, macrophytes determined the taxonomic
composition of phytoplankton. We have found numerous indications of ecological
deterioration and an increased trophic level year to year: an increased total
number of taxa; a significantly increased number of species of Chlorophyta,
Bacillariophyceae and Cyanoprokaryota; a decreased number of Chrysophyceae;
increased Nygaard index, and high diversity and variability of phytoplankton
functional groups. Within 2 years (2002 and 2003) algal biomass doubled: from
3.616 to 7.968 mg l-1. An increased contribution of Chlorococcales and
Cyanoprokaryota indicates progressive eutrophication of the lake. The average
size of planktonic algae increased, particularly Cyanoprokaryota, where small
celled decreased dramatically and were replaced by large colonies.
Cyanoprokaryota remained the dominant group of phytoplankton after 10 years, and
the ecosystem of the lake remained in the turbid state. This group of algae had
the average biomass 9.734 mg l-1, which constituted almost 92% of the total
biomass.
PMID- 29372415
TI - Presenting a conceptual pattern of HSE performance of oil trucks.
AB - Accidents are among the main problems in the oil product supply chain. The most
important effective factors in these events are the kind of trucks used and their
health, safety, and environment (HSE) condition. The aim of this study was to
present a conceptual pattern of the HSE performance of oil trucks in oil
industries. In this study, 20 truck models (with fixed tanks), in use over
different periods of time, were investigated. In this regard, the criteria and
sub-criteria were first determined in two parts-carrier and tank-and weighted by
fuzzy analytical hierarchy process (FAHP). The results showed that the most
important sub-criteria regarding the HSE factors of the carrier were resistance
and strength of the front and rear shields, the brake system, and the ventilation
system. The most important sub-criteria regarding the HSE factors of the tank
were tank shell thickness and a good tank design shape with respect to portable
material. It should be noted that the weight of the criteria with each other and
sub-criteria with each other are not equal. This issue is important for decision
making. The main reason for the use of trucks with the lowest score in developing
countries is the lack of attention by managers to safety issues and international
standards and agreements such as the ADR.
PMID- 29372416
TI - Galectin-3 regulation of wound healing and fibrotic processes: insights for
chronic skin wound therapeutics.
AB - A member of the lectin family, galectin-3 is a 250 amino-acid protein that
contains a C-terminus carbohydrate recognition domain (CRD) that recognizes beta
galactosides. Considered to have certain common properties associated with
matricellular proteins, galectin-3 is expressed in the dermis and epidermis in
healthy skin and is upregulated in skin healing, peaking at day 1 post wounding
in mice. Galectin-3 has been implicated in several processes central to the wound
healing response, specifically in the regulation of inflammation, macrophage
polarization, angiogenesis, fibroblast to myofibroblast transition and re
epithelialization. However, it appears that many of the effects of Galectin-3 are
highly tissue specific and context dependent. Genetic deletion of galectin-3
shows different effects in skin compared to lung, heart, and kidney remodeling.
In this review, we will compare galectin-3 functions in these tissues.
Furthermore, we will discuss, based on its identified regulation of cell
processes, whether in an exogenous form, galectin-3 could represent a novel
therapeutic for impaired skin healing.
PMID- 29372418
TI - Tyrosine Residues 232 and 401 Play a Critical Role in the Binding of the Cofactor
FAD of Acyl-coA Oxidase.
AB - Acyl-coA oxidase (ACO) is an important flavoenzyme responsible for the first step
of peroxisomal fatty acid beta-oxidation. In this study, the roles of Tyr232 and
Tyr401 in flavin adenine dinucleotide (FAD) binding and enzyme catalysis of ACO
were explored using site-directed mutagenesis. For mutant proteins, different
levels of activity loss were observed. Wavelength scanning of Y232 and Y401
mutant proteins indicated that there is no FAD binding in Y401S and Y401G mutant
ACO. Structure analysis indicated that the phenolic hydroxyl and benzene ring of
the side chain could stabilize FAD binding through hydrogen bonds network and
hydrophobic pocket formation. These results indicated that these two tyrosine
residues play a critical role in the FAD binding of ACO.
PMID- 29372417
TI - Effect of obesity on outcomes in patients undergoing implantation of continuous
flow left ventricular assist devices.
AB - The purpose of this study was to analyze the effect of obesity on outcomes after
continuous-flow left ventricular assist device (CF-LVAD) implantation. A single
center retrospective analysis was performed on 526 chronic heart failure patients
who were implanted with the HeartMate II CF-LVAD (n = 403) or HeartWare HVAD (n =
123) between November 2003 and March 2016. Patients were stratified into 4 groups
based on BMI: underweight (< 18.5 kg/m2, n = 18, 3.4%), normal-weight (18.5-25
kg/m2, n = 173, 32.9%), overweight (25-30 kg/m2, n = 182, 30.2%), and obese (> 30
kg/m2, n = 153, 33.5%). The underweight group was excluded because of its small
sample size. Records were reviewed to determine the incidence of postoperative
complications and survival. Survival at 1, 6, 12, and 24 months were similar
among normal-weight (91.3, 84.4, 76.3, and 67.6%), overweight (90.4, 80.8, 76.5,
and 69.6%), and obese patients (90.7, 74.7, 65.3, and 61.3%, p = 0.24).
Additionally, obesity was not a significant predictor of mortality in Cox
proportional hazard models (hazard ratio 0.98, 95% confidence interval 0.766
1.277, p = 0.13). These findings suggest that appropriately selected obese
patients receive similar survival benefit from CF-LVADs compared to non-obese
patients, and obesity should not serve as a contraindication to CF-LVAD
implantation.
PMID- 29372419
TI - Anti-Hypertensive Peptides Derived from Caseins: Mechanism of Physiological
Action, Production Bioprocesses, and Challenges for Food Applications.
AB - This review is focused on the state-of-art of peptides with inhibitory activity
towards angiotensin I-converting enzyme (ACE) - thus, with anti-hypertensive
potential - derived from enzymatic hydrolysis of caseins. Firstly, molecular
characteristics of caseins relevant to a better understanding of this subject
were concisely commented. Next, a brief description of the pathophysiology of
hypertension was explained, focusing on the ACE role in regulation of blood
pressure in human body. Then, casein-derived peptides with ACE inhibitory
capacity were specifically addressed. The main in vitro and in vivo bioassays
often reported in literature to assess the anti-hypertensive potential of
peptides were presented, illustrated with recently published studies, and
discussed in terms of advantages and limitations of both approaches.
Characteristics related to amino acid composition and sequence of peptides with
high ACE-inhibitory potential were also commented. Process parameters of
enzymatic hydrolysis (types and origins of casein substrates, types of enzymes,
pH, temperature, and times of reactions) were discussed. Patents dealing with
casein-derived anti-hypertensive peptides were examined not only in terms of
amino acid sequences, but also regarding their novelty claims in hydrolysis
process parameters. Finally, some trends, challenges, and opportunities inferred
from this literature analysis were commented, emphasizing the importance of this
research topic in food products development.
PMID- 29372420
TI - Reusable Software Usability Specifications for mHealth Applications.
AB - One of the key factors for the adoption of mobile technologies, and in particular
of mobile health applications, is usability. A usable application will be easier
to use and understand by users, and will improve user's interaction with it. This
paper proposes a software requirements catalog for usable mobile health
applications, which can be used for the development of new applications, or the
evaluation of existing ones. The catalog is based on the main identified sources
in literature on usability and mobile health applications. Our catalog was
organized according to the ISO/IEC/IEEE 29148:2011 standard and follows the SIREN
methodology to create reusable catalogs. The applicability of the catalog was
verified by the creation of an audit method, which was used to perform the
evaluation of a real app, S Health, application created by Samsung Electronics
Co. The usability requirements catalog, along with the audit method, identified
several usability flaws on the evaluated app, which scored 83%. Some flaws were
detected in the app related to the navigation pattern. Some more issues related
to the startup experience, empty screens or writing style were also found. The
way a user navigates through an application improves or deteriorates user's
experience with the application. We proposed a reusable usability catalog and an
audit method. This proposal was used to evaluate a mobile health application. An
audit report was created with the usability issues identified on the evaluated
application.
PMID- 29372421
TI - Different Digitalization Techniques for 3D Printing of Anatomical Pieces.
AB - The use of different technological devices that allow the creation of three
dimensional models is in constant evolution, allowing a greater application of
these technologies in different fields of health sciences and medical training.
The equipment for digitalization is becoming increasingly sophisticated allowing
obtaining three-dimensional which are more defined and similar to real image and
original object. In this work, different modalities of designing 3D anatomical
models of bone pieces are presented, for use by students of different disciplines
in Health Sciences. To do this we digitalized bone pieces, with different models
of scanners, producing images that can be transformed for 3D printing, with a
Colido X 3045 printer by digital treatment with different software.
PMID- 29372422
TI - Socioeconomic and Health Profile of Haitian Immigrants in a Brazilian Amazon
State.
AB - We analyzed the sociodemographic profile, migration journey, health conditions,
and health care access and utilization among recent Haitian immigrants to the
Brazilian Amazon state of Mato Grosso. We conducted a cross-sectional study with
a probabilistic sample of 452 Haitians. We administered a bilingual questionnaire
from December 2014 to February 2015. Data were analyzed using chi square tests to
evaluate differences among groups. The majority of participants were married men
younger than 35 years old. They mostly came from the Haiti's Artibonite
Department, living in Brazil for less than a year. Half of the participants were
employed at the time of the interviews, and 81.8% relied exclusively on the
Brazilian Unified Healthcare System for medical care. The overall health profile
and health care access were good. Haitian migration to Brasil is a case of South
South migration driven by economic needs. Our population had good health status
despite socioeconomic challenges.
PMID- 29372423
TI - Nocardioides astragali sp. nov., isolated from a nodule of wild Astragalus
chrysopterus in northwestern China.
AB - A Gram-positive, non-motile, rod-shaped bacterial strain, designated HH06T, was
isolated from a nodule of Astragalus chrysopterus in northwestern China.
Phylogenetic analysis of the 16S rRNA gene sequence showed that the strain is
closely related to Nocardioides alpinus Cr7-14T and Nocardioides furvisabuli DSM
18445T with 98.5 and 98.1% similiarity, respectively. Growth was observed at 4-28
degrees C in R2A medium (optimum at 25 degrees C), at 10-30 degrees C in YMA
and LB medium (optimum in both at 28 degrees C) and at pH 5.0-10.0 in R2A medium
(optimum at pH 7.0-8.0). The cell wall peptidoglycan was found to contain LL
diaminopimelic acid as the principal diamino acid and MK-8(H4) was identified as
the predominant menaquinone. The major polar lipids were identified as
phosphatidylinositol, phosphatidylglycerol, diphosphatidylglycerol,
phosphatidylcholine, two unidentified glycolipids and two unidentified polar
lipids. The major fatty acids were identified as iso-C16:0 (32.8%) and C18:1
omega9c (15.1%). The DNA G+C content of strain HH06T was determined to be 71.4
mol%. Based on phenotypic, chemotaxonomic, phylogenetic properties and DNA-DNA
relatedness, it is concluded that strain HH06T represents a novel species of the
genus Nocardioides, for which the name Nocardioides astragali sp. nov. is
proposed. The type strain is HH06T (= CGMCC 4.7327T = NBRC 112322T).
PMID- 29372424
TI - A possible mechanism for lincomycin induction of secondary metabolism in
Streptomyces coelicolor A3(2).
AB - Lincomycin forms cross-links within the peptidyl transferase loop region of the
23S ribosomal RNA (rRNA) of the 50S subunit of the bacterial ribosome, which is
the site of peptide bond formation, thereby inhibiting protein synthesis. We have
previously reported that lincomycin at concentrations below the minimum
inhibitory concentration potentiates the production of secondary metabolites in
actinomycete strains, suggesting that activation of these strains by utilizing
the dose-dependent response of lincomycin could be used to effectively induce the
production of cryptic secondary metabolites. Here, we aimed to elucidate the
fundamental mechanisms underlying lincomycin induction of secondary metabolism in
actinomycetes. In the present study, the dose-dependent response of lincomycin on
gene expression of the model actinomycete Streptomyces coelicolor A3(2) and
possible relationships to secondary metabolism were investigated. RNA sequencing
analysis indicated that lincomycin produced enormous changes in gene expression
profiles. Moreover, reverse transcription PCR and/or comparative proteome
analysis revealed that in S. coelicolor A3(2), lincomycin, which was used at
concentrations for markedly increased blue-pigmented antibiotic actinorhodin
production, rapidly enhanced expression of the gene encoding the lincomycin
efflux ABC transporter, the 23S rRNA methyltransferase, and the ribosome
splitting factor to boost the intrinsic lincomycin resistance mechanisms and to
reconstruct the probably stalled 70S ribosomes with lincomycin; and in contrast
temporarily but dramatically reduced mRNA levels of housekeeping genes, such as
those encoding FoF1 ATP synthase, RNA polymerase, ribosomal proteins, and
transcription and translation factors, with an increase in intracellular NTPs. A
possible mechanism for lincomycin induction of secondary metabolism in S.
coelicolor A3(2) is discussed on the basis of these results.
PMID- 29372425
TI - Effects of humic substances on Fe(II) sorption onto aluminum oxide and clay.
AB - We studied the effects of humic substances (HS) on the sorption of Fe(II) onto Al
oxide and clay sorbents at pH 7.5 with a combination of batch kinetic experiments
and synchrotron Fe K-edge EXAFS analyses. Fe(II) sorption was monitored over the
course of 4 months in anoxic clay and Al-oxide suspensions amended with variable
HS types (humic acid, HA; or fulvic acid, FA) and levels (0, 1, and 4 wt%), and
with differing Fe(II) and HS addition sequences (co-sorption and pre-coated
experiments, where Fe(II) sorbate was added alongside and after HS addition,
respectively). In the Al-oxide suspensions, the presence of HS slowed down the
kinetics of Fe(II) sorption, but had limited, if any, effect on the equilibrium
aqueous Fe(II) concentrations. EXAFS analyses revealed precipitation of Fe(II)
Al(III)-layered double hydroxide (LDH) phases as the main mode of Fe(II) sorption
in both the HA-containing and HA-free systems. These results demonstrate that HS
slow down Fe(II) precipitation in the Al-oxide suspensions, but do not affect the
composition or stability of the secondary Fe(II)-Al(III)-LDH phases formed.
Interference of HS with the precipitation of Fe(II)-Al(III)-LDH was attributed to
the formation organo-Al complexes HS limiting the availability of Al for
incorporation into secondary layered Fe(II)-hydroxides. In the clay systems, the
presence of HA caused a change in the main Fe(II) sorption product from Fe(II)
Al(III)-LDH to a Fe(II)-phyllosilicate containing little structural Al. This was
attributed to complexation of Al by HA, in combination with the presence of
dissolved Si in the clay suspension enabling phyllosilicate precipitation. The
change in Fe(II) precipitation mechanism did not affect the rate of Fe(II)
sorption at the lower HA level, suggesting that the inhibition of Fe(II)-Al(III)
LDH formation in this system was countered by enhanced Fe(II)-phyllosilicate
precipitation. Reduced rates of Fe(II) sorption at the higher HA level were
attributed to surface masking or poisoning by HA of secondary Fe(II) mineral
growth at or near the clay surface. Our results suggest that HS play an important
role in controlling the kinetics and products of Fe(II) precipitation in reducing
soils, with effects modulated by soil mineralogy, HS content, and HS properties.
Further work is needed to assess the importance of layered Fe(II) hydroxides in
natural reducing environments.
PMID- 29372427
TI - Prelude to "Pediatric and Congenital Heart Disease".
PMID- 29372426
TI - From lake to estuary, the tale of two waters: a study of aquatic continuum
biogeochemistry.
AB - The balance of fresh and saline water is essential to estuarine ecosystem
function. Along the fresh-brackish-saline water gradient within the C-43
canal/Caloosahatchee River Estuary (CRE), the quantity, timing and distribution
of water, and associated water quality significantly influence ecosystem
function. Long-term trends of water quality and quantity were assessed from Lake
Okeechobee to the CRE between May 1978 and April 2016. Significant changes to
monthly flow volumes were detected between the lake and the estuary which
correspond to changes in upstream management. and climatic events. Across the 37
year period, total phosphorus (TP) flow-weighted mean (FWM) concentration
significantly increased at the lake; meanwhile, total nitrogen (TN) FMW
concentrations significantly declined at both the lake and estuary headwaters.
Between May 1999 and April 2016, TN, TP, and total organic carbon (TOC), ortho-P,
and ammonium conditions were assessed within the estuary at several monitoring
locations. Generally, nutrient concentrations decreased from upstream to
downstream with shifts in TN/TP from values > 20 in the freshwater portion, ~ 20
in the estuarine portion, and < 20 in the marine portion indicating a spatial
shift in nutrient limitations along the continuum. Aquatic productivity analysis
suggests that the estuary is net heterotrophic with productivity being negatively
influenced by TP, TN, and TOC likely due to a combination of effects including
shading by high color dissolved organic matter. We conclude that rainfall
patterns, land use, and the resulting discharges of runoff drive the ecology of
the C-43/CRE aquatic continuum and associated biogeochemistry rather than water
management associated with Lake Okeechobee.
PMID- 29372428
TI - Cryosurgery in the excision of a giant local recurrent sacral chordoma: a case
report and literature review.
AB - PURPOSE: Chordoma is a low-grade malignant tumor with recurrence and metastasis
tendency that originates from embryonic notochordal remnants. The sacrococcygeal
region is the most commonly involved site. The aim of this paper is to report the
results of the use of cryosurgery in the excision of a giant recurrent sacral
chordoma and review of pertinent literature. METHODS: A 64 years old female
patient with a locally recurrent sacral chordoma came to our attention after ten
interventions performed at another institute. A surgical treatment was performed
using argon cryosurgery. RESULTS: In this case, it was not possible to perform a
marginal or wide excision, but it was intralesional with the removal of three
major blocks. The fragments sent for the histological analysis measured total 35
* 30 * 8 cm with a weight of 4.260 g. CONCLUSIONS: Given the gelatinous structure
of the tumor and the possibility of contamination of operatory field, cryosurgery
may be indicated when previous surgeries and the dimensions of tumor mass do not
allow a resection with negative margins improving radiotherapy efficacy in the
local control of tumor.
PMID- 29372429
TI - Exploring traditional aus-type rice for metabolites conferring drought tolerance.
AB - BACKGROUND: Traditional varieties and landraces belonging to the aus-type group
of rice (Oryza sativa L.) are known to be highly tolerant to environmental
stresses, such as drought and heat, and are therefore recognized as a valuable
genetic resource for crop improvement. Using two aus-type (Dular, N22) and two
drought intolerant irrigated varieties (IR64, IR74) an untargeted metabolomics
analysis was conducted to identify drought-responsive metabolites associated with
tolerance. RESULTS: The superior drought tolerance of Dular and N22 compared with
the irrigated varieties was confirmed by phenotyping plants grown to maturity
after imposing severe drought stress in a dry-down treatment. Dular and N22 did
not show a significant reduction in grain yield compared to well-watered control
plants, whereas the intolerant varieties showed a significant reduction in both,
total spikelet number and grain yield. The metabolomics analysis was conducted
with shoot and root samples of plants at the tillering stage at the end of the
dry-down treatment. The data revealed an overall higher accumulation of N-rich
metabolites (amino acids and nucleotide-related metabolites allantoin and
uridine) in shoots of the tolerant varieties. In roots, the aus-type varieties
were characterised by a higher reduction of metabolites representative of
glycolysis and the TCA cycle, such as malate, glyceric acid and glyceric acid-3
phosphate. On the other hand, the oligosaccharide raffinose showed a higher fold
increase in both, shoots and roots of the sensitive genotypes. The data further
showed that, for certain drought-responsive metabolites, differences between the
contrasting rice varieties were already evident under well-watered control
conditions. CONCLUSIONS: The drought tolerance-related metabolites identified in
the aus-type varieties provide a valuable set of protective compounds and an
entry point for assessing genetic diversity in the underlying pathways for
developing drought tolerant rice and other crops.
PMID- 29372430
TI - Emergency physician use of tissue Doppler bedside echocardiography in detecting
diastolic dysfunction: an exploratory study.
AB - INTRODUCTION: This study evaluates the agreement between emergency physician (EP)
assessment of diastolic dysfunction (DD) by a simplified approach using average
peak mitral excursion velocity (e'A) and an independent cardiologist's diagnosis
of DD by estimating left atrial (LA) pressure using American Society of
Echocardiography (ASE) guidelines. METHODS: This was a secondary analysis of 48
limited bedside echocardiograms (LBE) performed as a part of a research study of
patients presenting to the Emergency Department (ED) with elevated blood pressure
but without decompensated heart failure. EPs diagnosed DD based on e'A < 9 cm/s
alone. A blinded board-certified cardiologist reviewed LBEs to estimate LA
filling pressures following ASE guidelines. An unweighted kappa measure was
calculated to determine agreement between EP and cardiologist. RESULTS: Six LBEs
were deemed indeterminate by the cardiologist and excluded from the analysis.
Agreement was reached in 41 out of 48 cases (85.4%). The unweighted kappa
coefficient was 0.74 (95% CI 0.57-0.92). EPs identified 18 out of 20 LBEs
diagnosed with diastolic dysfunction by the cardiologist. CONCLUSION: There is a
good agreement between (e'A) by EP and cardiologist interpretation of LBEs.
Future studies should investigate this simplified approach as a one-step method
of screening for LV diastolic dysfunction in the ED.
PMID- 29372432
TI - When it looks and walks like an ant.
AB - Some jumping spiders (family Salticidae) bear a striking resemblance to ants, a
dangerous type of prey, both in terms of their appearance and in terms of how
they move. Recent research has taken important steps toward determining whether
predators categorize these spiders as ants on the basis of the way they move.
PMID- 29372433
TI - The heterologous expression of Arabidopsis PAP2 induces anthocyanin accumulation
and inhibits plant growth in tomato.
AB - Anthocyanins are naturally occurring secondary metabolites, responsible for the
color of many plants. The Arabidopsis thaliana MYB90/PAP2 (production of
anthocyanin pigment 2) was introduced into tomato to study its effect on
anthocyanin accumulation. The transgenic tomato displayed much greater
anthocyanin accumulation than wild type in all plant organs, but the organs were
not fully purple in color except for the stamen. The expression of anthocyanin
biosynthetic genes and an anthocyanin-related basic helix-loop-helix (bHLH) gene
SlAN1 was significantly increased in the transgenic line, suggesting that ectopic
expression of AtPAP2 increases the expression of anthocyanin-related structural
and regulatory genes to enhance anthocyanin content. Yeast two-hybrid assays
revealed that the endogenous MYB protein SlAN2 interacted with two putative bHLH
partners, SlAN1 and SlJAF13, while AtPAP2 only interacted with SlJAF13, which may
be why AtPAP2 transgenic plants showed limited anthocyanin accumulation in
fruits. In addition to anthocyanin accumulation, the transgenic tomato plants
were significantly smaller in size, and the length of primary roots and number of
lateral roots were obviously decreased. The expression of lignin biosynthetic
genes was downregulated in transgenic tomato plants, which may be the reason for
the inhibited growth. The lateral organ boundaries-domain (LBD) genes, which
regulate lateral root organogenesis in the auxin signaling pathway, were
downregulated in transgenic tomato roots, which may partly account for the
disturbed lateral root formation in the transformants. Taken together, the
results demonstrate that heterologous expression of transcription factor AtPAP2
not only resulted in anthocyanin accumulation but also inhibited plant growth in
tomato.
PMID- 29372434
TI - Linearization of excitatory synaptic integration at no extra cost.
AB - In many theories of neural computation, linearly summed synaptic activation is a
pervasive assumption for the computations performed by individual neurons.
Indeed, for certain nominally optimal models, linear summation is required.
However, the biophysical mechanisms needed to produce linear summation may add to
the energy-cost of neural processing. Thus, the benefits provided by linear
summation may be outweighed by the energy-costs. Using voltage-gated conductances
in a relatively simple neuron model, this paper quantifies the cost of
linearizing dendritically localized synaptic activation. Different combinations
of voltage-gated conductances were examined, and many are found to produce
linearization; here, four of these models are presented. Comparing the energy
costs to a purely passive model, reveals minimal or even no additional costs in
some cases.
PMID- 29372435
TI - Trunk Reaction Time and Kinematic Changes Following Slip Perturbations in
Subjects with Recurrent Low Back Pain.
AB - Postural responses following slip perturbations are critical to fall prevention
strategies. It is unclear how postural reactions with a handheld task can validly
be transferred to treadmill-induced slip perturbations in subjects with recurrent
low back pain (LBP). The purpose of this study was to investigate trunk reaction
times and trunk flexion angle as well as velocity following the slips between
subjects with and without LBP. There were 29 subjects with LBP and 40 control
subjects who participated in the study. Three levels of consecutive treadmill
induced slip perturbations were introduced at level 1 (duration: 0.10 s,
velocity: 0.24 m/s, displacement: 1.20 cm), level 2 (0.12 s, 0.72 m/s, 4.32 cm),
and level 3 (0.12 s, 1.37 m/s, 8.22 cm). The trunk reaction time, swing/step
times, and trunk flexion angle as well as velocity at heel strike/toe-off were
compared between the groups. There were significantly longer trunk reaction times
(t = - 2.03, p = 0.04), swing times (t = - 2.63, p = 0.01), and step times (t = -
2.53, p = 0.01) in the LBP group at the level 1 slip perturbation. The groups
demonstrated a significant interaction between the levels and trunk flexion
angles (F = 4.72, p = 0.03), but there was no interaction between the levels and
trunk flexion velocities (F = 0.07, p = 0.79). The LBP group demonstrated longer
reaction times at the level 1 perturbation due to a possible pain recurrence.
However, this compensatory tolerance was limited at the level 3 perturbation due
to increased trunk flexion angle at heel strike and toe-off in the LBP group.
Clinicians may consider a compensatory strategy to improve reaction time and
minimize trunk flexion following slip perturbations in patients with LBP.
PMID- 29372437
TI - Breast Milk Iodine Concentration Rather than Maternal Urinary Iodine Is a
Reliable Indicator for Monitoring Iodine Status of Breastfed Neonates.
AB - There is no scientific consensus on whether breast milk iodine concentration
(BMIC) accurately reflects iodine status in lactating mothers and breastfed
infants. This study aimed to compare BMIC and maternal urinary iodine
concentration (UIC) as indicators of iodine status in breastfed neonates. In this
cross-sectional study, 147 lactating mothers and their neonates (3-5 days
postpartum) were randomly selected from health care centers. Breast milk and
urine samples were collected from each mother and neonate, and a heel-prick blood
sample was taken from all neonates as part of a congenital hypothyroidism
screening program. According to the World Health Organization criteria, median
urinary iodine concentration (UIC) >= 100 MUg/L in lactating mothers and neonates
indicates iodine sufficiency. In areas of iodine sufficiency, median BMIC >= 100
MUg/L is considered an adequate level. Overall, 129 (89.0%) and 16 (11.0%)
mothers had BMICs >= 100 and ? 100 MUg/L, respectively. Median (interquartile
range [IQR]) maternal UIC was 70 MUg/L (42-144 MUg/L) and 37 MUg/L (25-100 MUg/L)
in mothers with breast milk iodine levels >= 100 and ? 100 MUg/L, respectively (P
= 0.047); values for UIC of neonates born to mothers with BMICs >= 100 and ? 100
MUg/L were 230 MUg/L (114-310 MUg/L) and 76 MUg/L (41-140 MUg/L), respectively (P
< 0.001). In the linear regression model, neonate UIC was positively associated
with BMIC in both unadjusted (beta = 0.558, P < 0.001) and adjusted analysis
(beta = 0.541, P < 0.001). A similar result was found in logistic regression
analysis, indicating that neonates born to mothers with BMIC >= 100 MUg/L were
more likely to have UIC >= 100 MUg/L compared to those whose mothers had BMIC <
100 MUg/L in both unadjusted (OR = 7.93, P < 0.001) and adjusted analysis (OR =
7.29, P = 0.001). The present findings indicate that BMIC is a more sensitive
indicator than maternal UIC for assessment of iodine status in breastfed
neonates. To address low levels of maternal UIC, further studies on the
prescription of supplements containing 150 MUg/day iodine during lactation period
are warranted.
PMID- 29372436
TI - Antioxidant and Cytoprotective Activity of Oxydiacetate Complexes of Cobalt(II)
and Nickel(II) with 1,10-Phenantroline and 2,2'-Bipyridine.
AB - The antioxidant properties of oxydiacetate complexes of cobalt(II) and nickel(II)
with 1,10-phenantroline and 2,2'-bipyridine have been investigated towards the
superoxide radical using the nitro blue tetrazolium chloride (NBT) test and the
cyclic voltammetry (CV). Moreover, the biological activity of the complexes under
study has been investigated in the Human Dermal Fibroblasts adult (HDFa) cell
line. In the first step, the cytotoxic and the antiproliferative activities of
the complexes were examined. Subsequently, the cytoprotective properties of the
complexes have been investigated in an oxidative stress conditions induced by
H2O2.
PMID- 29372438
TI - Health-related quality of life of survivors of childhood acute lymphoblastic
leukemia: a systematic review.
AB - PURPOSE: Acute lymphoblastic leukemia (ALL) survivors are the largest group of
childhood cancer survivors; however, their risk for late effects is high. Cancer
related late effects have the potential to compromise health-related quality of
life (HRQL) long into survivorship. None of the reviews so far have focused on
ALL solely, but described HRQL for all childhood cancers. We aimed to identify
ALL survivors at risk for poor HRQL and identify possible risk factors. METHOD:
Following PRISMA guidelines, we performed a systematic review, searching
published literature in Pubmed, PsycInfo, Embase, and the Cochrane database
including all publications up to December 16, 2016. Two independent reviewers (JV
and ER) screened eligible articles and assessed article quality. RESULTS: We
found 31 studies representing 4356 survivors and 901 proxies. Thirteen studies
found worse, eight found no difference, and three better, overall HRQL scores
compared with healthy controls or norms. ALL survivors typically had better
overall HRQL scores than survivors of other childhood cancers. Clinical variables
(e.g., treatment received) were not consistently associated with HRQL; however,
experiencing worse late effects was associated with lower HRQL. Survivor and
parent socio-demographic factors and psychological factors such as resilience and
depression were also associated with HRQL. CONCLUSION: ALL survivors appeared to
have worse or equivalent HRQL compared with controls, but better HRQL than
survivors of other cancer types. However, studies reported a wide variability in
HRQL and potential risk factors for poor HRQL. Measuring ALL survivors' HRQL
longitudinally and comprehensively assessing potential risk factors might
identify future avenues to intervene early.
PMID- 29372439
TI - Antioxidant activity of polyphenolic compounds isolated from ethyl-acetate
fraction of Acacia hydaspica R. Parker.
AB - BACKGROUND: Acacia hydaspica belongs to family leguminosae possess antioxidant,
anti-inflammatory and anticancer activities. During our search for antioxidant
compounds from A. hydaspica, we carried out bioassay guided fractionation and
obtained antioxidant compounds with free radical scavenging activity. MATERIALS
AND METHODS: The polyphenol compounds in the plant extract of A. hydaspica were
isolated by combination of different chromatographic techniques involving vacuum
liquid chromatography and medium pressure liquid chromatography. The structural
heterogeneity of isolated compounds was characterized by high pressure liquid
chromatography, MS-ESI and NMR spectroscopic analyses. The antioxidant potential
of isolated compounds has been investigated by 1,1-diphenyl-2-picrylhydrazyl
(DPPH), nitric oxide scavenging potential, hydroxyl radical scavenging potential,
ferric reducing/antioxidant power (FRAP) model systems and total antioxidant
capacity measurement. RESULTS: The isolated compounds show the predominance of
signals representative of 7-O-galloyl catechins, catechins and methyl gallate.
Flash chromatographic separation gives 750 mg of 7-O galloyl catechin, 400 mg of
catechin and 150 mg of methyl gallate from 4 g loaded fraction on ISCO. Results
revealed that C1 was the most potent compound against DPPH (EC50 1.60 +/- 0.035
uM), nitric oxide radical (EC50 6 +/- 0.346 uM), showed highest antioxidant index
(1.710 +/- 0.04) and FRAP [649.5 +/- 1.5 uM Fe(II)/g] potency at 12.5 uM dose
compared to C2, C3 and standard reference, whereas C3 showed lower EC50 values
(4.33 +/- 0.618 uM) in OH radical scavenging assay. CONCLUSION: Present research
reports for the first time the antioxidant activity of polyphenolic compounds of
A. hydaspica. Result showed good resolution and separation from other
constituents of extract and method was found to be simple and precise. The
isolation of catechin from this new species could provide a varied opportunity to
obtain large quantities of catechin and catechin isomers beside from green tea.
Free radical scavenging properties of isolated catechin isomers from A. hydaspica
merit further investigations for consumption of this plant in oxidative stress
related disorders.
PMID- 29372440
TI - Erratum to: Current status of development of anticancer agents in Japan.
PMID- 29372441
TI - A new species of Steringotrema Odhner, 1911 (Trematoda: Fellodistomidae) from the
New Zealand sole Peltorhamphus novaezeelandiae Gunther off Kaka point in the
Catlins, South Island, New Zealand.
AB - As a part of a comprehensive survey of macroparasites of commercially exploited
fish species off the coast of Otago, New Zealand, the parasite fauna of the New
Zealand sole Peltorhamphus novaezeelandiae Gunther was recently studied.
Steringotrema robertpoulini n. sp. is described from this host and compared with
known species of Steringotrema Odhner, 1911. The new species is readily
distinguished from all of its congeners, except for S. divergens (Rudolphi, 1809)
Odhner, 1911, by having the follicular vitellarium divided in four zones rather
than two, and can be differentiated from S. divergens mainly by the posterior
extent of the intestinal caeca in the hindbody, as well as by host association
and geographical distribution. DNA sequences of the 28S ribosomal gene were
generated and phylogenetic analyses were undertaken using maximum likelihood and
Bayesian inference to assess the phylogenetic position of the new species within
the family Fellodistomidae Nicoll, 1909. Analyses included the available
sequences for 14 species of the family distributed among eight genera, along with
nine species of other members of the order Plagiorchiida La Rue, 1957 as
outgroups. The resulting topology shows that the new species of Steringotrema is
nested as the sister species of Steringophorus dorsolineatus (Reimer, 1985) Bray,
1995. However, low nodal support indicates that relationships among these species
are not fully resolved and require further revision and denser taxon sampling for
more detailed molecular work. More information is required to draw further
conclusions about the taxonomic status of the genera Steringotrema and
Steringophorus Odhner, 1905.
PMID- 29372442
TI - Two new species of Haplorchoides Chen, 1949 (Digenea: Heterophyidae) infecting an
Australian siluriform fish, Neoarius graeffei Kner & Steindachner.
AB - Combined morphological and molecular analyses are used to characterise two new
species of Haplorchoides Chen, 1949 (Digenea: Heterophyidae) from an Australian
siluriform fish. Haplorchoides maiwariensis n. sp. and H. daguilarensis n. sp.
are described from the intestine of the Blue salmon catfish, Neoarius graeffei
(Kner & Steindachner) (Siluriformes: Ariidae) from tidal reaches of the Brisbane
River and from a freshwater creek off Lake Wivenhoe, Kipper Creek, Australia. The
two new species most obviously differ from all previously described species of
Haplorchoides in possessing clearly and reliably tripartite seminal vesicles. The
two new species differ in the size and distribution of vitelline follicles, the
size of the pigment granules and the form of the ventral sucker. Previously
described species of Haplorchoides have been reported from Africa and Asia,
principally from bagrid, schilbeid, silurid and sisorid catfishes; these are the
first species reported from an ariid catfish. Complete ITS2 and partial 28S
ribosomal DNA data were generated for both new species. The two species differ
from each other by eight base pairs in the ITS2 region, and by 13 bp for the 28S
region. The 28S rDNA sequence of H. daguilarensis agrees with a previously
reported sequence from an unidentified species of Haplorchoides collected from N.
graeffei in Lake Wivenhoe, Australia; we identify this previous report as
relating to H. daguilarensis.
PMID- 29372443
TI - Sensitivity of caries pathogens to antimicrobial peptides related to caries risk.
AB - OBJECTIVES: Antimicrobial peptides (AMPs) represent important facets of the
immune system controlling infectious diseases. However, pathogens show varying
susceptibilities to AMPs. This study investigates the susceptibilities of strains
of Streptococcus mutans (SM), Actinomyces naeslundii (AN), and Lactobacillus spp.
(LB) towards AMPs and if there are correlations between the appearance of such
high-risk strains and clinical caries status. MATERIAL AND METHODS: Plaque
samples were collected from patients along with clinical examinations. Bacterial
strains were identified via selective media, matrix-assisted laser
desorption/ionization analysis-time of flight (MALDI-TOF), and arbitrary-primed
PCR (AP-PCR). Each strain was tested for susceptibility to LL-37, HBD-2, HNP-1,
and HNP-3 or phosphate-buffered saline as negative control in a biofilm model on
hydroxylapatite discs. Survival rates and resulting risk classification for each
strain were determined. Correlations were calculated between the number of high
risk strains (all/S. mutans) appearing in patients and their clinical caries
status. RESULTS: Forty-seven patients were included with mean DMFT values of 11.4
+/- 8.7. A total of 8 different SM, 30 LB, and 47 AN strains were detected. One
way ANOVA indicated that type/concentration of AMPs had major influence on
reductions of Lactobacilli and Actinomyces. Seventeen strains of AN, 2 of SM, and
6 of LB had low susceptibilities to AMPs. The number of such strains in patients
showed significant positive correlations to the DMFT values (all p = 0.001; r =
0.452; S. mutans p < 0.0001, r = 0.558). CONCLUSION: The occurrence of low
susceptible strains to AMPs seems to correlate with the individual caries status.
CLINICAL RELEVANCE: The results may lead to new ways to identify individuals with
increased caries risk.
PMID- 29372444
TI - Distance between mandibular canal and third molar root among 20-year-old
subjects.
AB - OBJECTIVES: The aim of the study was to evaluate the proximity of the mandibular
third molar (M3) and the inferior alveolar canal (IAC) in a panoramic radiograph
of 20-year-old subjects. The specific aim was to assess differences in this
proximity over time. MATERIALS AND METHODS: Two similar samples of panoramic
radiographs taken in a routine oral health examination with 20-year time interval
were examined retrospectively and images with both mandibular M3s were included.
The material consisted of 300 subjects (25% men, mean age 20.5 +/- 0.6 years).
The radiographic relationship between the mandibular M3 root and the IAC was
assessed as follows: the M3 root was either apart from, tangential to,
superimposed with, or inferior to the IAC. Differences between frequencies were
tested using the chi-squared test. RESULTS: In the combined samples, only 16% of
the M3s located apart from the IAC, 15% located tangential to, 61% superimposed
with, and 8% inferior to the IAC. The proportion of the intimate locations had
increased during the 20-year time interval from 79 to 88% (P < 0.01) and
especially in females (P < 0.05). CONCLUSION: The vast majority of the mandibular
M3s situated very close to the mandibular canal. CLINICAL RELEVANCE: Our results
suggest that in the cohort of 20-year-old non-extraction subjects, most of the
M3s are possibly at risk for inferior alveolar nerve injury at removal, as judged
from the panoramic radiograph, and also the number of such teeth has increased
over the 20-year period.
PMID- 29372446
TI - A web-based endodontic case difficulty assessment tool.
AB - OBJECTIVE: To develop a web-based tool to facilitate identification, evaluation
and management of teeth requiring endodontic treatment. MATERIALS AND METHODS:
Following a literature search and thorough analysis of existing case difficulty
assessment forms, the web-based tool was developed using an online survey builder
(Qualtrics, Qualtrics Lab, UT, USA). Following feedback from a pilot study, it
was refined and improved. A study was performed, using the updated version
(EndoApp) on a cohort (n = 53) of dental professionals and dental students. The
participants were e-mailed instructions detailing the assessment of five test
cases using EndoApp, followed by completion of a structured feedback form.
Analysis of the EndoApp responses was used to evaluate usage times, whereas the
results of the feedback forms were used to assess user experience and relevance,
other potential applications and comments on further improvement/s. RESULTS: The
average usage time was 2 min 7 s; the average times needed for the last three
(Cases 3-5) were significantly less than the preceding two (Cases 1 & 2) test
cases. An overwhelming majority of participants expressed favourable views on
user experience and relevance of the web-based case difficulty assessment tool.
Only two participants (4%) were unlikely or very unlikely to use EndoApp again.
The potential application of EndoApp as an 'educational tool' and for 'primary
care triage' was deemed the most popular features and of greater importance than
the secondary options of 'fee setting' and as a 'dento-legal justification tool'.
CONCLUSIONS: Within the study limitations, owing to its ability to quantify the
level of difficulty and provide guidance, EndoApp was considered user-friendly
and helped facilitate endodontic case difficulty assessment. From the feedback,
further improvements and the development of a Smartphone App version are in
progress. CLINICAL RELEVANCE: EndoApp may facilitate treatment planning, improve
treatment cost-effectiveness and reduce frequency of procedural errors by
providing appropriate guidance on endodontic case management.
PMID- 29372445
TI - Effectiveness of ultrasonically activated irrigation on root canal disinfection:
a systematic review of in vitro studies.
AB - OBJECTIVES: Reduction of microbial load from the root canal systems is a pre
requisite for healing of lesions of endodontic origin. Such microbial reduction
is influenced by the method of irrigant delivery and activation. The aim of this
systematic review was to compare the effect of ultrasonically activated
irrigation (UAI) with other irrigation techniques on the reduction of
microorganisms during root canal disinfection. MATERIALS AND METHODS: The
research question was created based on the PICO strategy. Two reviewers
independently performed a comprehensive literature search in electronic
databases. Following application of inclusion and exclusion criteria to the
selected articles, a systematic data extraction sheet was constructed. The
selected articles were assessed using methodological quality scoring protocol.
The risk of bias in selected studies was critically assessed by two reviewers.
RESULTS: A total of 15 articles were included for the systematic review. The
included studies were heterogeneous in study design; hence, meta-analysis was not
performed. The overall risk of bias for the selected studies was moderate.
Overall, UAI showed superior reduction of microbial counts, resulting in better
disinfection compared to other irrigation systems chosen for comparison in this
review. CONCLUSION: The use of UAI can bring about superior microbial reduction
within the root canal system compared to other irrigant activation techniques.
CLINICAL RELEVANCE: Activation of irrigants with ultrasonic brings about
significant bacterial reduction from the root canal systems compared to other
methods of irrigant activation and conventional syringe irrigation. This might
help in improving the outcome of root canal treatment.
PMID- 29372447
TI - Lactobacillus rhamnosus intake can prevent the development of Candidiasis.
AB - OBJECTIVE: This study aimed to investigate the influence of Lactobacillus
rhamnosus intake on the development of candidiasis and cytokines release.
MATERIAL AND METHODS: Candida suspensions were inoculated into the oral cavity of
experimentally immunosuppressed mice for candidiasis induction. The animals were
divided into experimental groups: candidiasis with no probiotic intake (F),
candidiasis with probiotic intake during Candida inoculation (FP), and
candidiasis with probiotic intake 14 days before inoculation with Candida (FPP);
and control groups: (C), (CP), and (CPP) without inducing candidiasis with
probiotic intake in the same manner as groups F, FP, and FPP, respectively. After
these periods, samples were collected from the oral cavity for yeast counts and,
after euthanasia, the tongues of the animals were removed for histological
analysis. Sera samples were also collected for analysis of IL-1 beta, TNF-alpha,
INF-gamma, IL-12, IL-4, and IL-10. RESULTS: FP group showed lower Candida counts
in the oral cavity, and the presence of Candida was almost not detected in FPP
group. In tissues, the counts of fungi were significantly lower in FPP group,
followed by FP. Groups that consumed probiotics also had lower histological and
inflammatory infiltrates compared to F. Cytokines analysis demonstrated low
concentrations of TNF-alpha, IL-12, IL-4, and IL-10 in all the groups, and no
statistical difference between them. The production of IL-6 could be better
detected, and the experimental groups that consumed the probiotic showed
significant lower levels of this cytokine. CONCLUSIONS: The results suggest that
L. rhamnosus intake, especially preventively, may avoid or decrease the
development of candidiasis in immunosuppressed mice. CLINICAL RELEVANCE: This
work adds scientific evidences that probiotics intake can avoid the development
of candidiasis.
PMID- 29372448
TI - New Therapeutic Approaches for the Treatment of Hyperkalemia in Patients Treated
with Renin-Angiotensin-Aldosterone System Inhibitors.
AB - Hyperkalemia (serum potassium > 5.5 mEq/L) is a common clinical problem in
patients with chronic kidney disease, hypertension, diabetes, and heart failure.
It can result from increased K+ intake, impaired distribution between
intracellular and extracellular spaces, and most frequently, decreased renal
excretion. Patients at the highest risk of hyperkalemia are treated with renin
angiotensin-aldosterone system inhibitors (RAASIs) as they improve cardiovascular
and renal outcomes and are strongly recommended in clinical guidelines. However,
RAASIs cause or increase the risk of hyperkalemia, a key limitation to fully
titrate RAASIs in patients who are most likely to benefit from treatment. Until
recently, drugs for the treatment of hyperkalemia presented limited efficacy
and/or safety concerns and there was an unmet need of new drugs to control
hyperkalemia while maintaining RAASI therapy. We provide an overview of the
mechanisms involved in K+ homeostasis and the epidemiology and management of
hyperkalemia as a complication in cardiovascular patients and, finally, analyze
the efficacy and safety of two new polymer-based, non-systemic agents, patiromer
calcium and sodium zirconium cyclosilicate (ZS-9), designed to increase fecal K+
loss and to normalize elevated serum K+ levels and chronically maintain K+
homeostasis in hyperkalemic patients treated with RAASIs.
PMID- 29372449
TI - Unsafe Drug Use and Arrhythmic Events in Brugada Patients with ICD: Results of a
Long-Term Follow-Up.
AB - PURPOSE: Brugada syndrome is a hereditary disease linked with an increased risk
of sudden death that may require an implantable cardioverter-defibrillator (ICD)
in order to halt the arrhythmic events. The aim of this study was to identify
possible triggers for appropriate ICD therapies in patients with Brugada
syndrome, focusing on their past and current therapeutic profiles. METHODS:
Thirty patients with high-risk Brugada syndrome, with ICD implanted at the
Coimbra Hospital and University Center, were enrolled. Patients were questioned
about their Brugada syndrome history, previous cardiac events, comorbidities,
present and past medications, and physical activity. Patients were followed up
during 5.8 +/- 5.3 years. The ICD was interrogated, and arrhythmic events and
device therapies were recorded. The cohort who received appropriate ICD therapies
was compared with the remaining patients to determine the potential link between
clinical variables and potentially fatal arrhythmic events. RESULTS: More than
half of the patients (53.3%) took at least one non-recommended drug, and 16.7%
received appropriate ICD therapies, with a long-term rate of 4.0%/year. There was
a tendency for more appropriate ICD therapies in patients who took unsafe drugs
(85.7 versus 45.5%, p = 0.062), and the mean time between unsafe drug intake and
appropriate ICD therapies was 3.8 +/- 7.5 days. CONCLUSIONS: This study revealed
that the medical community is still unaware of the pharmacological restrictions
imposed by Brugada syndrome. Patients who took non-recommended drugs seem to have
a higher risk of ventricular arrhythmic events.
PMID- 29372450
TI - Claims data-driven modeling of hospital time-to-readmission risk with latent
heterogeneity.
AB - Hospital readmission risk modeling is of great interest to both hospital
administrators and health care policy makers, for reducing preventable
readmission and advancing care service quality. To accommodate the needs of both
stakeholders, a readmission risk model is preferable if it (i) exhibits superior
prediction performance; (ii) identifies risk factors to help target the most at
risk individuals; and (iii) constructs composite metrics to evaluate multiple
hospitals, hospital networks, and geographic regions. Existing work mainly
addressed the first two features and it is challenging to address the third one
because available medical data are fragmented across hospitals. To simultaneously
address all three features, this paper proposes readmission risk models with
incorporation of latent heterogeneity, and takes advantage of administrative
claims data, which is less fragmented and involves larger patient cohorts.
Different levels of latent heterogeneity are considered to quantify the effects
of unobserved factors, provide composite measures for performance evaluation at
various aggregate levels, and compensate less informative claims data. To
demonstrate the prediction performances of the proposed models, a real case study
is considered on a state-wide heart failure patient cohort. A systematic
comparison study is then carried out to evaluate the performances of 49 risk
models and their variants.
PMID- 29372452
TI - Hibiscus rosa sinensis mediate anxiolytic effect via modulation of ionotropic
GABA-A receptors: possible mechanism of action.
AB - The current study was designed with the aim to investigate anti-anxiety potential
of Hibiscus rosa sinensis roots and its possible mechanism of action. For this
purpose hole board test, elevated plus maze test and light/dark exploration test
were employed. The ethanol extract of plant was administered orally at two
different doses i.e. 100 and 500 mg/kg for consecutive 14 days. The results of
present investigation indicate that plant extract significantly (p < 0.05)
increased the number of head dips and rearings as compared to control on
respective days of observation. The extract increased the time of permanence in
open arms and the number of head dips in elevated plus maze. In light/dark test,
our study indicate that Hibiscus rosa sinensis significantly (p < 0.05) increased
the time spent in light compartment and number of entries as compared to control.
In addition the anxiolytic effects of HRS at highest tested dose were blocked by
flumazenil, a GABA-A receptor antagonist that indicate that Hibiscus rosa
sinensis potentiated the GABAergic actions. The results propose that the ethanol
extract of Hibiscus rosa sinensis has prospective anxiolytic effect in mice via
inhibition of ionotropic GABA receptors, using different behavioral paradigms.
PMID- 29372453
TI - HIV Partner Notification Values and Preferences Among Sex Workers, Fishermen, and
Mainland Community Members in Rakai, Uganda: A Qualitative Study.
AB - HIV partner notification involves contacting sexual partners of people who test
HIV positive and referring them to HIV testing, treatment, and prevention
services. To understand values and preferences of key and general populations in
Rakai, Uganda, we conducted 6 focus group discussions and 63 in-depth interviews
in high prevalence fishing communities and low prevalence mainland communities.
Participants included fishermen and sex workers in fishing communities, male and
female mainland community members, and healthcare providers. Questions explored
three approaches: passive referral, provider referral, and contract referral.
Qualitative data were coded and analyzed using a team-based matrix approach.
Participants agreed that passive referral was most suitable for primary partners.
Provider referral was acceptable in fishing communities for notifying multiple,
casual partners. Healthcare providers voiced concerns about limited time,
resources, and training for provider-assisted approaches. Options for partner
notification may help people overcome barriers to HIV serostatus disclosure and
help reach key populations.
PMID- 29372451
TI - BiodentineTM material characteristics and clinical applications: a 3 year
literature review and update.
AB - INTRODUCTION: BiodentineTM has frequently been acknowledged in the literature as
a promising material and serves as an important representative of tricalcium
silicate based cements used in dentistry. AIM: To provide an update on the
physical and biological properties of BiodentineTM and to compare these
properties with those of other tricalcium silicate cements namely, different
variants of mineral trioxide aggregate (MTA) such as ProRoot MTA, MTA Angelus,
Micro Mega MTA (MM-MTA), Retro MTA, Ortho MTA, MTA Plus, GCMTA, MTA HP and
calcium enriched mixture (CEM), Endosequence and BioaggregateTM. STUDY DESIGN: A
comprehensive literature search for publications from November 20, 2013 to
November 20, 2016 was performed by two independent reviewers on Medline (PubMed),
Embase, Web of Science, CENTRAL (Cochrane), SIGLE, SciELO, Scopus, Lilacs and
clinicaltrials.gov. Electronic and hand search was carried out to identify
randomised control trials (RCTs), case control studies, case series, case
reports, as well as in vitro and animal studies published in the English
language. CONCLUSIONS: The enhanced physical and biologic properties of
BiodentineTM could be attributed to the presence of finer particle size, use of
zirconium oxide as radiopacifier, purity of tricalcium silicate, absence of
dicalcium silicate, and the addition of calcium chloride and hydrosoluble
polymer. Furthermore, as BiodentineTM overcomes the major drawbacks of MTA it has
great potential to revolutionise the different treatment modalities in paediatric
dentistry and endodontics especially after traumatic injuries. Nevertheless, high
quality long-term clinical studies are required to facilitate definitive
conclusions.
PMID- 29372455
TI - Trends in AIDS Mortality, Retention in Opioid Agonist Therapy, and HIV RNA
Suppression in HIV-Infected People Who Injected Drugs from 2000 to 2015.
AB - AIDS is a major cause of preventable mortality in HIV-infected people who inject
drugs (HIV-PWID). An observational study was conducted to examine trends in AIDS
mortality and related factors among HIV-infected individuals who died between
2000 and 2015 at an urban hospital. Overall HIV-mortality was 6.5% (413/6307)
with no changes over time (p 0.76). AIDS mortality dropped in HIV-PWID (p 0.02)
although it represented 26.4% at the end of study period. Age (per one-year
increase) [odds ratio (OR) 0.95], third study period (2010-2015) (OR 0.54), HIV
PWID on opioid agonist therapy (OAT) (OR 0.39), and HIV RNA suppression (OR 0.15)
were associated with AIDS mortality. OAT was reported in 58.3% (161/276) and RNA
suppression in 30.9% (85/276) of HIV-PWID. OAT non-retention was due to drop-outs
[85.2% (98/115)] and rejection [14.8% (17/115)] in HIV-PWID. Therefore,
additional strategies are required to improve OAT retention and HIV RNA
suppression to continue reducing AIDS mortality.
PMID- 29372454
TI - Avoidant Coping Mediates the Relationship Between Self-Efficacy for HIV
Disclosure and Depression Symptoms Among Men Who Have Sex with Men Newly
Diagnosed with HIV.
AB - HIV diagnosis presents a critical opportunity to reduce secondary transmission,
improve engagement in care, and enhance overall well-being. To develop relevant
interventions, research is needed on the psychosocial experiences of newly
diagnosed individuals. This study examined avoidant coping, self-efficacy for HIV
disclosure decisions, and depression among 92 newly diagnosed men who have sex
with men who reported recent sexual risk behavior. It was hypothesized that
avoidant coping would mediate the relationship between self-efficacy and
depression. Cross-sectional surveys were collected from participants 3 months
after HIV diagnosis. To test for mediation, multiple linear regressions were
conducted while controlling for HIV disclosure to sexual partners. Self-efficacy
for HIV disclosure decisions showed a negative linear relationship to depression
symptoms, and 99% of this relationship was mediated by avoidant coping. The index
of mediation of self-efficacy on depression indicated a small-to-medium effect.
Higher self-efficacy was related to less avoidant coping, and less avoidant
coping was related to decreased depression symptoms, all else held constant.
These findings highlight the role of avoidant coping in explaining the
relationship between self-efficacy for HIV disclosure decisions and depression.
PMID- 29372456
TI - Role of Gay Neighborhood Status and Other Neighborhood Factors in Racial/Ethnic
Disparities in Retention in Care and Viral Load Suppression Among Men Who Have
Sex with Men, Florida, 2015.
AB - This study's objective was to examine the role of gay neighborhood residence and
other neighborhood factors in racial/ethnic disparities in retention in HIV care
and viral load suppression during 2015. Florida residents diagnosed 2000-2014
with HIV infection and with transmission mode of men who have sex with men (MSM)
were included in multi-level logistic regression models. Of 29,156 MSM, 29.4%
were not retained and 34.2% were not virally suppressed. Non-Hispanic Blacks
(NHB) had a higher likelihood of not being retained (adjusted prevalence ratio
[aPR] 1.31, 95% confidence interval [CI] 1.24-1.38, p value < 0.0001) and not
being virally suppressed (aPR 1.82, 95% CI 1.67-1.98, p value < 0.0001) compared
with non-Hispanic Whites. Among NHBs, rural residence was protective for both
outcomes. Although gay neighborhood residence was not associated with either
outcome, the role of other neighborhood factors suggests that individual and
neighborhood barriers to HIV care and treatment should be addressed among MSM.
PMID- 29372458
TI - 1H, 15N, and 13C resonance assignments of the third domain from the S. aureus
innate immune evasion protein Eap.
AB - Staphylococcus aureus is a widespread and persistent pathogen of humans and
livestock. The bacterium expresses a wide variety of virulence proteins, many of
which serve to disrupt the host's innate immune system from recognizing and
clearing bacteria with optimal efficiency. The extracellular adherence protein
(Eap) is a multidomain protein that participates in various protein-protein
interactions that inhibit the innate immune response, including both the
complement system (Woehl et al in J Immunol 193:6161-6171, 2014) and Neutrophil
Serine Proteases (NSPs) (Stapels et al in Proc Natl Acad Sci USA 111:13187-13192,
2014). The third domain of Eap, Eap3, is an ~ 11 kDa protein that was recently
shown to bind complement component C4b (Woehl et al in Protein Sci 26:1595-1608,
2017) and therefore play an essential role in inhibiting the classical and lectin
pathways of complement (Woehl et al in J Immunol 193:6161-6171, 2014). Since
structural characterization of Eap3 is still incomplete, we acquired a series of
2D and 3D NMR spectra of Eap3 in solution. Here we report the backbone and side
chain 1H, 15N, and 13C resonance assignments of Eap3 and its predicted secondary
structure via the TALOS-N server. The assignment data have been deposited in the
BMRB data bank under accession number 27087.
PMID- 29372457
TI - The calmodulin-like protein, CML39, is involved in regulating seed development,
germination, and fruit development in Arabidopsis.
AB - KEY MESSAGE: We show that the calcium sensor, CML39, is important in various
developmental processes from seeds to mature plants. This study bridges previous
work on CML39 as a stress-induced gene and highlights the importance of calcium
signalling in plant development. In addition to the evolutionarily-conserved Ca2+
sensor, calmodulin (CaM), plants possess a large family of CaM-related proteins
(CMLs). Using a cml39 loss-of-function mutant, we investigated the roles of CML39
in Arabidopsis and discovered a range of phenotypes across developmental stages
and in different tissues. In mature plants, loss of CML39 results in shorter
siliques, reduced seed number per silique, and reduced number of ovules per
pistil. We also observed changes in seed development, germination, and seed coat
properties in cml39 mutants in comparison to wild-type plants. Using radicle
emergence as a measure of germination, cml39 mutants showed more rapid
germination than wild-type plants. In marked contrast to wild-type seeds, the
germination of developing, immature cml39 seeds was not sensitive to cold
stratification. In addition, germination of cml39 seeds was less sensitive than
wild-type to inhibition by ABA or by treatments that impaired gibberellic acid
biosynthesis. Tetrazolium red staining indicated that the seed-coat permeability
of cml39 seeds is greater than that of wild-type seeds. RNA sequencing analysis
of cml39 seedlings suggests that changes in chromatin modification may underlie
some of the phenotypes associated with cml39 mutants, consistent with previous
reports that orthologs of CML39 participate in gene silencing. Aberrant ectopic
expression of transcripts for seed storage proteins in 7-day old cml39 seedlings
was observed, suggesting mis-regulation of early developmental programs.
Collectively, our data support a model where CML39 serves as an important Ca2+
sensor during ovule and seed development, as well as during germination and
seedling establishment.
PMID- 29372459
TI - 1H, 13C, 15N resonance assignment of human YAP 50-171 fragment.
AB - Yes associated protein (YAP) is an intrinsically disordered protein that plays a
major role in the Hippo pathway, regulating organ size, cell proliferation,
apoptosis, and is associated with cancer development. Therefore, the binding
between YAP and TEAD is an interesting target for cancer therapy. The TEAD
binding domain of YAP was mapped to protein residues 50-171. To obtain further
structural insights into this 12 kDa segment of YAP, we report a backbone and a
partial sidechain assignment of recombinant YAP 50-171.
PMID- 29372460
TI - Preliminary opinion on assessment categories of stomach ultrasound report and
data system (Su-RADS).
AB - OBJECTIVE: Transabdominal ultrasound after oral administration of an echoic
cellulose-based gastric ultrasound contrast agent (TUS-OCCA) has recently been
suggested as a valuable mass-screening tool for gastric cancer. The aim of this
study was to propose a producible stomach ultrasound reporting and data system
(Su-RADS) using TUS-OCCA for gastric cancer screening. PATIENTS: The study
includes information of 2738 patients who underwent both gastroscopy and TUS-OCCA
examinations recorded in software system. Gastroscopy examination with
pathological diagnosis was considered as gold standard. Various gastric lesions
were classified into category 1-5 based on gastric wall thicknesses of them
(especially the mucosa layer). RESULTS: The total malignant ratios of patients
enrolled in this study were 17.1% (469/2738). The malignant ratios for category 1
5 were, respectively, 1.1, 1.7, 12.2, 34.2 and 78.1%. Category 2 indicated mild
thickening of gastric wall at low risk for malignancy (1.7%); category 3
indicated moderate thickening at moderate risk for malignancy (12.2%); category 4
indicated severe thickening at high risk for malignancy (34.2%); category 5
indicated extremely severe thickening at extremely high risk for malignancy
(78.1%). If category 2 was identified as cut-off point distinguishing between
benign and malignant, the sensitivity and specificity by Su-RADS are 95.1 and
78.6%, respectively. CONCLUSION: The Su-RADS system could inform the physicians
about key findings, indicating the risk for malignancy and necessity of
additional gastroscopy examination. Prospectively randomly controlled study
design with larger clinical trial is needed for further investigations.
PMID- 29372462
TI - Bias in matched case-control studies: DAGs are not enough.
PMID- 29372461
TI - Phase II study of oxaliplatin, irinotecan and S-1 therapy in patients with
advanced gastric cancer: the Korean Cancer Study Group ST14-11.
AB - BACKGROUND: Doublet chemotherapy of platinum and 5-fluorouracil is a standard
first-line treatment for patients with unresectable gastric cancer. Although the
addition of taxane or irinotecan to this regimen has yielded promising efficacy,
its use has been limited due to severe toxicities. To overcome this limitation,
we evaluated the efficacy and safety of the combination of irinotecan,
oxaliplatin, and S-1 (OIS) for the treatment of advanced gastric cancer. METHODS:
Chemotherapy-naive patients with pathologically proven advanced gastric
adenocarcinoma were assessed for eligibility. Irinotecan (135 mg/m2) and
oxaliplatin (65 mg/m2) were administered intravenously on day 1, and S-1 (80
mg/m2/day) was administered orally on days 1-7 of every 2-week cycle. RESULTS:
Forty-four patients (median age 57 years) were enrolled and all but one patient
had a good performance status (ECOG 0 or 1). A total of 529 cycles were
administered, with a median of 9.5 (range 1-31) cycles per patient. The overall
response rate was 61.4% (95% confidence interval [CI] 46.6-74.3). The median
progression-free survival and overall survival were 10.8 months (95% CI 7.6-14.0)
and 15.4 months (95% CI 12.6-18.2), respectively. Major toxicities included grade
3/4 neutropenia (38.6%), febrile neutropenia (13.6%), abdominal pain (9.1%), and
diarrhea (9.1%). CONCLUSION: These data suggest that the OIS regimen is effective
and relatively well tolerated in patients with advanced gastric cancer. Given
that all the patients treated, but one, had a good performance status, these
results must be confirmed in a patient population more representative of regular
clinical practice. TRIAL REGISTRATION: ClinicalTrials.gov Identifier:
NCT02527785.
PMID- 29372463
TI - Dietary non enzymatic antioxidant capacity and the risk of myocardial infarction
in the Swedish women's lifestyle and health cohort.
AB - Foods rich in antioxidants have been associated with a reduced risk of myocardial
infarction. However, findings from randomized clinical trials on the role of
antioxidant supplementation remain controversial. It has been suggested that
antioxidants interact with each other to promote cardiovascular health. We
therefore investigated the association between dietary Non Enzymatic Antioxidant
Capacity (NEAC), measuring the total antioxidant potential of the whole diet, and
the risk of myocardial infarction. We followed 45,882 women aged 30-49 years and
free from cardiovascular diseases through record linkages from 1991 until 2012.
Dietary NEAC was assessed by a validated food frequency questionnaire collected
at baseline. Total dietary NEAC was categorized into quintiles and multivariable
Cox proportional hazard regression models were fitted to estimate hazard ratios
(HR) with 95% confidence intervals (CI). During a mean follow-up time of 20.3
years we detected 657 incident cases of myocardial infarction. After adjusting
for potential confounders, we found a significant 28% lower risk of myocardial
infarction among women in the fourth (HR: 0.72; 95% CI 0.55-0.95) and a 40% lower
risk among women in the fifth quintile (HR: 0.60, 95% CI 0.45-0.81) of dietary
NEAC compared to women in the first quintile, with a significant trend (p-value <
0.001). Higher dietary NEAC is associated with a lower risk of myocardial
infarction in young to middle-aged women. These findings support the hypothesis
that dietary antioxidants protect from myocardial infarction and that this effect
might be exerted through interactions between antioxidants.
PMID- 29372465
TI - Melilotus indicus extract induces apoptosis in hepatocellular carcinoma cells via
a mechanism involving mitochondria-mediated pathways.
AB - Melilotus indicus, is a traditional medicine used as analgesic and emollient.
Although Melilotus indicus extract (MIE) has recently been shown to suppress
growth of several tumor cell lines, information regarding its antitumor mechanism
is completely unknown. Here, we report the mechanism underlying the effects of
MIE on human hepatocellular carcinoma cells, specifically HepG2, and SNU-182
cells. Methanolic MIE impaired the proliferation, and induced cell death in both
HepG2 and SNU-182 cells but not in normal hepatic L-02 cells. Mechanistically,
flow cytometric analysis revealed that MIE induces apoptosis in HepG2, and SNU
182 cells. However, MIE-induced apoptosis were not affected by a pan caspase
inhibitor z-VAD-fmk as well as MIE did not stimulate caspase activation.
Furthermore we found that MIE-induced apoptosis could be attributed to a
mechanism involving mitochondria-mediated pathways evidenced by decrease in the
mitochondrial membrane potential (DeltaPsim), increase in the Bax/Bcl-2 ratio,
and translocation of apoptosis inducing factor (AIF) from the mitochondria to the
nucleus. Suppression in AIF expression by siRNA reduced MIE-induced apoptosis
which suggested the dependency of MIE on AIF to induce apoptosis in
hepatocellular carcinoma cells. To the best of our knowledge this is the first
report elucidating the anticancer mechanism of MIE. Our findings suggested that
MIE might be a good extract for developing anticancer drugs for human
hepatocellular carcinoma treatment.
PMID- 29372466
TI - Co-cultured the MSCs and cardiomyocytes can promote the growth of cardiomyocytes.
AB - Recently, the incidence of myocardial infarction has been increasing annually.
Now cell therapy is a major new strategy in the treatment of this public health
challenge. Most recently, evidences showed that MSCs can reduce the area of
infarction and improve the heart function. In our study we found that MSCs could
promote cardiomyocytes proliferation, inhibit the apoptosis of cardiomyocytes and
promote cardiomyocytes autophagy function. These functions could be a therapeutic
effect on myocardial infarction. At the same time, we first revealed that MSCs
may achieve these functions by the activation of VEGF signaling pathways.
PMID- 29372464
TI - The Potential of Stem Cells in Treatment of Traumatic Brain Injury.
AB - PURPOSE OF REVIEW: Traumatic brain injury (TBI) is a global public health
concern, with limited treatment options available. Despite improving survival
rate after TBI, treatment is lacking for brain functional recovery and structural
repair in clinic. Recent studies have suggested that the mature brain harbors
neural stem cells which have regenerative capacity following brain insults. Much
progress has been made in preclinical TBI model studies in understanding the
behaviors, functions, and regulatory mechanisms of neural stem cells in the
injured brain. Different strategies targeting these cell population have been
assessed in TBI models. In parallel, cell transplantation strategy using a wide
range of stem cells has been explored for TBI treatment in pre-clinical studies
and some in clinical trials. This review summarized strategies which have been
explored to enhance endogenous neural stem cell-mediated regeneration and recent
development in cell transplantation studies for post-TBI brain repair. RECENT
FINDINGS: Thus far, neural regeneration through neural stem cells either by
modulating endogenous neural stem cells or by stem cell transplantation has
attracted much attention. It is highly speculated that targeting neural stem
cells could be a potential strategy to repair and regenerate the injured brain.
Neuroprotection and neuroregeneration are major aspects for TBI therapeutic
development. With technique advancement, it is hoped that stem cell-based therapy
targeting neuroregeneration will be able to translate to clinic in not so far
future.
PMID- 29372467
TI - The formation of intestinal organoids in a hanging drop culture.
AB - Recently organoids have become widely used in vitro models of many tissue and
organs. These type of structures, originated from embryonic or adult mammalian
intestines, are called "mini guts". They organize spontaneously when intestinal
crypts or stem cells are embedded in the extracellular matrix proteins
preparation scaffold (Matrigel). This approach has some disadvantages, as
Matrigel is undefined (the concentrations of growth factors and other
biologically active components in it may vary from batch to batch), difficult to
handle and expensive. Here we show that the organoids derived from chicken embryo
intestine are formed in a hanging drop without embedding, providing an attractive
alternative for currently used protocols. Using this technique we obtained
compact structures composed of contiguous organoids, which were generally similar
to chicken organoids cultured in Matrigel in terms of morphology and expression
of intestinal epithelial markers. Due to the simplicity, high reproducibility and
throughput capacity of hanging drop technique our model may be applied in various
studies concerning the gut biology.
PMID- 29372468
TI - Characterization of female germline stem cells from adult mouse ovaries and the
role of rapamycin on them.
AB - Germline stem cells (GSCs) play an indispensable role in establishing the
fertility of an organism. The isolation and culture of adult female GSCs (FGSCs)
have provided a robust foundation to study the development of female germ cells
in rodents. However, many problems still need to be identified, such as the
origin and location of FGSCs and the specific markers for screening. In this
study, we acquired FGSCs that stably expressed Oct4 from Oct4 promoter-GFP
transgenic mouse ovarian surface epithelium and cortical layer, and identified
the cells possessing the representative features including the expression of GSCs
marker genes and the potentiality of differentiation into all three germ layers
in vitro. Moreover, rapamycin was confirmed to promote proliferation of mouse
FGSCs and inhibit the differentiation capability in vivo. In addition to the
reported disinfection function, rapamycin inhibited the activation of primordial
follicles, as the inhibitor of mechanistic target of rapamycin pathway. These
results will contribute to the study on folliculogenesis or oogenesis mechanism
and have important implications on developing new technology and therapeutic
approach in medicine for premature ovarian failure, infertility and even ovary
remodelling in future.
PMID- 29372469
TI - Single-breath-hold abdominal [Formula: see text] mapping using 3D Cartesian Look
Locker with spatiotemporal sparsity constraints.
AB - OBJECTIVE: Our aim was to develop and validate a 3D Cartesian Look-Locker
[Formula: see text] mapping technique that achieves high accuracy and whole-liver
coverage within a single breath-hold. MATERIALS AND METHODS: The proposed method
combines sparse Cartesian sampling based on a spatiotemporally incoherent Poisson
pattern and k-space segmentation, dedicated for high-temporal-resolution imaging.
This combination allows capturing tissue with short relaxation times with
volumetric coverage. A joint reconstruction of the 3D + inversion time (TI) data
via compressed sensing exploits the spatiotemporal sparsity and ensures
consistent quality for the subsequent multistep [Formula: see text] mapping. Data
from the National Institute of Standards and Technology (NIST) phantom and 11
volunteers, along with reference 2D Look-Locker acquisitions, are used for
validation. 2D and 3D methods are compared based on [Formula: see text] values in
different abdominal tissues at 1.5 and 3 T. RESULTS: [Formula: see text] maps
obtained from the proposed 3D method compare favorably with those from the 2D
reference and additionally allow for reformatting or volumetric analysis.
Excellent agreement is shown in phantom [bias[Formula: see text] < 2%,
bias[Formula: see text] < 5% for (120; 2000) ms] and volunteer data (3D and 2D
deviation < 4% for liver, muscle, and spleen) for clinically acceptable scan (20
s) and reconstruction times (< 4 min). CONCLUSION: Whole-liver [Formula: see
text] mapping with high accuracy and precision is feasible in one breath-hold
using spatiotemporally incoherent, sparse 3D Cartesian sampling.
PMID- 29372470
TI - Uric acid-lowering and renoprotective effects of topiroxostat, a selective
xanthine oxidoreductase inhibitor, in patients with diabetic nephropathy and
hyperuricemia: a randomized, double-blind, placebo-controlled, parallel-group
study (UPWARD study).
AB - BACKGROUND: Hyperuricemia is supposed to be an independent risk factor for kidney
dysfunction in diabetic patients. We attempted to examine the uric acid-lowering
effect and the renoprotective effect of topiroxostat, a selective xanthine
oxidoreductase inhibitor, in patients with diabetic nephropathy and hyperuricemia
in this pilot study. METHODS: The study design was randomized, double-blind,
placebo-controlled, parallel-group study. A total of 65 patients with
hyperuricemia and diabetic nephropathy with microalbuminuria were enrolled and
assigned to either the topiroxostat group or the placebo group. Topiroxostat
(stepwise dosing from 40 to 160 mg/day) or matching placebo was administered BID
for 28 weeks. The primary endpoint was a change in the urinary albumin-to
creatinine ratio in the first-morning-void urine sample. Secondary endpoints were
changes in the estimated glomerular filtration rate and the serum uric acid
level. RESULTS: At 28 weeks, there was no significant difference in the percent
change from baseline in the urinary albumin-to-creatinine ratio between the two
groups (topiroxostat: 0 vs. placebo: 17%, p = 0.3206), but the changes in the
estimated glomerular filtration rate (- 0.2 vs. - 4.0 mL/min/1.73 m2, p = 0.0303)
and the serum uric acid level (- 2.94 vs. - 0.20 mg/dL, p < 0.0001) were
significantly different between the topiroxostat and placebo groups. Gouty
arthritis occurred in 1 patient in the placebo group and no patients in the
topiroxostat group. CONCLUSION: These findings support that diabetic nephropathy
combined with hyperuricemia may be associated with kidney dysfunctions.
Topiroxostat provides strict control of the serum uric acid level preventing
decline of eGFR in these patients.
PMID- 29372471
TI - Validation of estimated glomerular filtration rate equations for Japanese
children.
AB - BACKGROUND: The gold standard for evaluation of kidney function is renal inulin
clearance (Cin). However, the methodology for Cin is complicated and difficult,
especially for younger children and/or patients with bladder dysfunction.
Therefore, we developed a simple and easier method for obtaining the estimated
glomerular filtration rate (eGFR) using equations and values for several
biomarkers, i.e., serum creatinine (Cr), serum cystatin C (cystC), serum beta-2
microglobulin (beta2MG), and creatinine clearance (Ccr). The purpose of the
present study was to validate these equations with a new data set. METHODS: To
validate each equation, we used data of 140 patients with CKD with clinical need
for Cin, using the measured GFR (mGFR). We compared the results for each eGFR
equation with the mGFR using mean error (ME), root mean square error (RMSE), P30,
and Bland-Altman analysis. RESULTS: The ME of Cr, cystC, beta2MG, and Ccr based
on eGFR was 15.8 +/- 13.0, 17.2 +/- 16.5, 15.4 +/- 14.3, and 10.6 +/- 13.0
ml/min/1.73 m2, respectively. The RMSE was 29.5, 23.8, 20.9, and 16.7,
respectively. The P30 was 79.4, 71.1, 69.5, and 92.9%, respectively. The Bland
Altman bias analysis showed values of 4.0 +/- 18.6, 5.3 +/- 16.8, 12.7 +/- 17.0,
and 2.5 +/- 17.2 ml/min/1.73 m2, respectively, for these parameters. CONCLUSION:
The bias of each eGFR equation was not large. Therefore, each eGFR equation could
be used.
PMID- 29372472
TI - Detection of copy number variations by pair analysis using next-generation
sequencing data in inherited kidney diseases.
AB - BACKGROUND: Comprehensive genetic approaches for diagnosing inherited kidney
diseases using next-generation sequencing (NGS) have recently been established.
However, even with these approaches, we are still failing to detect gene defects
in some patients who appear to suffer from genetic diseases. One of the reasons
for this is the difficulty of detecting copy number variations (CNVs) using our
current approaches. For such cases, we can apply methods of array-based
comparative genomic hybridization (aCGH) or multiplex ligation and probe
amplification (MLPA); however, these are expensive and laborious and also often
fail to identify CNVs. Here, we report seven cases with CNVs in various inherited
kidney diseases screened by NGS pair analysis. METHODS: Targeted sequencing
analysis for causative genes was conducted for cases with suspected inherited
kidney diseases, for some of which a definitive genetic diagnosis had not been
achieved. We conducted pair analysis using NGS data for those cases. When CNVs
were detected by pair analysis, they were confirmed by aCGH and/or MLPA. RESULTS:
In seven cases, CNVs in various causative genes of inherited kidney diseases were
detected by pair analysis. With aCGH and/or MLPA, pathogenic CNV variants were
confirmed: COL4A5 or HNF1B in two cases each, and EYA1, CLCNKB, or PAX2 in one
each. CONCLUSION: We presented seven cases with CNVs in various genes that were
screened by pair analysis. The NGS-based CNV detection method is useful for
comprehensive screening of CNVs, and our results revealed that, for a certain
proportion of cases, CNV analysis is necessary for accurate genetic diagnosis.
PMID- 29372473
TI - The Sendai declaration for the eradication of kidney disease.
PMID- 29372474
TI - Optimal conditions and the advantages of using laser microdissection and liquid
chromatography tandem mass spectrometry for diagnosing renal amyloidosis.
AB - BACKGROUND: Liquid chromatography-tandem mass spectrometry (LC-MS/MS) has
recently been utilized to accurately detect the amyloid proteins of renal
amyloidosis. The present study investigated the optimal procedures for analyzing
samples by LCMS/MS, and the advantage of using this technique to diagnosis renal
amyloidosis. METHODS: To detect amyloid proteins, laser microdissected glomeruli
from AL (n = 13) or AA (n = 10) renal amyloidosis patients were digested and
analyzed by LCMS/MS. To determine the best procedures for analyzing samples by
LCMS/MS, we examined the suitability of tissue samples, frozen or formalin-fixed
paraffin-embedded (FFPE), the number of dissected glomeruli required for analysis
(2, 10, or 50 glomeruli), and the amount of trypsin with or without
dithiothreitol (DTT). We additionally compared the detection of amyloid proteins
between immunostaining and LCMS/MS. RESULTS: Examining 10 dissected glomeruli
from FFPE sections digested with trypsin 3 uL (0.1 mg/mL) without DDT made it
possible to detect amyloid protein in all 10 AA and in 10 out of 12 AL
amyloidosis cases. All AA amyloidosis cases were diagnosed using
immunohistochemistry for amyloid A. With immunostaining, however, there were
several inconclusive immunoglobulin and/or their light chain staining noted in
the AA or AL amyloidosis cases. Even so, LCMS/MS was able to accurately detect
amyloid protein in renal amyloidosis. CONCLUSION: The use of 10 laser
microdissected glomeruli (170,000-220,000 um2) with amyloid deposition from FFPE
sections digested with trypsin 3 uL (0.1 mg/mL) allowed the accurate detection of
amyloid protein in AA and AL amyloidosis.
PMID- 29372475
TI - Acid Secretion and Its Relationship to Esophageal Reflux Symptom in Patients with
Subtotal Gastrectomy.
AB - BACKGROUND: Esophageal reflux symptom has been reported as common in patients
with subtotal gastrectomy. Management of postoperative esophageal reflux symptom
is not satisfactory. The aim of this study is to investigate prevalence of
esophageal reflux symptom after subtotal gastrectomy and assess factors affecting
esophageal reflux symptom in subtotal gastrectomy patients. METHODS: We
prospectively enrolled 100 consecutive patients with subtotal gastrectomy who
were regularly followed up by endoscopic examination. Acid secretory capacity was
assessed by measuring messenger RNA (mRNA) expression of H+/K+-adenosine
triphosphatase (ATPase) via real-time polymerase chain reaction (PCR) in biopsy
specimens. RESULTS: In total, 47 % of patients had typical esophageal reflux
symptom, where heartburn or regurgitation was experienced at least weekly. Age,
sex, body mass index, and type of reconstruction did not differ between
esophageal reflux and non-esophageal-reflux groups. The esophageal reflux group
had longer duration from time of operation until study (median 5.0 versus 3.6
years; P = 0.017). Hill grade for gastroesophageal (GE) flap valve was higher in
the esophageal reflux group than in the non-esophageal-reflux group (P = 0.027).
H+/K+-ATPase mRNA expression was higher in the esophageal reflux group than in
the non-esophageal-reflux group [3967.6 (+/- 7583.7) versus 896.2 (+/- 1456.0); P
= 0.006]. Multivariate analysis revealed that postoperative duration, H+/K+
ATPase mRNA expression level, and GE flap valve disruption were significantly
associated with esophageal reflux symptom development. CONCLUSIONS: Esophageal
reflux symptom is common in patients after subtotal gastrectomy, possibly because
of anti-reflux-barrier impairment and preservation of acid secretory capacity
following surgery. Optimal acid suppression may be helpful in managing
postoperative esophageal reflux symptom.
PMID- 29372476
TI - Identification of Candidate Biomarkers Associated with Response to Vedolizumab in
Inflammatory Bowel Disease.
AB - BACKGROUND/AIMS: Vedolizumab is an anti-alpha4beta7 monoclonal antibody approved
for the treatment of inflammatory bowel disease (IBD). This exploratory study
aimed to identify biomarkers associated with vedolizumab response. METHODS:
Twenty-six IBD patients (15 with Crohn's, 11 with ulcerative or indeterminate
colitis) initiating vedolizumab at a single center between 2014 and 2016
underwent sampling of serum and peripheral blood mononuclear cells (PBMCs) before
and during vedolizumab therapy. Response was defined as steroid-free improvement
in endoscopic score or Harvey-Bradshaw index/simple clinical colitis activity
index (reduction greater than 3 or total less than 3). PBMCs were evaluated for
immunophenotype and expression of alpha4beta7 integrin on lymphocytes before and
during vedolizumab therapy. Serum vedolizumab levels and alpha4beta7 saturation
were measured serially after induction. RESULTS: Fourteen out of 26 (54%)
patients treated with vedolizumab responded to therapy. Pretreatment alpha4beta7
expression was higher in responders on multiple subsets of T, B, and NK cells,
with terminal effector memory (p = .0009 for CD4 and .0043 for CD8) and NK cells
(p = .0047) best discriminating between responders and nonresponders. During
therapy, log10 serum vedolizumab levels at trough were higher in responders than
nonresponders (p = .0007). Conversely, the percentage of effector memory T cells
with free alpha4beta7 at trough was lower in responders than nonresponders (p <
.0001). However, loss of alpha4beta7 saturation with vedolizumab was more
sensitive to low serum vedolizumab in nonresponders. CONCLUSIONS: Pretreatment
alpha4beta7 expression and alpha4beta7 receptor saturation during maintenance
therapy were identified as candidate biomarkers for vedolizumab response.
PMID- 29372477
TI - Loss of Response to Anti-Tumor Necrosis Factor Alpha Therapy in Crohn's Disease
Is Not Associated with Emergence of Novel Inflammatory Pathways.
AB - BACKGROUND: While monoclonal antibodies against tumor necrosis factor-alpha
(TNFalpha) are effective in treating Crohn's disease (CD), approximately one
third of patients lose response. The mechanisms underlying this loss of response
remain elusive. AIM: We sought to determine if novel biological pathways,
including TNFalpha-independent inflammatory pathways, emerge in those with loss
of response to anti-TNFalpha. METHODS: Using RNA microarray technology in 28
patients with CD, we examined the colonic gene expression differences between
those with active inflammation in the setting of loss of response to TNFalpha
antagonist therapy ("loss of responders") compared to anti-TNFalpha naive
patients with active inflammation and those on anti-TNF therapy in disease
remission. Pathway enrichment analyses were performed. RESULTS: We found that
colonic expression of chemokines known to drive inflammation (CXCL20, CXCL9, and
CXCL10) was elevated in those with loss of response compared to those in
remission. Expression of genes critical to modulating oxidative stress burden
(DUOX2, DUOXA2, and NOS2) was also elevated. Additionally, MMP3, MMP1, and MMP12
were elevated in those with continued inflammation. Gene enrichment analysis
revealed that loss of responders exhibited dysregulation in the cysteine and
methionine metabolism pathway, suggesting alteration in oxidative stress burden.
There were no differences in genes or pathways between loss of responders and
those who were TNFalpha-naive. However, loss of response occurred despite the
ability of anti-TNFalpha therapy to normalize APO gene expression. CONCLUSION:
Our analyses suggest that loss of response to anti-TNFalpha is not driven by the
emergence of pathways that bypass the action or induce resistance to anti
TNFalpha therapy.
PMID- 29372478
TI - Arteriosclerosis Is a Major Predictor of Small Bowel Vascular Lesions.
AB - BACKGROUND: Most studies have focused on evaluating the association between the
presence of small bowel vascular lesions (SBVLs) and patients' comorbidities.
AIMS: We sought to uncover a more fundamental indicator that may predict the
presence of SBVLs by considering atherosclerosis qualitatively and
quantitatively. METHODS: We enrolled 79 consecutive patients with obscure
gastrointestinal bleeding who had undergone computed tomography (CT) and capsule
endoscopy or double-balloon endoscopy from January 2015 to June 2017. The SBVL
frequency, type, and location, and the relationship between the presence of SBVLs
and the patients' clinical characteristics were evaluated. Arterial wall
calcification was assessed on unenhanced CT images, and a modified Agatston
scoring system was used to determine the abdominal aorta calcium scores. RESULTS:
Of the 27 (34%) patients with SBVLs, 15 (19%) had type 1a, 12 (15%) had type 1b,
and 2 (3%) had type 2a SBVLs. Most of the lesions were located in the jejunum.
Cardiovascular disease (P = .017), chronic kidney disease (P = .025), and
arteriosclerosis (P = .0036) were associated with the presence of SBVLs.
Subsequent multivariate analysis revealed that arteriosclerosis (odds ratio [OR]
7.29; 95% confidence interval [CI] 1.13-143.9) and superior mesenteric artery
calcification (OR 16.3; 95% CI 3.64-118.6) were independent predictors of the
presence of SBVLs. The modified Agatston score was significantly higher in SBVL
cases than in non-SBVL cases (6384 vs. 2666, P = .0023). CONCLUSIONS:
Arteriosclerosis, especially increased superior mesenteric artery calcification,
is associated with an increased likelihood of SBVLs.
PMID- 29372479
TI - Neuronal Nitric Oxide Synthase Is a Novel Biomarker for the Interstitial Cells of
Cajal in Stress-Induced Diarrhea-Dominant Irritable Bowel Syndrome.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is a functional gastrointestinal
disorder involving changes in normal bowel movements. The pathophysiology of IBS
is not clearly understood owing to the lack of identifiable pathological
abnormalities and reliable biomarkers. AIM: The aim of this study was to discover
the novel and reliable biomarker for IBS. METHOD: In this study, neonatal
maternal separation (NMS) stress model was used for the IBS mouse model. Further
assessment was conducted with whole gastrointestinal transit test, quantitative
RT-PCR, histological examination, and western blot. RESULTS: Male pups developed
symptoms similar to those of human IBS with diarrhea (IBS-D), such as low-grade
inflammation, stool irregularity, and increased bowel motility. NMS stress
influenced to the interstitial cells of Cajal (ICC) and induced altered bowel
motility, resulting in IBS-D-like symptoms. In addition, we found neuronal nitric
oxide synthase (nNOS) to be a novel biomarker for ICC under NMS stress. nNOS
expression was only observed in the ICC of the submucosal plexus of IBS-D mice,
and the inhibition of nNOS changed the phenotype from IBS-D to IBS with
constipation. CONCLUSION: Our study demonstrates that early-life stress can
influence to ICC and modulate bowel activity and that nNOS might be used as a
biomarker for ICC stimulation in IBS.
PMID- 29372480
TI - Adalimumab for Induction of Histological Remission in Moderately to Severely
Active Ulcerative Colitis.
AB - BACKGROUND: Histological remission represents a target distinct from endoscopic
healing in ulcerative colitis (UC) and seems a better predictor of clinical
outcomes. AIMS: The aim of this study was to assess the ability of adalimumab to
achieve histological remission in UC patients. METHODS: Single-center,
retrospective, open-label study of patients treated with adalimumab. Eligible
patients were anti-TNF naive adults with moderately to severely active UC. The
Mayo score including endoscopy was performed at baseline and weeks 8 and 52.
Histological activity was scored using the Geboes Index. The primary endpoint was
histological remission, defined as a Geboes grade <= 3.0, at week 52. RESULTS: We
included 34 patients. At week 8, 6 of 34 patients (17.6%) achieved histological
remission. At week 52, 9 patients (26.5%, intention to treat; 31%, per protocol)
had histological remission. Patients had a significant and progressive reduction
in the most severe subgrades of Geboes Index from baseline at weeks 8 and 52. At
weeks 8 and 52, 50 and 61.8% of patients achieved mucosal healing (Mayo
endoscopic subscore 0-1). All patients who achieved histological remission also
had mucosal healing. At week 8, 85.3 and 20.6% of patients achieved clinical
response (decrease in Mayo score <= 3 points) or remission (Mayo score <= 2),
respectively. At week 52, the corresponding values were 67.6 and 52.9%,
respectively. At week 52, agreement between histological remission and mucosal
healing was fair (kappa 0.293). Agreement between histological remission and Mayo
endoscopic subscore 0 was good (kappa 0.71). CONCLUSIONS: Adalimumab was able to
achieve histological remission in anti-TNF naive patients with moderately to
severely active UC.
PMID- 29372482
TI - Thyroid disorders in alemtuzumab-treated multiple sclerosis patients: a Belgian
consensus on diagnosis and management.
AB - This paper deals with thyroid disease that can occur after treatment with
alemtuzumab (humanized monoclonal anti-CD52) for relapsing-remitting multiple
sclerosis (MS). The 5-year incidence of thyroid adverse events in phase 3
clinical trials is up to 40.7%. In most cases, the thyroid dysfunction is mild
and easily manageable and only few serious thyroid adverse events have been
reported. The need for patient education on the risk of thyroid dysfunction, as
well as regular clinical and biochemical thyroid function screening is well
described. However, practical clinical guidance in case of abnormal thyroid
related findings prior to or after alemtuzumab treatment is currently lacking.
Therefore, a Belgian taskforce consisting of MS and thyroid experts was created
in 2016, with the objective of issuing a clinical thyroid management algorithm
based on available scientific evidence and personal experience with regard to
alemtuzumab treatment-related thyroid adverse events.
PMID- 29372483
TI - Next-Generation Theranostic Agents Based on Polyelectrolyte Microcapsules Encoded
with Semiconductor Nanocrystals: Development and Functional Characterization.
AB - Fabrication of polyelectrolyte microcapsules and their use as carriers of drugs,
fluorescent labels, and metal nanoparticles is a promising approach to designing
theranostic agents. Semiconductor quantum dots (QDs) are characterized by
extremely high brightness and photostability that make them attractive
fluorescent labels for visualization of intracellular penetration and delivery of
such microcapsules. Here, we describe an approach to design, fabricate, and
characterize physico-chemical and functional properties of polyelectrolyte
microcapsules encoded with water-solubilized and stabilized with three-functional
polyethylene glycol derivatives core/shell QDs. Developed microcapsules were
characterized by dynamic light scattering, electrophoretic mobility, scanning
electronic microscopy, and fluorescence and confocal microscopy approaches,
providing exact data on their size distribution, surface charge, morphological,
and optical characteristics. The fluorescence lifetimes of the QD-encoded
microcapsules were also measured, and their dependence on time after preparation
of the microcapsules was evaluated. The optimal content of QDs used for encoding
procedure providing the optimal fluorescence properties of the encoded
microcapsules was determined. Finally, the intracellular microcapsule uptake by
murine macrophages was demonstrated, thus confirming the possibility of efficient
use of developed system for live cell imaging and visualization of microcapsule
transportation and delivery within the living cells.
PMID- 29372481
TI - The Importance of Muscular Strength: Training Considerations.
AB - This review covers underlying physiological characteristics and training
considerations that may affect muscular strength including improving maximal
force expression and time-limited force expression. Strength is underpinned by a
combination of morphological and neural factors including muscle cross-sectional
area and architecture, musculotendinous stiffness, motor unit recruitment, rate
coding, motor unit synchronization, and neuromuscular inhibition. Although single
and multi-targeted block periodization models may produce the greatest strength
power benefits, concepts within each model must be considered within the
limitations of the sport, athletes, and schedules. Bilateral training, eccentric
training and accentuated eccentric loading, and variable resistance training may
produce the greatest comprehensive strength adaptations. Bodyweight exercise,
isolation exercises, plyometric exercise, unilateral exercise, and kettlebell
training may be limited in their potential to improve maximal strength but are
still relevant to strength development by challenging time-limited force
expression and differentially challenging motor demands. Training to failure may
not be necessary to improve maximum muscular strength and is likely not necessary
for maximum gains in strength. Indeed, programming that combines heavy and light
loads may improve strength and underpin other strength-power characteristics.
Multiple sets appear to produce superior training benefits compared to single
sets; however, an athlete's training status and the dose-response relationship
must be considered. While 2- to 5-min interset rest intervals may produce the
greatest strength-power benefits, rest interval length may vary based an
athlete's training age, fiber type, and genetics. Weaker athletes should focus on
developing strength before emphasizing power-type training. Stronger athletes may
begin to emphasize power-type training while maintaining/improving their
strength. Future research should investigate how best to implement accentuated
eccentric loading and variable resistance training and examine how initial
strength affects an athlete's ability to improve their performance following
various training methods.
PMID- 29372486
TI - Usability and acceptance evaluation of ACESO: a Web-based breast cancer
survivorship tool.
AB - PURPOSE: The specific objective of this research is to design and develop a
personalized Web application to support breast cancer survivors after treatment,
as they deal with post-treatment challenges, such as comorbidities and side
effects of treatment. METHODOLOGY: A mixed-methods approach, utilizing a
combination of think-aloud analysis, personal interviews, and surveys, was
adopted for user acceptance and usability testing among a group of breast cancer
survivors. User feedback was gathered on their perceived value of the
application, and any user-interface issues that may hinder the overall usability
were identified. RESULTS: The application's portability and capability of
organizing their entire breast cancer-related medical history as well as tracking
various quality of life indicators were perceived to be valuable features. The
application had an overall high usability; however, certain sections of the
application were not as intuitive to locate. Visual elements of the website were
appreciated; however, overall experience would benefit from incorporating more
sociable elements that exhibit positive re-enforcement within the end user and
provide a friendlier experience. CONCLUSION: The results of the study showcase
the need for more personalized tools and resources to support survivors in self
management. It also demonstrates the ability to integrate breast cancer
survivorship care plans from diverse providers and paves the way to add further
value-added features in consumer health applications, such as personal decision
support. IMPLICATIONS FOR CANCER SURVIVORS: Using a personal decision support
based tool can serve as a training tool and resource, providing these patients
with pertinent information about the various aspects of their long-term health,
while educating them about any related side effects and symptoms. It is hoped
that making such tools more accessible could help in engaging survivors to play
an active role in managing their health and encourage shared decision-making with
their providers.
PMID- 29372484
TI - Comparative impact of systemic delivery of atorvastatin, simvastatin, and
lovastatin on bone mineral density of the ovariectomized rats.
AB - PURPOSE: In addition to lipid-lowering properties, statins have been suggested to
affect bone turnover by increasing the osteoblastic bone formation and blocking
the osteoclastogenesis. However, there are many controversial reports regarding
the beneficial effect of statins on osteoporosis. In this study, we investigated
the therapeutic effects of the most important lipophilic statins administered
orally for 60 days to the ovariectomized (OVX) female Sprague-Dawley rats and
compared the effects on different harvested trabecular and compact bones.
METHODS: Thirty female rats were divided into five equal groups including the
normal rats, untreated OVX rats (negative control), and the OVX rats treated with
atorvastatin (20 mg/kg/day), simvastatin (25 mg/kg/day), and lovastatin (20
mg/kg/day). The osteoporotic animals were treated daily for 60 days and
euthanized at the end of experiments. The effectiveness of these treatments was
evaluated by biomechanical testing, histopathologic, histomorphometric, micro-CT
scan, real-time PCR, and serum biochemical analysis. Moreover, the hepatotoxicity
and rhabdomyolysis related with these treatments were assessed by biochemistry
analysis and histopathological evaluation. RESULTS: The results and statistical
analysis showed that systemic delivery of simvastatin and lovastatin
significantly increased serum calcium level, expression of osteogenic genes, bone
mineral density (BMD), and biomechanical properties in comparison to the
untreated OVX rats, especially in trabecular bones (P < 0.05). The results of
different analysis also indicated that there was no statistical difference
between the atorvastatin-treated animals and the negative control. Among all
treatments, only atorvastatin showed an evident hepatotoxicity and myopathy.
CONCLUSIONS: It was concluded that the lovastatin and simvastatin efficiently
ameliorated the OVX-induced osteoporosis. Moreover, the simvastatin-treated
animals showed more resemblance to the normal group in terms of BMD, expression
of osteogenic genes, serum biochemical parameters, histomorphometric findings,
and biomechanical performance with no significant side-effects.
PMID- 29372487
TI - Innovation and Integrity: Desiderata and Future Directions for Prevention and
Intervention Science.
AB - This article summarizes essential implications of the papers within this special
issue and discusses directions for future prevention and intervention research on
conceptual issues, methodological and transfer-related challenges and
opportunities. We identify a need to move from programs to principles in
intervention research and encourage the implementation of research on potential
mechanisms underlying intervention effectiveness. In addition, current
methodological issues in intervention research are highlighted, including
advancements in methodology and statistical procedures, extended outcome
assessments, replication studies, and a thorough examination of potential biases.
We further discuss transfer-related issues, for example the need for more
research on the flexibility and adaptability of programs and intervention
approaches as well as more general problems in knowledge translation reasoning
the need for enhanced communication between practitioners, policy makers, and
researchers. Finally, we briefly touch on the need to discuss the relation
between single intervention programs, the mental health system, and changes of
contextual conditions at the macro level.
PMID- 29372488
TI - JGIM Embraces Your Work on Substance Use.
PMID- 29372485
TI - Consumer credit as a novel marker for economic burden and health after cancer in
a diverse population of breast cancer survivors in the USA.
AB - BACKGROUND: Consumer credit may reflect financial hardship that patients face due
to cancer treatment, which in turn may impact ability to manage health after
cancer; however, credit's relationship to economic burden and health after cancer
has not been evaluated. METHODS: From May to September 2015, 123 women with a
history of breast cancer residing in Pennsylvania or New Jersey completed a cross
sectional survey of demographics, socioeconomic position, comorbidities, SF-12
self-rated health, economic burden since cancer diagnosis, psychosocial stress,
and self-reported (poor to excellent) credit quality. Ordinal logistic regression
evaluated credit's contribution to economic burden and self-rated health.
RESULTS: Mean respondent age was 64 years. Mean year from diagnosis was 11.5.
Forty percent of respondents were Black or Other and 60% were White. Twenty-four
percent self-reported poor credit, and 76% reported good to excellent credit
quality. In adjusted models, changing income, using savings, borrowing money, and
being unable to purchase a health need since cancer were associated with poorer
credit. Better credit was associated with 7.72 ([1.22, 14.20], p = 0.02) higher
physical health t-score, and a - 2.00 ([- 3.92, - 0.09], p = 0.04) point change
in psychosocial stress. CONCLUSIONS: This exploratory analysis establishes the
premise for consumer credit as a marker of economic burden and health for breast
cancer survivors. Future work should validate these findings in larger samples
and for other health conditions. IMPLICATIONS FOR CANCER SURVIVORS: Stabilizing
and monitoring consumer credit may be a potential intervention point for
mitigating economic burden after breast cancer.
PMID- 29372489
TI - Reliability of the sliding scale for collecting affective responses to words.
AB - Warriner, Shore, Schmidt, Imbault, and Kuperman, Canadian Journal of Experimental
Psychology, 71; 71-88 (2017) have recently proposed a slider task in which
participants move a manikin on a computer screen toward or further away from a
word, and the distance (in pixels) is a measure of the word's valence. Warriner,
Shore, Schmidt, Imbault, and Kuperman, Canadian Journal of Experimental
Psychology, 71; 71-88 (2017) showed this task to be more valid than the widely
used rating task, but they did not examine the reliability of the new
methodology. In this study we investigated multiple aspects of this task's
reliability. In Experiment 1 (Exps. 1.1-1.6), we showed that the sliding scale
has high split-half reliability (r = .868 to .931). In Experiment 2, we also
showed that the slider task elicits consistent repeated responses both within a
single session (Exp. 2: r = .804) and across two sessions separated by one week
(Exp. 3: r = .754). Overall, the slider task, in addition to having high
validity, is highly reliable.
PMID- 29372490
TI - A database of orthography-semantics consistency (OSC) estimates for 15,017
English words.
AB - Orthography-semantics consistency (OSC) is a measure that quantifies the degree
of semantic relatedness between a word and its orthographic relatives. OSC is
computed as the frequency-weighted average semantic similarity between the
meaning of a given word and the meanings of all the words containing that very
same orthographic string, as captured by distributional semantic models. We
present a resource including optimized estimates of OSC for 15,017 English words.
In a series of analyses, we provide a progressive optimization of the OSC
variable. We show that computing OSC from word-embeddings models (in place of
traditional count models), limiting preprocessing of the corpus used for inducing
semantic vectors (in particular, avoiding part-of-speech tagging and
lemmatization), and relying on a wider pool of orthographic relatives provide
better performance for the measure in a lexical-processing task. We further show
that OSC is an important and significant predictor of reaction times in visual
word recognition and word naming, one that correlates only weakly with other
psycholinguistic variables (e.g., family size, word frequency), indicating that
it captures a novel source of variance in lexical access. Finally, some
theoretical and methodological implications are discussed of adopting OSC as one
of the predictors of reaction times in studies of visual word recognition.
PMID- 29372491
TI - Problems Inherent to Augmentation of Natural Enemies in Open Agriculture.
AB - Augmentation biological control has successfully replaced a lot of insecticide
use in 'closed system' agriculture (e.g., greenhouses). The profitable
commercialization of biocontrol agents in greenhouses has created an incentive to
expand markets for mass-reared beneficial insects into open agricultural systems,
often without sufficient scientific justification. However, the semi-contained
nature of greenhouse culture is often critical to the success of augmentation and
can serve to mask potential pitfalls and intrinsic limitations of this approach
in open systems. Factors contributing to greenhouse successes include the reduced
biological diversity of contained agroecosystems, the prevention of agent
dispersal, the ability to maintain environmental conditions within a range
favorable for the agent, the exclusion of competitors and natural enemies of the
agent that might otherwise diminish its efficacy, and the absence of alternative
prey/hosts that could divert predation/parasitism from the target pest. There are
also problems arising from collection of source material from locally adapted
populations, and the inadvertent imposition of artificial selection in the course
of laboratory rearing. Besides highlighting these pitfalls, this paper aims to
encourage more consideration of conservation approaches prior to investment in
augmentation programs which entice farmers into perpetual cycles of 'rear and
release.' I argue that although augmentation can benefit agriculture whenever it
replaces pesticide applications, it does not constitute an ecologically
sustainable solution because it requires continued inputs, and it can distract
research attention away from more sustainable objectives. Sustainable biological
control is best achieved through modifications to cultural practices that
increasingly 'naturalize' agroecosystems, thus facilitating the natural
recruitment and persistence of beneficial arthropod fauna, combined with habitat
management geared to increasing overall plant and arthropod diversity in the
agroecosystem.
PMID- 29372492
TI - Doctor-pharmacist communication in hospitals: strategies, perceptions,
limitations and opportunities.
AB - : Background Effective communication between health professionals contributes to
safe and efficient patient care, whereas communication breakdown can lead to
adverse patient outcomes and increased healthcare expenditure. Information on how
pharmacists and doctors communicate with each other in hospitals is limited.
Objective To explore usage and perceptions of communication methods by doctors
and pharmacists in hospital settings. Setting Four public hospitals in Australia.
Method A mixed method study utilising a pilot questionnaire, semi-structured
interviews, and electronic survey was designed. Frequentist statistics and
logistic regression were used to analyse survey data. Thematic analysis was
conducted to evaluate semi-structured interview data and free-text survey
comments. MAIN OUTCOME MEASURES: Frequency of use of communication methods,
perceptions of the convenience, time taken to use, accuracy and effectiveness of
each method. Results More than 95% of doctors and pharmacists combined used face
to-face and phone calls to communicate with each other, 70% used a medication
management plan, and 62% used progress notes. A preference for oral communication
was confirmed with the expressed need for building professional rapport and
receiving responses. Perceptions regarding effectiveness of oral communication
methods were related to perceptions of their convenience and accuracy.
Professional groups described differences in perceived ownership of various modes
of communication. Conclusions Preferences for oral communication create potential
issues with recall and comprehension. Integrating oral communication features
into written communication methods, e.g. creating responses, conversations,
building rapport, may change doctors' and pharmacists' perceptions of
effectiveness. Communication receipt and response functionality in electronic
medication and record management systems may improve communication.
PMID- 29372493
TI - The predictive potential of hyponatremia for glioblastoma patient survival.
AB - Glioblastoma is a devastating malignancy with a dismal survival rate. Currently,
there are limited prognostic markers of glioblastoma including IDH1, ATRX, MGMT,
PTEN, EGFRvIII, and others. Although these biomarkers for tumor prognosis are
available, a surgical biopsy must be performed for these analyses, which has
morbidity involved. A non-invasive and readily available biomarker is sought
after which provides clinicians prognostic information. Sodium is an electrolyte
that is easily and quickly obtained through analysis of a patient's serum.
Hyponatremia has been shown to have a predictive and negative prognostic
indication in multiple cancer types, but the role of glioblastoma patients' serum
sodium at the time of diagnosis in predicting glioblastoma patient survival has
not been determined. We assessed whether hyponatremia at the time of glioblastoma
diagnosis correlates to patient survival and show that in our cohort of 200
glioblastoma patients, sodium, at any level, did not significantly correlate to
glioblastoma survival, unlike what is seen in multiple other cancer types. We
further demonstrate that inducing hyponatremia in an orthotopic murine model of
glioblastoma has no effects on tumor progression and survival.
PMID- 29372494
TI - Genetic structure and polymorphism analysis of Xinjiang Hui ethnic minority based
on 21 STRs.
AB - In the present study, we calculated the allelic frequencies and forensic
descriptive parameters of Hui ethnic minority on the basis of 21 short tandem
repeat (STR) loci aiming at understanding population structure better and
enriching population genetic database. Bloodstain samples of 506 unrelated
healthy Hui individuals in Xinjiang Uygur Autonomous Region were collected.
Altogether 268 alleles were observed and the allelic frequencies ranged from
0.0010 to 0.5306. The combined power of discrimination and the cumulative
probability of exclusion of the 21 STR loci in Hui ethnic minority were
0.9999999999999999999999998697 and 0.9999999968, respectively. Population data
obtained manifested that the panel of 21 STR loci could provide robust genetic
information for individual identification and paternity testing involved in
forensic applications for Huis of Xinjiang Region. Furthermore, the present
results of interpopulation differentiations, phylogenetic trees and principal
component analysis which were conducted based on the overlapping 16 STR loci
revealed that Hui group was genetically close to Xibe ethnic group and Han
populations from different regions.
PMID- 29372495
TI - Estimation of Cross-Immunity Between Drifted Strains of Influenza A/H3N2.
AB - To determine the cross-immunity between influenza strains, we design a novel
statistical method, which uses a theoretical model and clinical data on attack
rates and vaccine efficacy among school children for two seasons after the 1968
A/H3N2 influenza pandemic. This model incorporates the distribution of
susceptibility and the dependence of cross-immunity on the antigenic distance of
drifted strains. We find that the cross-immunity between an influenza strain and
the mutant that causes the next epidemic is 88%. Our method also gives estimates
of the vaccine protection against the vaccinating strain, and the basic
reproduction number of the 1968 pandemic influenza.
PMID- 29372496
TI - Discrete and Continuum Approximations for Collective Cell Migration in a Scratch
Assay with Cell Size Dynamics.
AB - Scratch assays are routinely used to study the collective spreading of cell
populations. In general, the rate at which a population of cells spreads is
driven by the combined effects of cell migration and proliferation. To examine
the effects of cell migration separately from the effects of cell proliferation,
scratch assays are often performed after treating the cells with a drug that
inhibits proliferation. Mitomycin-C is a drug that is commonly used to suppress
cell proliferation in this context. However, in addition to suppressing cell
proliferation, mitomycin-C also causes cells to change size during the
experiment, as each cell in the population approximately doubles in size as a
result of treatment. Therefore, to describe a scratch assay that incorporates the
effects of cell-to-cell crowding, cell-to-cell adhesion, and dynamic changes in
cell size, we present a new stochastic model that incorporates these mechanisms.
Our agent-based stochastic model takes the form of a system of Langevin equations
that is the system of stochastic differential equations governing the evolution
of the population of agents. We incorporate a time-dependent interaction force
that is used to mimic the dynamic increase in size of the agents. To provide a
mathematical description of the average behaviour of the stochastic model we
present continuum limit descriptions using both a standard mean-field
approximation and a more sophisticated moment dynamics approximation that
accounts for the density of agents and density of pairs of agents in the
stochastic model. Comparing the accuracy of the two continuum descriptions for a
typical scratch assay geometry shows that the incorporation of agent growth in
the system is associated with a decrease in accuracy of the standard mean-field
description. In contrast, the moment dynamics description provides a more
accurate prediction of the evolution of the scratch assay when the increase in
size of individual agents is included in the model.
PMID- 29372497
TI - Expectations for Return to Work After Workplace Injuries: The Relationship
Between Estimated Time to Return to Work and Estimate Accuracy.
AB - Purpose Worker's expectations for return to working have been found to relate to
return-to-work (RTW) outcomes; however, it is unclear if this varies depending
upon the expected time to RTW. To advance the understanding of the relationship
between expectations and RTW, we set out to answer the following research
questions: Are shorter estimated times to RTW more accurate than estimates that
are longer of duration? In addition, we sought to determine if there was a point
in time that coincides with RTW estimates no longer being reliably related to
time to RTW. Methods We utilized workers' compensation data from a large, United
States-based insurance company. Injured workers' (N = 15,221) expectations for
returning to work were compared with the termination of their total temporary
indemnity payments. A linear regression model was used to determine if shorter
lengths of expected time to RTW were more accurate. Quantile regression modelling
was used to determine if there was point at which the expected time to RTW no
longer reliably relates to the actual time to RTW. Results Findings indicated a
positive relationship such that as the number of expected days to RTW increased,
the number of days of difference (estimate error) between the actual time to RTW
and the expected time to RTW also increased (beta = 0.34, P < .001). The results
of the quantile regression modelling indicated that for all quantiles estimated,
with the exception of the quantile for estimates of 360 days, the relationship
between the actual time to RTW and the expected time to RTW were statistically
significant (P < .05). However, for RTW estimates of more than 14 days the
relationship began decreasing in strength. Conclusion Results indicate that
injured workers' expectations for RTW can be used for RTW forecasting purposes.
However, it is the case that RTW events in the near future can be forecasted with
higher accuracy than those that are more distant, and that in general, injured
workers will underestimate how long it will take them to RTW.
PMID- 29372498
TI - Pneumonic plague epidemic in Northeast China in 1910-1911: Dr. Wu Lien-Teh's
epidemic preventive system for plague control.
AB - Pneumonic plague that originated in Russian Siberia broke out in Northeast China
in October 1910-March 1911. On the basis of field visits, autopsy,
bacteriological identification, and close collaboration with local authorities
and international colleagues, Dr. Wu Lien-Teh implemented a series of efficient
antiplague measures, which successfully controlled the development of an
extraordinary epidemic plague. In his subsequent work, Dr. Wu demonstrated the
respiratory transmission of pneumonic plague and tarbagans' role in this spread.
Dr.Wu's academic and cultural contributions are valuable in the medical progress
in China.
PMID- 29372500
TI - Examining the Impact of This Is My Brave on Mental Illness Stigma and Willingness
to Seek Help: A Pilot Study.
AB - This Is My Brave (TIMB) is a contact-based mental illness stigma reduction
program, set in theaters, meant to reduce stigma, increase beliefs about
empowerment and recovery, and improve attitudes towards treatment seeking for
mental health concerns. The authors conducted the first empirical evaluation of
TIMB using a pre-post survey design. Approximately 481 audience members of TIMB
performances were invited to complete a survey of stigmatizing attitudes towards
mental illness, beliefs about recovery and empowerment, and willingness to seek
treatment at pre-and post-performance. Analyses of responses from 372
participants using paired samples t-tests revealed changes in the desired
direction on all variables from pre-test to post-test. Audience members
experienced a decrease in stigma, improvements in beliefs about recovery and
empowerment, and greater willingness to seek treatment. TIMB is a promising
stigma-reduction program and there is a need for a more detailed investigation of
the program's impact using more rigorous methodology.
PMID- 29372499
TI - Prevalence and molecular characteristics of Listeria monocytogenes in cooked
products and its comparison with isolates from listeriosis cases.
AB - This study aimed to investigate the prevalence and molecular characteristics of
Listeria monocytogenes in cooked products in Zigong City, China. The overall
occurrence of the L. monocytogenes in the ready-to-eat (RTE) shops and mutton
restaurants surveyed was 16.2% (141/873). An occurrence of 13.5% was observed in
RTE pork, 6.5% in RTE vegetables, and more than 24.0% in either cooked mutton or
cooked haggis. Serotype 1/2b (45.4%), 1/2a (33.3%), and 1/2c (14.2%) were the
predominant types. By comparing the clonal complexes (CCs) based on multilocus
sequence typing (MLST) of the L. monocytogenes from cooked foods in Zigong City
and 33 listeriosis cases from different districts of China, CC87, CC9, CC8, and
CC3 were showed to be prevalent in cooked products and CC87 and CC3 were the
first two frequent types in the 33 clinic-source strains. All CC87 stains
harbored the newly reported Listeria pathogenicity island 4 (LIPI-4) gene
fragment ptsA, and all CC3 strains possessed the Listeria pathogenicity island 3
(LIPI-3) gene fragment llsX. These may increase the occurrence of the strains
belonging to CC87 and CC3 in listeriosis cases in China and also underline the
risk of infection owing to the consumption of the cooked products from Zigong.
ST619 (serotype 1/2b) harbored both llsX and ptsA, indicating a potential
hypervirulent sequence type in Zigong.
PMID- 29372501
TI - Validation of the Community Integration Scale for Adults with Psychiatric
Disorders (CIS-APP-34).
AB - The current focus on community integration of individuals with psychiatric
problems is attracting an increasing interest in the psychological literature, as
it is regarded as a favorable factor in the recovery and for the well-being of
these individuals. The Community Integration Scale of Adults with Psychiatric
Disorders (CIS-APP-34) is a self-report scale developed to assess community
integration in several dimensions. The main goal of the current study is to
explore the psychometric properties of CIS-APP-34 in a sample of 411 participants
with and without a psychiatric illness, with ages between 19 and 91 years old,
living in the Azores Islands, Portugal. A confirmatory factor analysis was
carried out to confirm the latent structure of the scale, and a five-factor model
has presented good fit indices. Further analysis showed that the CIS-APP-34 is a
measure with good reliability, validity and discriminant ability.
PMID- 29372502
TI - Invasive alien plant species dynamics in the Himalayan region under climate
change.
AB - Climate change will impact the dynamics of invasive alien plant species (IAPS).
However, the ability of IAPS under changing climate to invade mountain
ecosystems, particularly the Himalayan region, is less known. This study
investigates the current and future habitat of five IAPS of the Himalayan region
using MaxEnt and two representative concentration pathways (RCPs). Two invasive
species, Ageratum conyzoides and Parthenium hysterophorus, will lose overall
suitable area by 2070, while Ageratina adenophora, Chromolaena odorata and
Lantana camara will gain suitable areas and all of them will retain most of the
current habitat as stable. The southern Himalayan foothills will mostly conserve
species ecological niches, while suitability of all the five species will
decrease with increasing elevation. Such invasion dynamics in the Himalayan
region could have impacts on numerous ecosystems and their biota, ecosystem
services and human well-being. Trans-boundary response strategies suitable to the
local context of the region could buffer some of the likely invasion impacts.
PMID- 29372503
TI - Perception of One-Minute Preceptor (OMP) Model as a Teaching Framework among
Pediatric Postgraduate Residents: A Feedback Survey.
PMID- 29372504
TI - Predictors of Pre-operative Anxiety in Indian Children.
AB - OBJECTIVE: To identify the predictors of pre-operative anxiety in school-going
children in India. METHODS: A prospective observational study was done at a
tertiary care teaching hospital. Children aged 7-12 y scheduled for elective
surgery and their parents were enrolled. Pre-operative anxiety in children and
parents was assessed using STAI-C and STAI-P questionnaires respectively. The
child's anxiety in pre-op room, at the time of parental separation and induction
of anesthesia were assessed using m-YPAS scale. Possible predictors of anxiety
under 'individual', 'social' and 'healthcare' domains were analyzed using
logistic regression. The quality of mask induction of anesthesia was assessed
using Induction Compliance Checklist. RESULTS: Sixty children completed the study
successfully. Receiver operating characteristic (ROC) curve indicted mYPAS score
>= 30 (observed score) to identify children with high anxiety as identified by
STAI-C >=37 (self-reported score). The incidence of high anxiety escalated from
pre-operative time (48%) to the time of parental separation (72%) and increased
further at anesthetic induction (95%). Parental anxiety (p = 0.03) and
socioeconomic background (p = 0.03) were significant predictors of the child's
pre-operative anxiety. The presence of >4 people at induction (p = 0.002), but
not pre-operative anxiety, was found significantly more in children with poor
quality of mask induction. CONCLUSIONS: The incidence of peri-operative anxiety
in Indian children is significant. Parental anxiety and socioeconomic background
were found significant predictors of high pre-operative anxiety in our set-up.
PMID- 29372505
TI - Intravenous Cocaine Results in an Acute Decrease in Levels of Biomarkers of
Vascular Inflammation in Humans.
AB - Cocaine use causes significant cardiovascular morbidity from its hemodynamic
effects. It is less clear whether cocaine promotes atherosclerosis. Vascular
inflammation is one of the earliest steps in the pathophysiology of
atherosclerosis. We hypothesized that cocaine results in an increase in
inflammatory markers. Study objective was to measure the acute effects of
intravenous cocaine on biomarkers of vascular inflammation. Eleven chronic
cocaine users were enrolled. After a drug-free period, they received intravenous
cocaine at 0.36 mg/kg dose in an in-hospital controlled environment. Serum levels
of soluble CD40 ligand, monocyte chemoattractant protein-1, interleukin 6, and
soluble intercellular adhesion molecule-1 were measured at baseline, 6 h, 24 h,
and 6 days after cocaine challenge and at baseline for controls. After cocaine
challenge, sCD40 ligand levels decreased in subjects and were significantly lower
at 24 h. MCP-1 levels decreased and were significantly lower at the 6-day time
point. No significant changes in IL-6 or sICAM-1 level were found. In conclusion,
intravenous cocaine did not result in an increase in levels of inflammatory
markers. Levels of MCP-1 and sCD40L decreased significantly. This unexpected
finding suggests that chronic effects of cocaine on inflammation may be different
from acute effects or that higher dosing may have differential effects as
compared to lower dose used here.
PMID- 29372506
TI - Tissue-Preferential Activity and Induction of the Pepper Capsaicin Synthase PUN1
Promoter by Wounding, Heat and Metabolic Pathway Precursor in Tobacco and Tomato
Plants.
AB - A promoter is an essential structural component of a gene that controls its
transcription activity in different development stages and in response to various
environmental stimuli. Knowledge of promoter functionality in heterologous
systems is important in the study of gene regulation and biotechnological
application. In order to explore the activity of the pepper capsaicin synthase
gene (PUN1) promoter, gene constructs of pPUN1::GUS (for beta-glucuronidase) and
pPUN1::NtKED (for a tobacco wound-responsive protein) were introduced into
tobacco and tomato, respectively, and their activities were examined. Higher
levels of GUS staining intensity and transcription were detected in ovary, anther
and pollen than other tissues or organs in tobacco plants. Likewise, transgenic
tomato fruits had a higher level of pPUN1::NtKED gene expression than the leaf
and flower. The PUN1-driven gene expression can be transiently induced by
wounding, heat (40 degrees C) and the capsaicinoid biosynthetic pathway
precursor phenylalanine. When compared to the reported pPUN1::GUS-expressing
Arabidopsis, the PUN1 promoter exhibited a more similar pattern of activities
among pepper, tobacco and tomato, all Solanaceae plants. Our results suggest the
potential utility of this tissue-preferential and inducible promoter in other non
pungent Solanaceae plants for research of gene function and regulation as well as
in the biotechnological applications.
PMID- 29372507
TI - Repeated liver stiffness measurement compared with paired liver biopsy in
patients with non-alcoholic fatty liver disease.
AB - INTRODUCTION: The value of repeated liver stiffness measurement (LSM) in non
alcoholic fatty liver disease (NAFLD) has not been shown before. METHODS: A
longitudinal study of biopsy-proven NAFLD patients was conducted at the Asian
tertiary hospital from November 2012 to January 2017. Patients with paired liver
biopsies and LSM were followed prospectively for liver-related and non-liver
related complications, and survival. RESULTS: The data for 113 biopsy-proven
NAFLD patients (mean age 51.3 +/- 10.6 years, male 50%) were analyzed. At
baseline, advanced fibrosis based on histology and LSM was observed in 22 and
46%, respectively. Paired liver biopsy and LSM at 1-year interval was available
in 71 and 80% of patients, respectively. High-risk cases (defined as patients
with advanced fibrosis at baseline who had no fibrosis improvement, and patients
who developed advanced fibrosis on repeat assessment) were seen in 23 and 53% of
patients, based on paired liver biopsy and LSM, respectively. Type 2 diabetes
mellitus was independently associated with high-risk cases. The median follow-up
was 37 months with a total follow-up of 328 person-years. High-risk cases based
on paired liver biopsy had significantly higher rates of liver-related
complications (p = 0.002) but no difference in other outcomes. High-risk patients
based on paired LSM had a significantly higher rate of liver-related
complications (p = 0.046), cardiovascular events (p = 0.025) and composite
outcomes (p = 0.006). CONCLUSION: Repeat LSM can predict liver-related
complications, similar to paired liver biopsy, and may be useful in identifying
patients who may be at an increased risk of cardiovascular events. Further
studies in a larger cohort and with a longer follow-up should be carried out to
confirm these observations.
PMID- 29372508
TI - Early candidate biomarkers found from urine of glioblastoma multiforme rat before
changes in MRI.
PMID- 29372509
TI - EARLY FLOWERING IN SHORT DAYS (EFS) regulates the seed size in Arabidopsis.
AB - Post-transcriptional modifications, including histone modifications and DNA
methylation, alter the chromatin landscape to regulate gene expression, thus
control various cellular processes in plants. EARLY FLOWERING IN SHORT DAYS (EFS)
is the major contributor for H3K36 methylation in Arabidopsis and is important
for plant development. Here, we find that EFS is expressed in different stages of
embryo morphogenesis, and the efs mutant produces larger embryo that results in
enlarged seeds. Further analysis reveals that an imprinted gene MOP9.5 is
hypomethylated at the promoter region and its expression is derepressed in efs
mutant. MOP9.5 promoter is marked by various epigenetic modifications, and we
find that following the increase of H3K36me3, H3K27me3 and H3K9me2 levels are
reduced in efs mutant. This data indicates an antagonistic regulation between
H3K36me3 and DNA methylation, and/or H3K27me3 at MOP9.5. Our results further show
that both maternal and paternal EFS alleles are responsible for the seed size
regulation, which unraveled a novel function of EFS in plant development.
PMID- 29372510
TI - Responses of biotic interactions of dominant and subordinate species to decadal
warming and simulated rotational grazing in Tibetan alpine meadow.
AB - Warming increases competition among plant species in alpine communities by
ameliorating harsh environmental conditions, such as low temperatures. Grazing,
as the main human activity, may mitigate the effect of warming, as previously
reported. However, it is critical to refine the effects of warming on biotic
interactions among species, for example, by taking the competitive ability of
species into consideration. Based on a 10-year warming and grazing experiment in
a Tibetan alpine meadow, we evaluated interspecific biotic interactions of
dominant and subordinate species, using the approach of interspecific spatial
associations. Warming significantly increased competition between subordinate and
dominant species as well as among subordinate species, but not among dominant
species. Moreover, facilitation of dominant-subordinate species also increased
under warming. Simulated rotational grazing had similar effects to warming, with
increasing interspecific competition. Our results show that, when studying the
effects of warming on biotic interactions among species, it is necessary to
characterize different species pairs relative to their competitive ability, and
that simulated rotational grazing does not mitigate the effects of warming in the
long term. Our results also provide evidence that the spatial pattern of species
is a critical mechanism in species coexistence.
PMID- 29372511
TI - Plant non-coding RNAs and epigenetics.
PMID- 29372513
TI - Representational coexistence in the God concept: Core knowledge intuitions of God
as a person are not revised by Christian theology despite lifelong experience.
AB - Previous research has shown that in the minds of young adult religious adherents,
acquired theology about the extraordinary characteristics of God (e.g.,
omniscience) coexists with, rather than replaces, an initial concept of God
formed by co-option of the person concept. We tested the hypothesis that
representational coexistence holds even after extensive experience with Christian
theology, as indexed by age. Christian religious adherents ranging in age from 18
to 87 years were asked to evaluate as true or false statements on which core
knowledge intuitions about persons and Christian theology about God were
consistent (both true or both false) or inconsistent (true on one and false on
the other). Results showed, across adulthood, more theological errors in
evaluating inconsistent versus consistent statements. Older adults also exhibited
slower response times to inconsistent versus consistent statements. These
findings show that despite extensive experience, indeed a lifetime of experience
for some participants, the Christian theological God concept does not separate
from the initial person concept from which it is formed. In fact, behavioral
signatures of representational coexistence were not attenuated by experience. We
discuss the broader implications of these findings to the acquisition of
evolutionarily new concepts.
PMID- 29372512
TI - Some further clarifications on age-related differences in Stroop interference.
AB - Both the locus and processes underlying the age-related differences in Stroop
interference are usually inferred from changes in magnitudes of standard (i.e.,
overall) Stroop interference. Therefore, this study addressed these still-open
issues directly. To this end, a sample of younger (18-26 years old) and healthy
older (72-97 years old) was administered the semantic Stroop paradigm (that
assesses the relative contribution of semantic compared to response conflict both
of which contribute to overall Stroop interference) combined with a single-letter
coloring and cuing (SLCC) procedure. Independently of an increased attentional
focus on the relevant color dimension of Stroop words induced by SLCC (as
compared to all letters colored and cued, ALCC), greater magnitudes of standard
Stroop interference were observed in older (as compared to younger) adults. These
differences were due to greater magnitudes of response conflict whereas
magnitudes of semantic conflict remained significant and unchanged by healthy
aging and SLCC. Thus, this direct evidence places the locus of age-related
differences in Stroop interference at the level of response conflict (as opposed
to semantic and/or both conflicts). In terms of processes underlying these
differences, the reported evidence shows that both age-groups are equally
(in)efficient in (a) focusing on the relevant color dimension and (b) suppressing
the meaning of the irrelevant word-dimension of Stroop words. Healthy older
adults are simply less efficient in suppressing the (pre-)response activity
primed by the fully processed meaning of the irrelevant word-dimension. Standard
interpretations of age-related differences in Stroop interference and a more
general issue of how attentional selectivity actually operates in the Stroop task
are therefore reconsidered in this paper.
PMID- 29372514
TI - Gene cloning system for sulfonamide-mineralizing Microbacterium sp. strain BR1.
AB - The wide application of sulfonamide (SA) antibiotics in human and veterinary
medicine contributes to the accumulation of these antibiotics in the environment
and the corresponding onset of antibiotic resistance among bacteria.
Microbacterium sp. BR1 is capable of mineralizing sulfamethoxazole and other SAs
via a novel mechanism. The genetic basis of SA elimination by BR1 remains
unknown. Development of an efficient plasmid transfer protocol for Microbacterium
sp. BR1 is highly desirable, as it would open the door to genetic analysis and
manipulation of its genome. Here we report that intergeneric Escherichia coli
Microbacterium spp. BR1 conjugation is an efficient way to introduce various
plasmids into BR1. The generated transconjugants were stable in the presence of
antibiotics and the plasmids showed no signs of rearrangements. Nevertheless, the
plasmids were rapidly lost in the absence of selection. We also show that the
cumate-inducible beta-glucuronidase reporter gene functions in BR1 and is
strictly regulated. Our results set the working ground for further genetic
manipulations of BR1, such as the overexpression of sulfonamide degradation genes
or the selection of strong microbacterial promoters.
PMID- 29372516
TI - A rare case of paradoxical embolism from tricuspid valve infective endocarditis
and patent foramen ovale.
PMID- 29372515
TI - Generation of transgenic chickens by the non-viral, cell-based method:
effectiveness of some elements of this strategy.
AB - Transgenic chickens have, in general, been produced by two different procedures.
The first procedure is based on viral transfection systems. The second procedure,
the non-viral method, is based on genetically modified embryonic cells
transferred directly into the recipient embryo. In this review, we analyzed the
effectiveness of important elements of the non-viral, cell-based strategy of
transgenic chicken production. The main elements of this strategy are: isolation
and cultivation of donor embryonic cells; transgene construction; cell
transfection in vitro; and chimera production: injection of cells into recipient
embryos, raising and identification of germline chimeras, mating germline
chimeras, transgene inheritance, and transgene expression. In this overview,
recent progress and important limitations in the development of transgenic
chickens are presented.
PMID- 29372517
TI - MiR-760 suppresses non-small cell lung cancer proliferation and metastasis by
targeting ROS1.
AB - MicroRNAs (miRNAs) have been shown to be critical regulators in many types of
tumors. The aim of our study was to investigate the role of miR-760 in non-small
cell lung cancer (NSCLC). We demonstrated that the expression of miR-760 was
downregulated in NSCLC tissues compared with the adjacent normal tissues. We also
demonstrated that the expression of miR-760 was downregulated in the NSCLC cell
lines. Overexpression of miR-760 suppressed the NSCLC cell proliferation, cell
cycle, and migration. Moreover, we identified that ROS1 was a direct target of
miR-760 in the NSCLC cell. Elevated expression of miR-760 suppressed ROS1
expression in the NSCLC cell. We also demonstrated that the expression of ROS1
was higher in the NSCLC tissues than in the adjacent lung tissues. MiR-760
expression level was reversely associated with the expression level of ROS1 in
the NSCLC tissues. In summary, we showed that miR-760 suppressed the NSCLC cell
proliferation, cell cycle, and migration through regulating the ROS1 expression.
These data suggested that miR-760 may act as a tumor suppressor gene in the NSCLC
partly through regulating ROS1 expression.
PMID- 29372519
TI - Proposal for MSW contaminant classification applied to a tropical aquifer.
AB - Groundwater is a natural resource exploited worldwide causing danger due to the
lixiviation of waste deposited, which presents high potential degradation.
Internationally, regulation aims for the maintenance of the quality of this
resource. But the local lithology, mainly in countries with tropical weather, was
not considered. This paper has an objective to propose two methodologies of
contaminant identification of municipal solid waste (MSW) considering the
Brazilian regulation, the local lithology and the temporal aspect. The study site
is located in the midwest of Sao Paulo, at the Marilia Formation, Bauru Aquifer,
which presents sandstone rich in calcite. At first, the historic monitoring data
accumulated between 2002 and 2015 were statistically organized. Then,
methodologies of classification of major constituents and contamination method
were developed. After these analyses, the compounds that possibly cause anomaly
in the local environment are Al, As, Ba, Ca, Cd, Co, Cr, Cu, phosphatic,
nitrogenous, and sulfated compounds, Fe, K, Mn, Ni, and Pb. Some of these have
lithogenic genesis typical of tropical environment, and others come from the
disposed waste. Despite different approaches, both methods demonstrate efficiency
in identifying the pollutants presenting distinctions among themselves in
conjunction with actual local regulation and the lithogenic source of certain
compounds.
PMID- 29372518
TI - High efficacy of (Z)-gamma-bisabolene from the essential oil of Galinsoga
parviflora (Asteraceae) as larvicide and oviposition deterrent against six
mosquito vectors.
AB - The eco-friendly management of mosquitoes with novel and effective larvicides and
oviposition deterrents is a crucial challenge to prevent outbreaks of mosquito
borne diseases. However, most of the herbal formulations tested in these years
showed LC50 values higher of 40 ppm, and significant oviposition deterrent
activity only when tested at relatively higher doses (> 50 MUg/ml). Herein, we
studied the chemical composition of the Galinsoga parviflora essential oil (EO).
This plant is an annual herb native to South America naturalized all over the
world. We tested the EO larvicidal and oviposition deterrent action on 6 mosquito
species. Totally 37 compounds were identified in the EO of G. parviflora by GC
and GC-MS analyses. The major constituent was (Z)-gamma-bisabolene (38.9%). The
G. parviflora EO and (Z)-gamma-bisabolene showed acute toxicity on An. stephensi
(LC50 = 31.04 and 2.04 MUg/ml, respectively), Ae. aegypti (LC50 = 34.22 and 2.26
MUg/ml, respectively), Cx. quinquefasciatus (LC50 = 37.10 and 2.47 MUg/ml,
respectively), An. subpictus (LC50 = 40.97 and 4.09 MUg/ml, respectively), Ae.
albopictus (LC50 = 45.55 and 4.50 MUg/ml, respectively) and Cx. tritaeniorhynchus
(LC50 = 49.56 and 4.87 MUg/ml, respectively) larvae. Furthermore, the oviposition
deterrent potential of the G. parviflora EO and (Z)-gamma-bisabolene was studied
on six mosquito vectors, showing that 25 MUg/ml of (Z)-gamma-bisabolene led to an
Oviposition Activity Index lower of - 0.79 in all tested mosquito vectors.
Overall, all larvicidal LC50 values estimated for (Z)-gamma-bisabolene were lower
than 5 MUg/ml. This result far encompasses current evidences of toxicity reported
for the large majority of botanical products currently tested against mosquito
young instars, allowing us to propose this compound as an highly effective
mosquito larvicide and oviposition deterrent.
PMID- 29372521
TI - Photocatalytic removal of SO2 using natural zeolite modified by TiO2 and
polyoxypropylene surfactant.
AB - Air pollution due to emission of various hazardous gases such as SO2 into the
atmosphere and its control is an important environmental issue. Application of
photocatalysts is considered as a suitable process to control the gaseous
pollutants. In this study, the efficiency of clinoptilolite as a natural zeolite
(Ze) modified by TiO2 (Ze-Ti) and a polymeric surfactant polyoxypropylene (Ze-Ti
POP) for removal of SO2 was investigated. The nanocomposites were characterized
by SEM, EDX, and BET analyses. The photocatalytic oxidation experiments of SO2 by
the nanocomposites and natural zeolite were done under UV irradiation with
initial SO2 concentration of 500 ppm in a photoreactor. The effects of different
factors including reaction time, catalyst dose, UV irradiation intensity,
humidity content, and calcination temperature and dose of TiO2 were studied. The
modification of clinoptilolite by TiO2 and POP increased considerably the BET
specific surface area of the nanocomposites. The results showed that maximum
removal efficiencies of SO2 by Ze-Ti and Ze-Ti-POP under the optimum experimental
conditions were 82.1 and 87.4%, respectively. Adsorption kinetics data well
fitted with the Langmuir-Hinshelwood model. Moreover, reusing of nanocomposites
after three regeneration cycles indicated that application of Ze-Ti and Ze-Ti-POP
nanocomposites could be a promising approach for SO2 removal. Graphical abstract
?.
PMID- 29372520
TI - Estimation of oxygen effective diffusion coefficient in a non-steady-state
biofilm based on response time.
AB - In wastewater treatment, oxygen effective diffusion coefficient (D eff ) is a key
parameter in the study of oxygen diffusion-reaction process and mechanism in
biofilms. Almost all the reported methods for estimating the D eff rely on other
biokinetic parameters, such as substrate consumption rate and reaction rate
constant. Then, the estimation was complex. In this study, a method independent
of other biokinetic parameters was proposed for estimating the dissolved oxygen
(DO) D eff in biofilms. It was based on the dynamic DO microdistribution in a non
steady-state inactive biofilm, which was measured by the oxygen transfer modeling
device (OTMD) combining with an oxygen microelectrode system. A pure DO diffusion
model was employed, and the expression of the DO D eff was obtained by applying
the analytical solution of the model to a selected critical DO concentration. DO
D eff in the biofilm from the bioreactor was calculated as (1.054 +/- 0.041) * 10
9 m2/s, and it was in the same order of magnitude with the reported results.
Therefore, the method proposed in this study was effective and feasible. Without
measurement of any other biokinetic parameters, this method was convenient and
will benefit the study of oxygen transport-reaction process in biofilms and other
biofouling deposits. Graphical abstract ?.
PMID- 29372522
TI - Monitoring key organic indoor pollutants and their elimination in a biotrickling
biofilter.
AB - A biotrickling filter was evaluated to treat the air of the interior of a
bioprocess research laboratory. Initially, various solid-phase microextraction
(SPME) fibers were used to identify and quantify the volatile organic pollutants
and hexane, methyl isobutyl ketone, benzene, toluene, and xylene were further
selected as indicators due to their prevalence and relative abundance. The system
treated organic loading rates between 0.16 mgcarbon m-3 h-1 and close to 30
mgcarbon m-3 h-1 achieving removal efficiencies (RE) over 85% during 136
operational days. Respirometry experiments demonstrated that moderate
acidification (below 5.0), due to microbial activity, adversely affected
biofilter performance and consequently pH control was necessary to maintain
performance.
PMID- 29372523
TI - In type 2 diabetes induced by cigarette smoking, activation of p38 MAPK is
involved in pancreatic beta-cell apoptosis.
AB - Type 2 diabetes (T2D) is a chronic disease caused by pancreatic beta-cell
dysfunction and insulin resistance. Exposure to smoke is a risk factor for
diabetes; however, its mechanisms are unclear. In an epidemiological study, we
determined the relationship between cigarette smoking and beta-cell function. T2D
patients had a history of heavier smoking than people without T2D, and heavy
smokers had more abnormal glucose metabolism. For various smoking populations,
there was a dose-effect relationship between decreases of homeostatic model
assessment (HOMA)-beta levels or the increases of HOMA-insulin resistance (IR)
levels and amount of smoking (pack-years), which indicated that smoking induced
beta-cell dysfunction. For MIN6 cells, cigarette smoke extract (CSE) decreased
insulin secretion and content; enhanced apoptosis, as illustrated by decreases of
BCL-2 levels, increases of BAX and cleaved caspase-3 levels, and an increased
apoptotic index; and activated the p38 MAPK pathway. For MIN6 cells, inhibition
of p-p38 MAPK by SB203580 prevented enhanced apoptosis and the dysfunction of
insulin secretion induced by CSE. In sum, activation of p38 MAPK is involved in
the apoptosis of pancreatic beta-cells induced by cigarette smoking, which is a
possible mechanism for induction of T2D by cigarette smoke.
PMID- 29372524
TI - Temporal-spatial variation and partitioning of dissolved and particulate heavy
metal(loid)s in a river affected by mining activities in Southern China.
AB - The physicochemical properties and heavy metal(loid) concentrations of the river
water both fluctuate greatly along the river affected by mining activities, and
the transportation of heavy metal(loid)s is therefore more complicated than
unpolluted river. Dissolved and particulate heavy metal(loid)s in a river
polluted by mining activities were measured to study their temporal-spatial
variation and partitioning. The concentrations of dissolved arsenic (As), cadmium
(Cd), manganese (Mn), nickel (Ni), lead (Pb), and zinc (Zn) were considerably
high at the sites near the mine area. Notably, dissolved As at most sites were
higher than the Chinese quality criterion of class II for surface water
indicating high environmental risk. Mn and Pb at most sites and Ni at a part of
the sites mainly existed in the particulate phase. For other heavy metal(loid)s,
i.e., As, Cd, chromium (Cr), and Zn, the particulate phase was extremely high at
the sites near the mine area and responsible for heavy metal(loid) transport.
Significant correlations between particulate heavy metal(loid)s and temperature
and electrical conductivity (EC) were found. However, the partitioning of heavy
metal(loid)s did not significantly relate to the river water properties, due to
most heavy metal(loid)s in suspended particulate matter (SPM) are stable and
affected less by water properties. Except for Cr and Ni, other heavy metal(loid)s
showed high concentrations in sediments, and considerable Cd, Mn, and Zn existed
in exchangeable and carbonate fraction indicating high environmental risk. The
environmental assessment of SPM showed that Cd, Zn, and As, as the main
pollutants in SPM, all reached extremely polluted level at the sites near the
mine area, and the environmental risk of heavy metal(loid)s in SPM was higher
during dry season than that during wet season. The results can contribute to
understanding the partitioning and transportation of heavy metal(loid)s in the
river affected by mining activities.
PMID- 29372525
TI - Development of porosity and surface chemistry of textile waste jute-based
activated carbon by physical activation.
AB - Two-step physical activation was used to prepare activated carbon from textile
waste jute. Raw material was first carbonized under nitrogen and then activated
by CO2. Based on yield and pore structure, the optimal carbonization temperature
and time were 500 degrees C and 60 min, respectively. Carbonized sample was next
activated. The development of porosity and surface chemistry was highly dependent
on activation temperature and time. Activated carbon produced at 800 degrees C
was predominantly microporous while that produced at 900 degrees C was more
mesoporous and macroporous. The shift from microporosity to mesoporosity could be
used to produce either microporous or mesoporous carbon just by changing the
activation temperature. Activation also changed the surface chemistry and created
a more carbonaceous structure. The jute-based activated carbon was mostly
powdered in form, slightly acidic and effective in adsorbing both heavy metals
and organics.
PMID- 29372526
TI - Solar energy harvesting by magnetic-semiconductor nanoheterostructure in water
treatment technology.
AB - Photocatalytic degradation of toxic organic pollutants in the wastewater using
dispersed semiconductor nanophotocatalysts has a number of advantages such as
high activity, cost effectiveness, and utilization of free solar energy. However,
it is difficult to recover and recycle nanophotocatalysts since the fine
dispersed nanoparticles are easily suspended in waters. Furthermore, a large
amount of photocatalysts will lead to color contamination. Thus, it is necessary
to prepare photocatalysts with easy separation for the reusable application. To
take advantage of high photocatalysis activity and reusability, magnetic
photocatalysts with separation function were utilized. In this review, the
photocatalytic principle, structure, and application of the magnetic
semiconductor nanoheterostructure photocatalysts under solar light are evaluated.
Graphical abstract ?.
PMID- 29372527
TI - Impacts of urbanization on regional nonpoint source pollution: case study for
Beijing, China.
AB - Due to limits on available data, the effects of urban sprawl on regional nonpoint
source pollution (NPS) have not been investigated over long time periods. In this
paper, the characteristics of urban sprawl from 1999 to 2014 in Beijing were
explored by analyzing historical land-use data. The Event Mean Concentration data
have been collected from all available references, which were used to estimate
the variation in urban NPSs. Moreover, the impacts of variation in urban sprawl
on regional NPSs were qualified. The results indicated that the urbanization
process showed different influences on pollutants, while COD and TN were
identified as key NPS pollutants. Residential areas contributed more NPS
pollutants than did roads, which played a tremendous role in the control of urban
NPS. The results also suggested in part that the impact of urban sprawl on the
variation of COD decreased while TN increased in Beijing during the study period.
These results would provide insight into the impacts of urban sprawl on NPS
variation over a long period, as well as the reference for reasonable urban
planning directives.
PMID- 29372528
TI - Hydration of dicalcium silicate and diffusion through neo-formed calcium-silicate
hydrates at weathered surfaces control the long-term leaching behaviour of basic
oxygen furnace (BOF) steelmaking slag.
AB - Alkalinity generation and toxic trace metal (such as vanadium) leaching from
basic oxygen furnace (BOF) steel slag particles must be properly understood and
managed by pre-conditioning if beneficial reuse of slag is to be maximised. Water
leaching under aerated conditions was investigated using fresh BOF slag at three
different particle sizes (0.5-1.0, 2-5 and 10 * 10 * 20 mm blocks) and a 6-month
pre-weathered block. There were several distinct leaching stages observed over
time associated with different phases controlling the solution chemistry: (1)
free-lime (CaO) dissolution (days 0-2); (2) dicalcium silicate (Ca2SiO4)
dissolution (days 2-14) and (3) Ca-Si-H and CaCO3 formation and subsequent
dissolution (days 14-73). Experiments with the smallest size fraction resulted in
the highest Ca, Si and V concentrations, highlighting the role of surface area in
controlling initial leaching. After ~2 weeks, the solution Ca/Si ratio (0.7-0.9)
evolved to equal those found within a Ca-Si-H phase that replaced dicalcium
silicate and free-lime phases in a 30- to 150-MUm altered surface region. V
release was a two-stage process; initially, V was released by dicalcium silicate
dissolution, but V also isomorphically substituted for Si into the neo-formed Ca
Si-H in the alteration zone. Therefore, on longer timescales, the release of V to
solution was primarily controlled by considerably slower Ca-Si-H dissolution
rates, which decreased the rate of V release by an order of magnitude. Overall,
the results indicate that the BOF slag leaching mechanism evolves from a
situation initially dominated by rapid hydration and dissolution of primary
dicalcium silicate/free-lime phases, to a slow diffusion limited process
controlled by the solubility of secondary Ca-Si-H and CaCO3 phases that replace
and cover more reactive primary slag phases at particle surfaces.
PMID- 29372529
TI - Highly efficient degradation of berberine chloride form wastewater by a novel
three-dimensional electrode photoelectrocatalytic system.
AB - Fe2O3/graphite (Fe2O3/C) and nano-TiO2-coated glass bead were prepared by
impregnation and sol-gel method respectively and employed as the catalyst of a
novel three-dimensional electrode photoelectrocatalytic (3-D PEC) system. The
photoexcited electrons can transfer from TiO2, Fe2O3 to counter electrode. It
improves the migration of photoexcited charges, retards the fast recombination of
electron-hole, and increases the lifetime of photogenerated holes (h+). In
addition, the cycle reaction of Fe3+/Fe2+ on Fe2O3/C surface enhanced the Fenton
reaction which can produce more hydroxyl radicals (.OH) and promote the capacity
of mineralization of the pollutants. This novel 3-D PEC system showed excellent
performance for the degradation of berberine chloride form (BCF). At the pH value
of 3, 93% BCF was removed within 60 min; besides, 98.64% COD removal rate, 78.96%
mineralization, 21.47% mineralization current efficiency, and just 3.16 kW h g
1TOC energy cost were obtained in 120 min. In this study, we proposed the 3-D PEC
mechanism. Electron spin resonance (ESR) and scavenging experiments suggest that
the major reactive oxygen species (ROS) are superoxide radicals (O2.-), .OH, and
h+, while the role of sulfate radical (SO4.-) is insignificant. This work
provides a new dimension for the design of reactors for wastewater treatment and
the construction of the 3-D PEC system can potentially be utilized in water
purification.
PMID- 29372530
TI - Preparation and characterization of Na2S-modified biochar for nickel removal.
AB - Biochar has good adsorption ability to various contaminants. In this work, peanut
shell, corncob, cotton stalks, and crayfish shell were pyrolyzed under three
temperatures (300, 450, 600 degrees C) to obtain biochars for the removal of
Ni2+. The biochars were further modified with 2 mol/L Na2S solution.
Characterization results showed that the specific surface area and total pore
volume of the modified biochars increased substantially. Among all the
adsorbents, the modified corncob biochar (450 degrees C) showed the best Ni2+
adsorption. The adsorption kinetics followed the Elovich model with an
equilibrium time of 24 h. The maximum capacity of the modified biochar reached
15.40 mg/g. The adsorption process was affected by pH, temperature, and
coexisting ions. Increasing pH (under 7) provided more adsorption sites which
enhanced adsorption capacity. Experimental results also indicated that the main
adsorption mechanism of Ni2+ was ion exchange. Findings from this work suggest
that modified biochar can be used as an effective adsorbent for the removal of
Ni2+ from wastewater. Graphical abstract ?.
PMID- 29372531
TI - The toxic nature of murine amylin and the immune responsivity of pancreatic islet
to conformational antibody in mice.
AB - The human amylin is a pancreatic peptide hormone found in hyperhormonemic state
along with insulin in subclinical diabetes. Amylin has been associated with the
pathology of type 2 diabetes, particularly due to its ability to assembly into
toxic oligomers and amyloid specimens. On the other hand, some variants such as
murine amylin has been described as non-amyloidogenic, either in vitro or in
vivo. Recent data have demonstrated the amyloid propensity of murine amylin and
the therapeutic analogue pramlintide, suggesting a universality for amylin
amyloidosis. Here, we report the amyloidogenesis of murine amylin, which showed
lower responsivity to the fluorescent probe thioflavin T compared to human
amylin, but presented highly organized fibrilar amyloid material. The aggregation
of murine amylin also resulted in the formation of cytotoxic specimens, as
evaluated in vitro in INS-1 cells. The aggregation product from murine amylin was
responsive to a specific antibody raised against amyloid oligomers, the A11
oligomer antibody. Pancreatic islets of wild-type Swiss male mice have also shown
responsivity for the anti-oligomer, indicating the natural abundance of such
specimen in rodents. These data provide for the first time evidences for the
toxic nature of oligomeric assemblies of murine amylin and its existence in wild
type, non-transgenic mice.
PMID- 29372533
TI - Visual attention shift to printed words during spoken word recognition in
Chinese: The role of phonological information.
AB - The aim of this study was to investigate the extent to which phonological
information mediates the visual attention shift to printed Chinese words in
spoken word recognition by using an eye-movement technique with a printed-word
paradigm. In this paradigm, participants are visually presented with four printed
words on a computer screen, which include a target word, a phonological
competitor, and two distractors. Participants are then required to select the
target word using a computer mouse, and the eye movements are recorded. In
Experiment 1, phonological information was manipulated at the full-phonological
overlap; in Experiment 2, phonological information at the partial-phonological
overlap was manipulated; and in Experiment 3, the phonological competitors were
manipulated to share either fulloverlap or partial-overlap with targets directly.
Results of the three experiments showed that the phonological competitor effects
were observed at both the full-phonological overlap and partial-phonological
overlap conditions. That is, phonological competitors attracted more fixations
than distractors, which suggested that phonological information mediates the
visual attention shift during spoken word recognition. More importantly, we found
that the mediating role of phonological information varies as a function of the
phonological similarity between target words and phonological competitors.
PMID- 29372532
TI - N-Acetyl-tryptophan glucoside (NATG) protects J774A.1 murine macrophages against
gamma radiation-induced cell death by modulating oxidative stress.
AB - Immune system is amongst the most radiosensitive system to radiation-induced
cellular and molecular damage. Present study was focused on the evaluation of
radioprotective efficacy of a novel secondary metabolite, N-acetyl tryptophan
glucoside (NATG), isolated from a radioresistant bacterium Bacillus sp. INM-1
using murine macrophage J774A.1 cells experimental model. Radioprotective
efficacy of NATG against radiation-induced DNA damage and apoptosis was estimated
using phosphatidyl-serine-externalization Annexin V-PI and Comet assay analysis.
Radiation-induced cell death is the outcome of oxidative stress caused by free
radicals. Therefore, perturbations in antioxidant enzymes i.e., superoxide
dismutase (SOD), catalase, glutathione-s-transferase (GST) and GSH activities in
irradiated and NATG pre-treated irradiated J774A.1 cells were studied. Results of
the present study demonstrated that NATG pre-treated (0.25 ug/ml) irradiated (20
Gy) cells showed significant (p < 0.05) reduction in apoptotic cells index at 4
48 h as compared to radiation alone cells. Comet assay exhibited significant
protection to radiation-induced DNA damage in J774A.1 cells. Significantly
shortened DNA tail length, increased % Head DNA contents and lower olive tail
moment was observed in NATG pre-treated irradiated cells as compared to radiation
alone cells. Further, significant increase in catalase (~ 3.9 fold), SOD
(67.52%), GST (~ 1.9 fold), and GSH (~ 2.5 fold) levels was observed in
irradiated cells pre-treated with NATG as compared to radiation-alone cells. In
conclusion, current study suggested that NATG pre-treatment to irradiated cells
enhanced antioxidant enzymes in cellular milieu that may contribute to reduce
oxidative stress and decrease DNA damage which resulted to significant reduction
in the cell death of irradiated macrophages.
PMID- 29372534
TI - Milk production and blood metabolites of dairy cattle as influenced by thermal
humidity index.
AB - The effects of high thermal stress on serum protein metabolites, milk production
of transition dairy cows in semi-arid areas in South Africa were evaluated.
Forty, +/- 8 months pregnant, Jersey heifers (+/- 26 months) in zero grazing
management were selected during summer from two semi-arid communal areas. Summer
thermal-humidity index (THI) of the areas were THI-1 (72-83: extreme caution) and
THI-2 (75-87: danger). Blood samples were collected (21 days pre-partum, and 21
and 75 days post-partum) and analysed for serum protein metabolites. Milk yield
was recorded daily and samples collected for milk fat, protein, lactose and urea
nitrogen analysis. Heifers in THI-2 had lower (P < 0.05) total serum proteins,
albumin and blood urea nitrogen than THI-1. Post-calving, cows in THI-1 had
higher (P < 0.05) TP (73.4 vs 67.9 g/l) and BUN (4.61 vs 3.77 mmol/l) at 21 DIM,
and lower (P creatinine at 21 and 75 DIM than THI-2 group. Milk yield, fat and
protein in THI-2 were all lower (P < 0.05) than THI-1 21DIM. The results confirm
that heat stress affects utilisation of nutrients in transition dairy cows.
PMID- 29372535
TI - PD-L1 and IDO1 Are Expressed in Poorly Differentiated Thyroid Carcinoma.
AB - Poorly differentiated thyroid carcinoma (PDTC) is an aggressive form of thyroid
cancer that currently has limited effective treatment options. Immune checkpoint
inhibitors (ICIs) have shown to be an effective treatment for a variety of
carcinomas. In this study, we explore whether immune checkpoint pathways, such as
programmed cell death ligand 1 (PD-L1) and indoleamine 2,3-dioxygenase 1 (IDO1),
are activated in a cohort of patients with PDTC to determine whether ICIs may be
an effective therapy for these patients. PDTC from 28 patients were stained for
IDO1, PD-L1, and CD8 using immunohistochemistry. Staining was scored using an H
score, and PD-L1 and IDO1 expression was correlated with clinicopathologic
characteristics. Positivity for PD-L1 and IDO1 was set at an H-score cutoff of
five. Twenty-five percent (n = 7/28) of the PDTC were positive for PD-L1
expression. Twenty-nine percent (n = 2/7) of the PD-L1 positive PDTCs also co
expressed IDO1. The expression of PD-L1 in PDTC was significantly associated with
tumor size and multifocality, with a non-significant trend towards associations
with older age, extrathyroidal extension, presence of metastasis, higher stage,
increased number of CD8+ T cells, and decreased disease-free and overall
survival. PD-L1 expression occurs in a subset of PDTC, and is associated with a
subset of clinical features of aggressive thyroid disease. Given the limited
effective treatments for this patient population, consideration for ICIs as
monotherapy or in combination with an IDO1 inhibitor should be explored as a
novel treatment modality for patients with PDTC.
PMID- 29372536
TI - Biological Therapies for Eosinophilic Esophagitis: Where Do We Stand?
AB - Eosinophilic esophagitis (EoE) is an immune-mediated, chronic esophageal disease
characterized by esophageal symptoms and esophageal eosinophilia. It is triggered
by foods and possibly by environmental allergens. Currently, there are no FDA
approved therapies for EoE. Commonly used treatments include dietary restrictions
and topical corticosteroids. Many of these therapies are suboptimal in their
efficacy, have side effects, or diminish patients' quality of life. Biologic
therapies for EoE have therefore been sought as an alternative. The mechanism by
which food allergens trigger EoE is thought to be a T helper type 2 (Th2)
reaction, resulting in secretion of the cytokines IL-4, IL-5, and IL-13. IL-5
induces eosinophil production and trafficking to the esophagus, and IL-13 induces
esophageal epithelial cells to secrete eotaxin-3, which drives eosinophil
chemotaxis and activation. Mepolizumab and reslizumab, two anti-IL-5 antibodies,
were studied in children and adults with EoE and resulted in reduction of
esophageal tissue and blood eosinophils, but no significant reduction in
symptoms. QAX576, an anti-IL-13 antibody, was studied in adults with EoE and
showed a decrease in the esophageal eosinophil load and a trend towards clinical
improvement. Since in situ IgE production was demonstrated in the EoE esophagus,
omalizumab, an anti-IgE antibody, was studied in patients with EoE and not found
to be overall beneficial. Furthermore, given the increased esophageal epithelial
cell TNF-alpha expression in EoE, infliximab, an anti-TNF-alpha antibody, was
studied in patients with EoE, with lack of success both clinically and
histologically. In summary, although none of the biologicals studied so far in
EoE have been highly effective, many demonstrated some histological benefit,
especially those that targeted the Th2 axis. Therefore, the future for
biologicals is promising as the pathophysiology of EoE is better understood,
clinical assessment tools are validated, identification of patient subsets that
respond best to biologicals is made, and dosages of biologicals are optimized.
PMID- 29372537
TI - Sex and Management of Rheumatoid Arthritis.
AB - Rheumatoid arthritis (RA) is a chronic and progressive autoimmune disease more
common in women than men (3:1). Although sex-based differences may play a complex
role in promoting an autoimmune dysfunction, to date the comprehensive knowledge
of the link between sex and RA is still partially lacking. Furthermore, males and
females have been demonstrated to differently deal with their chronic
pathologies, modifying the perceived sex-based burden of disease. Gender medicine
is a newly approach focusing on the impact of gender differences on human
physiology, pathophysiology, and clinical features of diseases, analyzing the
complex interrelation and integration of sex and psychological and cultural
behavior. A better comprehension of possible factors influencing sexual
dimorphism in RA susceptibility, pattern of presentation, disease activity, and
outcome could contribute to a tailored approach, in order to limit the morbidity
of the disease. RA disease activity seems to be higher in women, whereas the
response rate to synthetic and biologic disease-modifying therapies appears to be
better in males. Moreover, the common strategies for RA management may be
affected by concomitant pregnancy or childbearing desire, with particular regard
to treatments with potential teratogenic effects or impact on fertility. Finally,
comorbidities, such as fibromyalgia, major depression, and osteoporosis, are more
frequent in females, while the impact of sex on cardiovascular risk is still
controversial. Moving from the role of sex in influencing RA pathogenesis,
epidemiology, and disease characteristics, this review explores the evidence on
how sex can have an impact on strategies for managing patients with RA.
PMID- 29372539
TI - Assessment of Plant-Probiotic Performance of Novel Endophytic Bacillus sp. in
Talc-Based Formulation.
AB - Endophytic bacteria are considered to have a plethora of plant growth promoting
and anti-phytopathogenic traits to live within the plants. Hence, they have
immense promises for plant probiotic development. In the current study, plant
probiotic endophytic Bacillus sp. CaB5 which has been previously isolated from
Capsicum annuum was investigated for its performance in talc-based formulation.
For this, CaB5 was made into formulation with sterile talc, calcium carbonate,
and carboxymethyl cellulose. The viability analysis of the formulation by
standard plate count and fluorescence methods has confirmed the stable microbial
count up to 45 days. Plant probiotic performance of the prepared formulation was
analyzed on cowpea (Vigna unguiculata) and lady's finger (Abelmoschus
esculentus). The results showed the formulation treatment to have enhancement
effect on seed germination as well as plant growth in both selected plants. The
results highlight the potential of CaB5-based formulation for field application
to enhance growth of economically important plants.
PMID- 29372538
TI - Sustained exenatide delivery via intracapsular microspheres for improved survival
and function of microencapsulated porcine islets.
AB - The ability of glucagon-like peptide-1 analogs to enhance glucose-dependent
insulin secretion and to inhibit beta cell apoptosis could be of potential
benefit for islet transplantation. In this study, we investigated the effect of
sustained local delivery of exenatide, a synthetic exendin-4, on the in vitro
viability and function of encapsulated porcine islets. Prior to encapsulation, we
fabricated exenatide-loaded poly(latic-co-glycolic acid) microspheres, and
investigated their release behavior with different initial drug-loading amounts.
Exenatide-loaded microspheres, exhibiting a sustained release over 21 days, were
subsequently chosen and co-encapsulated with porcine islets in alginate
microcapsules. During the 21-day period, the islets co-encapsulated with the
exenatide-loaded microspheres exhibited improved survival and glucose-stimulated
insulin secretion, compared to those without. This suggested that the
intracapsular sustained delivery of exenatide via microspheres could be a
promising strategy for improving survival and function of microencapsulated
porcine islets for islet xenotransplantation.
PMID- 29372540
TI - Monitoring Microalgal Neutral Lipid Accumulation with Nile Red.
AB - The widely applied Nile red (NR) method allows near real-time monitoring of
microalgal neutral lipid accumulation. When added to a culture sample, optimally,
the fluorescent dye NR penetrates the microalgal cell wall staining the
intracellular neutral lipids, and the measured fluorescence is linearly
correlated to the neutral lipid concentration. Here I describe an optimization
protocol for determining the optimal staining parameters for each new microalgal
species, followed by a basic NR staining protocol to be applied for monitoring of
microalgal neutral lipid accumulation.
PMID- 29372541
TI - The effects of a multicomponent intervention program on clinical outcomes
associated with falls in healthy older adults.
AB - BACKGROUND: Multicomponent intervention programs have been shown to be effective
in reducing risk factors associated with falls, but the primary target population
of these interventions is often low-functioning older adults. AIMS: The purpose
of this study was to investigate the effectiveness of a multicomponent
intervention program focusing on balance and muscle strength for independently
functioning community-dwelling older adults. METHODS: Fifty-three independently
functioning older adults, aged 80.09 +/- 6.62 years, participated in a group
exercise class (conducted 2 times/week for 8 weeks) emphasizing balance. Outcome
measures were balance performance using the Fullerton Advanced Balance (FAB)
scale and muscle strength using the Senior Fitness Test (SFT). RESULTS: The
intervention improved balance (P < 0.001), and older adults who were classified
as having high fall risks based on the FAB scores at pre-testing improved more
than older adults who were classified as having low fall risks (P = 0.017). As a
result, 22 participants transitioned from a high fall risk group at pre-testing
to a low fall risk group at post-testing (P < 0.001). The intervention also
enhanced both upper and lower muscle extremity strength based on SFT results (P <
0.001) regardless of participants' classification of fall risk status.
CONCLUSIONS AND DISCUSSION: The multicomponent intervention conducted two times
per week for 8 weeks was effective in improving balance and enhancing muscle
strength of independently functioning older adults. The results underscore the
importance of providing fall prevention interventions to healthy older adults, a
population often not a target of balance interventions.
PMID- 29372543
TI - Identification of chronic kidney disease risk in relatively lean Southern
Chinese: the hypertriglyceridemic waist phenotype vs. anthropometric indexes.
AB - PURPOSE: Assessing and comparing the ability of the hypertriglyceridemic waist
(HW) phenotype and anthropometric obesity indexes to identify subjects at high
risk of chronic kidney disease (CKD) in a relatively lean population in South
China. METHODS: Using data from a community-based, cross-sectional study
conducted in Zhuhai City, Southern China, we examined associations between the HW
phenotype, anthropometric obesity indexes, and incident CKD risk in a relatively
lean population. Multiple logistic regression analyses were used to evaluate the
associations. RESULTS: The HW phenotype associated with CKD significantly in the
unadjusted analysis (OR 3.53, 95% CI 1.65-7.52, P = 0.001). Further adjustment
for gender, age, and other potential confounding variables had an impact on the
odd ratios (OR); the OR decreased but still existed (OR 2.91, 95% 1.23-6.87, P =
0.016). The association of the HW phenotype with CKD remained significant after
further adjustment for hypertension and diabetes. No significant association
between the anthropometric indexes and incident CKD was found. CONCLUSION: The HW
phenotype, but not the anthropometric indexes, is associated with an elevated
risk of CKD in relatively lean subjects. The HW phenotype appears to be a better
predictor of CKD than the anthropometric indexes. LEVEL OF EVIDENCE: Level V,
descriptive study.
PMID- 29372544
TI - Drug Targets in Neurotrophin Signaling in the Central and Peripheral Nervous
System.
AB - Neurotrophins are a family of proteins that play an important role in the
regulation of the growth, survival, and differentiation of neurons in the central
and peripheral nervous system. Neurotrophins were earlier characterized by their
role in early development, growth, maintenance, and the plasticity of the nervous
system during development, but recent findings also indicate their complex role
during normal physiology in both neuronal and non-neuronal tissues. Therefore, it
is important to recognize a deficiency in the expression of neurotrophins, a
major factor driving the debilitating features of several neurologic and
psychiatric diseases/disorders. On the other hand, overexpression of
neurotrophins is well known to play a critical role in pathogenesis of chronic
pain and afferent sensitization, underlying conditions such as lower urinary
tract symptoms (LUTS)/disorders and osteoarthritis. The existence of a redundant
receptor system of high-and low-affinity receptors accounts for the diverse,
often antagonistic, effects of neurotrophins in neurons and non-neuronal tissues
in a spatial and temporal manner. In addition, studies looking at bladder
dysfunction because of conditions such as spinal cord injury and diabetes
mellitus have found alterations in the levels of these neurotrophins in the
bladder, as well as in sensory afferent neurons, which further opens a new avenue
for therapeutic targets. In this review, we will discuss the characteristics and
roles of key neurotrophins and their involvement in the central and periphery
nervous system in both normal and diseased conditions.
PMID- 29372545
TI - Prospects of Cannabidiol for Easing Status Epilepticus-Induced Epileptogenesis
and Related Comorbidities.
AB - The hippocampus is one of the most susceptible regions in the brain to be
distraught with status epilepticus (SE) induced injury. SE can occur from
numerous causes and is more frequent in children and the elderly population.
Administration of a combination of antiepileptic drugs can abolish acute seizures
in most instances of SE but cannot prevent the morbidity typically seen in
survivors of SE such as cognitive and mood impairments and spontaneous recurrent
seizures. This is primarily due to the inefficiency of antiepileptic drugs to
modify the evolution of SE-induced initial precipitating injury into a series of
epileptogenic changes followed by a state of chronic epilepsy. Chronic epilepsy
is typified by spontaneous recurrent seizures, cognitive dysfunction, and
depression, which are associated with persistent inflammation, significantly
waned neurogenesis, and abnormal synaptic reorganization. Thus, alternative
approaches that are efficient not only for curtailing SE-induced initial brain
injury, neuroinflammation, aberrant neurogenesis, and abnormal synaptic
reorganization but also for thwarting or restraining the progression of SE into a
chronic epileptic state are needed. In this review, we confer the promise of
cannabidiol, an active ingredient of Cannabis sativa, for preventing or easing SE
induced neurodegeneration, neuroinflammation, cognitive and mood impairments, and
the spontaneous recurrent seizures.
PMID- 29372546
TI - Neural Crest Stem-Like Cells Non-genetically Induced from Human Gingiva-Derived
Mesenchymal Stem Cells Promote Facial Nerve Regeneration in Rats.
AB - Non-genetic induction of somatic cells into neural crest stem-like cells (NCSCs)
is promising for potential cell-based therapies for post-traumatic peripheral
nerve regeneration. Here, we report that human gingiva-derived mesenchymal stem
cells (GMSCs) could be reproducibly and readily induced into NCSCs via non
genetic approaches. Compared to parental GMSCs, induced NCSC population had
increased expression in NCSC-related genes and displayed robust differentiation
into neuronal and Schwann-like cells. Knockdown of the expression of Yes
associated protein 1 (YAP1), a critical mechanosensor and mechanotransducer,
attenuated the expression of NCSC-related genes; specific blocking of RhoA/ROCK
activity and non-muscle myosin II (NM II)-dependent contraction suppressed YAP1
and NCSC-related genes and concurrently abolished neural spheroid formation in
NCSCs. Using a rat model of facial nerve defect, implantation of NCSC-laden nerve
conduits promoted functional regeneration of the injured nerve. These promising
findings demonstrate that induced NCSCs derived from GMSCs represent an easily
accessible and promising source of neural stem-like cells for peripheral nerve
regeneration.
PMID- 29372548
TI - Hand, foot and mouth disease in an adult.
PMID- 29372547
TI - Impairment of Novel Object Recognition Memory and Brain Insulin Signaling in
Fructose- but Not Glucose-Drinking Female Rats.
AB - Excessive sugar intake has been related to cognitive alterations, but it remains
unclear whether these effects are related exclusively to increased energy intake,
and the molecular mechanisms involved are not fully understood. We supplemented
Sprague-Dawley female rats with 10% w/v fructose in drinking water or with
isocaloric glucose solution for 7 months. Cognitive function was assessed through
the Morris water maze (MWM) and the novel object recognition (NOR) tests. Plasma
parameters and protein/mRNA expression in the frontal cortex and hippocampus were
determined. Results showed that only fructose-supplemented rats displayed
postprandial and fasting hypertriglyceridemia (1.4 and 1.9-fold, p < 0.05) and a
significant reduction in the discrimination index in the NOR test, whereas the
results of the MWM test showed no differences between groups. Fructose-drinking
rats displayed an abnormal glucose tolerance test and impaired insulin signaling
in the frontal cortex, as revealed by significant reductions in insulin receptor
substrate-2 protein levels (0.77-fold, p < 0.05) and Akt phosphorylation (0.72
fold, p < 0.05), and increased insulin-degrading enzyme levels (1.86-fold, p <
0.001). Fructose supplementation reduced the expression of antioxidant enzymes
and altered the amount of proteins involved in mitochondrial fusion/fission in
the frontal cortex. In conclusion, cognitive deficits induced by chronic liquid
fructose consumption are not exclusively related to increased caloric intake and
are correlated with hypertriglyceridemia, impaired insulin signaling, increased
oxidative stress and altered mitochondrial dynamics, especially in the frontal
cortex.
PMID- 29372549
TI - Pancoast's syndrome: an unusual presentation of invasive pneumococcal disease.
PMID- 29372550
TI - Analysis of Compounds Dissolved in Nonpolar Solvents by Electrospray Ionization
on Conductive Nanomaterials.
AB - Electrospray ionization mass spectrometry (ESI-MS) technique has limitations in
analysis of compounds that are dissolved in nonpolar solvents. In this study,
ambient ionization of compounds in solvents that are not "friendly" to
electrospray ionization, such as n-hexane, is achieved by conductive
nanomaterials spray ionization (CNMSI) on nanomaterial emitters, including carbon
nanotubes paper and mesodendritic silver covered metal, which applies high
voltages to emitters made of these materials without the assistance of polar
solvents. Although the time intensity curves (TIC) commonly vary from 4.5% to
23.7% over analyses, protonated molecular ions were found to be the most abundant
species, demonstrating good reproducibility of the technique in terms of ionized
species. Higher mass spectrometric responses are observed in analyzing nonpolar
systems than polar systems. 2-Methoxyacetophenone, 4-methylacetophenone,
benzothiazole, quinolone, and cycloheptanone as low as 2 pg in n-hexane can be
directly detected using the developed method. The developed technique expands the
analysis capability of ESI-MS for direct, online analysis of nonpolar systems,
such as low polarity extracts, normal phase liquid chromatography eluates, and
synthetic mixtures. Graphical Abstract.
PMID- 29372551
TI - Neuropeptide Mapping of Dimmed Cells of Adult Drosophila Brain.
AB - Neuropeptides are structurally highly diverse messenger molecules that act as
regulators of many physiological processes such as development, metabolism,
reproduction or behavior in general. Differentiation of neuropeptidergic cells
often corresponds with the presence of the transcription factor DIMMED. In the
central nervous system of the fruit fly Drosophila melanogaster, DIMMED commonly
occurs in neuroendocrine neurons that release peptides as neurohormones but also
in interneurons with complex branching patterns. Fly strains with green
fluorescence protein (GFP)-expressing dimmed cells make it possible to
systematically analyze the processed neuropeptides in these cells. In this study,
we mapped individual GFP-expressing neurons of adult D. melanogaster from the
dimmed (c929)>GFP line. Using single cell mass spectrometry, we analyzed 10 types
of dimmed neurons from the brain/gnathal ganglion. These cells included
neuroendocrine cells with projection into the retrocerebral complex but also a
number of large interneurons. Resulting mass spectra not only provided
comprehensive data regarding mature products from 13 neuropeptide precursors but
also evidence for the cellular co-localization of neuropeptides from different
neuropeptide genes. The results can be implemented in a neuroanatomical map of
the D. melanogaster brain. Graphical Abstract ?.
PMID- 29372552
TI - Characterization of Disulfide-Linked Peptides Using Tandem Mass Spectrometry
Coupled with Automated Data Analysis Software.
AB - Disulfide bond formation is critical for maintaining structure stability and
function of many peptides and proteins. Mass spectrometry has become an important
tool for the elucidation of molecular connectivity. However, the interpretation
of the tandem mass spectral data of disulfide-linked peptides has been a major
challenge due to the lack of appropriate tools. Developing proper data analysis
software is essential to quickly characterize disulfide-linked peptides. A
thorough and in-depth understanding of how disulfide-linked peptides fragment in
mass spectrometer is a key in developing software to interpret the tandem mass
spectra of these peptides. Two model peptides with inter- and intra-chain
disulfide linkages were used to study fragmentation behavior in both collisional
activated dissociation (CAD) and electron-based dissociation (ExD) experiments.
Fragments generated from CAD and ExD can be categorized into three major types,
which result from different S-S and C-S bond cleavage patterns. DiSulFinder is a
computer algorithm that was newly developed based on the fragmentation observed
in these peptides. The software is vendor neutral and capable of quickly and
accurately identifying a variety of fragments generated from disulfide-linked
peptides. DiSulFinder identifies peptide backbone fragments with S-S and C-S bond
cleavages and, more importantly, can also identify fragments with the S-S bond
still intact to aid disulfide linkage determination. With the assistance of this
software, more comprehensive disulfide connectivity characterization can be
achieved. Graphical Abstract ?.
PMID- 29372553
TI - Using Gas Phase Reactions of Hexamethylene Triperoxide Diamine (HMTD) to Improve
Detection in Mass Spectrometry.
AB - Our efforts to lower the detection limits of hexamethylene triperoxide diamine
(HMTD) have uncovered previously unreported gas-phase reactions of primary and
secondary amines with one of the six methylene carbons. The reaction occurs
primarily in the atmospheric pressure chemical ionization (APCI) source and is
similar to the behavior of alcohols with HMTD [1]. However, unlike alcohols, the
amine reaction conserves the hydrogen peroxide on the intact product.
Furthermore, with or without amines, HMTD is oxidized to tetramethylene
diperoxide diamine dialdehyde (TMDDD) in a temperature-dependent fashion in the
APCI source. Synthesized TMDDD forms very strong adducts (not products) to
ammonium and amine ions in the electrospray ionization (ESI) source. Attempts to
improve HMTD detection by generating TMDDD in the APCI source with post-column
addition of amines were not successful. Signal intensity of the solvent related
HMTD product in methanol, [HMTD+MeOH2-H2O2]+ (m/z 207.0975), was understandably
related to the amount of methanol in the HMTD environment as it elutes into the
source. With conditions optimized for this product, the detection of 100 pg on
column was accomplished with a robust analysis of 300 pg (1.44 pmol) routinely
performed on the Orbitrap mass spectrometers. Graphical Abstract ?.
PMID- 29372554
TI - An Orbital Trap Mass Analyzer Using a Hybrid Magnetic-Electric Field: A
Simulation Study.
AB - An orbital ion trap mass analyzer employing hybrid magnetic-electric field was
designed and simulated. The trap has a rotational symmetrical structure and the
hybrid trapping field was created in a toroidal space between 12 pairs of sector
detection electrodes. Ion injection and ion orbital motion inside the trap were
simulated using SIMION 8.1 with a user Lua program, and the required electric and
magnetic field were investigated. The image charge signal can be picked up by the
12 pairs of detection electrodes and the mass resolution was evaluated using FFT.
The simulated resolving power for the optimized configuration over 79,000 FWHM
was obtained at the magnetic induction intensity of 0.5 Tesla in the simulation.
Graphical Abstract ?.
PMID- 29372555
TI - Gamma Knife Radiosurgery for Hemorrhagic Brainstem Cavernomas.
AB - AIM: Effectiveness and safety of gamma knife radiosurgery (GKRS) for hemorrhagic
brainstem cavernous malformations (BSCM) is still an unresolved problem. The
purpose of this study was to assess treatment results of GKRS for hemorrhagic
BSCMs. MATERIAL AND METHODS: A retrospective review was performed of patients
with hemorrhagic BSCMs who were treated at the Acibadem Kozyatagi Hospital GKRS
unit from May 2007 to October 2015. RESULTS: In total 82 patients were
identified. All patients had experienced at least one hemorrhagic event (range 1
3) and all of them presented radiological evidence of hemorrhage. The median
target volume was 0,3 mL, and the median marginal radiation dose was 12 Gy. The
mean durations before and after surgery were 25,5 (range 1-204) months and 50,3
(range 13-113) months respectively. Pretreatment hemorrhage rates were calculated
from the date of first hemorrhage to the date of radiosurgery. There were 97
bleeds over 174.4 patient-years during the observation period, with an annual
hemorrhage rate of 55.7 %. If the first bleed is excluded, the annual hemorrhage
rate was 8.6%. Only three patients demonstrated rebleeding, which occurred at
3,12 and 79 months after radiosurgery. Over a total follow up time of 344 patient
years the annual rebleeding rate was therefore 0,87 %, indicating that the risk
of BSCM hemorrhage was significantly decreased by radiosurgery. CONCLUSION: In
this study GKRS was a safe and effective treatment for symptomatic low volume
BSCMs when using a low marginal dose. A randomized controlled trial is needed
that compares GKRS to observation if we are to establish the true efficacy of
this treatment.
PMID- 29372556
TI - Cutaneous non-tuberculous mycobacteria in Western Sydney, Australia. Population
study 1996-2013.
PMID- 29372557
TI - Mesenchymal stem cells promote cell invasion and migration and autophagy-induced
epithelial-mesenchymal transition in A549 lung adenocarcinoma cells.
AB - Mesenchymal stem cells (MSCs) are recruited into the tumour microenvironment and
promote tumour growth and metastasis. Tumour microenvironment-induced autophagy
is considered to suppress primary tumour formation by impairing migration and
invasion. Whether these recruited MSCs regulate tumour autophagy and whether
autophagy affects tumour growth are controversial. Our data showed that MSCs
promote autophagy activation, reactive oxygen species production, and epithelial
mesenchymal transition (EMT) as well as increased migration and invasion in A549
cells. Decreased expression of E-cadherin and increased expression of vimentin
and Snail were observed in A549 cells cocultured with MSCs. Conversely, MSC
coculture-mediated autophagy positively promoted tumour EMT. Autophagy inhibition
suppressed MSC coculture-mediated EMT and reduced A549 cell migration and
invasion slightly. Furthermore, the migratory and invasive abilities of A549
cells were additional increased when autophagy was further enhanced by rapamycin
treatment. Taken together, this work suggests that microenvironments containing
MSCs can promote autophagy activation for enhancing EMT; MSCs also increase the
migratory and invasive abilities of A549 lung adenocarcinoma cells. Mesenchymal
stem cell-containing microenvironments and MSC-induced autophagy signalling may
be potential targets for blocking lung cancer cell migration and invasion.
PMID- 29372558
TI - The association between multiple pilomatrixomas and APC gene mutations.
PMID- 29372559
TI - Are all Xq26.2 duplications overlapping GPC3 on array-CGH a cause of Simpson
Golabi-Behmel syndrome? When do we need transcript analysis?
PMID- 29372560
TI - Inhibition of the CSF-1 receptor sensitizes ovarian cancer cells to cisplatin.
AB - Ovarian cancer is one of the most common female malignancies, and cisplatin-based
chemotherapy is routinely used in locally advanced ovarian cancer patients.
Acquired or de novo cisplatin resistance remains the barrier to patient survival,
and the mechanisms of cisplatin resistance are still not well understood. In the
current study, we found that colony-stimulating-factor-1 receptor (CSF-1R) was
upregulated in cisplatin-resistant SK-OV-3 and CaoV-3 cells. Colony-stimulating
factor-1 receptor knockdown suppressed proliferation and enhanced apoptosis in
cisplatin-resistant SK-OV-3 and CaoV-3 cells. However, CSF-1R overexpression had
inverse effects. While parental SK-OV-3 and CaoV-3 cells were more resistant to
cisplatin after CSF-1R overexpression, CSF-1R knockdown in SK-OV-3 and CaoV-3
cells promoted cisplatin sensitivity. Overexpression and knockdown studies also
showed that CSF-1R significantly promoted active AKT and ERK1/2 signalling
pathways in cisplatin-resistant cells. Furthermore, a combination of cisplatin
and CSF-1R inhibitor effectively inhibited tumour growth in xenografts. Taken
together, our results provide the first evidence that CSF-1R inhibition can
sensitize cisplatin-refractory ovarian cancer cells. This study may help to
increase understanding of the molecular mechanisms underlying cisplatin
resistance in tumours.
PMID- 29372561
TI - Is It Time for Going Beyond the P-Value Paradigm With the Estimation of the
Probability of Clinical Benefit as a Criterion for Assessing the Outcomes of a
Clinical Trial? A Case Study in Patients With Major Depressive Disorder.
AB - The conventional statistical methodologies for evaluating treatment effect are
based on hypothesis testing (P-value). Alternative measurements of treatment
effect have been proposed for anti-infective treatments using the probability of
target attainment. A general framework is proposed to extend this methodology to
other therapeutic areas. A disease trial model is used for estimating the
probability of reaching a treatment effect associated with relevant clinical
benefits, in complement to the evaluation of the probability of rejecting the
null hypothesis. A case study is presented in depression, where disease status is
evaluated using bounded clinical scores (Hamilton Depression Rating Scale), and
detectable treatment effect is inversely proportional to placebo response. The
beta-regression approach is used to model Hamilton scale scores, and a placebo
related criterion is proposed for determining the clinical benefit. The
probability of reaching a clinical benefit represents a reliable criterion for
replacing the P-value paradigm in the assessment of the outcomes of clinical
trials.
PMID- 29372562
TI - Tylosis associated with squamous cell carcinoma of the oesophagus (TOC): Report
of an African family with a novel RHBDF2 variant.
PMID- 29372563
TI - Cladosporium cladosporioides-complex infection in a mixed-breed dog.
AB - A 3-year-old female mixed-breed dog was referred with a 2-day history of serious
dyspnea, coughing, lethargy, anorexia, and a low-grade right anterior lameness.
At presentation, the dog had an increased respiratory rate, dull heart and lung
sounds, and cyanotic mucous membranes. It was hyperthermic and slightly
dehydrated. Laboratory findings showed mild neutrophilia with a left shift, while
serum biochemistry variables were in the normal range. However, urinalysis
revealed mild proteinuria and rare erythrocytes and leukocytes on sediment
examination. Thoracic radiographs showed a diffuse mixed interstitial and
alveolar pattern with an air bronchogram, while appendicular radiographs showed a
right humeral interrupted brush-like periosteal reaction. Thoracic
ultrasonography revealed mediastinal lymph node enlargement. Cytology from a fine
needle aspirate of mediastinal lymph nodes revealed a pyogranulomatous
lymphadenitis with numerous fungal hyphae. Culture on Sabouraud dextrose agar
isolated dark fungal colonies with microscopic features consistent with
Cladosporium spp. Sequencing of the internal transcribed spacer region identified
the fungus as a species of the Cladosporium cladosporioides-complex.
PMID- 29372564
TI - Optimal Birth Spacing: What Can We Measure and What Do We Want to Know?
PMID- 29372565
TI - Non-pharmacological interventions for treating chronic prostatitis/chronic pelvic
pain syndrome.
AB - BACKGROUND: Chronic prostatitis/chronic pelvic pain syndrome (CP/CPPS) is a
common disorder in which the two main clinical features are pelvic pain and lower
urinary tract symptoms. There are currently many approaches for its management,
using both pharmacological and non-pharmacological interventions. The National
Institute of Health - Chronic Prostatitis Symptom Index (NIH-CPSI) score is a
validated measure commonly used to measure CP/CPPS symptoms. OBJECTIVES: To
assess the effects of non-pharmacological therapies for chronic
prostatitis/chronic pelvic pain syndrome (CP/CPPS). SEARCH METHODS: We performed
a comprehensive search using multiple databases, trial registries, grey
literature and conference proceedings with no restrictions on the language of
publication or publication status. The date of the latest search of all databases
was August 2017. SELECTION CRITERIA: We included randomised controlled trials.
Inclusion criteria were men with a diagnosis of CP/CPPS. We included all
available non-pharmacological interventions. DATA COLLECTION AND ANALYSIS: Two
review authors independently classified studies and abstracted data from the
included studies, performed statistical analyses and rated quality of evidence
(QoE) according to the GRADE methods. MAIN RESULTS: We included 38 unique studies
with 3290 men with CP/CPPS across 23 comparisons.1. Acupuncture: (three studies,
204 participants) based on short-term follow-up, acupuncture reduces prostatitis
symptoms in an appreciable number of participants compared with sham procedure
(mean difference (MD) in total NIH-CPSI score -5.79, 95% confidence interval (CI)
-7.32 to -4.26, high QoE). Acupuncture likely results in little to no difference
in adverse events (moderate QoE). It probably also decreases prostatitis symptoms
compared with standard medical therapy in an appreciable number of participants
(MD -6.05, 95% CI -7.87 to -4.24, two studies, 78 participants, moderate QoE).2.
Circumcision: (one study, 713 participants) based on short-term follow-up, early
circumcision probably decreases prostatitis symptoms slightly (NIH-CPSI score MD
3.00, 95% CI -3.82 to -2.18, moderate QoE) and may not be associated with a
greater incidence of adverse events compared with control (a waiting list to be
circumcised, low QoE).3. Electromagnetic chair: (two studies, 57 participants)
based on short-term follow-up, we are uncertain of the effects of the use of an
electromagnetic chair on prostatitis symptoms. It may be associated with a
greater incidence of adverse events compared with sham procedure (low to very low
QoE).4. Lifestyle modifications: (one study, 100 participants) based on short
term follow-up, lifestyle modifications may be associated with a greater
improvement in prostatitis symptoms in an appreciable number of participants
compared with control (risk ratio (RR) for improvement in NIH-CPSI scores 3.90,
95% CI 2.20 to 6.92, very low QoE). We found no information regarding adverse
events.5. Physical activity: (one study, 85 participants) based on short-term
follow-up, a physical activity programme may cause a small reduction in
prostatitis symptoms compared with control (NIH-CPSI score MD -2.50, 95% CI -4.69
to -0.31, low QoE). We found no information regarding adverse events.6. Prostatic
massage: (two studies, 115 participants) based on short-term follow-up, we are
uncertain whether the prostatic massage reduces or increases prostatitis symptoms
compared with control (very low QoE). We found no information regarding adverse
events.7. Extracorporeal shockwave therapy: (three studies, 157 participants)
based on short-term follow-up, extracorporeal shockwave therapy reduces
prostatitis symptoms compared with control (NIH-CPSI score MD -6.18, 95% CI -7.46
to -4.89, high QoE). These results may not be sustained at medium-term follow-up
(low QoE). This treatment may not be associated with a greater incidence of
adverse events (low QoE).8. Transrectal thermotherapy compared to medical
therapy: (two studies, 237 participants) based on short-term follow-up,
transrectal thermotherapy alone or in combination with medical therapy may
decrease prostatitis symptoms slightly when compared with medical therapy alone
(NIH-CPSI score MD -2.50, 95% CI -3.82 to -1.18, low QoE). One included study
reported that participants may experience transient adverse events.9. Other
interventions: there is uncertainty about the effects of other interventions
included in this review. We found no information regarding psychological support
or prostatic surgery. AUTHORS' CONCLUSIONS: Some of the interventions can
decrease prostatitis symptoms in an appreciable number without a greater
incidence of adverse events. The QoE was mostly low. Future clinical trials
should include a full report of their methods including adequate masking,
consistent assessment of all patient-important outcomes including potential
treatment-related adverse events and appropriate sample sizes.
PMID- 29372566
TI - Symptom association probability does not reliably distinguish functional
heartburn from reflux hypersensitivity.
AB - BACKGROUND: Symptom association probability (SAP) is thought to distinguish
reflux hypersensitivity from functional disorders. A diagnosis of hypersensitive
oesophagus (SAP-positive) indicates that gastro-oesophageal reflux disease (GERD)
is the cause of continued symptoms. AIM: To conduct an analysis of pH and symptom
criteria that lead to a diagnosis of SAP-positivity METHODS: We calculated SAP
for 205 patients with GERD symptoms refractory to proton pump inhibitor (PPI)
therapy who underwent endoscopy with wireless pH monitoring from 2007 to 2014.
Patients were divided into three groups: pH-negative with no oesophagitis (n =
45), pH-positive with no oesophagitis (n = 130), and patients with oesophagitis
(n = 30). We constructed a 2 * 2 table of symptom and reflux event association
and quantified the number of 2-minute intervals for each of the 2 * 2 variables
that distinguished SAP-positive from SAP-negative. In a separate cohort of 58
patients who had undergone anti-reflux surgery, we evaluated the effects of pre
surgery SAP. RESULTS: The difference in symptom association parameters that led
to a diagnosis of an SAP-positive was small (2.98% in oesophagitis-positive;
1.56% in oesophagitis-negative/pH-positive; 0.48% in oesophagitis-negative/pH
negative). In the pH-negative/oesophagitis-negative group, a difference of 0.48%
led to a diagnosis of hypersensitivity. There was significant variability in SAP
values between day 1 and day 2 of pH testing in all groups, with the greatest in
the oesophagitis-positive group, despite objective evidence for reflux (27% in
oesophagitis-positive, 19% pH-positive/oesophagitis-negative, and 7% in pH
negative/oesophagitis-negative). Pre-surgery SAP was not associated with response
to anti-reflux surgery. CONCLUSION: In PPI-refractory GERD, SAP cannot accurately
distinguish reflux hypersensitivity from functional oesophageal symptoms.
PMID- 29372568
TI - Multistage inhibitors of the malaria parasite: Emerging hope for chemoprotection
and malaria eradication.
AB - Over time, several exciting advances have been made in the treatment and
prevention of malaria; however, this devastating disease continues to be a major
global health problem and affects millions of people every year. Notably, the
paucity of new efficient drug molecules and the inevitable drug resistance of the
malaria parasite, Plasmodium falciparum, against frontline therapeutics are the
foremost struggles facing malaria eradication initiatives. According to the
malaria eradication agenda, the discovery of new chemical entities that can
destroy the parasite at the liver stage, the asexual blood stage, the gametocyte
stage, and the insect ookinete stage of the parasite life cycle (i.e., compounds
exhibiting multistage activity) are in high demand, preferably with novel and
multiple modes of action. Phenotypic screening of chemical libraries against the
malaria parasite is certainly a crucial step toward overcoming these crises. In
the last few years, various research groups, including industrial research
laboratories, have performed large-scale phenotypic screenings that have
identified a wealth of chemical entities active against multiple life stages of
the malaria parasite. Vital scientific and technological developments have led to
the discovery of multistage inhibitors of the malaria parasite; these compounds,
considered highly valuable starting points for subsequent drug discovery and
eradication of malaria, are reviewed.
PMID- 29372567
TI - Review article: the physiological effects and safety of peppermint oil and its
efficacy in irritable bowel syndrome and other functional disorders.
AB - BACKGROUND: Peppermint oil has been used for centuries as a treatment for
gastrointestinal ailments. It has been shown to have several effects on
gastrointestinal physiology relevant to clinical care and management. AIM: To
review the literature on peppermint oil regarding its metabolism, effects on
gastrointestinal physiology, clinical use and efficacy, and safety. METHODS: We
performed a PubMed literature search using the following terms individually or in
combination: peppermint, peppermint oil, pharmacokinetics, menthol, oesophagus,
stomach, small intestine, gallbladder, colon, transit, dyspepsia, nausea,
abdominal pain, and irritable bowel syndrome. Full manuscripts evaluating
peppermint oil that were published through 15 July 2017 were reviewed. When
evaluating therapeutic indications, only randomised clinical trials were
included. References from selected manuscripts were used if relevant. RESULTS: It
appears that peppermint oil may have several mechanisms of action including:
smooth muscle relaxation (via calcium channel blockade or direct enteric nervous
system effects); visceral sensitivity modulation (via transient receptor
potential cation channels); anti-microbial effects; anti-inflammatory activity;
modulation of psychosocial distress. Peppermint oil has been found to affect
oesophageal, gastric, small bowel, gall-bladder, and colonic physiology. It has
been used to facilitate completion of colonoscopy and endoscopic retrograde
cholangiopancreatography. Placebo controlled studies support its use in irritable
bowel syndrome, functional dyspepsia, childhood functional abdominal pain, and
post-operative nausea. Few adverse effects have been reported in peppermint oil
trials. CONCLUSION: Peppermint oil is a natural product which affects physiology
throughout the gastrointestinal tract, has been used successfully for several
clinical disorders, and appears to have a good safety profile.
PMID- 29372569
TI - Intravenous ketamine infusion for a patient with treatment-resistant major
depression: a 10-month follow-up.
AB - WHAT IS KNOWN AND OBJECTIVE: Ketamine in a subanaesthetic dose has been shown to
produce rapid antidepressant effects. Here, we describe a long-term follow-up
case of a Korean patient with severe major depression who received repeated
ketamine intravenous therapy (KIT). CASE DESCRIPTION: A 49-year-old woman with a
6-year history of treatment-resistant major depression was given KIT once every 1
or 2 weeks over 10 months, for a total of 36 treatments. Her mood stabilized, and
she showed a nearly 50% reduction in the severity of her depressive symptom. WHAT
IS NEW AND CONCLUSION: Long-term repeated KIT may be an option for alleviating
treatment-resistant and relapsing major depression. Further research and large
clinical trials are needed on the optimum KIT protocol, including dose, dosing
interval, total number of treatments and when to stop.
PMID- 29372570
TI - Wnt is here! Could Wnt signalling be promoted to protect against Alzheimer
disease?: An Editorial for 'Wnt signaling loss accelerates the appearance of
neuropathological hallmarks of Alzheimer's disease in J20- APP transgenic and
wild-type mice' on doi:10.1111/jnc.14278.
AB - This Editorial highlights an article in the current issue by Tapia-Rojas and
Inestrosa suggesting that attenuation of Wnt signalling may be a triggering
factor for the pathogenesis of Alzheimer disease (AD) in the J20 mouse model of
AD. Their study utilises Wnt signalling inhibitors that operate at different
points in the signalling pathway. The molecular changes of several key Wnt
signaling components are examined, along with a thorough analysis of both the
amyloid and tau based pathologies in the mouse brain. Studies focusing on
inhibition of Wnt signalling in AD mice have the potential to provide much needed
information regarding the pathological mechanisms by which attenuated Wnt
signalling impacts on AD.
PMID- 29372571
TI - Snapshot-CEST: Optimizing spiral-centric-reordered gradient echo acquisition for
fast and robust 3D CEST MRI at 9.4 T.
AB - Gradient echo (GRE)-based acquisition provides a robust readout method for
chemical exchange saturation transfer (CEST) at ultrahigh field (UHF). To develop
a snapshot-CEST approach, the transient GRE signal and point spread function were
investigated in detail, leading to optimized measurement parameters and
reordering schemes for fast and robust volumetric CEST imaging. Simulation of the
transient GRE signal was used to determine the optimal sequence parameters and
the maximum feasible number of k-space lines. Point spread function analysis
provided an insight into the induced k-space filtering and the performance of
different rectangular reordering schemes in terms of blurring, signal-to-noise
ratio (SNR) and relaxation dependence. Simulation results were confirmed in
magnetic resonance imaging (MRI) measurements of healthy subjects. Minimal
repetition time (TR) is beneficial for snapshot-GRE readout. At 9.4 T, for TR = 4
ms and optimal flip angle close to the Ernst angle, a maximum of 562 k-space
lines can be acquired after a single presaturation, providing decent SNR with
high image quality. For spiral-centric reordered k-space acquisition, the image
quality can be further improved using a rectangular spiral reordering scheme
adjusted to the field of view. Application of the derived snapshot-CEST sequence
for fast imaging acquisition in the human brain at 9.4 T shows excellent image
quality in amide and nuclear Overhauser enhancement (NOE), and enables guanidyl
CEST detection. The proposed snapshot-CEST establishes a fast and robust
volumetric CEST approach ready for the imaging of known and novel exchange
weighted contrasts at UHF.
PMID- 29372572
TI - Intermolecular Desymmetrizing Gold-Catalyzed Yne-Yne Reaction of Push-Pull
Diarylalkynes.
AB - Push-pull diaryl alkynes are dimerized in the presence of a cationic gold
catalyst. The polarized structure of the applied starting materials enables the
generation of a highly reactive vinyl cation intermediate in an intermolecular
reaction. Trapping of the vinyl cation by a nucleophilic attack of the electron
poor aryl unit then leads to the selective formation of highly substituted
naphthalenes in a single step and in complete atom economy.
PMID- 29372573
TI - Endoscopic ultrasound for rectal cancer staging: A population-based study of
utilization, impact on treatment patterns, and survival.
AB - BACKGROUND AND AIM: Optimal rectal cancer (RC) outcomes depend on accurate
locoregional staging. The study sought to describe the impact of endoscopic
ultrasound (EUS) on RC treatment patterns and survival. METHODS: Using the
Surveillance, Epidemiology, and End Results-Medicare database, the study
identified patients with RC between 2005 and 2007. The study excluded patients
with stage IV disease, those not enrolled in Medicare parts A and B, those
enrolled in managed care, and those staged with pelvic magnetic resonance imaging
(because of low numbers). The study then compared outcomes between patients who
received EUS and computed tomography of the abdomen and pelvis (CTAP) to those
staged with CTAP alone after propensity score matching. RESULTS: Between 2005 and
2007, we identified 3,408 nonmetastatic RC patients. Compared with patients
staged with CTAP alone, those who received EUS and CTAP were younger (median age:
75 vs 76 years, P < 0.0001), more likely men (57.6% vs 48.7%, P < 0.0001), with a
lower Charlson comorbidity index (P < 0.0001). Predictors of EUS included
socioeconomic status (highest vs lowest) (odds ratio [OR] 1.87, 95% confidence
interval [CI] 1.4-2.5), care by a gastroenterologist (OR 1.713, 95% CI 1.38
2.13), and care in a teaching hospital (OR 1.68, 95% CI 1.35-2.08). Receipt of
neoadjuvant chemoradiation was higher in EUS-staged patients (50.3% vs 16.0%, P <
0.0001). EUS-staged patients had longer overall survival compared with those
staged with CTAP alone (60 vs 57 months), but this was not statistically
significant (P = 0.24). CONCLUSION: Endoscopic ultrasound in RC staging is
associated with higher utilization of neoadjuvant chemoradiation without a
significant difference in overall survival.
PMID- 29372574
TI - Zero-Depth Interfacial Nanopore Capillaries.
AB - High-fidelity analysis of translocating biomolecules through nanopores demands
shortening the nanocapillary length to a minimal value. Existing nanopores and
capillaries, however, inherit a finite length from the parent membranes. Here,
nanocapillaries of zero depth are formed by dissolving two superimposed and
crossing metallic nanorods, molded in polymeric slabs. In an electrolyte, the
interface shared by the crossing fluidic channels is mathematically of zero
thickness and defines the narrowest constriction in the stream of ions through
the nanopore device. This novel architecture provides the possibility to design
nanopore fluidic channels, particularly with a robust 3D architecture maintaining
the ultimate zero thickness geometry independently of the thickness of the
fluidic channels. With orders of magnitude reduced biomolecule translocation
speed, and lowered electronic and ionic noise compared to nanopores in 2D
materials, the findings establish interfacial nanopores as a scalable platform
for realizing nanofluidic systems, capable of single-molecule detection.
PMID- 29372575
TI - Distribution of Ebp pili among clinical and fecal isolates of Enterococcus
faecalis and evaluation for human platelet activation.
AB - Although Enterococcus faecalis is known as normal flora in colon, it is also
amongst the most common causative agents of infective endocarditis (IE). Platelet
activation resulting from adherence to platelets is an essential step in the
pathogenesis of IE. One of the factors proposed in adhesion is endocarditis- and
biofilm- associated pili encoded by ebp operon. The aim of this study was to
investigate ebp in isolates from different origins and analyze the potential of
isolates to activate human platelets of different donors. The ebp distribution
was investigated in E. faecalis from different origin infections (n = 103) and
fecal flora (n = 20). Then, selected isolates from blood (n = 5), urine (n = 2),
and fecal flora (n = 3) were analyzed by flow cytometry assay for the ability to
activate platelets of four different donors. No statistically significant
difference was found for the ebp presence between infective and fecal isolates.
Also, it was found that the ability for platelet activation is independent of the
bacterial origin. However, significant difference was found in platelet
activation between different donors. The results suggest that the presence or
absence of ebp is not a critical factor for platelet activation by E. faecalis
isolates. However, host factors seem to contribute in this activity.
PMID- 29372577
TI - The association of interferon-gamma, interleukin-4 and interleukin-17 single
nucleotide polymorphisms with susceptibility to tuberculosis.
AB - Susceptibility to tuberculosis and progression of the disease depend on
interactions between the bacterial agent, host immune system, and environmental
and genetic factors. In this case-controlled study, we aimed to determine the
role of single-nucleotide polymorphisms of interferon-gamma, interleukin-4 and
interleukin-17 in susceptibility to tuberculosis. Genomic DNA was extracted from
peripheral blood samples of patients and controls. The association of single
nucleotide polymorphisms in interleukin-4 (-590C/T), interleukin-17 (-152A/G) and
interferon-gamma (+874T/A) was investigated by polymerase chain reaction (PCR)
restriction fragment length polymorphism and amplification refractory mutation
system-PCR. A total of 76 tuberculosis patients and 119 healthy individuals were
included in this study. The interferon-gamma (+874T/A) TA genotype was
significantly associated with susceptibility to tuberculosis in patients compared
to controls (OR = 1.76; 95%CI = 0.84-3.71; p = 0.007), while the interferon-gamma
(+874T/A) TT genotype (OR = 0.51; 95%CI = 0.19-1.36; p = 0.007) had protective
effects against tuberculosis and was related to a low risk of tuberculosis
development. The difference between allelic and genotypic frequencies of
interleukin-4 (-590C/T) between patients and controls was not significant (p =
0.46). Multivariate logistic regression analysis revealed that the interleukin-17
(-152A/G) AG genotype (OR = 2.27; 95%CI = 1.19-4.34; p = 0.03) and AA genotype
(OR = 1.03; 95%CI = 0.43-2.44; p = 0.03) were significantly different between
patients and controls. In conclusion, single-nucleotide mutations in different
cytokine genes may have protective effects or increase the risk of tuberculosis.
PMID- 29372576
TI - Long-term outcomes of rotational atherectomy of underexpanded stents. A single
center experience.
AB - OBJECTIVES: To analyze the procedural and long-term outcomes of the use of
rotational atherectomy (RA) in underexpanded stents in our cohort and to provide
an overview of currently available data on this technique. BACKGROUND: Stent
underexpansion (SU) has been related to stent thrombosis and restenosis. RA has
been used to treat undilatable SU as a bail-out strategy with encouraging
results. METHODS: This is an observational, single-center study. We included
patients who underwent stentablation between 2013 and 2017. Baseline
demographics, procedural results, in-hospital major adverse cardiac events
(MACE), and long-term follow-up MACE were retrospectively collected. RESULTS: A
total of 11 patients (90.9% males, mean age 65.4 +/- 18.6) were included in this
study. Median left ventricle ejection fraction was 53.5% [46.2-55]. Median
calculated Syntax score was 16 [9-31] and 45.5% of patients were admitted for
acute coronary syndrome. Radial approach was used in 63.6% of cases. Most
patients only required one burr (45% used a 1.5 mm diameter burr) during the
intervention. Procedural success was achieved in 90.9% of the cases. Acute lumen
gain was 42.7% [30.7-61.49]. There were no in-hospital deaths or MACE. At a
median follow-up of 26 months, only one patient (9.1%) suffered MACE in the
context of acute coronary syndrome, and two patients (18.2%) required non-target
lesion revascularization. No deaths were reported. CONCLUSIONS: RA of under
expanded stents is a feasible option with a high rate of procedural success. At
long-term follow-up, all of them were alive and 90.9% of patients remained free
from MACE.
PMID- 29372579
TI - Exceeding the daily dosing limit of nonsteroidal anti-inflammatory drugs among
ibuprofen users.
AB - PURPOSE: Nonsteroidal anti-inflammatory drugs (NSAIDs) are effective and very
commonly used, but also have side effects. We determined prevalence of NSAID
dosing exceeding the daily limit (EDL) and identified related user
characteristics and dosing patterns among current ibuprofen users. METHODS:
Online 1-week diary study of 1326 ibuprofen users. NSAIDs were identified by list
based prompting; respondents were not required to know their medications were
NSAIDs. Details of hourly use were recorded by respondents daily. Deviations from
dosing directions were programmatically determined afterwards. An exit survey
obtained information on demographics, medical history, physical and mental health
status, attitudes regarding label reading and dosing behavior, and knowledge of
product label instructions. RESULTS: Most diary users (90%) took over-the-counter
ibuprofen during the week; 37% also took non-ibuprofen NSAIDs. Most did not
recognize all products taken as NSAIDs. EDL occurred among 11% of users for
ibuprofen, 4% of users for other NSAIDs, and on 9.1% of NSAID usage days. EDL was
associated with deviations from detailed dosing directions, particularly
exceeding the 1-time dose, which occurred more often with medications with 1-pill
doses. Personal characteristics associated with EDL included male sex, ongoing
pain, poor physical function, daily smoking, having the attitudes of "choosing my
own dose" and not starting with the lowest dose, and poor knowledge of the
recommended 1-time and 24-hour doses. CONCLUSIONS: The prevalence of EDL among
NSAID users is nontrivial, and it is associated with potentially modifiable
factors. Educating consumers about NSAIDs and their dosing directions could
reduce excess dosing.
PMID- 29372578
TI - Ultrasound guidance versus anatomical landmark approach for femoral artery access
in coronary angiography: A randomized controlled trial and a meta-analysis.
AB - OBJECTIVES: The objective was to assess the effect of ultrasound (US)-guidance
compared to the anatomical landmark (AL) approach in patients requiring femoral
artery (FA) access for coronary angiography/percutaneous coronary interventions
(PCI). BACKGROUND: US-guidance has been proposed as a strategy to optimize FA
access, potentially leading to decreased vascular complications. METHODS:
Patients requiring FA access for coronary angiography/PCI were randomized to the
US-guided or AL approaches. The primary endpoint was a composite of immediate
procedural vascular outcomes, and access-site outcomes at day one. Results were
subsequently pooled in a study-level meta-analysis of randomized trials comparing
US-guided FA access to another strategy. RESULTS: A total of 129 patients were
randomized (64 US-guided group; 65 AL group). The primary endpoint occurred in 30
patients (47%) with US, and in 39 patients (62%) with AL (P = 0.09). Four
additional studies met the inclusion criteria and were included in the meta
analysis (1553 patients). Following data pooling, bleeding events (OR = 0.41;
95%CI 0.20-0.83; P = 0.01), venipunctures (OR = 0.18; 95%CI: 0.11-0.29; P <
0.0001), and multiple puncture attempts (OR = 0.24; 95%CI: 0.19-0.31; P < 0.0001)
were significantly improved with US-guidance, but not successful common FA
cannulation (OR = 0.84; 95%CI: 0.60-1.17; P = 0.29). CONCLUSION: Our study did
not show significant benefits for the use of US to guide arterial femoral access
compared to the anatomical landmark approach, but pooled analysis of five
randomized trials showed decreased rates of bleeding events and venipunctures,
and improved first-pass success. The clinical impact of these findings is
uncertain, and do not warrant a systematic use of US-guidance in this clinical
setting.
PMID- 29372580
TI - Young children's non-numerical ordering ability at the start of formal education
longitudinally predicts their symbolic number skills and academic achievement in
maths.
AB - Ordinality is a fundamental feature of numbers and recent studies have
highlighted the role that number ordering abilities play in mathematical
development (e.g., Lyons et al., ), as well as mature mathematical performance
(e.g., Lyons & Beilock, ). The current study tested the novel hypothesis that non
numerical ordering ability, as measured by the ordering of familiar sequences of
events, also plays an important role in maths development. Ninety children were
tested in their first school year and 87 were followed up at the end of their
second school year, to test the hypothesis that ordinal processing, including the
ordering of non-numerical materials, would be related to their maths skills both
cross-sectionally and longitudinally. The results confirmed this hypothesis.
Ordinal processing measures were significantly related to maths both cross
sectionally and longitudinally, and children's non-numerical ordering ability in
their first year of school (as measured by order judgements for everyday events
and the parents' report of their child's everyday ordering ability) was the
strongest longitudinal predictor of maths one year later, when compared to
several measures that are traditionally considered to be important predictors of
early maths development. Children's everyday ordering ability, as reported by
parents, also significantly predicted growth in formal maths ability between Year
1 and Year 2, although this was not the case for the event ordering task. The
present study provides strong evidence that domain-general ordering abilities
play an important role in the development of children's maths skills at the
beginning of formal education.
PMID- 29372581
TI - Functional Hydride Transfer by a Thiolate-Containing Model of Mono-Iron
Hydrogenase featuring an Anthracene Scaffold.
AB - We report the synthesis, X-ray structure and functional biomimetic activity of a
model complex of mono-iron hydrogenase (Hmd). To achieve the desired biomimetic
fac-CNS(thiolate) ligation motif, an anthracene framework is used to provide the
requisite donors in a single chelate. A bulky aryl thiolate (ortho
dimethylphenyl) is included to achieve mononuclearity. In addition to exhibiting
structural (X-ray) and spectroscopic (NMR, IR) similarity to the enzyme, the
complex is competent for H2 activation (heterolysis) and hydride transfer to a
model substrate-mimicking the functional behavior of the enzyme in a biomimetic
CNS coordination sphere for the first time.
PMID- 29372582
TI - Bayesian dose-finding phase I trial design incorporating historical data from a
preceding trial.
AB - We consider the problem of incorporating historical data from a preceding trial
to design and conduct a subsequent dose-finding trial in a possibly different
population of patients. In oncology, for example, after a phase I dose-finding
trial is completed in Caucasian patients, investigators often conduct a further
phase I trial to determine the maximum tolerated dose in Asian patients. This may
be due to concerns about possible differences in treatment tolerability between
populations. In this study, we propose to adaptively incorporate historical data
into prior distributions assumed in a new dose-finding trial. Our proposed
approach aims to appropriately borrow strength from a previous trial to improve
the maximum tolerated dose determination in another patient population. We define
a "historical-to-current (H-C)" parameter representing the degree of borrowing
based on a retrospective analysis of previous trial data. In simulation studies,
we examine the operating characteristics of the proposed method in comparison
with 3 alternative approaches and assess how the H-C parameter functions across a
variety of realistic settings.
PMID- 29372583
TI - Transparent, Flexible, Conformal Capacitive Pressure Sensors with Nanoparticles.
AB - The fundamental challenge in designing transparent pressure sensors is the ideal
combination of high optical transparency and high pressure sensitivity.
Satisfying these competing demands is commonly achieved by a compromise between
the transparency and usage of a patterned dielectric surface, which increases
pressure sensitivity, but decreases transparency. Herein, a design strategy for
fabricating high-transparency and high-sensitivity capacitive pressure sensors is
proposed, which relies on the multiple states of nanoparticle dispersity
resulting in enhanced surface roughness and light transmittance. We utilize two
nanoparticle dispersion states on a surface: (i) homogeneous dispersion, where
each nanoparticle (~500 nm) with a size comparable to the visible light
wavelength has low light scattering; and (ii) heterogeneous dispersion, where
aggregated nanoparticles form a micrometer-sized feature, increasing pressure
sensitivity. This approach is experimentally verified using a nanoparticle
dispersed polymer composite, which has high pressure sensitivity (1.0 kPa-1 ),
and demonstrates excellent transparency (>95%). We demonstrate that the
integration of nanoparticle-dispersed capacitor elements into an array readily
yields a real-time pressure monitoring application and a fully functional touch
device capable of acting as a pressure sensor-based input device, thereby opening
up new avenues to establish processing techniques that are effective on the
nanoscale yet applicable to macroscopic processing.
PMID- 29372584
TI - Evolving doublesex expression correlates with the origin and diversification of
male sexual ornaments in the Drosophila immigrans species group.
AB - Male ornaments and other sex-specific traits present some of the most dramatic
examples of evolutionary innovations. Comparative studies of similar but
independently evolved traits are particularly important for identifying repeated
patterns in the evolution of these traits. Male-specific modifications of the
front legs have evolved repeatedly in Drosophilidae and other Diptera. The best
understood of these novel structures is the sex comb of Drosophila melanogaster
and its close relatives. Here, we examine the evolution of another male foreleg
modification, the sex brush, found in the distantly related Drosophila immigrans
species group. Similar to the sex comb, we find that the origin of the sex brush
correlates with novel, spatially restricted expression of the doublesex (dsx)
transcription factor, the primary effector of the Drosophila sex determination
pathway. The diversity of Dsx expression patterns in the immigrans species group
closely reflects the differences in the presence, position, and size of the sex
brush. Together with previous work on sex comb evolution, these observations
suggest that tissue-specific activation of dsx expression may be a common
mechanism responsible for the evolution of sexual dimorphism and particularly for
the origin of novel male-specific ornaments.
PMID- 29372585
TI - Life-Space Assessment questionnaire: Novel measurement properties for Brazilian
community-dwelling older adults.
AB - AIM: The Life-Space Assessment (LSA) assesses mobility from the spaces that older
adults go, and how often and how independent they move. Despite its increased
use, LSA measurement properties remain unclear. The aim of the present study was
to analyze the content validity, reliability, construct validity and
interpretability of the LSA for Brazilian community-dwelling older adults.
METHODS: In this clinimetric study we analyzed the measurement properties
(content validity, reliability, construct validity and interpretability) of the
LSA administered to 80 Brazilian community-dwelling older adults. Reliability was
analyzed by Cronbach's alpha (internal consistency), intraclass correlation
coefficients and 95% confidence interval (reproducibility), and standard error of
measurement (measurement error). Construct validity was analyzed by Pearson's
correlations between the LSA and accelerometry (time in inactivity and moderate
to-vigorous activities), and interpretability was analyzed by determination of
the minimal detectable change, and floor and ceiling effects. RESULTS: The LSA
met the criteria for content validity. The Cronbach's alpha was 0.92, intraclass
correlation coefficient was 0.97 (95% confidence interval 0.95-0.98) and standard
error of measurement was 4.12. The LSA showed convergence with accelerometry
(negative correlation with time in inactivity and positive correlation with time
in moderate to vigorous activities), the minimal detectable change was 0.36 and
we observed no floor or ceiling effects. CONCLUSIONS: The LSA showed adequate
reliability, validity and interpretability for life-space mobility assessment of
Brazilian community-dwelling older adults. Geriatr Gerontol Int 2018; 18: 783
789.
PMID- 29372586
TI - Risk of psychological distress in partners with functional disability among older
Japanese adults.
AB - AIM: The present cross-sectional study aimed to evaluate the association of
psychological distress with a partner's disability in an older Japanese
population, as well as the effect modification of social support on this
association. METHODS: The baseline survey was carried out between 1 December and
15 December 2006, and included 6809 participants from whom we collected data
regarding functional disability and psychological distress. We defined functional
disability as certification for long-term care insurance in Japan, and
psychological distress as a Kessler 6 score of >=10 out of 24. A multiple
logistic regression analysis was used to calculate odds ratios (OR) and 95%
confidence intervals (CI) for increased psychological distress according to the
categories of functional disability among partners. Stratified analyses were also
carried out to investigate whether social support significantly affected the
association between a partner's functional disability and psychological distress.
RESULTS: The multiple-adjusted OR for psychological distress was 1.48 (95% CI
1.06-2.04) among participants whose partners had functional disabilities (vs
those whose partners did not have functional disabilities). In the social support
stratified analysis, a significant association with psychological distress was
observed among participants lacking social support for help with their daily
housework (OR 2.47, 95% CI 1.23-4.83), but not among those with social support
(OR 1.18, 95% CI 0.79-1.72); P for interaction = 0.03). CONCLUSIONS: A partner
with functional disability conferred a significantly higher risk of psychological
distress on older Japanese individuals, and this association was modified by
social support. We conclude that social support might buffer psychological
distress in this population. Geriatr Gerontol Int 2018; 18: 775-782.
PMID- 29372587
TI - Psychosocial impact of Parkinson's disease-associated dysarthria: Cross-cultural
adaptation and validation of the Dysarthria Impact Profile into European
Portuguese.
AB - AIM: The present study sought to make a cross-cultural adaptation of the
Dysarthria Impact Profile (DIP) for European Portuguese (EP) and validate it for
use in Parkinson's disease (PD) patients. METHODS: The cross-cultural adaptation
was carried out in accordance with the guidelines. The EP version of the DIP was
administered to 80 people with PD, and 30 sex- and age-matched control
participants. Psychometric properties, acceptability, feasibility reliability
(internal consistency and intrarater agreement) and validity (construct,
convergent and known-groups validity) were assessed using other assessment tools
(motor disability and impairment, and voice impact). RESULTS: Overall, the EP-DIP
final version has the same conceptual meaning, semantics, idiomatic and score
equivalences as the original version. Statistical analyses showed adequate
feasibility (missing data <5%), good acceptability (ceiling or floor effects
<15%; high requests of assistance to complete the questionnaire), satisfactory
internal consistency (Cronbach's alpha = 0.9), weak-to-moderate intrarater
reliability, good construct validity, strong convergent validity (with the Voice
Handicap Index; Spearman's P = -0.8) and good known-groups validity (between
those with PD and control participants). CONCLUSIONS: The EP-DIP version displays
the salient features of a valid patient-based assessment tool used to measure the
psychosocial impact of slight-to-mild dysarthria in people with PD. Geriatr
Gerontol Int 2018; 18: 767-774.
PMID- 29372588
TI - Five decades of the Fontan operation: A systematic review of international
reports on outcomes after univentricular palliation.
AB - Almost fifty years after its first clinical application, the modified Fontan
operation is among the most frequently performed procedures in congenital heart
disease surgery in children today. The objective of this review is to
systematically summarize the international evolution of outcomes in regard to
morbidity and mortality of patients with Fontan palliation. All studies published
over the past five decades with more than 100 Fontan patients included were
screened. In eligible studies, information concerning preoperative patients'
characteristics, Fontan modifications employed, early mortality, long-term
survival and frequency of relevant complications was extracted. Ultimately,
thirty-one studies published by the largest surgical centers with an overall
number of 9390 patients were included in this review. The extracardiac total
cavopulmonary anastomosis is the most frequently used Fontan modification.
Hemodynamic data demonstrate a rigorous overall adherence to suggested Fontan
selection criteria. The analysis showed a clear trend toward improved early and
long-term survival over the time period covered. Although inconsistently
reported, severe complications such as arrhythmias, thromboembolic events and
protein-losing enteropathy as well as reoperations and reinterventions were
frequent. In conclusion, patients palliated for complex univentricular heart
malformations nowadays benefit from the experience and technical developments of
the past decades and have a significantly improved long-term prognosis. However,
important issues concerning postoperative long-term morbidity and mortality are
still unsolved and clear intrinsic limitations of the Fontan circulation are
becoming evident as the population of Fontan patients ages.
PMID- 29372589
TI - Aerobic exercise in depressed youth: A feasibility and clinical outcomes pilot.
AB - AIM: Major depressive disorder (MDD) onset generally occurs in adolescence/early
adulthood. However, pharmacotherapy use in younger populations is restricted due
to black box warnings. Aerobic exercise may be a viable treatment option for mild
to-moderate MDD, but little is known about its acceptability/effectiveness in
young adults. METHODS: Unmedicated and relatively inactive 18-to-24 olds with MDD
completed fitness/clinical assessments at baseline and after 12 weeks of
supervised aerobic exercise (3*/wk; 30-minute sessions in target heart rate [HR]
zone), with the aim of increasing cardiovascular fitness (VO2max -indexed).
RESULTS: Post-intervention, predicted VO2max increased, whereas depression scores
decreased. A correlation existed between time spent in target HR zone and anxiety
symptom decreases. Exercise adherence and satisfaction were high, and drop-out
was minimal. CONCLUSIONS: This pilot is among the first to assess the feasibility
of aerobic exercise as an antidepressant treatment strategy in young adults, a
group for which options have limited acceptability.
PMID- 29372590
TI - A Comparative Analysis of Attitudes on Communication Toward Stem Cell Research
and Regenerative Medicine Between the Public and the Scientific Community.
AB - Owing to the rapid progress in stem cell research (SCR) and regenerative medicine
(RM), society's expectation and interest in these fields are increasing. For
effective communication on issues concerning SCR and RM, surveys for
understanding the interests of stakeholders is essential. For this purpose, we
conducted a large-scale survey with 2,160 public responses and 1,115 responses
from the member of the Japanese Society for Regenerative Medicine. Results showed
that the public is more interested in the post-realization aspects of RM, such as
cost of care, countermeasures for risks and accidents, and clarification of
responsibility and liability, than in the scientific aspects; the latter is of
greater interest only to scientists. Our data indicate that an increased
awareness about RM-associated social responsibility and regulatory framework is
required among scientists, such as those regarding its benefits, potential
accidents, abuse, and other social consequences. Awareness regarding the
importance of communication and education for scientists are critical to bridge
the gaps in the interests of the public and scientists. Stem Cells Translational
Medicine 2018;7:251-257.
PMID- 29372591
TI - Clinical yield of fetal echocardiography for suboptimal cardiac visualization on
obstetric ultrasound.
AB - OBJECTIVE: Suboptimal cardiac imaging on obstetric ultrasound is a frequent
referral indication for fetal echocardiography, even in the absence of typical
risk factors for fetal cardiac disease. The clinical profile of patients and
findings of examinations performed for such an indication are not well defined.
Given the increased cost, time and resource utilization of fetal
echocardiography, we sought to determine the clinical findings of such referrals.
STUDY DESIGN: We performed a single-center review of such referrals from January
2010 to June 2016. Patients with commonly accepted indications for fetal
echocardiography were excluded. Demographic variables and echocardiogram findings
were collected. Findings were classified as (1) "normal," (2) "probably normal,"
if minor pathology could not confidently be excluded, or if minor findings were
noted that were expected to resolve, or (3) "abnormal." Rates of pathology were
determined with comparison of nonobese and obese populations. RESULTS: A total of
583 gestations in 562 women were included (median gestational age 23.3 weeks,
range 19.0-38.4). The median body mass index (BMI) was 34.6 kg/m2 (range 17.2
66.3 kg/m2 ). The majority of women were obese (BMI >= 30 kg/m2 in 74.6%).
Overall, 574 of 583 examinations (98.5%) were normal or "probably normal."
Pathology was noted in 9 fetuses (1.5%), 3 of whom required intervention (0.5%).
No ductal dependent lesions were diagnosed. There was no significant difference
in pathology rates between nonobese and obese mothers. CONCLUSIONS: We found a
low fetal cardiac anomaly rate in studies performed for suboptimal views on
obstetric ultrasound. The majority of women referred for this indication were
obese. The practice of routine referral for this indication deserves further
evaluation.
PMID- 29372592
TI - Rasagiline delays retinal degeneration in a mouse model of retinitis pigmentosa
via modulation of Bax/Bcl-2 expression.
AB - AIMS: Retinitis pigmentosa (RP) is an inherited disease characterized by a
progressive degeneration of rod photoreceptors. An imbalance between pro- and
antiapoptotic factors, such as Bax/Bcl-2, has been involved in retinal
degeneration. To date, no cure or effective treatments are available for RP.
Rasagiline is an antiparkinsonian drug that has shown neuroprotective effects in
part attributed to a modulation of Bax/Bcl-2 expression. In this study, we have
evaluated the use of rasagiline as a potential treatment for RP. METHODS: Newborn
rd10 mice, a RP model, were treated with oral rasagiline during 30 days followed
by a functional and morphological characterization of their mouse retinas.
RESULTS: Treated animals showed a significant improvement in visual acuity and in
the electrical responses of photoreceptors to light stimuli. Rasagiline delayed
photoreceptor degeneration, which was confirmed not only by a high photoreceptor
nuclei counting, but also by a sustained expression of photoreceptor-specific
markers. In addition, the expression of proapoptotic Bax decreased, whereas the
antiapoptotic factor Bcl-2 increased after rasagiline treatment. CONCLUSION: This
study provides new evidences regarding the neuroprotective effect of rasagiline
in the retina, and it brings new insight into the development of future clinical
trials using this well-established antiparkinsonian drug to treat RP.
PMID- 29372593
TI - Lipoedema in patients after bariatric surgery: report of two cases and review of
literature.
AB - Lipoedema is a disorder of adipose tissue that is characterized by abnormal
subcutaneous fat deposition, leading to swelling and enlargement of the lower
limbs as well as the trunk. This entity is often misdiagnosed as lymphoedema or
obesity and, therefore, may be overlooked and missed in patients scheduled for
bariatric surgery. Patients with lipoedema who undergo bariatric surgery may have
to continue to have extensive lower extremity and trunk adiposity despite
adequate weight loss. In this report, we present two patients who had extensive
trunk and lower extremity adiposity, one of them before and the other after the
bariatric surgery.
PMID- 29372594
TI - Development and validation of a clinically applicable score to classify cachexia
stages in advanced cancer patients.
AB - BACKGROUND: Cachexia is a multifactorial syndrome that is highly prevalent in
advanced cancer patients and leads to progressive functional impairments. The
classification of cachexia stages is essential for diagnosing and treating
cachexia. However, there is a lack of simple tools with good discrimination for
classifying cachexia stages. Therefore, our study aimed to develop a clinically
applicable cachexia staging score (CSS) and validate its discrimination of
clinical outcomes for different cachexia stages. METHODS: Advanced cancer
patients were enrolled in our study. A CSS comprising the following five
components was developed: weight loss, a simple questionnaire of sarcopenia (SARC
F), Eastern Cooperative Oncology Group, appetite loss, and abnormal biochemistry.
According to the CSS, patients were classified into non-cachexia, pre-cachexia,
cachexia, and refractory cachexia stages, and clinical outcomes were compared
among the four groups. RESULTS: Of the 297 participating patients, data from 259
patients were ultimately included. Based on the CSS, patients were classified
into non-cachexia (n = 69), pre-cachexia (n = 68), cachexia (n = 103), and
refractory cachexia (n = 19) stages. Patients with more severe cachexia stages
had lower skeletal muscle indexes (P = 0.002 and P = 0.004 in male and female
patients, respectively), higher prevalence of sarcopenia (P = 0.017 and P = 0.027
in male and female patients, respectively), more severe symptom burden (P <
0.001), poorer quality of life (P < 0.001 for all subscales except social well
being), and shorter survival times (P < 0.001). CONCLUSIONS: The CSS is a simple
and clinically applicable tool with excellent discrimination for classifying
cachexia stages. This score is extremely useful for the clinical treatment and
prognosis of cachexia and for designing clinical trials.
PMID- 29372595
TI - Methotrexate might reduce ischemic stroke in patients with rheumatoid arthritis:
a population-based retrospective cohort study.
AB - AIM: To investigate the effects of hydroxychloroquine, sulfasalazine and
methotrexate on ischemic stroke in patients with rheumatoid arthritis (RA).
METHODS: This population-based retrospective cohort study included 7904 RA
patients and 15 808 non-RA patients between 2000 and 2010. All of the
participants were sampled from the National Health Insurance Research Database
(NHIRD) of Taiwan. Using univariate analyses, these two groups of patients were
compared to evaluate the differences in disease-modifying anti-rheumatic drugs
usage and demographic variables. Cox proportional hazard models and Schoenfeld
residuals test were performed to estimate the hazard ratios for ischemic stroke
and proportional hazard assumptions of these drugs, respectively. RESULTS: The
mean age of participants was about 53 years old, and about 70% of RA patients
were women. The hazard ratio for ischemic stroke was 1.21 (95% CI: 1.10-1.34; P <
0.01) in the case group compared with the control group, and this significant
difference persisted throughout the 10-year period. With respect to RA patients,
while hydroxychloroquine showed an insignificant protective effect on ischemic
stroke, sulfasalazine and methotrexate were found out to have inconsistent
effects during these 10 years. The proportional hazard assumption test of
methotrexate at > 0.5 defined daily dose (8.75 mg/week) was violated at a
significant level after adjustment (P = 0.0002). CONCLUSIONS: At a dosage of >
0.5 defined daily dose, short-term methotrexate might decrease ischemic stroke
risk in RA patients, while hydroxychloroquine and sulfasalazine were neutral.
PMID- 29372596
TI - Histopathological evaluation of duodenal biopsy in the PreventCD project. An
observational interobserver agreement study.
AB - Aim of the current study was to evaluate the inter-observer agreement between
pathologists in the diagnosis of celiac disease (CD), in the qualified context of
a multicenter study. Biopsies from the "PreventCD" study, a multinational-
prospective- randomized study in children with at least one-first-degree relative
with CD and positive for HLA-DQ2/HLA-DQ8. Ninety-eight biopsies were evaluated.
Considering diagnostic samples with villous atrophy (VA), the agreement was
satisfactory (kappa = 0.84), but much less when assessing the severity of these
lesions. The use of the recently proposed Corazza-Villanacci classification
showed a moderately higher level of agreement (kappa = 0.39) than using the Marsh
Oberhuber system (kappa = 0.31). 57.1% of cases were considered correctly
oriented. A number of >4 samples per patient was statistically associated to a
better agreement; orientation did not impact on kappa values. Agreement results
in this study appear more satisfactory than in previous papers and this is
justified by the involvement of centers with experience in CD diagnosis and by
the well-controlled setting. Despite this, the reproducibility was far from
optimal with a poor agreement in grading the severity of VA. Our results stress
the need of a minimum of four samples to be assessed by the pathologist.
PMID- 29372597
TI - Differences in the neural correlates of frontal lobe tests.
AB - BACKGROUND: The Executive Interview (EXIT25), the executive clock-drawing task
(CLOX1), and the Frontal Assessment Battery (FAB) are used to assess executive
function at the bedside. These tests assess distinct psychometric properties. The
aim of this study was to examine differences in the neural correlates of the
EXIT25, CLOX1, and FAB based on magnetic resonance imaging. METHODS: Fifty-eight
subjects (30 with Alzheimer's disease, 10 with mild cognitive impairment, and 18
healthy controls) participated in this study. Multiple regression analyses were
performed to examine the brain regions correlated with the EXIT25, CLOX1, and FAB
scores. Age, gender, and years of education were included as covariates.
Statistical thresholds were set to uncorrected P-values of 0.001 at the voxel
level and 0.05 at the cluster level. RESULTS: The EXIT25 score correlated
inversely with the regional grey matter volume in the left lateral frontal lobe
(Brodmann areas 6, 9, 44, and 45). The CLOX1 score correlated positively with the
regional grey matter volume in the right orbitofrontal cortex (Brodmann area 11)
and the left supramarginal gyrus (Brodmann area 40). The FAB score correlated
positively with the regional grey matter volume in the right precentral gyrus
(Brodmann area 6). The left lateral frontal lobe (Brodmann area 9) and the right
lateral frontal lobe (Brodmann area 46) were identified as common brain regions
that showed association with EXIT25, CLOX1, and FAB based only a voxel-level
threshold. CONCLUSIONS: The results of this study suggest that the EXIT25, CLOX1,
and FAB may be associated with the distinct neural correlates of the frontal
cortex.
PMID- 29372598
TI - Risk of hospitalization associated with anticholinergic medication for patients
with dementia.
AB - BACKGROUND: With the ageing of the general population, demand has grown for
measures to prevent hospitalization for dementia, which can exacerbate problems
associated with activities of daily living in elderly individuals.
Anticholinergic medication has been shown to cause falls, delirium, and cognitive
impairment in aged patients. However, the risk of hospitalization associated with
the administration of anticholinergics is unclear. METHODS: We analyzed the
records of 61 outpatients (26 men, 35 women; mean age: 78 +/- 7 years; mean
follow-up period: 420 days) diagnosed with dementia (Alzheimer's disease: n = 45;
dementia with Lewy bodies: n = 3; undifferentiated n = 13) and prescribed anti
dementia drugs between May 2013 and December 2014. Medication history was noted,
and the patients were divided into two groups according to the Anticholinergic
Risk Scale: with risk (n = 13) and without risk (n = 48). Outcome was judged
based on an end-point of hospitalization or death. Kaplan-Meier survival and Cox
proportional hazard analyses were performed. RESULTS: Eight patients with
anticholinergic risk and 12 without anticholinergic risk reached the end-point (P
< 0.005). Analysis with a proportional hazard model showed that anticholinergic
medication administration was related to a higher risk for reaching the end-point
(crude hazard ratio: 3.62, 95% confidence interval: 1.45-9.04, P < 0.01; adjusted
hazard ratio: 4.54, 95% confidence interval: 1.03-20.0, P < 0.05). In contrast,
Mini-Mental State Examination score, Charlson Comorbidity Index, and the number
of drugs were not major risk factors for hospitalization in patients with
dementia. CONCLUSION: The Anticholinergic Risk Scale findings were shown to be a
strong predictor of hospitalization for patients with dementia. We should
evaluate the anticholinergic burden before initiating anti-dementia therapy.
PMID- 29372599
TI - Clinical significance of cognitive rehabilitation and psychoeducational
intervention for family caregivers of patients with posterior cortical atrophy: a
longitudinal study.
PMID- 29372600
TI - Effect of preceding home-visit nursing on time to discharge in hospitalization
for the treatment of behavioural and psychological symptoms of dementia among
patients with limited familial care.
AB - BACKGROUND: During hospitalization for behavioural and psychological symptoms of
dementia (BPSD), it is imperative to build a support system for each patient in
the community for after they obtain symptom remission. To this end, patients
lacking adequate family support are less likely to be discharged to their own
homes and need stronger support systems to be established. This study therefore
investigated the effects of home-visit nursing before admission on time to home
discharge among patients with limited familial care who were hospitalized for
treatment of BPSD. METHODS: A single-centre chart review study was conducted on
consecutive patients admitted from home between April 2013 and September 2015 for
treatment of BPSD and who had lived alone or with a working family member. Time
to home discharge was compared between patients who had home-visit nursing before
their admission and those who did not. RESULTS: In total, 58 patients were
enrolled in the study, of whom 12 had preceding home-visit nursing (PHN group)
and 46 did not (non-PHN group). Patients in the PHN group were younger (77.7 +/-
4.9 vs. 84.1 +/- 6.1 years, P = 0.0011) and had higher Mini-Mental State
Examination scores (16.8 +/- 7.2 vs 11.8 +/- 7.3, P = 0.0287). A multivariate Cox
proportional hazard regression analysis adjusted for age and Mini-Mental State
Examination scores showed a higher likelihood of discharge to home in the PHN
group (hazard ratio: 3.85; 95% confidence interval: 1.27-11.6;, P = 0.017) than
in the non-PHN group. CONCLUSION: Home-visit nursing before admission of BPSD
patients for treatment could improve the rate of discharge to home among patients
with limited familial care after subsequent hospitalization. Home-visit nursing
could also enhance collaborative relationships between social and hospital-based
care systems, and early implementation could improve the likelihood of vulnerable
patient types remaining in their own homes for as long as possible.
PMID- 29372601
TI - Comparing the neuropsychological profiles of mild dementia with Lewy bodies and
mild Alzheimer's disease.
AB - BACKGROUND: The present study was conducted to detect neuropsychological
differences and to identify discriminators between mild dementia with L ewy
bodies (DLB ) and mild A lzheimer's disease (AD ). METHODS: The present study
included 37 mild DLB patients, 48 mild AD patients, and 80 healthy elderly
individuals. A comprehensive battery of neuropsychological tests was
administrated to assess their cognitive function. Linear stepwise discriminant
analysis was used to identify the neuropsychological measures with values that
could differentiate between mild DLB and mild AD . RESULTS: The mild DLB group
had greater impairments in attention, executive function, and visuospatial
ability, while mild AD patients performed significantly worse on memory tests.
Linear stepwise discriminant analysis indicated that the M emory and E xecutive S
creening's 5-min delayed recall test was the best neuropsychological
discriminator between mild DLB and mild AD . CONCLUSIONS: Different patterns of
cognitive impairment facilitate the differentiation of mild DLB from mild AD .
For patients with mild dementia, better performance on the M emory and E xecutive
S creening's 5-min delayed recall test suggests that the diagnosis is more likely
DLB than AD .
PMID- 29372602
TI - Why do older people refuse resuscitation? A qualitative study examining
retirement village residents' resuscitation decisions.
AB - BACKGROUND: There is a dearth of qualitative research on resuscitation
preferences of older New Zealanders. The aim of this study was to investigate the
resuscitation preferences of older New Zealanders in a retirement village or
residential care setting, as well as the reasons for these preferences. METHODS:
This study had 37 participants from two retirement villages in Auckland, New
Zealand. Participants were interviewed about a hypothetical case vignette about
cardiopulmonary resuscitation, and then they completed a semi-structured
interview. Interviews were subsequently transcribed and analyzed by two
independent researchers using thematic qualitative methodology. RESULTS: The
majority of the participants (n = 25, 67.6%) decided against resuscitation, 10
(27.0%) wanted resuscitation, and 2 (5.4%) were ambivalent about their
resuscitation preferences. Three main themes emerged during the data analysis
regarding participants' reasons for deciding against resuscitation: (i) the wish
for a natural death; (ii) advanced age; and (iii) a realistic awareness about the
consequences of resuscitation. Responses related to the third these had three
subthemes: (i) reduced quality of life; (ii) loss of personal integrity and sense
of existence; and (iii) concern that resuscitation could result in unnecessary
costs or a burden on others. Among participants who preferred resuscitation, two
main themes emerged regarding their reasons for wanting resuscitation: (i) the
wish to prolong a good quality of life; and (ii) unrealistic expectations of
resuscitation. CONCLUSIONS: Older people in this study were able to make reasoned
decisions about resuscitation based on balancing their subjective estimations of
quality of life and the presumed consequences of resuscitation. It is important
therefore to educate older adults about the potential outcomes of resuscitation
and explore (and document) their reasoning when discussing resuscitation
preferences so their wishes can be respected.
PMID- 29372603
TI - Information processing speed as a mediator between psychosocial stress and global
cognition in older adults.
AB - BACKGROUND: The link between psychosocial stress and cognitive function is
complex, and previous studies have indicated that it may be mediated by
processing speed. Therefore, the main aim of this study was to examine whether
processing speed mediates the association between psychosocial stress and global
cognition in older adults. Moreover, the moderating role of gender in this model
is examined as well. METHODS: The study included 2322 community-dwelling older
adults in Malaysia who were randomly selected through a multistage proportional
cluster random sampling technique. Global cognition construct was measured by the
Mini-Mental State Examination and Montreal Cognitive Assessment; psychosocial
stress construct was measured by perceived stress, depression, loneliness, and
neuroticism; and processing speed was assessed by the Digit Symbol Substitution
Test. Structural equation modelling was used to analyze the mediation and
moderation tests. RESULTS: Processing speed was found to partially mediate the
relationship between psychosocial stress and global cognition (beta in the direct
model = -0.15, P < 0.001; beta in the full mediation model = -0.11, P < 0.001).
Moreover, the relationship between psychosocial stress and global cognition was
found to be significant in men only, whereas the association between processing
speed and global cognition was significant in men and women. CONCLUSIONS:
Psychosocial stress may increase the likelihood that older adults will experience
poor processing capacity, which could reduce their higher level cognition.
Results indicate that there is a need to develop processing capacity intervention
programmes for psychologically distressed older adults to prevent them from
suffering cognitive decline.
PMID- 29372605
TI - Effects of caffeinated alcoholic beverages with low alcohol and high caffeine
content on cognitive and motor functions.
PMID- 29372604
TI - Association between objectively measured chewing ability and frailty: A cross
sectional study in central Thailand.
AB - AIM: Limited data are available on the association between oral function and
frailty, especially in developing countries. Additionally, the association
between objectively assessed chewing function and frailty has not been well
studied. The present cross-sectional study tested the hypothesis that objectively
measured poor chewing ability is associated with frailty in community-dwelling
older Thai adults. METHODS: The study population comprised 141 people in Nakhon
Pathom, Thailand (median age 72 years). Demographic and clinical data were
collected at a community center. Participants were asked to chew a gum designed
for assessing chewing ability. The differences in gum color before and after
chewing (DeltaE*ab) were calculated and used as the exposure variable, where a
lower DeltaE*ab indicates lower chewing ability. Frailty phenotypes were defined
by weakness, slowness, weight loss, low physical activity level and exhaustion
components, and used as the outcome variable. Participants with three or more
components were considered frail, and those with one or two components were
considered pre-frail. Ordinal logistic regression analyses were carried out to
assess the association of objective chewing ability with frailty. RESULTS: Of the
141 participants, 32 (22.7%) were categorized as frail and 78 (55.3%) as pre
frail. In the analysis adjusted for demographic and health characteristics, the
adjusted odds ratio of the presence and severity of frailty was significantly
higher in participants with lower DeltaE*ab (adjusted odds ratio for one decrease
in DeltaE*ab 1.05, 95% confidence interval 1.01-1.10, P = 0.03). CONCLUSIONS:
Objectively measured chewing ability was significantly associated with frailty in
community-dwelling older Thai adults. Geriatr Gerontol Int 2018; 18: 860-866.
PMID- 29372606
TI - A Versatile Ligand Platform for Palladium- and Nickel-Catalyzed Ethylene
Copolymerization with Polar Monomers.
AB - The ability to carry out transition-metal-catalyzed copolymerizations of olefins
with polar monomers is a great challenge in the field of olefin polymerization.
Palladium has been the dominant player in this field, while its low-cost nickel
counterpart has only achieved very limited success. We report the synthesis and
evaluation of a highly versatile platform based on diphosphazane monoxide
ligands. Both palladium and nickel catalysts bearing these ligands mediate the
copolymerization of ethylene with a number of fundamental polar monomers.
PMID- 29372607
TI - Hepatobiliary and Pancreatic: Massive hepatic cystic echinococcosis compressing
inferior vena cava.
PMID- 29372609
TI - Gastrointestinal: Spontaneous air versus dye cholangiogram in bile duct stone
disease.
PMID- 29372608
TI - Gastrointestinal: Duodenal variceal bleeding secondary to thrombophilia-related
portal vein thrombosis.
PMID- 29372610
TI - Hepatobiliary and Pancreatic: Rare cause of obstructive jaundice by Trichosporon
asahii.
PMID- 29372611
TI - Tuning Aromaticity of para-Substituted Benzene Derivatives with an External
Electric Field.
AB - Substituent effects are phenomena which play an important role in organic
chemistry, especially when aromatic species are considered. For this class of
systems, the question of the interrelation between substituent effect and
aromaticity arises. The relationship between aromaticity and substituent effects
appears to be of a competitive nature. This work examines changes in aromaticity
in para-substituted benzene derivatives exposed to external electric fields of
various intensities. Three systems with different substituent electron
accepting/donating properties are studied, namely p-aminophenol, p
nitrobenzonitrile and p-nitrophenol. In these cases, the competitive character
between substituent effects and aromaticity is emphasised. It is also shown that
aromaticity (and the substituent effect) can be tuned using an external electric
field applied to the system.
PMID- 29372613
TI - PBJ publishes high-impact original plant biotechnology research with free global
access.
PMID- 29372612
TI - Statins decrease leptin expression in human white adipocytes.
AB - Statin use is associated with increased calorie intake and consequent weight
gain. It is speculated that statin-dependent improvements in lipid profile may
undermine the perceived need to follow lipid-lowering and other dietary
recommendations leading consequently to increased calorie intake. However,
increases in calorie intake in statin users may also be related to statin
dependent decreases in satiety factors such as leptin, an adipocyte-derived
adipokine. The objective of our study was to examine the direct effects of
statins on leptin expression. Adipocytes are the main source of circulating
leptin. Therefore, we examined the effects of atorvastatin and simvastatin on
leptin expression in cultured human white adipocytes. We show that treatment of
white adipocytes with simvastatin and atorvastatin decreases leptin mRNA
expression (simvastatin: P = 0.008, atorvastatin: P = 0.03) and leptin secretion
(simvastatin: P = 0.0001, atorvastatin: P = 0.0001). Both simvastatin and
atorvastatin mediate decreases in leptin expression via extracellular-signal
regulated kinases 1/2 and peroxisome proliferator-activated receptor gamma
pathways (simvastatin: P = 0.01, atorvastatin: P = 0.026). Additionally, statin
treatment also induced expected increases in adiponectin, while decreasing
monocyte chemoattractant protein 1 (MCP1) mRNA. Furthermore, statins increased
secretion of both total as well as high molecular weight adiponectin while
decreasing MCP1 secretion. To conclude, statins act directly on human white
adipocytes to regulate adipokine secretion and decrease leptin expression. Leptin
is an important satiety factor. Hence, statin-dependent decreases in leptin may
contribute, at least in part, to increases in food intake in statin users.
PMID- 29372614
TI - Corrigendum.
PMID- 29372615
TI - Pattern of inpatient pediatric cardiology consultations in sub-Saharan Africa.
AB - Malawi is one of the poorest nations in the world, ranked 151st among 195
countries by the World Bank, with an under-5-year mortality rate of 63 per 1000
live births. There are no previous studies describing the spectrum of inpatient
pediatric cardiology consultations in sub-Saharan Africa. A descriptive cohort
study was performed at Kamuzu Central Hospital (KCH), a tertiary care hospital in
Lilongwe, Malawi. Demographic, anthropometric, and clinical information for all
cardiology consults patients aged 0-18 years admitted to the children's wards
over a period of 1 month was reviewed. Seventy-three consults and 69
echocardiograms were performed on 71 patients (35 males, 38 females). The median
(IQR) age was 3.1 years (9 months-10.5 years). About 53% (39/73) had failure to
thrive, 4.1% (3/73) were sero-reactive for HIV and 100% (73/73) were fully
immunized for age per the Expanded Program on Immunization schedule. Seventy-four
percent of the echocardiograms were abnormal, with 34.8% (24/69) having
congenital heart disease (CHD) and 18.8% (13/69) having acquired heart disease
(AHD) with preserved cardiac function. Among CHD, 10.1% (7/69) had cyanotic CHD
and 24.6% (17/769 had acyanotic CHD. Among AHD, 10.1% (7/69) had rheumatic heart
disease with preserved cardiac function. Symptomatic systolic heart failure (HF)
with ejection fraction <50%, was found in 20.3% (14/69), and pulmonary
hypertension was diagnosed in 10.1% (7/69). Overall admission mortality was 5.5%
(4/73). Three patients left the hospital against medical advice. None of the
patients with systolic HF had CHD. There was no significant association of HIV,
gender, or failure to thrive on presence of systolic HF. This is the first report
describing the spectrum of pediatric cardiology consults in an inpatient setting
in Malawi. There was an unexpectedly high proportion of CHD and systolic HF.
Further studies should be conducted to explore the implications and potential
causes of these findings.
PMID- 29372616
TI - Hemodialysis quality metrics and patient-reported ability to work.
PMID- 29372618
TI - Corrigendum.
PMID- 29372619
TI - Inpatient care for emerging adults affected by mental health disorders.
PMID- 29372620
TI - Decreased inappropriate shocks with new generation ICDs in children and patients
with congenital heart disease.
AB - OBJECTIVE: Inappropriate implantable cardioverter defibrillator (ICD) shocks in
children and patients with congenital heart disease (CHD) remain a major
complication of device therapy, occurring in as many as 50% of children with
ICDs. New generation devices include algorithms designed to minimize
inappropriate shocks. This study aimed to evaluate the effect of new generation
ICDs on the incidence of inappropriate shocks in the pediatric and CHD
population. DESIGN: Retrospective study of patients with CHD or under age 25
receiving ICDs between 2000 and 2015. New generation ICDs were defined as those
with Medtronic "SmartShock" algorithms. RESULTS: Two hundred eight devices were
implanted in 146 patients. Rates of inappropriate shocks were similar between
diagnoses (P = .71). The rate of inappropriate shock was 15% over median 5.8
years follow-up. In the 36 patients (25%) with new generation ICDs, the rate of
inappropriate shock was 6.3% over 4 years. Comparing old to new generation ICDs,
freedom from first inappropriate shock was 90.6% versus 97.1% at 1 year and 80.4%
versus 97.1% at 3 years (P = .01). Lead fracture was associated with having
inappropriate shock (hazard ratio 8.5, P < .0001), and there was no significant
difference between the device groups when lead fractures were excluded. Clinical
actions were taken in 69% of patients after initial inappropriate shock (such as
medication or program change, system revision, or explant). When an action was
taken, subsequent inappropriate shock was reduced (5.3% vs 49.2% at 1 year; P =
.002). CONCLUSIONS: Pediatric and CHD patients are experiencing reduced
inappropriate shocks with new generation ICD systems, though reduced lead
fracture may account for this improvement. Clinical interventions after
inappropriate shock favorably impact the subsequent rate of shocks once an
inappropriate shock occurs.
PMID- 29372621
TI - A new year - and there's plenty in store for EJCC readers!
PMID- 29372622
TI - Women's experiences of sexual functioning in the early weeks of breast cancer
treatment.
AB - This study describes women's sexual functioning in the early weeks of breast
cancer treatment and the possible sexual changes that women may experience
compared with pre-treatment functioning. Seventy-five patients filled out a
questionnaire on sexual functioning and participated in a semi-structured
interview on changes in sexual life and intimacy after treatment. Sixty-two women
were sexually active before treatment; three post-treatment patterns of sexual
behaviour were identified: 22.6% of these women were as active as before
treatment, 35.5% stopped any sexual activity and 41.9% experienced quantitative
and qualitative changes. Analyses showed that each pattern had specific
characteristics regarding current sexual functioning, the kinds of changes
reported (e.g. decreased frequency and increased tenderness) and the reasons for
these changes (e.g. tiredness and sex not a priority). Even in the immediate post
surgical period, women may react in very different ways to treatment in terms of
sexual functioning. Most women experience changes, but cessation of sexual
activity is not inevitable. Positive changes (growing tenderness and affection)
also exist. These important interindividual differences require a person-centred
approach when the topic of sexuality is being addressed, and practitioners need
to be sensitive to individual perceptions of change. Early detection of sexual
changes may prevent the crystallisation of difficulties over time.
PMID- 29372623
TI - Underestimation of cancer incidence in Iran and necessity for establishing
population-based cancer registries.
PMID- 29372624
TI - Selective Hydrogenolysis of Furfural Derivative 2-Methyltetrahydrofuran into
Pentanediol Acetate and Pentanol Acetate over Pd/C and Sc(OTf)3 Cocatalytic
System.
AB - It is of great significance to convert platform molecules and their derivatives
into high value-added alcohols, which have multitudinous applications. This study
concerns systematic conversion of 2-methyltetrahydrofuran (MTHF), which is
obtained from furfural, into 1-pentanol acetate (PA) and 1,4-pentanediol acetate
(PDA). Reaction parameters, such as the Lewis acid species, reaction temperature,
and hydrogen pressure, were investigated in detail. 1 H NMR spectroscopy and
reaction dynamics study were also conducted to help clarify the reaction
mechanism. Results suggested that cleavage of the primary alcohol acetate was
less facile than that of the secondary alcohol acetate, with the main product
being PA. A PA yield of 91.8 % (150 degrees C, 3 MPa H2 , 30 min) was achieved
by using Pd/C and Sc(OTf)3 as a cocatalytic system and an 82 % yield of PDA was
achieved (150 degrees C, 30 min) by using Sc(OTf)3 catalyst. Simultaneously, the
efficient conversion of acetic esters into alcohols by simple saponification was
carried out and led to a good yield.
PMID- 29372625
TI - The Effect of Dopant-Free Hole-Transport Polymers on Charge Generation and
Recombination in Cesium-Bismuth-Iodide Solar Cells.
AB - The photovoltaic characteristics of CsBi3 I10 -based solar cells with three
dopant-free hole-conducting polymers are investigated. The effect on charge
generation and charge recombination in the solar cells using the different
polymers is studied and the results indicate that the choice of polymer strongly
affects the device properties. Interestingly, for the solar cell with poly[[2,3
bis(3-octyloxyphenyl)-5,8-quinoxalinediyl]-2,5-thiophenediyl] (TQ1), the photon
to-current conversion spectrum is highly improved in the red wavelength region,
suggesting that the polymer also contributes to the photocurrent generation in
this case. This report provides a new direction for further optimization of Bi
halide solar cells by using dopant-free hole-transporting polymers and shows that
the energy levels and the interaction between the Bi-halide and the conducting
polymers are very important for solar cell performance.
PMID- 29372626
TI - Capecitabine/cisplatin versus 5-fluorouracil/cisplatin in Chinese patients with
advanced and metastatic gastric cancer: Re-analysis of efficacy and safety data
from the ML17032 phase III clinical trial.
AB - AIM: To confirm non-inferiority and test potential superiority of
capecitabine/cisplatin (XP) over 5-fluorouracil (5-FU)/cisplatin (FP) as first
line treatment for advanced gastric cancer (AGC) in Chinese patients. METHODS: In
open-label phase III ML17032 trial, AGC (stage IIIA-IV) patients with or without
metastases were randomized 1:1 to receive cisplatin (80 mg/m2 /day intravenous
[IV] day 1) with either capecitabine (1000 mg/m2 /day oral [PO] twice daily
[BID], days 1-14; XP) or 5-FU (800 mg/m2 /day continuous IV days 1-5; FP) every 3
weeks. The primary objective was to confirm the non-inferiority of XP over FP for
progression-free survival (PFS). RESULTS: The intent-to-treat (ITT) population
included 126 Chinese patients (XP-62, FP-64; 67.5% male, mean age 54.7 years).
The primary analysis was performed on the per-protocol (PP) population (105
patients; XP-51, FP-54; 65.7% male). Median PFS in the XP and FP groups was 7.2
and 4.5 months, respectively. The adjusted hazard ratio (HR) for PFS was 0.52
(95% confidence interval [CI]: 0.32-0.83, P = 0.006). Unadjusted HR for PFS in
the ITT population was 0.63 (95% CI, 0.42-0.94, P = 0.022). The most frequent
drug-related grade 3/4 adverse events (AEs) were neutropenia (XP-20.7%, FP-17.7%)
and gastrointestinal disorders (XP-19.0%, FP-19.4%). The overall incidence of
grade 3/4 AEs (XP-43.1%, FP-46.8%), serious AEs (XP-1.7%, FP-3.2%), and AEs
related to treatment discontinuation (XP-10.3%, FP-16.1%) were comparable.
CONCLUSION: XP had a similar safety profile and may demonstrate superiority for
PFS compared to FP as first-line treatment of Chinese patients with AGC
(NCT02563054).
PMID- 29372628
TI - Antigen-Free Radionuclide-Embedded Gold Nanoparticles for Dendritic Cell
Maturation, Tracking, and Strong Antitumor Immunity.
AB - Dendritic cell (DC)-based cancer immunotherapy requires efficient maturation of
DCs and sensitive monitoring of DCs localized in the lymph nodes that activate T
cells. This paper reports a robust and simple surface chemistry for highly
sensitive and stable radionuclide-embedded gold nanoparticles (Poly-Y-RIe-AuNPs)
prepared with oligotyrosine-modified AuNPs with additional Au shell formation as
a promising positron emission tomography/computed tomography imaging agent. The
multiple oligotyrosine binding sites modified on AuNPs provide excellent
stability for conjugated radioisotopes by forming an Au shell. They can be
heavily conjugated with radioisotope iodine, which enables sensitive tracking of
DCs in the lymphatic system. More importantly, it is found that the maturation of
DCs is possible solely with Poly-Y-RIe-AuNPs without any additional stimulus for
DC maturation. DCs matured by Poly-Y-RIe-AuNPs induce antitumor immunity to
cervical cancer comparable to that produced from DCs pulsated with tumor lysates.
These results demonstrate that the peptide-based surface chemistry of Poly-Y-RIe
AuNPs is a simple and straightforward method to produce a highly sensitive and
stable nuclear medicine imaging agent that also improves the efficiency of
current antitumor immunotherapies.
PMID- 29372627
TI - Leptin regulates disc cartilage endplate degeneration and ossification through
activation of the MAPK-ERK signalling pathway in vivo and in vitro.
AB - Recent findings demonstrate that leptin plays a significant role in chondrocyte
and osteoblast differentiation. However, the mechanisms by which leptin acts on
cartilage endplate (CEP) cells to give rise to calcification are still unclear.
The aim of this study was to evaluate the effects of leptin that induced
mineralization of CEP cells in vitro and in vivo. We constructed a rat model of
lumbar disc degeneration and determined that leptin was highly expressed in the
presence of CEP calcification. Rat CEP cells treated with or without leptin were
used for in vitro analysis using RT-PCR and Western blotting to examine the
expression of osteocalcin (OCN) and runt-related transcription factor 2 (Runx2).
Both OCN and Runx2 expression levels were significantly increased in a dose- and
time-dependent manner. Leptin activated ERK1/2 and STAT3 phosphorylation in a
time-dependent manner. Inhibition of phosphorylated ERK1/2 using targeted siRNA
suppressed leptin-induced OCN and Runx2 expression and blocked the formation of
mineralized nodules in CEP cells. We further demonstrated that exogenous leptin
induced matrix mineralization of CEP cells in vivo. We suggest that leptin
promotes the osteoblastic differentiation of CEP cells via the MAPK/ERK signal
transduction pathway and may be used to investigate the mechanisms of disc
degeneration.
PMID- 29372629
TI - Chest Tube Drainage of the Pleural Space: A Concise Review for Pulmonologists.
AB - Chest tube insertion is a common procedure usually done for the purpose of
draining accumulated air or fluid in the pleural cavity. Small-bore chest tubes
(<=14F) are generally recommended as the first-line therapy for spontaneous
pneumothorax in non-ventilated patients and pleural effusions in general, with
the possible exception of hemothoraces and malignant effusions (for which an
immediate pleurodesis is planned). Large-bore chest drains may be useful for very
large air leaks, as well as post-ineffective trial with small-bore drains. Chest
tube insertion should be guided by imaging, either bedside ultrasonography or,
less commonly, computed tomography. The so-called trocar technique must be
avoided. Instead, blunt dissection (for tubes >24F) or the Seldinger technique
should be used. All chest tubes are connected to a drainage system device:
flutter valve, underwater seal, electronic systems or, for indwelling pleural
catheters (IPC), vacuum bottles. The classic, three-bottle drainage system
requires either (external) wall suction or gravity ("water seal") drainage (the
former not being routinely recommended unless the latter is not effective). The
optimal timing for tube removal is still a matter of controversy; however, the
use of digital drainage systems facilitates informed and prudent decision-making
in that area. A drain-clamping test before tube withdrawal is generally not
advocated. Pain, drain blockage and accidental dislodgment are common
complications of small-bore drains; the most dreaded complications include organ
injury, hemothorax, infections, and re-expansion pulmonary edema. IPC represent a
first-line palliative therapy of malignant pleural effusions in many centers. The
optimal frequency of drainage, for IPC, has not been formally agreed upon or
otherwise officially established.
PMID- 29372630
TI - What Can We Apply to Manage Acute Exacerbation of Chronic Obstructive Pulmonary
Disease with Acute Respiratory Failure?
AB - Acute exacerbation(s) of chronic obstructive pulmonary disease (AECOPD) tend to
be critical and debilitating events leading to poorer outcomes in relation to
chronic obstructive pulmonary disease (COPD) treatment modalities, and contribute
to a higher and earlier mortality rate in COPD patients. Besides pro-active
preventative measures intended to obviate acquisition of AECOPD, early recovery
from severe AECOPD is an important issue in determining the long-term prognosis
of patients diagnosed with COPD. Updated GOLD guidelines and recently published
American Thoracic Society/European Respiratory Society clinical recommendations
emphasize the importance of use of pharmacologic treatment including
bronchodilators, systemic steroids and/or antibiotics. As a non-pharmacologic
strategy to combat the effects of AECOPD, noninvasive ventilation (NIV) is
recommended as the treatment of choice as this therapy is thought to be most
effective in reducing intubation risk in patients diagnosed with AECOPD with
acute respiratory failure. Recently, a few adjunctive modalities, including NIV
with helmet and helium-oxygen mixture, have been tried in cases of AECOPD with
respiratory failure. As yet, insufficient documentation exists to permit
recommendation of this therapy without qualification. Although there are too few
findings, as yet, to allow for regular andr routine application of those
modalities in AECOPD, there is anecdotal evidence to indicate both mechanical and
physiological benefits connected with this therapy. High-flow nasal cannula
oxygen therapy is another supportive strategy which serves to improve the
symptoms of hypoxic respiratory failure. The therapy also produced improvement in
ventilatory variables, and it may be successfully applied in cases of hypercapnic
respiratory failure. Extracorporeal carbon dioxide removal has been successfully
attempted in cases of adult respiratory distress syndrome, with protective
hypercapnic ventilatory strategy. Nowadays, it is reported that it was also
effective in reducing intubation in AECOPD with hypercapnic respiratory failure.
Despite the apparent need for more supporting evidence, efforts to improve
efficacy of NIV have continued unabated. It is anticipated that these efforts
will, over time, serve toprogressively decrease the risk of intubation and
invasive mechanical ventilation in cases of AECOPD with acute respiratory
failure.
PMID- 29372631
TI - An Increased Proportion of Apoptosis in CD4+ T Lymphocytes Isolated from the
Peripheral Blood in Patients with Stable Chronic Obstructive Pulmonary Disease.
AB - BACKGROUND: The pathophysiology of chronic obstructive pulmonary disease (COPD)
includes inflammation, oxidative stress, an imbalance of proteases and
antiproteases and apoptosis which has been focused on lately. Abnormal apoptotic
events have been demonstrated in both epithelial and endothelial cells, as well
as in inflammatory cells including neutrophils and lymphocytes in the lungs of
COPD patients. An increased propensity of activated T lymphocytes to undergo
apoptosis has been observed in the peripheral blood of COPD patients. Therefore,
the apoptosis of T lymphocytes without activating them was investigated in this
study. METHODS: Twelve control subjects, 21 stable COPD patients and 15
exacerbated COPD patients were recruited in the study. The T lymphocytes were
isolated from the peripheral blood using magnetically activated cell sorting.
Apoptosis of the T lymphocytes was assessed with flow cytometry using Annexin V
and 7-aminoactinomycin D. Apoptosis of T lymphocytes at 24 hours after the cell
culture was measured so that the T lymphocyte apoptosis among the control and the
COPD patients could be compared. RESULTS: Stable COPD patients had increased
rates of CD4+ T lymphocyte apoptosis at 24 hours after the cell culture, more
than the CD4+ T lymphocyte apoptosis which appeared in the control group, while
the COPD patients with acute exacerbation had an amplified response of CD4+ T
lymphocyte apoptosis as well as of CD8+ T lymphocyte apoptosis at 24 hours after
the cell culture. CONCLUSION: Stable COPD patients have more apoptosis of CD4+ T
lymphocytes, which can be associated with the pathophysiology of COPD in stable
conditions.
PMID- 29372632
TI - Optimal wavelength selection for optical spectroscopy of hemoglobin and water
within a simulated light-scattering tissue.
AB - An algorithm that selects optimal wavelengths for spectral fitting of diffuse
light reflectance spectra using a nonnegative least squares method is presented.
Oxyhemoglobin, deoxyhemoglobin, and water are considered representative
absorbers, but the approach is not constrained or limited by absorber selection
provided native basis spectra are available. The method removes wavelengths
iteratively from a scattering-modulated absorption matrix by maximizing the
product of its singular values and offers considerable improvements over
previously published wavelength selection schemes. Resulting wavelength
selections are valid for a broad range of optical properties and yield lower RMS
errors than other wavelength combinations. The method is easily modified and
broadly applicable to tissue optical spectroscopy. Adaptation of the algorithm to
select optimal light-emitting diodes for fitting blood is described.
PMID- 29372633
TI - Meeting of the International Task Force for Disease Eradication, October 2017.
PMID- 29372634
TI - Fact sheet on tuberculosis (updated January 2018).
PMID- 29372635
TI - [Premature delivery and colonization associated with Ureaplasma parvum].
PMID- 29372636
TI - [Vertebral osteomyelitis due to Actinomyces: a case report].
PMID- 29372637
TI - Volatility and Chain Length Interplay of Primary Amines: Mechanistic
Investigation on the Stability and Reversibility of Ammonia-Responsive Hybrid
Perovskites.
AB - Hybrid organic-inorganic perovskites possess promising signal transduction
properties, which can be exploited in a variety of sensing applications.
Interestingly, the highly polar nature of these materials, while being a bane in
terms of stability, can be a boon for sensitivity when they are exposed to polar
gases in a controlled atmosphere. However, signal transduction during sensing
induces irreversible changes in the chemical and physical structure, which is one
of the major lacuna preventing its utility in commercial applications. In the
context of developing alkylammonium lead(II) iodide perovskite materials for
sensing, here we address major issues such as reversibility of structure and
properties, correlation between instability and properties of alkylamines, and
relation between packing of alkyl chains inside the crystal lattice and the
response time toward NH3 gas. The current investigation highlights that the vapor
pressure of alkylamine formed in the presence of NH3 determines the reversibility
and stability of the original perovskite lattice. In addition, close packing of
alkyl chains inside the perovskite crystal lattice reduces the response toward
NH3 gas. The mechanistic study addresses three important factors such as quick
response, reversibility, and stability of perovskite materials in the presence of
NH3 gas, which could lead to the design of stable and sensitive two-dimensional
hybrid perovskite materials for developing sensors.
PMID- 29372638
TI - Magnetic Phase Transition in Spark-Produced Ternary LaFeSi Nanoalloys.
AB - Using the magnetocaloric effect in nanoparticles holds great potential for
efficient refrigeration and energy conversion. The most promising candidate
materials for tailoring the Curie temperature to room temperature are rare-earth
based magnetic nanoalloys. However, only few high-nuclearity
lanthanide/transition-metal nanoalloys have been produced so far. Here we report,
for the first time, the observation of magnetic response in spark-produced LaFeSi
nanoalloys. The results suggest that these nanoalloys can be used to exploit the
magnetocaloric effect near room temperature; such a finding can lead to the
creation of unique multicomponent materials for energy conversion, thus helping
toward the realization of a sustainable energy economy.
PMID- 29372639
TI - Genetic Relationships among Different Chemotypes of Lupinus sulphureus.
AB - Lupines (Lupinus spp.) are a common plant legume species found on western U.S.
rangelands. Lupinus spp. may contain quinolizidine and/or piperidine alkaloids
that can be toxic and/or teratogenic to grazing livestock. Alkaloid profiles may
vary between and within a species. The objectives of this study were to (1)
further explore the characteristic alkaloid profiles of Lupinus sulphureus using
field collections and (2) explore the phylogenetic relationship of the different
populations and chemotypes of L. sulphureus using the amplified fragment length
polymorphism method of DNA fingerprinting, thus providing possible explanations
to the phenomena of multiple chemotypes within a species. A total of 49
accessions of L. sulphureus were classified into seven chemotypes. The DNA
profiles showed that one L. sulphureus chemotype, chemotype A, is genetically
divergent from the other chemotypes of L. sulphureus, suggesting that it
represents an unresolved lupine taxon, possibly a new lupine species.
Additionally, the different chemotypes of L. sulphureus represented different
genetic groups, as shown by Bayesian cluster analysis and principle component
analysis.
PMID- 29372640
TI - Thermodynamics of Halide Binding to a Neutral Bambusuril in Water and Organic
Solvents.
AB - Driving forces of anion binding in water in contrast to nonpolar environments are
of high interest because of their relevance to biology and medicine. Here we
report a neutral bambusuril macrocycle (1), soluble in both water and nonpolar
solvents due to decoration with 12 polyethylene glycol-based substituents. The
new bambusuril has the highest affinity for I- in pure water ever reported for a
synthetic macrocycle relying on hydrogen bonding interactions rather than metal
coordination or Coulombic forces. Isothermal titration calorimetry (ITC)
experiments in nine different solvents, ranging from polar water to nonpolar
carbon tetrachloride, provided insight into the forces responsible for halide
binding by bambusurils. The different importance of anion solvation and solvent
expulsion from the cavity of the macrocycle in various solvents is illustrated by
the fact that halide binding in water and chloroform is exclusively driven by
favorable enthalpy with an entropic penalty, while in alcohols and nonpolar
solvents, both favorable enthalpy and entropy contribute to anion encapsulation.
DFT calculations and correlation of thermodynamic data with the solvent Swain
acity parameter further underscore the importance of solvent effects on anion
binding by bambusurils.
PMID- 29372642
TI - Abu-Sahl al-Masihi (died circa 1010 AD): The Persian physician in the early
medieval era.
AB - In the early medieval era, in the time which is called the Islamic Golden Age,
medicine flourished through the practice of Persian physicians (9th to 12th
century AD). Abu-Sahl al-Masihi (died circa 1010 AD) was one of the physicians in
that period who had great influence on the progress of medicine by his own
writings as well as his influence on great scholars like Biruni and Avicenna as
their teacher. He was a polymath and had many writings in various fields of
science, in particular medical sciences. Some of his manuscripts in medicine were
Al-Mia fil-Tibb (Book of the Hundred), Kitab al-Teb al-Koli (The General
Medicine), Ezhar al-Hekmat Allah Ta'ala fi Khalgh al-Ensan (God's Mystery on the
Creation of Man), Resalat al-Adwiya (Treatise of Drugs), Osool Elm Nabz (the
Principles of Pulse), and Resala f i Tahqiq Amral-Waba' (On the determination of
the matter of infectious diseases). As a sign of his impact in Persian medicine,
many later physicians (until 19th century) referred to and cited his works in
their manuscripts several times.
PMID- 29372641
TI - Enhanced Metal-Insulator Transition Performance in Scalable Vanadium Dioxide Thin
Films Prepared Using a Moisture-Assisted Chemical Solution Approach.
AB - Vanadium dioxide (VO2) is a strong-correlated metal-oxide with a sharp metal
insulator transition (MIT) for a range of applications. However, synthesizing
epitaxial VO2 films with desired properties has been a challenge because of the
difficulty in controlling the oxygen stoichiometry of VO x, where x can be in the
range of 1 < x < 2.5 and V has multiple valence states. Herein, a unique moisture
assisted chemical solution approach has been developed to successfully manipulate
the oxygen stoichiometry, to significantly broaden the growth window, and to
significantly enhance the MIT performance of VO2 films. The obvious broadening of
the growth window of stoichiometric VO2 thin films, from 4 to 36 degrees C, is
ascribed to a self-adjusted process for oxygen partial pressure at different
temperatures by introducing moisture. A resistance change as large as 4 orders of
magnitude has been achieved in VO2 thin films with a sharp transition width of
less than 1 degrees C. The much enhanced MIT properties can be attributed to the
higher and more uniform oxygen stoichiometry. This technique is not only
scientifically interesting but also technologically important for fabricating
wafer-scaled VO2 films with uniform properties for practical device applications.
PMID- 29372643
TI - Child with cerebral malformations and epilepsy.
AB - PURPOSE: Baraitser-Winter cerebrofrontofacial syndrome (BWCFF) is a rare
autosomal dominant genetic disorder involving multiple organ systems and
primarily characterized by structural brain abnormalities and a distinctive
facial appearance. METHODS: To study the clinical characteristics, gene types and
seizures of BWCFF. The natural history, clinical data and peripheral blood sample
were collected in the child and his patients. To screen the beta-actin gene
(ACTB) of a newly diagnosed child, hoping to find the gene mutation. RESULTS: The
child had left ptosis, ocular hypertelorism, arched eyebrows, only 30% of the
left ear hearing, a slight hypotonia, normal muscle strength, walking
instability. The seizures were difficult to control with antiepileptic drugs and
presented some degree of psychomotor development delay. Genetic screening showed
De Novo in ACTB gene (c.484A> G, p.Thr162Ala). Parents did not detect related
gene mutations. CONCLUSIONS: Patients with typical facial features and cerebral
cortical malformations associated with refractory epilepsy should be highly
suspected BWCFF. Patients are advised to carry out genetic screening to confirm
the diagnosis.
PMID- 29372645
TI - Martha Wollstein: A pioneer American female clinician-scientist.
AB - Martha Wollstein was not only the first fully specialized pediatric perinatal
pathologist practicing exclusively in a North America children's hospital, she
also blazed another pathway as a very early pioneer female clinician-scientist.
Wollstein provided patient care at Babies Hospital of New York City from 1891
until her retirement in 1935, and also simultaneously worked for many years as a
basic scientist at the prestigious Rockefeller Institute for Medical Research.
Wollstein published over 65 papers, many frequently cited, during her career on a
wide range of topics including pediatric and infectious diseases. Wollstein was a
rare female in the field of pathology in an era when just a relatively small
number of women became doctors in any medical specialty. Wollstein was born into
an affluent Jewish American family in New York City in 1868 and graduated from
the Women's Medical College in 1889. This paper explores her family support and
ethnic and religious background, which helped facilitate her professional
success. During her time, she was recognized internationally for her research and
was respected for her medical and scientific skills; unfortunately today her
important career has been largely forgotten.
PMID- 29372644
TI - Quantitative assessment of demyelination in ischemic stroke in vivo using
macromolecular proton fraction mapping.
AB - A recent MRI method, fast macromolecular proton fraction (MPF) mapping, was used
to quantify demyelination in the transient middle cerebral artery occlusion
(MCAO) rat stroke model. MPF and other quantitative MRI parameters (T1, T2,
proton density, and apparent diffusion coefficient) were compared with
histological and immunohistochemical markers of demyelination (Luxol Fast Blue
stain, (LFB)), neuronal loss (NeuN immunofluorescence), axonal loss (Bielschowsky
stain), and inflammation (Iba1 immunofluorescence) in three animal groups ( n = 5
per group) on the 1st, 3rd, and 10th day after MCAO. MPF and LFB optical density
(OD) were significantly reduced in the ischemic lesion on all days after MCAO
relative to the symmetrical regions of the contralateral hemisphere. Percentage
changes in MPF and LFB OD in the ischemic lesion relative to the contralateral
hemisphere significantly differed on the first day only. Percentage changes in
LFB OD and MPF were strongly correlated (R = 0.81, P < 0.001) and did not
correlate with other MRI parameters. MPF also did not correlate with other
histological variables. Addition of T2 into multivariate regression further
improved agreement between MPF and LFB OD (R = 0.89, P < 0.001) due to correction
of the edema effect. This study provides histological validation of MPF as an
imaging biomarker of demyelination in ischemic stroke.
PMID- 29372646
TI - Correction to: Finley et al., Evaluation of tremolite asbestos exposures
associated with the use of commercial products.
PMID- 29372647
TI - Corrigendum.
PMID- 29372648
TI - Pulse pressure and blood pressure components:. Is the sum more than the parts?
PMID- 29372649
TI - Differential expression of snoRNAs in oral squamous cell carcinomas: new
potential diagnostic markers.
AB - BACKGROUND: Small nucleolar RNAs (snoRNAs) are small non-coding RNA sequences
whose most studied function is ribosome biogenesis. The altered expression of
snoRNA is observed in tumoral processes such as breast cancer and multiple
myeloma. However, we have not found any references to snoRNAs in oral squamous
cell carcinomas (OSCC) in the literature at the time this article was written.
MATERIAL AND METHODS: We have analyzed snoRNA expression in frozen OSCC tissue
samples and have compared them to healthy controls. RNA was extracted from a
total of eight OSCC samples and eight control samples, measuring the differential
expression of small RNAs with the Affymetrix(r) miRNA 4.1 Array Plate microarray
platform. RESULTS: Results were analyzed using the Transcriptome Analysis Console
3.0 (TAC) software. We obtained a total of 16 deregulated snoRNAs of which one
was over expressed and 15 were under expressed. SnoRNAs expression was altered in
OSCC and could serve as a diagnostic marker.
PMID- 29372650
TI - Dr Catherine Louisa Corbett MB ChB DPH (1877-1960), Diary in Serbia. Her work
with the Scottish Women's Hospitals in Serbia and Russia, 1915-1917.
AB - Catherine Corbett was the second woman to gain a medical degree from the
University of Manchester Medical School in 1905; however, little was known about
her life or work, apart from the fact that she was a School Medical Inspector
(SMI) and never married. The rediscovery of her Diary in Serbia (1916) has
revealed her work for the Scottish Women's Hospitals (SWH) during the First World
War in Serbia (1915-1916). Her time alongside her female colleagues was a good
experience, however the harsh conditions, especially those she experienced during
a further period working in Russia (1916-1917), left her exhausted and
psychologically stressed. After the war, her job as a SMI in Burnley and her
pursuit of rock climbing helped her adjust to a normal life and allowed her to
promote her views on female education.
PMID- 29372652
TI - Francis I. Proctor, MD and his wife Elizabeth C. Proctor: Their lives and legacy.
AB - The Francis I. Proctor Foundation for Research in Ophthalmology is
internationally recognized for its research in the fields of ocular inflammatory
and infectious diseases. Although the name of one of its founders, Francis I.
Proctor, MD (1864-1936) is memorialized, the legacy of his wife, Elizabeth C.
Proctor (1882-1975) is not as well known. They were both full partners in this
endeavor. Francis, a successful and wealthy ophthalmologist, retired to Santa Fe,
New Mexico. After their marriage, they became interested in the problem of
blinding trachoma, then an endemic problem on the Native American Indian
reservations. The couple selected Phillips Thygeson, MD (1903-2002), a young
ophthalmologist with an interest in infectious diseases, as their lead
investigator. Using their own funds, the Proctors paid for Thygeson and
themselves to study trachoma in Egypt, and then establish a trachoma research
laboratory in Arizona where the causative agent of trachoma was identified. Not
only did the Proctors fund these studies, they also studied bacteriology so they
could help in the laboratory themselves. After Francis' death, Elizabeth endowed
the Foundation in 1947 and continued to support it. She also established the
Proctor Medal for The Association for Research in Vision and Ophthalmology.
PMID- 29372651
TI - Gamma-glutamyltransferase, possible novel biomarker in colon diverticulosis: a
case-control study.
AB - The gamma-glutamyltransferase (GGT) is recognized in medical practice as a useful
indicator for the detection of liver lesions, especially those induced by the
excessive consumption of alcoholic or cholesterol-associated drinks. The present
study, although it includes a very small number of cases diagnosed with colon
diverticulosis-diverticulitis associated with polyposis at the same intestinal
level, identifies the presence of increased circulating concentrations of this
enzyme in the serum. Its serum levels are tracked "dynamically" throughout a year
after the diagnosis and start of the therapy. The study calls into question the
release of the enzyme from the edge of the enterocytes' brush-like edge, leading
to the pathogenic disturbance of regional redox homeostasis. The hypothesis gives
the circulating values of GGT predictive value for cellular oxidative stress, as
well as for indirectly expressing the glutathione level in cytosol.
PMID- 29372653
TI - Mortimer Frank, Johann Ludwig Choulant, and the history of anatomical
illustration.
AB - Mortimer Frank (1874-1919) was an ophthalmologist in Chicago, Illinois. He
published a number of papers on the history of medicine, and was secretary of the
Chicago Society of the History of Medicine and editor of their Bulletin. His
major contribution to the history of medicine relates to the history of
anatomical illustration. The classic book on that subject had been published in
1852 in German by the physician and historian, Johann Ludwig Choulant (1791
1861). However, by Frank's time this text was both out dated and out of print.
Frank took on the tremendous project of translating Choulant's German text into
English as History and Bibliography of Anatomic Illustration in Its Relation to
Anatomic Science and The Graphic Arts. He improved Choulant's text with the
results of his and other scholars' research, greatly enlarging the text. Frank
supplemented the original book with a biography of Choulant, essays on anatomists
not considered in the original text, and an essay on the history of anatomical
illustration prior to those authors discussed by Choulant. This book, now
referred to as Choulant/Frank, has been reprinted several times, and is still
useful as a reference in this field, though some of its research is now dated.
PMID- 29372654
TI - Functional TSH receptor antibodies in children with autoimmune thyroid diseases.
AB - INTRODUCTION: The diagnostic value of the level of TSH receptor antibodies (TSHR
Ab) in the population of children with autoimmune thyroid diseases (AITDs) is
still unknown. The aim of this cross-sectional study was to investigate the
prevalence of TSHR-Ab in a paediatric cohort with AITD and healthy controls.
MATERIALS AND METHODS: A total of 240 serum samples were obtained from 205
patients with AITD, type 1 diabetes (T1D), juvenile arthritis (JA), and healthy
controls (C). TSHR stimulating (TSI) and -blocking (TBI) immunoglobulins were
measured in cell-based bioassays using CHO cells expressing a chimeric TSHR and a
c-AMP response-element-dependent luciferase. TSI was reported as percentage of
specimen-to-reference ratio (cutoff 140SRR%). Blocking activity was defined as
percent inhibition of luciferase expression relative to induction with bovine TSH
alone (40% inhibition). RESULTS: C as well as children with JA and T1D were both
TSI and TBI negative. In contrast, children with Graves' disease (GD) were
positive for TSI in 47/53 samples (88.7%) while those with thyroidal and orbital
GD showed TSI positivity in 95.8% (23/24 samples). Serum TSI levels were SRR% 320
+/- 157 and 417 +/- 135 in GD and GD + orbitopathy, respectively (p = .02).
Children with Hashimoto's thyroiditis (HT) were TSI positive in 4/83 (4.8%)
samples, including two with orbital involvement. TSI levels were increased in HT
children with vs. those without eye disease (SRR% 177 vs. 51, p < .01). In
comparison, TBI were negative in all tested samples of children with GD but
positive in one HT sample. CONCLUSIONS: In conclusion, TSI is prevalent in
children with GD while the highest serum TSI levels were noted in children with
AITD and orbitopathy.
PMID- 29372655
TI - Optogenetic investigation of the variable neurovascular coupling along the
interhemispheric circuits.
AB - The interhemispheric circuit connecting the left and the right mammalian brain
plays a key role in integration of signals from the left and the right side of
the body. The information transfer is carried out by modulation of simultaneous
excitation and inhibition. Hemodynamic studies of this circuit are inconsistent
since little is known about neurovascular coupling of mixed excitatory and
inhibitory signals. We investigated the variability in hemodynamic responses
driven by the interhemispheric circuit during optogenetic and somatosensory
activation. We observed differences in the neurovascular response based on the
stimulation site - cell bodies versus distal projections. In half of the
experiments, optogenetic stimulation of the cell bodies evoked a predominant post
synaptic inhibition in the other hemisphere, accompanied by metabolic oxygen
consumption without coupled functional hyperemia. When the same transcallosal
stimulation resulted in predominant post-synaptic excitation, the hemodynamic
response was biphasic, consisting of metabolic dip followed by functional
hyperemia. Optogenetic suppression of the postsynaptic excitation abolished the
coupled functional hyperemia. In contrast, light stimulation at distal
projections evoked consistently a metabolic response. Our findings suggest that
functional hyperemia requires signals originating from the cell body and the
hemodynamic response variability appears to reflect the balance between the post
synaptic excitation and inhibition.
PMID- 29372657
TI - A Message from the Editor-in-Chief.
PMID- 29372656
TI - Conjugation of phosphonoacetic acid to nucleobase promotes a mechanism-based
inhibition.
AB - Small molecule inhibitors have a powerful blocking action on viral polymerases.
The bioavailability of the inhibitor, nevertheless, often raise a significant
selectivity constraint and may substantially limit the efficacy of therapy.
Phosphonoacetic acid has long been known to possess a restricted potential to
block DNA biosynthesis. In order to achieve a better affinity, this compound has
been linked with natural nucleotide at different positions. The structural
context of the resulted conjugates has been found to be crucial for the
acquisition by DNA polymerases. We show that nucleobase-conjugated
phosphonoacetic acid is being accepted, but this alters the processivity of DNA
polymerases. The data presented here not only provide a mechanistic rationale for
a switch in the mode of DNA synthesis, but also highlight the nucleobase-targeted
nucleotide functionalization as a route for enhancing the specificity of small
molecule inhibitors.
PMID- 29372658
TI - Acute and sublethal effects of organophosphate insecticide chlorpyrifos on
freshwater fish Oreochromis niloticus.
AB - Chlorpyrifos is a widely used organosphosphate insecticide in India. Residue of
the insecticide is frequently detected in trace to moderate concentration in food
grains and in surface water of different freshwater ecosystems of the country. In
this study, 96 h LC50 of the technical grade (94% a.i.) and commercial
formulation (20% EC) of chlorpyrifos to freshwater fish Oreochromis niloticus
were determined as 90.0 and 42.0 ug/L based on 2 h actual concentration of
chlorpyrifos in water. About 96 h exposure to sublethal concentrations (0, 12.0
and 25.0 MUg/L) of the commercial formulation (20% EC) of chlorpyrifos reduced
the level of hepatic glycogen, activities of alkaline phosphatase,
acetylcholinesterase, and catalase in liver and elevated the level of plasma
glucose and activities of hepatic acid phosphatase, aspartate aminotransferase,
and alanine aminotransferase in O. niloticus. About 28-day exposure to these sub
lethal concentrations caused anemia in fish, while 90 days exposure reduced
growth of the fish and carcass concentration of crude protein and crude lipid as
compared to control. It was concluded from this study that commercial formulation
of chlorpyrifos (20% EC) was highly toxic to O. niloticus. Exposure to sub-lethal
concentrations of the insecticide could induce oxidative stress and anemia
resulting in reduced growth of the fish.
PMID- 29372659
TI - Novel anti-inflammatory and analgesic agents: synthesis, molecular docking and in
vivo studies.
AB - Twelve new derivatives of benzothiazole bearing benzenesulphonamide and
carboxamide were synthesised and investigated for their in vivo anti
inflammatory, analgesic and ulcerogenic activities. Molecular docking showed an
excellent binding interaction of the synthesised compounds with the receptors,
with 17c showing the highest binding energy (-12.50 kcal/mol). Compounds 17c and
17i inhibited carrageenan-induced rat paw oedema at 72, 76, and 80% and 64, 73,
and 78% at 1 h, 2 h, and 3 h, respectively. In the analgesic activity experiment,
compounds 17c, 17 g, and 17i had ED50 (uM/kg) of 96, 127, and 84 after 0.5 h;
102, 134, and 72 after 1 h and 89, 156, and 69 uM/kg after 2 h, respectively,
which were comparable with 156, 72, and 70 uM/kg for celecoxib. The ulcerogenic
index of the most active derivatives 17c and 17i were 0.82 and 0.89,
respectively, comparable to 0.92 for celecoxib. The physicochemical studies of
the new derivatives showed that they will not have oral bioavailability problems.
PMID- 29372661
TI - Goethe: A bipolar personality? Periodicity of affective states in Johann Wolfgang
von Goethe as reflected by Paul Julius Mobius.
AB - This paper aims to investigate the character and etiological basis of German poet
Johann Wolfgang von Goethe's mental disorder. From 1898, German neuropsychiatrist
Paul Julius Mobius developed the hypothesis that Goethe's work provided several
hints for the notion that the German poet suffered from a distinct bipolar
disorder. The paper investigates Mobius's psychopathographic study on Goethe and
his hypothesis of a mood periodicity in Goethe against the mirror of modern
concepts. Mobius came to the conclusion that Goethe's illness was bipolar in
character and became visible at intervals of seven years and lasted for about two
years. The majority of Mobius's contemporary psychiatric colleagues (Emil
Kraepelin, Max Isserlin, Ernst Kretschmer, Josef Breuer) supported this view
which has still not been convincingly challenged. In present-day terms, Mobius's
hypothesis can be best mirrored as a subclinical foundation of mood disorder.
Furthermore, with his extensive study, Mobius disproved the common notion that
Goethe had suffered from an illness as the result of a syphilitic infection.
PMID- 29372662
TI - QSAR modelling using combined simple competitive learning networks and RBF neural
networks.
AB - The aim of this study was to propose a QSAR modelling approach based on the
combination of simple competitive learning (SCL) networks with radial basis
function (RBF) neural networks for predicting the biological activity of chemical
compounds. The proposed QSAR method consisted of two phases. In the first phase,
an SCL network was applied to determine the centres of an RBF neural network. In
the second phase, the RBF neural network was used to predict the biological
activity of various phenols and Rho kinase (ROCK) inhibitors. The predictive
ability of the proposed QSAR models was evaluated and compared with other QSAR
models using external validation. The results of this study showed that the
proposed QSAR modelling approach leads to better performances than other models
in predicting the biological activity of chemical compounds. This indicated the
efficiency of simple competitive learning networks in determining the centres of
RBF neural networks.
PMID- 29372660
TI - Current challenges for cancer vaccine adjuvant development.
AB - INTRODUCTION: Although much progress has been made in the last decade(s) toward
development of effective cancer vaccines, there are still important obstacles to
therapeutic successes. New generations of cancer vaccines will benefit from a
combination adjuvant approach that targets multiple branches of the immune
response. Areas covered: Herein we describe how combinatorial adjuvant strategies
can help overcome important obstacles to cancer vaccine development, including
antigen immunogenicity and tumor immune suppression. Tumor antigens may be both
tolerogenic and may utilize active mechanisms to suppress host immunity,
including downregulation of MHC molecules to evade recognition and upregulation
of immune inhibitory receptors, to subvert an effective immune response. The
current cancer vaccine literature was surveyed to identify advancements in the
understanding of the biological mechanisms underlying poor antigen immunogenicity
and tumor immune evasion, as well as adjuvant strategies designed to overcome
them. Expert commentary: Poor immunogenicity of tumor antigens and tumor immune
evasion mechanisms make the design of cancer vaccines challenging. Growing
understanding of the tumor microenvironment and associated immune responses
indicate the importance of augmenting not only the effector response, but also
overcoming the endogenous regulatory response and tumor evasion mechanisms.
Therefore, new vaccines will benefit from multi-adjuvanted approaches that
simultaneously stimulate immunity while preventing inhibition.
PMID- 29372663
TI - Eugenol, a plant-derived phenolic nutraceutical, protects thiol (SH) group in
myocardium from ROS-mediated oxidation under chemotherapeutic stress induced by
arsenic trioxide - a in vivo model study.
AB - Arsenic trioxide is an effective chemotherapeutic agent for the treatment of
acute promyelocytic leukemia. The clinical usefulness of arsenic trioxide is
narrow due to different organ toxicities. It is hypothesized that the generation
of reactive oxygen species by arsenic trioxide leads to thiol-based oxidative
damage in rat myocardium. In this study, the defensive effect of eugenol on thiol
based oxidative stress was investigated in arsenic trioxide-treated rats. Rats
were orally administered with arsenic trioxide (4 mg/kg per day) alone and in
combination with eugenol (5 mg/kg per day) for 30 days. Reduction in relative
organ weight, total thiol level, protein thiol content, acid-soluble thiol
content, thioredoxin activity, and protein content was witnessed in arsenic
trioxide-treated rats. Additionally, the total antioxidant activity, tissue GSH
level, and GSH/GSSG ratio were considerably diminished. However, the co-treatment
of eugenol noticeably sheltered the arsenic trioxide-mediated cardiotoxicity. In
conclusion, eugenol is a prospective phenolic compound, of natural origin, for
protecting the thiol group in myocardium from oxidative stress by
chemotherapeutic compounds.
PMID- 29372664
TI - Sodium-glucose co-transporter 2 inhibitors and cardiovascular outcomes: A
systematic review and meta-analysis.
AB - Background The risks and benefits of sodium-glucose co-transporter 2 (SGLT2)
inhibitors on cardiovascular outcomes have not been well established. We pooled
evidence from all available clinical trials to assess the cardiovascular effects
of this drug. Design A systematic review and meta-analysis of randomised
controlled trials. Methods We queried electronic databases (MEDLINE, Scopus,
CENTRAL and clinicaltrials.gov) from their inception to July 2017 for published
and unpublished placebo controlled trials of SGLT2 inhibitors. Only studies with
a follow-up period of at least 24 weeks and reporting at least one cardiovascular
outcome were included. Results from trials were presented as odds ratios (ORs)
with 95% confidence intervals (CIs) and were pooled using a random-effects model.
Results Thirty-five eligible studies (canagliflozin, nine; empagliflozin, eight;
dapagliflozin, 18), consisting of 34,987 patients with type 2 diabetes mellitus
were included. Pooled results show that SGLT2 inhibitors, when compared to
placebo, significantly reduce all-cause mortality (OR 0.79, 95% CI 0.70-0.89; P <
0.001), major adverse cardiac events (OR 0.8, 95% CI 0.76-0.92; P < 0.001), non
fatal myocardial infarction (OR 0.85, 95% CI 0.73-0.98; P = 0.03) and heart
failure/hospitalisation for heart failure (OR 0.67, 95% CI 0.59-0.76; P < 0.001)
in patients with type 2 diabetes mellitus. No significant difference was noted in
the occurrence of stroke (OR 1.02, 95% CI 0.85-1.21; P = 0.87), atrial
fibrillation (OR 0.61, 95% CI 0.31-1.19; P = 0.15) or unstable angina (OR 0.95,
95% CI 0.73-1.25; P = 0.73). In addition, there was no heterogeneity between
different drugs in the SGLT2 inhibitor class for all of the clinical outcomes
studied ( I2 = 0). Conclusions SGLT2 inhibitors significantly reduce the
incidence of mortality, major adverse cardiac events, non-fatal myocardial
infarction and heart failure in patients with type 2 diabetes mellitus. Subtypes
of SGLT2 inhibitors appear to have similar cardiovascular effects.
PMID- 29372666
TI - Christiaan Barnard's personal attitude to apartheid.
PMID- 29372665
TI - DNA methylation of the Oct4A enhancers in embryonal carcinoma cells after
etoposide treatment is associated with alternative splicing and altered
pluripotency in reversibly senescent cells.
AB - The epigenetic mechanisms underlying chemoresistance in cancer cells resulting
from drug-induced reversible senescence are poorly understood. Chemoresistant ESC
like embryonal carcinoma PA1 cells treated with etoposide (ETO) were previously
found to undergo prolonged G2 arrest with transient p53-dependent upregulation of
opposing fate regulators, p21CIP1 (senescence) and OCT4A (self-renewal). Here we
report on the analysis of the DNA methylation state of the distal enhancer (DE)
and proximal enhancer (PE) of the Oct4A gene during this dual response. When
compared to non-treated controls the methylation level increased from 1.3% to
12.5% and from 3% to 19.4%, in the DE and PE respectively. It included CpG and
non-CpG methylation, which was not chaotic but presented two patterns in each
enhancer. Discorrelating with methylation of enhancers, the transcription of
Oct4A increased, however, a strong expression of the splicing form Oct4B was also
induced, along with down-regulation of the Oct4A partners of in the
pluripotency/self-renewal network Sox2 and Lin28. WB demonstrated disjoining of
the OCT4A protein from the chromatin-bound fraction. In survival clones,
methylation of the DE was considerably erased, while some remnant of methylation
of the PE was still observed. The alternative splicing for Oct4B was reduced,
Oct4A level insignificantly decreased, while the expression of Sox2 and Lin28
recovered, all three became proportionally above the control. These findings
indicate the involvement of the transient patterned methylation of the Oct4A
enhancers and alternative splicing in the adaptive regulation of cell fate choice
during the p53-dependant dual state of reversible senescence in ESC-like cancer
stem cells.
PMID- 29372667
TI - Living with asthma in 19th-century France: The doctor, Armand Trousseau, and the
patient, Emile Pereire.
AB - Major advances in the French medical system following the French Revolution have
stimulated a rich historiography of which Michel Foucault's Naissance de la
clinique: une archeologie du regard medical (1963) and Erwin H. Ackerknecht's
Medicine at the Paris Hospital, 1794-1848 (1967) are of lasting significance.
Changes in the organisation and structure of hospitals accompanied the
development and availability of new medical technologies and procedures and
encouraged a more intense study of the aetiology and pathology of disease.
Theories about asthma and its treatment profited from this dynamic environment as
Classical Greek doctrines about the effect of the humours on bodily imbalance
gave way to an increasingly more precise understanding of the nature and cause of
asthma. The clinician and teacher, Armand Trousseau (1801-1867), who held the
chair of Clinical Medicine at the Hotel-Dieu in Paris and was himself an
asthmatic, promoted new theories about the illness and developed innovative ways
of dealing with its effects. Among his patients was the banker and financier,
Emile Pereire (1800-1875), a lifelong asthmatic. Based on the Pereire Family
Archives (hereafter AFP), the case of Emile Pereire provides a preface to the
later case of that other, more famous, asthmatic, Marcel Proust.
PMID- 29372668
TI - The ciliary protein RPGRIP1L governs autophagy independently of its proteasome
regulating function at the ciliary base in mouse embryonic fibroblasts.
AB - Previously, macroautophagy/autophagy was demonstrated to be regulated inter alia
by the primary cilium. Mutations in RPGRIP1L cause ciliary dysfunctions resulting
in severe human diseases summarized as ciliopathies. Recently, we showed that
RPGRIP1L deficiency leads to a decreased proteasomal activity at the ciliary base
in mice. Importantly, the drug-induced restoration of proteasomal activity does
not rescue ciliary length alterations in the absence of RPGRIP1L indicating that
RPGRIP1L affects ciliary function also via other mechanisms. Based on this
knowledge, we analyzed autophagy in Rpgrip1l-negative mouse embryos. In these
embryos, autophagic activity was decreased due to an increased activation of the
MTOR complex 1 (MTORC1). Application of the MTORC1 inhibitor rapamycin rescued
dysregulated MTORC1, autophagic activity and cilia length but not proteasomal
activity in Rpgrip1l-deficient mouse embryonic fibroblasts demonstrating that
RPGRIP1L seems to regulate autophagic and proteasomal activity independently from
each other.
PMID- 29372669
TI - Evolutionary conservation of DNA methylation in CpG sites within ultraconserved
noncoding elements.
AB - Ultraconserved noncoding elements (UCNEs) constitute less than 1 Mb of vertebrate
genomes and are impervious to accumulating mutations. About 4000 UCNEs exist in
vertebrate genomes, each at least 200 nucleotides in length, sharing greater than
95% sequence identity between human and chicken. Despite extreme sequence
conservation over 400 million years of vertebrate evolution, we show both ordered
interspecies and within-species interindividual variation in DNA methylation in
these regions. Here, we surveyed UCNEs with high CpG density in 56 species
finding half to be intermediately methylated and the remaining near 0% or 100%.
Intermediately methylated UCNEs displayed a greater range of methylation between
mouse tissues. In a human population, most UCNEs showed greater variation than
the LINE1 transposon, a frequently used epigenetic biomarker. Global methylation
was found to be inversely correlated to hydroxymethylation across 60 vertebrates.
Within UCNEs, DNA methylation is flexible, conserved between related species, and
relaxed from the underlying sequence selection pressure, while remaining
heritable through speciation.
PMID- 29372670
TI - RNA polymerase I activation and hibernation: unique mechanisms for unique genes.
AB - In yeast, transcription of ribosomal DNA (rDNA) by RNA polymerase I (Pol I) is
regulated by unique mechanisms acting at the level of the enzyme. Under stress
situations such as starvation, Pol I hibernates through dimerization. When growth
conditions are restored, dimer disassembly and Rrn3 binding drive enzyme
activation and subsequent recruitment to rDNA.
PMID- 29372671
TI - Capital social de los padres de escolares de una zona vulnerable.
AB - El capital social supone importantes inversiones materiales, simbolicas y de
esfuerzos. Conocer el capital social de una comunidad puede facilitar la
comprension del beneficio de las relaciones comunitarias para la promocion de
salud. Con el objetivo de reconocer los componentes del capital social de padres
de escolares atendiendo una escuela en una zona vulnerable de la ciudad de
Cordoba, Argentina, indagamos a traves de grupos focales acerca de elementos
esenciales del capital social. La asintonia socio-cultural entre los pobladores
originarios y los reubicados, la estigmatizacion policial y el clientelismo
politico han generado desconfianza del uno hacia el otro en diferentes aspectos
convivenciales, siendo la familia la unica red de apoyo. La escuela se reconoce
como espacio adonde la gente espontaneamente se organiza para invertir en redes
sociales, presentandose asi con potencialidad para la promocion de conductas
saludables, por el lugar simbolico que ocupa para los padres.
PMID- 29372673
TI - Impact of ageing on problem size and proactive interference in arithmetic facts
solving.
AB - Arithmetic facts (AFs) are required when solving problems such as "3 * 4" and
refer to calculations for which the correct answer is retrieved from memory.
Currently, two important effects that modulate the performance in AFs have been
highlighted: the problem size effect and the proactive interference effect. The
aim of this study is to investigate possible age-related changes of the problem
size effect and the proactive interference effect in AF solving. To this end, the
performance of young and older adults was compared in a multiplication production
task. Furthermore, an independent measure of proactive interference was assessed
to further define the architecture underlying this effect in multiplication
solving. The results indicate that both young and older adults were sensitive to
the effects of interference and of the problem size. That is, both interference
and problem size affected performance negatively: the time needed to solve a
multiplication problem increases as the level of interference and the size of the
problem increase. Regarding the effect of ageing, the problem size effect remains
constant with age, indicating a preserved AF network in older adults.
Interestingly, sensitivity to proactive interference in multiplication solving
was less pronounced in older than in younger adults suggesting that part of the
proactive interference has been overcome with age.
PMID- 29372674
TI - The effect of offset cues on saccade programming and covert attention.
AB - Salient peripheral events trigger fast, "exogenous" covert orienting. The
influential premotor theory of attention argues that covert orienting of
attention depends upon planned but unexecuted eye-movements. One problem with
this theory is that salient peripheral events, such as offsets, appear to summon
attention when used to measure covert attention (e.g., the Posner cueing task)
but appear not to elicit oculomotor preparation in tasks that require overt
orienting (e.g., the remote distractor paradigm). Here, we examined the effects
of peripheral offsets on covert attention and saccade preparation. Experiment 1
suggested that transient offsets summoned attention in a manual detection task
without triggering motor preparation planning in a saccadic localisation task,
although there were a high proportion of saccadic capture errors on "no-target"
trials, where a cue was presented but no target appeared. In Experiment 2, "no
target" trials were removed. Here, transient offsets produced both attentional
facilitation and faster saccadic responses on valid cue trials. A third
experiment showed that the permanent disappearance of an object also elicited
attentional facilitation and faster saccadic reaction times. These experiments
demonstrate that offsets trigger both saccade programming and covert attentional
orienting, consistent with the idea that exogenous, covert orienting is tightly
coupled with oculomotor activation. The finding that no-go trials attenuates
oculomotor priming effects offers a way to reconcile the current findings with
previous claims of a dissociation between covert attention and oculomotor control
in paradigms that utilise a high proportion of catch trials.
PMID- 29372672
TI - Rooted tRNAomes and evolution of the genetic code.
AB - We advocate for a tRNA- rather than an mRNA-centric model for evolution of the
genetic code. The mechanism for evolution of cloverleaf tRNA provides a root
sequence for radiation of tRNAs and suggests a simplified understanding of code
evolution. To analyze code sectoring, rooted tRNAomes were compared for several
archaeal and one bacterial species. Rooting of tRNAome trees reveals conserved
structures, indicating how the code was shaped during evolution and suggesting a
model for evolution of a LUCA tRNAome tree. We propose the polyglycine hypothesis
that the initial product of the genetic code may have been short chain
polyglycine to stabilize protocells. In order to describe how anticodons were
allotted in evolution, the sectoring-degeneracy hypothesis is proposed. Based on
sectoring, a simple stepwise model is developed, in which the code sectors from a
1->4->8->~16 letter code. At initial stages of code evolution, we posit strong
positive selection for wobble base ambiguity, supporting convergence to 4-codon
sectors and ~16 letters. In a later stage, ~5-6 letters, including stops, were
added through innovating at the anticodon wobble position. In archaea and
bacteria, tRNA wobble adenine is negatively selected, shrinking the maximum size
of the primordial genetic code to 48 anticodons. Because 64 codons are recognized
in mRNA, tRNA-mRNA coevolution requires tRNA wobble position ambiguity leading to
degeneracy of the code.
PMID- 29372676
TI - Comparison of miRNA Evolution and Function in Plants and Animals.
AB - MicroRNAs (miRNAs), as a major player in post-transcriptional regulation of gene
expression, have been reported to regulate a broad variety of key biological
processes, including growth, development and stress responses in both plants and
animals. While the biogenesis and regulatory abilities of miRNAs have been
extensively studied, the evolutionary history of miRNAs still needs more
exploration. So far, several models explain the origination of plant and animal
MIRNA (MIR) genes. Both inter-species and intra-species conservation and
divergence of miRNAs exhibits functional adaptation to changing environments in
evolution. Here we summarize recent progress in how these similarities and
differences contribute to the characteristic features of miRNA evolution in the
two kingdoms.
PMID- 29372677
TI - [A woman with acute abdominal pain after a gastric bypass].
AB - A 35-year-old woman with a history of gastric bypass presented with acute
abdominal pain, nausea and an urge to move. She showed normal vital signs. We
found abdominal guarding and elevated ASAT, ALAT and LDH. A CT scan showed the
whirl sign, caused by a mesenteric herniation through the Roux-en-Y gastric
bypass.
PMID- 29372678
TI - [A Papua New Guinean with three foot ulcers].
AB - A 25-year-old Papuan presented with three painless foot ulcers with undermined
edges, induration and oedema. The appearance was typical for Buruli ulcer, which
is caused by Mycobacterium ulcerans. A smear was positive for acid fast bacilli.
Buruli ulcers are found in patients from humid and tropical regions and are
treated with rifampicin and streptomycin during eight weeks.
PMID- 29372679
TI - [Spontaneous clinical improvement in a COPD patient].
AB - BACKGROUND: Bullous lung emphysema is a progressive disease, which may be partly
explained by gradual expansion of bullae. These air-spaces arise after
destruction of alveolar lung tissue. In some patients, bullae can merge into a
giant bulla comprising more than 30% of the hemithorax. This bulla compresses
surrounding relatively healthy lung parenchyma and regression results in
improvement of pulmonary function, exertional tolerance and quality of life. This
can be achieved with medication, surgery and with new experimental bronchoscopic
lung volume reduction therapy. CASE DESCRIPTION: A 58-year-old man presented at
the outpatient clinic because of exertion-induced dyspnoea. Additional
diagnostics revealed bullous lung emphysema in which the left lower lobe had been
transformed into a single large bulla over the course of 7 years of monitoring.
His exertional tolerance continued to decrease gradually until there was an
unexpected spectacular improvement of his lung function. This improvement proved
to be caused by spontaneous resorption of the bulla. CONCLUSION: Patients with
severe bullous lung emphysema may benefit from resorption of large bullae. This
mostly requires treatment, but resorption sometimes can be a spontaneous
occurrence.
PMID- 29372680
TI - Antimicrobial Drug Resistance in Fish Pathogens.
AB - Major concerns surround the use of antimicrobial agents in farm-raised fish,
including the potential impacts these uses may have on the development of
antimicrobial-resistant pathogens in fish and the aquatic environment. Currently,
some antimicrobial agents commonly used in aquaculture are only partially
effective against select fish pathogens due to the emergence of resistant
bacteria. Although reports of ineffectiveness in aquaculture due to resistant
pathogens are scarce in the literature, some have reported mass mortalities in
Penaeus monodon larvae caused by Vibrio harveyi resistant to trimethoprim
sulfamethoxazole, chloramphenicol, erythromycin, and streptomycin. Genetic
determinants of antimicrobial resistance have been described in aquaculture
environments and are commonly found on mobile genetic elements which are
recognized as the primary source of antimicrobial resistance for important fish
pathogens. Indeed, resistance genes have been found on transferable plasmids and
integrons in pathogenic bacterial species in the genera Aeromonas, Yersinia,
Photobacterium, Edwardsiella, and Vibrio. Class 1 integrons and IncA/C plasmids
have been widely identified in important fish pathogens (Aeromonas spp., Yersinia
spp., Photobacterium spp., Edwardsiella spp., and Vibrio spp.) and are thought to
play a major role in the transmission of antimicrobial resistance determinants in
the aquatic environment. The identification of plasmids in terrestrial pathogens
(Salmonella enterica serotypes, Escherichia coli, and others) which have
considerable homology to plasmid backbone DNA from aquatic pathogens suggests
that the plasmid profiles of fish pathogens are extremely plastic and mobile and
constitute a considerable reservoir for antimicrobial resistance genes for
pathogens in diverse environments.
PMID- 29372683
TI - The role of statins as therapeutic agents in cancer.
AB - Statins are the inhibitors of 3-hydroxy-3-methylglutaryl coenzyme A (HMG-CoA)
reductase. This enzyme catalyzes conversion of HMG-CoA to mevalonate, which are
the intermediates in cholesterol biosynthetic pathway. Statins also play an
important role in carcinogenesis, because they are able to affect the cancer cell
metabolism. Their effect has been observed in several cellular processes, such as
angiogenesis, metastasis, apoptosis and cell proliferation. However, these
effects are highly dependent on type of cancer and individual statins vary in
their antitumor potential. This review summarizes the recent epidemiological
evidence and preclinical studies that showed effects of all clinically used
statins in vitro and in vivo. We also consider the results of different
observational and retrospective studies focused on association among statins and
cancer risk which are still under open discussion.
PMID- 29372682
TI - The role of CYP17A1 in prostate cancer development: structure, function,
mechanism of action, genetic variations and its inhibition.
AB - Androgens play an important role during the development of both normal prostate
epithelium and prostate cancer and variants of genes involved in androgen
metabolism may be related to an increased risk of prostate disease. Cytochrome
P450 17alpha-hydroxylase/17,20-lyase (CYP17A1) is a key regulatory enzyme in the
steroidogenic pathway; it catalyses both 17alpha-hydroxylase and 17,20-lyase
activities and is essential for the production of both androgens and
glucocorticoids. In this review, we focus on the structure and enzymatic activity
of CYP17A1 and the mechanism of modulation of CYP17A1 activities. We discuss the
relationship between common genetic variations in CYP17A1 gene and prostate
cancer risk and the main effects of these variations on the prediction of
susceptibility and clinical outcomes of prostate cancer patients. The mechanism
of action, the efficacy and the clinical potential of CYP17A1 inhibitors in
prostate cancer are also summarized.
PMID- 29372681
TI - CtBP impedes JNK- and Upd/STAT-driven cell fate misspecifications in regenerating
Drosophila imaginal discs.
AB - Regeneration following tissue damage often necessitates a mechanism for cellular
re-programming, so that surviving cells can give rise to all cell types
originally found in the damaged tissue. This process, if unchecked, can also
generate cell types that are inappropriate for a given location. We conducted a
screen for genes that negatively regulate the frequency of notum-to-wing
transformations following genetic ablation and regeneration of the wing pouch,
from which we identified mutations in the transcriptional co-repressor C-terminal
Binding Protein (CtBP). When CtBP function is reduced, ablation of the pouch can
activate the JNK/AP-1 and JAK/STAT pathways in the notum to destabilize cell
fates. Ectopic expression of Wingless and Dilp8 precede the formation of the
ectopic pouch, which is subsequently generated by recruitment of both anterior
and posterior cells near the compartment boundary. Thus, CtBP stabilizes cell
fates following damage by opposing the destabilizing effects of the JNK/AP-1 and
JAK/STAT pathways.
PMID- 29372684
TI - Role of S-adenosylmethionine cycle in carcinogenesis.
AB - Alterations in enzymatic activities underlying the cellular capacity to maintain
functional S-adenosylmethionine (SAM) cycle are associated with modified levels
of its constituents. Since SAM is the most prominent donor of methyl group for
sustaining the methylation pattern of macromolecules by methyltransferases, its
availability is an essential prerequisite for sustaining the methylation pattern
of nucleic acids and proteins. In addition, increased intracellular
concentrations of S-adenosylhomocysteine and homocysteine, another two
constituents of SAM cycle, exerts an inhibitory effect on the enzymatic activity
of methyltranferases. While methylation pattern of DNA and histones is considered
as an important regulatory hallmark in epigenetically regulated gene expression,
amended methylation of several cellular proteins, including transcription
factors, affects their activity and stability. Indeed, varied DNA methylome is a
common consequence of disturbed SAM cycle and is linked with molecular changes
underlying the transformation of the cells that may underlay the carcinogenesis.
Here we summarize the recent evidences about the impact of disturbed SAM cycle on
carcinogenesis.
PMID- 29372685
TI - DNA methylation as mechanism of apoptotic resistance development in endometrial
cancer patients.
AB - DNA methylation is a significant epigenetic modification which plays a key role
in regulation of gene expression and influences functional changes in endometrial
tissue. Aberrant DNA methylation changes result in deregulation of important
apoptotic proteins during endometrial carcinogenesis and apoptosis resistance
development. Evading apoptosis is still a major problem in the successful
treatment of endometrial cancer patients. The aim of our study was to examine the
promoter DNA methylation changes in 22 apoptosis-associated genes in endometrioid
endometrial cancer patients, precancerous lesions and healthy tissue from various
normal menstrual cycle phases using a unique pre-designed methylation platform.
We observed as the first a significant difference in promoter DNA methylation
status in genes: BCL2L11 (p < 0.001), CIDEB (p < 0.03) and GADD45A (p < 0.05)
during endometrial carcinogenesis and BIK gene (p < 0.03) in different phases of
normal menstrual cycle. The results of our study indicate that deregulation of
mitochondrial apoptotic pathway can considerably contributes to the apoptosis
resistance development and may be helpful in identifying of new potent biomarkers
in endometrial cancer.
PMID- 29372686
TI - Proton MR spectroscopic imaging of human glioblastomas at 1.5 Tesla.
AB - In this study we evaluated clinical feasibility of proton magnetic resonance
spectroscopy metabolite mapping (1H MRSI) by using 1.5 Tesla MR-scanner in 10
patients with high-grade glioblastoma. In vivo 1H MRSI performed with a
relatively short scan time of 20 minutes enabled to obtain comprehensive
information about metabolic changes in glioblastoma and adjacent tissues namely
in the peritumoral edema, in the middle and solid part of the tumor, and in the
normal-appearing brain tissue. Spectroscopically it was possible to identify
initiation of neuronal cell death in the solid tumorous tissue via decreased N
acetyl-aspartate to creatine ratio (? tNAA/tCr) and expanding carcinogenesis
reflected in elevated choline ratios (? tCho/tCr and tCho/tNAA). We showed also
the central necrosis of glioblastoma accompanied by the tissue hypoxia, which
were apparent as increased lactate and lipids ratios (? Lac/tCr and lip/Lac).
Metabolic changes were noticeable also in the peritumoral area, showing the
glioblastoma infiltration into the surrounding tissues. In intracranial tumors,
1H MRSI performed on 1.5 Tesla field strength was sufficient to provide
information about the stage of carcinogenesis, tumor expansion or necrotization
and thus it could be considered as a useful diagnostic tool in oncology.
PMID- 29372687
TI - Cyclin-dependent kinase 2 inhibitor SU9516 increases sensitivity of colorectal
carcinoma cells Caco-2 but not HT29 to BH3 mimetic ABT-737.
AB - Colorectal carcinoma (CRC) that represents one of the major causes for cancer
related death in humans is often associated with over-expression of anti
apoptotic proteins of Bcl-2 family. The aim of presented study was to determine
the effect of ABT-737 inhibitor of anti-apoptotic proteins Bcl-2, Bcl-XL and Bcl
w as well as cyclin-dependent kinase 2 (CDK2) inhibitor SU9516 alone and in
combination with ABT-737 on survival of colorectal cell lines HT29 and Caco-2. We
have shown that both Caco-2 and HT29 cells that are relatively resistant to ABT
737 are also partially sensitive to SU9516, which increased sensitivity of Caco-2
but not HT29 cells to ABT-737. Increased sensitivity of Caco-2 cells to ABT-737
after addition of SU9516 correlated well with SU9516-induced decrease of Mcl-1
expression while we have not observed downregulation of Mcl-1 after the treatment
of HT29 cells with SU9516. Instead of this, we have shown that treatment of HT29
cells with SU9516 is associated with decreased expression of tumour suppressor
protein p53. Our findings provide a rationale for clinical use of Bcl-2 family
inhibitors in combination with CDK2 inhibitors for treatment of Mcl-1-dependent
colorectal tumours associated with expression of Bcl-2, Bcl-XL and Bcl-w
proteins. In addition, we have shown potential of CDK2 inhibitors for treatment
of tumours expressing R273H mutant p53.
PMID- 29372688
TI - Testosterone as a prospective predictor of pathological Gleason score and
pathological stage in prostate cancer.
AB - The aim of the study was to assess the relationship between preoperative
circulating levels of total serum testosterone and pathological Gleason score and
pathological stage in prostate cancer patients who underwent radical retropubic
prostatectomy. The levels of total serum testosterone were measured in the
morning just before surgery in a group of 201 prostate cancer patients.
Multinomial logistic regression models were used to model the association between
total preoperative testosterone (individually or in combination with other
preoperative predictors such as age, PSA, clinical stage and biopsy Gleason
score) and pathological Gleason score, pathological stage in prostate cancer
patients. The association between age and total testosterone was modelled by
robust regression. The total serum testosterone, in combination with other
prognostic factors (age, PSA, clinical stage and biopsy Gleason score) in models,
was not statistically significant predictor of pathological Gleason score and
pathological stage. The highly significant relationship between age and
preoperative total testosterone was observed (p = 0). In prostate cancer
patients, the level of total serum testosterone increased with age. In
conclusion, total testosterone is not a statistically significant predictive
factor for pathological Gleason score and pathological stage.
PMID- 29372689
TI - DPYD genotype and haplotype analysis and colorectal cancer susceptibility in a
case-control study from Slovakia.
AB - Dihydropyrimidine dehydrogenase (DPD) acts as the first-step enzyme catabolizing
pyrimidines in vivo. DPYD gene mutations interfere with the breakdown of uracil
and thymine. Genetic variations of DPYD can cause an enzyme deficiency state,
which results in severe toxicity or other adverse side effects such as DNA damage
or RNA damage caused by imbalance of the nucleotide pool. Our case-control study
investigates the possible association between seven DPYD gene polymophisms
(rs1801267, rs72547602, rs1801160, rs3918290, rs1801159, rs1801158, rs1801265)
and risk of colorectal cancer (CRC). The association analysis for DPD was
performed on 273 CRC patients and 187 healthy controls. There is significant
allele association of SNP rs1801160 with colorectal cancer (p = 0.003, OR =
3.264, 95% CI = 1.425-7.475) in present analysis. Haplotype analysis of four DPYD
polymorphisms showed significant difference in the distribution "IISt" haplotype
between cases and controls. In comparison to the most common haplotype (VISt),
the "IISt" haplotype was associated with increased risk for CRC (p = 0.038, OR =
2.733, 95% CI = 1.019-7.326). The present study suggests that the SNP rs1801160
and the "IISt" haplotype in the DPYD gene may also have a role in colorectal
cancer risk.
PMID- 29372691
TI - Prediction of radiation necrosis in a rodent model using magnetic resonance
imaging apparent transverse relaxation ([Formula: see text]).
AB - BACKGROUND AND PURPOSE: Radiation necrosis remains an irreversible long-term side
effect following radiotherapy to the brain. The ability to predict areas that
could ultimately develop into necrosis could lead to prevention and management of
radiation necrosis. MATERIALS AND METHODS: Fischer 344 rats were irradiated using
two platforms (micro-CT irradiator and x-Rad 225 IGRT) with radiation up to 30 Gy
for the micro-CT and 40 Gy for the xRAD-224 to half the brain. Animals were
subsequently imaged using a 9.4 T MRI scanner every 2-4 weeks for up to 28 weeks
using a 7-echo gradient echo sequence. The apparent transverse relaxation
constant ([Formula: see text]) was calculated and retrospectively analyzed.
RESULTS: Animals irradiated with the low-dose rate micro-CT did not exhibit any
symptoms or imaging changes associated with RN. Animals irradiated with the xRAD
225 exhibited imaging changes consistent with RN at week 24. Analysis of the
[Formula: see text] coefficient within the lesion and hippocampus shows the
potential for detection of RN up to 10 weeks prior to morphological changes.
CONCLUSIONS: The ability to predict areas of RN and increases of [Formula: see
text] within the hippocampus provides a method for long-term monitoring and
prediction of RN.
PMID- 29372690
TI - Association of single nucleotide polymorphisms in FGF-RAS/MAP signalling cascade
with breast cancer susceptibility.
AB - The fibroblast growth factor receptors (FGFRs) and Ras/mitogen activated protein
(RAS/MAP) signalling cascades are the main molecular pathways involved in breast
carcinogenesis. This study aims to determine the association between FGF10
(rs4415084 C>T), FGFR2 (rs2981582 C>T) and MAP3K1 (rs889312 A>C) gene
polymorphisms and breast cancer, to analyse the discriminative ability of each
SNP and to test the accuracy of the predictive breast cancer risk model which
includes all SNPs. We conducted a case-control study of 170 women (57.06 +/-
11.60 years) with histologically confirmed breast cancer and 146 controls (50.24
+/- 10.69 years). High resolution melting (HRM) method with Sanger sequencing
validation was used in analyses. We have revealed significant association of
FGFR2 and MAP3K1 polymorphisms with breast cancer. The odds ratio of FGFR2 T
allele was 1.897 (95% CI 1.231-2.936, p = 0.004) and MAP3K1 C allele 1.804 (95%
CI 1.151-2.845, p = 0.012). FGFR2 polymorphism achieved the best discriminative
ability (41.95%). The Random Forest algorithm selected FGFR2, MAP3K1 and age as
important breast cancer predictors. The accuracy of this prediction model
approached moderate accuracy (70%), with 35.9% sensitivity and 88.6% specificity.
PMID- 29372692
TI - Numerical implementation of magneto-acousto-electrical tomography (MAET) using a
linear phased array transducer.
AB - In this study, the performance and implementation of magneto-acousto-electrical
tomography (MAET) is investigated using a linear phased array (LPA) transducer.
The goal of MAET is to image the conductivity distribution in biological bodies.
It uses the interaction between ultrasound and a static magnetic field to
generate velocity current density distribution inside the body. The resultant
voltage due to velocity current density is sensed by surface electrodes attached
on the body. In this study, the theory of MAET is reviewed. A 16-element LPA
transducer with 1 MHz excitation frequency is used to provide beam directivity
and steerability of acoustic waves. Different two-dimensional numerical models of
breast and tumour are formed to analyze the multiphysics problem coupled with
acoustics and electromagnetic fields. In these models, velocity current density
distributions are obtained for pulse type ultrasound excitations. The static
magnetic field is assumed as 1 T. To sense the resultant voltage caused by the
velocity current density, it is assumed that two electrodes are attached on the
surface of the body. The performance of MAET is shown through sensitivity matrix
analysis. The sensitivity matrix is obtained for two transducer positions with 13
steering angles between [Formula: see text] to [Formula: see text] with [Formula:
see text] angular intervals. For the reconstruction of the images, truncated
singular value decomposition method is used with different signal-to-noise ratio
(SNR) values (20 dB, 40 dB, 60 dB and 80 dB). The resultant images show that the
perturbation (5 mm * 5 mm) placed 35 mm depth can be detected even if the SNR
is 20 dB.
PMID- 29372693
TI - Small bowel transanal evisceration mimicking a rectal prolapse. A case report and
review of literature.
AB - : Transanal intestinal evisceration is a very uncommon and dramatic occurrence,
linked most frequently to rectal prolapse rupture or, very rarely, to traumatic
pathology. The Authors describe a case of a 74-year-old female patient who
required urgent hospital admission because of a seemingly strangulated rectal
prolapse. During surgical preparation the protruding mass was recognized as small
bowel loops herniating from the anus. Evisceration was due to spontaneous rectal
wall rupture, most likely caused by increased abdominal pressure and consequent
breach through the weakened rectum. Surgical treatment consisted in small bowel
resection combined with an Hartmann's procedure. Spontaneous rectal rupture
without a pre-existing rectal prolapse is a rather infrequent and challenging
condition; its mechanism is still incompletely explained. The management is
surgical and may range from primary repair up to extensive intestinal resection
to include a defunctioning colostomy. KEY WORDS: Rectal prolapse, Spontaneous
rectal rupture, Transanal evisceration.
PMID- 29372694
TI - Dundee takes mouth cancer campaign further.
PMID- 29372695
TI - Under cover.
PMID- 29372697
TI - Special feature: Crisis at Christmas Dental Service 2017.
PMID- 29372698
TI - Oral health: The loss of Jimmy Steele.
PMID- 29372703
TI - Strategy and initiatives launch event held in Greater Manchester.
PMID- 29372706
TI - Erratum.
PMID- 29372705
TI - Mike Curtis: 'It's criminal that we still have such high levels of childhood
caries'.
PMID- 29372707
TI - Orthodontics: Hammer horror.
PMID- 29372710
TI - Robert Timperley 1922-2017.
PMID- 29372708
TI - Flowable composite as fissure sealing material? A systematic review and meta
analysis.
AB - Objectives The aim of this systematic review and meta-analysis was to evaluate
fissure sealant retention in clinical studies in which investigators used
flowable composites as pit and fissure sealants compared with conventional resin
based pit and fissure sealants.Data sources and data selection The authors
conducted a literature search (all articles published until April 13, 2017) to
identify studies for inclusion in this systematic review. They assessed the
quality of the evidence provided by using the modified Jadad scale and performed
meta-analyses by using a random-effects model.Data extraction and data synthesis
The authors found 11 studies that met the inclusion criteria for the systematic
review and nine studies that could be used for the meta-analysis. Of the 11
studies identified in the systematic review, four scored as having a low risk of
bias, and seven scored as having a medium risk of bias. Our meta-analysis showed
a significant positive effect of using flowable composites as a fissure sealant
material (odds ratio, 2.387 [95% CI, 1.047, 5.444; P = 0.039]).Conclusion It
seems that the use of flowable composite as a fissure sealing material can
slightly increase the retention rate of sealants compared with conventional resin
based sealants.
PMID- 29372711
TI - Dentists on film: Pardon Us.
PMID- 29372714
TI - Reunite with former King's classmates this March.
PMID- 29372715
TI - UK dental practices are struggling to fill roles.
PMID- 29372716
TI - New national dental implant standards.
PMID- 29372717
TI - Conference report: Strategies for creating a collaborative network in research
and teaching: CEDACORE.
PMID- 29372719
TI - Paediatric dentistry: Dental Check by One.
PMID- 29372723
TI - Dendritic phospholipid-based drug delivery systems.
AB - A class of new dendritic phospholipid compounds with different hydrophilic
dendritic poly(l-lysine) peripheries from generations 1 (G1) to 3 (G3) (DPL-1 to
DPL-3) were synthesised and nano-drug delivery systems based on these compounds
were prepared (DPN-2 and DPN-3). DPL-1 couldn't self-assemble into nanocarriers.
The size, TEM image, and the CD spectrum of DPN-2 and DPN-3 were experimentally
examined. The effect of the peripheral structure of dendritic phospholipid-based
nanocarriers on their biological performance and drug delivery efficiency was
studied. In vitro cytotoxicity studies demonstrated that the DOX-loaded DPN-3
shows higher cytotoxicity against 4T1 cells and BGC823 cells than DPN-2. DOX
loaded DPN-3 also showed excellent behaviours in cell internalization and 4T1
multicellular spheroid penetration. The composition of the hydrophilic block in
dendritic phospholipids affected the self-assembly behaviour, properties and
delivery efficiency of the formed nanocarriers. This work will be helpful for
building drug delivery systems with characteristics of high delivery efficiency
and low cytotoxicity for clinical applications.
PMID- 29372720
TI - Dental radiography: Acceptable radiographs.
PMID- 29372724
TI - Uptake of fatty acids by a single endothelial cell investigated by Raman
spectroscopy supported by AFM.
AB - In this work, confocal Raman imaging was used to study the formation of lipid
droplets (LDs) in vitro in a single endothelial cell upon incubation with
polyunsaturated fatty acids (10 or 25 MUM) including arachidonic acid (AA) and
its deuterated analog (AA-d8), eicosapentaenoic acid (EPA) and docosahexaenoic
acid (DHA). Based on the Raman spectra obtained from a single endothelial cell,
it was possible to investigate biochemical changes induced by addition of
polyunsaturated fatty acids. In particular, the content of lipids in the formed
LDs and the unsaturation degree were identified by Raman spectroscopy by marker
bands at 1660 cm-1 due to the C[double bond, length as m-dash]C stretching and at
~3015 cm-1 due to the stretching mode of [double bond, length as m-dash]C-H
associated with C[double bond, length as m-dash]C double bonds (except for a
deuterated form where these bands are shifted respectively). To establish if the
exogenous fatty acid was taken up by the cell and stored in LDs, a deuterium
labelled polyunsaturated fatty acid was used. AA-d8 shows characteristic bands at
around 2200-2300 cm-1 assigned to the [double bond, length as m-dash]C-D
stretching modes. We established the uptake of AA and the accumulation of EPA
into newly formed LDs in the endothelial cells. In contrast, no accumulation of
DHA in LDs was observed even though LDs were formed upon DHA incubation.
Furthermore, using AFM we demonstrated that the presence of LDs in the
endothelium affected endothelial stiffness which could have pathophysiological
significance. In summary, the results suggest that the formation of LDs in the
endothelium involves exogenous and endogenous polyunsaturated fatty acids, and
their relative contribution to the LD formation seems distinct for AA, EPA and
DHA.
PMID- 29372725
TI - Evolution of 2D tin oxides on the surface of molten tin.
AB - The exfoliation of two dimensional (2D) oxides, established on the surface of
specific liquid metals, has recently been introduced. One such liquid metal is
molten tin, which forms a variety of surface 2D oxides. However, the development
behaviour of these surface tin oxides is still unknown. Here the evolution of
stoichiometry and thickness from single-layer SnO to highly oxidised multi-layer
SnO2 in ambient oxygen is explored.
PMID- 29372726
TI - First principles study of electronic transport properties in novel FeB2 flake
based nanodevices.
AB - First-principles calculations can provide theoretical support for the promising
applications of innovative two-probe devices based on FeB2 flakes at different
temperatures. Results indicate that these FeB2-based devices not only exhibit a
prominent transport capacity and a predictable strong current, but also possess
outstanding electrical conductivity compared with many flake-based devices.
Devices with FeB2 flakes at temperatures not above 1000 K have advantageous
transmission and low-voltage current because of the delocalization of electronic
states, essentially resulting from their undeformed flake structures.
Importantly, Fe atoms are pivotal in the electron transport of FeB2-based
devices. The edge effect of the flakes is also analyzed. These new-type FeB2
flakes can realize substantial value in nanoscale functional devices.
PMID- 29372727
TI - Toward a muon-specific electronic structure theory: effective electronic Hartree
Fock equations for muonic molecules.
AB - An effective set of Hartree-Fock (HF) equations are derived for electrons of
muonic systems, i.e., molecules containing a positively charged muon, conceiving
the muon as a quantum oscillator, which are completely equivalent to the usual
two-component HF equations used to derive stationary states of the muonic
molecules. In these effective equations, a non-Coulombic potential is added to
the orthodox coulomb and exchange potential energy terms, which describes the
interaction of the muon and the electrons effectively and is optimized during the
self-consistent field cycles. While in the two-component HF equations a muon is
treated as a quantum particle, in the effective HF equations it is absorbed into
the effective potential and practically transformed into an effective potential
field experienced by electrons. The explicit form of the effective potential
depends on the nature of muon's vibrations and is derivable from the basis set
used to expand the muonic spatial orbital. The resulting effective Hartree-Fock
equations are implemented computationally and used successfully, as a proof of
concept, in a series of muonic molecules containing all atoms from the second and
third rows of the Periodic Table. To solve the algebraic version of the equations
muon-specific Gaussian basis sets are designed for both muon and surrounding
electrons and it is demonstrated that the optimized exponents are quite distinct
from those derived for the hydrogen isotopes. The developed effective HF theory
is quite general and in principle can be used for any muonic system while it is
the starting point for a general effective electronic structure theory that
incorporates various types of quantum correlations into the muonic systems beyond
the HF equations.
PMID- 29372729
TI - Porphyrin-sensitized solar cells: systematic molecular optimization, coadsorption
and cosensitization.
AB - As a promising low-cost solar energy conversion technique, dye-sensitized solar
cells have undergone spectacular development since 1991. For practical
applications, improvement of power conversion efficiency has always been one of
the major research topics. Porphyrins are outstanding sensitizers endowed with
strong sunlight harvesting ability in the visible region and multiple reaction
sites available for functionalization. However, judicious molecular design in
consideration of light-harvest, energy levels, operational dynamics, adsorption
geometry and suppression of back reactions is specifically required for achieving
excellent photovoltaic performance. This feature article highlights some of the
recently developed porphyrin sensitizers, especially focusing on the systematic
dye structure optimization approach in combination with coadsorption and
cosensitization methods in pursuing higher efficiencies. Herein, we expect to
provide more insights into the structure-performance correlation and molecular
engineering strategies in a stepwise manner.
PMID- 29372728
TI - Ab initio and transition state theory study of the OH + HO2 -> H2O + O2(3Sigmag
)/O2(1Deltag) reactions: yield and role of O2(1Deltag) in H2O2 decomposition and
in combustion of H2.
AB - Reactions of hydroxyl (OH) and hydroperoxyl (HO2) are important for governing the
reactivity of combustion systems. We performed post-CCSD(T) ab initio
calculations at the W3X-L//CCSD = FC/cc-pVTZ level to explore the triplet ground
state and singlet excited-state potential energy surfaces of the OH + HO2 -> H2O
+ O2(3Sigmag-)/O2(1Deltag) reactions. Using microcanonical and multistructural
canonical transition state theories, we calculated the rate constant for the
triplet and singlet channels over the temperature range 200-2500 K, represented
by k(T) = 3.08 * 1012T0.07 exp(1151/RT) + 8.00 * 1012T0.32 exp(-6896/RT) and k(T)
= 2.14 * 106T1.65 exp(-2180/RT) in cm3 mol-1 s-1, respectively. The branching
ratios show that the yield of singlet excited oxygen is small (<0.5% below 1000
K). To ascertain the importance of singlet oxygen channel, our new kinetic
information was implemented into the kinetic model for hydrogen combustion
recently updated by Konnov (Combust. Flame, 2015, 162, 3755-3772). The updated
kinetic model was used to perform H2O2 thermal decomposition simulations for
comparison against shock tube experiments performed by Hong et al. (Proc.
Combust. Inst., 2013, 34, 565-571), and to estimate flame speeds and ignition
delay times in H2 mixtures. The simulation predicted a larger amount of
O2(1Deltag) in H2O2 decomposition than that predicted by Konnov's original model.
These differences in the O2(1Deltag) yield are due to the use of a higher ab
initio level and a more sophisticated methodology to compute the rate constant
than those used in previous studies, thereby predicting a significantly larger
rate constant. No effect was observed on the rate of the H2O2 decomposition and
on the flame speeds and ignition delay times of different H2-oxidizer mixtures.
However, if the oxidizer is seeded with O3, small differences appear in the flame
speed. Given that O2(1Deltag) is much more reactive than O2(3Sigmag-), we do not
preclude an effect of the singlet channel of the titled reaction in other
combustion systems, especially in systems where excited oxygen plays an important
role.
PMID- 29372730
TI - Inducing chemical reactivity on specific sites of a molecule using the Coulomb
interaction exerted by a low energy electron.
AB - Remarkable site-specificity in the resonant attachment of low energy electrons
(LEEs) to molecular targets is proposed as an efficient method for inducing
chemical reactivity on specific sites of molecules. The Coulomb interaction
between the attached electron and the most polarizable molecular electrons
localized on the attached site is the reason for site-specific chemical
reactivity. The Coulombically induced site-specific chemical reactivity is best
illustrated by the LEE induced chemical transformation of a weakly bound
molecular complex into a strong covalent adduct. The chemical transformation
occurs due to the Coulombically induced nucleophilicity on a specific molecular
moiety in the complex. A simple strategy for inducing site-specific chemical
reactivity using a LEE may find new avenues in chemical synthesis.
PMID- 29372731
TI - Computational analysis of carbohydrate recognition based on hybrid QM/MM
modeling: a case study of norovirus capsid protein in complex with Lewis antigen.
AB - Norovirus is a major pathogen of nonbacterial acute gastroenteritis in humans and
animals. Carbohydrate recognition between norovirus capsid proteins and Lewis
antigens is considered to play a critical role in initiating infection of
eukaryotic cells. In this article, we first report a detailed atomistic
simulation study of the norovirus capsid protein in complex with the Lewis
antigen based on ab initio QM/MM combined with MD-FEP simulations. To understand
the mechanistic details of ligand binding, we analyzed and compared the
carbohydrate recognition mechanism of the wild-type P domain protein with a
mutant protein. Small structural differences between two capsid proteins are
observed on the weak interaction site of residue 389, which is located on the
solvent exposed surface of the P domain. To further clarify affinity differences
in ligand binding, we directly evaluated free energy changes of the ligand
binding process. Although the mutant protein loses its interaction energy with
the Lewis antigen, this small amount of energy penalty is compensated for by an
increase in the solvation stability, which is induced by structural
reorganization at the ligand binding site on the protein surface. As a sum of
these opposite energy components, the mutant P domain obtains a slightly enhanced
binding affinity for the Lewis antigen. The present computational study clearly
demonstrated that a detailed free energy balance of the interaction energy
between the capsid protein and the surrounding aqueous solvent is the mechanistic
basis of carbohydrate recognition in the norovirus capsid protein.
PMID- 29372732
TI - The fold preference and thermodynamic stability of alpha-synuclein fibrils is
encoded in the non-amyloid-beta component region.
AB - The heterogeneity of the synucleinopathies, neurological disorders that include
Parkinson's disease (PD), indicates that toxicity, seeding/cross-seeding ability,
and propagation of alpha-synuclein (alphaS) assemblies depend on their distinct
structural characteristics or "strain". To examine the molecular signature that
encodes the aggregation seed, conformational preference, and thermodynamic
stability of full-length alphaS fibrils, we performed molecular dynamics
simulations on two non-amyloid-beta component (NAC) fibril structures, containing
residues 61-95 of two distinct alphaS fibrils. We identified several discrete hot
spots in the recognized hydrophobic core of NAC (residues 68-82) that could
initiate the early assembly of alphaS. We show that NAC fibrils inherit the
preferred fold of their parent alphaS fibril, but could switch conformational
preference in two fibril mutants K80Q and E83Q under different solution
conditions. Similar to alphaS fibrils, NAC fibrils are also sensitive to
temperature and salt concentration. The favorable solvation free energy of NAC
fibrils at low temperature (280 K) suggests a propensity for cold-denaturation.
Our results indicate that the strain-dependent synucleinopathies may be partially
imprinted in the fold-dependent thermodynamic properties of NAC fibrils,
providing structural insights into the emerging development of anti-PD treatments
that target the NAC region of alphaS.
PMID- 29372733
TI - Triphenylamine based yellowish-orange light emitting organic dyes (donor-pi
acceptor) for hybrid WLEDs and OLEDs: synthesis, characterization and theoretical
study.
AB - Six novel yellowish-orange light emitting dye molecules consisting of an electron
deficient phenone (acceptor) linked to triphenylamine (donor), were designed and
synthesized. The photophysical and electrochemical properties of the dyes were
systematically investigated and examined using DFT calculations. All the
synthesized dye materials have shown high quantum yields (ranging from
0.35to0.74%). The dyes emitted intense yellowish-orange (x = 0.427, y = 0.481) to
orange color (x = 0.511, y = 0.484) with appropriate CIE color coordinates. The
synthesised dyes were used for generating white light by fabricating white LEDs.
Among all hybrid white LED devices TPA-2 has shown bright white emission with CIE
color coordinates x = 0.32, y = 0.33. Theoretical calculations have been
performed to explore the optical, electronic, charge transport, and stability
properties of the TPA derivatives as charge transport and emissive materials for
organic light emitting devices (OLEDs). The results show that, all the
derivatives are highly luminescent and their hole transport performances are more
favourable than their electron transport performances. So these materials can be
used as hole transport materials for OLEDs.
PMID- 29372734
TI - A new approach to fabricate the Mn(ii)-based magnetic refrigerant through
incorporation of a diamagnetic {LiO4} spacer.
AB - A new 3D MOF [MnLi2(ip)2(H2O)2] (1) with a 1D heterometallic inorganic Mn(ii)
Li(i) chain is reported. With the assistance of diamagnetic {LiO4} connectors,
which separate the paramagnetic Mn(ii) ions and act as magnetic spacers, very
weak magnetic interactions were obtained. Remarkably, 1 showed a significant
magnetocaloric effect (MCE) with a large entropy change value of 30.4 J kg-1 K-1
for DeltaH = 8 T at 2 K.
PMID- 29372736
TI - Supercurrent and multiple Andreev reflections in micrometer-long ballistic
graphene Josephson junctions.
AB - Ballistic Josephson junctions are predicted to support a number of exotic physics
processess, providing an ideal system to inject the supercurrent in the quantum
Hall regime. Herein, we demonstrate electrical transport measurements on
ballistic superconductor-graphene-superconductor junctions by contacting graphene
to niobium with a junction length up to 1.5 MUm. Hexagonal boron nitride
encapsulation and one-dimensional edge contacts guarantee high-quality graphene
Josephson junctions with a mean free path of several micrometers and record-low
contact resistance. Transports in normal states including the observation of
Fabry-Perot oscillations and Sharvin resistance conclusively witness the
ballistic propagation in the junctions. The critical current density JC is over
one order of magnitude larger than that of the previously reported junctions.
Away from the charge neutrality point, the ICRN product (IC is the critical
current and RN the normal state resistance of junction) is nearly a constant,
independent of carrier density n, which agrees well with the theory for ballistic
Josephson junctions. Multiple Andreev reflections up to the third order are
observed for the first time by measuring the differential resistance in the
micrometer-long ballistic graphene Josephson junctions.
PMID- 29372735
TI - Isolation of circulating plasma cells from blood of patients diagnosed with
clonal plasma cell disorders using cell selection microfluidics.
AB - Blood samples from patients with plasma cell disorders were analysed for the
presence of circulating plasma cells (CPCs) using a microfluidic device modified
with monoclonal anti-CD138 antibodies. CPCs were immuno-phenotyped using a
CD38/CD56/CD45 panel and identified in 78% of patients with monoclonal gammopathy
of undetermined significance (MGUS), all patients with smouldering and
symptomatic multiple myeloma (MM), and none in the controls. The burden of CPCs
was higher in patients with symptomatic MM compared with MGUS and smouldering MM
(p < 0.05). FISH analysis revealed the presence of chromosome 13 deletions in
CPCs that correlated with bone marrow results. Point mutations in KRAS were
identified, including different mutations from sub-clones derived from the same
patient. The microfluidic assay represents a highly sensitive method for
enumerating CPCs and allows for the cytogenetic and molecular characterization of
CPCs.
PMID- 29372737
TI - The effect of metal distribution on the luminescence properties of mixed
lanthanide metal-organic frameworks.
AB - A series of lanthanide metal-organic frameworks (MOFs) of the general formula
[Ln(Hodip)(H2O)].nH2O (Sm, 1; Eu, 2; Gd, 3; Tb, 4; Dy, 5; Er, 6; H4odip = 5,5'
oxydiisophthalic acid) have been prepared and shown crystallographically to have
isostructural three-dimensional frameworks. The fluorescence emission spectra of
the europium compound 2, which is red, and the terbium compound 4, which is
green, show characteristic peaks for transitions involving the metal centres,
whereas that for the gadolinium compound 3 is dominated by transitions involving
Hodip. Using a 1 : 1 : 1 mixture of europium, gadolinium and terbium nitrates in
the synthesis resulted in the mixed-metal MOF
[Gd0.17Tb0.19Eu0.64(Hodip)(H2O)].nH2O 7, for which the ratio of the metal ions
was determined using EDX spectroscopy. The fluorescence emission spectrum of 7 is
dominated by europium emission bands reflecting the higher proportion of Eu3+
centres and quenching of the terbium fluorescence by metal-to-metal energy
transfer. A series of core-shell MOF materials based on the Ln(Hodip)(H2O)
framework have been prepared in order to isolate the lanthanides in different
domains within the crystals. The emission spectra for materials with Gd@Tb@Eu (8)
and Tb@Eu@Gd (9) are dominated by terbium emissions, suggesting that physical
separation from europium suppresses quenching. In contrast, the material with
Eu@Gd@Tb (10) shows only broad ligand bands and europium emissions. This confirms
that core-shell MOFs have different fluorescence properties to simple mixed-metal
MOFs, demonstrating that the spatial distribution of the metals within a mixed
lanthanide MOF affects the fluorescence behaviour.
PMID- 29372741
TI - Correction: A tetramer micelle: the smallest aggregation number corresponding to
the vertex number of regular polyhedra in platonic micelles.
AB - Correction for 'A tetramer micelle: the smallest aggregation number corresponding
to the vertex number of regular polyhedra in platonic micelles' by Shota Fujii et
al., Soft Matter, 2018, DOI: .
PMID- 29372742
TI - InPZnS alloy quantum dots with tris(hexylthio)phosphine as a dual anionic
precursor.
AB - Recently, InP-based quantum dots (QDs) have received significant attention due to
their usefulness in display applications, and the search for good optical
properties has led to numerous reports on the testing of reaction variables.
However, most researchers have precluded the most important anion precursors in
their studies, instead of focusing only on
tris(trimethylsilyl)phosphine(P(SiMe3)3, TMS3P) precursors. Due to its
vulnerability to moisture, TMS3P is unstable and difficult to handle. In the
current study, a new anionic precursor, tris(hexylthio)phosphine(THTP), is
introduced for use with InP-based QDs. Owing to its activated phosphine and
sulfur atoms, the THTP molecule is a dual anionic precursor for both InP and ZnS
QDs. When THTP is reacted with indium and zinc precursors, InPZnS alloy QDs can
be fabricated. To observe the synthesis mechanism and probe the intermediate, FAB
mass and 31P-NMR analyses were conducted, resulting in the identification of an
intermediate of MW 504. Finally, the surface was coated with a ZnS shell to
obtain the emission wavelength from 530 nm to 570 nm and a maximum quantum
efficiency of 42% when a ZnI2 precursor was used.
PMID- 29372743
TI - Dextran-coated iron oxide nanoparticle-improved therapeutic effects of human
mesenchymal stem cells in a mouse model of Parkinson's disease.
AB - Parkinson's disease (PD) is a prevalent neurodegenerative disease characterized
by the loss of dopaminergic (DA) neurons. With their migration capacity toward
the sites of diseased DA neurons in the PD brain, mesenchymal stem cells (MSCs)
have the potential to differentiate to DA neurons for the replacement of damaged
neurons and to secrete neurotrophic factors for the protection and regeneration
of diseased DA neurons; therefore MSCs show promise for the treatment of PD. In
this study, for the first time, we demonstrate that dextran-coated iron oxide
nanoparticles (Dex-IO NPs) can improve the therapeutic efficacy of human MSCs
(hMSCs) in a mouse model of PD induced by a local injection of 6-hydroxydopamine
(6-OHDA). In situ examinations not only show that Dex-IO NPs can improve the
rescue effect of hMSCs on the loss of host DA neurons but also demonstrate that
Dex-IO NPs can promote the migration capacity of hMSCs toward lesioned DA neurons
and induce the differentiation of hMSCs to DA-like neurons at the diseased sites.
We prove that in vitro Dex-IO NPs can enhance the migration of hMSCs toward 6
OHDA-damaged SH-SY5Y-derived DA-like cells, induce hMSCs to differentiate to DA
like neurons in the conditioned media derived from 6-OHDA-damaged SH-SY5Y-derived
DA-like cells and promote the protection/regeneration effects of hMSCs on 6-OHDA
damaged SH-SY5Y-derived DA-like cells. We confirm the potential of MSCs for cell
based therapy for PD. Dex-IO NPs can be used as a tool to accelerate and optimize
MSC therapeutics for PD applicable clinically.
PMID- 29372744
TI - Magnetic bistability of a TbPc2 submonolayer on a graphene/SiC(0001) conductive
electrode.
AB - The alteration of the properties of single-molecule magnets (SMMs) due to the
interaction with metallic electrodes is detrimental to their employment in
spintronic devices. Conversely, herein we show that the terbium(iii) bis
phthalocyaninato complex, TbPc2, maintains its SMM behavior up to 9 K on a
graphene/SiC(0001) substrate, making this alternative conductive layer highly
promising for molecular spintronic applications.
PMID- 29372745
TI - Salt-assisted synthesis of 3D open porous g-C3N4 decorated with cyano groups for
photocatalytic hydrogen evolution.
AB - Three-dimensional open porous graphitic carbon nitride with cyano groups (3D OPG
C3N4-CN) has been fabricated by a facile two-step process that combines NaCl
assisted freeze-drying with calcination. NaCl not only works as a template for
the 3D open porous structure, but also facilitates the decomposition of g-C3N4
around 500 degrees C to some extent. Meanwhile, a moderate amount of cyano
groups acting as electron capture centers are introduced at the edge of the 3D
open porous g-C3N4 during the formation process. Compared with bulk g-C3N4
without NaCl assistance, the 3D OPG-C3N4-CN exhibits improved light absorption,
reduced carrier recombination and more active sites. As a result, the enhanced
hydrogen production of 3D OPG-C3N4-CN reaches up to 1590 MUmol h-1 g-1 when using
Pt as a cocatalyst, which is about six times as much as that of the bulk g-C3N4.
PMID- 29372746
TI - Trace level Al3+ detection in aqueous media utilizing luminescent ensembles
comprising pyrene laced dynamic surfactant assembly.
AB - Easily synthesizable amphiphilic probes have been designed for the detection of
Al3+ exclusively at mesoscopic interfaces. The surface charge of the surfactant
assemblies and the fluidity of the lipid aggregates show pronounced effects on
the extent of Al3+ detection. Excellent sensitivity has been observed
specifically in the presence of anionic surfactants and the lipid membranes with
more sol-like characteristics. Moreover, a variation in the modes of Al3+
complexation is observed on shifting from one regioisomer to another. For
instance, the para isomer exhibits a 1 : 2 stoichiometry with the Al3+ ion, while
for the ortho-isomer, it is a 1 : 1 interaction. Considering the excellent
sensitivity of the probe molecule towards Al3+, the present system has been
utilized for the estimation of soluble aluminum content in acidic soil samples as
well as in pharmaceutical tablets. Low-cost paper strips are developed for rapid,
on-site detection of Al3+ without involving advanced instruments or trained
technicians. Finally, the bioimaging of Al3+ is achieved in cervical cancer
(HeLa) cells.
PMID- 29372747
TI - The p(O2)-T stability domain of cubic perovskite Ba0.5Sr0.5Co0.8Fe0.2O3-delta.
AB - Cubic perovskite-type Ba0.5Sr0.5Co0.8Fe0.2O3-delta (BSCF) is one of the mixed
ionic-electronic conductors with the highest oxygen permeability known to date.
It serves as a parent material for the development of functional derivatives for
electrochemical applications including oxygen separation membranes, solid
electrolyte cell electrodes and electrocatalysts for the oxygen evolution
reaction. The present study is focused on the determination of the precise
stability boundaries of cubic perovskite BSCF employing a coulometric titration
technique in combination with thermogravimetric analysis, X-ray and neutron
diffraction, and molecular dynamics simulations. Both the low-p(O2) and high
p(O2) stability boundaries at 700-950 degrees C were found to correspond to a
fixed value of oxygen content in the perovskite lattice of 3 - delta = ~2.13 and
~2.515, respectively. The stability limits in this temperature range are
expressed by the following equations: high-p(O2) boundary: log p(O2) (atm) (+/
0.1) = -10 150/T (K) + 8.055; low-p(O2) boundary: log p(O2) (atm) (+/-0.03) = -20
750/T (K) + 4.681. The p(O2)-T phase diagram of the BSCF system under oxidizing
conditions is addressed in a wider temperature range and is shown to include a
region of precipitation of a "low-temperature" phase occurring at 400-500
degrees C. The fraction of the low-temperature precipitate, which co-exists with
the cubic perovskite phase and is stable up to 790-820 degrees C, increases upon
increasing p(O2) in the range 0.21-1.0 atm.
PMID- 29372748
TI - Correction: 3D polymer objects with electronic components interconnected via
conformally printed electrodes.
AB - Correction for '3D polymer objects with electronic components interconnected via
conformally printed electrodes' by Yejin Jo, et al., Nanoscale, 2017, 9, 14798
14803.
PMID- 29372749
TI - Corrigendum: Inhibitor Selectivity for Cyclin-Dependent Kinase 7: A Structural,
Thermodynamic, and Modelling Study.
PMID- 29372751
TI - The impact of health and health insurance literacy on access to care for
Hispanic/Latino communities.
AB - OBJECTIVES: The aim of this study was to assess the impact of health literacy
(HL) and health insurance literacy (HIL) on health insurance status and access to
health care services for Spanish-speaking communities living in Massachusetts.
METHODS: A total of 139 surveys (descriptive, knowledge-based HIL questions, and
Short Assessment of Health Literacy in Spanish) and 30 semi-structured interviews
were collected and analyzed using chi-square, Mann-Whitney U test, and logistic
regression analysis. RESULTS: The majority of participants had inadequate HL
(56%) and HIL (93%). There were differences in HL scores (t = 4.1; p < .0001)
between the insured (M = 12.3, SD = 5.7) and uninsured (M = 7.9, SD = 6.7) and
differences (t = 1.9; p = .05) between those with adequate HIL (M = 14.3, SD =
4.3) and inadequate HIL (M = 10.2, SD = 6.6). Participants who were uninsured (MW
U = 37.6; p < .0001) and who had inadequate HL (MW U = 5.2; p = .02) were more
likely to have never accessed health care in the U.S. Participants who had never
accessed health care were 93% less likely to be insured and those with adequate
HL were three times more likely to be insured. CONCLUSIONS: Health literacy and
HIL are closely associated with insurance status and access to health care for
Spanish-speaking communities, indicating the need for further research and
enhanced public health efforts to improve knowledge and awareness around
navigating health care systems.
PMID- 29372750
TI - The Role of Preterm Birth in the Association Between Opioid Maintenance Therapy
and Neonatal Abstinence Syndrome.
AB - BACKGROUND: Pregnant women treated with methadone as opioid maintenance therapy
are more likely than women treated with buprenorphine to deliver preterm. Preterm
birth is associated with less risk of neonatal abstinence syndrome (NAS). We
sought to assess the role of preterm birth as a mediator of the relationship
between in utero exposure to methadone and NAS compared with buprenorphine.
METHODS: We studied 716 women receiving methadone or buprenorphine and delivering
liveborn infants at Magee-Womens Hospital, Pittsburgh, Pennsylvania (2013-15). We
implemented inverse probability weighted marginal structural models to isolate
the role of preterm birth (<37 weeks' gestation). Weights accounted for
confounding by maternal age, race, insurance, parity, delivery year, marital,
employment, hepatitis C, and smoking status. RESULTS: Approximately 57% of the
cohort were treated with methadone. Preterm birth was more common in methadone
exposed pregnancies (25% versus 14%). The incidence of NAS treatment was higher
in methadone compared with buprenorphine-exposed infants (65% vs 49%), and term
compared with preterm births (64% vs 36%). For every 100 infants liveborn to
mothers treated for opioid dependence, there were 13 excess cases of NAS among
infants exposed to methadone compared with buprenorphine (adjusted risk
difference [RD] 13.3, 95% confidence interval [CI] 5.7, 20.9). Among term births,
this increased to 17 excess cases of NAS in methadone- compared with
buprenorphine-exposed (RD 16.7, 95% CI 9.3, 24.0). CONCLUSION: The further
increased risk of NAS associated with methadone use vs buprenorphine in term
deliveries emphasises the utility of buprenorphine in clinical settings aimed at
decreasing NAS.
PMID- 29372753
TI - The face of tiredness in insomnia from the self-perspective: A focus on
attentional and interpretative biases.
PMID- 29372752
TI - Catalytic Dearomative Spirocyclization via Gold Carbene Species Derived from
Ynamides: Efficient Synthesis of 2-Azaspiro[4.5]decan-3-ones.
AB - An intramolecular catalytic dearomatization of phenols via gold carbene species
proceeded to provide 2-azaspiro[4.5]decan-3-ones. The use of NHC ligand and water
as a co-solvent was critical for achieving high reactivity. This reaction did not
require hazardous diazo compounds as carbene sources and proceeded even under
air. The obtained spirocyclic product could be readily transformed into a
gabapentin derivative by hydrogenation and deprotection.
PMID- 29372755
TI - [Preparation and evaluation of multidentate ligand-bonded silica chromatographic
medium].
AB - Iminodisuccinic acid (IDS), a green environment-friendly multidentate chelating
agent, was used as a ligand to synthesize IDS-Silica stationary phase under the
optimized conditions. The binding capacity of IDS on the stationary phase was
measured by potentiometric titration. The chromatographic properties and metal
chelating property on IDS-Silica column were investigated. Three standard protein
mixtures were separated successfully with IDS-Silica column. The results showed
that the IDS-Silica column displayed a typical cation exchange property. The
binding characteristics of six different metal ions on IDS-Silica stationary
phase were examined by inductively coupled plasma-atomic emission spectroscopy
(ICP-AES). The results validated that the variation of binding capacities of
metal ions on the stationary phase were consistent with the chelating stability
order of the IDS-Silica column for metal ions. Compared with the other silica
columns bonded with the three different aminocarboxyl ligands, the bonding
amounts of Cu2+ on IDS-Silica column was the largest, which indicated that IDS
had stronger chelating ability for metal ions. This characteristic lays the
foundation for IDS as a good chromatographic packing used in the field of
immobilized metal affinity chromatography (IMAC), thus probably provides an
effective solution to reduce the leaking problem of metal ion from IMAC column
during protein elution with one competitive agent.
PMID- 29372756
TI - [Preparation and investigation of novel pyrrolidinium-bonded stationary phase for
reversed-phase high-performance liquid chromatography].
AB - A new ionic liquid-based high-performance liquid chromatography stationary phase
is reported. A derivative of N-methyl pyrrolidinium tetrafluoroborate was
covalently immobilized on the surface of silica particles to prepare silica-based
N-methyl pyrrolidinium tetrafluoroborate (SilprMP BF4) stationary phase. The
obtained ionic liquid-modified silica was evaluated and confirmed by elemental
analysis, infrared spectroscopy, and thermogravimetric analysis. A column was
packed with the modified particles. The retention behavior of aromatic compounds,
alkyl benzenes, and acidic and basic compounds on the SilprMP BF4 stationary
phase was studied under reversed-phase liquid chromatography conditions. The
effect of the eluent pH on the separation of the acidic and basic compounds was
also studied. The new stationary phase involves multiple retention mechanisms,
such as electrostatic, hydrophobic, ion-dipole, and anion-exchange interactions,
which might lead to multipurpose separation media.
PMID- 29372757
TI - [Rapid determination of 55 fungicides in siraitia grosvenoriis by QuEChERS and
high performance liquid chromatography-tandem mass spectrometry].
AB - A method for the determination of 55 fungicides in siraitia grosvenoriis by
QuEChERS and high performance liquid chromatography-tandem mass spectrometry
(HPLC-MS/MS) was established. The samples were extracted with acetonitrile
containing 1%(v/v) acetic acid, then dehydrated by anhydrous magnesium sulfate
and purified by anhydrous sodium sulfate, N-propyl ethylenediamine (PSA) and C18.
Then, 95% (v/v) acetonitrile aqueous solution (organic phase) and water (aqueous
phase), both containing 0.005 mol/L ammonium formate and 0.01% (v/v) formic acid,
were used as mobile phases with gradient elution. The compounds were tested by
positive electrospray ion source (ESI+) and dynamic multiple reaction monitoring
(DMRM) mode. Fifty-five fungicides were quantified by external standard method
considering matrix effects. The correlation coefficients (R2) were greater than
0.99, which indicated that the linear dependence of the 55 fungicides were good
in the range of 1.0-100.0 MUg/kg. The LODs (S/N>3) and LOQs (S/N>10) of all the
fungicides were 1.0 MUg/kg and 10.0 MUg/kg, respectively. The recoveries were in
the range of 76.96%-118.45% and the relative standard deviations (RSDs) ranged
from 3.44% to 19.63% (n=6). This method has the advantages of rapidity, accuracy
and sensitivity for the high-throughput detection of fungicides residues in
siraitia grosvenoriis.
PMID- 29372758
TI - [Fast determination of pericarpium papaveris illegally added in foods by
TurboFlow online purification-ultra performance liquid chromatography-triple
quadrupole/linear ion trap mass spectrometry].
AB - A fast confirmation method was developed for the determination of the six markers
of pericarpium papaveris, morphine, codeine, narcotine, papavarine, thebaine and
protopine in foods, by TurboFlow online purification-ultra performance liquid
chromatography-triple quadrupole/linear ion trap mass spectrometry (TF-UPLC-QTRAP
MS). The sample was extracted with 0.10 mol/L HCl. After the procedure of removal
of lipid with hexane, the extraction solution was analyzed by TF-UPLC-QTRAP MS.
The main factors influencing the purification efficiency including TurboFlow
column, mobile phase and elution solution were optimized. The six opium alkaloids
were detected by positive electrospray ionization tandem mass spectrometry in the
multiple reaction monitoring-information-dependent acquisition-enhanced product
ion (MRM-IDA-EPI) mode, and quantified by solvent standard internal standard
method. The limits of detection were 0.05-0.5 MUg/kg and the limits of
quantification were 0.2-2 MUg/kg for the six opium alkaloids. The recoveries were
in the range of 81.1%-98.6% with the relative standard deviations ranging from
2.9% to 15.7% (n=6). The method is sensitive and accurate, and has been
successfully applied to the detection of pericarpium papaveris illegally added in
foods.
PMID- 29372759
TI - [Determination of six allergenic coumarin compounds in children's toys using
hollow-fiber liquid-phase microextraction followed by ultra-performance liquid
chromatography-tandem mass spectrometry].
AB - A comprehensive analytical method was developed for the determination of six
allergenic coumarin compounds (coumarin, 7-methyl coumarin, 7-methoxycoumarin, 7
ethoxy-4-methyl coumarin, 4,6-dimethyl-8-tert-butyl coumarin and
hexahydrocoumarin) in children's toys based on hollow-fiber liquid-phase
microextraction (HF-LPME) followed by ultra-performance liquid chromatography
tandem mass spectrometry (UPLC-MS/MS). HF-LPME was employed in sample
pretreatment with the following parameters:extraction solvent of n-octanol,
stirring rate of 700 r/min, extraction time of 50 min and amount of sodium
chloride of 0.7 g. After chromatographic separation on an ACQUITY UPLC BEH Phenyl
column (150 mm*2.1 mm, 1.7 MUm), the target compounds were analyzed under
multiple reaction monitoring (MRM) mode in positive electrospray ionization mode.
The limits of quantification (LOQs) of the six allergenic coumarin compounds were
either 2 MUg/kg (7-ethoxy-4-methyl coumarin and 4,6-dimethyl-8-tert-butyl
coumarin) or 10 MUg/kg (coumarin, hexahydrocoumarin, 7-methyl coumarin and 7
methoxycoumarin). The average recoveries at different spiked levels ranged from
70.8% to 118.9% with relative standard deviations (RSDs) of 0.19%-16.34% (n=6).
The proposed method is accurate, sensitive, reliable, and can be applied for the
inspection and quality control of toy products.
PMID- 29372760
TI - [High sensitive non-derivative determination of cyclovirobuxin D by high
performance liquid chromatography-electrochemical determination].
AB - A high-performance liquid chromatography-electrochemical detection (HPLC-ECD)
method was developed to determine cyclovirobuxin D (CVB-D) levels in tablets and
human blood samples. A column with a positive charge-modified C18 stationary
phase, C18HCE, was selected to analyze CVB-D, because it provided a sharper and
more symmetric peak for CVB-D than conventional C18 stationary phase. Two types
of working electrode materials, glassy carbon (GC) and boron-doped diamond (BDD),
were evaluated. BDD was found to provide better sensitivity than GC owing to its
lower background current and baseline noise. Utilizing the BDD electrode, C18HCE
column, and optimized mobile phase composition, the developed HPLC-ECD method
showed a much better sensitivity. The limit of detection and limit of
quantification of the HPLC-ECD method for CVB-D were 0.198 and 0.297 MUg/L,
respectively. It was approximately 12727, 11481, and 2630 times more sensitive
than ultraviolet (UV), evaporative light scattering detection, and charged
aerosol detection, respectively. The sensitivity of the developed HPLC-ECD method
was comparable or even better (16.8 times) than reported mass spectrometry (MS)
methods for the determination of CVB-D. Additionally, it offered a much wider
linear dynamic range (up to 4 orders of magnitude, 0.297-1891 MUg/L) and was much
less complicated than MS methods for determination of CVB-D. The developed HPLC
ECD method can be used for determination of CVB-D at both high and low
concentrations. Good intra-day (relative standard deviation (RSD) of peak
area<5.08%) and inter-day (RSD of peak area<5.57%) reproducibilities of the
developed HPLC-ECD method were obtained even for a low mass concentration (59.1
MUg/L) sample. After the optimized parameters were acquired, this method was
applied to the quantitative analysis of CVB-D in CVB-D tablets and human blood
samples. With a slight modification, the current HPLC-ECD method can also be
applied to analyze many other basic compounds including basic drugs and
environmental pollutants.
PMID- 29372761
TI - [Determination of patulin in fruits and jam by solid phase extraction-ultra
performance liquid chromatography].
AB - With magnesium silicate, silica gel, diatomite and calcium sulfate as raw
materials, a new solid phase extraction column was prepared through a series of
processes of grinding to ethanol homogenate, drying and packing into
polypropylene tube. The sample was hydrolyzed by pectinase, extracted by
acetonitrile and purified by solid phase extraction. The target compounds were
separated on a C18 column (100 mm*2.1 mm, 1.8 MUm), using 0.8% (v/v)
tetrahydrofuran solution as mobile phase with a flow rate of 0.5 mL/min. The
detection wavelength was 276 nm. The effect of pectinase on extraction yield and
purification effect of solid-phase extraction column were investigated. The
optimum chromatographic conditions were selected. There was a good linear
relationship between the peak heights and the mass concentrations of patulin in
the range of 0.1 to 10 mg/L with the correlation coefficient (R2) of 1. The limit
of detection for this method was 10.22 MUg/kg. The spiked recoveries of samples
were 86.58%-94.84% with the relative standard deviations (RSDs) of 1.45%-2.28%.
The results indicated that the self-made solid phase extraction column had a good
purification efficiency, and the UPLC had a high separation efficiency. The
method is simple, accurate and of great significance for the quality and safety
control of fruit products.
PMID- 29372762
TI - [Determination of iminodiacetic acid and glycine in dehydrogenation products of
diethanolamine by pre-column derivatization and high performance liquid
chromatography].
AB - An analytical method was developed for the determination of iminodiacetic acid
(IDA) and glycine (Gly), the dehydrogenation products of diethanolamine, by high
performance liquid chromatography (HPLC) coupled with pre-column derivatization
using p-toluenesulfonyl chloride (PTSC) as the derivatization reagent. IDA and
Gly reacted with PTSC in the alkaline environment (pH 11) under 45C for 15 min.
Then the derivatization products were analyzed by HPLC-MS. The separation was
carried out on a high performance liquid chromatograph equipped with an
ultraviolet detector. A VP-ODS column (200 mm*4.6 mm, 5 MUm) was employed using
0.03 mol/L ammonium acetate (pH 5.5)-acetonitrile (87:13, v/v) as mobile phases
for isocratic elution at a flow rate of 1 mL/min and detection wavelength of 235
nm. The results showed good linearities for iminodiacetic acid of 900-2100 mg/L,
and for glycine of 20-100 mg/L, respectively. The linear correlation coefficients
(R2) were both greater than 0.999. The limits of detection (LODs) of IDA and Gly
were 0.0897 mg/L and 0.0262 mg/L and the recoveries were in the range of 98.7%
99.3% and 98.0%-99.5%, respectively. The relative standard deviations (RSDs) of
IDA and Gly were in the range of 0.89%-1.23% and 0.95%-1.11% (n=3). The method
has the characteristics of mild reaction conditions and high accuracy, and is
well suitable for the determination of IDA and Gly in industrial production.
PMID- 29372763
TI - [Determination of 18 polychlorinated biphenyls in camellia seeds by multiple
vortex and gas chromatography-triple quadrupole mass spectrometry].
AB - A method based on the cleaning effects of different sorbents and gas
chromatography-triple quadrupole mass spectrometry (GC-QQQ-MS/MS) was developed
for the determination of 18 polychlorinated biphenyls (PCBs) in camellia seeds.
The camellia seeds were extracted with acetonitrile, salted out with sodium
chloride, then cleaned-up by QuEChERS with anhydrous MgSO4, C18, primary
secondary amine (PSA), and multi walled carbon nanotubes (NANO) using multiple
vortex after the centrifugal separation, and finally analyzed by GC-QQQ-MS/MS in
multiple reaction monitoring mode via positive electrospray ionization. All the
18 PCBs could be completely separated with an excellent linear relationship. The
limits of detection for the 18 PCBs were 5 MUg/kg. For all the samples, the mean
spiked recoveries was 109.2% with relative standard deviations (RSDs) of 2.9%
6.2% (n=3). The extraction, purification and analytical methods were developed
and assessed. The method was successfully applied to the 48 samples from three
main producing provinces of camellia seeds. All the 18 PCBs were not detected
from the 48 samples.
PMID- 29372764
TI - [Determination of three organotin pesticide residues in apples and cabbages by
gas chromatography-electron impact/positive chemical ionization mass
spectrometry].
AB - A method for the simultaneous determination of fenbutatin oxide, triphenyltin and
cyhexatin in apples and cabbages was developed by gas chromatography-mass
spectrometry coupled with two different ionization techniques, electron impact
(EI) ionization and positive chemical ionization (PCI). At first, the samples
were digested by hydrobromic acid, and extracted by acetone-hexane (1:2, v/v).
The extracts were derivatized by sodium tetraethylborate as the derivatization
reagent, and cleaned up by the Florisil SPE columns. Finally, the samples were
analyzed by GC-EI/MS and GC-PCI/MS in selected ion monitoring (SIM) mode. The
results showed that good linearities were obtained with correlation coefficients
(r2) greater than 0.997 for fenbutatin oxide in the range of 75-500 MUg/L in both
foods, triphenyltin in the range of 50-1000 MUg/L in cabbages and 50-500 MUg/L in
apples and cyhexatin in the range of 50-1000 MUg/L in the two foods. The accuracy
was checked at three spiked levels (50, 100 and 200 MUg/kg) in cabbages and
apples. The limits of detection (LODs, S/N=3) were 0.01-0.05 mg/kg (EI) and 0.01
0.02 mg/kg (PCI), and the limits of quantifications (LOQs, S/N=10) were 0.03-0.16
mg/kg (EI) and 0.02-0.06 mg/kg (PCI). The average recoveries ranged from 59.24%
97.36% (apples) and 50.54%-94.54% (cabbages) in the mode of EI, and the
corresponding values were 65.38%-95.86% and 62.56%-90.44% in the mode of PCI. The
relative standard deviations (RSDs) were all less than 6.9% (n=6). The PCI method
can be used to improve the accuracy in comparing with the EI, in terms of good
selectivity and high sensitivity.
PMID- 29372765
TI - [Determination of flavor compounds in foxtail millet wine by gas chromatography
mass spectrometry coupled with headspace solid phase microextraction].
AB - To comprehensively understand flavor compounds and aroma characteristics of
foxtail millet wine, extraction conditions were optimized with 85 MUm
polyacrylate (PA), 100 MUm polydimethylsiloxane (PDMS), 75 MUm carboxen
(CAR)/PDMS and 50/30 MUm divinylbenzene (DVB)/CAR/PDMS fibers. The flavor
compounds in foxtail millet wine were investigated by gas chromatography-mass
spectrometry (GC-MS) coupled with headspace solid phase microextraction (HS
SPME), and the odor characteristics and intensity were analyzed by odor active
values (OAVs). The samples of 8 mL were placed in headspace vials with 1.5 g
NaCl, then the headspace vials were heated at 60C for 40 min. Using HS-SPME with
different fibers, a total of 55 flavor compounds were identified from the
samples, including alcohols, esters, benzene derivatives, hydrocarbons, acids,
aldehydes, ketones, terpenes, phenols and heterocycle compounds. The main flavor
compounds were alcohols compounds. According to their OAVs, phenylethyl alcohol,
styrene, 1-methyl-naphthalene, 2-methyl-naphthalene, benzaldehyde,
benzeneacetaldehyde and 2-methoxy-phenol were established to be odor-active
compounds. Phenylethyl alcohol and benzeneacetaldehyde were the most prominent
odor-active compounds. PA and PDMS fibers had good extraction effect for polar
and nonpolar compounds, respectively. CAR/PDMS and DVB/CAR/PDMS provided a
similar compounds profile for moderate polar compounds. This research
comprehensively determined flavor compounds of foxtail millet wine, and provided
theoretical basis for product development and quality control.
PMID- 29372766
TI - [Isolation and purification of seven catechin compounds from fresh tea leaves by
semi-preparative liquid chromatography].
AB - An effective and simple method was established to simultaneously purify seven tea
catechins (gallocatechin (GC), epigallocatechin (EGC), catechin (C),
epigallocatechin-3-O-gallate (EGCG), epicatechin (EC), epigallocatechin-3-O-(3-O
methyl)-gallate (EGCG3"Me) and epicatechin-3-O-gallate (ECG)) from fresh tea
leaves by semi-preparative high performance liquid chromatography (HPLC). Fresh
leaves of Tieguanyin tea were successively extracted with methanol and
chloroform. Then crude catechins were precipitated from the aqueous fraction of
chloroform extraction by adding lead subacetate. Crude catechins were used for
the isolation of the seven target catechin compounds by semi-preparative HPLC.
Methanol-water and acetonitrile-water were sequentially used as mobile phases.
After two rounds of semi-preparative HPLC, all target compounds were achieved
with high purities (>90%). The proposed method was tested on two additional tea
cultivars and showed similar results. This method demonstrated a simple and
efficient strategy based on solvent extraction, ion precipitation and semi
preparative HPLC for the preparation of multiple catechins from tea leaves.
PMID- 29372767
TI - [Simultaneous determination of six fluorescent whitening agents in plastic and
paper packaging materials by high performance liquid chromatography].
AB - A novel analytical method was developed for the simultaneous determination of six
fluorescent whitening agents (FWAs:FWA 135, FWA 184, FWA 185, FWA 199, FWA 378
and FWA 393) in paper and plastic food packaging materials by high performance
liquid chromatography with fluorescence detection (HPLC-FLD). The sample was
extracted with mixed solution of chloroform and acetonitrile (3:7, v/v), then
cleaned up by HLB solid phase extraction column. Qualitative and quantitative
analyses were carried out by HPLC. The sample was separated on a Phenomenex C18
column using acetonitrile and 5 mmol/L ammonium acetate aqueous solution as
mobile phases. The results indicated that the linear range of FWA393 was 15-1500
MUg/L and the linear ranges of the other five FWAs were 5-500 MUg/L with
correlation coefficients greater than 0.999. The recoveries in spiked samples
were between 80.4% and 125.0% with RSDs (n=6) of 1%-13%. Furthermore, this method
was applied to analyze 12 samples in the market to verify the practicality of the
method. The method showed the advantages of simplicity, high recovery and good
precision, and is suitable for the detection of the six fluorescent whitening
agents in food packaging materials.
PMID- 29372768
TI - [Determination of oxygenates in methanol-to-olefin gasoline byproducts and
methanol-to-gasoline product with solid phase extraction-gas chromatography].
AB - Silica solid phase extraction (SPE) column was used for sample pretreatment to
enrich the target analytes and simplify background. Due to its high efficiency,
reliability and simplicity, the technique has been widely used in many fields.
The byproduct gasoline of methanol-to-olefin (MTO) and the product of methanol-to
gasoline (MTG) mainly consist of hydrocarbons along with small amounts of
oxygenates. Trace amounts of oxygenates are hard to identify because of their
complex hydrocarbon components. With the SPE technique, the samples were
separated into two major classes, oxygenates and hydrocarbons. Oxygenates were
then determined with gas chromatography-mass spectrometry (GC-MS). The elution
conditions of the silica solid phase extraction column were optimized with the
standard sample. Under the optimized elution conditions, the hydrocarbons were
first eluted from the SPE column with 5 mL dichloromethane, the oxygenates were
then eluted with 3 mL acetonitrile. The recoveries of standard samples were
tested before and after pretreatment. The standard recoveries were 87.7%-95.3%.
The oxygenates except methanol and ethanol were then quantified by gas
chromatography-flame ionization detection (GC-FID) and internal standard method.
Methanol and ethanol were then quantified by extracting characteristic ion
chromatograms and external standard method. The results show that the oxygenates
of MTO byproduct gasoline and MTG product mainly consist of ketones and
aldehydes. The method improves the sensitivities of the target compounds and
reduces the interference of the background.
PMID- 29372769
TI - [Determination of fipronil and its metabolites in bird eggs by ultra-performance
liquid chromatography-tandem mass spectrometry with dispersive solid phase
extraction].
AB - An ultra-performance liquid chromatography-tandem mass spectrometry (UPLC-MS/MS)
method was developed to determine fipronil and its metabolites (fipronil
desulfinyl, fipronil sulfone and fipronil sulfide) in bird eggs. The samples were
extracted with acetonitrile containing 0.1%(v/v) acetic acid, and then purified
by a mixture of anhydrous sodium sulfate, octadecyl carbon silica gel (C18-N)
sorbent and ethylenediamine-N-propyl carbon silica gel (NH2-PSA) sorbent. The
analysis was performed by a UPLC-MS/MS system with Shim-pack GIST C18 column (50
mm*2.1 mm, 2 MUm). The mobile phases consisted of methanol and 1 mmol/L ammonium
acetate aqueous solution by gradient elution, and multiple reaction monitoring
(MRM) mode with negative electrospray ionization was used. The effect of the
dosages of anhydrous sodium sulfate, C18-N and NH2-PSA in cleaning-up agent was
studied. The main influence factors and analytical conditions were modified. Four
linear calibration curves were obtained with correlation coefficients r2 >=
0.9989. The recoveries were determined at three concentrations and ranged from
95.09%-103.26%. The limit of quantification (LOQ) was 0.2 MUg/kg. It is suitable
for the determination of fipronil and its metabolites in bird eggs.
PMID- 29372770
TI - [Rapid screening of fipronil and its metabolites in egg and egg products by solid
phase extraction-liquid chromatography-quadrupole time-of-flight mass
spectrometry].
AB - A method for rapid screening of fipronil and its metabolites in egg and egg
products was developed by liquid chromatography coupled with quadrupole time-of
flight mass spectrometry (LC-QTOF MS). The samples were extracted by acid
acetonitrile, cleaned up by PRiME HLB SPE. The separation was performed on a
Poroshell 120 EC C18 column (150 mm*3 mm, 2.7 MUm) with gradient elution using
water and acetonitrile as mobile phases. The target compounds were monitored
under negative ionization mode with electrospray ionization (ESI) source and two
databases of accurate mass and fragment ions were created. The matrix effects in
four kinds of egg and egg products were considered and the quantification was
carried out by internal standard method. The results demonstrated that the linear
ranges were from 0.1 to 5 MUg/L with good correlation coefficients (r2>0.99). The
limits of detection (LODs, S/N>3) and limits of quantitation (LOQs, S/N>10) were
0.2 MUg/kg and 1 MUg/kg, respectively. The recoveries of fipronil and its
metabolites in different matrixes spiked with 1, 2 and 5 MUg/kg varied from 82.6%
98.1%, and the relative standard deviations (RSDs) were between 3.8%-9.9% (n=6).
The method can effectively correct the ionization suppression. It is sensitive,
accurate and suitable for the rapid screening of fipronil, fipronil sulfide,
fipronil sulfone and fipronil desulfinyl in egg, egg noodle, cake and mayonnaise.
PMID- 29372771
TI - [Determination of fipronil and its metabolites in eggs and egg products with gas
chromatography-negative chemical ionization-mass spectrometry].
AB - A method was established for the determination of fipronil and its metabolites in
eggs and egg products with gas chromatography-negative chemical ionization-mass
spectrometry (GC-NCI-MS). The targets were extracted from samples with
acetonitrile, and followed by a simple cleanup step known as dispersive solid
phase extraction QuEChERS. The extracts were determined by GC-NCI-MS, and
quantified by external standard method with matrix correction standard curves.
The recoveries were in the range of 87.0% to 99.3% at four spiked levels (0.1,
2.0, 4.0 and 20.0 MUg/kg), and all RSDs were not more than 12.7% for the four
analytes. The linearity of the method was good between 0.005 and 0.10 mg/L, and
all LOQs were less than 0.10 MUg/kg. So this method can be used to determine the
residues of fipronil and its metabolites in eggs and egg products.
PMID- 29372772
TI - [Research progress of bonded chiral stationary phases].
AB - Chiral separation is important in biological medicine and other fields. High
performance liquid chromatography (HPLC) is widely used in chiral separation and
analysis for its economic, rapid and efficient characteristics. Chiral stationary
phase (CSP) is the key to achieve chiral resolution in HPLC. Meanwhile, the key
to preparing effective CSP is the screening of chiral selector. In recent years,
a lot of CSPs with different chiral selectors had been prepared. Silica gel
immobilized CSP is especially attached great attention because of its high
solvent tolerance and stability. In this paper, the new type of CSPs prepared by
using chiral single molecules, polysaccharides, cyclodextrins, macrocyclic
antibiotics, crown ethers, calixarenes and alkaloids as chiral selectors are
summarized, and the development prospect of immobilized CSPs are also discussed.
PMID- 29372773
TI - [Preparation of organic-inorganic hybrid poly(ionic liquids) material and
evaluation of the adsorption to dyes].
AB - An organic-inorganic hybrid poly(ionic liquids) material was prepared by using
allyl triethoxysilane and 1-vinyl-3-octyl imidazolium bromide ionic liquids via
combining free radical polymerization with sol-gel process. The prepared hybrid
poly(ionic liquids) material was characterized by infrared spectroscopy and
scanning electron microscopy. The adsorption properties of the prepared material
to common dyes such as tartrazine, sunset yellow, amaranth and allura red were
investigated. The results showed that the prepared poly(ionic liquids) material
had excellent adsorption properties to sunset yellow and allura red. The
adsorption capacities were 29.20 and 86.17 mg/g, respectively. When the
adsorption time was 5 min, the material showed a fast adsorption rate to allura
red and sunset yellow, which were 87.5% and 72.8% of the balance adsorption
capacities, respectively.
PMID- 29372774
TI - [Confirmation and analysis of the metabolites of pentachlorophenol in loaches
using ultra-performance liquid chromatography-high resolution mass spectrometry].
AB - A method for the characterization of the metabolite pentachlorophenol hydrogen
sulfate (PCP-SO3H) of pentachlorophenol (PCP) in loaches was developed based on
ultra-performance liquid chromatography-high resolution mass spectrometry (UPLC
HRMS). The loach samples exposed in low concentration of PCP solution were
firstly crushed, then extracted by acetonitrile-water solution (70:30, v/v)
containing 8% (v/v) triethylamine and purified by mixed anion exchange solid
phase extraction (SPE) cartridges. The chromatographic separation was carried out
on a Waters ACQUITY BEH C18 column (100 mm*2.1 mm, 1.7 MUm). The qualitative
analysis of the metabolites of PCP was operated in a negative electrospray ion
mode (ESI-) under full mass-data dependent MS2 (full mass-ddMS2) mode, and the
data of quasi-molecular ion, isotope ions, and MS2 fragmentation ions of
metabolites were obtained. The results revealed that the sulfonation was the main
metabolic pathway for PCP in loaches, not the hydroxylation or glucuronate
pathway. And the metabolite was found to be PCP-SO3H. Besides, with the increase
of exposure time in PCP solution, the concentration of metabolite PCP-SO3H
firstly increased; when the exposure time was up to 36 h, the concentration of
metabolite in loach reached a maximum value; and then extending the exposure
time, the concentration of PCP-SO3H gradually decreased. When the exposure time
was up to 120 h, the concentration of PCP-SO3H in loaches reached a lowest value,
and no significant change occurred for further time. The developed UPLC-HRMS
method in this study could be used for the investigation of the metabolism of PCP
in living beings.
PMID- 29372775
TI - [Determination of hydroxyproline in liver tissue by hydrophilic interaction
chromatography-quadrupole/electrostatic field orbitrap high resolution mass
spectrometry].
AB - A method for the determination of hydroxyproline (Hyp) in liver tissue of mice by
hydrophilic interaction chromatography-quadrupole/electrostatic field orbitrap
high resolution mass spectrometry (HILIC-HRMS) was developed. The liver tissue
samples of normal mice and liver fibrosis mice induced by carbon tetrachloride
were hydrolyzed by concentrated hydrochloric acid. After filtrated and diluted by
solution, the diluent was separated on an Hypersil GOLD HILIC column (100 mm*2.1
mm, 3 MUm). Water-acetonitrile (28:72, v/v)were used as the mobile phases with
isocratic elution. Finally, the target analytes were detected in positive model
by HRMS equipped with an electrospray ionization source. The linear range of
hydroxyproline was from 0.78 to 100.00 MUg/L with the correlation coefficient
(R2) of 0.9983. The limit of quantification was 0.78 MUg/L. By detecting the
spiked samples, the recoveries were in the range of 97.4%-100.9% with the
relative standard deviations (RSDs) between 1.4% and 2.0%. In addition,
comparison of the measurement results by this method and the chloramine T method
was proceeded. It was found that the linear correlation between the two methods
was very good, and the Pearson correlation coefficient was 0.927. And this method
had simpler operation procedure and higher accuracy than chloramine T method.
This method can be used for the quick determination of hydroxyproline in liver
tissue samples.
PMID- 29372776
TI - [Determination of 21 illegally added chemical drugs in health foods using ultra
performance liquid chromatography-tandem mass spectrometry coupled with
QuEChERS].
AB - A method for the simultaneous determination of 21 illegally added chemical drugs
in improving sleep and immunity health foods using ultra performance liquid
chromatography-tandem mass spectrometry (UPLC-MS/MS) was developed. Oral liquid
and health wine samples were shaken with acetonitrile and acetonitrile-water
formic acid (60:39:1, v/v/v), respectively, then purified by QuEChERS method. The
extracts were separated on an Acquity UPLCTM BEH C18 column (50 mm*2.1 mm, 1.7
MUm) with gradient elution of acetonitrile and 2 mmol/L ammonium acetate solution
containing 0.1% (v/v) formic acid as mobile phases. The electrospray ionization
in positive ion mode was used for analysis in multiple reaction monitoring (MRM)
mode. The results showed that the target drugs had a good linear relationship in
the range of 1-100 MUg/L with the correlation coefficients (R2) >= 0.992. The
limits of detection (LODs) and limits of quantification (LOQs) were 0.07-3.41
MUg/kg and 0.22-11.36 MUg/kg, respectively. The average recoveries of the 21
chemical drugs in oral liquid and health wine were in the range of 61.4%-116.5%
and 67.4%-98.4% with the relative standard deviations (RSDs) of 0.2%-13.4% and
0.2%-11.8%, respectively. The developed method is sensitive and reliable. It has
been successfully used for the detection of illegally added chemical drugs in
real samples.
PMID- 29372777
TI - [Determination of 64 veterinary drug residues in aquatic products by ultra-high
performance liquid chromatography-quadrupole/electrostatic field orbitrap high
resolution mass spectrometry].
AB - A method has been developed for the simultaneous determination of 64 veterinary
drugs in aquatic products using ultra-high performance liquid chromatography
quadrupole/electrostatic field orbitrap high-resolution mass spectrometry. The
samples were extracted with an acetonitrile/water mixture (80/20, v/v), cleaned
up by normal hexane saturated with acetonitrile and primary secondary amine (PSA)
adsorbent, quantified with external standard method. The drugs were analyzed in
full scan/data dependent mass spectrum 2 (Full MS/ddMS2) Top 1 mode. The
calibration curves of the 64 drugs were linear with the correlation coefficients
more than 0. 9967. The average recoveries of the 64 analytes ranged from 56.2% to
124.6%, and the relative standard deviations (RSDs) were 1.3%-29.8% in the three
kinds of matrixes (fish, shrimp and shell) at three levels. The limits of
quantification were 0.2-10 MUg/kg. The method is simple, rapid, sensitive,
reliable and suitable for the screening of residues in aquatic products.
PMID- 29372778
TI - [Simultaneous determination of seven avermectin residues in aquatic products by
modified QuEChERS combined with high-performance liquid chromatography-tandem
mass spectrometry].
AB - A method was established for the simultaneous determination of seven avermectin
(AVMs) residues, such as avermectin, ivermectin, doramectin, selamectin,
eprinomectin, moxidectin and emamectin, in aquatic products using modified
QuEChERS and high-performance liquid chromatography -tandem mass spectrometry
(HPLC-MS/MS). The samples were extracted with 0.2% (v/v) ammoniate acetonitrile,
and then 3 g of anhydrous magnesium sulfate and 2 g of anhydrous sodium sulfate
were added to remove moisture and precipitate proteins. The samples were purified
with 100 mg of C18 and 500 mg of anhydrous magnesium sulfate. The mobile phases
comprised of acetonitrile (containing 0.1% (v/v) formic acid and 5 mmol/L
ammonium acetate) and water (containing 0.1% (v/v) formic acid and 5 mmol/L
ammonium acetate). The prepared samples were separated on a Varian Pursuit ULTRA
C8 column (100 mm*2.0 mm, 2.8 MUm) and determined using heated electrospray
ionization (HESI) in the positive ion multiple reaction monitoring (MRM) mode.
The analytes were quantified using external standard with the matrix-matched
standard calibration curve method. The results showed that the solvent and matrix
matched standard curves for avermectin, ivermectin, doramectin, selamectin,
eprinomectin and moxidectin in the range of 2-200 MUg/L and for emamectin in the
range of 0.2-20 MUg/L were all linear, and the correlation coefficients (r) were
>= 0.9972. The recoveries were 71.6%-112.8% with the relative standard deviations
in the range of 4.7%-13.1%. The limits of quantification (LOQs) for avermectin,
ivermectin, doramectin, selamectin, eprinomectin and moxidectin were all 5 MUg/kg
and for emamectin was 0.25 MUg/kg. The present method is simple, repeatable, and
suitable for the simultaneous determination of the residues of the seven
avermectins in aquatic products.
PMID- 29372779
TI - [Simultaneous rapid determination of 12 microcystins and one nodularin in water
by direct injection-ultra performance liquid chromatography-triple quadrupole
mass spectrometry].
AB - A rapid method was developed for the simultaneous determination of 12
microcystins (MCs) and one nodularin (NOD) in water by direct injection-ultra
performance liquid chromatography-triple quadrupole mass spectrometry (UPLC
MS/MS). The water samples were first diluted with equal volume of methanol, and
then filtered through polyether sulfone (PES) syringe filter. The filtrates were
directly injected into the UPLC system. The separation of the analytes was
carried out on an ACQUITY UPLC BEH 300 C18 column (100 mm*2.1 mm, 1.7 MUm) with
gradient elution using mobile phases of acetonitrile containing 0.1% (v/v) formic
acid and 0.2% (v/v) formic acid aqueous solution. The 12 microcystins and one
nodularin were detected by positive electrospray ionization in the multiple
reaction monitoring (MRM) mode, and quantified by standard solvent external
standard method. The limits of detection were 0.03-0.1 MUg/L and the limits of
quantification were 0.1-0.3 MUg/L. The recoveries were in the range of 79.5%-123%
with the relative standard deviations ranging from 1.0% to 20% (n=6). The method
is simple, sensitive and accurate, and has been successfully applied to the
detection of the 13 kinds of algae toxins in water.
PMID- 29372780
TI - [Determination and identification of acrylamide residues in poly-acrylamide by
ultra-performance liquid chromatography-quadrupole electrostatic field orbitrap
high-resolution mass spectrometry].
AB - A new method for the direct determination of acrylamide residues in poly
acrylamide (PAM) by ultra-performance liquid chromatography (UPLC)-quadrupole
electrostatic field orbitrap high-resolution mass spectrometry with the Hypercarb
column was developed. With being diluted by water, the PAM sample was dissolved
well by high-speed stirring. After filtering through a 0.22 MUm aqueous phase
microporous membrane, the sample was analyzed by high-resolution mass
spectrometry directly. Chromatographic analysis was carried out using a Hypercarb
column, distilled water and methanol applied as the mobile phases. In addition,
the column temperature was strictly set at 20C. The sample was determined in the
mode of parallel reaction monitoring (PRM). Accurate mass-to-charge ratio
extraction of the target compound ion and its fragment ion were utilized with
elemental composition analysis and isotope distribution of the fragment ion to
further improve the accuracy of qualitative and quantitative analysis. Meanwhile,
the fragmentation pattern of acrylamide was acquired. The calibration curve
showed a good linearity in the range of 2-50 MUg/L with the correlation
coefficient of 0.9998. The limit of detection (LOD) was 1.5 MUg/kg, satisfying
the detection demands. The recoveries of the acrylamide were in the range of
101.3%-107.1%. The relative standard deviations (RSD) were 3.1%-4.1%. The
applications indicated that the mass percentages of AM in PAM were in the range
of 0-0.43%. The proposed method is easy, fast, sensitive and suitable for the
determination and confirmation of acrylamide residues in PAM.
PMID- 29372781
TI - [Determination of total phthalates in perfume and their exposure assessment].
AB - A novel method for rapid screening of phthalates (PAEs) in perfumes was
developed. The PAEs were hydrolyzed to phthalic acid (PA), and the PA in the
acidified solution was extracted with tributyl phosphate (TBP) which was detected
by high performance liquid chromatography-diode array detection (HPLC-DAD).
Meanwhile exposure dose to PAEs was estimated by the percentage of a topically
applied dose that permeates the skin. The parameters such as the concentration
and volume of KOH, the volume of ethanol, hydrolysis time and temperature were
employed to evaluate the hydrolysis efficiency of PAEs. The optimized hydrolysis
conditions were 10 mL of 4 mol/L KOH, and 1 mL of ethanol at 80C for 20 min. The
linear range of phthalic acid was 3-240 MUmol/L with a good correlation
coefficient (R2=0.9991). The limits of detection (LOD) and quantification (LOQ)
were 4.6 MUmol/kg and 5.9 MUmol/kg, respectively. The recoveries varied from
83.4% to 92.7% with relative standard deviations equal to or lower than
6.8%(n=5). A total of 35 perfume samples were determined, and the contents of
total PAEs were found in the range of < LOD-77.738 mmol/kg, and the max exposure
dose to PAEs for female adults was 0.4742 MUg/(kg.d) through use of perfumes. The
method is simple and reliable, and has a wide range of applicability. It can be
used as a new choice for the detection of PAEs in perfume.
PMID- 29372782
TI - [Simultaneous determination of three benzimidazole fungicides in fruits and
vegetables by capillary electrophoresis based on bacterial cellulose].
AB - A simple and rapid capillary electrophoresis (CE) method was developed for the
determination of trace thiophanate-methyl, carbendazim and benomyl in fruits and
vegetables. Herein, bacterial cellulose (BC) was used as additive in running
buffer to improve the separation efficiency. CE experimental parameters such as
detection wavelength, concentration and pH of the running buffer, separation
voltage as well as the contents of BC in running buffer were systematically
investigated. Under the optimized conditions, three benzimidazole fungicides
could be accurate quantified in 8 min using H3BO3/Na2B4O7 (4 mmol/L, pH 9.0)
containing 0.3% (mass fraction) BC for background electrolyte, 15 kV for the
separation voltage, 25C for the column temperature and 275 nm for detection
wavelength. Good linearities for the three benzimidazole fungicides were obtained
with correlation coefficients (r2) >= 0.997. The limits of detection (LODs) of
the three benzimidazole fungicides were between 5.0 and 10.0 MUg/L. The relative
standard deviations (RSDs, n=5) of inter-day were 0.82%-1.0% for retention times
and 2.4%-2.9% for peak areas. The proposed method was further applied to
determine the residues of the three benzimidazole fungicides in fruits and
vegetables. The recoveries were in the range of 93.5%-103.0% with RSDs no more
than 8.0%. These results indicated that the proposed method can serve as an
efficient tool for the simultaneous determination of the three benzimidazole
fungicides in fruits and vegetables.
PMID- 29372783
TI - [Analysis on metabolites with small molecule of serum in bone marrow suppression
model mice with metabolomics method].
AB - Bone marrow suppression is a common symptom in patients with malignant tumor
after chemotherapy. Studying the changes of metabolites caused by bone marrow
depression can provide insights for the diagnosis of bone marrow suppression
disease and for the development of drug therapy. Male BalB/C mice were injected
with cyclophosphamide to establish a bone marrow suppression model. Gas
chromatography-mass spectrometry (GC-MS) with fingerprinting was used to analyze
the normal and model mice blood metabolites. Principal component analysis and
orthogonal to partial least squares discriminant analysis (OPLS-DA) on
metabolomics for data multidimensional statistical analysis was also used.
Compared to the normal group in terms of the metabolic profile of bone marrow
suppression mice, there were 15 endogenous metabolites in mouse plasma, nine of
which were statistically significantly different, including glucose-1-phosphate,
4-nitrophenol, acetanilide, cortisone, nicotinamide, loganin, caffeic acid,
linoleic acid and oleic acid (P<0.05). These results indicate that metabolite can
be used as an important marker in bone marrow suppression, which can help to
reveal the pathogenesis of bone marrow suppression induced by chemotherapy and
determine the disease development stage and the effectiveness of follow-up
treatment.
PMID- 29372784
TI - [Determination of six amide herbicide residues in soil by QuEChERS-gas
chromatography-mass spectrometry].
AB - A method was developed for the determination of six amide herbicides, such as
acetochlor, alachlor, metolachlor, metazachlor, butachlor and pretilachlor, by
QuEChERS-gas chromatography-mass spectrometry. The soil samples were extracted by
10 mL acetonitrile, 10 mL deionized water and 4 g sodium chloride, then purified
with 50 mg N-propyl ethylenediamine (PSA), 50 mg C18, 10 mg graphitized carbon
black (GCB) and 100 mg MgSO4. Low-polar silica capillary column HP-5MS was used.
The extracts were detected by electron impact ionization (EI) source in the
selected ion monitoring (SIM) mode. The results showed that good linearities were
obtained with the mass concentrations of the six amide herbicides in the range of
0.01-1.00 mg/L, and their correlation coefficients ranged from 0.9996 to 1.0000.
Under the condition of adding contents of 0.025, 0.10 and 0.50 mg/kg, the average
recoveries of the six amide herbicides ranged from 92.0% to 108%, and the
relative standard deviations were 1.64%-8.25%. The limits of detection (LODs)
were 0.002-0.006 mg/kg and the limits of quantification (LOQs) were 0.005-0.02
mg/kg. Comparing with the gas chromatography-electron capture detection (GC-ECD)
which used to detect the amide herbicides, this method can improve the anti
interference ability, and get better separation of the six amide herbicides. The
method has the advantages of simplicity, rapidity, and application value.
PMID- 29372785
TI - [The miRNA aberrant expression dependence on DNA methylation in HeLa cells
treated with mitomycin C].
AB - The dependence of expression of miRNAs and their precursors (pre-miRNAs) on the
DNA methylation level in HeLa cells 8 days after mitomycin C treatment was
studied. A massive parallel DNA sequencing method was applied to analyze miRNA
expression. 5-Azacytidine (DNA methylation inhibitor) was added to the medium 6
days after mutagenic agent exposure. The results indicated that the change in
expression for some mature miRNAs (39 of 61) was accompanied by the change in the
expression of their pre-miRNAs, while there were no significant changes in the
expression of pre-miRNA for other mature miRNAs (22 of 61). The aberrant
expression was maintained by 8 of 61 mature miRNAs and 6 of 55 pre-miRNAs in the
induced HeLa cells after 5-azacytidine treatment. In addition, the expression of
more than 90% of miRNAs, which indicated a significant change in expression after
mitomycin C treatment, does not depend or depends slightly on the DNA methylation
level in HeLa cells without mitomycin C treatment. The results suggest that
mitomycin C induces aberrant DNA methylation which affects maintenance of changes
in the miRNA expression in cell generations after mutagen treatment.
PMID- 29372786
TI - [The length of chromatin loops in meiotic prophase I of warm-blooded vertebrates
depends on the DNA compositional organization].
AB - In meiotic prophase I, chromatin fibrils attached to the lateral elements of the
synaptonemal complexes (SC) form loops. SCAR DNA (synaptonemal complex associated
regions of DNA) is a family of genomic DNA tightly associated with the SC and
located at the chromatin loop basements. Using the hybridization technique, it
was demonstrated that localization of SCAR DNA was evolutionarily conserved in
the isochore compositional fractions of the three examined genomes of warm
blooded vertebrates-human, chicken, and golden hamster. The introduction of the
concept of the comparative loops (CL) of DNA that form of chromatin attach to SC
in the isochore compositional fractions provided the calculation of their length.
An inverse proportional relationship between the length of CL DNA and the GC
level in the isochore compartments of the studied warm-blooded vertebrate genomes
was revealed. An exception was the GCpoorest L1 isochore family. For different
compositional isochores of the human and chicken genomes, the number of genes in
the CL DNA was evaluated. A model of the formation of GC-rich isochores in
vertebrate genomes, according to which there was not only an increase in the GC
level but also the elimination of functionally insignificant noncoding DNA
regions, as well as joining of isochores decreasing in size, was suggested.
PMID- 29372787
TI - [Nucleotide sequence and structural analysis of cryptic plasmid pBL90 from
Brevibacterium lactofermentum].
AB - The nucleotide sequence of cryptic plasmid (designated as pBL90) detected in the
cells of Brevibacterium lactofermentum DSM 1412 was determined. The length of
plasmid DNA is 67826 bp. Comparison of the nucleotide sequence of pBL90 with
known plasmid sequences showed no long regions of significant homology. Computer
analysis of the plasmid DNA revealed 29 open reading frames (ORFs). The amino
acid sequences of 15 ORFs (approximately 25% of plasmid length) have a high
(>70%) level of identity to proteins from different plasmids of Corynebacterium
representatives, including replicative proteins. Unusual in pBL90 is the presence
of replicative genes from two different families and types of replication.
PMID- 29372788
TI - [Induced expression of Serratia marcescens ribonuclease III gene in transgenic
Nicotiana tabacum L. cv. SR1 tobacco plants].
AB - Transgenic Nicotiana tabacum L. cv. SR1 plants, characterized by an increase in
the level of dsRNA-specific hydrolytic activity after induction by wounding, were
obtained. The Solanum lycopersicum anionic peroxidase gene promoter (new for
plant genetic engineering) was for the first time used for the induced expression
of the target Serratia marcescens RNase III gene. Upon infection with the tobacco
mosaic virus (TMV), the transgenic plants of the obtained lines did not differ
significantly from the control group in the level of TMV capsid protein
accumulation. In general, no delay in the development of the infection symptoms
was observed in transgenic plants as compared with the control group. The
obtained transgenic plants represent a new model for the study of the biological
role of endoribonucleases from the RNase III family, including in molecular
mechanisms of resistance to pathogens.
PMID- 29372789
TI - [Genetic diversity and differentiation of Siberian spruce populations at nuclear
microsatellite loci].
AB - The results of the study of 21 populations of Siberian spruce (Picea obovata
Ledeb.) from different parts of the species natural range by microsatellite (SSR)
analysis of nuclear DNA are presented. Using nine loci developed for Picea abies
(L.) Karst. and Picea glauca (Moench) Voss and detecting variation in Picea
obovata, the parameters of intra- and interpopulation genetic diversity, as well
as the degree of population differentiation, were determined. It was demonstrated
that the population of Siberian spruce in the study was characterized by a
relatively high average level of intrapopulation variability (H o = 0.408; H e =
0.423) and low interpopulation differentiation (F st = 0.048, P = 0.001) at this
class of DNA markers. The genetic distance between populations ranged from 0.009
to 0.167, averaging 0.039. The isolated Magadan population, located in the
extreme Northeast of Russia at a considerable distance from the main species
range and characterized by the lowest genetic diversity among the studied
populations, was maximally differentiated from the rest of the spruce
populations. In addition, the steppe Ubukun population from Buryatia and the
population from the Bogd Khan Uul Biosphere Reserve, Mongolia, were considerably
different in the genetic structure from most populations of Siberian spruce,
although to a lesser extent than the Magadan population. These findings are
consistent with the results of previous studies of this species carried out using
allozyme and microsatellite loci of chloroplast DNA and point to the prospects of
using nuclear microsatellites as DNA markers to analyze the population genetic
structure of Siberian spruce.
PMID- 29372790
TI - [SSR polymorphism of modern cultivars and autochthonous forms of the pear tree
from North Caucasus].
AB - Genetic similarity and relatedness within the set of pear genotypes including
autochthonous Circassian cultivars from North Caucasus, European cultivars,
accessions of Pyrus caucasica Fed., and modern Russian cultivars were estimated
on the basis of analysis of SSR loci. The level of polymorphism for the studied
loci varied from 11 to 15 alleles per locus in the set of 29 samples of pears. A
higher level of allelic polymorphism of SSR loci was revealed for a set of P.
caucasica samples in comparison with modern cultivated cultivars: from 9 to 12
alleles for P. caucasica and from 6 to 8 alleles for modern cultivars. Specific
alleles for the mentioned groups of pears were identified. UPGMA clustering
revealed two distinct groups: one includes P. caucasica accessions and
autochthonous Caucasian cultivars and the other group includes all cultivated
European and Russian pear cultivar. The results support the hypothesis of an
isolated gene pool formation of autochthonous pear cultivars of the North
Caucasus and their probable origin from the wild P. caucasica.
PMID- 29372791
TI - [Expression of the stilbene synthase genes in the needles of spruce Picea
jezoensis].
AB - Stilbenes are valuable plant phytoalexins, the biosynthesis of which is
characteristic of different groups of phylogenetically unrelated plants. It is
believed that all the stilbenes are the derivatives of resveratrol (3,5,4'
trihydroxy-trans-stilbene) or compounds close to it (pinosylvin or piceatannol).
The last stage of the resveratrol biosynthesis takes place with the involvement
of stilbene synthase or resveratrol synthase (STS). The family Pinaceae is
characterized by the presence of the derivatives of pinosylvin (genus Pinus) and
piceatannol (genus Picea), the biosynthetic pathways of which are scarcely
examined. Previously, in different species of the genus Picea, only two stilbene
synthase genes were described. On the basis of RNA isolated from the needles of
spruce Picea jezoensis, the full-length cDNAs of the four stilbene synthase
genes, PjSTS1a, PjSTS1b, PjSTS2, and PjSTS3, were obtained. Then, using the clone
frequency analysis and real-time PCR, expression of the PjSTS1a, PjSTS1b, PjSTS2,
and PjSTS3 genes was examined in the needles of P. jezoensis accessions of
different age and sampled in different seasons (spring, summer, autumn, winter).
Among the analyzed transcripts, the PjSTS1a and PjSTS1b genes were the most
frequent, indicating their higher level of expression compared to other STS
genes. The highest level of PjSTS1a and PjSTS1b expression was observed in
autumn, while the level of PjSTS2 and PjSTS3 expression was the highest in spring
and winter. Moreover, the highest PjSTS expression was detected in the young
tissues of P. jezoensis in autumn, which may indicate a higher level of stilbene
biosynthesis in these tissues.
PMID- 29372792
TI - [Phylogeny of firs (genus Abies, Pinaceae) based on multilocus nuclear markers
(AFLP)].
AB - To study the phylogenetic relationships, evolutionary history, and molecular
systematics of firs (genus Abies), the phylogenetic reconstruction, based on
nuclear multilocus markers-amplified fragment length polymorphism (AFLP)-was
conducted. Using seven combinations of selective primers, 84 samples of 39 taxa
were genotyped for 553 polymorphic AFLP loci. A comparison with our earlier
chloroplast and mitochondrial phylogenies of the genus (in 2014) shows that the
nuclear phylogeny generally is more congruent to the chloroplast tree. Most of
the clades resolved by the chloroplast phylogeny were supported also in the AFLP
tree. Employing the nuclear DNA-based tree, we revealed the presence of new
groups and the differences in the topology of several clades. AFLP confirmed the
monophyly of Asian species of section Balsamea and their sister position in
relation to the American group of species of this section. As shown by the tree
of chloroplast DNA, Asian species of section Balsamea do not form a monophyletic
group, but belong to the clade comprising the majority of Asian species.
Phylogenetically mitochondrial DNA data to a large extent are not congruent to
the nuclear and chloroplast DNA trees, and are more in line with geographical
distribution of species. Conflicts between nuclear and cytoplasmic phylogeny were
analyzed. Taking them into account, we consider the hypothesis of a hybrid origin
of particular groups of firs, including ancient hybridization in section
Balsamea. A comparison of molecular data with traditional taxonomy of the genus
is discussed.
PMID- 29372793
TI - [Genes encoding hevein-like antimicrobial peptides WAMPs: Expression in response
to phytohormones and environmental factors].
AB - We investigated the role of genes of hevein-like antimicrobial peptides of the
WAMP family in the protection of wheat plants against biotic and abiotic stress.
The semiquantitative RT-PCR method was used to examine the expression of wamp
genes in wheat seedlings in response to infection by pathogens and exposure to
phytohormones and ions of a heavy metal ion-cadmium. We discovered that wheat
germ contamination by harmful fungi significantly increases expression of genes
of the wamp family, and the primary transcript is wamp-2. We determined that
salicylic acid, rather than methyl jasmonate, induces expression of genes of the
wamp family. We showed that abiotic stress induced by cadmium ions inhibits
expression of wamp genes in the roots with no effect on their expression in
shoots. The results support the protective role of wamp genes in the response of
wheat plants to infections by pathogens. In turn, the resistance to abiotic
stress induced by cadmium ions does not appear to be associated with expression
of genes of the wamp family.
PMID- 29372794
TI - [Metaphase and meiotic chromosomes, synaptonemal complexes (SC) of the lizard
Zootoca vivipara].
AB - Somatic mitotic and meiotic chromosomes at the pachytene and at the metaphase I
of the males of the viviparous lizard, Zootoca vivipara (Lichtenstein, 1823),
from northwestern Russia, belonging to the Russian form of Z. v. vivipara, are
examined. The spreading of synaptonemal complexes (SC) of their chromosomes are
obtained and analyzed for the first time. Eighteen SC are observed, including SC
of the Z1Z1 (pairs 5 or 6) and the Z2Z2 (pair 13) sex chromosomes.
Characteristics of SC are compared with the number and the shape of bivalents and
with those of the karyotype structure. In the studied Russian form of Z. v.
vivipara, the length ratios of bivalents correlate with that of mitotic
chromosomes (2n = 36); however, some specificity in the morphology of SC of the
Z1Z1 sex chromosomes is reported in this article.
PMID- 29372795
TI - [The analysis of association between type 2 diabetes and polymorphic markers in
the CDKAL1 gene and in the HHEX/IDE locus].
AB - The increase in diabetes was noted at the turn of the 21st century. Patients with
type 2 diabetes (T2DM) make up the majority of patients. Diabetes is a
multifactorial disease. It arises from adverse effects of environmental factors
on the body of genetically susceptible peoples. According to modern concepts,
T2DM is a polygenic disease. Each of the involved genes contributes to the risk
of developing of this disease. In our study, the association between polymorphic
genetic markers rs7756992, rs9465871, rs7754840, and rs10946398 in the CDKAL1
gene and rs1111875 in the HHEX/IDE locus and T2DM in the Russian population were
studied. Four hundred forty patients with type 2 diabetes and 264 healthy
individuals without any signs of the disease were examined. The comparative
analysis of distribution of genotypes and allele frequencies points to an
association between polymorphic genetic markers rs7756992, rs9465871, and
rs10946398 in the CDKAL1 gene and this disease. For the other polymorphic genetic
markers (rs7754840 in the CDKAL1 gene and rs1111875 in the HHEX/IDE locus), no
statistically significant associations are found. On the basis of these data, we
can conclude that the CDKAL1 gene is associated with development of T2DM. For the
HHEX/IDE locus, such an association is absent.
PMID- 29372796
TI - [A simple and effective method for assessing chromatin diminution values in
copepods using qPCR].
AB - The value of chromatin diminution (CD) in different species of freshwater
cyclopoid copepods can differ significantly. The biological and evolutionary
roles of these differences remain unclear. To expand the knowledge on CD
distribution and magnitude in this group of copepods, a quick method for its
evaluation was required. This study proposes a simple approach for CD assessment
in copepods using quantitative realtime PCR (qPCR). The magnitude of changes in
the genome size was assessed by comparing fluorescence curves of qPCR fragments
of target genes for pre- and post-diminution materials. The method was tested on
four cyclopoid copepods species. In Cyclops kolensis, CD was assessed as 95.3 +/-
1.2; in Acanthocyclops vernalis it was assessed at 94.6 +/- 0.8%; at C. insignis,
it was 82.3 +/- 5.2%; and for the first time, CD was found in Megacyclops viridis
at 91.1 +/- 2.6%. The advantages of our approach are its rapidity, simplicity and
minimal requirements of materials studied.
PMID- 29372797
TI - [Insulators can disrupt weak transcription derived from the white gene enhancer
in Drosophila transgenic lines].
AB - Increasing evidence suggests that noncoding RNA transcribed from the enhancers
play an important role in the regulation of gene transcription. Insulators are
the regulatory elements that limit the activity of enhancers and form independent
transcriptional domains. Using a transgenic lines, we show that the Fab-7
insulator of the bithorax complex and the MDG4 (gypsy) insulator are able to
disrupt weak transcription derived from the enhancer regulating the white gene
expression in the eyes. The ability of insulators to disrupt weak transcription
may play a role in the enhancer-blocking activity.
PMID- 29372798
TI - [Genetic diversity of charrs of the Commander Islands based on the analysis of
mitochondrial DNA].
AB - Nucleotide sequences of the mitochondrial DNA cytochrome b (CytB) gene fragment
and the control region (D-loop) of Dolly Varden (Salvelinus malma) from the
Commander Islands and the Kol River of the Kamchatka Peninsula were examined. A
high level of genetic variability of island populations comparable to that of the
mainland population of western Kamchatka was demonstrated. The belonging of the
Commander Islands chars to the genetic lineage of northern Dolly Varden
Salvelinus malma malma was confirmed.
PMID- 29372799
TI - The insulin-like receptor gene expression in the tissues synthesizing
gonadotropic hormones at sexual maturation of Drosophila melanogaster females].
AB - The insulin/insulin-like growth factor signaling pathway is involved in the
regulation of the synthesis of insect gonadotropic hormones, juvenile (JH) and 20
hydroxyecdysone (20E). We carried out the immunohistochemical analysis of the
insulin receptor (InR) expression in the corpus allatum (the JH-producing gland)
and in the ovarian follicular cells (a site for the synthesis of 20E precursor,
ecdysone) in the process of sexual maturation of D. melanogaster females and
examined the influence of exogenous JH on the InR expression in these tissues.
For the first time, it was demonstrated that InR was expressed in follicular
cells and that its expression in corpus allatum and follicular cells of
Drosophila females was stage-specific, i.e., the expression intensity in young
females greatly exceeded that in mature individuals. We also found a negative
feedback loop in the regulation of JH levels by the insulin signaling pathway in
Drosophila adults: the experimental increase in the JH titers in young females
dramatically reduced the InR expression intensity in corpus allatum and
follicular cells.
PMID- 29372800
TI - [Use of site-specific DNA endonucleases in genome-wide studies of human DNA].
AB - During the last decades, site-specific DNA endonucleases have served as a key
instrument to study primary structure of DNA and genetic engineering. Here, we
describe examples of these enzyme uses in genome-wide analysis of human DNA
including restriction endonucleases involvement during sample preparation for
sequencing using NGS devices, as well as visualization of cleavage of DNA repeats
by endonucleases. The first studies on application of DNA endonucleases in the
rapidly developing area of epigenetic analysis of genomes, which is facilitated
by the recent discovery of a new class of enzymes, 5-methylcytosinedependent site
specific DNA endonucleases, are of special interest.
PMID- 29372801
TI - [The evolution of heat shock genes and expression patterns of heat shock proteins
in the species from temperature contrasting habitats].
AB - Heat shock genes are the most evolutionarily ancient among the systems
responsible for adaptation of organisms to a harsh environment. The encoded
proteins (heat shock proteins, Hsps) represent the most important factors of
adaptation to adverse environmental conditions. They serve as molecular
chaperones, providing protein folding and preventing aggregation of damaged
cellular proteins. Structural analysis of the heat shock genes in individuals
from both phylogenetically close and very distant taxa made it possible to reveal
the basic trends of the heat shock gene organization in the context of adaptation
to extreme conditions. Using different model objects and nonmodel species from
natural populations, it was demonstrated that modulation of the Hsps expression
during adaptation to different environmental conditions could be achieved by
changing the number and structural organization of heat shock genes in the
genome, as well as the structure of their promoters. It was demonstrated that
thermotolerant species were usually characterized by elevated levels of Hsps
under normal temperature or by the increase in the synthesis of these proteins in
response to heat shock. Analysis of the heat shock genes in phylogenetically
distant organisms is of great interest because, on one hand, it contributes to
the understanding of the molecular mechanisms of evolution of adaptogenes and, on
the other hand, sheds the light on the role of different Hsps families in the
development of thermotolerance and the resistance to other stress factors.
PMID- 29372802
TI - [Detection of putative polysaccharide biosynthesis genes in Azospirillum
brasilense strains from serogroups I and II].
AB - It is known that in Azospirillum brasilense strains Sp245 and SR75 included in
serogroup I, the repeat units of their O-polysaccharides consist of five residues
of D-rhamnose, and in strain SR15, of four; and the heteropolymeric O
polysaccharide of A. brasilense type strain Sp7 from serogroup II contains not
less than five types of repeat units. In the present work, a complex of
nondegenerate primers to the genes of A. brasilense Sp245 plasmids AZOBR_p6,
AZOBR_p3, and AZOBR_p2, which encode putative enzymes for the biosynthesis of
core oligosaccharide and O-polysaccharide of lipopolysaccharide, capsular
polysaccharides, and exopolysaccharides, was proposed. By using the designed
primers, products of the expected sizes were synthesized in polymerase chain
reactions on genomic DNA of A. brasilense Sp245, SR75, SR15, and Sp7 in 36, 29,
23, and 12 cases, respectively. As a result of sequencing of a number of
amplicons, a high (86-99%) level of identity of the corresponding putative
polysaccharide biosynthesis genes in three A. brasilense strains from serogroup I
was detected. In a blotting-hybridization reaction with the biotin-labeled DNA of
the A. brasilense gene AZOBR_p60122 coding for putative permease of the ABC
transporter of polysaccharides, localization of the homologous gene in ~120-MDa
plasmids of the bacteria A. brasilense SR15 and SR75 was revealed.
PMID- 29372803
TI - [Prebreeding selection of rice with colored pericarp based on genotyping Rc and
Pb genes].
AB - The research was aimed at developing prebreeding resources of Kazakhstan rice
varieties with colored pericarp for breeding. During the study, hybrid analysis
of inheritance of the trait "colored pericarp" in breeding material used for the
work was performed. Rice genotypes with colored pericarp, as well as white rice
varieties possessing important breeding traits and maturing under conditions of
the republic, were selected from the collection of the Institute of Plant Biology
and Biotechnology, Republic of Kazakhstan. Identification of allelic status of Rc
(red pericarp) and Pb (anthocyanin pericarp) genes was performed for selected
samples using the PCR method. When selecting parental forms for crossing, foreign
rice varieties with colored pericarp (Rubin, Mavr, Black rice, etc.) were used as
recipient forms. As donors, we used local white rice varieties of Kazakhstan
breeding adapted to the soil and climate conditions of rice growing regions
(Madina, Marzhan, Bakanasskiy, PakLi) as well as foreign varieties. The ability
to set hybrid caryopses and the percentage of sterility were determined in
obtained F1 rice hybrids. As a result, the most promising prebreeding material
was selected, which will be used for breeding Kazakhstan rice varieties with
colored pericarp.
PMID- 29372804
TI - [Genetic, phenotypic, and phytochemical polymorphism in Eastern European
populations of Mentha arvensis L.]
AB - Variability of M. arvensis from five geographically distanced populations was
examined using morphological traits and phytochemical composition of essential
oil and with the help of DNA fingerprinting using ISSR markers. The population
differentiation based on morphological traits was weak. Analysis of the essential
oil composition provided the subdivision of the sample into three groups and, on
the basis of the composition of ISSR amplicons, into four groups of specimens. A
high degree of genetic polymorphism of M. arvensis and substantial, though
incomplete, population differentiation were identified. It was demonstrated that
the population of M. arvensis from the Komi Republic was the most genetically
isolated, while the populations from Moscow and Penza provinces were weakly
differentiated from each other. The population from the Republic of Belarus (near
Grodno) was genetically and phytochemically considerably different from the other
studied populations, although morphologically indistinguishable from them. We
argue that the differentiation was caused not only by the isolation by distance
but also owing to the formation of three different ecotypes adapted to different
climatic conditions.
PMID- 29372805
TI - [Microsatellite loci variation and investigation of gene flow between two
karyoforms of Cricetulus barabensis sensu lato (Rodentia, Cricetidae)].
AB - We examine the diversity of six microsatellite loci and partial RAG1 exon of
"barabensis" and "pseudogriseus" karyoforms in Cricetulus barabensis sensu lato
species complex. A total of 435 specimens from 68 localities ranging from Altai
to the Far East are investigated. The results of the population structure
analysis (factor analysis and NJ tree based on Nei genetic distances) support
subdivision into two well-differentiated clusters corresponding to the two
karyoforms. These karyoforms are also well differentiated by the level of
microsatellite variability. In several "barabensis" specimens, we found
microsatellite alleles that are common in "pseudogriseus" populations but are
otherwise absent in "barabensis." Most of these specimens originate from a single
population in one of the zones of potential contact between karyoforms,
Kharkhorin in Central Mongolia. These molecular results are consistent with
previously published karyological data in suggesting that rare hybridization
events between the two chromosomal races occur in nature.
PMID- 29372806
TI - [Genetic variation of the mtDNA cyt b locus in topmouth gudgeon introduced into
water bodies in the northern part of the Black Sea region].
AB - The up-to-date phylogeographical distribution of the topmouth gudgeon
Pseudorasbora parva Temminck et Schlegel 1846 in water bodies of the Northern
Black Sea region is considered. Genetic variation of mtDNA cyt b gene is
analyzed. It is established that topmouth gudgeon penetrated and spread in the
basins of the Dnieper and Don rivers and in water bodies of Crimea from the
secondary center of its dispersion- water bodies of Central Europe. It is
demonstrated that haplotypes of topmouth gudgeon in the Danube delta are the most
homologous to the haplotypes in the native range of the species in China. A
considerable decrease in the level of genetic variation in the populations in the
Black Sea region is reported.
PMID- 29372807
TI - [Results of molecular genetic testing in Russian patients with Pendred syndrome
and allelic disorders].
AB - Pendred syndrome is an autosomal recessive inherited disorder characterized by a
combination of sensorineural hearing impairment and euthyroid goiter; its
clinical manifestation in children is hardly distinguishable from nonsyndromic
hearing loss. Pendred syndrome is one of the most frequent types of syndromic
hearing loss. Hearing impairment is accompanied by abnormal development of the
bony labyrinth-enlarged vestibular aqueduct (EVA) and occasionally combined with
Mondini dysplasia. Mutations in the SLC26A4 gene, which encodes the pendrin
protein, are responsible for both Pendred syndrome and for allelic disorder
(nonsyndromic enlarged vestibular aqueduct). The present study for the first time
conducted molecular genetic analysis in 20 Russian patients with Pendred
syndrome, EVA and/or Mondini dysplasia. As a result, six pathogenic mutations in
the SLC26A4 gene were revealed in four patients. The mutation c.222G>T
(p.Trp74Cys) was detected for the first time. Mutations were found in patients
with Pendred syndrome and nonsyndromic EVA with or without Mondini dysplasia.
Mutations were not detected in patients with isolated Mondini dysplasia. One
proband with clinical diagnosis Pendred syndrome was homozygous for the c.35delG
mutation in the GJB2 gene. The absence of frequent mutations, including well
known ones or "hot" exons in the SLC26A4 gene, was reported. Therefore, the
optimal method to search for mutations in the SLC26A4 gene in Russian patients is
Sanger sequencing of all exons and exon-intron boundaries in the SLC26A4 gene.
PMID- 29372808
TI - A Folate-Conjugated Dual-Modal Fluorescent Magnetic Resonance Imaging Contrast
Agent that Targets Activated Macrophages In Vitro and In Vivo.
AB - Mucin-1 (MUC1), a transmembrane glycoprotein is aberrantly expressed on ~90% of
breast cancer and is an excellent target for nanoparticulate targeted imaging. In
this study, the development of a dye-doped NIR emitting mesoporous silica
nanoparticles platform conjugated to tumor-specific MUC1 antibody (ab-tMUC1-NIR
MSN) for in vivo optical detection of breast adenocarcinoma tissue is reported.
The structural properties, the in vitro and in vivo performance of this
nanoparticle-based probe were evaluated. In vitro studies showed that the MSN
based optical imaging nanoprobe is non-cytotoxic and targets efficiently mammary
cancer cells overexpressing human tMUC1 protein. In vivo experiments with female
C57BL/6 mice indicated that this platform accumulates mainly in the liver and did
not induce short-term toxicity. In addition, we demonstrated that the ab-tMUC1
NIR-MSN nanoprobe specifically detects mammary gland tumors overexpressing human
tMUC1 in a human MUC1 transgenic mouse model.
PMID- 29372809
TI - [Association study of genetic markers of schizophrenia and its cognitive
endophenotypes].
AB - A replicative analysis of associations of 15 SNPs located in the regions of 11
genes (TCF4, VRK2, NOTCH4, ZNF804A, AGBL1, RELN, ZFP64P1, KCNB2, CSMD1, CPVL,
NRIP1) and three intergenic regions (SLCO6A1/LINCOO491,
LOC105376248/LOC105376249, SPA17/NRGN) with schizophrenia was conducted in the
Russian population of the Siberian region. These SNPs were previously identified
in genome-wide association studies (GWAS) of schizophrenia and cognitive
abnormalities. The present study confirmed associations of KCNB2 rs2247572, CSMD1
rs2616984, and intergenic rs12807809 located in SPA17/NRGN with schizophrenia. It
was established that the frequency of the CSMD1 rs2616984 G/G genotype was higher
in patients compared to the control group (OR = 1.73; CI: 1.14-2.62; r = 0.0337).
The frequencies of the KCNB2 rs2247572 TT genotype (OR = 0.41; CI: 0.20-0.87; r =
0.0485) and intergenic rs12807809 CT genotype located in SPA17/NRGN (OR = 0.70;
CI: 0.53-0.94; r = 0.0464) were significantly decreased in patients compared to
the control group.
PMID- 29372810
TI - Treatment of coronary bifurcation lesions: current knowledge and future
perspectives.
AB - Coronary lesions at bifurcation sites are frequent and still remain a challenging
subset for the interventional cardiologist. Although in the last years the
provisional stenting technique has shown more consistent results, coronary
bifurcation interventions still share a worse procedural success rate and
increased rates of mid- and long-term cardiac events. Most of the dedicated
devices proposed in the last few years have failed to show improved results when
compared with standard devices. The broader use of imaging techniques, such as
intravascular ultrasound and optical coherence tomography, lead to a better
understanding of the real anatomy of bifurcations and has shown to be a great
tool for percutaneous coronary intervention optimization. Preliminary results
come from drug-coated balloons and bioresorbable vascular scaffolds, especially
for the 'leave nothing behind' concept, particularly interesting in this setting
of lesions.
PMID- 29372811
TI - Changes in growth and sleep across school nights, weekends and a winter holiday
period in two Australian schools.
AB - Studies suggest that there may be an association between sleep and growth;
however, the relationship is not well understood. Changes in biology and external
factors such as school schedule heavily impact the sleep of adolescents, during a
critical phase for growth. This study assessed the changes in sleep across school
days, weekends and school holidays, while also measuring height and weight
changes, and self-reported alterations in food intake and physical activity. The
impact of morningness-eveningness (M-E) on height change and weight gain was also
investigated. In a sample of 63 adolescents (mean age = 13.13, SD = 0.33, 31
males) from two independent schools in South Australia, height and weight were
measured weekly for 4 weeks prior to the school holidays and 4 weeks after the
school holidays. Participants also completed a Morningness/Eveningness Scale and
7-day sleep, diet and physical activity diaries prior to, during and after the
school holidays. Participants at one school had earlier wake times during the
weekends than participants attending the other school, leading to a significantly
shorter sleep duration on weekends for those participants. Regardless of school,
sleep was significantly later and longer during the holidays (p < 0.001) and
those with a stronger morning preference fell asleep (F18,36 = 3.4, p = 0.001)
and woke (F18,44 = 2.0, p = 0.027) earlier than evening types. Growth rate was
lower during the holiday weeks. For those attending the school with limited sleep
in opportunities, growth after the holidays was lower for those with greater
evening preference, whereas for those at the other school, growth was greater for
those with greater evening preference. The increase in average weight from pre-
to post-holidays was greater for those attending the school with limited
opportunities to sleep longer. Participants reported greater food intake during
the holidays compared to school days and greater physical activity levels on
weekends compared to school days, and school days compared to holidays. Results
suggest that time of day preference may impact growth, with evening types who
cannot sleep in growing at a slower rate than evening types who can or morning
types. This may be related to sleep restriction. Despite sleep being both later
and longer during the school holidays, participants' growth slowed during the
holiday period. It is possible that this may be a reflection of other behavioural
changes in the holidays (increased food intake and reduced physical activity), as
sleep timing during the school period was related to growth.
PMID- 29372813
TI - Seasonal variation of admission severity and outcomes in ischemic stroke - a
consecutive hospital-based stroke registry.
AB - Different morbidities and mortalities of ischemic stroke may occur among seasons.
For detecting the seasonal variations of severity after stroke onset and
prognosis, we employed a retrospective analysis on a prospective regional
hospital-based stroke registry and included a total of 1039 consecutive patients
with onset date from January 2014 to December 2015. Patients were divided into
four groups according to the onset seasons. Baseline characteristics, stroke
subtypes, admission National Institute of Health Stroke Scale (NIHSS) score and
modified Rankin Scale (mRS) score in 90 d were recorded and compared. Ordinal
logistic regression was used to evaluate the association of seasons and severity
or outcomes. Higher proportion of cardiac embolisms appeared in spring and winter
(p < 0.001). The median admission NIHSS score was 5 in spring, 3 in summer, 4 in
fall and 4 in winter (p = 0.036). After 90 d from onset, 40.5% of patients in
spring suffered poor outcome (mRS 3-6), while 24.6% in summer, 33.9% in fall and
40.1% in winter (p < 0.001). After adjusted for age, sex, stroke subtypes and
other covariates, patients in spring and winter had 1.76 times (95%CI 1.14-2.70,
p = 0.010) and 1.53 times (95%CI 1.08-2.18, p = 0.017) the risk of suffering
higher severity category than patients in summer, respectively. Compared with
summer group, risk of worse outcomes at 90 d increased to 2.30 times in spring
(95%CI 1.53-3.45, p < 0.001), 1.57 times in fall (95%CI 1.14-2.16, p = 0.006) and
2.09 times in winter (95%CI 1.50-2.91, p < 0.001), respectively. In conclusion,
onset seasons were associated with severity and outcomes in ischemic stroke, and
patients admitted in spring and winter had more severity and worse outcomes than
patients in summer.
PMID- 29372814
TI - Bacillus velezensis CC09: A Potential 'Vaccine' for Controlling Wheat Diseases.
AB - Biocontrol bacteria that can act like a "vaccine", stimulating plant resistance
to pathogenic diseases, are still not fully elucidated. In this study, an
endophytic bacterium, Bacillus velezensis CC09, labeled with green fluorescent
protein, was tested for its colonization, migration, and expression of genes
encoding iturin A synthetase within wheat tissues and organs as well as for
protective effects against wheat take-all and spot blotch diseases. The results
showed that strain CC09 not only formed biofilm on the root surface but was also
widely distributed in almost every tissue, including the epidermis, cortex, and
xylem vessels, and even migrated to stems and leaves, resulting in 66.67% disease
control efficacy (DCE) of take-all and 21.64% DCE of spot blotch. Moreover, the
gene cluster encoding iturin A synthase under the control of the pitu promoter is
expressed in B. velezensis CC09 in wheat tissues, which indicates that iturin A
might contribute to the in-vivo antifungal activity and leads to the disease
control. All these data suggested that strain CC09 can act like a 'vaccine' in
the control of wheat diseases, with a single treatment inoculated on roots
through multiple mechanisms.
PMID- 29372812
TI - Pseudomonas aeruginosa Biofilms: Host Response and Clinical Implications in Lung
Infections.
AB - Pseudomonas aeruginosa is a major health challenge that causes recalcitrant
multidrug-resistant infections, especially in immunocompromised and hospitalized
patients. P. aeruginosa is an important cause of nosocomial and ventilator
associated pneumonia characterized by high prevalence and fatality rates. P.
aeruginosa also causes chronic lung infections in individuals with cystic
fibrosis. Multidrug- and totally drug-resistant strains of P. aeruginosa are
increasing threats that contribute to high mortality in these patients. The
pathogenesis of many P. aeruginosa infections depends on its ability to form
biofilms, structured bacterial communities that can coat mucosal surfaces or
invasive devices. These biofilms make conditions more favorable for bacterial
persistence, as embedded bacteria are inherently more difficult to eradicate than
planktonic bacteria. The molecular mechanisms that underlie P. aeruginosa biofilm
pathogenesis and the host response to P. aeruginosa biofilms remain to be fully
defined. However, it is known that biofilms offer protection from the host immune
response and are also extremely recalcitrant to antimicrobial therapy. Therefore,
development of novel therapeutic strategies specifically aimed at biofilms is
urgently needed. Here, we review the host response, key clinical implications of
P. aeruginosa biofilms, and novel therapeutic approaches to treat biofilms
relevant to lung infections. Greater understanding of P. aeruginosa biofilms will
elucidate novel avenues to improve outcomes for P. aeruginosa pulmonary
infections.
PMID- 29372815
TI - Understanding important issues in young-onset dementia care: the perspective of
healthcare professionals.
AB - AIM: Psychosocial research on the lived experiences of young-onset dementia
patients and caregivers has identified salient issues about their care, however,
views on care from the perspective of young-onset dementia healthcare
professionals is less well known. The aim of this study was to investigate and
identify important issues in young-onset dementia care provision from a
healthcare provider perspective. METHODS: The design was an exploratory
qualitative interview study. In-depth semistructured interviews were conducted
with healthcare professionals with clinical expertise in young-onset dementia
drawn from medicine, nursing and allied health. Thematic analysis was applied to
interview transcripts to identify themes representing important underlying issues
in care across the dementia clinical pathway (i.e., prediagnosis, diagnosis and
postdiagnosis). RESULTS: In prediagnosis, it is important for healthcare
professionals to recognize symptoms as organic and degenerative and more than
psychological, and to refer patients to an appropriate clinical facility for
assessment. During diagnosis, it may be challenging to determine dementia, and
methods are employed to manage diagnostic uncertainty. Following diagnosis,
optimizing routine clinical care is important and can include the provision of
practical informational guidance, empathic concern and psychoeducational support.
Meeting service-user requirements in the community is an important aspect of
care, and may be facilitated by the involvement of clinical nurse specialists.
CONCLUSION: The findings are presented as a paradigm for holistic young-onset
dementia care. The paradigm offers a framework for contemplating and evaluating
the criteria and quality of young-onset dementia care.
PMID- 29372816
TI - Mechanisms of social synchrony between circadian activity rhythms in cohabiting
marmosets.
AB - In marmosets, social synchrony between circadian profiles of activity is stronger
in animals that cohabit in a family. The activity of three breeding pairs was
recorded by actiwatches to investigate the mechanisms involved in the synchrony
between the circadian activity profiles during cohabitation in marmoset
reproductive pairs. The dyads were submitted to LD 12:12 (21 days) and LL: 1)
cohabitation (24 days), 2) removal of the cage mate (20 days), 3) reintroduction
of the mate into the cage of the 1st situation (30 days) and 4) removal of the
cage mate (7 days). Next, they were rejoined and maintained in LD 12:12 (11
days). In conditions involving cohabitation of pair, the general and maximum
correlation indexes between circadian profiles were higher in cage mates compared
to animals of the same or different sex with which they maintain only acoustic
and olfactive contact. This strong synchrony between rhythms was accompanied by a
stable phase relationship at the activity onset and offset, with identical
circadian periods between mates. When the pairs were separated, there was a break
in stability in the phase relationships between activity profiles with different
circadian periods and a greater phase angle difference between rhythms of cage
mates. During separation, two females and one male progressively anticipated the
activity onset and offset in a phase similar to that in previous conditions,
expressing entrainment to the mate. During the first reintroduction, two pairs
exhibited signs of masking in rhythm. Although modulation in the rhythm of some
animals has been observed through acoustic cues from animals outside the colony,
we suggest that cohabitation favors strong synchrony between the circadian
activity profiles of marmoset reproductive pairs involving synchronization by
entrainment and masking. Further studies in the absence of external social cues
are necessary to clarify the role of these mechanisms on social synchronization
in marmosets.
PMID- 29372841
TI - Bmal1-deficient mouse fibroblast cells do not provide premature cellular
senescence in vitro.
AB - Bmal1 is a core circadian clock gene. Bmal1-/- mice show disruption of the clock
and premature aging phenotypes with a short lifespan. However, little is known
whether disruption of Bmal1 leads to premature aging at cellular level. Here, we
established primary mouse embryonic fibroblast (MEF) cells derived from Bmal1-/-
mice and investigated its effects on cellular senescence. Unexpectedly, Bmal1-/-
primary MEFs that showed disrupted circadian oscillation underwent neither
premature replicative nor stress-induced cellular senescence. Our results
therefore uncover that Bmal1 is not required for in vitro cellular senescence,
suggesting that circadian clock does not control in vitro cellular senescence.
PMID- 29372842
TI - Decreased daytime light intensity at nonwindow hospital beds: Comparisons with
light intensity at window hospital beds and light exposure in nonhospitalized
elderly individuals.
AB - Light is crucial for the synchronization of internal biological rhythms with
environmental rhythms. Hospitalization causes a range of unfavorable medical
conditions, including delirium, sleep disturbances, depressed mood, and increased
fall, especially in elderly people. The hospital room environment contributes
significantly to patients' circadian physiology and behavior; however, few
studies have evaluated light intensity in hospital settings. In this study,
bedside light intensity during the daytime (6:00-21:00) was measured at 1-min
intervals using a light meter on 4869 bed-days at the Inabe General Hospital in
Mie, Japan (latitude 35 degrees N), for approximately 1 month in each season.
Daytime light exposure in home settings was measured in nonhospitalized elderly
individuals (n = 1113) for two consecutive days at 1-min intervals using a wrist
light meter. Median daytime light intensities at window and nonwindow hospital
beds were 327.9 lux [interquartile range (IQR), 261.5-378.4] and 118.4 lux (IQR,
100.6-142.9), respectively, and daytime light intensity measured in
nonhospitalized elderly individuals was 337.3 lux (IQR, 165.5-722.7). Compared
with data in nonhospitalized elderly individuals, nonwindow beds were exposed to
significantly lower daytime light intensity (p < 0.001), whereas window beds were
exposed to similar daytime light intensity to that of home settings (p = 1.00).
These results were consistent regardless of seasons (spring, summer, fall, and
winter) or room directions (north vs. south facing). The lowest median daytime
light intensity was observed at nonwindow beds in north-facing rooms during the
winter (84.8 lux; IQR, 76.0-95.8). Further studies evaluating the incidence of in
hospital outcomes between patients hospitalized in window and nonwindow beds are
needed.
PMID- 29372843
TI - Analysis of Fusion Genes by NanoString System: A Role in Lung Cytology?
AB - CONTEXT: - Patients with non-small cell lung cancer harboring ALK receptor
tyrosine kinase ( ALK), ROS proto-oncogene 1 ( ROS1), and ret proto-oncogene (
RET) gene rearrangements can benefit from specific kinase inhibitors. Detection
of fusion genes is critical for determining the best treatment. Assessing
rearrangements in non-small cell lung cancer remains challenging, particularly
for lung cytology. OBJECTIVE: - To examine the possible application of the
multiplex, transcript-based NanoString system (NanoString Technologies, Seattle,
Washington) in the evaluation of fusion genes in lung adenocarcinoma samples.
DATA SOURCES: - This study is a narrative literature review. Studies about
NanoString, gene fusions, and lung adenocarcinoma were collected from PubMed
(National Center for Biotechnology Information, Bethesda, Maryland). We found 7
articles about the application of the NanoString system to detect fusion genes on
formalin-fixed, paraffin-embedded tumor tissues and one article evaluating the
adequacy of lung cytologic specimens for NanoString gene expression analysis.
CONCLUSIONS: - To maximize the yield of molecular tests on small lung biopsies,
the NanoString nCounter system has been suggested to detect fusion genes.
NanoString fusion gene assays have been successfully applied on formalin-fixed,
paraffin-embedded tissues. Although there are only a few studies available, the
application of NanoString assays may also be feasible in lung cytology. According
to available data, the NanoString system could strengthen the routine molecular
characterization of lung adenocarcinoma.
PMID- 29372844
TI - Molecular Testing of Non-Small Cell Lung Carcinoma Diagnosed by Endobronchial
Ultrasound-Guided Transbronchial Fine-Needle Aspiration.
AB - CONTEXT: - Given the increasing demand for molecular testing of non-small cell
lung carcinoma specimens to guide therapeutic decision-making, and the trend
toward minimally invasive techniques for obtaining diagnostic tissue,
cytopathology laboratories must devise strategies to maximize DNA yield for
necessary molecular testing. OBJECTIVE: - To describe our experience at Cleveland
Clinic with epidermal growth factor receptor ( EGFR) mutation testing by next
generation sequencing and anaplastic lymphoma kinase ( ALK) gene rearrangement
testing by fluorescence in situ hybridization of non-small cell lung carcinomas
diagnosed by cytology, with an emphasis on specimens obtained by endobronchial
ultrasound-guided transbronchial fine-needle aspiration. DATA SOURCES: - Data
sources include a review of the current literature, including published articles
from our institution. CONCLUSIONS: - At our institution, liquid-based cytology
specimens are the primary resource used for molecular testing of non-small cell
lung carcinomas; in most instances, adequate DNA can be extracted from the
residual cell pellet for next-generation sequencing, and ThinPrep slides can be
used reliably for fluorescence in situ hybridization testing for ALK gene
rearrangements. In occasional cases where the cell pellet material is not
adequate for molecular testing, cell blocks and/or surgical pathology specimens
are secondary options. The cytopathologist's role in specimen handling and triage
is essential to ensure that molecular testing can be carried out successfully.
PMID- 29372845
TI - Myeloid Neoplasm With Germline Predisposition: A 2016 Update for Pathologists.
AB - CONTEXT: - Myeloid neoplasms with familial occurrence have been rarely reported
in the past. With the advance of molecular technology and better understanding of
the molecular pathogenesis of myeloid neoplasms, investigating the genetic causes
of familial acute myeloid leukemia or myelodysplastic syndrome has become
feasible in the clinical setting. Recent studies have identified a rapidly
expanding list of germline mutations associated with increased risks of
developing myeloid neoplasm in the affected families. It is important to
recognize these entities, as such a diagnosis may dictate a unique approach in
clinical management and surveillance for the patients and carriers. OBJECTIVE: -
To raise the awareness of myeloid neoplasms arising in the setting of familial
inheritance among practicing pathologists. DATA SOURCES: - Based on recent
literature and the 2016 revision of the World Health Organization classification
of hematopoietic neoplasms, we provided an up-to-date review of myeloid neoplasm
with germline predisposition. CONCLUSIONS: - This short review focused on the
clinical, pathologic, and molecular characterization of myeloid neoplasm with
germline predisposition. We emphasized the important features that will help
practicing pathologists to recognize these newly described entities.
PMID- 29372847
TI - In Reply.
PMID- 29372846
TI - Pathology of Melanotic Schwannoma.
AB - CONTEXT.-: Melanotic schwannoma (MS) is a nerve sheath tumor with a uniform
composition of variably melanin-producing Schwann cells and metastatic potential.
The MS is an uncommon neoplasm, accounting for less than 1% of all nerve sheath
tumors, with a predilection for spinal nerve involvement. Microscopically, the
tumors are characterized by spindle and epithelioid cells arranged in interlacing
fascicles, with marked accumulation of melanin in neoplastic cells and associated
melanophages. The MSs are frequently associated with Carney complex, showing
features of psammoma bodies and adipose-like cells. Strict criteria of malignancy
in MS are not well developed, although a combination of worrisome histologic
features (large, vesicular nuclei, with macronucleoli, brisk mitotic activity,
and necrosis) raises concern for aggressive behavior. OBJECTIVE.-: To review the
current status of the MS literature, discussing putative etiology,
histopathology, current genetics, and differential diagnoses, including overlap
with other pigmented tumors. DATA SOURCES.-: Search of PubMed (National Center
for Biotechnology Information, Bethesda, Maryland) and the authors' own
experiences. CONCLUSIONS.-: The occurrence of MS at several unusual anatomic
sites and its spectrum of morphologic patterns can result in significant
diagnostic difficulty, and correct diagnosis is particularly important because of
its high tendency to recur locally and to metastasize, which highlights the
importance of diagnostic recognition, ancillary molecular genetic testing, and
close clinical follow-up of patients with MS.
PMID- 29372848
TI - Hepatic Epithelioid Hemangioendothelioma.
AB - Epithelioid hemangioendothelioma is a rare vascular tumor, composed of
epithelioid and histiocytoid vascular endothelial cells in myxoid or fibrotic
stroma, which can arise in multiple locations throughout the body. In the liver,
this neoplasm usually presents on imaging as an incidental finding of multifocal,
heterogeneously enhancing nodules in both lobes or presents clinically with
nonspecific abdominal symptoms. Histologically, the tumor has been mistaken for
metastatic carcinoma, angiosarcoma, hepatocellular carcinoma, and
cholangiocarcinoma. The neoplasm usually stains positive for vascular markers,
such as factor VIII-related antigen, CD31, and CD34, and negative for
cytokeratins. The translocation t(1;3)(p36.3;q25), resulting in the CAMTA1- WWTR1
fusion product, is the most commonly identified genetic abnormality with this
tumor. Although hepatic epithelioid hemangioendothelioma can have a varied
clinical course, it is generally considered less aggressive than angiosarcoma.
There is no consensus treatment protocol and techniques including liver
transplantation, liver resection, chemotherapy and/or radiation therapy, and
surveillance have all been used with varying outcomes.
PMID- 29372849
TI - Historical Insights for Early Adopters of Whole Slide Imaging.
PMID- 29372850
TI - In Defense of the Academic Autopsy.
PMID- 29372851
TI - A New Kind of Autopsy for 21st Century Medicine.
PMID- 29372852
TI - Primary Breast Atypical Lipomatous Tumor/ Well-Differentiated Liposarcoma and
Dedifferentiated Liposarcoma.
AB - Atypical lipomatous tumor/well-differentiated liposarcoma (ALT/WDL) and its
higher-grade counterpart, dedifferentiated liposarcoma (DDL), are extraordinarily
rare tumors in the breast. The main differential diagnostic consideration of
primary breast ALT/WDL is malignant phyllodes tumor with liposarcomatous
differentiation, and the main differential diagnostic consideration of DDL in the
breast is metaplastic breast carcinoma, particularly the spindle cell type, with
heterologous sarcomatous differentiation. These differential diagnoses may be
particularly challenging when evaluating limited core needle biopsy sampling.
MDM2 and/or CDK4 protein overexpression and gene amplification are beneficial
ancillary studies that can help establish the diagnosis of primary breast ALT/WDL
and DDL, and effectively rule out the diagnoses of malignant phyllodes tumor and
metaplastic breast carcinoma.
PMID- 29372853
TI - A standardized protocol to reduce pediatric baclofen pump infections: a quality
improvement initiative.
AB - OBJECTIVE Intrathecal baclofen pumps are generally placed in children for the
treatment of spasticity and dystonia. Use of implants in this pediatric
population with comorbidities is reported to have a high risk of complications
and infections. With the aim of reducing baclofen pump-related infections, a
quality improvement project was instituted at the authors' institution. METHODS A
workflow paradigm unique to baclofen pump implantation aimed at decreasing
implant-related infections was implemented. All baclofen pump operations
performed at the authors' institution between August 2012 and June 2016 were
reviewed. An infection prevention protocol was created and implemented in August
2014 based on a literature review and the consensus opinion of the pediatric
neurosurgeons in the group. Compliance with the prevention bundle was tracked.
Case outcomes before and after implementation of the protocol with a minimum of 3
months of follow-up were retrospectively reviewed. Univariate and multivariate
analyses were performed to assess the association of the steps in the prevention
bundle with infection and complication outcomes. RESULTS A total of 128 baclofen
pump surgeries were performed (64 preprotocol and 64 postprotocol). The patient
age range was 3 to 27 years. The overall compliance rate with the infection
prevention bundle was 82%. The pre- and postimplementation infection rates were
12.5% and 6.3%, respectively (p = 0.225). The total pre- and postimplementation
complication rates were 23.4% and 9.4%, respectively (p = 0.032). The absolute
and relative risk reductions for infections were 6.3% (95% CI 3.8%-16.3%) and
50%, respectively; for complications, the absolute and relative risk reductions
were 14.1% (95% CI 1.5%-26.7%) and 60%, respectively. CONCLUSIONS The total
complication rate following intrathecal baclofen pump surgery was significantly
lower after implementation of the quality improvement protocol. This study is an
example of using checklist standardization to diminish special cause variability.
PMID- 29372854
TI - Adenosine-induced cardiac standstill for endovascular treatment of pediatric vein
of Galen malformations.
AB - Vein of Galen malformations are congenital high-flow vascular malformations that
often present with heart failure, hydrocephalus, developmental delay, and
intracranial hemorrhage. Because open surgical treatment is associated with high
morbidity and mortality, endovascular embolization is increasingly becoming the
preferred method of intervention. However, embolization of these lesions can be
difficult because of their high-flow nature. The use of adenosine-induced cardiac
standstill for treatment of vein of Galen malformations has not been previously
described in neonates. The authors describe 3 treatments in 2 patients that
demonstrate that the use of adenosine is well tolerated and allows safe
transarterial embolization of high-flow vein of Galen malformations in the
pediatric population.
PMID- 29372855
TI - Placement of baclofen pump catheter through a C1-2 puncture: technical note.
AB - Intrathecal baclofen has been suggested as an effective and safe treatment for
intractable spasticity and dystonia. Techniques of lumbar and intraventricular
catheter placement have been previously described. The purpose of this study was
to describe a technique to implant catheters for intrathecal baclofen infusion
through C1-2 puncture. Four of 5 consecutively treated patients underwent
successful placement of catheters for intrathecal baclofen. There were no
instances of infection, CSF leak, or catheter migration seen during a follow-up
period of at least 6 months; furthermore, there were no occurrences of vertebral
artery or spinal cord injury. All patients had an effective stabilization or
reduction of their upper-extremity, lower-extremity, or trunk tone. There were no
cases of worsening hypertonia. The authors' preliminary experience with C1-2
puncture for placement of the intrathecal baclofen catheter seems to indicate
that this is a safe and efficacious technique. Lessons learned from the failed
attempt at C1-2 puncture will be delineated.
PMID- 29372856
TI - Editorial. Damage capitation as malpractice reform.
PMID- 29372857
TI - Early experience of placing image-guided minimally invasive pedicle screws
without K-wires or bone-anchored trackers.
AB - OBJECTIVE Image guidance for spine surgery has been reported to improve the
accuracy of pedicle screw placement and reduce revision rates and radiation
exposure. Current navigation and robot-assisted techniques for percutaneous
screws rely on bone-anchored trackers and Kirchner wires (K-wires). There is a
paucity of published data regarding the placement of image-guided percutaneous
screws without K-wires. A new skin-adhesive stereotactic patient tracker
(SpineMask) eliminates both an invasive bone-anchored tracker and K-wires for
pedicle screw placement. This study reports the authors' early experience with
the use of SpineMask for "K-wireless" placement of minimally invasive pedicle
screws and makes recommendations for its potential applications in lumbar fusion.
METHODS Forty-five consecutive patients (involving 204 screws inserted) underwent
K-wireless lumbar pedicle screw fixation with SpineMask and intraoperative
neuromonitoring. Screws were inserted by percutaneous stab or Wiltse incisions.
If required, decompression with or without interbody fusion was performed using
mini-open midline incisions. Multimodality intraoperative neuromonitoring
assessing motor and sensory responses with triggered electromyography (tEMG) was
performed. Computed tomography scans were obtained 2 days postoperatively to
assess screw placement and any cortical breaches. A breach was defined as any
violation of a pedicle screw involving the cortical bone of the pedicle. RESULTS
Fourteen screws (7%) required intraoperative revision. Screws were removed and
repositioned due to a tEMG response < 13 mA, tactile feedback, and 3D
fluoroscopic assessment. All screws were revised using the SpineMask with the
same screw placement technique. The highest proportion of revisions occurred with
Wiltse incisions (4/12, 33%) as this caused the greatest degree of SpineMask
deformation, followed by a mini midline incision (3/26, 12%). Percutaneous screws
via a single stab incision resulted in the fewest revisions (7/166, 4%).
Postoperative CT demonstrated 7 pedicle screw breaches (3%; 5 lateral, 1 medial,
1 superior), all with percutaneous stab incisions (7/166, 4%). The radiological
accuracy of the SpineMask tracker was 97% (197/204 screws). No patients suffered
neural injury or required postoperative screw revision. CONCLUSIONS The
noninvasive cutaneous SpineMask tracker with 3D image guidance and tEMG
monitoring provided high accuracy (97%) for percutaneous pedicle screw placement
via stab incisions without K-wires.
PMID- 29372859
TI - Editorial. Developing next-generation systems for surgical navigation.
PMID- 29372858
TI - Myositis following spine radiosurgery for metastatic disease: a case series.
AB - OBJECTIVE Spinal stereotactic body radiation therapy (SBRT) has emerged as an
attractive method to deliver high doses of radiation to oligometastatic spinal
tumors with radioresistant histology. Because SBRT is a palliative therapy,
attention to potential radiation toxicities is paramount when counseling
patients. The objective of this study was to report radiation-induced myositis
after SBRT, a previously undescribed complication. METHODS A total of 667
patients received 891 spine SBRT treatments (either 24 Gy in 1 fraction or 27 Gy
in 3 fractions) from 2011 to 2016 and underwent retrospective review. Eleven
patients were identified as having radiographic evidence of myositis following
SBRT. Clinical and pathologic results were collected, including receipt of anti
vascular endothelial growth factor (VEGF) therapy, radiation dose, equivalent
dose in 2-Gy fractions (EQD2), biologically effective dose (BED), and volume of
muscle treated. Treatment toxicities were classified according to the Common
Terminology Criteria for Adverse Events (CTCAE; version 4.03). Univariate
statistical analyses were performed to evaluate the relationships between
radiation fractionation schedule and myositis and between anti-VEGF therapy and
myositis. RESULTS The cumulative incidence of myositis was 1.9% at 1 year. The
median of the mean dose administered to muscle with myositis was 17.5 Gy. The
median EQD2 was 55.1 Gy, and the median BED was 82.7 Gy. The median time to the
development of clinical symptoms was 1.4 months, while the median time to imaging
evidence was 4.7 months. Two patients (18.2%) had CTCAE grade 3 complications.
Single-fraction spine SBRT (HR 4.5, 95% CI 1.2-16.9; p = 0.027) was associated
with increased risk of developing myositis whereas receipt of anti-VEGF therapy
was not (HR 2.2, 95% CI 0.6-7.1; p = 0.2). CONCLUSIONS Radiation myositis
following spinal radiosurgery is a rare but important complication. Single
fraction treatment schedules may be associated with increased risk of myositis
but should be validated in a larger series.
PMID- 29372860
TI - Prospective, randomized, multicenter study with 2-year follow-up to compare the
performance of decompression with and without interlaminar stabilization.
AB - OBJECTIVE Surgical decompression is extremely effective in relieving pain and
symptoms due to lumbar spinal stenosis (LSS). Decompression with interlaminar
stabilization (D+ILS) is as effective as decompression with posterolateral fusion
for stenosis, as shown in a major US FDA pivotal trial. This study reports a
multicenter, randomized controlled trial in which D+ILS was compared with
decompression alone (DA) for treatment of moderate to severe LSS. METHODS Under
approved institutional ethics review, 230 patients (1:1 ratio) randomized to
either DA or D+ILS (coflex, Paradigm Spine) were treated at 7 sites in Germany.
Patients had moderate to severe LSS at 1 or 2 adjacent segments from L-3 to L-5.
Outcomes were evaluated up to 2 years postoperatively, including Oswestry
Disability Index (ODI) scores, the presence of secondary surgery or lumbar
injections, neurological status, and the presence of device- or procedure-related
severe adverse events. The composite clinical success (CCS) was defined as
combining all 4 of these outcomes, a success definition validated in a US FDA
pivotal trial. Additional secondary end points included visual analog scale (VAS)
scores, Zurich Claudication Questionnaire (ZCQ) scores, narcotic usage, walking
tolerance, and radiographs. RESULTS The overall follow-up rate was 91% at 2
years. There were no significant differences in patient-reported outcomes at 24
months (p > 0.05). The CCS was superior for the D+ILS arm (p = 0.017). The risk
of secondary intervention was 1.75 times higher among patients in the DA group
than among those in the D+ILS group (p = 0.055). The DA arm had 228% more lumbar
injections (4.5% for D+ILS vs 14.8% for DA; p = 0.0065) than the D+ILS one.
Patients who underwent DA had a numerically higher rate of narcotic use at every
time point postsurgically (16.7% for D+ILS vs 23% for DA at 24 months). Walking
Distance Test results were statistically significantly different from baseline;
the D+ILS group had > 2 times the improvement of the DA. The patients who
underwent D+ILS had > 5 times the improvement from baseline compared with only 2
times the improvement from baseline for the DA group. Foraminal height and disc
height were largely maintained in patients who underwent D+ILS, whereas patients
treated with DA showed a significant decrease at 24 months postoperatively (p <
0.001). CONCLUSIONS This study showed no significant difference in the individual
patient-reported outcomes (e.g., ODI, VAS, ZCQ) between the treatments when
viewed in isolation. The CCS (survivorship, ODI success, absence of neurological
deterioration or device- or procedure-related severe adverse events) is
statistically superior for ILS. Microsurgical D+ILS increases walking distance,
decreases compensatory pain management, and maintains radiographic foraminal
height, extending the durability and sustainability of a decompression procedure.
Clinical trial registration no.: NCT01316211 (clinicaltrials.gov).
PMID- 29372861
TI - Safety and efficacy of balloon kyphoplasty at 4 or more levels in a single
anesthetic session.
AB - OBJECTIVE In this case series, the authors evaluated the safety of balloon
kyphoplasty at 4 or more vertebral levels in a single anesthetic session. The
current standard is that no more than 3 levels should be cemented at one time
because of a perceived risk of increased complications. METHODS A retrospective
chart review was performed for 19 consecutive patients who underwent >= 4-level
balloon kyphoplasty between July 1, 2011, and December 31, 2015. Outcomes
documented included kyphoplasty-associated complications and incidences of
subsequent vertebral fracture. RESULTS Nineteen patients aged 22 to 95 years
(mean 66.1 years, median 66 years; 53% male, 47% female) had 4 or more vertebrae
cemented during the same procedure (mean 4.6 levels [62 thoracic, 29 lumbar]). No
postoperative anesthetic complication, infection, extensive blood loss,
symptomatic cement leakage, pneumothorax, or new-onset anemia was observed. Five
patients experienced new compression fracture within a mean of 278 days
postoperatively. One patient with metastatic cancer suffered bilateral pulmonary
embolism 19 days after surgery, but no evidence of cement in the pulmonary
vasculature was found. CONCLUSIONS In this case series, kyphoplasty performed on
4 or more vertebral levels was not found to increase risk to patient safety, and
it might decrease unnecessary risks associated with multiple operations. Also,
morbidity associated with leaving some fractures untreated because of an
unfounded fear of increased risk of complications might be decreased by treating
4 or more levels in the same anesthetic session.
PMID- 29372862
TI - Damage capitation in the modern liability climate: a primer for neurosurgeons and
systematic review of the literature.
AB - OBJECTIVE Neurosurgery, and particularly spine surgery, is among the most highly
litigated medical specialties in the US, rendering the current malpractice
climate of primary importance to spine surgeons nationwide. One of the primary
methods of tort reform in the civil justice system is malpractice damage
capitation (or "caps"); however, its efficacy is widely debated. The purpose of
this article is to serve as a review for the practicing neurosurgeon, with
particular emphasis on short- and long-term effects of damage caps and on the
current debate regarding their utility, based on a systematic review of the
literature. METHODS The Meta-Analysis of Observational Studies in Epidemiology
(MOOSE) guidelines for systematic review of observational studies were used in
the design of the study. Multiple medical and legal online databases (MEDLINE,
Scopus, EMBASE, and JSTOR) were queried using the key words "malpractice" and
"damage capitation" for articles from 2000 to 2014. A total of 96 abstracts were
screened for inclusion and exclusion criteria. Of these, 22 articles were
reviewed in full and another 15 were excluded for study design or poor quality of
data. Five more studies were added after cross-checking the bibliographies of the
included articles. The resulting 12 articles were evaluated; relevant data were
extracted using a standardized metric. RESULTS Five studies were found showing
varying effects of capitation on physician availability, with only 1 of these
specifically showing increased availability of neurosurgery and elective spine
coverage in states with capitation. Four studies demonstrated that capitation
overall succeeds in decreasing jury awards and frequency of claims filed. Last, 3
studies were found showing an overall decrease in malpractice premiums for states
that passed damage capitation. CONCLUSIONS There is evidence in the literature
showing that total and noneconomic damage capitation has the potential to improve
the practice environment for neurosurgeons nationwide. Additionally, there are
other factors that affect malpractice premium rates, such as the investment
markets, which are not affected by these laws. All of these are important for
spine surgeons to consider and be aware of in advocating for appropriate reform
measures in their states.
PMID- 29372863
TI - Ossified ligamentum flavum of the thoracic spine presenting as spontaneous
intracranial hypotension: case report.
AB - Ossification of the ligament flavum in the thoracic spine is an uncommon
radiological finding in the Western population but can present with back pain,
varying degrees of myelopathy, and even paraplegia on occasion. The authors here
present the case of a 50-year-old woman with a history of progressive back pain
and symptoms of spontaneous intracranial hypotension who was found to have an
ossified ligamentum flavum of the thoracic spine resulting in a dural erosion
cerebrospinal fluid leak. Surgery involved removal of the ossified ligament
flavum at T10-11, facetectomy, ligation of the nerve root, and primary closure of
the dura, which resulted in complete resolution of the patient's symptoms.
Radiological, clinical, and intraoperative findings are discussed to assist
surgeons with an accurate diagnosis and treatment in the setting of this unusual
presentation.
PMID- 29372864
TI - Beauty parlor stroke syndrome due to a bone fragment from an osteophyte of the
atlas: case report.
AB - Beauty parlor stroke syndrome (BPSS) is a rare condition characterized by
mechanical impingement of a vertebral artery (VA) during neck rotation and/or
hyperextension followed by vertebrobasilar insufficiency. However, there have
been no reports of BPSS in which the cause of mechanical impingement was
identified and no cases for which surgical treatment was reported. The authors
report the case of a 56-year-old Japanese man who presented with presyncope that
occurred during cervical extension. Given the possibility of vertebrobasilar
insufficiency, digital subtraction angiography and CT angiography were performed.
These studies revealed that the right VA was hypoplastic and the left VA was
dominant. Moreover, in the position of cervical extension, the dominant left VA
showed constriction caused by a bone fragment of an osteophyte of the atlas.
Removal of the bone fragment was performed. Postoperative left vertebral
angiography showed improvement of blood flow in the extended position, and the
presyncope completely disappeared. The pathomechanism of this case was a bone
fragment compressing the left VA in the C-1 groove during neck extension. In BPSS
patients with recurrent transient symptoms, the possibility of this mechanism of
VA constriction by a free bone fragment should be considered.
PMID- 29372865
TI - Encrusted Cystitis with Suspected Ureteral Obstruction Following Cystoscopic
Guided Laser Ablation of Ectopic Ureters in a Dog.
AB - A 1 yr old 30 kg spayed female Labrador retriever presented for stranguria and
hematuria 3 wk after cystoscopic laser ablation for ectopic ureters. Encrusted
cystitis was diagnosed based on ultrasonography, cystoscopy, urinalysis, and
culture of Corynebacterium urealyticum from the urine. Unilateral hydronephrosis
and hydroureter were suspected to be secondary to obstruction at the trigone. The
dog was treated with focal debridement of plaques at the left ureter, urinary
acidification, and long-term antibiotic therapy with complete recovery. This is
the first report of encrusted cystitis as a complication of cystoscopic-guided
laser ablation for ectopic ureters, and suggests cystoscopic debridement may be
useful if ureteral obstruction occurs.
PMID- 29372866
TI - A Comparison of Ex Vivo Leak Pressures for Four Enterotomy Closures in a Canine
Model.
AB - Initial and maximum intraluminal leak pressures of four enterotomy closures were
compared. Closure patterns included a modified Gambee, simple interrupted, simple
continuous, and skin staple closure. Forty-eight 3-cm enterotomy constructs were
created from jejunal segments harvested from 12 dogs. Twelve each were randomly
assigned to the four closure methods. Time of closure, as well as initial and
maximum leak pressures, were measured and compared. The modified Gambee closure
was the slowest closure to perform, with skin staple closure being the fastest.
All suture patterns tested had higher mean initial leak pressures than reported
physiologic intestinal pressures during peristalsis, although the skin staple
closures resulted in leakage below normal physiologic pressure in several
samples. The modified Gambee closure was able to sustain a significantly higher
initial leak pressure than skin staple closures. The modified Gambee suture
pattern had the greatest maximum leak pressure of all enterotomy closure patterns
tested. Use of the modified Gambee suture pattern should be considered in
enterotomy closure, although in vivo studies are required to determine if these
differences are clinically significant.
PMID- 29372867
TI - Treatment of Sinonasal Aspergillosis by Debridement and Sinonasal Deposition
Therapy with Clotrimazole Under Rhinoscopic Guidance.
AB - Rhinoscopy was performed on 10 dogs with sinonasal aspergillosis (SNA). Direct
access to the sinus via the nasal ostium was possible with a flexible endoscope
to allow sinuscopy. Debridement of fungal plaques in the frontal sinus and the
nasal cavity was performed, and a sinus and nasal deposition therapy with
clotrimazole (1%) cream was made under rhinoscopic guidance. No oral medication
was administered following the procedure. A rhinoscopic follow-up was performed
monthly until cure. Six of ten (60%) dogs presented fungal plaques in the nasal
cavity and in the frontal sinus and 4/10 (40%) dogs presented fungal plaques only
in the frontal sinus. Five of ten (50%) dogs were considered to be cured at the
first follow-up rhinoscopy, 4/10 (40%) after the second follow-up, and 1/10 (10%)
after the third. Two dogs had delayed recurrence of SNA rhinoscopically assessed
12 and 21 mo, respectively, after the last clotrimazole treatment. Endoscopic
debridement of fungal plaques and clotrimazole (1%) cream deposition therapy
seems to be a valuable minimally invasive technique for SNA treatment in dogs
without the use of complementary oral medication. Delayed recurrence is a
potential finding following treatment of SNA.
PMID- 29372868
TI - Short-Term Prospective Clinical Evaluation of a Polyglycolic Acid Tibial
Tuberosity Advancement Cage Implant.
AB - This study investigated the short-term radiographic healing of the osteotomy
following tibial tuberosity advancement (TTA), maintenance of patellar tendon
angle (PTA), and complications in dogs receiving a polyglycolic acid (PGA) TTA
cage. Patients diagnosed with unilateral cranial cruciate ligament disease
requiring a 9- or 12-mm TTA cage were included. Twenty-six consecutive client
owned dogs were prospectively selected for this clinical study. Age, weight, sex,
breed, cage size, surgery date, and follow-up time were recorded. Radiographs
were scored for healing and measured for PTA immediately, at 6 wk, and at 10 wk
postoperatively. All stifles were assessed for complications throughout the study
period. Stifles with PGA cages had similar overall healing scores compared to
previously reported values for metallic cages, and the PTA was well maintained at
6 and 10 wk postoperatively. Polyglycolic acid cages were associated with a
higher complication rate (10/26, 38%; 95% confidence interval, 0.19-0.61)
compared with previously reported complication rates for metallic cages. Despite
favorable healing scores and overall PTA maintenance, PGA cage use resulted in a
high complication rate. Proposed advantages offered by this particular implant
over currently used metallic cages are offset by this high complication rate.
Additional investigation of bioabsorbable materials and implant design should be
considered.
PMID- 29372869
TI - VMAT Stereotactic Body Radiation Therapy in a Multimodal Approach to a Carotid
Paraganglioma in a Dog.
AB - A 7 yr old female pit bull terrier was presented for a cervical soft tissue
swelling located in the left jugular region. No abnormalities were present at
physical examination or in the laboratory workup. Computed tomography and MRI
scans showed a well-defined, ovoid, single mass in the left dorsal cervical
region connected to the common carotid artery, histologically consistent with
carotid body paraganglioma. The mass was surgically resected. Frameless
stereotactic body radiation therapy with volumetric modulated arc therapy was set
up as adjuvant treatment. After radiation therapy, systemic chemotherapy with
carboplatin was started. During the first yr of follow-up, acute grade I
dermatitis and grade I left-side laryngeal mucositis were recognized. One yr
after radiation therapy, no signs of late radiotoxicity or tumor recurrence were
observed. Grade I thrombocytopenia concurrent with chemotherapy was observed.
This is the first reported case of a carotid body paraganglioma treated by
multimodal therapy, with surgical resection and adjuvant radiotherapy and
chemotherapy. Stereotactic body radiation therapy with volumetric modulated arc
therapy treatment after surgery provided excellent disease control and was well
tolerated with slight side effects.
PMID- 29372870
TI - Stereotactic Volume Modulated Arc Radiotherapy in Canine Meningiomas: Imaging
Based and Clinical Neurological Posttreatment Evaluation.
AB - A prospective study to assess high-dose hypofractionated volume modulated arc
radiotherapy feasibility and efficacy in canine meningiomas was conducted. Thirty
nine patients with encephalic and spinal meningiomas assumed from MRI findings
were recruited and received high-dose hypofractionated volumetric modulated arc
radiotherapy by a linear accelerator equipped with an external beam modulator
micro-multileaf collimator and an XVI cone beam computed tomography system. The
prescribed mean dose was 33 Gy in five fractions. The treatment feasibility was
tested through planned and delivered dose agreement checks. Regular clinical
examinations were performed during and after irradiation time, with regard to
mentation, deambulation, cranial nerve dysfunction, and seizures. Serial MRI
exams were done 60 days after irradiation and after 4, 6, 12, 18, and 24 mo.
Volumetric disease reduction criteria implemented with clinical neurological
systematic evaluation were adopted to assess the course and to categorize
patients' responses. Complete and partial responses were observed on the whole in
65.5% of alive patients 24 mo after irradiation. Two-yr overall and disease
specific survival rates were 74.3% and 97.4%, respectively, and the putative
radiotoxic effects were found to be few and slight.
PMID- 29372871
TI - Clinical Features of English Bulldogs with Presumed Arrhythmogenic Right
Ventricular Cardiomyopathy: 31 Cases (2001-2013).
AB - Arrhythmogenic right ventricular cardiomyopathy (ARVC) is an important cause of
sudden death in people and boxer dogs that has recently been described in English
bulldogs. The objective of this retrospective study was to describe the clinical
characteristics of English bulldogs with presumed ARVC. The medical records were
searched for English bulldogs examined between 2001 and 2013 with a clinical
diagnosis of ARVC. The average age of the 31 dogs identified was 9.2 +/- 1.6 yr
(range 7-13 yr). Males were overrepresented by a factor of 2.9 to 1. At initial
presentation, 5 dogs had subclinical arrhythmia, 10 dogs had clinical signs
attributable to arrhythmia, and 16 dogs had congestive heart failure. Eighteen
dogs (58%) had ventricular tachycardia and five (16%) also had supraventricular
arrhythmias. Four dogs experienced sudden death, 2 dogs died from congestive
heart failure, 11 dogs were euthanized for cardiac causes, and 2 dogs died or
were euthanized for noncardiac causes. Kaplan-Meier analysis showed a median
survival time of 8.3 mo. This is the first study to describe the clinical
characteristics of a population of English bulldogs with presumed ARVC. Further
studies are needed to better characterize the clinical features of the disease in
this breed.
PMID- 29372872
TI - Preventing "Flat-headed" Babies: A Commentary on "Impact of Parent Practices of
Infant Positioning on Head Orientation Profile and Development of Positional
Plagiocephaly in Healthy Term Infants".
PMID- 29372873
TI - A novel mesial temporal stereotactic coordinate system.
AB - OBJECTIVE Stereotactic laser ablation and neurostimulator placement represent an
evolution in staged surgical intervention for epilepsy. As this practice evolves,
optimal targeting will require standardized outcome measures that compare
electrode lead or laser source with postprocedural changes in seizure frequency.
The authors propose and present a novel stereotactic coordinate system based on
mesial temporal anatomical landmarks to facilitate the planning and delineation
of outcomes based on extent of ablation or region of stimulation within mesial
temporal structures. METHODS The body of the hippocampus contains a natural axis,
approximated by the interface of cornu ammonis area 4 and the dentate gyrus. The
uncal recess of the lateral ventricle acts as a landmark to characterize the
anterior-posterior extent of this axis. Several volumetric rotations are
quantified for alignment with the mesial temporal coordinate system. First, the
brain volume is rotated to align with standard anterior commissure-posterior
commissure (AC-PC) space. Then, it is rotated through the axial and sagittal
angles that the hippocampal axis makes with the AC-PC line. RESULTS Using this
coordinate system, customized MATLAB software was developed to allow for
intuitive standardization of targeting and interpretation. The angle between the
AC-PC line and the hippocampal axis was found to be approximately 20 degrees -30
degrees when viewed sagittally and approximately 5 degrees -10 degrees when
viewed axially. Implanted electrodes can then be identified from CT in this
space, and laser tip position and burn geometry can be calculated based on the
intraoperative and postoperative MRI. CONCLUSIONS With the advent of stereotactic
surgery for mesial temporal targets, a mesial temporal stereotactic system is
introduced that may facilitate operative planning, improve surgical outcomes, and
standardize outcome assessment.
PMID- 29372874
TI - Letter to the Editor. Is there any relationship between estrogen
receptor/progesterone receptor status and recurrence of meningioma?
PMID- 29372875
TI - Cushing, Penfield, and cortical stimulation.
AB - Harvey Cushing and Wilder Penfield enjoyed a unique professional and personal
relationship. Shortly before his retirement from Harvard University in 1933,
Cushing sent Penfield 8 sketches that he drew in 1902 and 1903 while he was at
Johns Hopkins Hospital. The first series of 3 sketches illustrate the
relationship between a cortical hemorrhagic lesion and the motor strip in a
patient with focal motor seizures. The second series also comprises 3 sketches.
These depict the operative findings in a patient in whom Cushing had electrically
stimulated the precentral gyrus, before resecting the cortex subserving motility
of the upper extremity to control painful dyskinetic movements. The third series
consists of 2 sketches that illustrate the results of stimulation of the motor
strip as an aid in the safe resection of an epileptogenic focus in a patient with
Jacksonian seizures. These sketches are the subjects of this paper. They add to
the relatively sparse record of Cushing's activities in cortical stimulation and
in the treatment of functional disorders.
PMID- 29372876
TI - The impact of spine disease, relative to cranial disease, on perception of health
and care experience: an analysis of 1484 patients in a tertiary center.
AB - OBJECTIVE Patient-reported outcomes have been increasingly mandated by regulators
and payers to evaluate hospital and physician performance. The purpose of this
study is to delineate the differences in patient-reported experience of hospital
care for cranial and spinal operations. METHODS The authors selected all patients
who underwent inpatient, elective cranial or spinal procedures and completed the
Hospital Consumer Assessment of Healthcare Providers and Systems (HCAHPS) survey
at a single, high-volume, tertiary care institution between October 2012 and
September 2015. The association of the surgical procedure and diagnosis with
various HCAHPS composite measures, calculated across 9 domains using standard top
box methodology, was investigated. Multivariable logistic regression models were
fitted for outcomes that were significant with procedure type and diagnosis group
on univariate analysis, adjusting for age, sex, case complexity, overall health
rating, and education level. RESULTS A total of 1484 patients met criteria and
returned an HCAHPS survey. Overall, patients undergoing a cranial procedure gave
top-box (most favorable) scores more often in pain management measure (66.3% vs
59.6%, p = 0.01) compared with those undergoing spine surgery. Furthermore,
despite better discharge scores (93.1% vs 87.1%, p < 0.001), spinal patients were
less likely to report excellent health (7.4% vs 12.7%). Lastly, patients with a
primary diagnosis of brain or spinal tumor compared with those with degenerative
spinal disease and those with other neurosurgical diagnoses provided top-box
scores more often regarding communication with doctors (82.7% vs 76.4% vs 75.2%,
p = 0.04), pain management (71.8% vs 60.9% vs 59.1%, p = 0.002), and global
rating (90.4% vs 84.0% vs 87.3%, p = 0.02). On multivariable analysis, spinal
patients had significantly lower odds of reporting top-box scores in pain
management (OR 0.67, 95% CI 0.52-0.85; p = 0.001), staff responsiveness (OR 0.68,
95% CI 0.53-0.87; p = 0.002), and global rating (OR 0.59, 95% CI 0.42-0.82; p =
0.002), and significantly higher odds of top-box scoring in discharge information
(OR 2.15, 95% CI 1.45-3.18; p < 0.001) than cranial patients. Similarly, brain
tumor cases were associated with significantly higher odds of top-box scoring in
communication with doctors (OR 1.46, 95% CI 1.01-2.12; p = 0.04), pain management
(OR 1.81, 95% CI 1.29-2.55; p < 0.001), staff responsiveness (OR 1.88, 95% CI
1.33-2.66; p < 0.001), and global rating (OR 2.00, 95% CI 1.26-3.17; p = 0.003)
compared with degenerative spine cases. CONCLUSIONS Significant differences in
patient-reported experience with hospital care exist across different cranial and
spine surgery patient populations. Overall, spinal patients, particularly those
with degenerative spine disease, rated their health and their hospital experience
lower relative to cranial patients. Identifying weaker areas of hospital
performance in target populations can stimulate quality initiatives that aim to
increase the overall hospital score.
PMID- 29372877
TI - Early nerve repair in traumatic brachial plexus injuries in adults: treatment
algorithm and first experiences.
AB - OBJECTIVE The object of this study was to assess the advantages and disadvantages
of early nerve repair within 2 weeks following adult traumatic brachial plexus
injury (ATBPI). METHODS From 2009 onwards, the authors have strived to repair as
early as possible extended C-5 to C-8 or T-1 lesions or complete loss of C-5 to C
6 or C-7 function in patients in whom there was clinical and radiological
suspicion of root avulsion. Among a group of 36 patients surgically treated in
the period between 2009 and 2011, surgical findings in those who had undergone
treatment within 2 weeks after trauma were retrospectively compared with results
in those who had undergone delayed treatment. The result of biceps muscle
reanimation was the primary outcome measure. RESULTS Five of the 36 patients were
referred within 2 weeks after trauma and were eligible for early surgery. Nerve
ruptures and/or avulsions were found in all early cases of surgery. The
advantages of early surgery are as follows: no scar formation, easy anatomical
identification, and gap length reduction. Disadvantages include less-clear
demarcation of vital nerve tissue and unfamiliarity with the interpretation of
frozen-section examination findings. All 5 early-treatment patients recovered a
biceps force rated Medical Research Council grade 4. CONCLUSIONS Preliminary
results of nerve repair within 2 weeks of ATBPI are encouraging, and the benefits
outweigh the drawbacks. The authors propose a decision algorithm to select
patients eligible for early surgery. Referral standards for patients with ATBPI
must be adapted to enable early surgery.
PMID- 29372878
TI - The transperiosteal "inside-out" occipital artery harvesting technique.
AB - OBJECTIVE The occipital artery (OA) is a frequently used donor vessel for
posterior circulation bypass procedures due to its proximity to the recipient
vessels and its optimal caliber, length, and flow rate. However, its tortuous
course through multiple layers of suboccipital muscles necessitates layer-by
layer dissection. The authors of this cadaveric study aimed to describe a
landmark-based novel anterograde approach to harvest OA in a proximal-to-distal
"inside-out" fashion, which avoids multilayer dissection. METHODS Sixteen
cadaveric specimens were prepared for surgical simulation, and the OA was
harvested using the classic (n = 2) and novel (n = 14) techniques. The specimens
were positioned three-quarters prone, with 45 degrees contralateral head
rotation. An inverted hockey-stick incision was made from the spinous process of
C-2 to the mastoid tip, and the distal part of the OA was divided to lift up a
myocutaneous flap, including the nuchal muscles. The OA was identified using the
occipital groove (OG), the digastric muscle (DM) and its groove (DG), and the
superior oblique muscle (SOM) as key landmarks. The OA was harvested
anterogradely from the OG and within the flap until the skin incision was reached
(proximal-to-distal technique). In addition, 35 dry skulls were assessed
bilaterally (n = 70) to study additional craniometric landmarks to infer the
course of the OA in the OG. RESULTS The OA was consistently found running in the
OG, which was found between the posterior belly of the DM and the SOM. The mean
total length of the mobilized OA was 12.8 +/- 1.2 cm, with a diameter of 1.3 +/-
0.1 mm at the suboccipital segment and 1.1 +/- 0.1 mm at the skin incision. On
dry skulls, the occipitomastoid suture (OMS) was found to be medial to the OG in
the majority of the cases (68.6%), making it a useful landmark to locate the OG
and thus the proximal OA. CONCLUSIONS The anterograde transperiosteal inside-out
approach for harvesting the OA is a fast and easy technique. It requires only
superficial dissection because the OA is found directly under the periosteum
throughout its course, obviating tedious layer-by-layer muscle dissection. This
approach avoids critical neurovascular structures like the vertebral artery. The
key landmarks needed to localize the OA using this technique include the OMS, OG,
DM and DG, and SOM.
PMID- 29372879
TI - Microsurgical clipping of ophthalmic artery aneurysms: surgical results and
visual outcomes with 208 aneurysms.
AB - OBJECTIVE While most paraclinoid aneurysms can be clipped with excellent results,
new postoperative visual deficits are a concern. New technology, including flow
diverters, has increased the popularity of endovascular therapy. However,
endovascular treatment of paraclinoid aneurysms is not without procedural risks,
is associated with higher rates of incomplete aneurysm occlusion and recurrence,
and may not address optic nerve compression symptoms that surgical debulking can.
The increasing endovascular management of paraclinoid aneurysms should be
justified by comparisons to surgical benchmarks. The authors, therefore,
undertook this study to define patient, visual, and aneurysm outcomes in the most
common type of paraclinoid aneurysm: ophthalmic artery (OphA) aneurysms. METHODS
Results from microsurgical clipping of 208 OphA aneurysms in 198 patients were
retrospectively reviewed. Patient demographics, aneurysm morphology (size,
calcification, etc.), clinical characteristics, and patient outcomes were
recorded and analyzed. RESULTS Despite 20% of these aneurysms being large or
giant in size, complete aneurysm occlusion was accomplished in 91% of 208 cases,
with OphA patency preserved in 99.5%. The aneurysm recurrence rate was 3.1% and
the retreatment rate was 0%. Good outcomes (modified Rankin Scale score 0-2) were
observed in 96.2% of patients overall and in all 156 patients with unruptured
aneurysms. New visual field defects (hemianopsia or quadrantanopsia) were
observed in 8 patients (3.8%), decreased visual acuity in 5 (2.4%), and monocular
blindness in 9 (4.3%). Vision improved in 9 (52.9%) of the 17 patients with
preoperative visual deficits. CONCLUSIONS The most important risk associated with
clipping OphA aneurysms is a new visual deficit. Meticulous microsurgical
technique is necessary during anterior clinoidectomy, aneurysm dissection, and
clip application to optimize visual outcomes, and aggressive medical management
postoperatively might potentially decrease the incidence of delayed visual
deficits. As the results of endovascular therapy and specifically flow diverters
become known, they warrant comparison with these surgical benchmarks to determine
best practices.
PMID- 29372880
TI - Deep brain stimulation outcomes in patients implanted under general anesthesia
with frame-based stereotaxy and intraoperative MRI.
AB - OBJECTIVE The authors' aim in this study was to evaluate placement accuracy and
clinical outcomes in patients who underwent implantation of deep brain
stimulation devices with the aid of frame-based stereotaxy and intraoperative MRI
after induction of general anesthesia. METHODS Thirty-three patients with
movement disorders (27 with Parkinson's disease) underwent implantation of
unilateral or bilateral deep brain stimulation systems (64 leads total). All
patients underwent the implantation procedure with standard frame-based
techniques under general anesthesia and without microelectrode recording. MR
images were acquired immediately after the procedure and fused to the
preoperative plan to verify accuracy. To evaluate clinical outcome, different
scales were used to assess quality of life (EQ-5D), activities of daily living
(Unified Parkinson's Disease Rating Scale [UPDRS] part II), and motor function
(UPDRS part III during off- and on-medication and off- and on-stimulation
states). Accuracy was assessed by comparing the coordinates (x, y, and z) from
the preoperative plan and coordinates from the tip of the lead on intraoperative
MRI and postoperative CT scans. RESULTS The EQ-5D score improved or remained
stable in 71% of the patients. When in the off-medication/on-stimulation state,
all patients reported significant improvement in UPDRS III score at the last
follow-up (p < 0.001), with a reduction of 25.2 points (46.3%) (SD 14.7 points
and 23.5%, respectively). There was improvement or stability in the UPDRS II
scores for 68% of the Parkinson's patients. For 2 patients, the stereotactic
error was deemed significant based on intraoperative MRI findings. In these
patients, the lead was removed and replaced after correcting for the error during
the same procedure. Postoperative lead revision was not necessary in any of the
patients. Based on findings from the last intraoperative MRI study, the mean
difference between the tip of the electrode and the planned target was 0.82 mm
(SD 0.5 mm, p = 0.006) for the x-axis, 0.67 mm (SD 0.5 mm, p < 0.001) for the y
axis, and 0.78 mm (SD 0.7 mm, p = 0.008) for the z-axis. On average, the
euclidian distance was 1.52 mm (SD 0.6 mm). In patients who underwent bilateral
implantation, accuracy was further evaluated comparing the first implanted side
and the second implanted side. There was a significant mediolateral (x-axis)
difference (p = 0.02) in lead accuracy between the first (mean 1.02 mm, SD 0.57
mm) and the second (mean 0.66 mm, SD 0.50 mm) sides. However, no significant
difference was found for the y- and z-axes (p = 0.10 and p = 0.89, respectively).
CONCLUSIONS Frame-based DBS implantation under general anesthesia with
intraoperative MRI verification of lead location is safe, accurate, precise, and
effective compared with standard implantation performed using awake
intraoperative physiology. More clinical trials are necessary to directly compare
outcomes of each technique.
PMID- 29372881
TI - Clinical outcomes of intracranial solitary fibrous tumor and hemangiopericytoma:
analysis according to the 2016 WHO classification of central nervous system
tumors.
AB - OBJECTIVE The authors conducted this retrospective study to investigate the
clinical outcomes of intracranial solitary fibrous tumor (SFT) and
hemangiopericytoma (HPC), defined according to the 2016 WHO classification of
central nervous system (CNS) tumors. METHODS Histopathologically proven
intracranial SFT and HPC cases treated in the period from June 1996 to September
2014 were retrospectively reviewed and analyzed. Two neuropathologists reviewed
pathological slides and regraded the specimens according to the 2016 WHO
classification. Factors associated with progression-free survival (PFS) and
overall survival (OS) were statistically evaluated with uni- and multivariate
analyses. RESULTS The records of 47 patients-10 with SFT, 33 with HPC, and 4 with
anaplastic HPC-were reviewed. A malignant transition from conventional SFT to WHO
grade III SFT/HPC was observed in 2 cases, and 13 HPC cases were assigned grade
III SFT/HPC. Mean and median follow-ups were 114.6 and 94.7 months, respectively
(range 7.1-366.7 months). Gross-total resection (GTR) was significantly
associated with longer PFS and OS (p = 0.012 for both), and adjuvant radiation
therapy versus no such therapy led to significantly longer PFS (p = 0.018).
Extracranial metastases to the liver, bone, lung, spine, and kidney occurred in
10 patients (21.3%). Grade III SFT/HPC was strongly correlated with the
development of extracranial metastases (p = 0.031). CONCLUSIONS The 2016 WHO
classification of CNS tumors reflected the different types of pathological
malignant progression and clinical outcomes better than prior classifications.
Gross-total resection should be the primary treatment goal in patients with
SFT/HPC, regardless of the pathological grade, and radiation can be administered
as adjuvant therapy for patients with SFT/HPC that shows an aggressive phenotype
or that is not treated with GTR.
PMID- 29372882
TI - Validation of a neurovascular comorbidities index for retrospective database
analysis.
AB - OBJECTIVE Comorbidities have a significant effect on patient outcomes. Accounting
for this effect is especially important in retrospective reviews of large
databases; overpowered studies are at risk for finding significant results
because of inaccurate patient risk stratification. The authors previously created
a neurovascular comorbidities index (NCI) for patients with an unruptured
intracranial aneurysm and found that the model's ability to predict patient
outcomes was statistically significantly improved over that of the routinely used
Charlson Comorbidity Index (CCI) and Elixhauser Comorbidity Index (ECI). In this
study, the authors aimed to validate use of the NCI over that of the CCI and ECI
for risk stratification of patients with other neurovascular diseases. METHODS
The authors queried the National (Nationwide) Inpatient Sample database for the
years 2002-2012 to compare the accuracy of the previously validated NCI with that
of the CCI and ECI with respect to predicting outcomes for patients who had an
arteriovenous malformation, a ruptured intracranial aneurysm, carotid artery
stenosis, or dural arteriovenous fistula and who underwent surgical intervention.
RESULTS For patients with an arteriovenous malformation, the NCI outperformed the
CCI and ECI in predicting poor outcome, hospital length of stay (LOS), and total
cost but was equivalent to the CCI in predicting death. For patients with a
ruptured intracranial aneurysm, the NCI outperformed the ECI and CCI in
predicting death, poor outcome, LOS, and total cost. For patients with carotid
artery stenosis, the NCI outperformed the ECI and CCI in predicting LOS, but it
was equivalent to the ECI in predicting death and total cost and inferior to the
CCI in predicting poor outcome (p < 0.002 for all). An insufficient number of
patients with dural arteriovenous fistula who underwent surgical intervention
were available for analysis (n < 10), and they therefore were excluded from
study. For 11 of 12 metrics, the NCI was the significantly more efficient model.
CONCLUSIONS The NCI outperforms the CCI and ECI by providing more appropriate and
efficient risk stratification of patients regarding death, outcome, LOS, and
cost. Given this finding, the NCI should be used for retrospective reviews of
patient outcomes instead of the CCI or ECI.
PMID- 29372883
TI - Brain repair by hematopoietic growth factors in the subacute phase of traumatic
brain injury.
AB - OBJECTIVE Traumatic brain injury (TBI) is a major cause of long-term disability
and death in young adults. The lack of pharmaceutical therapy for post-acute TBI
recovery remains a crucial medical challenge. Stem cell factor (SCF) and
granulocyte colony-stimulating factor (G-CSF), which are 2 key hematopoietic
growth factors, have shown neuroprotective and neurorestorative effects in
experimental stroke. The objective of this study was to determine the therapeutic
efficacy of combined treatment (SCF + G-CSF) in subacute TBI. METHODS Young-adult
male C57BL mice were subject to TBI in the cortex of the right hemisphere. After
TBI induction, mice were randomly divided into 2 groups: a vehicle control group
and an SCF + G-CSF treatment group. Mice without TBI served as sham operative
controls. Treatment was initiated 2 weeks after TBI induction. SCF (200 MUg/kg)
and G-CSF (50 MUg/kg) or an equal volume of vehicle solution was subcutaneously
injected daily for 7 days. A battery of neurobehavioral tests for evaluation of
memory and cognitive function (water maze and novel object recognition tests),
anxiety (elevated plus maze test), and motor function (Rota-Rod test) was
performed during the period of 2-9 weeks after treatment. Neurodegeneration and
dendritic density in both hemispheres were determined through histochemistry and
immunohistochemistry at 11 weeks posttreatment. RESULTS Water maze testing showed
that TBI-impaired spatial learning and memory was restored by SCF + G-CSF
treatment. The findings from the elevated plus maze tests revealed that SCF + G
CSF treatment recovered TBI-caused anxiety and risk-taking behavior. There were
no significant differences between the treated and nontreated TBI mice in both
the Rota-Rod test and novel object recognition test. In the brain sections, the
authors observed that widespread degenerating neurons were significantly
increased in both hemispheres in the TBI-vehicle control mice. TBI-induced
increases in neurodegeneration were significantly reduced by SCF + G-CSF
treatment in the contralateral hemisphere, making it no different from that of
the sham controls. Dendritic density in the frontal cortex of the contralateral
hemisphere was significantly reduced in the TBI-vehicle control mice, whereas SCF
+ G-CSF-treated TBI mice showed significant increases of the dendritic density in
the same brain region. SCF + G-CSF-treated TBI mice also showed a trend toward
increasing dendritic density in the contralateral hippocampus. CONCLUSIONS SCF +
G-CSF treatment in the subacute phase of TBI restored TBI-impaired spatial
learning and memory, prevented posttraumatic anxiety and risk-taking behavior,
inhibited TBI-induced neurodegeneration, and enhanced neural network remodeling.
These findings suggest the therapeutic potential of hematopoietic growth factors
for brain repair in the subacute phase of TBI.
PMID- 29372884
TI - Volumetric changes and clinical outcome for petroclival meningiomas after primary
treatment with Gamma Knife radiosurgery.
AB - OBJECTIVE Petroclival meningiomas (PCMs) can cause devastating clinical symptoms
due to mass effect on cranial nerves (CNs); thus, patients harboring these tumors
need treatment. Many neurosurgeons advocate for microsurgery because removal of
the tumor can provide relief or result in symptom disappearance. Gamma Knife
radiosurgery (GKRS) is often an alternative for surgery because it can cause
tumor shrinkage with improvement of symptoms. This study evaluates qualitative
volumetric changes of PCM after primary GKRS and its impact on clinical symptoms.
METHODS The authors performed a retrospective study of patients with PCM who
underwent primary GKRS between 2003 and 2015 at the Gamma Knife Center of the
Elisabeth-Tweesteden Hospital in Tilburg, the Netherlands. This study yields 53
patients. In this study the authors concentrate on qualitative volumetric tumor
changes, local tumor control rate, and the effect of the treatment on trigeminal
neuralgia (TN). RESULTS Local tumor control was 98% at 5 years and 93% at 7 years
(Kaplan-Meier estimates). More than 90% of the tumors showed regression in volume
during the first 5 years. The mean volumetric tumor decrease was 21.2%, 27.1%,
and 31% at 1, 3, and 6 years of follow-up, respectively. Improvement in TN was
achieved in 61%, 67%, and 70% of the cases at 1, 2, and 3 years of follow-up,
respectively. This was associated with a mean volumetric tumor decrease of 25% at
the 1-year follow-up to 32% at the 3-year follow-up. CONCLUSIONS GKRS for PCMs
yields a high tumor control rate with a low incidence of neurological deficits.
Many patients with TN due to PCM experienced improvement in TN after
radiosurgery. GKRS achieves significant volumetric tumor decrease in the first
years of follow-up and thereafter.
PMID- 29372885
TI - Immune response after a single intravenous peramivir administration in children
with influenza.
AB - BACKGROUND: Immune response after intravenous peramivir administration, which is
approved for children with influenza infection in Japan, is unclear. METHODS:
Kinetics of viral load and serum cytokine levels before and after peramivir
therapy were analysed in 17 and 8 hospitalized children infected with influenza A
and B, respectively. Additionally, haemagglutination inhibition (HI) titre was
measured. The first day of hospital admission was defined as day 0. RESULTS:
Serum interleukin (IL)-6 levels in influenza-A-infected children significantly
decreased after peramivir administration, unlike in those with influenza B where
a decrease on day 1 was followed by an increase on day 2. Serum IL-6 kinetics
were similar to viral load kinetics in both influenza-A- and B-infected children
between days 0 and 2. Serum IL-8 levels gradually decreased after peramivir
therapy in influenza-A-infected children but increased between days 1 and 2 in
influenza-B-infected children. Conversely, serum IL-10 levels gradually decreased
over time. Serum interferon-gamma and granulocyte macrophage colony-stimulating
factor levels remained low until day 5. Day 0-4 serum HI titres were <4-fold in
all children infected with influenza A or B. Additionally, day 5 HI titres were
positive in 4 of 6 influenza A cases and all 3 influenza B cases. CONCLUSIONS:
Our results suggest that viral load and inflammatory cytokine kinetics were
associated with the antiviral therapy used and that second peramivir
administration should be considered in influenza B. The results also highlight
antiviral agents as key determinants of the clinical course of influenza virus
infection in children.
PMID- 29372886
TI - The outcome of HIV-positive late presenters according to detectable CMV DNA and
anti-CMV treatment.
AB - BACKGROUND: HIV late presenters are at high risk of cytomegalovirus (CMV)
reactivation and end-organ disease. CMV viraemia has been associated with poor
survival but the effect of anti-CMV treatment has not been studied in this
setting. METHODS: HIV-positive patients were included in a retrospective study if
presenting with <350 CD4+ T-cells/MUl and starting an antiretroviral treatment
within 3 months of the diagnosis. Primary end point was 5-year survival according
to the presence of CMV viraemia, CMV end-organ disease and anti-CMV treatment.
RESULTS: 302 patients were included. 157 patients (52%) presented CMV viraemia
(CMV-V) and 44 (14.6%) CMV end-organ disease (CMV-EOD). 5-year mortality was
higher in CMV-EOD and CMV-V patients than in CMV-negative patients (11.4 versus
9.6 versus 0%; P=0.002). In patients with CMV-V, 5-year mortality was numerically
higher in untreated patients (12.9% versus 6.9%; P=0.257) without reaching
statistical significance. At univariate analysis the diagnosis of serious
opportunistic infections (cryptococcosis, progressive multifocal
leukoencephalopathy, lymphoma; P=0.001) and the absence of a negative CMV DNA in
the follow-up (P<0.001) were associated with poor outcome. At multivariate
analysis HCV coinfection (P=0.016; aOR 6.98, 95% CI 1.50, 32.59), the absence of
a negative CMV DNA in the follow-up (P<0.001; aOR 19.40, 95% CI 3.70, 101.64) and
marginally the absence of anti-CMV treatment (P=0.052; aOR 4.944, 95% CI 0.99,
24.73) were independent predictors of poor outcome. CONCLUSIONS: CMV reactivation
in HIV-positive patients with poor immunity is associated with worse prognosis:
the pre-emptive use of anti-CMV therapy was associated with a better outcome in
patients with CMV-V.
PMID- 29372887
TI - NRAM: a disruptive carbon-nanotube resistance-change memory.
AB - Advanced memory technology based on carbon nanotubes (CNTs) (NRAM) possesses
desired properties for implementation in a host of integrated systems due to
demonstrated advantages of its operation including high speed (nanotubes can
switch state in picoseconds), high endurance (over a trillion), and low power
(with essential zero standby power). The applicable integrated systems for NRAM
have markets that will see compound annual growth rates (CAGR) of over 62%
between 2018 and 2023, with an embedded systems CAGR of 115% in 2018-2023
(http://bccresearch.com/pressroom/smc/bcc-research-predicts:-nram-(finally)-to
revolutionize-computer-memory). These opportunities are helping drive the
realization of a shift from silicon-based to carbon-based (NRAM) memories. NRAM
is a memory cell made up of an interlocking matrix of CNTs, either touching or
slightly separated, leading to low or higher resistance states respectively. The
small movement of atoms, as opposed to moving electrons for traditional silicon
based memories, renders NRAM with a more robust endurance and high temperature
retention/operation which, along with high speed/low power, is expected to
blossom in this memory technology to be a disruptive replacement for the current
status quo of DRAM (dynamic RAM), SRAM (static RAM), and NAND flash memories.
PMID- 29372888
TI - Effects of flexibility and aspect ratio on the aerodynamic performance of
flapping wings.
AB - In the current study, we experimentally investigated the flexibility effects on
the aerodynamic performance of flapping wings and the correlation with aspect
ratio at angle of attack alpha = 45 degrees . The Reynolds number based on the
chord length and the wing tip velocity is maintained at Re = 5.3 * 103. Our
result for compliant wings with an aspect ratio of 4 shows that wing flexibility
can offer improved aerodynamic performance compared to that of a rigid wing.
Flexible wings are found to offer higher lift-to-drag ratios; in particular,
there is significant reduction in drag with little compromise in lift. The
mechanism of the flexibility effects on the aerodynamic performance is addressed
by quantifying the aerodynamic lift and drag forces, the transverse displacement
on the wings and the flow field around the wings. The regime of the effective
stiffness that offers improved aerodynamic performance is quantified in a range
of about 0.5-10 and it matches the stiffness of insect wings with similar aspect
ratios. Furthermore, we find that the aspect ratio of the wing is the predominant
parameter determining the flexibility effects of compliant wings. Compliant wings
with an aspect ratio of two do not demonstrate improved performance compared to
their rigid counterparts throughout the entire stiffness regime investigated. The
correlation between wing flexibility effects and the aspect ratio is supported by
the stiffness of real insect wings.
PMID- 29372889
TI - Hydrogenated TiO2 nanotube photonic crystals for enhanced photoelectrochemical
water splitting.
AB - We report the design, fabrication and characterization of novel TiO2 nanotube
photonic crystals with a crystalline core/disordered shell structure as well as
substantial oxygen vacancies for photoelectrochemical (PEC) water splitting. The
novel TiO2 nanotube photonic crystals are fabricated by annealing of anodized
TiO2 nanotube photonic crystals in hydrogen atmosphere at various temperatures.
The optimized novel TiO2 nanotube photonic crystals produce a maximal
photocurrent density of 2.2 mA cm-2 at 0.22 V versus Ag/AgCl, which is two times
higher that of the TiO2 nanotube photonic crystals annealed in air. Such
significant PEC performance improvement can be ascribed to synergistic effects of
the disordered surface layer and oxygen vacancies. The reduced band gap owing to
the disordered surface layer and localized states induced by oxygen vacancies can
enhance the efficient utilization of visible light. In addition, the disordered
surface layer and substantial oxygen vacancies can promote the efficiency for
separation and transport of the photogenerated carriers. This work may open up
new opportunities for the design and construction of the high efficient and low
cost PEC water splitting system.
PMID- 29372890
TI - Reactive molecular dynamics simulations of the mechanical properties of various
phosphorene allotropes.
AB - Although various phosphorene allotropes have been theoretically predicted to be
stable at 0 K, the mechanical properties and fracture mechanism at room
temperature remain unclear for many of them. We investigate through reactive
molecular dynamics simulations at room temperature the mechanical properties of
phosphorene allotropes including: five sheets with hexagonal structures (beta-,
gamma-, delta-, theta-, and alpha-phosphorene), one sheet with 4-8 membered rings
(4-8-P), and two sheets with 5-7 membered rings. High, moderate and slight
anisotropies in their mechanical properties are observed, depending on their
crystal structures. Their Young's moduli and tensile strength are approximately
in the range from 7.3% through 25%, and from 8.6% through 22% of those of
graphene, respectively. At the early stage of fracture, eye-shaped cracks are
formed by local bond breaking and perpendicular to the tensile direction in
hexagonal and 4-8-P sheets. Complete fractures take place with straight cracks in
these hexagonal sheets under tension along the zigzag direction and under tension
along the square edge direction in the 4-8-P sheet. Crack meandering and
branching are observed during the tension of alpha-, beta-, and gamma-phosphorene
along the armchair direction; and along the square diagonal direction in the 4-8
P sheet. Under uniaxial tension of two phosphorene sheets with 5-7 atom rings, 12
and 10 membered rings are formed by merging two neighbor heptagons, and a
heptagon and its neighbor pentagon, respectively. These 12 and 10 membered rings
coalesce subsequently, causing the failure of these two sheets. The results are
of great importance in the design of these novel phosphorene allotropes.
PMID- 29372891
TI - Lithium effects on the mechanical and electronic properties of germanium
nanowires.
AB - Semiconductor nanowire arrays promise rapid development of a new generation of
lithium (Li) batteries because they can store more Li atoms than conventional
crystals due to their large surface areas. During the charge-discharge process,
the electrodes experience internal stresses that fatigue the material and limit
the useful life of the battery. The theoretical study of electronic and
mechanical properties of lithiated nanowire arrays allows the designing of
electrode materials that could improve battery performance. In this work, we
present a density functional theory study of the electronic band structure,
formation energy, binding energy, and Young's modulus (Y) of hydrogen passivated
germanium nanowires (H-GeNWs) grown along the [111] and [001] crystallographic
directions with surface and interstitial Li atoms. The results show that the
germanium nanowires (GeNWs) with surface Li atoms maintain their semiconducting
behavior but their energy gap size decreases when the Li concentration grows. In
contrast, the GeNWs can have semiconductor or metallic behavior depending on the
concentration of the interstitial Li atoms. On the other hand, Y is an indicator
of the structural changes that GeNWs suffer due to the concentration of Li atoms.
For surface Li atoms, Y stays almost constant, whereas for interstitial Li atoms,
the Y values indicate important structural changes in the GeNWs.
PMID- 29372892
TI - Titanium contacts to graphene: process-induced variability in electronic and
thermal transport.
AB - Contact resistance (R C) is a major limiting factor in the performance of
graphene devices. R C is sensitive to the quality of the interface and the
composition of the contact, which are affected by the graphene transfer process
and contact deposition conditions. In this work, a linear correlation is observed
between the composition of Ti contacts, characterized by x-ray photoelectron
spectroscopy, and the Ti/graphene contact resistance measured by the transfer
length method. We find that contact composition is tunable via deposition rate
and base pressure. Reactor base pressure is found to effect the resultant contact
resistance. The effect of contact deposition conditions on thermal transport
measured by time-domain thermoreflectance is also reported. Interfaces with
higher oxide composition appear to result in a lower thermal boundary
conductance. Possible origins of this thermal boundary conductance change with
oxide composition are discussed.
PMID- 29372893
TI - High-performance Cu nanoparticles/three-dimensional graphene/Ni foam hybrid for
catalytic and sensing applications.
AB - A novel hybrid of Cu nanoparticles/three-dimensional graphene/Ni foam (Cu
NPs/3DGr/NiF) was prepared by chemical vapor deposition, followed by a galvanic
displacement reaction in Ni- and Cu-ion-containing salt solution through a one
step reaction. The as-prepared Cu NPs/3DGr/NiF hybrid is uniform, stable,
recyclable and exhibits an extraordinarily high catalytic efficiency for the
reduction of 4-nitrophenol (4-NP) to 4-aminophenol (4-AP) with a reduction rate
constant K = 0.056 15 s-1, required time ~30 s and excellent sensing properties
for the non-enzymatic amperometric hydrogen peroxide (H2O2) with a linear range
~50 MUM-9.65 mM, response time ~3 s, detection limit ~1 MUM. The results indicate
that the as-prepared Cu NPs/3DGr/NiF hybrid can be used to replace expensive
noble metals in catalysis and sensing applications.
PMID- 29372895
TI - The importance of the helical structure of a MamC-derived magnetite-interacting
peptide for its function in magnetite formation.
AB - Biomineralization is the process of mineral formation by organisms and involves
the uptake of ions from the environment in order to produce minerals, with the
process generally being mediated by proteins. Most proteins that are involved in
mineral interactions are predicted to contain disordered regions containing large
numbers of negatively charged amino acids. Magnetotactic bacteria, which are used
as a model system for iron biomineralization, are Gram-negative bacteria that can
navigate through geomagnetic fields using a specific organelle, the magnetosome.
Each organelle comprises a membrane-enveloped magnetic nanoparticle, magnetite,
the formation of which is controlled by a specific set of proteins. One of the
most abundant of these proteins is MamC, a small magnetosome-associated integral
membrane protein that contains two transmembrane alpha-helices connected by an
~21-amino-acid peptide. In vitro studies of this MamC peptide showed that it
forms a helical structure that can interact with the magnetite surface and affect
the size and shape of the growing crystal. Our results show that a disordered
structure of the MamC magnetite-interacting component (MamC-MIC) abolishes its
interaction with magnetite particles. Moreover, the size and shape of magnetite
crystals grown in in vitro magnetite-precipitation experiments in the presence of
this disordered peptide were different from the traits of crystals grown in the
presence of other peptides or in the presence of the helical MIC. It is suggested
that the helical structure of the MamC-MIC is important for its function during
magnetite formation.
PMID- 29372894
TI - Structure of Rubisco from Arabidopsis thaliana in complex with 2
carboxyarabinitol-1,5-bisphosphate.
AB - The crystal structure of ribulose-1,5-bisphosphate carboxylase/oxygenase
(Rubisco) from Arabidopsis thaliana is reported at 1.5 A resolution. In light of
the importance of A. thaliana as a model organism for understanding higher plant
biology, and the pivotal role of Rubisco in photosynthetic carbon assimilation,
there has been a notable absence of an A. thaliana Rubisco crystal structure. A.
thaliana Rubisco is an L8S8 hexadecamer comprising eight plastome-encoded
catalytic large (L) subunits and eight nuclear-encoded small (S) subunits. A.
thaliana produces four distinct small-subunit isoforms (RbcS1A, RbcS1B, RbcS2B
and RbcS3B), and this crystal structure provides a snapshot of A. thaliana
Rubisco containing the low-abundance RbcS3B small-subunit isoform. Crystals were
obtained in the presence of the transition-state analogue 2-carboxy-D-arabinitol
1,5-bisphosphate. A. thaliana Rubisco shares the overall fold characteristic of
higher plant Rubiscos, but exhibits an interesting disparity between sequence and
structural relatedness to other Rubisco isoforms. These results provide the
structural framework to understand A. thaliana Rubisco and the potential
catalytic differences that could be conferred by alternative A. thaliana Rubisco
small-subunit isoforms.
PMID- 29372896
TI - Crystal structure of the outer membrane protein OmpU from Vibrio cholerae at 2.2
A resolution.
AB - Vibrio cholerae causes a severe disease that kills thousands of people annually.
The outer membrane protein OmpU is the most abundant outer membrane protein in V.
cholerae, and has been identified as an important virulence factor that is
involved in host-cell interaction and recognition, as well as being critical for
the survival of the pathogenic V. cholerae in the host body and in harsh
environments. The mechanism of these processes is not well understood owing to a
lack of the structure of V. cholerae OmpU. Here, the crystal structure of the V.
cholerae OmpU trimer is reported to a resolution of 2.2 A. The protomer forms a
16-beta-stranded barrel with a noncanonical N-terminal coil located in the lumen
of the barrel that consists of residues Gly32-Ser42 and is observed to
participate in forming the second gate in the pore. By mapping the published
functional data onto the OmpU structure, the OmpU structure reinforces the notion
that the long extracellular loop L4 with a beta-hairpin-like motif may be
critical for host-cell binding and invasion, while L3, L4 and L8 are crucially
implicated in phage recognition by V. cholerae.
PMID- 29372897
TI - The deduced role of a chitinase containing two nonsynergistic catalytic domains.
AB - The glycoside hydrolase family 18 chitinases degrade or alter chitin. Multiple
catalytic domains in a glycoside hydrolase family 18 chitinase function
synergistically during chitin degradation. Here, an insect group III chitinase
from the agricultural pest Ostrinia furnacalis (OfChtIII) is revealed to be an
arthropod-conserved chitinase that contains two nonsynergistic GH18 domains
according to its catalytic properties. Both GH18 domains are active towards
single-chained chitin substrates, but are inactive towards insoluble chitin
substrates. The crystal structures of each unbound GH18 domain, as well as of
GH18 domains complexed with hexa-N-acetyl-chitohexaose or penta-N-acetyl
chitopentaose, suggest that the two GH18 domains possess endo-specific
activities. Physiological data indicated that the developmental stage-dependent
gene-expression pattern of OfChtIII was the same as that of the chitin synthase
OfChsA but significantly different from that of the chitinase OfChtI, which is
indispensable for cuticular chitin degradation. Additionally, immunological
staining indicated that OfChtIII was co-localized with OfChsA. Thus, OfChtIII is
most likely to be involved in the chitin-synthesis pathway.
PMID- 29372898
TI - The folate-binding module of Thermus thermophilus cobalamin-dependent methionine
synthase displays a distinct variation of the classical TIM barrel: a TIM barrel
with a 'twist'.
AB - Methyl transfer between methyltetrahydrofolate and corrinoid molecules is a key
reaction in biology that is catalyzed by a number of enzymes in many prokaryotic
and eukaryotic organisms. One classic example of such an enzyme is cobalamin
dependent methionine synthase (MS). MS is a large modular protein that utilizes
an SN2-type mechanism to catalyze the chemically challenging methyl transfer from
the tertiary amine (N5) of methyltetrahydrofolate to homocysteine in order to
form methionine. Despite over half a century of study, many questions remain
about how folate-dependent methyltransferases, and MS in particular, function.
Here, the structure of the folate-binding (Fol) domain of MS from Thermus
thermophilus is reported in the presence and absence of methyltetrahydrofolate.
It is found that the methyltetrahydrofolate-binding environment is similar to
those of previously described methyltransferases, highlighting the conserved role
of this domain in binding, and perhaps activating, the methyltetrahydrofolate
substrate. These structural studies further reveal a new distinct and
uncharacterized topology in the C-terminal region of MS Fol domains. Furthermore,
it is found that in contrast to the canonical TIM-barrel beta8alpha8 fold found
in all other folate-binding domains, MS Fol domains exhibit a unique beta8alpha7
fold. It is posited that these structural differences are important for MS
function.
PMID- 29372900
TI - PRISM-EM: template interface-based modelling of multi-protein complexes guided by
cryo-electron microscopy density maps. Corrigendum.
AB - A revised Table 6 and Supporting Information are provided for the article by Kuzu
et al. [(2016), Acta Cryst. D72, 1137-1148].
PMID- 29372899
TI - A DNA structural alphabet provides new insight into DNA flexibility.
AB - DNA is a structurally plastic molecule, and its biological function is enabled by
adaptation to its binding partners. To identify the DNA structural polymorphisms
that are possible in such adaptations, the dinucleotide structures of 60 000 DNA
steps from sequentially nonredundant crystal structures were classified and an
automated protocol assigning 44 distinct structural (conformational) classes
called NtC (for Nucleotide Conformers) was developed. To further facilitate
understanding of the DNA structure, the NtC were assembled into the DNA
structural alphabet CANA (Conformational Alphabet of Nucleic Acids) and the
projection of CANA onto the graphical representation of the molecular structure
was proposed. The NtC classification was used to define a validation score called
confal, which quantifies the conformity between an analyzed structure and the
geometries of NtC. NtC and CANA assignment were applied to analyze the structural
properties of typical DNA structures such as Dickerson-Drew dodecamers, guanine
quadruplexes and structural models based on fibre diffraction. NtC, CANA and
confal assignment, which is accessible at the website https://dnatco.org, allows
the quantitative assessment and validation of DNA structures and their subsequent
analysis by means of pseudo-sequence alignment. An animated Interactive 3D
Complement (I3DC) is available in Proteopedia at
http://proteopedia.org/w/Journal:Acta_Cryst_D:2.
PMID- 29372901
TI - The novel thermostable cellulose-degrading enzyme DtCel5H from Dictyoglomus
thermophilum: crystallization and X-ray crystallographic analysis.
AB - Cellulose-based products constitute the great majority of municipal waste, and
applications of cellulases in the conversion of waste biomass to biofuels will be
a key technology in future biorefineries. Currently, multi-enzymatic pre
treatment of biomass is a crucial step in making carbohydrates more accessible
for subsequent fermentation. Using bioinformatics analysis, endo-beta-(1,4)
glucanase from Dictyoglomus thermophilum (DtCel5H) was identified as a new member
of glycosyl hydrolase family 5. The gene encoding DtCel5H was cloned and the
recombinant protein was overexpressed for crystallization and biophysical
studies. Here, it is shown that this enzyme is active on cellulose substrates and
is highly thermostable. Crystals suitable for crystallographic investigations
were also obtained in different crystallization conditions. In particular,
ordered crystals of DtCel5H were obtained using either ammonium sulfate or
polyethylene glycol (PEG) as a precipitant agent. The crystals obtained in the
presence of ammonium sulfate belonged to space group P32, with unit-cell
parameters a = 73.1, b = 73.1, 73.1, c = 127.8 A, and diffracted to 1.5 A
resolution, whereas the second crystal form belonged to the orthorhombic space
group P212121, with unit-cell parameters a = 49.3, b = 67.9, c = 103.7 A, and
diffracted to 1.6 A resolution. The crystal structure was solved in both space
groups using molecular-replacement methods. Structure-activity and structure
stability studies of DtCel5H will provide insights for the design of high
performance enzymes.
PMID- 29372902
TI - Crystal structure of cytoplasmic acetoacetyl-CoA thiolase from Saccharomyces
cerevisiae.
AB - Thiolases are vital enzymes which participate in both degradative and
biosynthetic pathways. Biosynthetic thiolases catalyze carbon-carbon bond
formation by a Claisen condensation reaction. The cytoplasmic acetoacetyl-CoA
thiolase from Saccharomyces cerevisiae, ERG10, catalyses carbon-carbon bond
formation in the mevalonate pathway. The structure of a S. cerevisiae
biosynthetic thiolase has not previously been reported. Here, crystal structures
of apo ERG10 and its Cys91Ala variant were solved at resolutions of 2.2 and 1.95
A, respectively. The structure determined shows that ERG10 shares the
characteristic thiolase superfamily fold, with a similar active-site architecture
to those of type II thiolases and a similar binding pocket, apart from Ala159 at
the entrance to the pantetheine-binding cavity, which appears to be a determinant
of the poor binding ability of the substrate. Moreover, comparative binding
pocket analysis of molecule B in the asymmetric unit of the apo structure with
that of the CoA-bound complex of human mitochondrial acetoacetyl-CoA thiolase
indicates the canonical binding mode of CoA. Furthermore, the steric hindrance
revealed in a structural comparison of molecule A with the CoA-bound form raise
the possibility of conformational changes that are associated with substrate
binding.
PMID- 29372903
TI - Structure of aspartate beta-semialdehyde dehydrogenase from Francisella
tularensis.
AB - Aspartate beta-semialdehyde dehydrogenase (ASADH) is an enzyme involved in the
diaminopimelate pathway of lysine biosynthesis. It is essential for the viability
of many pathogenic bacteria and therefore has been the subject of considerable
research for the generation of novel antibiotic compounds. This manuscript
describes the first structure of ASADH from Francisella tularensis, the causative
agent of tularemia and a potential bioterrorism agent. The structure was
determined at 2.45 A resolution and has a similar biological assembly to other
bacterial homologs. ASADH is known to be dimeric in bacteria and have extensive
interchain contacts, which are thought to create a half-sites reactivity enzyme.
ASADH from higher organisms shows a tetrameric oligomerization, which also has
implications for both reactivity and regulation. This work analyzes the apo form
of F. tularensis ASADH, as well as the binding of the enzyme to its cofactor
NADP+.
PMID- 29372904
TI - Structure and stability of the Human respiratory syncytial virus M2-1 RNA-binding
core domain reveals a compact and cooperative folding unit.
AB - Human syncytial respiratory virus is a nonsegmented negative-strand RNA virus
with serious implications for respiratory disease in infants, and has recently
been reclassified into a new family, Pneumoviridae. One of the main reasons for
this classification is the unique presence of a transcriptional antiterminator,
called M2-1. The puzzling mechanism of action of M2-1, which is a rarity among
antiterminators in viruses and is part of the RNA polymerase complex, relies on
dissecting the structure and function of this multidomain tetramer. The RNA
binding activity is located in a monomeric globular 'core' domain, a high
resolution crystal structure of which is now presented. The structure reveals a
compact domain which is superimposable on the full-length M2-1 tetramer, with
additional electron density for the C-terminal tail that was not observed in the
previous models. Moreover, its folding stability was determined through chemical
denaturation, which shows that the secondary and tertiary structure unfold
concomitantly, which is indicative of a two-state equilibrium. These results
constitute a further step in the understanding of this unique RNA-binding domain,
for which there is no sequence or structural counterpart outside this virus
family, in addition to its implications in transcription regulation and its
likeliness as an antiviral target.
PMID- 29372905
TI - Production, biophysical characterization and initial crystallization studies of
the N- and C-terminal domains of DsbD, an essential enzyme in Neisseria
meningitidis.
AB - The membrane protein DsbD is a reductase that acts as an electron hub,
translocating reducing equivalents from cytoplasmic thioredoxin to a number of
periplasmic substrates involved in oxidative protein folding, cytochrome c
maturation and oxidative stress defence. DsbD is a multi-domain protein
consisting of a transmembrane domain (t-DsbD) flanked by two periplasmic domains
(n-DsbD and c-DsbD). Previous studies have shown that DsbD is required for the
survival of the obligate human pathogen Neisseria meningitidis. To help
understand the structural and functional aspects of N. meningitidis DsbD, the two
periplasmic domains which are required for electron transfer are being studied.
Here, the expression, purification and biophysical properties of n-NmDsbD and c
NmDsbD are described. The crystallization and crystallographic analysis of n
NmDsbD and c-NmDsbD are also described in both redox states, which differ only in
the presence or absence of a disulfide bond but which crystallized in completely
different conditions. Crystals of n-NmDsbDOx, n-NmDsbDRed, c-NmDsbDOx and c
NmDsbDRed diffracted to 2.3, 1.6, 2.3 and 1.7 A resolution and belonged to space
groups P213, P321, P41 and P1211, respectively.
PMID- 29372906
TI - Structural characterization of a novel monotreme-specific protein with
antimicrobial activity from the milk of the platypus.
AB - Monotreme lactation protein (MLP) is a recently identified protein with
antimicrobial activity. It is present in the milk of monotremes and is unique to
this lineage. To characterize MLP and to gain insight into the potential role of
this protein in the evolution of lactation, the crystal structure of duck-billed
platypus (Ornithorhynchus anatinus) MLP was determined at 1.82 A resolution. This
is the first structure to be reported for this novel, mammalian antibacterial
protein. MLP was expressed as a FLAG epitope-tagged protein in mammalian cells
and crystallized readily, with at least three space groups being observed (P1, C2
and P21). A 1.82 A resolution native data set was collected from a crystal in
space group P1, with unit-cell parameters a = 51.2, b = 59.7, c = 63.1 A, alpha =
80.15, beta = 82.98, gamma = 89.27 degrees . The structure was solved by SAD
phasing using a protein crystal derivatized with mercury in space group C2, with
unit-cell parameters a = 92.7, b = 73.2, c = 56.5 A, beta = 90.28 degrees . MLP
comprises a monomer of 12 helices and two short beta-strands, with much of the N
terminus composed of loop regions. The crystal structure of MLP reveals no three
dimensional similarity to any known structures and reveals a heretofore unseen
fold, supporting the idea that monotremes may be a rich source for the
identification of novel proteins. It is hypothesized that MLP in monotreme milk
has evolved to specifically support the unusual lactation strategy of this
lineage and may have played a central role in the evolution of these mammals.
PMID- 29372907
TI - Solution NMR structures of oxidized and reduced Ehrlichia chaffeensis
thioredoxin: NMR-invisible structure owing to backbone dynamics.
AB - Thioredoxins are small ubiquitous proteins that participate in a diverse variety
of redox reactions via the reversible oxidation of two cysteine thiol groups in a
structurally conserved active site. Here, the NMR solution structures of a
reduced and oxidized thioredoxin from Ehrlichia chaffeensis (Ec-Trx, ECH_0218),
the etiological agent responsible for human monocytic ehrlichiosis, are
described. The overall topology of the calculated structures is similar in both
redox states and is similar to those of other thioredoxins: a five-stranded,
mixed beta-sheet (beta1-beta3-beta2-beta4-beta5) surrounded by four alpha
helices. Unlike other thioredoxins studied by NMR in both redox states, the 1H
15N HSQC spectrum of reduced Ec-Trx was missing eight additional amide cross
peaks relative to the spectrum of oxidized Ec-Trx. These missing amides
correspond to residues Cys35-Glu39 in the active-site-containing helix (alpha2)
and Ser72-Ile75 in a loop near the active site, and suggest a change in backbone
dynamics on the millisecond-to-microsecond timescale associated with the breakage
of an intramolecular Cys32-Cys35 disulfide bond in a thioredoxin. A consequence
of the missing amide resonances is the absence of observable or unambiguous NOEs
to provide the distance restraints necessary to define the N-terminal end of the
alpha-helix containing the CPGC active site in the reduced state. This region
adopts a well defined alpha-helical structure in other reported reduced
thioredoxin structures, is mostly helical in oxidized Ec-Trx and CD studies of Ec
Trx in both redox states suggests there is no significant difference in the
secondary structure of the protein. The NMR solution structure of reduced Ec-Trx
illustrates that the absence of canonical structure in a region of a protein may
be owing to unfavorable dynamics prohibiting NOE observations or unambiguous NOE
assignments.
PMID- 29372908
TI - Crystal structures of the archaeal RNase P protein Rpp38 in complex with RNA
fragments containing a K-turn motif.
AB - A characteristic feature of archaeal ribonuclease P (RNase P) RNAs is that they
have extended helices P12.1 and P12.2 containing kink-turn (K-turn) motifs to
which the archaeal RNase P protein Rpp38, a homologue of the human RNase P
protein Rpp38, specifically binds. PhoRpp38 from the hyperthermophilic archaeon
Pyrococcus horikoshii is involved in the elevation of the optimum temperature of
the reconstituted RNase P by binding the K-turns in P12.1 and P12.2. Previously,
the crystal structure of PhoRpp38 in complex with the K-turn in P12.2 was
determined at 3.4 A resolution. In this study, the crystal structure of PhoRpp38
in complex with the K-turn in P12.2 was improved to 2.1 A resolution and the
structure of PhoRpp38 in complex with the K-turn in P12.1 was also determined at
a resolution of 3.1 A. Both structures revealed that Lys35, Asn38 and Glu39 in
PhoRpp38 interact with characteristic G.A and A.G pairs in the K-turn, while
Thr37, Asp59, Lys84, Glu94, Ala96 and Ala98 in PhoRpp38 interact with the three
nucleotide bulge in the K-turn. Moreover, an extended stem-loop containing P10
P12.2 in complex with PhoRpp38, as well as PhoRpp21 and PhoRpp29, which are the
archaeal homologues of the human proteins Rpp21 and Rpp29, respectively, was
affinity-purified and crystallized. The crystals thus grown diffracted to a
resolution of 6.35 A. Structure determination of the crystals will demonstrate
the previously proposed secondary structure of stem-loops including helices P12.1
and P12.2 and will also provide insight into the structural organization of the
specificity domain in P. horikoshii RNase P RNA.
PMID- 29372911
TI - Prognostic Impact of Pretreatment Fluorodeoxyglucose Positron Emission
Tomography/Computed Tomography SUVmax in Patients With Locally Advanced Cervical
Cancer.
AB - OBJECTIVE: The aim of this study was to investigate the impact of SUVmax
fluorodeoxyglucose positron emission tomography/computed tomography (FDG-PET/CT)
measured in the primary tumor, pelvic and para-aortic node with disease-free
survival (DFS) and overall survival (OS) in patients with locally advanced
cervical cancer. METHODS AND MATERIALS: A total of 92 patients with histological
diagnosis of locally advanced cervical cancer are treated with radiochemotherapy
plus brachytherapy boost from January 2008 to April 2014 in our Institution. A
pretreatment FDG-PET/CT for staging and radiotherapy planning was performed, and
the value of SUVmax measured in primary tumor and positive nodes was related to
DFS and OS. RESULTS: Univariate analysis showed that DFS is related to FDG-PET/CT
positive para-aortic nodes (P = 0.01), International Federation of Gynecology and
Obstetrics (FIGO) stage of disease (P = 0.01), and primary tumor SUVmax (P =
0.02), and OS is related to positive para-aortic nodes (P = 0.01) and primary
tumor SUVmax (P = 0.02).In multivariate analysis, DFS is modified by FDG-PET/CT
positive para-aortic lymph nodes, stage and high T SUVmax (P = 0.02; P = 0.003; P
= 0.04), but the only worse prognostic factor of OS is the high SUVmax in the
primary tumor (P = 0.01). CONCLUSIONS: We found that T SUVmax, stage, and para
aortic lymph node status assessed by FDG-PET were independent prognostic factors
of DFS, whereas only T SUVmax correlated with OS.
PMID- 29372909
TI - Structure of the mouse acidic amino acid decarboxylase GADL1.
AB - Pyridoxal 5'-phosphate (PLP) is a ubiquitous cofactor in various enzyme classes,
including PLP-dependent decarboxylases. A recently discovered member of this
class is glutamic acid decarboxylase-like protein 1 (GADL1), which lacks the
activity to decarboxylate glutamate to gamma-aminobutyrate, despite its homology
to glutamic acid decarboxylase. Among the acidic amino acid decarboxylases, GADL1
is most similar to cysteine sulfinic acid decarboxylase (CSAD), but the
physiological function of GADL1 is unclear, although its expression pattern and
activity suggest a role in neurotransmitter and neuroprotectant metabolism. The
crystal structure of mouse GADL1 is described, together with a solution model
based on small-angle X-ray scattering data. While the overall fold and the
conformation of the bound PLP are similar to those in other PLP-dependent
decarboxylases, GADL1 adopts a more loose conformation in solution, which might
have functional relevance in ligand binding and catalysis. The structural data
raise new questions about the compactness, flexibility and conformational
dynamics of PLP-dependent decarboxylases, including GADL1.
PMID- 29372913
TI - Eu(iii) and Cm(iii) tetracarbonates - in the quest for the limiting species in
solution.
AB - The structural and spectroscopic properties of the compounds
[C(NH2)3]5[Gd:M(CO3)4(H2O)].0.75H2O (1) and [C(NH2)3]5[Y:M(CO3)4].2H2O (2) (M =
Eu, Cm) were determined. The crystals contain differently hydrated tetracarbonate
complexes, [M(CO3)4(H2O)]5- and [M(CO3)4]5-, which were used as structural and
spectroscopic models of Eu(iii) and Cm(iii) tetracarbonate species in aqueous
solutions. The luminescence spectra of the crystals were used to establish the
stoichiometry and stability of the limiting species of the aqueous Eu(iii) and
Cm(iii) carbonate systems at different temperatures and in a broad range of ionic
strengths. By implementing this method together with the Pitzer approach used for
the description of highly concentrated systems, it was possible to determine the
thermodynamic functions of the reaction [Eu(CO3)3]3- + CO32- ? [Eu(CO3)4]5- under
standard conditions for the first time (DeltaH degrees = 31.4 +/- 2 kJ mol-1 and
DeltaS degrees = 82 +/- 10 J mol-1 K-1). The proposed model for Eu(iii)
carbonates is consistent with the data recorded for the Cm(iii)-carbonate
systems. The presented results are important not only from the point of view of
environmental issues, but also for the coordination chemistry of f-elements in
general.
PMID- 29372912
TI - [Assessment of worklife areas and stress intensity among Border Guard officers].
AB - BACKGROUND: Stress is associated with the performance of high-risk occupations.
It can be defined as a set of reactions that results from mismatched working
conditions and requirements to capabilities of an employee. People who
differently assess these areas of work may experience varying degrees of stress.
MATERIAL AND METHODS: The total of 128 Border Guard officers took part in the
test. A subjective assessment of areas of work was made by using the Areas of
Worklife Survey. The Perceived Stress Scale (PSS-10) was used to examine the
severity of stress. RESULTS: Three groups of examined people, differing
significantly in the assessment of all areas of working life, were identified.
Affiliation of the examined people to these groups explains 8% of the variability
in the severity of stress. The results of the regression analysis allowed to
consider the assessments of 3 areas of working life (control, rewards and
workload) as important predicators of the severity of stress experienced by
officers. The model turned out to be statistically significant, the variables
included in the model explain 19% of the variability of the dependent variable.
CONCLUSIONS: The officers who assess the areas of working life differently,
differ in terms of severity of the experienced stress. The severity of stress is
significantly related to the ability to make independent decisions and to enjoy
rewards. The stress experienced by Border Guard officers is mainly related to the
assessment of the following areas of work: sense of control, rewards and
workload. Med Pr 2018;69(2):199-210.
PMID- 29372914
TI - Could new U(ii) complexes be accessible via tuning hybrid heterocalix[4]arene? A
theoretical study of redox and structural properties.
AB - Tuning the building blocks of pyrrole and arene/pyridine in hybrid
heterocalix[4]arene allows for the possible accessibility of several intriguing
divalent uranium complexes, which are energetically stabilized by enhanced
delta(U-Ar) bonds and further corroborated by computed UIII/II reduction
potentials.
PMID- 29372915
TI - Removal of the Fe(iii) site promotes activation of the human cystic fibrosis
transmembrane conductance regulator by high-affinity Zn(ii) binding.
AB - The cystic fibrosis transmembrane conductance regulator (CFTR) chloride channel
is activated by ATP binding at the interface of two cytoplasmic nucleotide
binding domains (NBDs) and phosphorylation of the regulatory (R) domain by
protein kinase A (PKA). The human CFTR has two functionally active thiol groups
for gating regulation by chemical modification. Although modification of C832 in
the R domain with N-ethylmaleimide promotes channel opening, glutathionylation of
C1344 in NBD2 inhibits channel opening. Our recent studies demonstrated that the
N-ethylmaleimide-induced potentiation involves a high-affinity inhibitory Fe3+
site at the interface between the R domain and intracellular loop 3 (ICL3).
However, it is unknown whether the glutathionylation-evoked inhibition implies
another stimulatory metal site. Here, Fe3+-insensitive mutations at the R-ICL3
interface were employed to further examine whether Zn2+ potentiated the activity
of the human CFTR channel by targeting C1344 once the interfacial Fe3+ bridge was
disrupted. The results showed that internal nanomolar Zn2+ increased its activity
by about two- to threefold at a low level of protein kinase A, and the increase
was reversed by EDTA or DTT or reduced glutathione but suppressed by a high level
of protein kinase A, N-ethylmaleimide modification or a C1344A mutation. It is
interesting that this Zn2+-triggered potentiation is not found in the wild type
human CFTR to which endogenous Fe3+ is bound. Thus, the high-affinity binding of
Zn2+ to C1344 in NBD2 may stimulate human CFTR activity in a phosphorylation
dependent manner, but the primary binding of Fe3+ to the ICL3-R interface may
prohibit this stimulation.
PMID- 29372916
TI - Network structure of SnO2 hollow sphere/PANI nanocomposites for electrochemical
performance.
AB - Homogeneous SnO2 hollow spheres have been synthesized through a hydrothermal
method without a template, followed by in situ polymerization of PANI. The
resulting SnO2 hollow spheres were uniformly deposited onto the surface or
embedded into the PANI nanofibers to form a SnO2-PANI network structure. As
electrode materials, the SnO2-PANI composites exhibit greatly enhanced specific
capacitance (477 F g-1 at a current density of 1 A g-1) and superior cycling
performance (no capacitance loss after 3000 galvanostatic charge-discharge
cycles). These intriguing features are attributed to the interaction between the
SnO2 hollow spheres and PANI network. On the one hand, the SnO2 spheres not only
provide larger area for electrochemical reaction but also release volume
expansion during the charge-discharge process. On the other hand, the conducting
PANI improves electrical conductivity and maintains mechanical integrity of the
composites as well.
PMID- 29372917
TI - The synergistic effect of Ceria and Co in N-doped leaf-like carbon nanosheets
derived from a 2D MOF and their enhanced performance in the oxygen reduction
reaction.
AB - Novel two-dimensional Ceria@Co, N-doped leaf-like porous carbon nanosheets (Ce
HPCNs) were fabricated using an efficient aqueous solution-mediated method. More
importantly, the prepared Ce-HPCNs demonstrate even better electrocatalytic
performance than the commercial Pt/C due to the synergistic effect of the oxygen
buffer CeO2 with Co-Nx, and exhibit a new direction and impact in the development
of new catalysts for energy applications.
PMID- 29372918
TI - Iron-catalyzed C(sp3)-H functionalization of N,N-dimethylanilines with
isocyanides.
AB - An efficient ligand-free Fe-catalyzed oxidative Ugi-type reaction toward the
assembly of alpha-amino amides and short peptides is described. The reaction
proceeds through the alpha-C(sp3)-H oxidation of N,N-dimethylanilines and further
nucleophilic attack of the resulting iminium species by isocyanides. Additive
screening showed that judicious choice of the carboxylic acid could lead to the
formation of alpha-amino imides via a 3-component reaction. The process occurs
with operational simplicity and is compatible with a variety of sensitive
functional groups.
PMID- 29372919
TI - Electrochemical surface-enhanced Raman spectroscopy (EC-SERS) study of the
interaction between protein aggregates and biomimetic membranes.
AB - Human diseases characterized by the uncontrolled deposition of insoluble
extracellular protein aggregates are collectively referred to as amyloidoses.
Such diseases include Alzheimer's, Parkinson's, Huntington's, and prion disease.
In Alzheimer's disease, it is believed that amyloid-beta proteins may be
responsible for pore and defect formation within cellular membranes, leading to a
breakdown of cellular homeostasis causing eventual neuronal death. This theory is
referred to as the amyloid pore hypothesis of Alzheimer's disease. In this work,
the interaction between a model amyloid-forming protein (insulin) and a
biomimetic membrane was studied at the molecular level. Protein at different
stages of aggregation was allowed to interact with a biomimetic membrane formed
on a nanostructured substrate using Langmuir-Blodgett/Langmuir-Schaefer
deposition. Electrochemical surface-enhanced Raman spectroscopy (EC-SERS) was
used to monitor the molecular level changes occurring as a result of this
interaction. Based on the results it was observed that oligomers and protofibrils
caused the most significant membrane deterioration whilst native protein appeared
to play a protective role. To the best of our knowledge, this work represents the
first EC-SERS investigation of protein aggregate-biomembrane interactions, and
highlights the usefulness of this tool for studying complex biomolecular
interactions.
PMID- 29372920
TI - Proton and oxygen ion conductivity in the pyrochlore/fluorite family of Ln2
xCaxScMO7-delta (Ln = La, Sm, Ho, Yb; M = Nb, Ta; x = 0, 0.05, 0.1) niobates and
tantalates.
AB - The tolerance factor is a good criterion to understand the structural transitions
in Ln2-xCaxScMO7-delta (Ln = La, Sm, Ho, Yb; M = Nb, Ta; x = 0, 0.05, 0.1).
Decreasing the Ln ionic radius in Ln2ScNb(Ta)O7 leads to a morphotropic
transition from a pyrochlore to a fluorite-like structure. Ca2+-doping leads to a
pyrochlore-to-fluorite transition in Ln2-xCaxScMO7-delta (Ln = La, Sm) and a
fluorite-to-pyrochlore transition in Ho2-xCaxScNbO7-delta. Proton contribution to
the total conductivity was observed for Ln2-xCaxScNb(Ta)O7-delta (Ln = La, Sm; x
= 0, 0.05, 0.1) 3+/5+ pyrochlores and the maximum proton contribution was shown
by Sm1.9Ca0.1ScMO6.95 (M = Nb, Ta), which are located at the boundary between
pyrochlores and fluorites (comparative study of electrical conduction and oxygen
diffusion). Proton conduction of Sm1.9Ca0.1ScNbO6.95 and Sm1.9Ca0.1ScTaO6.95
pyrochlores persists up to 800 and 850 degrees C, respectively. The conductivity
of fluorite-like Ho2-xCaxScNbO7-delta (x = 0, 0.05) and Yb2ScNbO7 is dominated by
the oxygen ion transport, in accordance with their energy activation values 1.09
1.19 eV. The dielectric permittivity and TG studies were used for the
investigation of oxygen vacancy dynamics and water incorporation into the Ln2
xCaxScNb(Ta)O7-delta (Ln = La, Sm, Ho, Yb; x = 0, 0.05, 0.1) lattice. It is shown
that oxygen vacancy-related dielectric relaxation in the range of 550-650
degrees C (ambient air), typical of pyrochlores and fluorites with pure oxygen
ion conductivity, decreases and disappears for proton-conducting oxides.
PMID- 29372921
TI - Evolutionary selection of personalized melanoma cell/tissue dual-homing peptides
for guiding bionanofibers to malignant tumors.
AB - Both melanoma cells and tissues were allowed to interact with an identical pool
of billions of human-safe phage nanofiber clones with each genetically displaying
a unique 12-mer peptide at the tips, respectively, resulting in the discovery of
bionanofibers displaying a melanoma cell/tissue dual-homing peptide for
personalized targeted melanoma therapy.
PMID- 29372927
TI - Pyrosome consumption by benthic organisms during blooms in the northeast Pacific
and Gulf of Mexico.
PMID- 29372928
TI - Albuminuria and Cerebral Small Vessel Disease: A Systematic Review and Meta
Analysis.
AB - OBJECTIVES: To determine whether albuminuria, a marker of systemic endothelial
dysfunction, is associated with cerebral small vessel disease (SVD). DESIGN:
Systematic review following the Meta-analyses Of Observational Studies in
Epidemiology guidelines; independent reviewers searched Pubmed/Medline and
Scopus, data were extracted, studies were evaluated on quality, and random
effects models were implemented for meta-analysis. SETTING: Observational studies
quantifying an association between albuminuria and cerebral SVD. PARTICIPANTS:
Adults. MEASUREMENTS: Magnetic resonance imaging-defined markers of cerebral SVD;
white matter hyperintensities (WMHs), lacunar infarcts (LIs), cerebral
microbleeds (CMBs), and enlarged perivascular spaces (EPVSs). RESULTS: Of 31
eligible studies comprising 23,056 participants identified, 27 were included in
quantitative synthesis. Most of the studies were cross-sectional and of varying
quality. On meta-analysis, albuminuria was associated with greater risk of WMHs
(odds ratio (OR) = 1.70, 95% confidence interval (CI) = 1.43-2.01; 13,548
subjects, 2,665 cases; I2 = 44%), LIs (OR = 1.86, 95% CI = 1.49-2.31; 12,857
subjects, 998 cases; I2 = 27%), CMBs (OR = 1.78, 95% CI = 1.30-2.43; 7,645
subjects; 748 cases; I2 = 39%), and EPVSs in the basal ganglia (OR = 1.78, 95%
CI = 1.02-3.09; 1,388 subjects, 399 cases; I2 = 37%) and centrum semiovale (OR =
3.27, 95% CI = 1.49-7.20; 1,146 subjects, 460 cases; I2 = 66%). Sensitivity
analyses for high-quality and general population studies, but also studies
controlling for cardiovascular disease risk factors and renal function, confirmed
the findings and resolved the moderate heterogeneity and publication bias that
were evident in the overall analyses. CONCLUSION: Albuminuria is independently
associated with cerebral SVD, indicating shared microvascular pathology in the
kidney and the brain. The results suggest that peripheral systemic microvascular
disease biomarkers could be useful in the evaluation of brain microvascular
damage.
PMID- 29372931
TI - Spotlights are papers selected by editors published in peer-reviewed journals
that may be more regionally specific or appearing in languages other than
English.
PMID- 29372929
TI - Gamma-glutamyl transferase predicts future stroke: A Korean nationwide study.
AB - OBJECTIVE: Although gamma-glutamyl transferase (GGT) is generally regarded as an
alternative biomarker for alcohol consumption, its independent role in vascular
diseases emerged recently. However, its role in stroke remains unknown. The aim
of this study is to clarify the impact of GGT on stroke in a large-sized,
national, standardized population cohort in Korea. METHODS: In Korea, the
National Health Insurance Service (NHIS) provides full-coverage health insurance
service for all citizens. Using data from the NHIS, the NHIS-National Sample
Cohort was designed by randomly selecting 2% of Koreans, carefully considering
demographic characteristics. We analyzed eligible individuals from this
standardized cohort. The Cox proportional hazards model was used for the study
investigating the relationship between GGT and stroke. RESULTS: Among the 456,100
eligible participants, 7,459 patients (1.64%) developed stroke as follows: 5,789
ischemic strokes, 1,046 intracerebral hemorrhages (ICHs), and 624 subarachnoid
hemorrhages. GGT was independently correlated with increased risk of stroke after
adjustment for alcohol consumption and stroke risk factors (hazard ratio [HR] =
1.39, 95% confidence interval [CI] = 1.29-1.51). The risks of both ischemic
stroke (HR = 1.45, 95% CI = 1.32-1.58) and ICH (HR = 1.46, 95% CI = 1.18-1.80)
were significantly elevated with increasing GGT. Despite some effect
modifications by sex, age, and alcohol, the risk of total stroke and ischemic
stroke in association with GGT remained significant in all subgroups.
INTERPRETATION: In a standard Korean population, GGT was a novel biomarker
predicting stroke risk, independently from alcohol consumption and other risk
factors. Ann Neurol 2018;83:375-386.
PMID- 29372930
TI - Eliciting adverse effects data from participants in clinical trials.
AB - BACKGROUND: Analysis of drug safety in clinical trials involves assessing adverse
events (AEs) individually or by aggregate statistical synthesis to provide
evidence of likely adverse drug reactions (ADR). While some AEs may be
ascertained from physical examinations or tests, there is great reliance on
reports from participants to detect subjective symptoms, where he/she is often
the only source of information. There is no consensus on how these reports should
be elicited, although it is known that questioning methods influence the extent
and nature of data detected. This leaves room for measurement error and
undermines comparisons between studies and pooled analyses. This review
investigated comparisons of methods used in trials to elicit participant-reported
AEs. This should contribute to knowledge about the methodological challenges and
possible solutions for achieving better, or more consistent, AE ascertainment in
trials. OBJECTIVES: To systematically review the research that has compared
methods used within clinical drug trials (or methods that would be specific for
such trials) to elicit information about AEs defined in the protocol or in the
planning for the trial. SEARCH METHODS: Databases (searched to March 2015 unless
indicated otherwise) included: Embase; MEDLINE; MEDLINE in Process and Other Non
Indexed Citations; Cochrane Methodology Register (July 2012); Cochrane Central
Register of Controlled Trials (February 2015); Cochrane Database of Systematic
Reviews; Database of Abstracts of Reviews of Effects (January 2015); Health
Technology Assessment database (January 2015); CINAHL; CAB Abstracts; BIOSIS
(July 2013); Science Citation Index; Social Science Citation Index; Conference
Proceedings Citation Index - Science. The search used thesaurus headings and
synonyms for the following concepts: (A): Adverse events AND measurement; (B):
Participants AND elicitation (also other synonyms for extraction of information
about adverse effects from people); (C): Participants AND checklists (also other
synonyms as for B). Pragmatic ways were used to limit the results whilst trying
to maintain sensitivity. There were no date or sample size restrictions but only
reports published in English were included fully, because of resource constraints
as regards translation. SELECTION CRITERIA: Two types of studies were included:
drug trials comparing two or more methods within- or between-participants to
elicit participant-reported AEs, and research studies performed outside the
context of a trial to compare methods which could be used in trials (evidenced by
reference to such applicability). Primary outcome data included AEs elicited from
participants taking part in any such clinical trial. We included any participant
reported data relevant for an assessment of drug-related harm, using the original
authors' terminology (and definition, where available), with comment on whether
the data were likely to be treatment-emergent AEs or not. DATA COLLECTION AND
ANALYSIS: Titles and abstracts were independently reviewed for eligibility. Full
texts of potentially eligible citations were independently reviewed for final
eligibility. Relevant data were extracted and subjected to a 100% check.
Disagreements were resolved by discussion, involving a third author. The risk of
bias was independently assessed by two authors. The Cochrane 'Risk of bias' tool
was used for reports comparing outcomes between participants, while for within
participant comparisons, each study was critically evaluated in terms of
potential impact of the design and conduct on findings using the framework of
selection, performance, detection, attrition, reporting, and other biases. An
attempt was made to contact authors to retrieve protocols or specific relevant
missing information. Reports were not excluded on the basis of quality unless
data for outcomes were impossible to compare (e.g. where denominators differed).
A narrative synthesis was conducted because differences in study design and
presentation meant that a quantitative meta-analysis was not possible. MAIN
RESULTS: The 33 eligible studies largely compared open questions with checklist
type questions or rating scales. Two included participant interviews. Despite
different designs, populations and details of questioning methods, the narrative
review showed that more specific questioning of participants led to more AEs
detected compared to a more general enquiry. A subset of six studies suggested
that more severe, bothersome, or otherwise clinically relevant AEs were reported
when an initial open enquiry was used, while some less severe, bothersome, or
clinically relevant AEs were only reported with a subsequent specific enquiry.
However, two studies showed that quite severe or debilitating AEs were only
detected by an interview, while other studies did not find a difference in the
nature of AEs between elicitation methods. No conclusions could be made regarding
the impact of question method on the ability to detect a statistically
significant difference between study groups. There was no common statistical
rubric, but we were able to represent some effect measures as a risk ratio of the
proportion of participants with at least one AE. This showed a lower level of
reporting for open questions (O) compared to checklists (CL), with a range for
the risk ratios of 0.12 to 0.64. AUTHORS' CONCLUSIONS: This review supports
concerns that methods to elicit participant-reported AEs influence the detection
of these data. There was a risk for under-detection of AEs in studies using a
more general elicitation method compared to those using a comprehensive method.
These AEs may be important from a clinical perspective or for patients. This
under-detection could compromise ability to pool AE data. However, the impact on
the nature of the AE detected by different methods is unclear. The wide variety
and low quality of methods to compare elicitation strategies limited this review.
Future studies would be improved by using and reporting clear definitions and
terminology for AEs (and other important variables), frequency and time period
over which they were ascertained, how they were graded, assessed for a
relationship to the study drug, coded, and tabulated/reported. While the many
potential AE endpoints in a trial may preclude the development of general AE
patient-reported outcome measurement instruments, much could also be learnt from
how these employ both quantitative and qualitative methods to better understand
data elicited. Any chosen questioning method needs to be feasible for use by both
staff and participants.
PMID- 29372933
TI - Targeting apoptosis pathways in infections.
AB - The programmed cell death pathway of apoptosis is essential for mammalian
development and immunity as it eliminates unwanted and dangerous cells. As part
of the cellular immune response, apoptosis removes the replicative niche of
intracellular pathogens and enables the resolution of infections. To subvert
apoptosis, pathogens have evolved a diverse range of mechanisms. In some
circumstances, however, pathogens express effector molecules that induce
apoptotic cell death. In this review, we focus on selected host-pathogen
interactions that affect apoptotic pathways. We discuss how pathogens control the
fate of host cells and how this determines the outcome of infections. Finally,
small molecule inhibitors that activate apoptosis in cancer cells can also induce
apoptotic cell death of infected cells. This suggests that targeting host death
factors to kill infected cells is a potential therapeutic option to treat
infectious diseases.
PMID- 29372934
TI - Clinical outcome of Descemet's stripping endothelial keratoplasty with
femtosecond laser-prepared grafts.
PMID- 29372936
TI - 2018: The dog year ahead.
PMID- 29372937
TI - Editorial to the Special Issue "Stem Cell Characterization Across Species".
PMID- 29372938
TI - Second-Generation Antipsychotic-Induced Hypoglycemia.
AB - Complaints of hypoglycemia resemble the sedative effect of antipsychotics. As
such, clinicians may overlook hypoglycemia in patients with psychiatric
disorders. Here, a case of hypoglycemia associated with hyperinsulinemia induced
by quetiapine in a female patient with bipolar disorder is reported. The case
suggests that clinicians should be aware of the potential for hypoglycemia
induced by second-generation antipsychotics.
PMID- 29372939
TI - Can Statins Diminish Depression?
AB - Depression is a common malady associated with numerous chronic medical conditions
such as coronary artery disease, hypertension, and diabetes. Statins provide
protection against coronary and cerebrovascular diseases by decreasing
cholesterol synthesis in the liver. Statin medications also reduce inflammation.
Since the pathophysiology of depression involves inflammation, statins could have
a role in the treatment of mood disorders and might become a pharmacotherapy
option for patients experiencing depression. There is evidence suggesting an
antidepressant effect when statins are adjunctively co-prescribed with
antidepressant medicines. However, confounding data also exist refuting a
positive effect of these drugs at elevating mood. More research is required to
confirm a potentially beneficial effect of prescribing statins to people with
clinical depression.
PMID- 29372941
TI - Patient Reported Outcomes.
PMID- 29372940
TI - Delusional Psychosis in Graves' Disease.
PMID- 29372942
TI - Preventing Excessive Gestational Weight Gain and Postpartum Weight Retention.
PMID- 29372943
TI - Investigating the Barriers to the Uptake of Diabetic RetinaScreen.
AB - Diabetic retinopathy is a significant complication of diabetes, and the most
common cause of blindness in people under the age of 65. The National Diabetic
Retinal Screening Programme (Diabetic RetinaScreen) was established to detect
sight threatening retinopathies. The purpose of this cross-sectional study is to
determine the barriers to the uptake of Diabetic RetinaScreen, to investigate
discrepancies in attendance, if any, between patients whose diabetes care is
delivered in a large tertiary referral hospital out-patient setting or in general
practice, and to evaluate general practitioner's satisfaction with the
service. Older age (OR 1.023, 95% CI 1.001 to 1.046) and complications of
diabetes, excluding ocular complications, (OR 2.741, 95% CI 1.158 to 6.489) were
associated with increased attendance at Diabetic RetinaScreen. Online referral is
now available and the preferred method of referral. Efforts to encourage younger
patients who do not yet have complications of diabetes may be beneficial.
PMID- 29372944
TI - Determination of the Lung Clearance Index (LCI) in a Paediatric Cystic Fibrosis
Cohort.
AB - The pathogenesis of CF lung disease may start in infancy. Therefore, it is
important to monitor the early stages of its progress. The Exhalyzer D is the
first commercially available device designed to measure lung ventilation
inhomogeneity at any age. This study was conducted to assess the performance and
feasibility of using the Exhalyzer D in a paediatric CF clinic. A total of 91
subjects were recruited (23 controls, and 68 patients with CF). The majority of
CF patients (79%) and controls (78%) completed at least two successful washouts.
A strong linear correlation was noted between LCI and FEV1. Children with CF
under six years of age struggled to perform the washout in a technically correct
manner. A clear learning effect was observed, with improved technique and shorter
testing times on repeated visits.
PMID- 29372945
TI - Decrease in the Uptake of Seasonal Influenza Vaccine in Persons Aged 65 Years and
Older In Ireland since the 2009 Influenza A (H1N1) Pdm09 Pandemic.
AB - In Ireland, there is year-on-year variation in the seasonal influenza vaccine
uptake for people aged 65 years and older. We obtained aggregate vaccine uptake
data (2004/05-2014/15) by area and age group and applied the 2011 relative
deprivation score and GP-density by area. Using Poisson regression model, we
estimated the associations between those variables and uptake before and after
the 2009 pandemic. After adjusting for age, deprivation status and GP-density,
overall persons aged ?65 years were less likely to receive the vaccine after the
pandemic compared to before (RR=0.89, 95%CI: 0.82-0.96). Uptake increased with
age; both those in the 70-74 and ?75 year age groups were more likely to receive
the vaccine compared to those aged 65-69 years (RR=1.18, 95%CI:1.14-1.24;
RR=1.34, 95%CI:1.28-1.39 respectively). Qualitative studies should be undertaken
to explore whether changes in public perception on risks of the disease and the
vaccine and/or public funding and policy influenced the uptake.
PMID- 29372946
TI - Self-Harm, Methadone Use and Drug-Related Deaths amongst Those Registered As
Being of No Fixed Abode or Homeless in Ireland.
AB - This work aims to contribute to the evidence base regarding the health of those
who experience homelessness in Ireland by collating data on methadone use, drug
related deaths and emergency department presentations due to self-harm. Data from
the Central Methadone Treatment List (CTL), National Self-Harm Registry Ireland
and the National Drug-Related Deaths Index were analysed. The percentage on the
CTL registered as being of no fixed abode (NFA) or homeless increased from 2% to
7% from 2011-2014. The absolute number of presentations with deliberate self-harm
from those of NFA increased by 49% from 2007-2014. The number of drug-related
deaths amongst those of NFA or homeless and who died in Dublin fluctuated from
2004-13 with an overall upward trend. There is an urgent need to adequately
resource and coordinate those services which aim to address factors (social and
health inequalities, mental ill-health and addiction) which lead people into -
and prevent them exiting from - homelessness.
PMID- 29372947
TI - The Perception of Art among Patients and Staff on a Renal Dialysis Unit.
AB - This study investigated the purpose and effectiveness of giving outpatients an
opportunity to engage in art activities while receiving dialysis treatment. A
mixed method study was conducted. 21 semi-structured interviews were conducted
with outpatients attending the dialysis unit and 13 surveys of clinicians were
completed. The principle reasons to partake in the art activity programme
included: to pass time, to relieve boredom, to be creative, to try something new,
distraction from concerns, to stay positive and to achieve something new.
Patients who did not participate in the programme pass their time primarily by
watching TV or sleeping. All staff who partook in the survey were satisfied with
the programme and wanted it to continue. Our findings indicate that the creative
arts programme is viewed positively by staff and patients alike, and might be
useful in other hospital departments. Further in depth qualitative research would
be useful to interrogate the potential effect of engagement in art on positive
mental health and quality of life for patients with chronic conditions.
PMID- 29372948
TI - Menorrhagia Outcomes of Minimally Invasive Treatments (MOMITS): A 2 to 5-year
follow-up.
AB - We conducted a retrospective postal questionnaire-based study to assess the
effectiveness and patient experience of minimally invasive treatments for
menorrhagia by performing a two- to five-year follow up of patient symptoms.
Questionnaires were distributed to 111 and 117 women following thermal balloon
endometrial ablation (TBEA) and levonorgestrel intrauterine system (LNG-IUS),
with response rates of 58.5% and 43.6% respectively. Sanitary pad use during the
heaviest day of bleeding reduced by 8.4 and 5.2 pads in the TBEA and LNG-IUS
groups respectively (p<0.05). An improvement in patients' quality of life (QOL)
score exceeding 10 points was found in 79% and 61% in the TBEA and LNG-IUS groups
respectively. Patient satisfaction (PS) improved in all areas, more so regarding
participation in social activities in the TBEA group (p<0.05). Women undergoing
TBEA would recommend the procedure to other women in 95%, and 93% in the LNG-IUS
group. TBEA and LNG-IUS are highly acceptable management options for the
treatment of menorrhagia, with improvement in QOL, PS and menstrual blood loss.
PMID- 29372949
TI - Antenatal Pertussis Vaccination: Why are General Practitioners Reluctant? A Mixed
Methods StudySetting.
AB - Pertussis has a disproportionately higher morbidity and mortality in infants less
than 3 months of age. International and national guidelines recommend pertussis
vaccination during pregnancy, as a safe and effective way to protect these
infants. Antenatal pertussis vaccination uptake rates remain suboptimal, with
many health care professionals (HCPs) still not recommending it. The reasons
underlying this reluctance on behalf of HCPs have not been fully established.
This study aims to evaluate the current practice and attitudes of General
Practitioners (GPs) with regard to antenatal pertussis vaccination. An embedded
mixed method design was used. The response rate was 41% (n=109). 54% of GPs who
responded (n=59) routinely recommend antenatal pertussis vaccination. Safety
concerns and a sense of isolation emerged as the major qualitative themes. More
safety data, adequate funding from the Health Service Executive (HSE) and support
from secondary care may help to increase the GP recommendation rate and enhance
vaccination uptake in pregnancy.
PMID- 29372950
TI - Surgical Site Infection after Caesarean Section? There Is an App for That:
Results from a Feasibility Study On Costs and Benefits.
AB - Surgical site infections (SSIs) are one of the most common and, yet, preventable
healthcare associated infections. In Ireland, the rate of Caesarean section (CS)
is increasing, while postpartum hospital stay is decreasing, adversely affecting
SSI among women. There is much need to develop post-discharge surveillance which
can effectively monitor, detect, and arrange treatment for affected women. The
use of modern technology to survey SSI following discharge from hospital remains
unexplored. We report the results of a feasibility study which investigates
whether an integrated mobile application (hereafter, app) is more cost-beneficial
than a stand-alone app or telephone helpline at surveying SSI following CS. We
find women prefer the integrated app (47.5%; n=116/244) over the stand-alone app
(8.2%; n=20/244) and telephone helpline (18.0%; 44/244), although there is no
significant difference in women's valuation of these services using willingness
to pay techniques. The stand-alone app is the only cost-beneficial service due to
low labour costs. Future research should employ alternative measures when
evaluating the benefits of the health technology. The use of a mobile app as a
mechanism for postpartum care could represent a considerable advancement towards
technological health care.
PMID- 29372951
TI - Interaction of Broadband Access and Education Levels in Determining the Rate of
Emergency Medical Admissions.
AB - Background We examined the effect of broadband access, educational status and
their interaction on local population health. Methods We calculated the annual
admission incidence rates for each small area population unit within our hospital
catchment, relating quintiles of broadband access to two groups a) full time
education to primary level (less than or equal to 15 years) and b) full time
education to tertiary level (>18 years). Univariate and multivariable risk
estimates were calculated, using truncated Poisson regression. Results 82,368
admissions in 44,628 patients were included. Broadband access was a linear
predictor of the admission incidence rate with decreases from Q1 (least access)
50.8 (95%CI 30.2 to 71.4) to Q5 (highest access) 17.9 (95%CI 13.4 to 22.4). Areas
with greater numbers educated only to primary level were more influenced by
broadband access. Conclusion Broadband access is a predictor of the emergency
medical admission rate; this effect is modulated by the baseline education level.
PMID- 29372952
TI - Acute Respiratory Failure Post Single Lung Transplantation: An Unusual Cause.
AB - Vascular complications of pulmonary vessels post-transplant are rare but are
associated with high morbidity and mortality. We describe the first published
case of surgical management of pulmonary vein stenosis complicated by early
thrombosis post single lung transplantation. This report describes early
diagnostic techniques and subsequent management options in such patients where
pulmonary vascular complications are a potential.
PMID- 29372953
TI - An Unusual Presentation of Sarcoidosis.
AB - Bilateral pleural effusions are a rare manifestation of sarcoidosis. We describe
here the case of a 30 year old Vietnamese man living in Ireland who presented
with a 4 month history of cough, dyspnoea on exertion and fatigue. On chest CT,
he was found to have bilateral pleural effusions, bihilar lymphadenopathy and
multiple pulmonary nodules. Pleural biopsy confirmed the presence of non
caseating granulomas. He was commenced on steroids with excellent clinical
response.
PMID- 29372954
TI - Treatment Options for Amelobastic Carcinoma of the Mandible: A Case Series and
Review of the Literature.
AB - We retrospectively review the only three cases in the Irish National
Maxillofacial Unit over a 12-year period. Methods involved retrospectively
reviewing clinical notes, radiology and histopathology of three cases. Case one
was an 80-year-old male presenting with mandibular swelling, who received
radiotherapy alone. Case two was a 26-year-old male with swelling and
odynophagia. He underwent left hemimandibulectomy and fibular free flap
reconstruction. Case three was a 64-year-old female with mental nerve involvement
who underwent a right hemimandibulectomy. The cases presented here illustrate a
diverse sample regarding patient demographics and management approaches. Surgery
usually necessitates en bloc resection, free flap reconstruction and 1-1.5 cm
margins. Adjuvant radiotherapy may be required for close margins.
PMID- 29372955
TI - Donegal Going against the Flow: National Differences in Long-Term Urinary
Catheterisation Rates in Men (> 65 Years) With Benign Prostatic Hypertrophy.
AB - An analysis of Primary Care Reimbursement Service (PCRS, 2013) data demonstrated
high rates of urinary catheter changes in Donegal compared to other regions of
Ireland. There is a catheter change rate of 10.2% in Donegal men over 65 with
medical cards (GMS) compared to rates of 2.7% and 0.17% in Waterford and South
Dublin, respectively1. This 60-fold difference between an area with perceived
good access to services (South Dublin) and Donegal an area that does not,
prompted a survey of general practitioners in each of these areas to assess
whether true male catheterisation rates were similarly disproportionate in
Donegal. Based on this, data was collected from a population of 23,794 GMS
patients in GP training practices in Donegal (Rural), Leinster (Urban) and
Waterford (Suburban). The data sampled for Donegal demonstrated 19 long-term
catheters (LTCs per 8603 GMS) compared to four LTCs (per 5,800 GMS) in Leinster
and 3 LTCs (per 9,391 GMS) in Waterford (Table 1). This anomaly in LTC rates may
be a proxy for lack of access to basic Urology services.
PMID- 29372956
TI - Prevalence and Seasonal Variation of Incidental MRI Paranasal Inflammatory
Changes in an Asymptomatic Irish Population.
AB - Inflammatory changes in the paranasal sinuses are a common incidental finding on
magnetic resonance imaging (MRI) of the head and neck. This study aimed to assess
the prevalence and seasonal variation of inflammatory paranasal sinus changes in
an asymptomatic Irish population. Retrospective analysis was performed on 221
patients who underwent brain MRI at the time points of winter and summer. T2
weighted sequences were evaluated for paranasal sinus disease. Nearly half the
patients in the study exhibited morphological paranasal sinus changes on imaging
suggesting that these could be considered a normal variant. Correlation of
imaging findings with clinical symptoms and signs remain crucial to the diagnosis
of sinusitis.
PMID- 29372958
TI - Judge Obstetricians by Intention; Not Intervention.
PMID- 29372959
TI - A Case of Pleuroparenchymal Fibroelastosis.
PMID- 29372957
TI - Bisphosphonates in General Practice: An Audit on the Management of Osteoporosis.
PMID- 29372960
TI - [Control of the gene activity by polycomb and trithorax group proteins in
Drosophila].
AB - Combinatorial expression of the genes in multicellular organisms leads to the
development of different cell types. The important epigenetic regulators of
higher eukaryotes are the Polycomb group (PcG) and Trithorax group (TrxG)
proteins. These factors control the transcription of a large number of genes
involved in various cellular processes. Dysregulation of PcG and TrxG systems
leads to developmental abnormalities and cancer. This review focuses on the main
characteristics and properties of the Drosophila PRE elements. Furthermore, we
summarize the information on the protein components of the PcG and TrxG groups
and their functional activities and discuss the main aspects of competition
between the proteins of these classes as well as their possible mechanisms of
action.
PMID- 29372961
TI - [Coactivator complexes participate in different stages of the Drosophila
melanogaster hsp70 gene transcription].
AB - The objective of this study was to identify transcriptional coactivators
participating in transcription elongation of the hsp70 gene induced by heat
shock. We found that all investigated coactivator complexes participate in
transcription of this gene, as significant level of them were present at the gene
promoter in its active state. For most of the coactivators (except for p300/CBP,
Set2, and Mediator complex), we also observed a considerable increase of their
binding level at the coding region of the gene after activation of its
transcription by heat shock. We assume that coactivators CHD1, ISWI, Brm, Kismet
L, INO80, Mi-2, Gcn5, Lid/KDM5, Set1, DART1, DART4, SSRP1, PAF1, and Fs(1)h/Brd4
bind to the promoter of the active hsp70 gene and migrate to its coding region
together with elongating RNA polymerase II. It can be suggested that some of
these coactivators play an important role in stimulating the transition of the
RNA polymerase II complex from transcription initiation to elongation stage.
PMID- 29372963
TI - [Histone-like protein H-NS as a negative regulator of quorum sensing systems in
gram-negative bacteria].
AB - The effects of histone-like protein H-NS on transcription of promoters of the
Quorum Sensing regulated operons from marine luminescent mesophilic bacterium
Aliivibrio fischeri and psychrophilic Aliivibrio logei, as well as from
pathogenic Pseudomonas aeruginosa, are studied. In the present work, the plasmids
carrying DNA fragments with the promoters Pr1f (upstream of the luxICDABEG operon
from A. fischeri), Pr1l (upstream of the luxCDABEG operon from A. logei), Pr2l
(upstream of luxI gene from A. logei), PluxCf (upstream of luxC gene from A.
fischeri), and PlasI (upstream of lasI gene from P. aerugenosa) are used. In
these plasmids, promoter-operator regions are transcriptionally fused to the
reporter genes cassette luxCDABE from Photorhabdus luminescens. Here we have
shown that the transcription of the QS-regulated promoters in E. coli hns::kan
cells increases 100 to 1000 times. Furthermore, transcription of the QS-regulated
promoters in E. coli hns + cells increases 10 to 100 times in the cells
transformed with the plasmid carrying gene ardA ColIb-P9 encoding DNA mimic
antirestriction protein ArdA, inhibitor of the type I restriction-modification
systems.
PMID- 29372964
TI - [The role of remodeling complexes CHD1 and ISWI in spontaneous and UV-induced
mutagenesis control in yeast Saccharomyces cerevisiae].
AB - Chromatin remodulators are special multiprotein machines capable of transforming
the structure, constitution, and positioning of nucleosomes on DNA. Biochemical
activities of remodeling complexes CHD1 and ISWI from the SWI2/SNF2 family are
well established. They ensure correct positioning of nucleosomes along the
genome, which is probably critical for genome stability, in particular, after
action of polymerases, repair enzymes, and transcription. In this paper, we show
that single mutations in genes ISW1, ISW2, and CHD1 weakly affect repair and
mutagenic processes in yeast cells. At the same time, there are differences in
the effect of these mutations on spontaneous mutation levels, which indicates
certain specificity of action of protein complexes ISW1, ISW2, and CHD1 on
expression of different genes that control repair and mutation processes in
yeast.
PMID- 29372965
TI - [Polymorphic sites in transcribed spacers of 35S rRNA genes as an indicator of
origin of the Paeonia cultivars].
AB - Region ITS1-5.8S rDNA-ITS2 is sequenced in 27 varieties of cultivated ornamental
peonies, ten of which presumably originate from Paeonia lactiflora, one from P.
officinalis, 13 from hybridization of P. lactiflora and P. peregrina, or P.
officinalis, and three are Itoh hybrids. Comparative analysis of distribution
patterns of polymorphic sites (PS) for the obtained DNA sequences and data from
GenBank is carried out. Hypotheses of origin of the studied varieties, except for
two, which, as previously assumed, originate from hybridization of P. lactiflora
and P. peregrina, are confirmed. It is shown that the sequence ITS1-5.8S rDNA
ITS2 is a good genetic marker for cultivars of the P. lactiflora group and Itoh
hybrids, and that the PS distribution patterns in these sequences can provide
valuable information on the kinship and origin of individual varieties. However,
insufficient knowledge of wild species from the P. officinalis kinship group
limits the use of this marker in the study of varieties obtained through
interspecific hybridization within the Paeonia section.
PMID- 29372966
TI - [Analysis of microsatellite loci variability in rare and endemic species Allium
regelianum A.K. Becker ex Iljin].
AB - SSR analysis of rare and endemic species Allium regelianum, which grows in the
south of Russia, was performed for the first time. Variability analysis of 88
accessions of A. regelianum was carried out using four highly polymorphic
microsatellite loci (PIC value ranged from 0.55 to 0.72). SSR-analysis made it
possible to revealed polymorphism within and among the populations of A.
regelianum from Volgograd region. Analysis of Wright's F-statistics and the
analysis of molecular variance showed that more than 90% of total genetic
variation of the species was attributed to the differences within the populations
and less than 10% of the differences were found among the populations. Cluster
analysis of 46 accessions of A. regelianum from three populations of Volgograd
region and principal coordinate analysis of all accessions did not reveal
differentiation among the populations.
PMID- 29372967
TI - [Fish growth hormone genes: Divergence of coding sequences in salmonid fishes].
AB - Comparison of coding nucleotide sequences of the paralogous GH1 and GH2 genes, as
well as of the growth hormone amino acid sequences, in the species of closely
related salmonid genera Salvelinus, Oncorhynchus, and Salmo was performed. It was
demonstrated that, in different groups of salmonids, the amino acid substitution
rates were considerably different. In some cases, an obvious discrepancy between
the divergence of growth hormone genes and phylogenetic schemes based on other
methods and approaches was revealed. These findings suggest that the reason may
be multidirectional selection at duplicated genes at different stages of
evolution.
PMID- 29372968
TI - Gold Nanoparticles Compromise TNF-alpha-Induced Endothelial Cell Adhesion
Molecule Expression Through NF-kappaB and Protein Degradation Pathways and Reduce
Neointima Formation in A Rat Carotid Balloon Injury Model.
AB - The aim of this study was to investigate the anti-inflammatory effects and
mechanism of action of the gold nanoparticles (AuNPs) on vascular injury. In
vitro vascular endothelial cell (EC) inflammation and in vivo rat carotid balloon
injury models were used. The expression of TNF-alpha-induced cell adhesion
molecules (CAMs) was suppressed by the AuNPs in human umbilical vein ECs and
aortic ECs. The AuNPs reduced TNF-alpha-induced intracellular ROS production and
NF-kappaB signaling pathways and enhanced CAM protein degradation by increasing
their ubiquitination. However, they did not interfere with the mTOR pathway for
protein synthesis and TNF-alphabinding to ECs. These effects led to a reduction
of monocyte adhesion to EC monolayers in vitro and endothelial CAM expression and
monocyte/macrophage level in the vascular injured areas, contributing to a
substantial decrease of arterial neointima formation in the rat carotid balloon
injury model. The serum gold concentration was 99.5+/-18 ng/ml after three-day
oral administration. Moreover, incubation of the AuNPs with serum and albumin led
to an increase of particle sizes of the AuNPs. Collectively, we provide the first
evidence that demonstrates that AuNPs possess anti-inflammatory bioactivity on
vascular ECsin vitro and can reduce arterial neointima hyperplasia during
vascular injury in vivo.
PMID- 29372969
TI - [The genetic diversity of burbot (Lota lota L., 1758) of Western Siberia (the
analysis of the mtDNA control region polymorphism)].
AB - The genetic variability of burbot (Lota lota L., 1758) inhabiting the Ob-Irtysh
and Taz river basins in Western Siberia has been studied based on the
polymorphism of the hypervariable fragment of mtDNA control region (407 bp). The
analysis of 134 fish samples revealed 30 haplotypes, 23 of which were new. Among
haplotypes, previously detected in Eurasia and North America, EB30 was the most
frequently found in Western Siberia (45.5% frequency). The results of our study
are in agreement with previous research pointing to the genetic differentiation
of two burbot subspecies, L. l. lota and L. l. maculosa, and indicate that burbot
inhabiting the Ob-Irtysh and Taz river basins belong to the Eurasian-Beringian
clade (nominative subspecies L. l. lota). However, a high genetic diversity of
burbot in Western Siberia, along with a relatively high differentiation of burbot
groups within studied territory, points to a regional specificity of burbot
population.
PMID- 29372970
TI - [Genetic diversity of the Pacific walrus (Odobenus rosmarus divergens) in the
western part of the Chukchi Sea].
AB - We study 117 Pacific walrus samples from three rookeries within the western part
of Chukchi Sea (Cape Vankarem, Cape Serdtse-Kamen, and Kolyuchin Island). We
analyze the variability of nuclear (20 microsatellite loci) and mitochondrial DNA
(three fragments). Two microsatellite loci which are described as microsatellites
for the first time are used in this study: repeated sequences within introns of
Coro1c and Plod2 genes. A high degree of genetic diversity is demonstrated for
both nuclear and mitochondrial markers compared to Atlantic walrus. A high degree
of genetic diversity is preserved within populations of Pacific walrus, despite a
strong decline in the recent past. We discover the absence of significant
differentiation for microsatellite loci and the presence of weak differentiation
for mtDNA (mainly for a D-loop fragment). Walrus specimens that use the rookeries
of the western part of Chukchi Sea are thought to belong to a single reproductive
group.
PMID- 29372971
TI - Multifunctional Surface-Enhanced Raman Spectroscopy-Detectable Silver
Nanoparticles Combined Photodynamic Therapy and pH-Triggered Chemotherapy.
AB - This research paper reports the development of a multifunctional anti-cancer
prodrug system based on silver nanoparticles. This prodrug system is composed of
70-nm sized nanoparticles and features photodynamic therapeutic properties and
active, pH-triggered drug release. The silver nanoparticles are decorated with a
folic acid (FA) targeting ligand via an amide bond, and also conjugated to the
chemotherapeutic drug doxorubicin (DOX) via an acid-cleavable hydrazone bond.
Both FA and DOX are attached to the silver nanoparticles through a polyethylene
glycol (PEG) spacer. This prodrug system can preferentially enter cells that over
express folic acid receptors, with subsequent intracellular drug release
triggered by reduced intracellular pH. Moreover, the silver nanoparticle carrier
system exhibits photodynamic therapeutic (PDT) activity, so that the cell
viability of cancer cells that overexpress folate receptors can be further
reduced upon light irradiation. The dual effects of pH-triggered drug release and
PDT increase the therapeutic efficacy of this system. The multifunctional
nanoparticles can be probed intracellularly through Surface-Enhanced Raman
Spectroscopy (SERS) and fluorescence spectroscopy. The current report explores
the applicability of this multifunctional silver nanoparticle-based system for
cancer theranostics.
PMID- 29372972
TI - [Molecular phylogenetic analysis of Diacyclops and Acanthocyclops (Copepoda:
Cyclopoida) from Lake Baikal].
AB - Lake Baikal is inhabited by a relatively large number of cyclopid species, many
of which are endemics. Two genera, Diacyclops Kiefer, 1927 and Acanthocyclops
Kiefer, 1927, are the most specious in the lake. Taxonomic discrimination of the
majority of representatives of these genera is difficult owing to their high
morphological similarities and poor standard description. In this study, a
molecular phylogenetic analysis of Lake Baikal members of the
Diacyclops/Acanthocyclops group is performed on the basis of mitochondrial
cytochrome c oxidase subunit I (COI) gene. It is shown that a fragment of COI
1000 bp long is sufficient for intragenus discrimination of the cyclopids of Lake
Baikal. The issues of Diacyclops/Acanthocyclops taxonomy are reflected in the
obtained molecular data. Two distinct phylogenetic groups of Diacyclops genus
with uncertain taxonomic status are revealed.
PMID- 29372973
TI - [Assessment of the genetic distances between some species of the family
Bradybaenidae (Mollusca, Pulmonata)].
AB - On the basis of inter-simple sequence repeat (ISSR) loci and the nucleotide
sequences of nuclear (18S and ITS-1) and mitochondrial genes (COI and 16S), a
phylogenetic analysis of the three species of terrestrial mollusks of the family
Bradybaenidae (Mollusca, Pulmonata), Bradybaena fruticum Mull., Bradybaena
schrencki Midd., and Bradybaena transbaicalia Shileyko, was conducted to clarify
their taxonomic status. The analysis showed that Br. fruticum was far apart from
the other two species (Br. schrencki and Br. transbaicalia). The genetic distance
between the latter puts in doubt their status as distinct species. It is
suggested that the species Br. transbaicalia can be treated as a form of Br.
schrencki var. transbaicalia.
PMID- 29372974
TI - [Developing forensic reference database by 18 autosomal STR for DNA
identification in Republic of Belarus].
AB - For the Republic of Belarus, development of a forensic reference database on the
basis of 18 autosomal microsatellites (STR) using a population dataset (N =
1040), "familial" genotypic dataset (N = 2550) obtained from expertise
performance of paternity testing, and a dataset of genotypes from a criminal
registration database (N = 8756) is described. Population samples studied consist
of 80% ethnic Belarusians and 20% individuals of other nationality or of mixed
origin (by questionnaire data). Genotypes of 12346 inhabitants of the Republic of
Belarus from 118 regional samples studied by 18 autosomal microsatellites are
included in the sample: 16 tetranucleotide STR (D2S1338, TPOX, D3S1358, CSF1PO,
D5S818, D8S1179, D7S820, THO1, vWA, D13S317, D16S539, D18S51, D19S433, D21S11,
F13B, and FGA) and two pentanucleotide STR (Penta D and Penta E). The samples
studied are in Hardy-Weinberg equilibrium according to distribution of genotypes
by 18 STR. Significant differences were not detected between discrete populations
or between samples from various historical ethnographic regions of the Republic
of Belarus (Western and Eastern Polesie, Podneprovye, Ponemanye, Poozerye, and
Center), which indicates the absence of prominent genetic differentiation.
Statistically significant differences between the studied genotypic datasets also
were not detected, which made it possible to combine the datasets and consider
the total sample as a unified forensic reference database for 18 "criminalistic"
STR loci. Differences between reference database of the Republic of Belarus and
Russians and Ukrainians by the distribution of the range of autosomal STR also
were not detected, corresponding to a close genetic relationship of the three
Eastern Slavic nations mediated by common origin and intense mutual migrations.
Significant differences by separate STR loci between the reference database of
Republic of Belarus and populations of Southern and Western Slavs were observed.
The necessity of using original reference database for support of forensic
expertise practice in the Republic of Belarus was demonstrated.
PMID- 29372975
TI - Intranasal Administration of chitosan-Coated Nanostructured Lipid Carriers Loaded
with GDNF Improves Behavioral and Histological Recovery in a Partial Lesion Model
of Parkinson's Disease.
AB - Parkinson's disease (PD) is the second most frequent neurodegenerative disorder,
but current therapies are only symptomatic. A promising alternative to address
the neurodegenerative process is the use of neurotrophic factors, such as the
glial cell-derived neurotrophic factor (GDNF). However, its clinical use has been
limited due to its short half-life and rapid degradation after in vivo
administration, in addition to difficulties in crossing the blood-brain barrier
(BBB). This barrier is a limiting factor in brain drug development, making the
future progression of neurotherapeutics difficult. In the past few years,
intranasal drug delivery has appeared as an alternative non-invasive
administration route to bypass the BBB and target drugs directly to the CNS.
Thus, the aim of this work was to study the in vivo neuroprotective effect of
intranasally administered GDNF, encapsulated in chitosan-coated nanostructured
lipid carrier (CS-NLC-GDNF), in a 6-OHDA partially lesioned rat model. The
developed CS-NLC-GDNF showed a particle size of approximately 130 nm and high
encapsulation efficiency. The in vitro study in PC-12 cells demonstrated the
ability of the encapsulated GDNF to protect these cells against 6-OHDA toxin.
After two weeks of daily intranasal administration of treatments, the
administration of CS-NLC-GDNF achieved a behavioral improvement in rats, as well
as a significant improvement in both the density of TH+ fibres in the striatum
and the TH+ neuronal density in the SN. Thus, it can be concluded that the nose
to-brain delivery of CS-NLC-GDNF could be a promising therapy for the treatment
of PD.
PMID- 29372976
TI - Rivaroxaban in Stable Cardiovascular Disease.
PMID- 29372977
TI - Rivaroxaban in Stable Cardiovascular Disease.
PMID- 29372978
TI - Rivaroxaban in Stable Cardiovascular Disease.
PMID- 29372979
TI - Rivaroxaban in Stable Cardiovascular Disease.
PMID- 29372980
TI - Rivaroxaban in Stable Cardiovascular Disease.
PMID- 29372981
TI - Removal of Certain Time of Inspection and Duties of Inspector Regulations for
Biological Products. Direct final rule.
AB - The Food and Drug Administration (FDA, Agency, or we) is amending the general
biologics regulations relating to time of inspection requirements and also
removing duties of inspector requirements. FDA is taking this action to remove
outdated requirements and accommodate new approaches, such as a risk-based
inspection frequency for drug establishments, thereby providing flexibility
without diminishing public health protections. This action is part of FDA's
implementation of Executive Orders (E.O.s) 13771 and 13777. Under these E.O.s,
FDA is comprehensively reviewing existing regulations to identify opportunities
for repeal, replacement, or modification that will result in meaningful burden
reduction while allowing the Agency to achieve our public health mission and
fulfill statutory obligations. The Agency is issuing these amendments directly as
a final rule because we believe they are noncontroversial and FDA anticipates no
significant adverse comments.
PMID- 29372982
TI - Applications of Quantum Dots in Cancer Detection and Diagnosis: A Review.
AB - The mechanisms of carcinogenesis, cancer invasion and metastasis remain unclear
because of the complexity of cancer cells and tumor microenvironment. Thus, the
urgent development of a novel approach for cancer detection and real-time
monitoring is crucial in order to decipher the intricate molecular information
responsible for tumor biological behaviors. Quantum dots (QDs) are engineered
fluorescent nanoparticles with unique optical and chemical properties, which have
shown a great potential as promising platforms for biomedical applications. Here,
we focus on the clinical applications of quantum dot-based nanotechnology in
cancer detection and diagnosis, covering topics on individual cancer diagnosis
and treatment by in-vitro and in-vivo molecular imaging technologies, sentinel
lymph node (SLN) mapping, cancer associated proteins detection in blood,
circulation tumor cells (CTCs) detection, and in-depth understanding of the
biological behaviors from the perspective of tumor microenvironment. In addition,
the major challenges in translating quantum dot-based detection methodologies
into clinical applications and promising future directions are also discussed.
PMID- 29372983
TI - The Cellular and Molecular Mechanisms Underlying Silver Nanoparticle/Chitosan
Oligosaccharide/Poly(vinyl alcohol) Nanofiber-Mediated Wound Healing.
AB - Wound healing is a complex pathophysiological process that occurs frequently in
everyday pathology and remains a challenge during the treatment of trauma.
Previously, we prepared silver nanoparticle/chitosan oligosaccharide/poly(vinyl
alcohol) (PVA/COS-AgNP) nanofibers via an electrospinning technique. These
nanofibers promoted the proliferation of human skin fibroblasts (HSFs) and the
expression of transforming growth factor TGF-beta1 in the early stage of wound
repair, although the specific mechanisms remain unclear. Therefore, considering
that TGF-beta1 has emerged as a major modulator of wound healing, the objective
of this study was to further understand whether the molecular mechanisms
responsible for PVA/COS-AgNP nanofiber-mediated wound healing include the TGF
beta1/Smad signal transduction pathway. In this study, we used human skin
fibroblasts (HSFs) to investigate the molecular and cellular mechanisms
underlying PVA/COSAgNP nanofiber-mediated wound healing. Cell adhesion and
proliferation experiments, immunofluorescence staining, hydroxyproline content
measurements, flow cytometry, quantitative real-time PCR (qRT-PCR), and western
blotting (WB) were used to analyze the wound healing mechanisms of human skin
fibroblasts treated with various concentrations of PVA/COS-AgNP nanofibers and
the combined application of silver nanofibers and SB431542 (an inhibitor of the
TGF-beta1 receptor kinase). Our study showed that PVA/COS-AgNP nanofibers
markedly promoted fibroblast proliferation, collagen synthesis, and cell
adherence. We also found that treating fibroblasts with PVA/COS-AgNP nanofibers
stimulated cell cycle progression from G1 into the S and G2 phases, reducing the
proportion of cells in the G0/G1 phase and inducing S and G2/M arrest.
Importantly, the cell factors associated with the TGF-beta1/Smad signal
transduction pathway, such as TGF-beta1, TGFbetaRI, TGFbetaRII, pSmad2, pSmad3,
collagen I, collagen III, and fibronectin were also up-regulated. Moreover, this
enhancing effect was markedly inhibited by the TGFbetaRI receptor inhibitor,
SB431542. Therefore, the PVA/COS-AgNP nanofibers used to accelerate wound healing
do so by activating the TGF-beta1/Smad signal transduction pathway.
PMID- 29372984
TI - Surgery for Drug-Resistant Epilepsy in Children.
PMID- 29372985
TI - Surgery for Drug-Resistant Epilepsy in Children.
PMID- 29372986
TI - Characterization of DNA Condensation by Conformationally Restricted Dipeptides
and Gene Delivery.
AB - A wide variety of non-viral vectors have been developed for gene delivery in past
few decades but find limited applications mainly due to lower encapsulation,
endosomal entrapment, high toxicity and low transfection efficiency. In this
work, we explored plasmid DNA binding ability of several low molecular weight
dipeptides containing alpha,beta-dehydrophenylalanine (DeltaF) and found that an
arginine containing dipeptide, arginine-alpha,beta-dehydrophenylalanine (R
DeltaF) condensed pEGFP-N1 plasmid into positively charged spherical
nanoparticles of size 250-275 nm. Single molecule techniques showed that R-DeltaF
interacted with the plasmid DNA in a dose dependent manner which was accompanied
by a decrease in diffusion time of the plasmid DNA as well as release of the
bound fluorophore. The plasmid DNA in R-DeltaF-plasmid complex (R-DeltaF-Pl) was
stable against DNase action. A pH dependent release of the plasmid DNA from R
DeltaF-Pl was observed and the released plasmid DNA retained its natural
conformation at endosomal pH, as evidenced from time correlated single photon
counting. R-DeltaF-Pl was biocompatible and showed ready uptake in HEK 293T
cells. Transfection assays using reporter plasmids for green fluorescent protein
(GFP), luciferase enzyme and chloramphenicol acetyltransferase (CAT) showed R
DeltaF mediated gene delivery both in the presence and absence of serum in the
medium. Ease of synthesis, homogenous assembly and biocompatibility balanced with
significant expression of gene of interest make R-DeltaF a potential vector for
development for in vivo application.
PMID- 29372987
TI - Fertility Preservation in Women.
PMID- 29372988
TI - Measurement of In Vitro Single Cell Temperature by Novel Thermocouple Nanoprobe
in Acute Lung Injury Models.
AB - Energy metabolism may alter pattern differences in acute lung injury (ALI) as one
of the causes but the detailed features at single-cellular level remain unclear.
Changes in intercellular temperature and adenosine triphosphate (ATP)
concentration within the single cell may help to understand the role of energy
metabolism in causing ALI. ALI in vitro models were established by treating mice
lung epithelial (MLE-12) cells with lipopolysaccharide (LPS), hydrogen peroxide
(H2O2), hydrochloric acid (HCl) and cobalt chloride (CoCl2, respectively. 100 nm
micro thermocouple probe (TMP) was inserted into the cytosol by micromanipulation
system and thermoelectric readings were recorded to calculate the intracellular
temperature based on standard curve. The total ATP contents for the MLE-12 cells
were evaluated at different time intervals after treatments. A significant
increase of intracellular temperature was observed after 10 or 20 MUg/L LPS and
HCl treatments. The HCl increased the temperature in a dose-dependent manner. On
the contrary, H2O2 induced a significant decline of intracellular temperature
after treatment. No significant difference in intracellular temperature was
observed after CoCl2 exposure. The intracellular ATP levels decreased in a time
dependent manner after treatment with H2O2 and HCl, while the LPS and CoCl2 had
no significant effect on ATP levels. The intracellular temperature responses
varied in different ALI models. The concentration of ATP in the MLE-12 cells
played part in the intracellular temperature changes. No direct correlation was
observed between the intracellular temperature and concentration of ATP in the
MLE-12 cells.
PMID- 29372989
TI - Fertility Preservation in Women.
PMID- 29372990
TI - Synthesis of One-Dimensional Pillar Arrays by Electrohydrodynamic Jet Printing
for Glucose Sensor.
AB - One-dimensional (1-D) Ag arrays were formed by electrohydrodynamic jet-printing
(EHD) of polyvinylpyrrolidone (PVP, 1,300 k, Aldrich) solution ink. The 1-D Ag
arrays were formed on slide glass by controlling the viscosity and printing
conditions such as the tip to the substrate distance, the applied voltage, the
flow rate of ink and the velocity. The printed pillars were dried at 80 degrees
C to get rid of the solvent and sintered at 400 degrees C for 30 min. We found
that the arrays of Ag pillars can be fabricated by using the EHD printing method.
We could control the diameter of the pillars in the range of 100-200 MUm and the
length rage between 300 and 700 MUm. In order to produce a better performance of
glucose sensor, we infiltrated a glucose oxidase as a glucose detector into the
Ag pillars previously coated with the mixed solution of multi-walled carbon
nanotube (MWCNT, Iljin), Nafion and Pt nanoparticles. In addition, the Ag array
electrode with glucose oxidase was used as a working electrode for glucose
detection via the three-electrode electrochemical method.
PMID- 29372991
TI - Evidence-based management of irritable bowel syndrome with diarrhea.
AB - Irritable bowel syndrome (IBS), a complex disorder of the gastrointestinal tract,
is characterized by abdominal pain associated with defecation or changes in stool
form or frequency. IBS is associated with substantial burden, including direct
medical costs and indirect costs. Direct costs associated with IBS in the United
States have been estimated to exceed $1 billion. However, indirect costs, such as
negative effect on quality of life (QOL) and work productivity, are difficult to
quantify. There are 3 main subtypes: IBS with prominent diarrhea (IBS-D), IBS
with constipation, and IBS with mixed symptoms of both constipation and diarrhea.
A number of pharmacologic agents have been used to treat IBS-D despite lack of
approval by the FDA for this indication. The pharmacologic agents that are
indicated by the FDA for the treatment of IBS-D include alosetron, eluxadoline,
and rifaximin. The negative impact of IBS-D symptoms on QOL reported by patients
indicate there is an unmet need for therapies that effectively treat and manage
the symptoms of this condition. Addressing gaps in treatment is an important
priority.
PMID- 29372992
TI - Telehealth
PMID- 29372993
TI - Anti-hMC2RL1 Functionalized Gold Nanoparticles for Adrenocortical Tumor Cells
Targeting and Imaging.
AB - The low rate of cure of adrenocortical carcinomas (ACC) in children and adults is
related to germ line TP53 mutation, late diagnosis, incomplete surgical
resection, and lack of an efficient adjunctive therapy. To provide a new approach
for the improvement of ACC diagnosis and therapy, the present study aimed to
explicitly target ACC cells using gold nanoparticle (AuNP) probes bound to
specific antibodies. Immunohistochemistry of ACC and positive and negative
control tissue micro-sections under light microscopy was used to test a purified
polyclonal antibody raised against the 80-93, outer loop 1 position of the human
melanocortin receptor 2 (hMC2R). Both this and a control commercial antibody were
found to specifically target cells known to express hMC2R. These were bound to
FITC-labeled AuNPs and tested via direct immunofluorescence using the H295R ACC
cell line. Both probes recognized only cells expressing hMC2R and exhibited very
low background. Further studies are required to ascertain the potential of AuNPs
bound to ACC cells for tumor diagnostics via imaging analysis or as a delivery
device for targeted therapy.
PMID- 29372995
TI - The Eminent Domain of Sanitary Science and the Usefulness of State Boards in
Guarding the Public Welfare.
PMID- 29372996
TI - Sugar-Sweetened Beverage Tax in West Virginia.
PMID- 29372997
TI - Medical Marijuana Legal in West Virginia?
PMID- 29372998
TI - The New Approach for Establishing the Cellular Response Guideline for Medical
Applications of Polydiacetylene as Innovative Parameters.
AB - Argon plasma jet (Ar-PJ) has been widely used in clinical medicine; however, the
cellular effects of Ar-PJ therapy applying to living tissues have not been
clarified yet. It is necessary to investigate cellular responses to Ar-PJ in
establishing guidelines on the therapeutic use of Ar-PJ. Interestingly, in the Ar
PJ-treated cells, the fragmented mitochondria, a typical cellular stress
indicator, were discovered even in the cells located in the live zones (1~3
zones). Using microscopic measurements of the mitochondrial length, we found that
the fragmented mitochondria were mainly in the zones 1 and 2, the closest to the
direct exposure point of Ar-PJ. Whereas, the mitochondria in the zone 4 retained
their lengths to normal. This quantitative measurement of mitochondrial
morphology was combined with the color scores of the polymerizable supramolecular
(PS) sensor in diagnostic categories. The results demonstrate that the
mitochondrial length (0.98~3.94 MUm) is inversely proportional to the PS sensor
color scores (87~0) in the zones 1~4. On the combination of these three
diagnostic parameters, the effective range of Ar-PJ for cellular responses was
determined: the zones 1~3, the color scores 87~12 and the mitochondrial lengths
0.98~2.57 MUm. Our study is the first demonstration of mitochondrial
fragmentation in response to Ar-PJ and the first attempt to establish the
diagnostic guideline for Ar-PJ therapies by combinations with biological,
physical and chemical aspects. Thus, this study will make great advances in the
field of bioplasma applications.
PMID- 29372999
TI - Death Certificates: Cardiac Arrest is not a Cause of Death.
PMID- 29373002
TI - Progressive Supranuclear Palsy - A Case Study from the Perspective of a Primary
Care Physician Son.
AB - Progressive Supranuclear Palsy (PSP) is a rare geriatric pathology, from the
abnormal deposition of the tau protein, combining the motor tremor and
bradykinesia of Parkinson's disease with the cognitive defects of Alzheimer's
disease. As physical and mental debilities progressively manifest in PSP, the
physician, family, and patient face decisions on how to manage this terminal
neurodegenerative disease. Physicians note the outcomes of decisions and often
express, either to peers or internally to oneself, how they would handle a
similar situation affecting their own family. In this case, we will explore PSP
and examine a physician's perspective as his father navigates his journey through
it.
PMID- 29373003
TI - Curcumin Acetate Nanocrystals for sustained Pulmonary Delivery: Preparation,
Characterization and In Vivo Evaluation.
AB - The main objective of this study was to test the hypothesis that inhaled
nanocrystals of a highly lipophilic drug could be used as a novel approach for
producing sustained pulmonary delivery. Curcumin acetate, an ester prodrug of
curcumin, was utilized as a highly lipophilic model drug. Curcumin acetate was
subjected to wet ball milling to produce different particle sizes of nanocrystals
and microparticles, and the milled curcumin acetate was spray-dried to yield
similar inhalable microparticles. Following intrapulmonary administration in
rats, pharmacokinetic experiments indicated that curcumin acetate significantly
extended the pulmonary absorption time by 7.2-fold compared to curcumin, possibly
due to the high lipophilicity of the former. The biodistribution data showed that
aerosolized curcumin acetate nanocrystals 123.7 nm in size not only prolonged
pulmonary retention, with the AUC value of curcumin acetate being 7.62-fold
higher than that of the microparticles 1120 nm in size, but also increased the
local in vivo release rate by 3.3-fold and the local availability of converted
curcumin by 25.1-fold. In addition, the improved local availability resulted in
better pharmacological efficacy in a monocrotaline-induced rat model of pulmonary
arterial hypertension. This study was the first to demonstrate that inhalable
nanocrystals are a feasible means for the sustained pulmonary delivery of highly
lipophilic drugs.
PMID- 29373004
TI - Acquires Anterior Thoracic Lung Herniation and Repair: A Rare Case and
Discussion.
AB - We report a case of acquired anterior thoracic lung herniation in a 63-yeal-old
female. This painful herniation developed four years after uncomplicated video
assisted thoracic surgery for lung cancer resection and adjuvant radiation for
concomitant breast cancer. The herniation site was remote from all prior
incisions, and demonstrated intercostal muscle denervation and radiation
fibrosis. The 8 cm x 10 cm chest wall defect was reconstructed with inlay
PROCEED mesh and reinforced with a pedicled latissimus dorsi flap. Five months
postoperatively the patient had complete resolution of symptoms, no evidence of
herniation, and a stable wound.
PMID- 29373005
TI - Effect of Nanodiamond and Nanoplatinum Liquid, DPV576, on Human Primary
Keratinocytes.
AB - Nanofabrics are now being used in a wide range of products that come into direct
contact with skin, including carpet, clothing, and medical fabrics. In the
current study, we examined the effect of a dispersed aqueous mixture of
nanodiamond (ND) and nanoplatinum (NP) (DPV576) on human primary keratinocytes
with respect to transient receptor potential vanilloid (TRPV) channel expression,
secretion of cytokines and production of nerve growth factor (NGF). Keratinocytes
were treated with DPV576 at concentrations of 1:10 and 1:100 dilutions for 24
hours in vitro, and their activation of was determined by production of cytokines
TNF-alpha, IL-1beta, and prostaglandin (PGE2), and by production of NGF.
Inhibitor experiments were carried out by incubating keratinocytes with the TRPV4
selective antagonist HC-067047. TRPV receptor expression (TRPV1, TRPV3 and TRPV4)
on keratinocytes as well as changes in Ca2+ potential were examined by flow
cytometry. DPV576 treatment of keratinocytes resulted in the following effects:
(1) stimulation of keratinocytes as indicated by the significant secretion of
cytokines IL-1beta, TNF-alpha, and PGE2, an effect noted only at higher
concentration (1:10); (2) significant decrease in the expression of TRPV4 on
keratinocytes with a spike in the calcium flux, but no change in the expression
of TRPV1 and TRPV3; (3) induction of cytokine secretion independent of TRPV4, as
the addition of TRPV4 inhibitor had no significant effect on the cytokine
production from keratinocytes; (4) induction of NGF secretion by keratinocytes.
These results demonstrate that DPV576 activates keratinocytes via multiple
signaling pathways which may reduce stress associated with inflammation, pain,
and circadian rhythms. ND/NP-coated fabrics that target the modulation of local
inflammation, pain, and circadian rhythms could potentially be of benefit to
humans.
PMID- 29373006
TI - The War on Drugs...or Doctors?
PMID- 29373007
TI - New Schedule for Administering HPV Vaccinations.
PMID- 29373008
TI - Inverse Opal-like Porous MoSex Films for Hydrogen Evolution Catalysis:
Overpotential-Pore Size Dependence.
AB - Transition metal dichalcogenides (TMDs) are prized as electrocatalysts for
hydrogen evolution reaction (HER). Common TMD syntheses entail conditions of high
temperatures and reagents that are detrimental to the environment. The
electrochemical synthesis of TMDs is advocated as a viable alternative to the
conventional synthetic procedures in terms of simplicity, ecological
sustainability, and versatility of deposition on various surfaces at room
temperature. In this work, we demonstrate the successful fabrication of
electrocatalytic inverse opal porous MoSex films, where 2 <= x <= 3, via solid
template-assisted electrodeposition from the simultaneous electroreduction of
molybdic acid and selenium dioxide as the respective metal and chalcogen
precursors in an aqueous electrolyte. The electrosynthesized porous MoSex films
contain pores with diameters of 0.1, 0.3, 0.6, or 1.0 MUm, depending on the size
of the polystyrene bead template used. The investigation reveals that porous
MoSex films with a pore size of 0.1 MUm, which prevailed over the other pore
sizes, are endowed with the lowest HER overpotential of 0.57 V at -30 mA cm-2 and
a Tafel slope of 118 mV dec-1, alluding to the adsorption step as rate limiting.
Across all pore sizes, the Volmer adsorption step limits the HER mechanism.
Nevertheless, the pore size dictates the catalytic activity of the porous MoSex
films apropos of HER overpotential such that the HER performance of smaller pore
sizes of 0.1 and 0.3 MUm surpasses those with wider pore sizes of 0.6 and 1.0
MUm. The observed trends in their HER behavior may be rationalized by the tunable
surface wettability as pore sizes vary. These fundamental findings offer a
glimpse into the efficacy of electrodeposited porous TMDs as electrocatalysts and
exemplify the feasibility of the electrosynthesis method in altering the
morphological structure of the TMDs.
PMID- 29373010
TI - Copolymers of Bis-Diketopyrrolopyrrole and Benzothiadiazole Derivatives for High
Performance Ambipolar Field-Effect Transistors on Flexible Substrates.
AB - We develop an "acceptor dimerization" strategy by a bis-diketopyrrolopyrrole
(2DPP) for an ambipolar organic semiconductor. Copolymers of 2DPP and
benzothiadiazole (BTz) derivatives, P2DPP-BTz and P2DPP-2FBTz, are designed and
synthesized. Both of the polymers exhibit narrow optical bandgaps of ca. 1.30 eV.
The strong electron-withdrawing property of 2DPP results in low-lying lowest
unoccupied molecular orbital (LUMO) energy levels of the polymers, improving the
electron mobilities. 2D grazing incident X-ray diffraction and atomic force
microscopy indicate that the P2DPP-BTz exhibits a small pi-pi stacking distance
of 3.59 A and a smooth interface, thus promoting high mobility. To take full
advantage of the flexibility of organic semiconductors, flexible field-effect
transistors (FETs) were fabricated on poly(ethylene terephthalate) (PET)
substrates. The FETs based on P2DPP-BTz show high performance with hole and
electron mobilities of 1.73 and 2.58 cm2 V-1 s-1, respectively. Our results
demonstrate that the 2DPP acceptor is a promising building block for high
mobility ambipolar polymers.
PMID- 29373011
TI - Oversampling To Improve Spatial Resolution for Liquid Extraction Mass
Spectrometry Imaging.
AB - Liquid extraction mass spectrometry imaging (MSI) experiments provide users with
direct analysis of biological surfaces with minimal sample preparation. Until
now, much of the effort to increase spatial resolution for MSI with liquid
extraction techniques has focused on reducing the size of the sampling area.
However, this can be experimentally challenging. Here, we present oversampling as
a simple alternative to increase the spatial resolution using nanospray
desorption electrospray ionization (nano-DESI) MSI. By imaging partial rat spinal
cord tissue sections, two major concerns with oversampling are addressed: whether
endogenous molecules are significantly depleted from repeated sampling events and
whether analytes are redistributed as a result of oversampling. In depth
examination of ion images for representative analytes show that depletion and
redistribution do not affect analyte localization in the tissue sample. Nano-DESI
MSI experiments using three times oversampling provided higher spatial
resolution, allowing the observation of features not visible with undersampling.
Although proper care must be taken to ensure that oversampling will work in
specific applications, we envision oversampling as a simple approach to increase
image quality for liquid extraction MSI techniques.
PMID- 29373012
TI - Are the Risks from Microplastics Truly Trivial?
PMID- 29373009
TI - Genome Mining and Assembly-Line Biosynthesis of the UCS1025A Pyrrolizidinone
Family of Fungal Alkaloids.
AB - UCS1025A is a fungal polyketide/alkaloid that displays strong inhibition of
telomerase. The structures of UCS1025A and related natural products are featured
by a tricyclic furopyrrolizidine connected to a trans-decalin fragment. We mined
the genome of a thermophilic fungus and activated the ucs gene cluster to produce
UCS1025A at a high titer. Genetic and biochemical analysis revealed a PKS-NRPS
assembly line that activates 2S,3S-methylproline derived from l-isoleucine,
followed by Knoevenagel condensation to construct the pyrrolizidine moiety.
Oxidation of the 3S-methyl group to a carboxylate leads to an oxa-Michael
cyclization and furnishes the furopyrrolizidine. Our work reveals a new strategy
used by nature to construct heterocyclic alkaloid-like ring systems using
assembly line logic.
PMID- 29373013
TI - Efficient Access to Imidazo[1,2- a]pyridines/pyrazines/pyrimidines via Catalyst
Free Annulation Reaction under Microwave Irradiation in Green Solvent.
AB - An expeditious catalyst-free heteroannulation reaction for imidazo[1,2-
a]pyridines/pyrimidines/pyrazines was developed in green solvent under microwave
irradiation. Using H2O-IPA as the reaction medium, various substituted 2
aminopyridines/pyrazines/pyrimidines underwent annulation reaction with alpha
bromoketones under microwave irradiation to provide the corresponding imidazo[1,2
a]pyridines/pyrimidines/pyrazines in excellent yields. The synthetic methodology
appears to be very simple and superior to the already reported procedures with
the high abundance of commercial reagents and great ability in expanding the
molecular diversity. The present synthetic sequence is visualized as an
environmentally benign process which allows the introduction of three points of
structural diversity to expand chemical space with excellent purity and yields.
The anti-inflammatory and antimicrobial activities of the derivatives were
evaluated. Screening results uncovered three derivatives with strong inhibition
of albumin denaturation and two derivatives were active on Proteus and Klebsiella
bacteria. These positive bioassay results implied that the library of potential
anti-inflammatory agents could be rapidly prepared in an ecofriendly manner, and
provided new insights into drug discovery for medicinal chemists.
PMID- 29373014
TI - Dual-Modal Probe Based on Polythiophene Derivative for Pre- and Intraoperative
Mapping of Lymph Nodes by SPECT/Optical Imaging.
AB - The metastatic spread of primary tumors to regional lymph nodes (LNs) is an
important prognostic indicator for cancer staging and clinical therapy.
Therefore, developing lymphatic mapping probes with improved accuracy and
efficiency is of vital importance. Conjugated polymers (CPs) have been
established as useful optical probes for sensitive biological and chemical
detection. As a member of CPs family, polythiophene derivatives have drawn
increasing attraction because of their superior photostability, signal
amplification ability, and flexible structures for modification. In addition,
these excellent properties allow the promising in vivo application to real-time
LNs mapping. Here, we first reported a radiolabeled dual-modal probe based on the
polythiophene derivative (99mTc-PTP) that was used for LNs mapping with high
sensitivity and specificity by preoperative single-photon emission computed
tomography imaging and intraoperative optical guidance. 99mTc-PTP exhibits an
excellent radio-fluorescence guidance ability and a remarkable biocompatibility
and holds great potential to be a powerful probe for noninvasive LNs mapping.
PMID- 29373015
TI - Chemical Approach to Ultrastiff, Strong, and Environmentally Stable Graphene
Films.
AB - Reduced graphene oxide (rGO) sheets prepared by a modified Hofmann method (Ho
rGO) have large graphitic domains with few structural defects, facilitating the
dense packing between rGO sheets to enhance the mechanical performances of the
resultant graphene films. Graphene films are fabricated by the filtration of the
aqueous dispersions of Ho-rGO sheets and further treated by thermal annealing.
They display high moduli (stiffness) of 54.6 +/- 1.4 GPa and high tensile
strengths of 521 +/- 19 MPa. They also exhibit high toughness and good electrical
properties. The intact structure of Ho-rGO sheets narrows the nanochannels in the
film matrices, greatly reducing the water infiltration into films and providing
the graphene films with excellent environmental stability. These graphene films
are attractive for practical applications because of their light weights and
ultrastiff and ultrastrong mechanical properties.
PMID- 29373017
TI - Fe(III)-Doped g-C3N4 Mediated Peroxymonosulfate Activation for Selective
Degradation of Phenolic Compounds via High-Valent Iron-Oxo Species.
AB - Herein, we proposed a new peroxymonosulfate (PMS) activation system employing the
Fe(III) doped g-C3N4 (CNF) as catalyst. Quite different from traditional sulfate
radical-based advanced oxidation processes (SR-AOPs), the PMS/CNF system was
capable of selectively degrading phenolic compounds (e.g., p-chlorophenol, 4-CP)
in a wide pH range (3-9) via nonradical pathway. The generated singlet oxygen
(1O2) in the PMS/CNF3 (3.46 wt % Fe) system played negligible role in removing 4
CP, and high-valent iron-oxo species fixated in the nitrogen pots of g-C3N4
(=FeV?O) was proposed as the dominant reactive species by using dimethyl
sulfoxide as a probe compound. The mechanism was hypothesized that PMS was first
bound to the Fe(III)-N moieties to generate =FeV?O, which effectively reacted
with 4-CP via electron transfer. GC-MS analysis indicated that 4-chlorocatechol
and 1,4-benzoquinone were the major intermediates, which could be further
degraded to carboxylates. The kinetic results suggested that the formation of
=FeV?O was proportional to the dosages of PMS and CNF3 under the experimental
conditions. Also, the PMS/CNF3 system exhibited satisfactory removal of 4-CP in
the presence of inorganic anions and natural organic matters. We believe that
this study will provide a new routine for effective PMS activation by
heterogeneous iron-complexed catalysts to efficiently degrade organic
contaminants via nonradical pathway.
PMID- 29373016
TI - Optogenetic Reconstitution for Determining the Form and Function of Membraneless
Organelles.
AB - It has recently become clear that large-scale macromolecular self-assembly is a
rule, rather than an exception, of intracellular organization. A growing number
of proteins and RNAs have been shown to self-assemble into micrometer-scale
clusters that exhibit either liquid-like or gel-like properties. Given their
proposed roles in intracellular regulation, embryo development, and human
disease, it is becoming increasingly important to understand how these
membraneless organelles form and to map their functional consequences for the
cell. Recently developed optogenetic systems make it possible to acutely control
cluster assembly and disassembly in live cells, driving the separation of
proteins of interest into liquid droplets, hydrogels, or solid aggregates. Here
we propose that these approaches, as well as their evolution into the next
generation of optogenetic biophysical tools, will allow biologists to determine
how the self-assembly of membraneless organelles modulates diverse biochemical
processes.
PMID- 29373018
TI - Sterol Structure Strongly Modulates Membrane-Islet Amyloid Polypeptide
Interactions.
AB - Amyloid formation has been implicated in a wide range of human diseases, and the
interaction of amyloidogenic proteins with membranes are believed to be important
for many of them. In type-2 diabetes, human islet amyloid polypeptide (IAPP)
forms amyloids, which contribute to beta-cell death and dysfunction in the
disease. IAPP-membrane interactions are potential mechanisms of cytotoxicity. In
vitro studies have shown that cholesterol significantly modulates the ability of
model membranes to induce IAPP amyloid formation and IAPP-mediated membrane
damage. It is not known if this is due to the general effects of cholesterol on
membranes or because of specific sterol-IAPP interactions. The effects of
replacing cholesterol with eight other sterols/steroids on IAPP binding to model
membranes, membrane disruption, and membrane-mediated amyloid formation were
examined. The primary effect of the sterols/steroids on the IAPP-membrane
interactions was found to reflect their effect upon membrane order as judged by
fluorescence anisotropy measurements. Specific IAPP-sterol/steroid interactions
have smaller effects. The fraction of vesicles that bind IAPP was inversely
correlated with the sterols/steroids' effect on membrane order, as was the extent
of IAPP-induced membrane leakage and the time to form amyloids. The correlation
between the fraction of vesicles binding IAPP and membrane leakage was
particularly tight, suggesting the restriction of IAPP to a subset of vesicles is
responsible for incomplete leakage.
PMID- 29373019
TI - Response to Comment on "Visible-Light-Driven Photocatalytic Degradation of
Organic Water Pollutants Promoted by Sulfite Addition".
PMID- 29373020
TI - Measurement of Magnetic Exchange in Asymmetric Lanthanide Dimetallics: Toward a
Transferable Theoretical Framework.
AB - Magnetic exchange interactions within the asymmetric dimetallic compounds
[hqH2][Ln2(hq)4(NO3)3].MeOH, (Ln = Er(III) and Yb(III), hqH = 8-hydroxyquinoline)
have been directly probed with EPR spectroscopy and accurately modeled by spin
Hamiltonian techniques. Exploitation of site selectivity via doping experiments
in Y(III) and Lu(III) matrices yields simple EPR spectra corresponding to
isolated Kramers doublets, allowing determination of the local magnetic
properties of the individual sites within the dimetallic compounds. CASSCF-SO
calculations and INS and far-IR measurements are all employed to further support
the identification and modeling of the local electronic structure for each site.
EPR spectra of the pure dimetallic compounds are highly featured and correspond
to transitions within the lowest-lying exchange-coupled manifold, permitting
determination of the highly anisotropic magnetic exchange between the lanthanide
ions. We find a unique orientation for the exchange interaction, corresponding to
a common elongated oxygen bridge for both isostructural analogs. This suggests a
microscopic physical connection to the magnetic superexchange. These results are
of fundamental importance for building and validating model microscopic
Hamiltonians to understand the origins of magnetic interactions between
lanthanides and how they may be controlled with chemistry.
PMID- 29373021
TI - Gold Nanoparticle-Based Photoluminescent Nanoswitch Controlled by Host-Guest
Recognition and Enzymatic Hydrolysis for Arginase Activity Assay.
AB - The development of simple yet powerful methods for monitoring enzyme activity is
of great significance. Herein, a facile, convenient, cost-effective, and
continuous fluorescent method for the detection of arginase and its inhibitor has
been reported based on a host-guest interaction-controlled and enzymatic
hydrolysis-controlled luminescent nanoswitch. The fluorescence intensity of 6-aza
2-thiothymine-stabilized gold nanoparticle (ATT-AuNP) is enhanced by l-arginine,
owing to the formation of a supramolecular host-guest assembly between the
guanidine group of l-arginine and ATT molecules capped on the AuNP surface.
However, hydrolysis of l-arginine, catalyzed by arginase, leads to a decrease in
the fluorescence intensity of l-arginine/ATT-AuNPs hybrids. Upon incorporation of
the arginase inhibitor l-norvaline, the fluorescence of the ATT-AuNP-based
detecting system is restored. The linear range of arginase activity determination
is from 0.0625 to 1.15 U/mL and the limit of detection is 0.056 U/mL. The half
maximal inhibition value IC50 of l-norvaline is determined to be 5.6 mM. The
practicability of this luminescent nanoswitch is validated by assaying the
arginase activity in rat liver and monitoring the response of rat liver arginase
to pharmacological agent. Compared to the existing fluorescent method of arginase
activity assay, the approach demonstrated here does not involve any complicated
technical manipulation, thereby greatly simplifying the detection steps. We
propose that this AuNP-based luminescent nanoswitch would find wide applications
in the field of life sciences and medicine.
PMID- 29373022
TI - Comment on "Visible-Light-Driven Photocatalytic Degradation of Organic Water
Pollutants Promoted by Sulfite Addition".
PMID- 29373023
TI - Understanding Conversion-Type Electrodes for Lithium Rechargeable Batteries.
AB - The need/desire to lower the consumption of fossil fuels and its environmental
consequences has reached unprecedented levels in recent years. A global effort
has been undertaken to develop advanced renewable energy generation and
especially energy storage technologies, as they would enable a dramatic increase
in the effective and efficient use of renewable (and often intermittent) energy
sources. The development of electrical energy storage (EES) technologies with
high energy and power densities, long life, low cost, and safe use represents a
challenge from both the fundamental science and technological application points
of view. While the advent and broad deployment of lithium-ion batteries (LIBs)
has dramatically changed the EES landscape, their performance metrics need to be
greatly enhanced to keep pace with the ever-increasing demands imposed by modern
consumer electronics and especially the emerging automotive markets. Current
battery technologies are mostly based on the use of a transition metal oxide
cathode (e.g., LiCoO2, LiFePO4, or LiNiMnCoO2) and a graphite anode, both of
which depend on intercalation/insertion of lithium ions for operation. While the
cathode material currently limits the battery capacity and overall energy
density, there is a great deal of interest in the development of high-capacity
cathode materials as well as anode materials. Conversion reaction materials have
been identified/proposed as potentially high-energy-density alternatives to
intercalation-based materials. However, conversion reaction materials react
during lithiation to form entirely new products, often with dramatically changed
structure and chemistry, by reaction mechanisms that are still not completely
understood. This makes it difficult to clearly distinguish the limitations
imposed by the mechanism and practical losses from initial particle morphology,
synthetic approaches, and electrode preparations. Transition metal compounds such
as transition metal oxides, sulfides, fluorides, phosphides, and nitrides can
undergo conversion reactions yielding materials with high theoretical capacity
(generally from 500 to 1500 mA h g-1). In particular, a number of transition
metal oxides and sulfides have shown excellent electrochemical properties as high
capacity anode materials. In addition, some transition metal fluorides have shown
great potential as cathode materials for Li rechargeable batteries. In this
Account we present mechanistic studies, with emphasis on the use of operando
methods, of selected examples of conversion-type materials as both potentially
high-energy-density anodes and cathodes in EES applications. We also include
examples of the conceptually similar conversion-type reactions involving
chalcogens and halogens, with emphasis on the Li-S system. In this case we focus
on the problems arising from the low electrical conductivities of elemental
sulfur and Li2S and the "redox shuttle" phenomena of polysulfides. In addition to
mechanistic insights from the use of operando methods, we also cover several key
strategies in electrode materials design such as controlling the size,
morphology, composition, and architecture.
PMID- 29373024
TI - Translocation of Bioactive Molecules through Carbon Nanotubes Embedded in the
Lipid Membrane.
AB - One of the major challenges of nanomedicine and gene therapy is the effective
translocation of drugs and genes across cell membranes. In this study, we
describe a systematic procedure that could be useful for efficient drug and gene
delivery into the cell. Using fully atomistic molecular dynamics (MD)
simulations, we show that molecules of various shapes, sizes, and chemistries can
be spontaneously encapsulated in a single-walled carbon nanotube (SWCNT) embedded
in a 1-palmitoyl-2-oleoyl-sn-glycero-3-phosphocholine (POPC) lipid bilayer, as we
have exemplified with dendrimers, asiRNA, ssDNA, and ubiquitin protein. We
compute the free energy gain by the molecules upon their entry inside the SWCNT
channel to quantify the stability of these molecules inside the channel as well
as to understand the spontaneity of the process. The free energy profiles suggest
that all molecules can enter the channel without facing any energy barrier but
experience a strong energy barrier (?kBT) to translocate across the channel. We
propose a theoretical model for the estimation of encapsulation and translocation
times of the molecules. Whereas the model predicts the encapsulation time to be
of the order of few nanoseconds, which match reasonably well with those obtained
from the simulations, it predicts the translocation time to be astronomically
large for each molecule considered in this study. This eliminates the possibility
of passive diffusion of the molecules through the CNT-nanopore spanning across
the membrane. To counter this, we put forward a mechanical method of ejecting the
encapsulated molecules by pushing them with other free-floating SWCNTs of
diameter smaller than the pore diameter. The feasibility of the proposed method
is also demonstrated by performing MD simulations. The generic strategy described
here should work for other molecules as well and hence could be potentially
useful for drug- and gene-delivery applications.
PMID- 29373025
TI - Effects of 4-Hydroxyphenyl 4-Isoprooxyphenylsulfone (BPSIP) Exposure on
Reproduction and Endocrine System of Zebrafish.
AB - The compound 4-hydroxyphenyl 4-isoprooxyphenylsulfone (BPSIP), a derivative of
bisphenol S (BPS), has been detected in thermal paper and human urine samples;
however, its potential effects on the endocrine system are largely unknown. The
present study was conducted to determine the adverse effects of BPSIP on egg
production, relative organ weights, plasma levels of sex hormones, and
transcription of genes related to the hypothalamus-pituitary-gonad (HPG) axis in
zebrafish (Danio rerio). In male fish, the gonadosomatic index was significantly
decreased at concentrations of 5 and 50 MUg/L BPSIP. The estrogenic (increase in
the 17beta-estradiol/testosterone [E2/T] ratio) and antiandrogenic (decrease in
T) effects were observed in fish exposed to BPSIP and males were more sensitive
to the adverse effects than females. The changes in sex hormones were supported
by the regulation of genes along the HPG axis, such as cyp19, 17betahsd, and
cyp17 transcripts. Although the effective concentration for endocrine disruption
was greater than that of BPS, the actions of BPSIP on the steroidogenic pathway
were similar to the effects of BPS exposure.
PMID- 29373026
TI - Super Strong Be-Be Bonds: Theoretical Insight into the Electronic Structure of Be
Be Complexes with Radical Ligands.
AB - The electronic structure of complexes formed by the interaction of Be2 with
radical ligands (L:Be-Be:L) has been studied by means of the high-level
theoretical protocol CCSD(T)/cc-pVTZ. At this level of theory, no matter the
nature of the ligand, the Be-Be bond becomes up to 300 times stronger compared to
isolated Be2, indicating that these kinds of complexes are thermodynamically
stable and, thus, that they could be experimentally detected. Moreover, among all
of the ligands considered, the strength of the Be-Be bond for L = [CN]* was
calculated to be 330 kJ.mol-1, slightly greater than the strongest up to date L =
F* complex, thus setting a new mark for the strongest Be-Be bond reported so far
in the literature. Wave function analysis methods explain this strong interaction
as a result of the oxidation of the Be2 moiety to Be22+ due to charge transfer
toward the L ligands. In this study, we have also considered F:Mg-Mg:F complexes,
which show very similar properties as the ones described for the analogous F:Be
Be:F.
PMID- 29373027
TI - Bisannulation of Benzamides and Cyclohexadienone-Tethered Allenes Triggered by
Cp*Rh(III)-Catalyzed C-H Activation and Relay Ene Reaction.
AB - The diastereoselective bisannulation of N-(pivaloyloxy)benzamides and
cyclohexadienone-tethered allenes was accomplished through Cp*Rh(III)-catalyzed C
H activation and relay ene reaction, providing a 3-isoquinolonyl cis
hydrobenzofuran framework with high yields and diastereoselectivities. This
reaction tolerates a wide range of functional groups, enabling further
conversions to tricyclic and bridged-ring structures. Moreover, the
dearomatization modification of phenol-contained bioactive molecule is also
elaborated.
PMID- 29373028
TI - Orbital Edelstein Effect as a Condensed-Matter Analog of Solenoids.
AB - We theoretically study current-induced orbital magnetization in a chiral crystal.
This phenomenon is an orbital version of the Edelstein effect. We propose an
analogy between the current-induced orbital magnetization and an Ampere field in
a solenoid in classical electrodynamics. To quantify this effect, we define a
dimensionless parameter from the response coefficients relating a current density
with an orbital magnetization. This dimensionless parameter can be regarded as a
number of turns within a unit cell when the crystal is regarded as a solenoid,
and it represents how "chiral" the crystal is. By focusing on the dimensionless
parameter, one can design a band structure that realizes the induction of large
orbital magnetization. In particular, a Weyl semimetal with all of the Weyl nodes
close to the Fermi energy can have a large value for this dimensionless
parameter, which can exceed that of a classical solenoid.
PMID- 29373029
TI - Nickel-Catalyzed Domino Heck Cyclization/Suzuki Coupling for the Synthesis of 3,3
Disubstituted Oxindoles.
AB - The first nickel-catalyzed domino Heck cyclization/Suzuki coupling reaction for
the synthesis of 3,3-disubstituted oxindoles bearing quaternary all-carbon
centers is reported. A wide range of electrophiles, such as aryl iodides,
bromides, triflates, and chlorides, are all compatible with the reaction
conditions. Moreover, cheap aryl esters, which undergo catalytic C-O bond
cleavage, could also be employed as electrophiles. The approach shows good yields
and broad scope, complementing a more practical and sustainable alternative to
the conventional palladium-based analogues.
PMID- 29373030
TI - Synthesis of Aromatic Sulfonamides through a Copper-Catalyzed Coupling of
Aryldiazonium Tetrafluoroborates, DABCO.(SO2)2, and N-Chloroamines.
AB - A copper-catalyzed aminosulfonylation of aryldiazonium tetrafluoroborates,
DABCO.(SO2)2, and N-chloroamines is described. This coupling reaction provides an
efficient and simple approach to a wide range of sulfonamides in moderate to good
yields under mild conditions. Mechanistic investigation suggests that a radical
process and transition-metal catalysis are merged in this tandem reaction.
PMID- 29373031
TI - Photoredox Approach to N-Acyl-N'-aryl-N,N'-aminals Using Enamides and Their
Conversion to gamma-Lactams.
AB - A photoredox catalytic approach to synthetically valuable N-acyl-N'-aryl-N,N'
aminals is described. This method uses the addition of a radical precursor to
enamides, with subsequent interception of the cationic iminium intermediate with
an arylamine. The reaction has been shown to be compatible with electron-rich and
electron-deficient arylamines, and moderate to good levels of
diastereoselectivity can be attained using a chiral enamide. Furthermore, the N
acyl-N'-aryl-N,N'-aminal reaction products can be readily cyclized, providing a
novel synthetic route to valuable gamma-lactams.
PMID- 29373033
TI - Investigation of Chemical Stability of Dihalogenated Organotelluranes in Organic
Aqueous Media: The Protagonism of Water.
AB - The biological activity of tellurium compounds is closely related to the
tellurium oxidation state or some of their structural features. Hypervalent
dihalogenated organotelluranes 1-[butyl(dichloro)-lambda4-tellanyl]-2
(methoxymethyl)benzene (1a) and 1-[butyl(dibromide)-lambda4-tellanyl]-2
(methoxymethyl)benzene (1b) have been described as inhibitors of proteases
(cysteine and threonine) and tyrosine phosphatases. However, poor attention has
been given to their physicochemical properties. Here, a detailed investigation of
the stability in water of these organotelluranes is reported using 125Te NMR
analysis. Dihalogenated organotelluranes 1a and 1b were both stable in DMSO- d6
(from 25 to 75 degrees C), demonstrating their thermal stability. However, the
addition of a phosphate buffer solution (pH 2-8) to 1a or 1b resulted in an
immediate conversion to a new Te species, assumed to be the corresponding
telluroxide. Similar behavior was observed in pure water, demonstrating the low
chemical stability of these dihalogenated species in the presence of water. These
results allow concluding that previous biological activity reported for
dihalogenated organotelluranes 1a and 1b could be attributed to the corresponding
derivatives from the reaction with water. In the same way as for AS-101, we
demonstrated that organotelluranes 1a and 1b are not stable in aqueous solution.
It suggests a proactive role of these organotelluranes in previously reported
biological activity.
PMID- 29373032
TI - Tissue Level Diet and Sex-by-Diet Interactions Reveal Unique Metabolite and
Clustering Profiles Using Untargeted Liquid Chromatography-Mass Spectrometry on
Adipose, Skeletal Muscle, and Liver Tissue in C57BL6/J Mice.
AB - Dietary intervention is commonly used for weight loss or to improve health, as
diet-induced obesity increases the risk of developing type 2 diabetes,
hypertension, cardiovascular disease, stroke, osteoarthritis, and certain
cancers. Various dietary patterns are associated with effects on health, yet
little is known about the effects of diet at the tissue level. Using untargeted
metabolomics, this study aimed to identify changes in water-soluble metabolites
in C57BL/6J males and females fed one of five diets (Japanese, ketogenic,
Mediterranean, American, and standard mouse chow) for 7 months. Metabolite
abundance was examined in liver, skeletal muscle, and adipose tissue for sex,
diet, and sex-by-diet interaction. Analysis of variance (ANOVA) suggests that
liver tissue has the most metabolic plasticity under dietary changes compared
with adipose and skeletal muscle. The ketogenic diet was distinguishable from
other diets for both males and females according to partial least-squares
discriminant analysis. Pathway analysis revealed that the majority of pathways
affected play an important role in amino acid metabolism in liver tissue. Not
surprisingly, amino acid profiles were affected by dietary patterns in skeletal
muscle. Few metabolites were significantly altered in adipose tissue relative to
skeletal muscle and liver tissue, indicating that it was largely stable,
regardless of diet alterations. The results of this study revealed that the
ketogenic diet had the largest effect on physiology, particularly for females.
Furthermore, metabolomics analysis revealed that diet affects metabolites in a
tissue-specific manner and that liver was most sensitive to dietary changes.
PMID- 29373034
TI - Hamigerans R and S: Nitrogenous Diterpenoids from the New Zealand Marine Sponge
Hamigera tarangaensis.
AB - Seven new members of the hamigeran family of diterpenoids have been isolated from
the New Zealand marine sponge Hamigera tarangaensis. Among the new additions are
hamigeran R (1), considered to be the first benzonitrile-based marine natural
product, and hamigeran S (2), the first dimeric structure in the series. The
formation of 1 and 2 is thought to occur via the reaction of hamigeran G with a
nitrogen source, where the nitrile carbon of 1 is derived from the terpenoid
skeleton.
PMID- 29373035
TI - Epithelial Na+ channel differentially contributes to shear stress-mediated
vascular responsiveness in carotid and mesenteric arteries from mice.
AB - A potential "new player" in arteries for mediating shear stress responses is the
epithelial Na+ channel (ENaC). The contribution of ENaC as shear sensor in intact
arteries, and particularly different types of arteries (conduit and resistance),
is unknown. We investigated the role of ENaC in both conduit (carotid) and
resistance (third-order mesenteric) arteries isolated from C57Bl/6J mice. Vessel
characteristics were determined at baseline (60 mmHg, no flow) and in response to
increased intraluminal pressure and shear stress using a pressure myograph. These
protocols were performed in the absence and presence of the ENaC inhibitor
amiloride (10 uM) and after inhibition of endothelial nitric oxide synthase
(eNOS) by Nomega-nitro-l-arginine methyl ester (l-NAME; 100 uM). Under no-flow
conditions, amiloride increased internal and external diameters of carotid (13 +/
2%, P < 0.05) but not mesenteric (0.5 +/- 0.9%, P > 0.05) arteries. In response
to increased intraluminal pressure, amiloride had no effect on the internal
diameter of either type of artery. However, amiloride affected the stress-strain
curves of mesenteric arteries. With increased shear stress, ENaC-dependent
effects were observed in both arteries. In carotid arteries, amiloride augmented
flow-mediated dilation (9.2 +/- 5.3%) compared with control (no amiloride, 6.2 +/
3.3%, P < 0.05). In mesenteric arteries, amiloride induced a flow-mediated
constriction (-11.5 +/- 6.6%) compared with control (-2.2 +/- 4.5%, P < 0.05). l
NAME mimicked the effect of ENaC inhibition and prevented further amiloride
effects in both types of arteries. These observations indicate that ENaC
contributes to shear sensing in conduit and resistance arteries. ENaC-mediated
effects were associated with NO production but may involve different (artery
dependent) downstream signaling pathways. NEW & NOTEWORTHY The epithelial Na+
channel (ENaC) contributes to shear sensing in conduit and resistance arteries.
In conduit arteries ENaC has a role as a vasoconstrictor, whereas in resistance
arteries ENaC contributes to vasodilation. Interaction of ENaC with endothelial
nitric oxide synthase/nitric oxide signaling to mediate the effects is supported;
however, cross talk with other shear stress-dependent signaling pathways cannot
be excluded.
PMID- 29373036
TI - TIMP3 deficiency exacerbates iron overload-mediated cardiomyopathy and liver
disease.
AB - Chronic iron overload results in heart and liver diseases and is a common cause
of morbidity and mortality in patients with genetic hemochromatosis and secondary
iron overload. We investigated the role of tissue inhibitor of metalloproteinase
3 (TIMP3) in iron overload-mediated tissue injury by subjecting male mice lacking
Timp3 ( Timp3-/-) and wild-type (WT) mice to 12 wk of chronic iron overload.
Whereas WT mice with iron overload developed diastolic dysfunction, iron
overloaded Timp3-/- mice showed worsened cardiac dysfunction coupled with
systolic dysfunction. In the heart, loss of Timp3 was associated with increased
myocardial fibrosis, greater Timp1, matrix metalloproteinase ( Mmp) 2, and Mmp9
expression, increased active MMP-2 levels, and gelatinase activity. Iron overload
in Timp3-/- mice showed twofold higher iron accumulation in the liver compared
with WT mice because of constituently lower levels of ferroportin. Loss of Timp3
enhanced the hepatic inflammatory response to iron overload, leading to greater
neutrophil and macrophage infiltration and increased hepatic fibrosis. Expression
of inflammation-related MMPs (MMP-12 and MMP-13) and inflammatory cytokines (IL
1beta and monocyte chemoattractant protein-1) was elevated to a greater extent in
iron-overloaded Timp3-/- livers. Gelatin zymography demonstrated equivalent
increases in MMP-2 and MMP-9 levels in WT and Timp3-/- iron-overloaded livers.
Loss of Timp3 enhanced the susceptibility to iron overload-mediated heart and
liver injury, suggesting that Timp3 is a key protective molecule against iron
mediated pathology. NEW & NOTEWORTHY In mice, loss of tissue inhibitor of
metalloproteinase 3 ( Timp3) was associated with systolic and diastolic
dysfunctions, twofold higher hepatic iron accumulation (attributable to
constituently lower levels of ferroportin), and increased hepatic inflammation.
Loss of Timp3 enhanced the susceptibility to iron overload-mediated injury,
suggesting that Timp3 plays a key protective role against iron-mediated
pathology.
PMID- 29373037
TI - Myocardial infarction-induced microRNA-enriched exosomes contribute to cardiac
Nrf2 dysregulation in chronic heart failure.
AB - The imbalance between the synthesis of reactive oxygen species and their
elimination by antioxidant defense systems results in macromolecular damage and
disruption of cellular redox signaling, affecting cardiac structure and function,
thus contributing to contractile dysfunction, myocardial hypertrophy, and
fibrosis in chronic heart failure [chronic heart failure (CHF)]. The Kelch-like
ECH-associated protein 1-nuclear factor erythroid 2-related factor 2 (Nrf2)
pathway is an important antioxidant defense mechanism and is closely associated
with oxidative stress-mediated cardiac remodeling in CHF. In the present study,
we investigated the regulation of myocardial Nrf2 in the postmyocardial
infarction (post-MI) state. Six weeks post-MI, Nrf2 protein was downregulated in
the heart, resulting in a decrease of Nrf2-targeted antioxidant enzymes, whereas
paradoxically the transcription of Nrf2 was increased, suggesting that
translational inhibition of Nrf2 may contribute to the dysregulation in CHF. We
therefore hypothesized that microRNAs may be involved in the translational
repression of Nrf2 mRNA in the setting of CHF. Using quantitative real-time PCR
analysis, we found that three microRNAs, including microRNA-27a, microRNA-28-3p,
and microRNA-34a, were highly expressed in the left ventricle of infarcted hearts
compared with other organs. Furthermore, in vitro analysis revealed that cultured
cardiac myocytes and fibroblasts expressed these three microRNAs in response to
TNF-alpha stimulation. These microRNAs were preferentially incorporated into
exosomes and secreted into the extracellular space in which microRNA-enriched
exosomes mediated intercellular communication and Nrf2 dysregulation. Taken
together, these results suggest that increased local microRNAs induced by MI may
contribute to oxidative stress by the inhibition of Nrf2 translation in CHF. NEW
& NOTEWORTHY The results of this work provide a novel mechanism mediated by
microRNA-enriched exosomes, contributing to the nuclear factor erythroid 2
related factor 2 dysregulation and subsequent oxidative stress. Importantly,
these new findings will provide a promising strategy to improve the therapeutic
efficacy through targeting nuclear factor erythroid 2-related factor 2-related
microRNAs in the chronic heart failure state, which show potentially clinical
applications.
PMID- 29373040
TI - Australian Adolescents and Young Adults-Trends in Cancer Incidence, Mortality,
and Survival Over Three Decades.
AB - PURPOSE: Cancer is a significant health concern for adolescents and young adults
(AYAs; aged 15-24 years). Monitoring population-level changes in incidence,
mortality, and survival is complicated by the lack of published data presenting
statistics separately for AYAs. This study synthesizes and reviews data on AYA
cancers in Australia, including trends in incidence and mortality. METHODS:
National data were extracted for 1980-2012, primarily from the Australian Cancer
Database and Australian National Mortality Database. Incidence, mortality, and
survival trends are described, and incidence and mortality projections are
reported. RESULTS: In 2000-2009, the annual all-cancer incidence was 31.7 cases
per 100,000 population, and the mortality rate was 4.1 per 100,000. Incidence,
mortality, and survival varied widely, indicating areas of concern. Melanoma was
the most common cancer, and bone cancer had the highest mortality and poorest
survival rates. All-cancer incidence rates peaked in the late 1990s, but then
declined, largely due to melanoma. All-cancer mortality decreased throughout the
study period, but showed no improvements for some common sites (i.e., brain,
bone, soft tissue). Further reductions in all-cancer incidence and mortality are
projected for the next decade, although specific cancers (colorectal cancers and
lymphomas) were projected to increase in incidence. CONCLUSIONS: Observed
Australian cancer trends are largely consistent with trends for other high-income
populations. While overall decreases in incidence and mortality are encouraging,
consistently high mortality and poor survival for some cancers remain concerning.
Planned data initiatives for AYAs with cancer will aid in resolving whether
trends continue and projections are realized in the future.
PMID- 29373039
TI - Contraceptive Method Choice Among Young Adults: Influence of Individual and
Relationship Factors.
AB - Because decisions related to contraceptive behavior are often made by young
adults in the context of specific relationships, the relational context likely
influences use of contraceptives. Data presented here are from in-person
structured interviews with 536 Black, Hispanic, and White young adults from East
Los Angeles, California. We collected partner-specific relational and
contraceptive data on all sexual partnerships for each individual, on four
occasions, over one year. Using three-level multinomial logistic regression
models, we examined individual and relationship factors predictive of
contraceptive use. Results indicated that both individual and relationship
factors predicted contraceptive use, but factors varied by method. Participants
reporting greater perceived partner exclusivity and relationship commitment were
more likely to use hormonal/long-acting methods only or a less effective
method/no method versus condoms only. Those with greater participation in sexual
decision making were more likely to use any method over a less effective
method/no method and were more likely to use condoms only or dual methods versus
a hormonal/long-acting method only. In addition, for women only, those who
reported greater relationship commitment were more likely to use hormonal/long
acting methods or a less effective method/no method versus a dual method. In
summary, interactive relationship qualities and dynamics (commitment and sexual
decision making) significantly predicted contraceptive use.
PMID- 29373038
TI - Actin polymerization contributes to enhanced pulmonary vasoconstrictor reactivity
after chronic hypoxia.
AB - Chronic hypoxia (CH) augments basal and endothelin-1 (ET-1)-induced pulmonary
vasoconstrictor reactivity through reactive oxygen species (ROS) generation and
RhoA/Rho kinase (ROCK)-dependent myofilament Ca2+ sensitization. Because ROCK
promotes actin polymerization and the actin cytoskeleton regulates smooth muscle
tension, we hypothesized that actin polymerization is required for enhanced basal
and ET-1-dependent vasoconstriction after CH. To test this hypothesis, both end
points were monitored in pressurized, endothelium-disrupted pulmonary arteries
(fourth-fifth order) from control and CH (4 wk at 0.5 atm) rats. The actin
polymerization inhibitors cytochalasin and latrunculin attenuated both basal and
ET-1-induced vasoconstriction only in CH vessels. To test whether CH directly
alters the arterial actin profile, we measured filamentous actin (F-actin)-to
globular actin (G-actin) ratios by fluorescent labeling of F-actin and G-actin in
fixed pulmonary arteries and actin sedimentation assays using homogenized
pulmonary artery lysates. We observed no difference in actin polymerization
between groups under baseline conditions, but ET-1 enhanced actin polymerization
in pulmonary arteries from CH rats. This response was blunted by the ROS
scavenger tiron, the ROCK inhibitor fasudil, and the mDia (RhoA effector)
inhibitor small-molecule inhibitor of formin homology domain 2. Immunoblot
analysis revealed an effect of CH to increase both phosphorylated (inactive) and
total levels of the actin disassembly factor cofilin but not phosphorylated
cofilin-to-total cofilin ratios. We conclude that actin polymerization
contributes to increased basal pulmonary arterial constriction and ET-1-induced
vasoconstrictor reactivity after CH in a ROS- and ROCK-dependent manner. Our
results further suggest that enhanced ET-1-mediated actin polymerization after CH
is dependent on mDia but independent of changes in the phosphorylated cofilin-to
total cofilin ratio. NEW & NOTEWORTHY This research is the first to demonstrate a
role for actin polymerization in chronic hypoxia-induced basal pulmonary arterial
constriction and enhanced agonist-induced vasoconstrictor activity. These results
suggest that a reactive oxygen species-Rho kinase-actin polymerization signaling
pathway mediates this response and may provide a mechanistic basis for the
vasoconstrictor component of pulmonary hypertension.
PMID- 29373041
TI - What Predicts Exercise Maintenance and Well-Being? Examining The Influence of
Health-Related Psychographic Factors and Social Media Communication.
AB - Habitual exercising is an important precursor to both physical and psychological
well-being. There is, thus, a strong interest in identifying key factors that can
best motivate individuals to sustain regular exercise regimen. In addition to the
importance of psychographic factors, social media use may act as external
motivator by allowing users to interact and communicate about exercise. In this
study, we examined the influence of health consciousness, health-oriented
beliefs, intrinsic motivation, as willingness to communicate about health on
social media, social media activity on exercise, and online social support on
exercise maintenance and well-being on a sample of 532 American adults. Employing
structural equation modeling, we found that health-oriented beliefs mediated the
effect of health consciousness on intrinsic motivation which in turn was a
significant predictor of exercise maintenance. Exercise maintenance significantly
predicted both physical and psychological well-being. Extrinsic motivators, as
measured by willingness to communicate about health on social media, social media
activity on exercise, and online social support did not however significantly
influence exercise maintenance. These findings have implications for the design
and implementation of exercise-promoting interventions by identifying underlying
factors that influence exercise maintenance.
PMID- 29373042
TI - A Test of The Risk Perception Attitude Framework as a Message Tailoring Strategy
to Promote Diabetes Screening.
AB - The risk perception attitude (RPA) framework was tested as a message tailoring
strategy to encourage diabetes screening. Participants (N = 602) were first
categorized into one of four RPA groups based on their diabetes risk and efficacy
perceptions and then randomly assigned to receive a message that matched their
RPA, mismatched their RPA, or a control message. Participants receiving a matched
message reported greater intentions to engage in self-protective behavior than
participants who received a mismatched message or the control message. The
results also showed differences in attitudes and behavioral intentions across the
four RPA groups. Participants in the responsive group had more positive attitudes
toward diabetes screening than the other three groups, whereas participants in
the indifferent group reported the weakest intentions to engage in self
protective behavior.
PMID- 29373043
TI - Expired Epinephrine Maintains Chemical Concentration and Sterility.
AB - OBJECTIVES: Epinephrine shortages affect nearly all American emergency medical
services (EMS) systems. Utilization of expired epinephrine could mitigate this
situation in daily EMS operations. Concerns about using expired medications
include sterility, potency, and potential harmful chemical decay byproducts.
There are no cross-platform analyses of sterility and chemical purity of multiple
samples of expired parenteral epinephrine. We hypothesized that epinephrine
injections will remain sterile and will retain their active ingredient's content
for more than 30 months past expiration. METHODS: Six parenteral epinephrine
prefilled syringes, 1 mg/10 mL, with an expiration date of January 1, 2012 had
been stored in the climate controlled setting of a hospital inpatient pharmacy
where they remained until they were taken for chemical or microbial analysis 30
months after expiration. An unexpired parenteral epinephrine prefilled syringe
content was used as a control. Contents of three separate syringes with expired
content from the same lot and one control underwent ultra-high pressure liquid
chromatography-mass spectrometry (UHPLC-MS) and nuclear magnetic resonance (NMR)
to determine epinephrine content and stability. In parallel, contents of another
three expired epinephrine syringes were analyzed for sterility by plating on
aerobic, anaerobic, and fungal media in a hospital microbiology laboratory. The
aerobic plates were checked for growth in 3 days, the anaerobic in 5 days, and
the fungal in 28 days. RESULTS: UHPLC-MS and NMR showed that content of
epinephrine present in the original sample remained unchanged compared to the
control. There was no statistical difference in the UHPLC-MS and NMR signal
amplitudes between the control and the expired samples. No chemical degradation
byproducts were detected using NMR. There was no growth of any bacteria or
fungus. CONCLUSION: Recurrent epinephrine shortages impact EMS and hospital
operations in the United States. Individual administrators may be hesitant to
authorize use of expired pharmaceuticals due to perceived potential complications
or fear of litigation. This study shows that the original parenteral epinephrine
remains sterile and detectably pure more than 2.5 years after expiration. Further
study of the sterility and chemical integrity of expired medications that had
been subjected to the conditions of EMS vehicles may be a future research
endeavor based on the aforementioned paradigm.
PMID- 29373044
TI - Interactive Effect between On-Scene Hypoxia and Hypotension on Hospital Mortality
and Disability in Severe Trauma.
AB - BACKGROUND: It is unclear whether effect size of the hypoxia is different on in
hospital mortality and disability according to hypotension status in the field.
METHODS: Adult severe trauma (ST) patients during 2012-13 who were treated by
emergency medical services (EMS) and had abnormal revised trauma scores in the
field or who had positive trauma triage criteria were analyzed. Exposure was
hypoxia (<94%) measured by EMS. End points were hospital mortality and disability
defined as a Glasgow Outcome Scale that decreased by 2 points or more.
Multivariable logistic regression with interaction model between hypoxia and
hypotension was used for outcomes to calculate the adjusted odds ratios (AOR)
with 95% confidence intervals (95% CIs) after adjusting for potential
confounders. RESULTS: A total of 17,406 EMS-ST patients were analyzed. Of those,
2,598 (14.9%) died, and 3,292 (21.5%) were considered disabled at discharge. The
total hypoxia group showed higher mortality and disability indices (35.7 and
51.2%) than the non-hypoxia group (10.7 and 15.9%) (each p-value < 0.0001). The
AOR of hypoxia was 2.15 (1.92-2.40) for mortality and was 1.97 (1.75-2.21) for
disability. In the interaction model, AORs for mortality by hypoxia in the
hypotensive and non-hypotensive groups were 2.66 (2.32-3.04) and 1.74 (1.61
1.87), respectively (P < 0.0001 for interaction). The AORs for disability in the
hypotensive and non-hypotensive groups were 2.17 (1.87-2.53) and 1.55 (1.42
1.69), respectively (P < 0.0001 for interaction). CONCLUSIONS: The effect of
hypoxia was much greater in the hypotensive group than in the non-hypotensive
group both in terms of mortality and disability.
PMID- 29373045
TI - Maternal high-fat diet acts on the brain to induce baroreflex dysfunction and
sensitization of angiotensin II-induced hypertension in adult offspring.
AB - Accumulating evidence indicates that maternal high-fat diet (HFD) is associated
with metabolic syndrome and cardiovascular disease in adult offspring. The
present study tested the hypothesis that maternal HFD modulates the brain renin
angiotensin system (RAS), oxidative stress, and proinflammatory cytokines that
alter angiotensin II (ANG II) and TNF-alpha actions and sensitize the ANG II
elicited hypertensive response in adult offspring. All offspring were cross
fostered by dams on the same or opposite diet to yield the following four groups:
offspring from normal-fat control diet-fed dams suckled by control diet-fed dams
(OCC group) or by HFD-fed dams (OCH group) and offspring from HFD-fed dams fed a
HFD suckled by control diet-fed dams (OHC group) or by HFD-fed dams (OHH group).
RT-PCR analyses of the lamina terminalis and paraventricular nucleus indicated
upregulation of mRNA expression of several RAS components, NADPH oxidase, and
proinflammatory cytokines in 10-wk-old male offspring of dams fed a HFD during
either pregnancy, lactation, or both (OHC, OCH, and OHH groups). These offspring
also showed decreased cardiac baroreflex sensitivity and increased pressor
responses to intracerebroventricular microinjection of either ANG II or TNF
alpha. Furthermore, chronic systemic infusion of ANG II resulted in enhanced
upregulation of mRNA expression of RAS components, NADPH oxidase, and
proinflammatory cytokines in the lamina terminalis and paraventricular nucleus
and an augmented hypertensive response in the OHC, OCH, and OHH groups compared
with the OCC group. The results suggest that maternal HFD blunts cardiac
baroreflex function and enhances pressor responses to ANG II or proinflammatory
cytokines through upregulation of the brain RAS, oxidative stress, and
inflammation. NEW & NOTEWORTHY The results of our study indicate that a maternal
high-fat diet during either pregnancy or lactation is sufficient for perinatal
programming of sensitization for hypertension, which is associated with
hyperreactivity of central cardiovascular nuclei that, in all likelihood,
involves elevated expression of the renin-angiotensin system, NADPH oxidase, and
proinflammatory cytokines. The present study demonstrates, for the first time,
the central mechanism underlying maternal high-fat diet sensitization of the
hypertensive response in adult offspring.
PMID- 29373046
TI - Comparative Evaluation of Using NOTA and DOTA Derivatives as Bifunctional
Chelating Agents in the Preparation of 68Ga-Labeled Porphyrin: Impact on
Pharmacokinetics and Tumor Uptake in a Mouse Model.
AB - PURPOSE: Both NOTA (1,4,7-triazacyclononane-1,4,7-triacetic acid) and DOTA
(1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid) derivatives have been
used as bifunctional chelating agents (BFCAs) for the preparation of 68Ga-labeled
target-specific agents having potential for positron emission tomography (PET)
imaging of cancerous lesions. In the present work, the authors have attempted a
comparative pharmacokinetic evaluation between 68Ga-labeled porphyrins prepared
using NOTA and DOTA derivatives as the BFCAs. PROCEDURES: A symmetrical porphyrin
derivative, 5,10,15,20-tetrakis(p-carboxymethyleneoxyphenyl)porphyrin, was
synthesized and coupled with two different BFCAs viz. p-NH2-benzyl-NOTA and p-NH2
benzyl-DOTA. Both the porphyrin-BFCA conjugates were radiolabeled with 68Ga. A
comparative bioevaluation involving pharmacokinetics and tumor affinity was
performed in a tumor-bearing small animal model. RESULTS: Gallium-68-labeled
porphyrin-amido-benzyl-NOTA and porphyrin-amido-benzyl-DOTA complexes were
prepared with high radiochemical purity. Both radiolabeled complexes exhibited
almost similar stability in human serum and near-identical tumor affinity and
pharmacokinetic behavior in animal studies. CONCLUSION: The present study
demonstrates that the pharmacokinetic behavior of 68Ga-labeled porphyrin
derivatives, prepared using either NOTA or DOTA derivatives as BFCAs, remains
almost identical and hence both NOTA and DOTA derivatives could be considered
equivalent for developing 68Ga-based PET agents for imaging of tumorous lesions.
PMID- 29373047
TI - The study of surface electromyography used for the assessment of abductor
hallucis muscle activity in patients with hallux valgus.
AB - BACKGROUND: Hallux valgus is a common foot disorder. In patients with hallux
valgus, the anatomy and biomechanics of foot is subject to alterations.
OBJECTIVE: The aim of this clinical and neurophysiological study is to compare
the activity of abductor hallucis (AbdH) muscle between the group of patients
with hallux valgus and control group of healthy people, with the use of surface
electromyography. METHODS: The study involved 44 feet with diagnosed hallux
valgus (research group) and 42 feet without deformation (control group). The X
ray images, measurements of range of motion in the first metatarsophalangeal
joint and in hallux interphalangeal joint, and the surface electromyography study
recorded from AbdH muscle were performed. RESULTS: Considering the amplitude of
motor unit action potential, study participants with hallux valgus demonstrate
significantly less activity of AbdH muscle than people without hallux valgus
deformity. This activity is not dependent on the severity of valgus, age, or
range of motion. CONCLUSIONS: It is speculated that the changes of the AbdH
function may occur in the period before clinical appearance of hallux valgus
deformity, or at the onset of distortion development. Further studies are needed
for a comprehensive assessment of AbdH muscle in patients with hallux valgus.
PMID- 29373049
TI - Predicting Short- and Long-Term Mating Orientations: The Role of Sex and the Dark
Tetrad.
AB - Previous literature has extensively considered factors that influence short- and
long-term mating orientations, with specific attention given to individual
differences (e.g., sex and personality). Although research has established the
role "darker" personality traits (i.e., the dark triad) play in mating
orientation, this triad has recently been reconceptualized as a tetrad. Due to
this reconceptualization, the current study sought to establish the utility of
sex and the dark tetrad in predicting individual short- and long-term mating
orientations. In addition, as an alternative to previous methodology, the
orientations were assessed using a continuous measure. A total of 464
participants, ages 18 to 69, completed an online questionnaire assessing dark
tetrad traits and mating orientations. Results showed that sex, trait
psychopathy, and trait sadism were significant predictors of a short-term mating
orientation. For long-term mating orientations, there was no predictive utility
of sex, but there were positive associations for narcissism and negative
associations for psychopathy and sadism. These findings add further understanding
of the predictors of mating orientation and the utility of the tetrad in
predicting mating orientations. In addition, the findings offer future mating
orientation studies an alternative measure to the traditional dichotomous format.
PMID- 29373048
TI - Role of TGF-beta activated kinase-1 inhibitor on the interaction between
macrophages and mesangial cells on the condition of high glucose.
AB - OBJECTIVE: To investigate the effect of TGF-beta activated kinase-1(TAK1)
inhibitor 5Z-7-oxozeaenol on the interaction between macrophages and mesangial
cells exposed to high glucose. METHODS: The macrophages and mesangial cells were
cultured separately or co-cultured and divided into seven groups: inhibitor
control group, mannitol control group, normal control group, high glucose group
and inhibitor groups. The expression of p-TAK1, TAK1 binding protein (TAB1),
transcription factor NF - kappa B (NF-kappaB p65) of macrophages were analyzed by
Western blotting. The intracellular localization of NF-kappaB p65 was analyzed by
immunofluorescence. The levels of inflammation cytokines and extracellular matrix
were determined by enzyme-linked immune sorbent assay. Migration of macrophages
was observed by microscope. RESULTS: Compared with control group, the expression
of p-TAK1, TAB1, NF-kappaB p65 were significantly higher in high glucose group (P
< 0.05). Both in co-culture group and single culture group, the levels of
inflammation cytokines and extracellular matrix (P < 0.05) in high glucose group
were higher than that in control group. Exposed to high glucose, the levels of
inflammation cytokines and extracellular matrix in co-cultured group were higher
than that in single culture group (P < 0.05). 5Z-7-oxozeaenol can decrease those
cytokines secretion, comparing with high glucose group (P < 0.05). The number of
macrophages migration were decreased by 5Z-7-oxozeaenol (P < 0.05). CONCLUSION:
Exposed to high glucose, macrophages and mesangial cells can interact with each
other to promote the secretion of inflammation cytokines and extracellular
matrix. TAK1 inhibitor can reduce the secretion of inflammation cytokines and
extracellular matrix components by intervening NF-kappaB p65 nuclear transfer and
inhibiting macrophage migration.
PMID- 29373050
TI - Diagnostic Accuracy of the CASI-4R Psychosis Subscale for Children Evaluated in
Pediatric Outpatient Clinics.
AB - Diagnostic accuracy of the Diagnostic and Statistical Manual of Mental Disorders
oriented Child and Adolescent Symptom Inventory (CASI-4R) Psychotic Symptoms
scale was tested using receiver operating characteristic analyses to identify
clinically significant psychotic symptoms. Participants were new outpatients (N =
700), ages 6.0 to 12.9 years (M = 9.7, SD = 1.8) at 9 child outpatient mental
health clinics, who participated in the Longitudinal Assessment of Manic Symptoms
(LAMS) Study baseline assessment. Because LAMS undersampled participants with low
mania scores by design, present analyses weighted low scorers to produce unbiased
estimates. Psychotic symptoms, operationally defined as a score of 3 or more for
hallucinations or 4 or more for delusions based on the Schedule for Affective
Disorders and Schizophrenia (K-SADS) psychosis items, occurred in 7% of youth. K
SADS diagnoses for those identified with psychotic symptoms above threshold
included major depressive disorder, bipolar spectrum disorder, attention
deficit/hyperactivity disorder, posttraumatic stress disorder, psychotic
disorders, and autism spectrum disorder. The optimal psychosis screening cut
score (maximizing sensitivity and specificity) was 2.75+ (corresponding
diagnostic likelihood ratio [DiLR] = 4.29) for the parent version and 3.50+ (DiLR
= 5.67) for the teacher version. The Area under the Curve for parent and teacher
report was .83 and .74 (both p < .001). Parent report performed significantly
better than teacher report for identifying psychotic symptoms above threshold (p
= .03). The CASI-4R Psychosis subscale (J) appears clinically useful for
identifying psychotic symptoms in children because of its brevity and accuracy.
PMID- 29373051
TI - Self-Perceived Physical Attractiveness in Relation to Scars Among Adolescent and
Young Adult Cancer Survivors: A Population-Based Study.
AB - OBJECTIVE: Cancer treatment may result in various effects that last long after
treatment has been concluded. The purpose of this study was to explore to what
extent scars affect adolescents and young adults postcancer treatment. METHODS:
In this population-based study, a study-specific questionnaire was developed by a
method used in several previous investigations carried out by our research group,
Clinical Cancer Epidemiology. Question development involved expert validation by
professionals from oncology units, midwives, epidemiologists, and statisticians.
The questionnaire was developed in collaboration with adolescent and young adult
cancer survivors. The topics covered in the questionnaire were as follows:
psychosocial health, body image and sexuality, fertility, education, work, and
leisure. The web-based questionnaire was sent to teenage and young adult cancer
survivors and matched controls in Sweden. RESULTS: In this study, the relative
risk of feeling less attractive due to scars was higher both for female cancer
survivors RR 1.48, CI 1.05-2.08 and male cancer survivors RR 1.90, CI 1.15-3.13
compared to controls. The feeling of attractiveness was negatively related to the
size of scars in both cancer and control groups. In a logistic regression
analysis, significant associations were found between age, education, exercise,
depression, and the feeling of low attractiveness due to scars. CONCLUSIONS: The
results of this study provide a basis for care interventions for teenage and
young adult cancer patients during and after cancer treatment. Further research
is needed on care interventions to reduce, if possible, the impact of scars.
PMID- 29373052
TI - Methylenetetrahydrofolate reductase C677T polymorphism and diabetic retinopathy.
PMID- 29373053
TI - The Status-Health Paradox: Organizational Context, Stress Exposure, and Well
being in the Legal Profession.
AB - Prior research evaluates the health effects of higher status attainment by
analyzing highly similar individuals whose circumstances differ after some
experience a "status boost." Advancing that research, we assess health
differences across organizational contexts among two national samples of lawyers
who were admitted to the bar in the same year in their respective countries. We
find that higher-status lawyers in large firms report more depression than lower
status lawyers, poorer health in the American survey, and no health advantage in
Canada. Adjusting for income exacerbates these patterns-were it not for their
higher incomes, large-firm lawyers would have a greater health disadvantage.
Last, we identify two stressors in the legal profession, overwork and work-life
conflict, that are more prevalent in the private sector and increase with firm
size. Adjusting for these stressors explains well-being differences across
organizational contexts. This study documents the role of countervailing
mechanisms in health inequality research.
PMID- 29373055
TI - Strategies for Inclusion, 3rd Edition By Lauren J. Lieberman and Cathy Houston
Wilson.
PMID- 29373054
TI - Erratum.
PMID- 29373057
TI - Athletic Training Service Characteristics for Patients With Ankle Sprains
Sustained During High School Athletics.
AB - CONTEXT: Limited information exists on the amount and type of care provided by
athletic trainers (ATs) treating athletes who sustained ankle sprains in the high
school setting. OBJECTIVE: To describe AT services provided for patients with
ankle sprains injured in high school athletics. DESIGN: Descriptive
epidemiology study. SETTING: Athletic training facility (ATF) visits and AT
services collected from 147 high schools in 26 states. PATIENTS OR OTHER
PARTICIPANTS: High school student-athletes participating in 13 boys' and 14
girls' sports who sustained a diagnosed ankle sprain during the 2011-2012 through
2013-2014 academic years. The ATs documented 3213 ankle sprains. MAIN OUTCOME
MEASURE(S): Number of ATF visits and individual AT services and mean ATF visits
(per injury) and AT services (per injury) were calculated by sport and for time
loss injuries (participation-restriction time of at least 24 hours) and non-time
loss injuries (participation-restriction time <24 hours). RESULTS: During the 3
year period, 19 925 ATF visits were reported, with an average of 6 (interquartile
range = 1-7) ATF visits per ankle sprain. Most ATF visits were for non-time-loss
injuries (65.1%). Football accounted for the largest proportions of ankle sprains
(27.3%) and ATF visits (35.0%). In total, 71 404 AT services were provided for
ankle sprains. Therapeutic activities or exercise were the most common AT
services (47.4%), followed by neuromuscular reeducation (16.6%), strapping
(14.2%), and modalities (11.5%). An average of 22 (interquartile range = 4-28) AT
services were reported per ankle sprain. The average number of AT services per
injury was higher among patients with time-loss than non-time-loss injuries (35
versus 19; P < .001). CONCLUSIONS: The ATs provided a variety of services to
treat high school athletes who had sustained ankle sprains, including therapeutic
exercises and neuromuscular reeducation, which were supported by research.
However, ATs should consider using manual therapy (use supported by grade B
evidence) and therapeutic exercise more (use supported by grade A evidence).
PMID- 29373056
TI - Linear Acceleration in Direct Head Contact Across Impact Type, Player Position,
and Playing Scenario in Collegiate Women's Soccer Players.
AB - CONTEXT: Heading, an integral component of soccer, exposes athletes to a large
number of head impacts over a career. The literature has begun to indicate that
cumulative exposure may lead to long-term functional and psychological deficits.
Quantifying an athlete's exposure over a season is a first step in understanding
cumulative exposure. OBJECTIVE: To measure the frequency and magnitude of
direct head impacts in collegiate women's soccer players across impact type,
player position, and game or practice scenario. DESIGN: Cross-sectional study.
SETTING: National Collegiate Athletic Association Division I institution.
PATIENTS OR OTHER PARTICIPANTS: Twenty-three collegiate women's soccer
athletes. MAIN OUTCOME MEASURE(S): Athletes wore Smart Impact Monitor
accelerometers during all games and practices. Impacts were classified during
visual, on-field monitoring of athletic events. All direct head impacts that
exceeded the 10 g threshold were included in the final data analysis. The
dependent variable was linear acceleration, and the fixed effects were (1) type
of impact: clear, pass, shot, unintentional deflection, or head-to-head contact;
(2) field position: goalkeeper, defense, forward, or midfielder; (3) playing
scenario: game or practice. RESULTS: Shots (32.94 g +/- 12.91 g, n = 38; P =
.02) and clears (31.09 g +/- 13.43 g, n = 101; P = .008) resulted in higher mean
linear accelerations than passes (26.11 g +/- 15.48 g, n = 451). Head-to-head
impacts (51.26 g +/- 36.61 g, n = 13; P < .001) and unintentional deflections
(37.40 g +/- 34.41 g, n = 24; P = .002) resulted in higher mean linear
accelerations than purposeful headers (ie, shots, clears, and passes). No
differences were seen in linear acceleration across player position or playing
scenario. CONCLUSIONS: Nonheader impacts, including head-to-head impacts and
unintentional deflections, resulted in higher mean linear accelerations than
purposeful headers, including shots, clears, and passes, but occurred
infrequently on the field. Therefore, these unanticipated impacts may not add
substantially to an athlete's cumulative exposure, which is a function of both
frequency and magnitude of impact.
PMID- 29373058
TI - A Functional Return-to-Play Progression After Exertional Heat Stroke in a High
School Football Player.
AB - OBJECTIVE: To present a functional return-to-play (RTP) progression after
exertional heat stroke (EHS) in a 17-year-old high school football defensive end
(height = 185 cm, mass = 145.5 kg). BACKGROUND: The patient had no pertinent
medical history but moved to a warm climate several days before the EHS occurred.
After completing an off-season conditioning test (14- * 110-yd [12.6- * 99.0-m]
sprints) on a warm afternoon (temperature = approximately 34 degrees C [93
degrees F], relative humidity = 53%), the patient collapsed. An athletic trainer
(AT) was called to the field, where he found the patient conscious but exhibiting
central nervous system dysfunction. Emergency medical services were summoned and
immediately transported the patient to the hospital. DIFFERENTIAL DIAGNOSIS:
Exertional heat stroke, heat exhaustion, exertional sickling, rhabdomyolysis, and
cardiac arrhythmia. TREATMENT: The patient was immediately transported to a
hospital, where his oral temperature was 39.6 degrees C (103.3 degrees F). He was
transferred to a children's hospital and treated for rhabdomyolysis,
transaminitis, and renal failure. He was hospitalized for 11 days. After a
physician's clearance once the laboratory results normalized, an RTP progression
was completed. The protocol began with light activity and progressed over 3 weeks
to full football practice. During activity, an AT monitored the patient's
gastrointestinal temperature, heart rate, rating of perceived exertion, fluid
consumption, and sweat losses. UNIQUENESS: Documentation of RTP guidelines for
young athletes is lacking. We used a protocol intended for the football setting
to ensure the athlete was heat tolerant, had adequate physical fitness, and could
safely RTP. Despite his EHS, he recovered fully, with no lasting effects, and
successfully returned to compete in the final 5 games of the season. CONCLUSIONS:
Using a gradual RTP progression and close monitoring, a high school defensive
end successfully returned to football practice and games after EHS. This case
demonstrates the feasibility of implementing a safe RTP protocol after EHS and
may serve as a guide to ATs working in the high school setting. This case also
highlights the need for more research in this area.
PMID- 29373061
TI - Cirripede Cypris Antennules: How Much Structural Variation Exists Among
Balanomorphan Species from Hard-Bottom Habitats?
AB - Barnacle cypris antennules are important for substratum attachment during
settlement and on through metamorphosis from the larval stage to sessile adult.
Studies on the morphology of cirripede cyprids are mostly qualitative, based on
descriptions from images obtained using a scanning electron microscope (SEM). To
our knowledge, our study is the first to use scanning electron microscopy to
quantify overall structural diversity in cypris antennules by measuring 26
morphological parameters, including the structure of sensory organs. We analyzed
cyprids from seven species of balanomorphan barnacles inhabiting rocky shore
communities; for comparison, we also included a sponge-inhabiting balanomorphan
and a verrucomorphan species. Multivariate analysis of the structural parameters
resulted in two distinct clusters of species. From nonmetric multidimensional
scaling plots, the sponge-inhabiting Balanus spongicola and Verruca stroemia
formed one cluster, while the other balanomorphan species, all from hard bottoms,
grouped together in the other cluster. The shape of the attachment disk on
segment 3 is the key parameter responsible for the separation into two clusters.
The present results show that species from a coastal hard-bottom habitat may
share a nearly identical antennular structure that is distinct from barnacles
from other habitats, and this finding supports the fact that such species also
have rather similar reactions to substratum cues during settlement. Any
differences that may be found in settlement biology among such species must
therefore be due either to differences in the properties of their adhesive
mechanisms or to the way that sensory stimuli are detected by virtually identical
setae and processed into settlement behavior by the cyprid.
PMID- 29373062
TI - Eastern Oysters Crassostrea virginica Produce Plastic Morphological Defenses in
Response to Crab Predators Despite Resource Limitation.
AB - Many prey react to predation risk by altering their phenotype to reduce their
chances of being consumed but incur reductions in growth and fecundity when
reacting to predators. To determine when to produce defenses, prey collect
information and evaluate the costs and benefits of defense induction. Resource
availability can affect prey ability and willingness to incur defense costs. When
resources are scarce, defenses may suffer disproportionate decreases in energy
allocation if defenses would further reduce prey access to resources or if
resources are needed to maintain metabolic functions. We tested the effects of
predation risk and resource availability on plastic defenses in eastern oysters
Crassostrea virginica and present novel findings that oysters continued to
produce defended shells in response to predators when resources were limited,
even though they grew smaller, lighter shells when deprived of food in control
conditions. Predation risk affected all three tested shell metrics (area, weight,
and strength), but food availability did not. Although low food levels often
limit expression of predator defenses, predator cues caused oysters to build
shells that were larger and heavier, with a similar trend for shell strength, in
treatments with both low and high food levels, suggesting that predation is an
important pressure in this system. The differences between predator and control
treatments were greater under conditions of low food availability, and thus,
resource availability may influence interpretations of plastic responses to
predators.
PMID- 29373060
TI - Comparison of Compressive Myofascial Release and the Graston Technique for
Improving Ankle-Dorsiflexion Range of Motion.
AB - CONTEXT: Restricted dorsiflexion (DF) at the ankle joint can cause acute and
chronic injuries at the ankle and knee. Myofascial release and instrument
assisted soft tissue mobilization (IASTM) techniques have been used to increase
range of motion (ROM); however, evidence directly comparing their effectiveness
is limited. OBJECTIVE: To compare the effects of a single session of
compressive myofascial release (CMR) or IASTM using the Graston Technique (GT) on
closed chain ankle-DF ROM. DESIGN: Randomized controlled trial. SETTING:
Laboratory. PATIENTS OR OTHER PARTICIPANTS: Participants were 44 physically
active people (53 limbs) with less than 30 degrees of DF. INTERVENTION(S):
Limbs were randomly assigned to 1 of 3 groups: control, CMR, or GT. Both
treatment groups received one 5-minute treatment that included scanning the area
and treating specific restrictions. The control group sat for 5 minutes before
measurements were retaken. MAIN OUTCOME MEASURE(S): Standing and kneeling ankle
DF were measured before and immediately after treatment. Change scores were
calculated for both positions, and two 1-way analyses of variance were conducted.
RESULTS: A difference between groups was found in the standing ( F2,52 = 13.78,
P = .001) and kneeling ( F2,52 = 5.85, P = .01) positions. Post hoc testing
showed DF improvements in the standing position after CMR compared with the GT
and control groups (both P = .001). In the kneeling position, DF improved after
CMR compared with the control group ( P = .005). CONCLUSIONS: Compressive
myofascial release increased ankle DF after a single treatment in participants
with DF ROM deficits. Clinicians should consider adding CMR as a treatment
intervention for patients with DF deficits.
PMID- 29373063
TI - Growing Safe: Acute Size Escape from Desiccation in Juvenile Crepipatella
peruviana (Mollusca: Gastropoda).
AB - Desiccation is an important limiting factor in the intertidal zone. Generally
decreasing seaward, desiccation stress can also be alleviated in wet
microhabitats. Juvenile snails are generally more susceptible to desiccation than
adults, and, for some species, juveniles must therefore hide in microhabitats to
survive emersion. The transition from hiding in safe microhabitats to being able
to survive fully exposed for the duration of low tide is not well documented. In
this study, we investigated the influence of size on desiccation tolerance in
juveniles of the calyptraeid gastropod Crepipatella peruviana to determine the
size at which they can first survive exposure to air. Juveniles 2-13 mm long were
exposed to 75% or 100% relative humidity for 0.5-6.5 hours. Juveniles smaller
than 5 mm in shell length did not survive emersion at 75% relative humidity for
even 0.5 hours; surprisingly, most also perished after short exposures to air at
100% relative humidity, suggesting that something other than desiccation stress
may also be at play. In marked contrast, 82% of juveniles larger than 6 mm in
shell length survived exposure to 75% relative humidity for the full 6.5 hours.
In a field survey, no juveniles smaller than 9 mm were found on exposed rock but
rather were found only in wet microhabitats. We suggest that the clearly defined
size escape from desiccation may reflect a change in gill functioning or a
newfound ability to retain water more effectively within the mantle cavity at low
tide.
PMID- 29373059
TI - Dynamic Varus and the Development of Iliotibial Band Syndrome.
AB - CONTEXT: Although the risk of osteoarthritis development after acute knee
injury has been widely studied, the long-term consequences of knee overuse injury
are not well understood. OBJECTIVE: To identify the relationship between gait
related risk factors associated with osteoarthritis and the development of
iliotibial band syndrome (ITBS) in members of a single University Army Reserve
Officers' Training Corps unit. DESIGN: Prospective cohort study. SETTING:
Biomechanics laboratory. PATIENTS OR OTHER PARTICIPANTS: Sixty-eight cadets
undergoing standardized physical fitness training. INTERVENTION(S): Three
dimensional lower extremity kinematics (240 Hz) and kinetics (960 Hz) were
collected for 3 bilateral trials during shod running at 4.0 m/s +/- 10%. Injury
tracking was conducted for 7 months of training. MAIN OUTCOME MEASURE(S):
Biomechanical variables, including varus thrust and knee-adduction moment, were
compared between the injured and control groups. RESULTS: Twenty-six cadets
with no history of overuse injury served as the control group, whereas 6 cadets
(7 limbs) who developed ITBS that required them to modify their training program
or seek medical care (or both) served as the injured group. Maximum varus
velocity was higher ( P = .006) and occurred sooner during stance ( P = .04) in
the injured group than in the control group, indicating greater varus thrust.
Maximum knee-varus angle and maximum knee-adduction moment were higher ( P = .02
and P = .002, respectively) and vertical stiffness was lower ( P = .03) in the
injured group. CONCLUSIONS: Measures of dynamic varus stability appeared to be
altered in individuals who developed ITBS. Biomechanical knee variables
previously identified as increasing the risk for knee osteoarthritis were also
associated with the development of ITBS in healthy adults.
PMID- 29373064
TI - Natural Variation in Responses to Acute Heat and Cold Stress in a Sea Anemone
Model System for Coral Bleaching.
AB - Rising ocean temperatures disrupt the symbiosis between corals and their
microalgae, accelerating global decline of coral reef ecosystems. Because of the
difficulty of performing laboratory experiments with corals, the sea anemone
Aiptasia has emerged as an important model system for molecular studies of coral
bleaching and symbiosis. Here, we investigate natural variation in bleaching
responses among different genetic lineages of Aiptasia. Both heat- and cold
induced paths to symbiosis breakdown were analyzed. Significant genetic variation
in response to acute heat stress was observed, with severe bleaching of two
Aiptasia strains from Hawaii but minimal bleaching of strains from the U.S. South
Atlantic, including the strain used to generate the Aiptasia reference genome.
Both strains from Hawaii hosted Symbiodinium type B1, whereas strains from the
U.S. South Atlantic hosted type A4 or B2. In contrast to the results from
exposures to acute heat stress, negligible variation was observed in response to
a pulsed cold shock despite moderate bleaching across all strains. These results
support our hypothesis that bleaching responses to distinct stressors are
independent. Our findings emphasize the role of stress regime when predicting
adaptive responses of symbiotic cnidarians to changing climates, because genetic
variation may exist for some forms of stress-induced bleaching but not others.
PMID- 29373066
TI - About the Cover.
PMID- 29373065
TI - Differences in Larval Arm Movements Correlate with the Complexity of Musculature
in Two Phylogenetically Distant Echinoids, Eucidaris tribuloides (Cidaroidea) and
Lytechinus variegatus (Euechinoidea).
AB - Within a common body plan, echinoid planktotrophic larvae are morphologically
diverse, with variations in overall size, the length, and number of arms and the
presence or absence of epidermal structures. In this report, we are interested in
variation in larval arm-flexing behavior and correlated differences in larval
musculature. Larvae of the cidaroid Eucidaris tribuloides exhibit conspicuous and
regular arm-flexing behavior. In contrast, Lytechinus variegatus, a
representative of the euechinoid clade, does not exhibit this behavior. We
hypothesized that there were differences in musculature that correlated with this
behavioral contrast and compared the development and structure of larval muscles
between these species. We report substantial differences in some aspects of
larval musculature. In addition to previously described oral musculature, both
larvae possessed polygon-shaped musculature at the basal end of the larva.
However, larval musculature in E. tribuloides was larger and contained additional
muscles not observed in larvae of L. variegatus. Therefore, a conspicuous larval
behavior consisting of repeated flexing of the postoral and posterodorsal larval
arms was correlated with a larger, more complex musculature. This simple contrast
indicates that larval musculature not associated with endoderm evolves in a
manner that relates to differences in larval behavior and that additional
comparisons are warranted.
PMID- 29373067
TI - Role of TRP Channels in Dinoflagellate Mechanotransduction.
AB - Transient receptor potential (TRP) ion channels are common components of
mechanosensing pathways, mainly described in mammals and other multicellular
organisms. To gain insight into the evolutionary origins of eukaryotic
mechanosensory proteins, we investigated the involvement of TRP channels in
mechanosensing in a unicellular eukaryotic protist, the dinoflagellate
Lingulodinium polyedra. BLASTP analysis of the protein sequences predicted from
the L. polyedra transcriptome revealed six sequences with high similarity to
human TRPM2, TRPM8, TRPML2, TRPP1, and TRPP2; and characteristic TRP domains were
identified in all sequences. In a phylogenetic tree including all mammalian TRP
subfamilies and TRP channel sequences from unicellular and multicellular
organisms, the L. polyedra sequences grouped with the TRPM, TPPML, and TRPP
clades. In pharmacological experiments, we used the intrinsic bioluminescence of
L. polyedra as a reporter of mechanoresponsivity. Capsaicin and RN1734, agonists
of mammalian TRPV, and arachidonic acid, an agonist of mammalian TRPV, TRPA,
TRPM, and Drosophila TRP, all stimulated bioluminescence in L. polyedra.
Mechanical stimulation of bioluminescence, but not capsaicin-stimulated
bioluminescence, was inhibited by gadolinium (Gd3+), a general inhibitor of
mechanosensitive ion channels, and the phospholipase C (PLC) inhibitor U73122.
These pharmacological results are consistent with the involvement of TRP-like
channels in mechanosensing by L. polyedra. The TRP channels do not appear to be
mechanoreceptors but rather are components of the mechanotransduction signaling
pathway and may be activated via a PLC-dependent mechanism. The presence and
function of TRP channels in a dinoflagellate emphasize the evolutionary
conservation of both the channel structures and their functions.
PMID- 29373068
TI - Upregulation and Nuclear Location of MMP28 in Alveolar Epithelium of Idiopathic
Pulmonary Fibrosis.
AB - Idiopathic pulmonary fibrosis (IPF) is a chronic and progressive aging-associated
disease of unknown etiology. A growing body of evidence indicates that aberrant
activated alveolar epithelial cells induce the expansion and activation of the
fibroblast population, leading to the destruction of the lung architecture. Some
matrix metalloproteinases (MMPs) are upregulated in IPF, indicating that they may
be important in the pathogenesis and/or progression of IPF. In the present study,
we examined the expression of MMP28 in this disease and evaluated its functional
effects in two alveolar epithelial cell lines and in human primary bronchial
epithelial cells. We found that the enzyme is expressed in bronchial (apical and
cytoplasmic localization) and alveolar (cytoplasmic and nuclear localization)
epithelial cells in two different groups of patients with IPF. In vitro MMP28
epithelial silencing decreased the proliferation rate and delayed wound closing,
whereas overexpression showed opposite effects, protecting from apoptosis and
enhanced epithelial-mesenchymal transition. Our findings demonstrate that MMP28
is upregulated in epithelial cells from IPF lungs, where it may play a role in
increasing the proliferative and migratory phenotype in a catalysis-dependent
manner.
PMID- 29373069
TI - Preferences for Communicating about Breast Cancer Screening Among
Racially/Ethnically Diverse Older Women.
AB - Differences exist across breast cancer screening guidelines regarding frequency
of screening and age of discontinuation for older women (>=70 years) at average
risk for breast cancer. These differences highlight concerns about the benefits
and harms of screening, and may negatively impact older women's ability to make
informed screening decisions. This study examined preferences for communicating
about screening mammography among racially/ethnically diverse, older women. In
depth interviews were conducted with 59 women with no breast cancer history. Non
proportional quota sampling ensured roughly equal numbers on age (70-74 years,
>=75 years), race/ethnicity (non-Hispanic/Latina White, non-Hispanic/Latina
Black, Hispanic/Latina), and education (<=high school diploma, >high school
diploma). Interviews were audio-recorded, transcribed, and analyzed using NVivo
10. Thematic analyses revealed that rather than being told to get mammograms,
participants wanted to hear about the benefits and harms of screening
mammography, including overdiagnosis. Participants recommended that this
information be communicated via physicians or other healthcare providers,
included in brochures/pamphlets, and presented outside of clinical settings
(e.g., in senior groups). Results were consistent regardless of participants'
age, race/ethnicity, or education. Findings revealed that older women desire
information about the benefits and harms of screening mammography, and would
prefer to learn this information through discussions with healthcare providers
and multiple other formats.
PMID- 29373070
TI - Lipolysis-Stimulating Peptide from Soybean Protects Against High Fat Diet-Induced
Apoptosis in Skeletal Muscles.
AB - Obesity is generally associated with low-grade chronic inflammation that involves
the recruitment of macrophages and other inflammation factors to the adipocytes
of obese individuals. Tumor necrosis factor-alpha (TNF-alpha), a cytokine
associated with systemic inflammation, is elevated in conditions of obesity. TNF
alpha is an important factor that plays an important role in skeletal muscle
wasting. Apoptosis of myonuclei contributes to the loss of muscle mass and
therefore plays an important role in skeletal muscle atrophy. In mouse models
that were fed a high fat diet (HFD), a lipolysis-stimulating peptide-VHVV
(purified from hydrolysate resulting from flavourzyme treatment of soy protein)
was found to reduce HFD-related apoptotic effects in mice skeletal muscle and
potentially control atrophy. HFD fed mice had heavier body weight than those fed
with normal chow, and VHVV administration restricted lipid accumulation in muscle
tissues of mice fed with HFD but increased nutrient uptake. Moreover, specific
concentrations of VHVV regulated TNF-alpha expression that was elevated by HFD,
suppressed apoptosis-related proteins and regulated the proteins of lipid
metabolism.
PMID- 29373071
TI - Enzalutamide for the Treatment of Androgen Receptor-Expressing Triple-Negative
Breast Cancer.
AB - Purpose Studies suggest that a subset of patients with triple-negative breast
cancer (TNBC) have tumors that express the androgen receptor (AR) and may benefit
from an AR inhibitor. This phase II study evaluated the antitumor activity and
safety of enzalutamide in patients with locally advanced or metastatic AR
positive TNBC. Patients and Methods Tumors were tested for AR with an
immunohistochemistry assay optimized for breast cancer; nuclear AR staining > 0%
was considered positive. Patients received enzalutamide 160 mg once per day until
disease progression. The primary end point was clinical benefit rate (CBR) at 16
weeks. Secondary end points included CBR at 24 weeks, progression-free survival,
and safety. End points were analyzed in all enrolled patients (the intent-to
treat [ITT] population) and in patients with one or more postbaseline assessment
whose tumor expressed >= 10% nuclear AR (the evaluable subgroup). Results Of 118
patients enrolled, 78 were evaluable. CBR at 16 weeks was 25% (95% CI, 17% to
33%) in the ITT population and 33% (95% CI, 23% to 45%) in the evaluable
subgroup. Median progression-free survival was 2.9 months (95% CI, 1.9 to 3.7
months) in the ITT population and 3.3 months (95% CI, 1.9 to 4.1 months) in the
evaluable subgroup. Median overall survival was 12.7 months (95% CI, 8.5 months
to not yet reached) in the ITT population and 17.6 months (95% CI, 11.6 months to
not yet reached) in the evaluable subgroup. Fatigue was the only treatment
related grade 3 or higher adverse event with an incidence of > 2%. Conclusion
Enzalutamide demonstrated clinical activity and was well tolerated in patients
with advanced AR-positive TNBC. Adverse events related to enzalutamide were
consistent with its known safety profile. This study supports additional
development of enzalutamide in advanced TNBC.
PMID- 29373072
TI - Cytokinins and the CRE1 receptor influence endogenous gibberellin levels in
Medicago truncatula.
AB - Gibberellins (GAs) and cytokinins (CKs) are hormones that play antagonistic roles
in several developmental processes in plants. However, there has been little
exploration of their reciprocal interactions. Recent work in Medicago truncatula
has revealed that GA signalling can regulate CK levels and response in roots.
Here, we examine the reciprocal interaction, by assessing how CKs and the CRE1
(Cytokinin Response 1) CK receptor may influence endogenous GA levels. Real-Time
RT-PCR analyses revealed that the expression of key GA biosynthesis genes is
regulated in response to a short-term CK treatment and requires the CRE1
receptor. Similarly, GA quantifications indicated that a short-term CK treatment
decreases the GA1 pool in wild-type plants and that GA levels are increased in
the cre1 mutant compared to the wild-type. These data suggest that the M.
truncatula CRE1-dependent CK signaling pathway negatively regulates bioactive GA
levels.
PMID- 29373074
TI - Gizzard Helminths in Female Northern Pintails ( Anas acuta) Wintering Along the
Texas Coast.
AB - Gizzard helminths were examined in 100 (50 adult, 50 juvenile) female northern
pintails ( Anas acuta). Sixty-three individual helminths, representing 5 species
( Amidostomum acutum, Echinuria uncinata, Epomidiostomum uncinatum, Streptocara
crassicauda, and Gastrotaenia cygni) were found. Twenty-seven northern pintails
were infected with 1-3 helminth species and averaged 1.4 species. Overall, A.
acutum and G. cygni were the most prevalent and abundant species (20%, n = 31 and
10%, n = 25, respectively), followed by S. crassicauda (5%, n = 5), E. uncinata
(1%, n = 1), and E. uncinatum (1%, n = 1). Intensity of infection for A. acutum,
E. uncinata, E. uncinatum, S. crassicauda, and G. cygni was 1.6 +/- 0.3 [SE], 1.0
+/- 0, 1.0 +/- 0, 1.0 +/- 0, and 2.5 +/- 0.6, respectively. Our findings
represent new information about gizzard helminth infections in northern pintails
wintering along the Texas coast.
PMID- 29373073
TI - Transcriptional profiling reveals gland-specific differential expression in the
three major salivary glands of the adult mouse.
AB - RNA-Seq was used to better understand the molecular nature of the biological
differences among the three major exocrine salivary glands in mammals.
Transcriptional profiling found that the adult murine parotid, submandibular, and
sublingual salivary glands express greater than 14,300 protein-coding genes, and
nearly 2,000 of these genes were differentially expressed. Principle component
analysis of the differentially expressed genes revealed three distinct clusters
according to gland type. The three salivary gland transcriptomes were dominated
by a relatively few number of highly expressed genes (6.3%) that accounted for
more than 90% of transcriptional output. Of the 912 transcription factors
expressed in the major salivary glands, greater than 90% of them were detected in
all three glands, while expression for ~2% of them was enriched in an individual
gland. Expression of these unique transcription factors correlated with
sublingual and parotid specific subsets of both highly expressed and
differentially expressed genes. Gene ontology analyses revealed that the highly
expressed genes common to all glands were associated with global functions, while
many of the genes expressed in a single gland play a major role in the function
of that gland. In summary, transcriptional profiling of the three murine major
salivary glands identified a limited number of highly expressed genes,
differentially expressed genes, and unique transcription factors that represent
the transcriptional signatures underlying gland-specific biological properties.
PMID- 29373075
TI - Trpv4 involvement in the sex differences in blood pressure regulation in
spontaneously hypertensive rats.
AB - Arterial pressure (AP) is lower in premenopausal women than in men of a similar
age. Premenopausal women exhibit a lower sympathetic activity and a greater
baroreceptor reflex; however, mechanisms controlling sex differences in blood
pressure regulation are not well understood. We hypothesized that different
neuronal functions in the cardiovascular centers of the brains of men and women
may contribute to the sex difference in cardiovascular homeostasis. Our previous
studies on male spontaneously hypertensive rats (SHRs) and their normotensive
counterparts, Wistar Kyoto (WKY) rats, revealed that the gene-expression profile
of the nucleus tractus solitarius (NTS), a region of the medulla oblongata that
is pivotal for regulating the set point of AP, is strongly associated with AP.
Thus, we hypothesized that gene-expression profiles in the rat NTS are related to
sex differences in AP regulation. Because female SHRs clearly exhibit lower AP
than their male counterparts of a similar age, we investigated whether SHR NTS
exhibits sex differences in gene expression by using microarray and RT-qPCR
experiments. The transcript for transient receptor potential cation channel
subfamily V member 4 ( Trpv4) was found to be upregulated in SHR NTS in females
compared with that in males. The channel was expressed in neurons and glial cells
within NTS. The TRPV4 agonist 4-alpha-phorbol-12,13-didecanoate (4alpha-PDD)
decreased blood pressure when injected into NTS of rats. These findings suggest
that altered TRPV4 expression might be involved in the sex differences in blood
pressure regulation.
PMID- 29373077
TI - Genetics of fasting and postprandial metabolite levels are overlapping.
AB - In 2015, a genome-wide association study described 59 independent signals that
showed strong associations with 85 fasting metabolite concentrations as measured
by the Biocrates AbsoluteIDQ p150 kit. However, the human body resides in a
nonfasting state for the greater part of the day, and the genetic basis of
postprandial metabolite concentrations remains largely unknown. We systematically
examined these previously identified genetic associations in postprandial
metabolite concentrations after a mixed meal. Of these 85 metabolites, 23 were
identified with significant changes after the meal, for which 38 gene-metabolite
associations were analyzed. Of these 38 associations, 31 gene-metabolite
associations were replicated with postprandial metabolite concentrations. These
data indicate that the genetics of fasting and postprandial metabolite levels are
significantly overlapping.
PMID- 29373078
TI - Molecular Detection of Theileria annulata in Cattle from Different Regions of
Punjab, Pakistan, by Using Recombinase Polymerase Amplification and Polymerase
Chain Reaction.
AB - Piroplasmosis is one of the most important diseases of livestock, constraining
optimal production and leading to economic loss. This study was carried out to
detect Theileria annulata by using 2 different molecular techniques: recombinase
polymerase amplification (RPA) and conventional polymerase chain reaction (PCR).
Blood samples were collected from 274 ticks infesting asymptomatic cattle from
several counties in the Chakwal, Faisalabad, and Jhang districts of Punjab
Province in Pakistan by using FTA cards. After extraction of genomic DNA, each
sample was subjected to RPA optimized to amplify a 281-bp fragment of the Enolase
gene for T. annulata. The specificity of the test was confirmed using positive
DNA samples of related piroplasm species, whereas the analytical sensitivity was
calculated using different serial dilutions of a long fragment of the same gene.
The RPA results were positive for 48 (17.51%) of 274 samples. All 274 samples
were screened using conventional PCR, and 21 (7.66%) samples were positive for T.
annulata. All the samples that were RPA positive but PCR negative were sequenced,
which confirmed the results of RPA. The highest positive rate was found in
Chakwal district, followed by Faisalabad and Jhang districts. This study
demonstrates the application of highly sensitive and specific rapid diagnostic
methods for T. annulata to a regional screening program. This is the first report
of tick-borne disease from Pakistan by using RPA.
PMID- 29373079
TI - Carotid body: a metabolic sensor implicated in insulin resistance.
AB - The carotid body is now looked at as a multipurpose sensor for blood gases, blood
pH, and several hormones. The matter of glucose sensing by the carotid body has
been debated for several years in the literature, and these days there is a
consensus that carotid body activity is modified by metabolic factors that
contribute to glucose homeostasis. However, the sensing ability for glucose is
still being pondered: are the carotid bodies low glucose sensors or, in contrast,
are they overresponsive in high-glucose conditions? Herein, we debate the glucose
and insulin sensing capabilities of the carotid body as key early events in the
overactivation of the carotid body, which is increasingly recognized as an
important feature of metabolic diseases. Additionally, we dedicate a final
section to discuss new outside-the-box therapies designed to decrease carotid
body activity that may be used for treating metabolic diseases.
PMID- 29373081
TI - The effect of sex and localised fatigue on triceps surae musculoarticular
stiffness.
AB - PURPOSE: The main purpose of this study was to investigate the influence of
fatigue on musculoarticular stiffness (MAS) of the ankle joint across sexes.
METHODS: Twenty-seven males and 26 females participated in the study. After
baseline assessment of MAS and related variables, localised fatigue was induced
in triceps surae using the standing heel-rise test during which the subjects were
instructed to lift and drop the heel at a frequency of 0.5 Hz. When subjects were
unable to continue due to exhaustion the test was terminated and another MAS test
was performed soon after. RESULTS: Significant higher triceps surae MAS was found
in men compared to women (p < .01). MAS decreased (p < .01) between pre- and post
fatigue on average from 18.0 to 17.0 KN m-1 and from 14.5 to 13.9 KN m-1 in men
and women, respectively. Percentage changes revealed, however, that in relative
terms the changes in all the variables evaluated were similar (p > .01) between
sexes, with MAS less than 5%. CONCLUSION: Despite the sex-related differences at
baseline, fatigue seems to affect biomechanical properties of the ankle joint
similarly in men and women.
PMID- 29373076
TI - Rat models of 17beta-estradiol-induced mammary cancer reveal novel insights into
breast cancer etiology and prevention.
AB - Numerous laboratory and epidemiologic studies strongly implicate endogenous and
exogenous estrogens in the etiology of breast cancer. Data summarized herein
suggest that the ACI rat model of 17beta-estradiol (E2)-induced mammary cancer is
unique among rodent models in the extent to which it faithfully reflects the
etiology and biology of luminal types of breast cancer, which together constitute
~70% of all breast cancers. E2 drives cancer development in this model through
mechanisms that are largely dependent upon estrogen receptors and require
progesterone and its receptors. Moreover, mammary cancer development appears to
be associated with generation of oxidative stress and can be modified by multiple
dietary factors, several of which may attenuate the actions of reactive oxygen
species. Studies of susceptible ACI rats and resistant COP or BN rats provide
novel insights into the genetic bases of susceptibility and the biological
processes regulated by genetic determinants of susceptibility. This review
summarizes research progress resulting from use of these physiologically relevant
rat models to advance understanding of breast cancer etiology and prevention.
PMID- 29373083
TI - Gut microbiome may contribute to insulin resistance and systemic inflammation in
obese rodents: a meta-analysis.
AB - A number of studies have associated obesity with altered gut microbiota, although
results are discordant regarding compositional changes in the gut microbiota of
obese animals. Herein we used a meta-analysis to obtain an unbiased evaluation of
structural and functional changes of the gut microbiota in diet-induced obese
rodents. The raw sequencing data of nine studies generated from high-fat diet
(HFD)-induced obese rodent models were processed with QIIME to obtain gut
microbiota compositions. Biological functions were predicted and annotated with
KEGG pathways with PICRUSt. No significant difference was observed for alpha
diversity and Bacteroidetes-to-Firmicutes ratio between obese and lean rodents.
Bacteroidia, Clostridia, Bacilli, and Erysipelotrichi were dominant classes, but
gut microbiota compositions varied among studies. Meta-analysis of the nine
microbiome data sets identified 15 differential taxa and 57 differential pathways
between obese and lean rodents. In obese rodents, increased abundance was
observed for Dorea, Oscillospira, and Ruminococcus, known for fermenting
polysaccharide into short chain fatty acids (SCFAs). Decreased Turicibacter and
increased Lactococcus are consistent with elevated inflammation in the obese
status. Differential functional pathways of the gut microbiome in obese rodents
included enriched pyruvate metabolism, butanoate metabolism, propanoate
metabolism, pentose phosphate pathway, fatty acid biosynthesis, and glycerolipid
metabolism pathways. These pathways converge in the function of carbohydrate
metabolism, SCFA metabolism, and biosynthesis of lipid. HFD-induced obesity
results in structural and functional dysbiosis of gut microbiota. The altered gut
microbiome may contribute to obesity development by promoting insulin resistance
and systemic inflammation.
PMID- 29373082
TI - Artificial intelligence, physiological genomics, and precision medicine.
AB - Big data are a major driver in the development of precision medicine. Efficient
analysis methods are needed to transform big data into clinically-actionable
knowledge. To accomplish this, many researchers are turning toward machine
learning (ML), an approach of artificial intelligence (AI) that utilizes modern
algorithms to give computers the ability to learn. Much of the effort to advance
ML for precision medicine has been focused on the development and implementation
of algorithms and the generation of ever larger quantities of genomic sequence
data and electronic health records. However, relevance and accuracy of the data
are as important as quantity of data in the advancement of ML for precision
medicine. For common diseases, physiological genomic readouts in disease
applicable tissues may be an effective surrogate to measure the effect of genetic
and environmental factors and their interactions that underlie disease
development and progression. Disease-applicable tissue may be difficult to
obtain, but there are important exceptions such as kidney needle biopsy
specimens. As AI continues to advance, new analytical approaches, including those
that go beyond data correlation, need to be developed and ethical issues of AI
need to be addressed. Physiological genomic readouts in disease-relevant tissues,
combined with advanced AI, can be a powerful approach for precision medicine for
common diseases.
PMID- 29373084
TI - Immunization with a Mixture of Nucleoprotein from Human Metapneumovirus and
AbISCO-100 Adjuvant Reduces Viral Infection in Mice Model.
AB - The human metapneumovirus (hMPV) is the second leading cause globally of acute
infection of the respiratory tract in children, infecting the upper and lower
airways. The hMPV may induce an inappropriate Th2-type immune response, which
causes severe pulmonary inflammation, leading to the obstruction of airways.
Despite its severe epidemiological relevance, no vaccines are currently available
for the prevention of hMPV-induced illness. In this investigation, we
demonstrated that immunization of mice with the recombinant hMPV nucleoprotein
(hMPV-N) mixed with the AbISCO-100 adjuvant reduced viral replication in lungs
following challenge with the virus. We found that immunized mice had reduced
weight loss, decreased granulocytes in the lung, an increased level of specific
nucleoprotein antibodies of IgG1 and IgG2a-isotypes, and a local profile of
Th1/Th17-type cytokines. Our results suggest that immunization with the hMPV-N
and the AbISCO-100 adjuvant induces a reduction of viral infection and could be
considered for the development of an hMPV vaccine.
PMID- 29373085
TI - Spread of Vancomycin-Resistant Enterococcus faecium Isolates Despite Validated
Infection Control Measures in an Italian Hospital: Antibiotic Resistance and
Genotypic Characterization of the Endemic Strain.
AB - An alarming increase of vancomycin-resistant Enterococcus faecium (VREfm)
isolates was detected in an Italian referral hospital subjected to policies of
infection control validated by the Joint Commission International. Analysis of
the population structure of 122 consecutive, nonreplicate VREfm isolates
collected over an 18-month period identified a single major clone that spread
around the whole hospital, rapidly establishing an endemic state. It belonged to
sequence type (ST) 17 and showed a highly multidrug-resistant phenotype, being
resistant to all antimicrobial classes for the carriage of several resistance
determinants. Furthermore, some strains with decreased susceptibility to
daptomycin were detected. Eighteen out of the 122 isolates did not group in the
major clone. They showed a low spreading potential inside the hospital wards,
even if most of them displayed a multidrug-resistant phenotype and belonged to a
hospital-adapted lineage. Causes that led to the VREfm endemic state have not
been fully elucidated. However, it is conceivable that the increase in systemic
antibiotic consumption and the use of selective digestive tract decontamination,
including vancomycin in critically ill patients during the period before 2014,
may have played a role in the ST17 clone dissemination, but additional traits
conferring high fitness in hospital environment cannot be excluded.
PMID- 29373086
TI - Influenza Virus: Dealing with a Drifting and Shifting Pathogen.
AB - Numerous modern technological and scientific advances have changed the vaccine
industry. However, nearly 70 years of influenza vaccine usage have passed without
substantial changes in the underlying principles of the vaccine. The challenge of
vaccinating against influenza lies in the constantly changing nature of the virus
itself. Influenza viruses undergo antigenic evolution through antigenic drift and
shift in their surface glycoproteins. This has forced frequent updates of vaccine
antigens to ensure that the somewhat narrowly focused vaccine-induced immune
responses defend against circulating strains. Few vaccine production systems have
been developed that can entertain such constant changes. Although influenza virus
infection induces long-lived immunologic memory to the same or similar strains,
most people do not encounter the same strain repeatedly in their lifespan,
suggesting that enhancement of natural immunity is required to improve influenza
vaccines. It is clear that transformative change of influenza vaccines requires a
rethink of how we immunize. In this study, we review the problems associated with
the changing nature of the virus, and highlight some of the approaches being
employed to improve influenza vaccines.
PMID- 29373087
TI - An Outbreak of NDM-1-Producing Klebsiella pneumoniae, Associated with OmpK35 and
OmpK36 Porin Loss in Tunisia.
AB - OBJECTIVES: To describe clinical and molecular characteristics of an outbreak due
to metallo-beta-lactamases (MBLs) producing Klebsiella pneumoniae collected at
Charles Nicolle Hospital of Tunis and to analyze the impact of outer membrane
porin (OMP) loss on carbapenem resistance levels. METHODS: Between 2010 and 2015,
178 carbapenem-resistant Enterobacteriaceae were isolated. Screening for MBL
production was performed using combined disk diffusion method, with imipenem and
ethylene diamine tetraacetic acid (EDTA) as inhibitors. Resistance genes and
virulence factors were identified by polymerase chain reaction (PCR) and
sequencing. Genotyping was performed by pulsed-field gel electrophoresis and
multilocus sequence typing. Genetic environment of carbapenemase genes was
determined by PCR mapping. Conjugation assays were performed, and plasmids were
assigned to incompatibility groups by PCR-based replicon typing. OMPs were
profiled by sodium dodecyl sulfate-polyacrilamide gel electrophoresis, and porin
genes were sequenced. RESULTS: Nineteen K. pneumoniae (10.6%) showing MBL
activity were isolated from patients hospitalized on four different wards. NDM-1
was the only MBL identified, in association with blaOXA-48. All strains lacked at
least one OMP, and carbapenem resistance levels were remarkably elevated in
strains lacking OmpK35 and OmpK36. blaNDM-1 was located in IncFIA-type
conjugative plasmid, with the same genetic context in all strains. The
epidemiological diffusion of blaNDM-1 was due to two clones, one major clone
belonging to sequence type (ST) 147 (n = 16) and the other clone belonging to
ST307 (n = 3). CONCLUSIONS: This study describes an outbreak of NDM-1-producing
K. pneumoniae strains, isolated from a Tunisian hospital, caused by two clones
belonging to ST147 and ST307; and highlights the role of OMPs loss, in
combination with beta-lactamase expression, in conferring high carbapenem
resistance.
PMID- 29373088
TI - Molecular Characterization and Clonal Diversity of Methicillin-Resistant and
Susceptible Staphylococcus aureus Isolates of Milk of Cows with Clinical Mastitis
in Tunisia.
AB - The aim of this study was to determine the genetic lineages, and the frequency of
antibiotic resistance and virulence determinants in methicillin-resistant
Staphylococcus aureus (MRSA) and methicillin-susceptible S. aureus (MSSA)
isolates recovered from milk of cows with clinical mastitis. Three hundred milk
samples from bovine with clinical mastitis were obtained from 30 dairy farms in
different regions of Tunisia. Fifteen of the 300 tested samples contained S.
aureus (5%), in three cases were MRSA. Isolates (one/sample) were typed (S.
aureus protein A [spa], multilocus sequence typing and accessory gene regulator
[agr]). The presence of resistance and virulence genes was analyzed by PCR. The
three MRSA isolates contained mecA and blaZ genes (one of them also the msr(A)
gene), and carried the enterotoxin gene sen; they were typed as t10381-ST4114 or
t267-ST4120, and corresponded to agr type-I. Twelve MSSA isolates were recovered
and harbored the blaZ (7 strains) or erm(C) genes (1 strain). The MSSA isolates
presented seven different spa-types, associated to new sequence types (STs): t426
ST4118, t267-ST4120, t1773-ST4115, t509-ST4119, t529-ST4117, t2844-ST4113, and
t2802-ST4112; most isolates (8/12) were typed as t267/ST4120. All S. aureus
isolates were scn-negative, except one MSSA of lineage ST4119 that exhibited the
immune evasion cluster type D, and harbored the seg, sei, sem, seo, and seu
enterotoxin genes. Four MSSA isolates carried the toxic shock syndrome toxin 1
gene (tst). S. aureus (including MRSA) is an important cause of bovine mastitis,
showing isolates with high genetic diversity and high content in virulence genes.
PMID- 29373089
TI - Multiparametric MR Imaging of the Prostate after Treatment of Prostate Cancer.
AB - The use of multiparametric magnetic resonance (MR) imaging in prostate cancer
therapy is increasing, as newer treatment methods and management approaches
emerge. The mainstays of therapy-radiation and surgery-are being supplemented
(and even replaced) by novel focal therapy methods. Laser and ultrasonographic
ablation, photodynamic therapy, electroporation, and cryoablation are the most
common focal therapies, each with its own imaging findings. Typical ablation
zones have a central focus of enhancement with peripheral rim enhancement; thus,
dynamic contrast material-enhanced (DCE) MR imaging is the most important
sequence for evaluation of treatment in the immediate posttherapeutic setting.
Detection of recurrence can initiate salvage therapy, but recurrence can be
difficult to detect on T2-weighted images, again necessitating DCE MR imaging and
also diffusion-weighted imaging. Furthermore, the location of recurrence can vary
depending on the therapy. With radiation therapy, the most common site of
recurrence is the prior tumor site, whereas after prostatectomy, the recurrence
usually occurs around the vesicoureteral anastomosis. Regarding management, there
is an increased emphasis on watchful waiting and active surveillance, for which
MR imaging has a critical role in both selection and follow-up of patients who
undergo active surveillance. As MR imaging is being increasingly used for imaging
suspected recurrence, it is important for radiologists to be familiar with the
normal posttreatment findings and patterns and MR imaging findings of recurrence.
(c)RSNA, 2018.
PMID- 29373092
TI - Do Genomic Assays Provide the Necessary Confidence to De-escalate Adjuvant
Therapy?
PMID- 29373093
TI - Exercise Implementation in Oncology: One Size Does Not Fit All.
PMID- 29373090
TI - The Beta 2 Adrenergic Receptor Antagonist Timolol Improves Healing of Combined
Burn and Radiation Wounds.
AB - In a scenario involving a nuclear detonation during war or a terrorist attack,
acute radiation exposure combined with thermal and blast effects results in
severe skin injury. Although the cutaneous injury in such a scenario may not be
lethal, it may lead to inflammation, delayed wound healing and loss of the skin
barrier, resulting in an increased risk of infection. In this study, we tested
the potential use of timolol, a beta-adrenergic receptor antagonist, to improve
epidermal wound closure after combined burn and radiation injury using an ex vivo
human skin culture model. Daily application of 10 MU M timolol after combined
injury (burn and 10 Gy ex vivo irradiation) increased wound epithelialization by
5-20%. In addition, exposure to 10 Gy significantly suppressed epidermal
keratinocyte proliferation by 46% at 48 h postirradiation. Similar to what has
been observed in a thermal burn injury, the enzyme phenylethanolamine N
methyltransferase (PNMT), which generates epinephrine, was elevated in the
combined thermal burn and radiation wounds. This likely resulted in elevated
tissue levels of this catecholamine, which has been shown to delay healing. Thus,
with the addition of timolol to the wound to block the binding of locally
generated epinephrine to the beta-adrenergic receptor, healing is improved. This
work suggests that by antagonizing local epinephrine action within the wound, a
beta-adrenergic receptor antagonist such as timolol may be a useful adjunctive
treatment to improve healing in the combined burn and radiation injury.
PMID- 29373091
TI - Validating Baboon Ex Vivo and In Vivo Radiation-Related Gene Expression with
Corresponding Human Data.
AB - The research for high-throughput diagnostic tests for victims of radio/nuclear
incidents remains ongoing. In this context, we have previously identified
candidate genes that predict risk of late-occurring hematologic acute radiation
syndrome (HARS) in a baboon model. The goal of the current study was to validate
these genes after radiation exposure in humans. We also examined ex vivo relative
to in vivo measurements in both species and describe dose-response relationships.
Eighteen baboons were irradiated in vivo to simulate different patterns of
partial- or total-body irradiation (TBI), corresponding to an equivalent dose of
2.5 or 5 Sv. Human in vivo blood samples were obtained from patients exposed to
different dose ranges: diagnostic computerized tomography (CT; 0.004-0.018 Sv);
radiotherapy for prostate cancer (0.25-0.3 Sv); and TBI of leukemia patients (2 *
1.5 or 2 * 2 Sv, five patients each). Peripheral whole blood of another five
baboons and human samples from five healthy donors were cultivated ex vivo and
irradiated with 0-4 Sv. RNA was isolated pairwise before and 24 h after
irradiation and converted into cDNA. Gene expression of six promising candidate
genes found previously by us in a baboon model ( WNT3, POU2AF1, CCR7, ARG2,
CD177, WLS), as well as three genes commonly used in ex vivo whole blood
experiments ( FDXR, PCNA, DDB2) was measured using qRT-PCR. We confirmed the six
baboon candidate genes in leukemia patients. However, expression for the
candidate gene FDXR showed an inverse relationship, as it was downregulated in
baboons and upregulated in human samples. Comparisons among the in vivo and ex
vivo experiments revealed the same pattern in both species and indicated
peripheral blood cells to represent the radiation-responsive targets causing WNT3
and POU2AF1 gene expression changes. CCR7, ARG2, CD177 and WLS appeared to be
altered due to radiation-responsive targets other than the whole blood cells.
Linear dose-response relationships of FDXR, WNT3 and POU2AF1 using human ex vivo
samples corresponded with human in vivo samples, suggesting that ex vivo models
for in vivo dose estimates can be used over a wide dose range (0.001-5 Sv for
POU2AF1). In summary, we validated six baboon candidate genes in humans, but the
FDXR measurements underscored the importance of independent assessments even when
candidates from animal models have striking gene sequence homology to humans.
Since whole blood cells represented the same radiation-responsive targets for
FDXR, WNT3 and POU2AF1 gene expression changes, ex vivo cell culture models can
be utilized for in vivo dose estimates over a dose range covering up to 3.5 log
scales. These findings might be a step forward in the development of a gene
expression-based high-throughput diagnostic test for populations involved in
large-scale radio/nuclear incidents.
PMID- 29373094
TI - Phase III, Randomized, Double-Blind Study Comparing the Efficacy, Safety, and
Immunogenicity of SB3 (Trastuzumab Biosimilar) and Reference Trastuzumab in
Patients Treated With Neoadjuvant Therapy for Human Epidermal Growth Factor
Receptor 2-Positive Early Breast Cancer.
AB - Purpose This phase III study compared SB3, a trastuzumab (TRZ) biosimilar, with
reference TRZ in patients with human epidermal growth factor receptor 2-positive
early breast cancer in the neoadjuvant setting ( ClinicalTrials.gov identifier:
NCT02149524). Patients and Methods Patients were randomly assigned to receive
neoadjuvant SB3 or TRZ for eight cycles concurrently with chemotherapy (four
cycles of docetaxel followed by four cycles of fluorouracil, epirubicin, and
cyclophosphamide) followed by surgery, and then 10 cycles of adjuvant SB3 or TRZ.
The primary objective was comparison of breast pathologic complete response
(bpCR) rate in the per-protocol set; equivalence was declared if the 95% CI of
the ratio was within 0.785 to 1.546 or the 95% CI of the difference was within +/
13%. Secondary end points included comparisons of total pathologic complete
response rate, overall response rate, event-free survival, overall survival,
safety, pharmacokinetics, and immunogenicity. Results Eight hundred patients were
included in the per-protocol set (SB3, n = 402; TRZ, n = 398). The bpCR rates
were 51.7% and 42.0% with SB3 and TRZ, respectively. The adjusted ratio of bpCR
was 1.259 (95% CI, 1.085 to 1.460), which was within the predefined equivalence
margins. The adjusted difference was 10.70% (95% CI, 4.13% to 17.26%), with the
lower limit contained within and the upper limit outside the equivalence margin.
The total pathologic complete response rates were 45.8% and 35.8% and the overall
response rates were 96.3% and 91.2% with SB3 and TRZ, respectively. Overall,
96.6% and 95.2% of patients experienced one or more adverse event, 10.5% and
10.7% had a serious adverse event, and 0.7% and 0.0% had antidrug antibodies (up
to cycle 9) with SB3 and TRZ, respectively. Conclusion Equivalence for efficacy
was demonstrated between SB3 and TRZ on the basis of the ratio of bpCR rates.
Safety and immunogenicity were comparable.
PMID- 29373095
TI - Reply to S.C. Adams et al, C. Lopez et al, and R.U. Newton et al.
PMID- 29373096
TI - What Is the "Home" in Home-Based Exercise? The Need to Define Independent
Exercise for Survivors of Cancer.
PMID- 29373097
TI - Effective Exercise Interventions for Patients and Survivors of Cancer Should be
Supervised, Targeted, and Prescribed With Referrals From Oncologists and General
Physicians.
PMID- 29373098
TI - Reply to M. Horiguchi et al.
PMID- 29373099
TI - Evaluating Noninferiority With Clinically Interpretable Statistics for the
PROSELICA Study to Assess Treatment Efficacy of a Reduced Dose of Cabazitaxel for
Treating Metastatic Prostate Cancer.
PMID- 29373101
TI - There seems to be no benefit from using bioactive proteins in bone-augmentation
procedures for implant placement.
PMID- 29373102
TI - Tranexamic acid may result in small decrease in blood loss in patients undergoing
orthognathic surgery.
PMID- 29373103
TI - Insufficient evidence about the association between primary tooth eruption and
fever.
PMID- 29373100
TI - Impact of EML4-ALK Variant on Resistance Mechanisms and Clinical Outcomes in ALK
Positive Lung Cancer.
AB - Purpose Advanced anaplastic lymphoma kinase ( ALK) fusion-positive non-small-cell
lung cancers (NSCLCs) are effectively treated with ALK tyrosine kinase inhibitors
(TKIs). However, clinical outcomes in these patients vary, and the benefit of
TKIs is limited as a result of acquired resistance. Emerging data suggest that
the ALK fusion variant may affect clinical outcome, but the molecular basis for
this association is unknown. Patients and Methods We identified 129 patients with
ALK-positive NSCLC with known ALK variants. ALK resistance mutations and clinical
outcomes on ALK TKIs were retrospectively evaluated according to ALK variant. A
Foundation Medicine data set of 577 patients with ALK-positive NSCLC was also
examined. Results The most frequent ALK variants were EML4-ALK variant 1 in 55
patients (43%) and variant 3 in 51 patients (40%). We analyzed 77 tumor biopsy
specimens from patients with variants 1 and 3 who had progressed on an ALK TKI.
ALK resistance mutations were significantly more common in variant 3 than in
variant 1 (57% v 30%; P = .023). In particular, ALK G1202R was more common in
variant 3 than in variant 1 (32% v 0%; P < .001). Analysis of the Foundation
Medicine database revealed similar associations of variant 3 with ALK resistance
mutation and with G1202R ( P = .010 and .015, respectively). Among patients
treated with the third-generation ALK TKI lorlatinib, variant 3 was associated
with a significantly longer progression-free survival than variant 1 (hazard
ratio, 0.31; 95% CI, 0.12 to 0.79; P = .011). Conclusion Specific ALK variants
may be associated with the development of ALK resistance mutations, particularly
G1202R, and provide a molecular link between variant and clinical outcome. ALK
variant thus represents a potentially important factor in the selection of next
generation ALK inhibitors.
PMID- 29373104
TI - Continuous positive airway pressure probably results in fewer apnea-hypopnea
episodes per hour but not in less sleepiness compared with mandibular advance
devices in patients with obstructive sleep apnea.
PMID- 29373105
TI - Meta-Analysis Comparing the Safety and Efficacy of Dual Versus Triple
Antithrombotic Therapy in Patients With Atrial Fibrillation Undergoing
Percutaneous Coronary Intervention.
AB - In patients with atrial fibrillation undergoing percutaneous coronary
intervention (PCI), the effectiveness and safety of dual compared with triple
antithrombotic therapy are a matter of debate, especially when considering the
prevention of end points at low incidence, such as myocardial infarction (MI),
stent thrombosis, or mortality. This study-level meta-analysis included 4
controlled randomized trials and 6,036 patients with a clinical indication to
chronic oral anticoagulation (OAC) after PCI, mainly for atrial fibrillation.
Patients receiving dual therapy with a single antiplatelet agent, essentially a
P2Y12 inhibitor, plus OAC were compared with those treated with triple therapy
(aspirin, a P2Y12 inhibitor, and OAC). The incidence of the following outcomes
was evaluated: Thrombolysis In Myocardial Infarction major and minor bleeding,
MI, stent thrombosis, stroke, cardiovascular, and all-cause death. Occurrence of
Thrombolysis In Myocardial Infarction major bleeding was significantly lower in
patients treated with dual therapy: 1.97% versus 3.53% in those on triple therapy
(odds ratios 0.55, 95% confidence interval 0.39 to 0.78, p = 0.0007); rates of
minor bleeding were also decreased in the former (57% relative reduction). With
dual therapy, there was not a statistically significant difference in all-cause
and cardiovascular mortality (3.81% vs 4.01%, p = 0.37 and 1.62% vs 2.02%, p =
0.42, respectively). Incidence of MI (3.25% vs 2.78%, p = 0.61), definite stent
thrombosis (0.92% vs 0.66%, p = 0.46), and stroke (1.28% vs 1.32%, p = 0.85) was
similar in the 2 treatment strategies. In patients with long-term indication to
OAC after PCI, compared with triple therapy, dual antithrombotic therapy reduces
bleeding, without an excess in thromboembolic and ischemic cardiac events.
PMID- 29373107
TI - Letter to the Editor.
PMID- 29373106
TI - [Papillomavirus, could we speak about cancer and prevention?]
PMID- 29373109
TI - Recurrent Facial Edema.
PMID- 29373108
TI - Blood lead levels and longitudinal language outcomes in children from 4 to 12
years.
AB - OBJECTIVES: In this study, the authors aimed to examine the association of a
range of blood lead levels on language skills assessed at 4, 6, 10 and 12 years
of age using a prospective longitudinal design controlling for potential
confounding variables including maternal vocabulary, caregiver's psychological
distress and symptomatology, child's race and prenatal drug exposure. METHODS:
The participants (N = 278) were a subsample of a large longitudinal study that
examined the association of prenatal drug exposure on children who were followed
prospectively from birth and assessed for receptive and expressive language
skills at 4, 6, 10 and 12 years of age. Blood lead levels were determined at 4
years of age by atomic absorption spectrometry. A mixed model approach with
restricted maximum likelihood procedures was used to assess the association of
lead on language outcomes. RESULTS: Longitudinal mixed model analyses suggested a
negative effect of lead exposure on both receptive and expressive language, with
the adverse outcomes of lead exposure appearing to become more prominent at 10
and 12 years. Higher caregiver vocabulary was positively associated with child's
language scores whereas caregiver psychological distress appeared to negatively
affect language scores. Prenatal drug exposure was not related to the effects of
lead on language skills. CONCLUSIONS: These findings suggest that elevated blood
lead levels occurring early in life may be associated with poorer language skills
at older ages. A language rich environment may minimize the negative influence of
early lead exposure on language skills, with psychological distress seemingly
exacerbating the negative outcome.
PMID- 29373110
TI - Gorlin Syndrome.
AB - Gorlin syndrome is a rare autosomal dominant disease caused by mutations in the
sonic hedgehog signaling pathway. Of particular importance is the PTCH1 gene. The
disease is characterized by the development of multiple basal cell carcinomas at
young ages. These tumors may present with other skin manifestations such as
palmoplantar pits and with extracutaneous manifestations such as odontogenic
keratocysts and medulloblastoma. Although the dermatologist may be key for
recognizing clinical suspicion of the syndrome, a multidisciplinary team is
usually necessary for diagnosis, treatment, and follow-up. Skin treatment may be
complicated due to the large number of basal cell carcinomas and the extent of
involvement. In recent years, new drugs that inhibit targets in the sonic
hedgehog pathway have been developed. Although these agents appear promising
options for patients with Gorlin syndrome, their efficacy is limited by adverse
effects and the development of resistance.
PMID- 29373112
TI - Ergonomics in Dermatology: Good Posture During Physical Examinations.
PMID- 29373111
TI - Description of patients excluded for Mohs surgery after pre-surgical evaluation:
data from the Regesmohs Spanish registry.
AB - BACKGROUND: Regesmohs registry is a nationwide registry including patients
evaluated for Mohs surgery in 17 Spanish centres since July 2013. Given that Mohs
surgery is the therapy with best results for high risk basal cell carcinoma (BCC)
and other skin tumours, we wanted to describe the reasons that lead to some
patients being excluded from this therapy and the alternative treatments that
they received. These data may be useful to avoid excluding patients for Mohs
surgery use, to estimate the healthcare demand of these patients and the demand
for Hedgehog inhibitors therapy in this group. OBJECTIVE: To describe patients
excluded for Mohs surgery after pre-surgical assessment, and the treatments that
they received. METHODS: Regesmohs includes all consecutive patients assessed for
Mohs surgery in the participating centres, collecting data on patient
characteristics, intervention, and short and long-term results. Patients excluded
for Mohs surgery after pre-surgical evaluation were described. RESULTS: 3011
patients were included in Regesmohs from July 2013 to October 2016. In 85, Mohs
surgery was not performed as they were considered inadequate candidates. 67 had
BCC. Reasons for exclusion were: medical contraindication (27.1%, n=23) low-risk
tumour in (18.8%, n=16) and giant tumour and bone invasion (15.3%, n=13). Only 1
patient (1.2%) showed lymph node involvement and no patients had visceral
metastases. Of the 85 excluded patients, 29 (34.1%) were treated with
conventional surgery, 24 (28.3%) with radiotherapy, 4 (4.7%) with inhibitors of
the Hedgehog pathway (only indicated for BCC), and 2 (2.4%) received palliative
care. We had no follow-up data on 14 patients (16.5%). CONCLUSION: Medical
comorbidities were the most common reason for withholding Mohs surgery.
Withholding therapy on the basis of distant extension is uncommon. Most excluded
patients received simpler therapies: conventional surgery or radiotherapy, with
hedgehog inhibitors being a new option.
PMID- 29373113
TI - Comparison of the effects of 1MHz and 3MHz therapeutic ultrasound on endothelium
dependent vasodilation of humans: a randomised clinical trial.
AB - OBJECTIVE: To compare the effects of different waveforms of 1MHz and 3MHz
therapeutic ultrasound on endothelial function in healthy subjects. DESIGN:
Randomised placebo-controlled, crossover study with concealed allocation and
assessor blinding. SETTING: Imaging Centre of the University Hospital.
PARTICIPANTS: Thirty volunteers aged between 18 and 35 years were divided into
two homogeneous groups (1MHz and 3MHz). INTERVENTIONS: Continuous (CUT;
0.4W/cm2SATA), pulsed (PUT; 20% duty cycle, 0.08W/cm2SATA) and placebo waveforms
(equipment off) of ultrasound (1MHz and 3MHz) were randomized and applied over
the brachial artery for 5minutes. MAIN OUTCOME MEASURES: Endothelial function was
evaluated using the flow-mediated dilation (FMD) technique. RESULTS: Both 1MHz
[CUT: mean difference 4%, 95% confidence interval (CI) 2 to 6%, P<0.001; PUT:
mean difference 4%, 95% CI 2 to 6%, P<0.001] and 3MHz (CUT: mean difference 4%,
95% CI 2 to 6%, P<0.001; PUT: mean difference 4%, 95% CI 2 to 6%, P<0.001) of
therapeutic ultrasound increased %FMD by approximately 4% compared with the
placebo waveforms. The endothelium-dependent vasodilator responses were the same
for both types of waves and frequencies. No differences in baseline diameter,
hyperaemic flow, and nitroglycerin-mediated diameter and vasodilation were
observed between groups. CONCLUSION: Both CUT and PUT ultrasound waveforms
improved endothelial function. The 1MHz and 3MHz frequencies of therapeutic
ultrasound led to similar improvement in endothelial function in healthy
volunteers. Clinical trial registration number RBR-4z5z3t.
PMID- 29373114
TI - The influence of pre-existing rib fractures on Global Human Body Models
Consortium thorax response in frontal and oblique impact.
AB - Many post-mortem human subjects (PMHS) considered for use in biomechanical impact
tests have pre-existing rib fractures (PERFs), usually resulting from
cardiopulmonary resuscitation. These specimens are typically excluded from impact
studies with the assumption that the fractures will alter the thoracic response
to loading. We previously used the Global Human Body Models Consortium 50th
percentile whole-body finite element model (GHBMC M50-O) to demonstrate that up
to three lateral or bilateral PERFs do not meaningfully influence the response of
the GHBMC thorax to lateral loading. This current study used the GHBMC M50-O to
explore the influence of PERFs on thorax response in frontal and oblique loading.
Up to six PERFs were simulated on the anterior or lateral rib regions, and the
model was subjected to frontal or oblique cylindrical impactor, frontal seatbelt,
or frontal seatbelt + airbag loading. Changes in thorax force-compression
responses due to PERFs were generally minor, with the greatest alterations seen
in models with six PERFs on one side of the ribcage. The observed changes,
however, were small relative to mid-size male corridors for the loading
conditions simulated. PERFs altered rib strain patterns, but the changes did not
translate to changes in global thoracic response. Within the limits of model
fidelity, the results suggest that PMHS with up to six PERFs may be appropriate
for use in frontal or oblique impact testing.
PMID- 29373115
TI - Active disturbance rejection control based robust output feedback autopilot
design for airbreathing hypersonic vehicles.
AB - Since motion control plant (y(n)=f(?)+d) was repeatedly used to exemplify how
active disturbance rejection control (ADRC) works when it was proposed, the
integral chain system subject to matched disturbances is always regarded as a
canonical form and even misconstrued as the only form that ADRC is applicable to.
In this paper, a systematic approach is first presented to apply ADRC to a
generic nonlinear uncertain system with mismatched disturbances and a robust
output feedback autopilot for an airbreathing hypersonic vehicle (AHV) is devised
based on that. The key idea is to employ the feedback linearization (FL) and
equivalent input disturbance (EID) technique to decouple nonlinear uncertain
system into several subsystems in canonical form, thus it would be much easy to
directly design classical/improved linear/nonlinear ADRC controller for each
subsystem. It is noticed that all disturbances are taken into account when
implementing FL rather than just omitting that in previous research, which
greatly enhances controllers' robustness against external disturbances. For
autopilot design, ADRC strategy enables precise tracking for velocity and
altitude reference command in the presence of severe parametric perturbations and
atmospheric disturbances only using measurable output information. Bounded-input
bounded-output (BIBO) stable is analyzed for closed-loop system. To illustrate
the feasibility and superiority of this novel design, a series of comparative
simulations with some prominent and representative methods are carried out on a
benchmark longitudinal AHV model.
PMID- 29373116
TI - Uncommon hereditary gynaecological tumour syndromes: pathological features in
tumours that may predict risk for a germline mutation.
AB - The most common hereditary gynaecological tumour syndromes are hereditary breast
and ovarian cancer syndrome and Lynch syndrome. However, pathologists also may
encounter gynaecological tumours in women with rare hereditary syndromes. Many of
these tumours exhibit distinctive gross and microscopic features that are
associated with a risk for an inherited gene mutation. The sensitivity and
specificity of these tumour pathology features for predicting an inherited
mutation vary depending on the syndrome. By recognising these tumour features,
pathologists may potentially contribute to the diagnosis of an unsuspected
syndrome by recommending referral of the patient for formal risk assessment by
genetic counselling. Patients additionally benefit from diagnosis of an inherited
syndrome because many also carry a lifetime risk for developing primary
malignancies outside of the gynaecological tract. Early diagnosis of an inherited
syndrome permits early screening, detection, and management of additional
malignancies associated with the syndrome. This review highlights these rare
syndromes and their tumour pathology, including Peutz-Jeghers syndrome (gastric
type mucinous carcinoma of the cervix; ovarian sex cord tumour with annular
tubules); hereditary leiomyoma renal cell carcinoma syndrome (uterine leiomyoma);
tuberous sclerosis complex (uterine PEComa; uterine lymphangioleiomyomatosis);
DICER1 syndrome (ovarian Sertoli-Leydig cell tumour; cervical embryonal
rhabdomyosarcoma); rhabdoid tumour predisposition syndrome 2 (small cell
carcinoma of the ovary, hypercalcaemic type); Cowden syndrome (endometrial
endometrioid adenocarcinoma); naevoid basal cell carcinoma syndrome (ovarian
fibroma); and Von Hippel-Lindau syndrome (clear cell papillary cystadenoma of the
broad ligament).
PMID- 29373118
TI - Prognostic implications of telomerase expression in pituitary adenomas.
AB - OBJECTIVES: To analyse the prognostic value of telomerase expression in patients
with pituitary adenomas (PAs) followed-up for at least 8 years. PATIENTS AND
METHODS: A retrospective study was conducted of samples from 51 PAs (40 typical
and 11 atypical) from patients who underwent transsphenoidal surgery between 2006
and 2008 and from 10 normal pituitary glands obtained by autopsy. Telomerase
expression was assessed by immunohistochemistry, correlating the expression with
that of Ki-67 and p53. RESULTS: We observed telomerase expression in 43 PAs
(84.3%, 32 of the 40 typical PAs and in the 11 atypical PAs), which was higher in
the clinically nonfunctioning cases (P=.0034) and very rare in the patients with
acromegaly (P=.0001). There was a significant association between the percentage
of tumour cells (>10%) and the recurrence of the adenoma (P=.039). There was no
correlation with the expression of Ki-67 and p53 (P=.4986), and there were no
differences according to age, sex, tumour size and invasiveness. CONCLUSIONS: A
telomerase expression rate greater than 10% in the pituitary tumour tissue was
associated with recurrence or progression of the PA, especially in the
nonfunctioning cases.
PMID- 29373117
TI - The evolution of cognitive models: From neuropsychology to neuroimaging and back.
AB - This paper provides a historical and future perspective on how neuropsychology
and neuroimaging can be used to develop cognitive models of human brain
functions. Section 1 focuses on the emergence of cognitive modelling from
neuropsychology, why lesion location was considered to be unimportant and the
challenges faced when mapping symptoms to impaired cognitive processes. Section 2
describes how established cognitive models based on behavioural data alone cannot
explain the complex patterns of distributed brain activity that are observed in
functional neuroimaging studies. This has led to proposals for new cognitive
processes, new cognitive strategies and new functional ontologies for cognition.
Section 3 considers how the integration of data from lesion, behavioural and
functional neuroimaging studies of large cohorts of brain damaged patients can be
used to determine whether inter-patient variability in behaviour is due to
differences in the premorbid function of each brain region, lesion site or
cognitive strategy. This combination of neuroimaging and neuropsychology is
providing a deeper understanding of how cognitive functions can be lost and re
learnt after brain damage - an understanding that will transform our ability to
generate and validate cognitive models that are both physiologically plausible
and clinically useful.
PMID- 29373119
TI - Nuclear Excluded Autism-Associated Phosphatase and Tensin Homolog Mutations
Dysregulate Neuronal Growth.
AB - BACKGROUND: Phosphatase and tensin homolog (PTEN) negatively regulates downstream
protein kinase B signaling, resulting in decreased cellular growth and
proliferation. PTEN is mutated in a subset of children with autism spectrum
disorder (ASD); however, the mechanism by which specific point mutations alter
PTEN function is largely unknown. Here, we assessed how ASD-associated single
nucleotide variations in PTEN (ASD-PTEN) affect function. METHODS: We used viral
mediated molecular substitution of human PTEN into Pten knockout mouse neurons
and assessed neuronal morphology to determine the functional impact of ASD-PTEN.
We employed molecular cloning to examine how PTEN's stability, subcellular
localization, and catalytic activity affect neuronal growth. RESULTS: We
identified a set of ASD-PTEN mutations displaying altered lipid phosphatase
function and subcellular localization. We demonstrated that wild-type PTEN can
rescue the neuronal hypertrophy, while PTEN H93R, F241S, D252G, W274L, N276S, and
D326N failed to rescue this hypertrophy. A subset of these mutations lacked
nuclear localization, prompting us to examine the role of nuclear PTEN in
regulating neuronal growth. We found that nuclear PTEN alone is sufficient to
regulate soma size. Furthermore, forced localization of the D252G and W274L
mutations into the nucleus partially restores regulation of soma size.
CONCLUSIONS: ASD-PTEN mutations display decreased stability, catalytic activity,
and/or altered subcellular localization. Mutations lacking nuclear localization
uncover a novel mechanism whereby lipid phosphatase activity in the nucleus can
regulate mammalian target of rapamycin signaling and neuronal growth.
PMID- 29373122
TI - WITHDRAWN: Non-infectious stressors and innate immune response.
AB - This article has been withdrawn at the request of the author(s). The Publisher
apologizes for any inconvenience this may cause. The full Elsevier Policy on
Article Withdrawal can be found at https://www.elsevier.com/about/our
business/policies/article-withdrawal.
PMID- 29373121
TI - Thalamic Control of Cognition and Social Behavior Via Regulation of Gamma
Aminobutyric Acidergic Signaling and Excitation/Inhibition Balance in the Medial
Prefrontal Cortex.
AB - BACKGROUND: The mediodorsal thalamus plays a critical role in cognition through
its extensive innervation of the medial prefrontal cortex (mPFC), but how the two
structures cooperate at the single-cell level to generate associated cognitive
functions and other mPFC-dependent behaviors remains elusive. Maintaining the
proper balance between excitation and inhibition (E/I balance) is of principal
importance for organizing cortical activity. Furthermore, the PFC E/I balance has
been implicated in successful execution of multiple PFC-dependent behaviors in
both animal research and the context of human psychiatric disorders. METHODS:
Here, we used a pharmacogenetic strategy to decrease mediodorsal thalamic
activity in adult male rats and evaluated the consequences for E/I balance in PFC
pyramidal neurons as well as cognition, social interaction, and anxiety. RESULTS:
We found that dampening mediodorsal thalamic activity caused significant
reductions in gamma-aminobutyric acidergic signaling and increased E/I balance in
the mPFC and was concomitant with abnormalities in these behaviors. Furthermore,
by selectively activating parvalbumin interneurons in the mPFC with a novel
pharmacogenetic approach, we restored gamma-aminobutyric acidergic signaling and
E/I balance as well as ameliorated all behavioral impairments. CONCLUSIONS: These
findings underscore the importance of thalamocortical activation of mPFC gamma
aminobutyric acidergic interneurons in a broad range of mPFC-dependent behaviors.
Furthermore, they highlight this circuitry as a platform for therapeutic
investigation in psychiatric diseases that involve impairments in PFC-dependent
behaviors.
PMID- 29373123
TI - FOREWORD.
PMID- 29373120
TI - Impact of Sleep and Circadian Rhythms on Addiction Vulnerability in Adolescents.
AB - Sleep homeostasis and circadian function are important maintaining factors for
optimal health and well-being. Conversely, sleep and circadian disruptions are
implicated in a variety of adverse health outcomes, including substance use
disorders. These risks are particularly salient during adolescence. Adolescents
require 8 to 10 hours of sleep per night, although few consistently achieve these
durations. A mismatch between developmental changes and social/environmental
demands contributes to inadequate sleep. Homeostatic sleep drive takes longer to
build, circadian rhythms naturally become delayed, and sensitivity to the phase
shifting effects of light increases, all of which lead to an evening preference
(i.e., chronotype) during adolescence. In addition, school start times are often
earlier in adolescence and the use of electronic devices at night increases,
leading to disrupted sleep and circadian misalignment (i.e., social jet lag).
Social factors (e.g., peer influence) and school demands further impact sleep and
circadian rhythms. To cope with sleepiness, many teens regularly consume highly
caffeinated energy drinks and other stimulants, creating further disruptions in
sleep. Chronic sleep loss and circadian misalignment enhance developmental
tendencies toward increased reward sensitivity and impulsivity, increasing the
likelihood of engaging in risky behaviors and exacerbating the vulnerability to
substance use and substance use disorders. We review the neurobiology of brain
reward systems and the impact of sleep and circadian rhythms changes on addiction
vulnerability in adolescence and suggest areas that warrant additional research.
PMID- 29373124
TI - Binding kinetics of five drugs to beta2-adrenoceptor using peak profiling method
and nonlinear chromatography.
AB - Investigations of drug-protein interactions have advanced our knowledge of ways
to design more rational drugs. In addition to extensive thermodynamic studies,
ongoing works are needed to enhance the exploration of drug-protein binding
kinetics. In this work, the beta2-adrenoceptor (beta2-AR) was immobilized on N,
N'-carbonyldiimidazole activated amino polystyrene microspheres to prepare an
affinity column (4.6 mm * 5.0 cm, 8 MUm). The beta2-AR column was utilized to
determine the binding kinetics of five drugs to the receptor. Introducing peak
profiling method into this receptor chromatographic analysis, we determined the
dissociation rate constants (kd) of salbutamol, terbutaline, methoxyphenamine,
isoprenaline hydrochloride and ephedrine hydrochloride to beta2-AR to be 15 (+/
1), 22 (+/-1), 3.3 (+/-0.2), 2.3 (+/-0.2) and 2.1 (+/-0.1) s-1, respectively. The
employment of nonlinear chromatography (NLC) in this case exhibited the same rank
order of kd values for the five drugs bound to beta2-AR. We confirmed that both
the peak profiling method and NLC were capable of routine measurement of receptor
drug binding kinetics. Compared with the peak profiling method, NLC was
advantageous in the simultaneous assessment of the kinetic and apparent
thermodynamic parameters. It will become a powerful method for high throughput
drug-receptor interaction analysis.
PMID- 29373126
TI - Scalability of pre-packed preparative chromatography columns with different
diameters and lengths taking into account extra column effects.
AB - Small pre-packed columns are commonly used to estimate the optimum run parameters
for pilot and production scale. The question arises if the experiments obtained
with these columns are scalable, because there are substantial changes in extra
column volume when going from a very small scale to a benchtop column. In this
study we demonstrate the scalability of pre-packed disposable and non-disposable
columns of volumes in the range of 0.2-20 ml packed with various media using
superficial velocities in the range of 30-500 cm/h. We found that the relative
contribution of extra column band broadening to total band broadening was not
only high for columns with small diameters, but also for columns with a larger
volume due to their wider diameter. The extra column band broadening can be more
than 50% for columns with volumes larger than 10 ml. An increase in column
diameter leads to high additional extra column band broadening in the filter,
frits, and adapters of the columns. We found a linear relationship between intra
column band broadening and column length, which increased stepwise with increases
in column diameter. This effect was also corroborated by CFD simulation. The
intra column band broadening was the same for columns packed with different
media. An empirical engineering equation and the data gained from the extra
column effects allowed us to predict the intra, extra, and total column band
broadening just from column length, diameter, and flow rate.
PMID- 29373125
TI - Highly uniform porous silica layer open-tubular capillary columns produced via in
situ biphasic sol-Gel processing for open-tubular capillary
electrochromatography.
AB - We report a highly uniform porous layer open tubular (PLOT) column for capillary
electrochromatography (CEC) analysis. The PLOT column is easily fabricated using
a single-step in-situ biphasic reaction, producing homogeneous porous-layer
modified surface with ~240 nm thickness in a 50 MUm-id capillary. CEC performance
of the PLOT column has been investigated and optimized under various experimental
parameters. Using a mixture of naphthalene and biphenyl as the test sample, we
show that the PLOT column exhibits good separation efficiency with resolution
>3.0 and theoretical plate numbers over 6 * 104, as well as good intra-/inter-day
repeatability and column-to-column repeatability. The column has been
successfully applied for CEC analysis of three different types of samples without
any further modification of the columns, including complicated peptide products
from tryptic-digestion of proteins (lysozyme and BSA), beta-blockers (basic
samples) and polycyclic aromatic hydrocarbons (neutral samples). Efficient
separation has been achieved, which could be attributed to the enhanced surface
to-volume ratio of the PLOT column that will increase the interaction between
solid phase and mobile phase in CEC. In addition, base-line separation of neutral
samples indicates the reversed phase chromatographic property of the PLOT column,
which could be induced by the residue of hexadecyltrimethylammonium bromide used
in the fabrication process. Our study show that the present PLOT column is a
promising approach that can significantly enhance CEC separation efficiency and
could be of potential value in analysis of various different samples.
PMID- 29373127
TI - Microwave-assisted decomplexation and in-situ headspace in-syringe dynamic
derivatization of dimethylamine borane with high performance liquid
chromatography-fluorescence detection.
AB - A rapid, sensitive, selective, and simple method for monitoring dimethylamine
borane (DMAB) in aqueous sample is proposed by combining microwave-assisted de
complexation, headspace liquid phase in-situ derivatization extraction, and high
performance liquid chromatography-fluorescence detection for the determination of
DMAB in samples. The present procedure involves de-complexation of DMAB using
microwave irradiation, evolution of dimethylamine (DMA) to the headspace from an
alkalized sample solution, and dynamic headspace liquid-phase derivatization
extraction (Dy-HS-LPDE) of DMA with 9-fluorenylmethyl chloroformate in a syringe
barrel. In addition to the optimal Dy-HS-LPDE and chromatographic parameters
described in our previous study, the de-complexation of DMAB by thermal and
microwave-assisted procedures and evolution of DMA into the headspace from an
alkalized solution and modification of the Dy-HS-LPDE method are thoroughly
investigated. The results indicate that complete de-complexation was obtained at
70 degrees C for 5 min, 30 degrees C for 10 min, or using microwave irradiation
for 30 s at any applied power. It indicates that the DMAB complex easily
undergoes de-complexation under microwave irradiation. The linearity range was
0.01-0.5 mg L-1 for DMAB and 0.0077-0.38 mg L-1 for DMA, with a coefficient of
determination of 0.9995, and limit of detection of 3 MUg L-1 (limit of
quantitation of 10 MUg L-1) for DMAB. The recoveries of DMAB are 95.3% (3.0% RSD)
for waste water when spiked 0.05 mg L-1 and 93.5% (5.4% RSD) for the samples
spiked with copper and nickel salts (5 mM each in the spiked waste sample). The
whole analytical procedure can be completed within 25 min. The results confirm
that the present method is a rapid, sensitive, selective, automated, low-cost and
eco-friendly procedure to identify DMAB in samples.
PMID- 29373128
TI - Early stages in the history of gas chromatography.
AB - The creation of gas chromatography is traditionally associated with the names of
Nobel Prize winner Archer Martin and his colleagues Richard Synge and Anthony
James. However, sometimes references to their predecessors can be found. An
investigation conducted by the authors of this article not only confirmed the
reliability of these references; but in fact led to the conclusion that by 1952,
which is commonly believed to be the year when gas chromatography was born, many
research papers had already been devoted to this method, mainly, in its gas-solid
version. These papers are considered in this article.
PMID- 29373129
TI - Profiling of polyunsaturated fatty acids in human serum using off-line and on
line solid phase extraction-nano-liquid chromatography-quadrupole-time-of-flight
mass spectrometry.
AB - Polyunsaturated fatty acids (PUFAs) play a pivotal role in the biological
effects, and are the potential biomarkers for some diseases. However, the
structural diversity and similarity, the low concentration, and the interference
of high abundant endogenous components challenge the PUFAs profiling. Herein, a
novel analytical approach, off-line and on-line solid phase extraction-nano
liquid chromatography-quadrupole-time-of-flight mass spectrometry (off-line and
on-line SPE-nano-LC-Q-TOF-MS), was established to monitor the PUFAs. The
combination of off-line and on-line SPE removed most of impurities, and the
recoveries ranged from 80.1% to 93.0% and the matrix effects were from 85.1% to
92.8%. Using this method, 51 PUFAs could be separated well and quantified with
the limits of quantification between 0.006 and 2.2 pg. Finally, this developed
method was applied successfully to simultaneously qualify and quantify the
potential biomarkers in the allergic patients. 21 PUFAs including LTB4, 5S-, 11S
, 15S-HETE and 15S-HEPE showed significant differences. Our study indicated that
the established method has the potential to sensitively and accurately determine
the PUFAs in biological samples.
PMID- 29373130
TI - Hypersensitivity to levothyroxine: A case report of a successful oral
desensitization.
PMID- 29373132
TI - In situ analysis of gelatinolytic activity in human dentin.
AB - Matrix metalloproteinases (MMPs) such as gelatinases are differentially expressed
in human tissues. These enzymes cleave specific substrates involved in cell
signaling, tissue development and remodeling and tissue breakdown. Recent
evidences show that gelatinases are crucial for normal dentin development and
their activity is maintained throughout the entire tooth function in the oral
cavity. Due to the lack of information about the exact location and activity of
gelatinases in mature human dentin, the present study was designed to examine
gelatinolytic levels in sound dentin. In situ zymography using confocal
microscopy was performed on both mineralized and demineralized dentin samples.
Sites presenting gelatinase activity were identified throughout the entire
biological tissue pursuing different gelatinolytic levels for distinct areas:
predentin and dentinal tubule regions presented higher gelatinolytic activity
compared to intertubular dentin. Dentin regions with higher gelatinolytic
activity immunohistochemically were partially correlated with MMP-2 expression.
The maintenance of gelatinolytic activity in mature dentin may have biological
implications related to biomineralization of predentin and tubular/peritubular
dentinal regions, as well as regulation of defensive mechanisms of the dentin
pulp complex.
PMID- 29373131
TI - [Rheumatoid arthritis and polycythemia vera JAK2 negative association:
Polycythemia may be worsening under methotrexate?]
PMID- 29373133
TI - Genotoxic and mutagenic potential of camphorquinone in L5178/TK+/- mouse lymphoma
cells.
AB - OBJECTIVES: Camphorquinone (CQ) is the most important photoinitiator used in
dental composite resins. Sparse data indicate a mutagenic potential of CQ.
Therefore, it was aim of this study to evaluate the cytotoxicity, genotoxicity,
and mutagenicity of CQ in L5178Y TK+/- mouse lymphoma cells. METHODS: L5178Y/TK+/
cells were exposed to different concentrations of non-irradiated CQ (0.25
2.5mM). Cytotoxicity was evaluated by propidium iodide assay, determination of
suspension growth rate, relative total growth and the mitotic index.
Intracellular levels of reactive oxygen/nitrogen species (ROS/RNS) were
quantified by 2',7'-dichlorofluoresceine diacetate (DCFH-DA). Early induction of
DNA strand breaks and oxidative DNA base lesions was assessed using the 8
hydroxyguanine DNA-glycosylase 1 (hOGG1)-modified alkaline comet assay, whereas
mutagenicity of CQ was determined in the mouse lymphoma TK assay (MLA), according
to OECD Guideline No. 490. RESULTS: CQ (0.5-2.5mM) induced concentration- and
time-dependent inhibition of cell growth associated with increased ROS/RNS
production, amounting to 2342%+/-1108% of controls after 90min at 2.5mM.
Additionally, CQ concentration-dependently caused direct DNA-damage, i.e.
formation of DNA strand breaks and 8-hydroxy-2'-deoxyguanosine. Whereas the MLA
indicated lack of mutagenicity of CQ after a 4h of treatment, CQ concentration
dependently increased total mutant frequency (MF) after 24h (about 2-fold at
2.5mM). But, based on the global evaluation factor concept, increase in MF did
not reach biologically relevance. SIGNIFICANCE: CQ induced concentration
dependent, cytotoxic and genotoxic effects in L5178Y/TK+/- cells, most likely due
to oxidative stress, but without mediating obvious biological relevant
mutagenicity.
PMID- 29373134
TI - Wear of polyetherketoneketones - Influence of titanium dioxide content and
antagonistic material.
AB - OBJECTIVE: The aim of this laboratory study was to analyze the influence of
titanium dioxide (TiO2) content and antagonistic material on the wear of
polyetherketoneketones (PEKKs). METHODS: Twenty-four disk-shaped specimens of two
PEKK materials containing either 10wt% or 20wt% TiO2 particles (P10 and P20) were
dynamically loaded in a chewing simulator with 49N and additional thermal cycling
(5-55 degrees C). Subgroups of 8 specimens each were loaded with spherical
antagonists made from either steatite ceramic (St), zirconia (Zr), or the same
PEKK material (P10 or P20). After 120,000, 240,000, 480,000, 840,000, and
1,200,000 loading cycles the vertical substance loss and the volume loss of the
loaded specimens were evaluated using a laser scanner. Data were checked
considering the normal distribution (Shapiro-Wilk test) and were inspected for
significant differences by means of single factor variance analyses and post hoc
pair comparison (Games-Howell test). RESULTS: After 1,200,000 chewing cycles,
statistical analyses revealed a significant influence of the antagonistic
material. A significant difference was also found between the tested PEKKs if Zr
was used as the antagonist. The volume loss ranged from between 0.073mm3 (P20
P20) and 0.228mm3 (P10-St), and the vertical substance loss ranged between
73.71MUm (P20-P20) and 115.268MUm (P10-Zr). SIGNIFICANCE: The inclusion of TiO2
particles influences the wear behavior of PEKK materials.
PMID- 29373135
TI - PAFIYAMA syndrome evidence in highly trained population.
PMID- 29373136
TI - Impact of a novel contrast reduction system on contrast savings in coronary
angiography - The DyeVert randomised controlled trial.
AB - AIMS: The most prominent procedure-related and thus modifiable risk factor for
contrast-induced acute kidney injury is contrast media (CM) volume. The DyeVertTM
system has been designed to reduce the amount of CM. The primary objective of
this randomised controlled trial was thus to examine whether the novel DyeVertTM
contrast reduction system (Osprey Medical Inc., Minnetonka, MN, USA) leads to a
reduction in CM volume in patients undergoing diagnostic coronary angiography.
METHODS: Patients scheduled for a diagnostic coronary angiogram were randomised
to angiography with or without the DyeVertTM system. The primary efficacy
endpoint was mean CM volume. Image quality was evaluated by an independent
reviewer blinded to treatment allocation. RESULTS: A total of 96 patients
underwent randomisation. Baseline characteristics were well balanced between
groups. Use of the DyeVertTM system resulted in a significant 41.0% reduction in
CM volume (36.9+/-10.9mL versus 62.5+/-12.7mL, p<0.001). Image quality using the
DyeVertTM system was non-inferior compared to control (p=0.03). There were no
device-related adverse events. CONCLUSIONS: The DyeVertTM system leads to
significant reduction in CM volume in patients undergoing diagnostic coronary
angiography, while maintaining image quality.
PMID- 29373138
TI - Individual and contextual factors for the child abuse potential of Croatian
mothers: The role of social support in times of economic hardship.
AB - The study assessed mothers' risk for abusing their children in middle adolescence
in relation to individual and contextual factors during the economic crisis in
Croatia. Socioeconomic status of mothers, family economic pressure, and mothers'
exposure to stress were measured. Special attention was given to the perceived
availability of social support as one of protective factors potentially buffering
the negative impact of risks of child abuse. The community sample included 746
mothers (Mage = 42.85; SDage = 5.319). The results showed that the risk of child
abuse is higher for mothers with lower education, those who perceive themselves
as suffering greater family economic hardship, those who have experienced a
higher number of stressful events, and those with lower social support. When the
mothers perceive a lower availability of social support, the effects of exposure
to cumulative risk, namely the combination of socioeconomic status, economic
pressure, and exposure to stress, are stronger. Since social support proved to be
one of the key protective factors in the relationship between adverse life
circumstances and parenting, the development of effective and non-stigmatized
interventions aiming to increase social support, positive social relationships,
and adequate parenting practices for parents facing economic hardship is an
important direction for future family policy measures.
PMID- 29373137
TI - Indicators of nutrient pollution in Long Island, New York, estuarine
environments.
AB - Roughly eight million people live on Long Island, including Brooklyn and Queens,
and despite improvements in wastewater treatment, nearly all its coastal
waterbodies are impaired by excessive nitrogen. We used nutrient stoichiometry
and stable isotope ratios in estuarine biota and soils to identify water
pollution hot spots and compare among potential indicators. We found strong
gradients in delta15N values, which were correlated with watershed land cover,
population density, and wastewater discharges. Weaker correlations were found for
delta13C values and nutrient stoichiometric ratios. Structural equation modeling
identified contrasts between western Long Island, where delta15N values depended
on watershed population density, and eastern Long Island where delta15N values
reflected agriculture and sewage discharges. These results illustrate the use of
stable isotopes as water quality indicators, and establish a baseline against
which the efficacy of strategies to reduce nutrients can be measured.
PMID- 29373139
TI - Sinus pericranii: early infant diagnosis.
PMID- 29373140
TI - [Foot drop: an iatrogenic complication of spinal anesthesia].
AB - BACKGROUND AND OBJECTIVES: Foot drop in postoperative period is very rare after
spinal anesthesia. Early clinical assessment and diagnostic interventions is of
prime importance to establish the etiology and to start appropriate management.
Close follow-up is warranted in early postoperative period in cases when patient
complain paresthesia or pain during needle insertion or drug injection. CASE
REPORT: A 22-year-old male was undergone lower limb orthopedic surgery in spinal
anesthesia. During shifting from postoperative ward footdrop was suspected during
routine assessment of regression of spinal level. Immediately the patient was
referred to a neurologist and magnetic resonance imaging was done, which was
inconclusive. Conservative management was started and nerve conduction study was
done on the 4th postoperative day that confirmed pure motor neuropathy of right
peroneal nerve. Patient was discharged with ankle splint and physiotherapy after
slight improvement in motor power (2/5). CONCLUSIONS: Foot drop is very rare
after spinal anesthesia. Any suspected patient must undergo emergent neurological
consultation and magnetic resonance imaging to exclude major finding and need for
early surgical intervention.
PMID- 29373141
TI - [The influence of the menstrual cycle on acute and persistent pain after
laparoscopic cholecystectomy].
AB - BACKGROUND AND OBJECTIVES: Fluctuations of female sex hormones during menstrual
cycle influence pain perception. Endogenous pain inhibition is impaired in
follicular phase of menstrual cycle. We tested the primary hypothesis that the
women having surgery during their follicular phase have more acute pain and
require higher opioids than those in the luteal phase, and secondarily we tested
that women who have surgery during their follicular phase have more incisional
pain at 3 month postoperatively. METHODS: 127 adult females having laparoscopic
cholecystectomy were randomized to have surgery during the luteal or follicular
phase of their menstrual cycle. Standardized anesthesia and pain management
regimen was given to all patients. Pain and analgesic consumption were evaluated
in post-anesthesia care unit and every 4h in the first 24h. Adverse effects were
questioned every 4h. Time to oral intake and ambulation were recorded. Post
surgical pain, hospital anxiety, depression scale, SF-12 questionnaire were
evaluated at 1 and 3 month visits. RESULTS: There was no difference in acute pain
scores and analgesic consumption through the 24h period, Visual Analog Scale at
24h was 1.5+/-1.5cm for follicular group 1.4+/-1.7cm for luteal group (p=0.57).
Persistent postoperative pain was significantly more common one and at three
month, with an incidence was 33% and 32% in the patients at follicular phase
versus 16% and 12% at luteal phase, respectively. The Visual Analog Scale at one
and at three month was 1.6+/-0.7cm and 1.8+/-0.8cm for follicular group and 2.7+/
1.3cm and 2.9+/-1.7cm in the luteal group (p=0.02), respectively. There were no
significant differences between the groups with respect to anxiety and
depression, SF-12 scores at either time. Nausea was more common in follicular
phase group (p=0.01) and oral feeding time was shorter in follicular phase (5.9+/
0.9h) than in luteal phase (6.8+/-1.9h, p=0.02). CONCLUSIONS: Although persistent
postoperative pain was significantly more common one and three months after
surgery the magnitude of the pain was low. Our results do not support scheduling
operations to target particular phases of the menstrual cycle.
PMID- 29373142
TI - Respuesta de los autores.
PMID- 29373143
TI - [Antipneumococcal vaccination in Catalonian adults: Vaccine coverages and
adequacy to distinct guideline recommendations].
AB - OBJECTIVES: To know antipneumococcal vaccination coverages among Catalonian
adults and evaluate the adequacy of vaccine use according to 3 distinct current
vaccination guidelines. DESIGN: Population-based cross-sectional study. SETTING:
Primary Health Care. Catalonia, Spain. PARTICIPANTS: A total of 2,033,465
individuals>=50 years-old registered in the Catalonian Health Institute. MAIN
MEASUREMENTS: Vaccination status for the 23-valent pneumococcal polysaccharide
vaccine (PPV23) and/or the 13-valent pneumococcal conjugate vaccine (PCV13) was
revised at 1/01/2015. Adequacy of vaccination status was determined according to
3 distinct vaccination recommendation guidelines: Spanish Ministry of Health
(basically coinciding with Catalonian Health Institute's recommendations),
Spanish Society of Family Physicians (semFYC) and Centers for Disease Control and
Prevention (CDC). RESULTS: Overall, 789,098 (38.8%) persons had received PPV23
and 5,031 (0.2%) had received PCV13. PPV23 coverage largely increased with
increasing age (4.8% in 50-59 years, 35.5% in 60-69 years, 71.9% in 70-79 years
and 79.5% in>=80 years; P<.001), whereas PCV13 coverage was very small in all age
groups. Considering the 3 analysed vaccine guidelines a 46.1% of the overall
study population were adequacy vaccinated according to Spanish Ministry's
recommendations, 19.3% according to semFYC's recommendations and 4.6% according
to CDC's recommendations. CONCLUSION: PPV23 coverage among Catalonian adults may
be considered as intermediate, but PCV13 coverage is very small. The
institutional recommendations (Spanish Ministry) are more followed than
corporative (semFYC) or less local (CDC) recommendations in clinical practice.
PMID- 29373144
TI - Sialendoscopy for sialolithiasis in children: 4-8 years follow up.
AB - Sialolithiasis is rare in children, there are no guidelines for its treatment,
and there are few, if any, long term follow-up studies. We report a retrospective
review of medical records of children who were treated for sialolithiasis by
sialendoscopy between 1 January 2007 and 31 December 2011, and who have been
followed up for 4-8 years. Personal and clinical details, including age, sex,
symptoms, whether the lithiasis was parotid or submandibular, the technique of
sialendoscopy and complications, were recorded. Twenty-six children (30 sides)
were successfully treated by sialendoscopy between 2007 and 2011 (mean (range)
age 12 (3-17) years). Stones were removed from the parotid gland in four patients
and the submandibular gland in 22. The main indication for sialendoscopy was
swelling of the salivary gland during meals. Twenty-six procedures were done
endoscopically. Twelve were treated with a wire basket alone, 10 by the combined
approach, and laser was used in eight. Four patients developed complications, but
without long-term effects. During follow-up of 4-8 years there were no recurrent
swellings. We conclude that endoscopic treatment of stones in childhood is an
efficient and conservative option for salivary glands, has few complications and
no clinical recurrence at medium to long-term follow-up.
PMID- 29373145
TI - Core Needle Biopsy of an Anterior Mediastinal Thymoma: Creation of a Safe Access
Route by Hydrodissection.
PMID- 29373146
TI - Alterations in male reproductive hormones in relation to environmental DDT
exposure.
AB - DDT [1, 1, 1-trichloro-2,2-bis (p-chlorophenyl)-ethane] compounds are used for
indoor residual spraying (IRS) to control malaria mosquitoes. DDT is an endocrine
disruptor chemical in experimental conditions, but little is known of adverse
effects related to living conditions with continual uptake across a time span by
all possible means of exposure. Based on estrogenic and/or anti-androgenic
effects found in animal studies, we hypothesized that chronic DDT/DDE exposures
in men may be associated with changes in male reproductive hormones. We tested
this hypothesis by compared the magnitude and direction of associations between
DDT and DDE (1,1-dichloro-2,2-bis(p-chlorophenyl) ethylene) concentrations and
male reproductive hormones in samples collected from IRS and non-IRS areas. We
sampled a cross-section of 535 men (aged 18-40 years). Men living in IRS villages
had significantly higher DDT and DDE concentrations compared with men from non
IRS villages. Men with DDT or DDE uptake (as reflected in detectable plasma
concentrations) had significantly higher total-, free and bio-available
testosterone (T), and lower follicle stimulating hormone (FSH) concentrations;
lower luteinizing hormone (LH) concentrations were only evident with DDT uptake.
To establish a dose-dependent effect, four sub-categories were defined. Men with
the highest DDT (74-519 MUg/g) and DDE (173-997 MUg/g) concentrations had
significantly higher total-, free and bio-available T, and lower FSH
concentrations compared with subjects with non-detectable isomer concentrations.
Estradiol concentrations were significantly higher in men with DDT and DDE
concentrations in both the third (DDE: 27-172 MUg/g; DDT: 5-73 MUg/g) and fourth
(DDE: 173-997 MUg/g; DDT: 74-519 MUg/g) categories. Men from IRS villages were
significantly more likely to have higher total and bioavailable T as well as
higher estradiol concentrations OR = 2.5 (95% CI 1.2, 3.2); OR 2.5 (95% CI 1.6,
4.0) and OR = 2.3 (95% CI 1.3, 4.1) compared to men from non-IRS villages, after
controlling for age, BMI, personal use of pesticides, and smoking. Men living in
IRS villages with life-long exposure (17.6 (+/-6) years) at the current residence
with multiple exposure modalities incurred the highest degree of physiological
imbalance over and above circulating isomer concentrations. Further studies are
needed to elucidate the health implications of these findings.
PMID- 29373147
TI - [Examining and touching the skin].
PMID- 29373148
TI - Effect of an enhanced recovery after surgery protocol in patients undergoing
pancreaticoduodenectomy: A randomized controlled trial.
AB - BACKGROUND & AIMS: Evidence of the advantages of enhanced recovery after surgery
(ERAS) protocols following pancreaticoduodenectomy (PD) is limited. The aim of
this study was to examine the efficiency of ERAS protocols in patients following
PD. METHODS: Between June 2014 and October 2016, patients undergoing PD were
randomly assigned to receive ERAS protocols or standard care. The primary
endpoint was the postoperative length of stay. Secondary endpoints included
postoperative complications, postoperative quality-of-life (QoR-40J),
readmission, and medical cost. RESULTS: Of 80 eligible patients, 74 were analyzed
in intention-to-treat principles: 37 in the control group and 37 in the ERAS
group. The mean length of stay in the ERAS group was significantly shorter than
that in the control group (20.1 +/- 5.4 vs 26.9 +/- 13.5 days, P < 0.001). The
ERAS group had a significantly lower percentage of postoperative complications
(32.4% vs 56.8%, P = 0.034) and readmissions (0% vs 8.1%, P = 0.038). Quality-of
life was also significantly better in the ERAS group (184 +/- 12.4 vs 177 +/-
14.5, P = 0.022). The total medical cost was lower in the ERAS group, but not
significantly ($25,445 +/- 5065 vs $28,384 +/- 9999, P = 0.085). CONCLUSIONS: The
optimization of ERAS protocols in patients undergoing PD is safe and accelerates
perioperative recovery and quality-of-life, thereby reducing the length of stay.
Morbidity was significantly decreased in the ERAS group without compromising
surgical outcome. REGISTRATION NUMBER: UMIN000014068.
PMID- 29373149
TI - Comment on: Lifestyle predictors of obese and non-obese patients with
nonalcoholic fatty liver disease: A cross-sectional study.
PMID- 29373150
TI - Overexpressed SIRT6 attenuates cisplatin-induced acute kidney injury by
inhibiting ERK1/2 signaling.
AB - Sirtuin 6 (SIRT6) is a NAD+-dependent deacetylase associated with numerous
aspects of health and physiology. Overexpression of SIRT6 has emerged as a
protector in cardiac tissues against pathologic cardiac hypertrophy. However, the
mechanism of this protective effect is not fully understood. Here, both in vivo
and in vitro results demonstrated that SIRT6 overexpression can attenuate
cisplatin-induced kidney injury in terms of renal dysfunction, inflammation and
apoptosis. In addition, SIRT6 knockout aggravated kidney injury caused by
cisplatin. We also found that SIRT6 bound to the promoters of ERK1 and ERK2 and
deacetylated histone 3 at Lys9 (H3K9) thereby inhibiting ERK1/2 expression.
Furthermore, inhibition of ERK1/2 activity eliminated aggravation of kidney
injury caused by SIRT6 knock out. Thus, our findings uncover the protective
effect of SIRT6 on the kidney and define a new mechanism by which SIRT6 regulates
inflammation and apoptosis. This may provide a new therapeutic target for kidney
injury under stress.
PMID- 29373151
TI - Reply to Dr Wael Agur.
PMID- 29373152
TI - Management of female stress urinary incontinence.
PMID- 29373153
TI - Diaphragmatic Ultrasound Correlates with Inspiratory Muscle Strength and
Pulmonary Function in Healthy Subjects.
AB - Diaphragm ultrasound (DUS) has been used to identify diaphragm dysfunction.
However, its correlations with respiratory strength and lung function are
unclear, even in healthy patients. A total of 64 healthy patients (30 males) had
lung function and inspiratory strength (maximal inspiratory pressure and sniff
nasal inspiratory pressure) measured. Gastric and oesophageal pressures were
measured in a subgroup (n = 40). DUS was characterized by mobility (quiet
breathing [QB] and deep breathing [DB]) and thickness (at functional residual
capacity [ThFRC] and total lung capacity [ThTLC]). We calculated the thickening
fraction (TF). During QB, DUS was similar between sexes. However, during DB,
females had lower mobility, thickness and TF than males. Mobility at DB, ThTLC
and TF significantly correlated with lung function and inspiratory strength.
These correlations were affected by sex. DUS correlated with inspiratory gastric
pressure. In healthy patients, DUS correlated with lung function and inspiratory
strength during DB. Significant differences between genders were noticeable when
DUS was performed during DB.
PMID- 29373154
TI - Characteristic Echocardiographic Manifestations of Behcet's Disease.
AB - The objective of this study was to determine the characteristic echocardiographic
manifestations of Behcet's disease. Ninety-seven Behcet's patients admitted to
our hospital from January 2002 to December 2016 were retrospectively analyzed.
There were 63 patients (64.9%) with cardiac involvement; these included 47 men
(74.6%) and 16 women (25.4%). Of these 63 patients, most (74.6%) exhibited
valvular lesions, especially aortic regurgitation. The primary characteristic
manifestations of valves were cusp prolapse with aneurysmal changes, vegetation
like lesions and echo-free spaces within the annulus. Second (30.0%) were aortic
lesions, including aortic dilation or aneurysm formation, aortic pseudoaneurysm
and coronary sinus aneurysm. Other manifestations, such as cardiac thrombosis,
coronary arterial pseudoaneurysm, pulmonary aneurysm and pericardial effusion,
were rare. Cardiac involvement is not uncommon in Behcet's patients, especially
in males, and it is characterized by valvular disease, especially aortic
regurgitation. The diagnosis of Behcet's disease should be considered when
evaluating patients with these characteristic echocardiographic manifestations.
PMID- 29373155
TI - Emergency Department Contribution to the Prescription Opioid Epidemic.
AB - STUDY OBJECTIVE: We characterize the relative contribution of emergency
departments (EDs) to national opioid prescribing, estimate trends in opioid
prescribing by site of care (ED, office-based, and inpatient), and examine
whether higher-risk opioid users receive a disproportionate quantity of their
opioids from ED settings. METHODS: This was a retrospective analysis of the
nationally representative Medical Expenditure Panel Survey from 1996 to 2012.
Individuals younger than 18 years and with malignancy diagnoses were excluded.
All prescriptions were standardized through conversion to milligrams of morphine
equivalents. Reported estimates are adjusted with multivariable regression
analysis. RESULTS: From 1996 to 2012, 47,081 patient-years (survey-weighted
population of 483,654,902 patient-years) surveyed by the Medical Expenditure
Panel Survey received at least 1 opioid prescription. During the same period, we
observed a 471% increase in the total quantity of opioids (measured by total
milligrams of morphine equivalents) prescribed in the United States. The
proportion of opioids from office-based prescriptions was high and increased
throughout the study period (71% of the total in 1996 to 83% in 2012). The amount
of opioids originating from the ED was modest and declined throughout the study
period (7.4% in 1996 versus 4.4% in 2012). For people in the top 5% of opioid
consumption, ED prescriptions accounted for only 2.4% of their total milligrams
of morphine equivalents compared with 87.8% from office visits. CONCLUSION:
Between 1996 and 2012, opioid prescribing for noncancer patients in the United
States significantly increased. The majority of this growth was attributable to
office visits and refills of previously prescribed opioids. The relative
contribution of EDs to the prescription opioid problem was modest and declining.
Thus, further efforts to reduce the quantity of opioids prescribed may have
limited effect in the ED and should focus on office-based settings. EDs could
instead focus on developing and disseminating tools to help providers identify
high-risk individuals and refer them to treatment.
PMID- 29373156
TI - Initial Observations of Salivary Brain-Derived Neurotrophic Factor Levels in Rett
Syndrome.
PMID- 29373157
TI - New-Onset Status Epilepticus in Pediatric Patients: Causes, Characteristics, and
Outcomes.
AB - BACKGROUND: Many pediatric patients presenting with status epilepticus have no
history of seizures. METHODS: We retrospectively analyzed the clinical
characteristics of patients aged one month to 21 years who presented during six
consecutive years with convulsive status epilepticus and without a history of
seizures. New-onset refractory status epilepticus was defined as status
epilepticus refractory to two lines of treatment, without an identified cause in
the first 48 hours. RESULTS: Of 460 patients with status epilepticus, 79 (17.2%)
presented with new-onset status epilepticus, including four (0.9%) with new-onset
refractory status epilepticus. Of those patients, 54.4% were female, and the
median age was 3.5 years (IQR: 1.08 to 6.75). The median seizure duration was 20
minutes (IQR: 10 to 40 minutes). Etiology was unknown in 36.7%, symptomatic in
30.3%, provoked in 16.5%, and provoked with an existing symptomatic etiology in
16.5%. Patients were followed for a median duration of 63 months (IQR: 21 to 97).
The mortality rate was 3.8%. Of 55 patients who were developmentally normal at
baseline, 29.1% had a significant cognitive impairment at the last follow-up, and
20% had academic difficulties or behavioral problems. Patients with symptomatic
etiology had greater odds of having cognitive and behavioral problems compared
with patients with unknown etiology (odds ratio = 3.83, P = 0.012). CONCLUSIONS:
Patients with new-onset status epilepticus are at risk for recurrent seizures,
recurrent status epilepticus, death, and subsequent cognitive-behavioral
impairment. Specific monitoring and care interventions might be required in this
high-risk population.
PMID- 29373158
TI - Cardiac Arrest Following Abdominal Catastrophe in a Toddler.
PMID- 29373159
TI - Single-ended prediction of listening effort using deep neural networks.
AB - The effort required to listen to and understand noisy speech is an important
factor in the evaluation of noise reduction schemes. This paper introduces a
model for Listening Effort prediction from Acoustic Parameters (LEAP). The model
is based on methods from automatic speech recognition, specifically on
performance measures that quantify the degradation of phoneme posteriorgrams
produced by a deep neural net: Noise or artifacts introduced by speech
enhancement often result in a temporal smearing of phoneme representations, which
is measured by comparison of phoneme vectors. This procedure does not require a
priori knowledge about the processed speech, and is therefore single-ended. The
proposed model was evaluated using three datasets of noisy speech signals with
listening effort ratings obtained from normal hearing and hearing impaired
subjects. The prediction quality was compared to several baseline models such as
the ITU-T standard P.563 for single-ended speech quality assessment, the American
National Standard ANIQUE+ for single-ended speech quality assessment, and a
single-ended SNR estimator. In all three datasets, the proposed new model
achieved clearly better prediction accuracies than the baseline models;
correlations with subjective ratings were above 0.9. So far, the model is trained
on the specific noise types used in the evaluation. Future work will be concerned
with overcoming this limitation by training the model on a variety of different
noise types in a multi-condition way in order to make it generalize to unknown
noise types.
PMID- 29373160
TI - Visualization of age-related vascular alterations in facial skin using optical
coherence tomography-based angiography.
PMID- 29373161
TI - Quantitative microstructural cerebral changes in neurofibromatosis type 1.
AB - OBJECTIVES: To evaluate microstructural cerebral changes in children with
neurofibromatosis type 1 (NF1) based on T2 relaxation time measurements at
3Tesla. METHODS: From our dataset of pediatric MRI examinations at 3T 19
pediatric NF1 patients (1.9-14.3 years of age, 9 girls, 10 boys) were
retrospectively selected and compared with the previously published group of 44
healthy children (0-16 years of age). MRI examination included a triple echo TSE
sequence as basis for T2 maps. T2 relaxation times were measured in 37 brain
regions. RESULTS: Compared with healthy controls, T2 relaxation times had the
tendency to be increased by 1.01% (GM) to 11.85% (dentate nucleus) for NF1
patients. Only in posterior limb of the internal capsule and parietooccipital
white matter values were reduced. No differences were observed between both
hemispheres. Overall, no strong evidence supporting a difference between NF1
patients with and without optic glioma or with normal and impaired
neuropsychological development was observed. CONCLUSIONS: Using T2 relaxation
times it was possible to describe measurable microstructural differences in
multiple brain regions between NF1 patients and healthy children regardless of
whether signal abnormalities were visible on conventional images.
PMID- 29373162
TI - Altered functional connectivity in post-ischemic stroke depression: A resting
state functional magnetic resonance imaging study.
AB - OBJECTIVE: In previous studies, post-stroke depression (PSD) was found to be
related to stroke characteristics as well as social and psychological factors.
This study identified altered functional connectivity (FC) in patients with PSD
at the subacute phase in three brain networks: default mood network (DMN),
cognitive control network (CCN), and affective network (AN). The correlation
between FC and the severity of PSD was investigated. MATERIALS AND METHODS:
Resting-state functional magnetic resonance image (rs-fMRI) was performed on 26
PSD patients (6 females), 24 stroke patients without depression (5 females), and
24 age-matched normal controls (6 females) all aged 40-75 years. The FC values of
DMN, CCN, and AN were calculated and compared among the three groups. The
Hamilton Depression Rating Scale (HDRS) (17 items) was employed and the score was
correlated with FC in the PSD group. RESULTS: The FCs of the three networks were
altered in PSD patients at the subacute phase compared to stroke patients without
depression and normal controls (NC). Moreover, the left inferior parietal gyrus,
the left orbital part of inferior frontal gyrus, and left angular gyrus (which
indicated altered FC) were significantly correlated with HDRS scores in PSD
patients. CONCLUSIONS: Alteration of the three neural networks might be
correlated with the development of PSD at the subacute phase of stroke.
PMID- 29373163
TI - Social Determinants of LGBT Cancer Health Inequities.
AB - OBJECTIVES: To describe the extant literature on social determinants of health as
they relate to the cancer disparities and to highlight the research findings
relating to lesbian, gay, bisexual, and transgender (LGBT) populations. DATA
SOURCES: Published scientific literature and clinical literature, and published
reports from the World Health Organization and US Department of Health and Human
Services. CONCLUSION: The larger literature on health inequities is moving beyond
individual-level predictors of risk to evaluate the influence of social
determinants of health on the persistent health inequalities in a population. As
it has for other groups, additional research into social determinants of health
for LGBT persons of color may play an important role in identifying and reducing
cancer inequities for this group. IMPLICATIONS FOR NURSING PRACTICE: Increased
awareness of the factors that contribute to health inequities for the LGBT
population may provide insight into improving patient-provider relationships with
LGBT patients. A large body of experiential and clinical knowledge positions
nurses to conduct meaningful research to expand the current understanding of the
social determinants of LGBT cancer health inequities.
PMID- 29373165
TI - Relapsing Pott disease caused by Mycobacterium heckeshornense in a well
controlled HIV-infected patient.
PMID- 29373164
TI - High frequency jet ventilation during endolaryngeal surgery: Risk factors for
complications.
PMID- 29373166
TI - Ultrastructural study on the embryonic development of the orthokeratinized
epithelium and its cornified layer (lingual nail) on the ventral surface of the
lingual apex in the domestic duck (Anas platyrhynchos f. domestica).
AB - The lingual nail as the cornified layer of the orthokeratinized epithelium in
birds is responsible for the collection of solid food by pecking. The aim of the
present study is to determine the manner of orthokeratinized epithelium
development and assess the degree of readiness of the epithelium to fulfill its
mechanical function at hatching. Three developmental phases are distinguished,
i.e. embryonic, transformation and pre-hatching stage. In the embryonic stage
lasting until day 13 of incubation the epithelium is composed of several layers
of undifferentiated cells. During the transformation stage, from day 14 to 20 of
incubation, the epithelium becomes differentiated to form three layers. A
characteristic feature is the formation of osmophilic granules in the superficial
layer, referred to as periderm granules. Until the pre-hatching stage the fibrous
cytoskeleton of epithelial cells and an impermeable epithelial barrier are
gradually developed. In the pre-hatching stage, a cornified lingual nail is
formed, while the periderm is exfoliated. At hatching the orthokeratinized
epithelium and lingual nail are fully developed and ready to perform feeding
activities. The presence of periderm, similarly as in the epidermis, indicates
the ectodermal derivation of the oral cavity epithelium. Moreover, occurrence of
osmophilic granules may be considered as evidence for the phylogenetic affinity
of birds and reptiles.
PMID- 29373167
TI - Do high sound pressure levels of crowing in roosters necessitate passive
mechanisms for protection against self-vocalization?
AB - High sound pressure levels (>120dB) cause damage or death of the hair cells of
the inner ear, hence causing hearing loss. Vocalization differences are present
between hens and roosters. Crowing in roosters is reported to produce sound
pressure levels of 100dB measured at a distance of 1m. In this study we measured
the sound pressure levels that exist at the entrance of the outer ear canal. We
hypothesize that roosters may benefit from a passive protective mechanism while
hens do not require such a mechanism. Audio recordings at the level of the
entrance of the outer ear canal of crowing roosters, made in this study, indeed
show that a protective mechanism is needed as sound pressure levels can reach
amplitudes of 142.3dB. Audio recordings made at varying distances from the
crowing rooster show that at a distance of 0.5m sound pressure levels already
drop to 102dB. Micro-CT scans of a rooster and chicken head show that in roosters
the auditory canal closes when the beak is opened. In hens the diameter of the
auditory canal only narrows but does not close completely. A morphological
difference between the sexes in shape of a bursa-like slit which occurs in the
outer ear canal causes the outer ear canal to close in roosters but not in hens.
PMID- 29373168
TI - Risk factors of urinary tract infection caused by extended spectrum beta
lactamase-producing Escherichia coli in emergency department.
AB - OBJECTIVES: The incidence of urinary tract infection (UTI) due to extended
spectrum beta-lactamase (ESBL)-producing Escherichia coli has increased over
recent years. Initial empirical therapy is often ineffective for these resistant
isolates resulting in prolonged hospitalization and increased mortality. This
study was conducted to determine the risk factors of UTI caused by ESBL E. coli
in the emergency department (ED). METHODS: This is a retrospective case-control
study at a university hospital in Korea with UTI patients who visited ED between
June 2015 and December 2016. We compared case patients with ESBL E. coli UTI (n =
50) to control patients with non-ESBL-producing E. coli UTI (n = 100), which were
matched for age and sex. Multivariate logistic regression analysis was used to
explore risk factors. RESULTS: Our study showed that hospital-acquired infection
(OR = 3.86; 95% CI = 1.26-11.8; p = .017), prior UTI within 1 year (OR = 3.26;
95% CI = 1.32-8.05; p = .010), and underlying cerebrovascular disease (OR = 3.24;
95% CI = 1.45-7.25; p = .004) were independent risk factors for acquisition of
ESBL-producing E. coli. Notably, 35 (70%) out of 50 case patients had community
acquired infection, and 68% and 54% of ESBL E. coli were resistance to
ciprofloxacin and trimethoprim-sulfamethoxazole, respectively. On the contrary,
98% of ESBL E. coli was susceptible to amikacin. CONCLUSION: The main risk
factors identified in our study should be considered when treating UTI patients
in ED. Amikacin may improve the outcome of empirical treatment without increasing
carbapenem utilization.
PMID- 29373169
TI - Xuebijing combined with ulinastation benefits patients with sepsis: A meta
analysis.
AB - BACKGROUND: The potential benefits and possible risks associated with Xuebijing
when combined with ulinastatin for sepsis treatment are not fully understood.
METHODS: Databases, such as PubMed, Web of Science, CNKI, WanFang and VIP, were
searched to collect randomized, controlled trials. Studies were screened, data
were extracted, and the methodological quality was assessed by two reviewers
independently. A meta-analysis was carried out with Stata 11.0 software. RESULTS:
A total of 16 studies involving 1192 participants were enrolled for meta-analysis
based on the inclusion and exclusion criteria. The results showed that compared
with the group using routine therapies and the group using a single
administration of either ulinastatin or Xuebijing, the trial group using
Xuebijing combined with ulinastatin was significantly superior in the following
aspects: mortality (RR = 0. 54,95% CI (0. 41, 0. 70, P = .000), 7 d APACHE II
(SMD = -1.21, 95%CI (-1.62, -0.80), P = .000), duration of mechanical ventilation
(SMD = -1.21, 95%CI (-1.62, -0.80), P = .000), average length of time in the
intensive care unit (SMD = -1.21, 95%CI (-1.62, -0.80), P = .000), incidence of
multiple organ dysfunction syndromes (RR = 0. 54, 95% CI (0.41, 0. 70, P = .000),
interleukin-6 (SMD = -1.36,95%CI (-2.46, -0.27), P = .000), lipopolysaccharide
(SMD = -9.92, 95%CI (-11.7, -7.90), P = .006), and procalcitonin (SMD = -0.30,
95%CI (-0.34, -0.26), P = .012). CONCLUSIONS: Our results found that Xuebijing
when combined with ulinastatin was superior to both routine therapies and the
single administration of either ulinastatin or Xuebijing. This finding provides a
new therapeutic option for the treatment of sepsis.
PMID- 29373170
TI - Time crunch: increasing the efficiency of assessment of technical surgical skill
via brief video clips.
AB - BACKGROUND: Video review for assessment of surgical performance is gaining
popularity but is time consuming for busy expert reviewers, making review delays
inevitable. Decreasing review time and including nonexpert reviewers may
facilitate more timely reviews. We hypothesized that a shorter duration video
clip would not affect the quality of expert ratings compared with full-length
review. A secondary aim was to examine the reliability between expert and novice
raters and how it was affected by video clip duration. METHODS: Videos of
laparoscopic suturing performed on a live porcine model by premedical students,
surgery residents, and fully trained surgeons were edited into 3 different
durations: full, part, and 30-second versions. Video clips (n = 36) were reviewed
by experienced surgeons (n = 3) and novice volunteers (n = 4) using the validated
Global Operative Assessment of Laparoscopic Skills (GOALS) assessment. Videos
were assigned randomly during 3 review cycles held 1 week apart. Each cycle
included 1 iteration of the 12 performance videos. We assessed the impact of the
duration of the video on reviewer scores and inter-rater reliability. RESULTS:
Variance in scores for 2 of 4 GOALS domains was dependent on the duration of the
video clip (P < .05). Total GOALS scores were greater for part and 30-second
clips compared with full clips (P < .05). Inter-rater reliability was greatest
for full clips (intraclass correlation = 0.68) and decreased significantly for
shorter clips. CONCLUSION: Our hypothesis was rejected as shorter video durations
for surgical performance assessment led to inflated reviewer ratings both for
expert and novice reviewers. Shortening duration of the video cannot be
recommended for accurate performance assessment.
PMID- 29373171
TI - Evolution of a level I pediatric trauma center: Changes in injury mechanisms and
improved outcomes.
AB - BACKGROUND: Trauma is the leading cause of mortality among children, underscoring
the need for specialized child-centered care. The impact on presenting mechanisms
of injury and outcomes during the evolution of independent pediatric trauma
centers is unknown. The aim of this study was to evaluate the impact of our
single center transition from an adult to American College of Surgeons-verified
pediatric trauma center. METHODS: A retrospective analysis was performed of 1,190
children who presented as level I trauma activations between 2005 and 2016.
Patients were divided into 3 chronological treatment eras: adult trauma center,
early pediatric trauma center, and late pediatric trauma center after American
College of Surgeons verification review. Comparisons were made using Pearson
chi2, Wilcoxon rank sum, and Kruskal-Wallis tests. RESULTS: The predominant
mechanism of injury was motor vehicle crash, with increases noted in
assault/abuse (2% adult trauma center, 11% late pediatric trauma center). A
decrease in intensive care admissions was identified during late pediatric trauma
center compared with early pediatric trauma center and adult trauma center (51%
vs 62.4% vs 67%, P < .001), with concomitant increases in admissions to the floor
and immediate operative interventions, but overall mortality was unchanged.
CONCLUSION: Transition to a verified pediatric trauma center maintains the safety
expected of the American College of Surgeons certification, but with notable
changes identified in mechanism of injury and improvements in resource
utilization.
PMID- 29373172
TI - Identifying quality markers of a safe surgical ward: An interview study of
patients, clinical staff, and administrators.
AB - BACKGROUND: Postoperative care quality is variable. Risk-adjusted mortality rates
differ between institutions despite comparable complication rates. This indicates
that there are underlying factors rooted in how care is delivered that determines
patient safety. This study aims to evaluate systematically the surgical ward
environment with respect to process-driven and structural factors to identify
quality markers for safe care, from which new safety metrics may be derived.
METHODS: Semistructured interviews of clinicians, nurses, patients and
administrators were undertaken for the study. RESULTS: In the study, 97% of staff
members recognized the existence of variation in patient safety between surgical
wards. Four main error-prone processes were identified: ward rounds (57%),
medication prescribing and administration (49%), the presence of outliers (43%),
and deficiencies in communication between clinical staff (43%). Structural
factors were categorized as organizational or environmental; organizational
included shortage in staffing (39%) and use of temporary staff (27%).
Environmental factors considered layout and patient visibility to nurses (49%) as
well as cleanliness (29%). Safety indicators identified included staff experience
level (31%), overall layout of the ward, cleanliness and leadership (all 27%
each). The majority of patients (87%) identified staff attentiveness as a safety
indicator. CONCLUSION: This study demonstrates that there are a number of factors
that may contribute to safety on the surgical ward spanning multiple processes,
organizational, and environmental factors. Safety indicators identified across
all these categories presents an opportunity to develop broader and more
effectual safety improvement measures focusing on multiple areas simultaneously.
PMID- 29373173
TI - Synchronization of cyclic and acyclic embryo recipient mares with donor mares.
AB - This study compared hormone treatments given to mares during anestrus, spring
transition, and different stages of the estrous cycle, by assessing uterine
features and pregnancy rates after embryo transfer (ET). Embryo recipient mares
(n = 160) were equally arranged as follows: G1-spontaneous ovulation (control),
G2-anestrus, G3-spring transition, G4-early estrus, G5-estrus, G6-diestrus, G7
early diestrus treated with a dose of dinoprost, and G8-early diestrus treated
with two doses of dinoprost. At treatment initiation (Day-4), G2-7 were given
dinoprost and estradiol-17beta, thereafter, estradiol-17beta was repeated on Days
3,-2, and -1. On Day0, mares received long-acting altrenogest. Then, each mare
had one ET performed from Day + 3 to Day + 8 after altrenogest. Immediately
before the ET, mares received a boost of altrenogest and had uterine features
assessed. Pregnant mares on each of the checks (by 7, 30, 60, and 120d after ET)
were maintained on weekly injections of LA-P4 until 120d. G8 received similar
management, but dinoprost was repeated on Day-3. G1-G6 and G8 displayed uterine
edema and satisfactory pregnancy rates >=65%. Repeating dinoprost to G8 likely
ensured proper luteolysis and response to estrogen as determined by higher
uterine edema scores and pregnancy rates than G7 (p < .05). Our results were
consistent with previous studies and other successful commercial ET programs
(except G7), thus, demonstrating the usefulness of the hormone treatments
described herein to synchronize embryo recipient mares with donor mares. Thus, we
foresee that other groups may use the strategies described herein for the
management of embryo recipient mares.
PMID- 29373174
TI - Neutrophil gelatinase-associated lipocalin in patients with sarcoidosis.
AB - BACKGROUND: Neutrophil gelatinase-associated lipocalin (NGAL) is a glycoprotein
that is involved in the innate immune system and increased expression has been
detected in diverse diseases. Sarcoidosis is a systemic granulomatous disorder
and its clinical course and prognosis are changeable and highly divergent. This
study aimed to examine the expression of NGAL in patients with sarcoidosis. In
addition, we examined whether NGAL could serve as a marker of disease activity
and prognosis. METHODS: Ninety-six sarcoidosis patients were studied. Serum
samples collected at the time of diagnosis were examined for NGAL by cellular
enzyme-linked immunosorbent assay. The level of NGAL was compared with clinical,
radiological and laboratory data. RESULTS: Patients with sarcoidosis had
significantly higher levels of NGAL (the median [interquartile range] was 35.1
ng/mL [23.5-60.8] in sarcoidosis patients versus 17.2 ng/mL [13.0-27.0] in the
reference population, p < .0001). NGAL levels were not correlated with markers
for disease activity. During the follow-up period, 26 patients (27.1%)
deteriorated and received systemic corticosteroid therapy for organ dysfunction.
In those patients, NGAL levels were significantly higher than in those who did
not receive corticosteroid therapy (56.5 ng/mL [27.3-92.3] versus 34.3 ng/mL
[23.0-53.0], p = .0201). Upon multivariate logistic regression analysis, elevated
NGAL levels at diagnosis were associated with subsequent use of systemic
corticosteroid therapy (hazard ratio, 1.20; 95% confidence interval, 1.09-1.31; p
= .0004). CONCLUSION: NGAL may be a useful marker to predict the disease course
of sarcoidosis.
PMID- 29373175
TI - A novel point mutation affecting Asn76 of dystrophin protein leads to
dystrophinopathy.
AB - Mutations in the DMD gene lead to Duchenne and Becker muscular dystrophy
(DMD/BMD). Missense mutations are rare cause of DMD/BMD. A six-month-old male
patient presented with mild generalized muscle weakness, hypotonia, and delayed
motor development. Dystrophinopathy was suspected because of highly elevated
serum creatine kinase level (1497 U/L) and tiered DMD gene analysis was
performed. Multiplex ligation-dependent probe amplification (MLPA) assay showed
deletion of exon 4, which could not be confirmed by another method. Sequencing of
exon 4 revealed a novel de novo point mutation (c.227A>T, p.Asn76Ile) in the N
terminal actin-binding domain (N-ABD) of dystrophin protein. The false positive
MLPA result was explained by the fact that the affected nucleotide lies directly
at the 3' ligation site of the MLPA probe. Sequencing of the whole coding region
of DMD gene proved c.227A>T to be the sole variant being potentially pathogenic.
According to in silico analyses the mutation was predicted to be highly
destabilizing on N-ABD structure possibly leading to protein malfunction. Muscle
biopsy was performed and dystrophin immunohistochemistry results were suggestive
of BMD. Our results highlight the importance of confirmatory testing of single
exon deletions detected by MLPA and we describe a novel, destabilizing missense
mutation in the DMD gene.
PMID- 29373176
TI - Evaluation of a Shorter Follow-up Time to Capture Benefit of a Trial Vocal Fold
Augmentation.
AB - OBJECTIVE: Trial vocal fold injection (TVFI) is employed diagnostically for
patients with subtle glottic insufficiency to explore potential for improvement.
Clinical experience demonstrates the time to and length of peak benefit of the
TVFI is variable. Previous studies collected data 4 weeks or more after TVFI. The
aim of this study was to compare subjectively successful and unsuccessful TVFI
patient groups. It is hypothesized that patients with subjectively reported
success will also have significant improvements in Voice Handicap Index-10 (VHI
10), phase closure percentage, and aerodynamic measures 2 weeks after trial
augmentation. METHODS/DESIGN: Subjects with glottic insufficiency were included
in this retrospective review if they underwent office-based, per-oral vocal fold
injection augmentation specifically for trial purposes. Patients were divided
into "successful" and "unsuccessful" groups based on their subjective experience
during the 2-week post-TVFI period. VHI-10, subjective report, phase closure
evaluation using frame-by-frame analysis, and aerodynamic data were collected pre
and 2 weeks post-TVFI. RESULTS: Of the subjects, 15 of 23 (65%) reported a
successful subjective improvement of their symptom, whereas 8 (35%) were
unsuccessful (only partial improvement or no improvement). The number of subjects
with an improvement in VHI-10 by 5 or more points was not significantly different
between groups. The number of subjects that demonstrated complete, long phase
closure was significantly higher in the successful group (P = 0.021).
CONCLUSIONS: The understanding of how to more precisely determine the success of
TVFI remains incomplete. Subjective improvement of successful TVFI was captured
with basic clinical questioning, yet the VHI-10 was unable to confidently
demonstrate this reported success 2 weeks after TVFI.
PMID- 29373177
TI - The quest for higher sensitivity in MRI through higher magnetic fields.
PMID- 29373178
TI - Current use of beta-blockers in patients with coronary artery disease.
AB - Beta-blockers have long comprised a cornerstone in the symptomatic treatment of
ischemic heart disease and in the secondary prevention of myocardial infarction
and heart failure. The majority of studies underlying the evidence of a
beneficial effect of beta-blockers on outcomes were conducted more than 25 years
ago. In a contemporary era where treatment strategies and secondary prophylactic
therapy have undergone several changes, the continued role of beta-blockers in
ischemic heart disease has been questioned, especially in the absence of heart
failure or a recent myocardial infarction. In summary, few randomized clinical
trials are available on the effect of beta-blockers in the reperfusion era,
especially on hard endpoints. Likewise, the results of numerous observational
studies and meta-analysis are conflicting, emphasizing the need for additional
large-scale randomized clinical trials to evaluate the role of beta-blocker
therapy in current clinical practice.
PMID- 29373179
TI - Post-transplant outcomes in pediatric ventricular assist device patients: A
PediMACS-Pediatric Heart Transplant Study linkage analysis.
AB - BACKGROUND: Pediatric ventricular assist device (VAD) support as bridge to
transplant has improved waitlist survival, but the effects of pre-implant status
and VAD-related events on post-transplant outcomes have not been assessed. This
study is a linkage analysis between the PediMACS and Pediatric Heart Transplant
Study databases to determine the effects of VAD course on post-transplant
outcomes. METHODS: Database linkage between October 1, 2012 and December 31, 2015
identified 147 transplanted VAD patients, the primary study group. The comparison
cohort was composed of 630 PHTS patients without pre-transplant VAD support. The
primary outcome was post-transplant survival, with secondary outcomes of post
transplant length of stay, freedom from infection and freedom from rejection.
RESULTS: At implant, the VAD cohort was INTERMACS Profile 1 in 33 (23%), Profile
2 in 89 (63%) and Profile 3 in 14 (10%) patients. The VAD cohort was older,
larger, and less likely to have congenital heart disease (p < 0.0001). However,
they had greater requirements for inotrope and ventilator support and increased
liver and renal dysfunction (p < 0.0001), both of which normalized at transplant
after device support. Importantly, there were no differences in 1-year post
transplant survival (96% vs 93%, p = 0.3), freedom from infection (81% vs 79%, p
= 0.9) or freedom from rejection (71% vs 74%, p = 0.87) between cohorts.
CONCLUSIONS: Pediatric VAD patients have post-transplant outcomes equal to that
of medically supported patients, despite greater pre-implant illness severity.
Post-transplant survival, hospital length of stay, infection and rejection were
not affected by patient acuity at VAD implantation or VAD-related complications.
Therefore, VAD as bridge to transplant mitigates severity of illness in children.
PMID- 29373180
TI - Immunotherapy, an evolving approach for the management of triple negative breast
cancer: Converting non-responders to responders.
AB - Immunotherapy comprises a promising new era in cancer therapy. Immune checkpoint
inhibitors targeting either the programmed death (PD)-1 receptor or its ligand PD
L1 were first approved by the Food and Drug Administration (FDA) for the
management of metastatic melanoma in 2011. The approval of this class is being
extended to include other types of immunogenic tumors. Although breast cancer
(BC) was first categorized as non-immunogenic tumor type, there are certain
subsets of BC that showed a high level of tumor infiltrating lymphocytes (TILs).
Those subsets include the triple negative breast cancer (TNBC) and HER-2 positive
breast tumors. Preliminary data from clinical trials presented promising outcomes
for patients with advanced stage/metastatic TNBC. While the objective response
rate (ORR) was relatively low, it is still promising because of the observation
that the patients who respond to the treatment with immune checkpoint blockade
have favorable prognosis and often show a significant increase in the overall
survival. Therefore, the main challenge is to find ways to enhance the tumor
response to such therapy and to convert the non-responders to responders. This
will consequently bring new hopes for patients with advanced stage metastatic
TNBC and help to decrease death tolls from this devastating disease. In the
current review, we are highlighting and discussing the up-to-date strategies
adopted at either the preclinical or the clinical settings to enhance tumor
responsiveness to immunotherapy.
PMID- 29373181
TI - The role of stereotactic body radiotherapy in reirradiation of head and neck
cancer recurrence.
AB - INTRODUCTION: Head and neck cancer recurrence is a therapeutic challenge due to
the anatomical and functional constraints of the head and neck area. Stereotactic
body radiotherapy (SBRT) is a high-precision technique of radiotherapy that
consists of delivering a high ablative biological dose in 1-5 high-dose
fractions, requiring a very high precision of the radiotherapy process with
potential application in this clinical setting METHODS: Different studies that
investigate the role of SBRT in the treatment of recurrent head and neck cancer
have been reviewed. Indications to properly select patients for this treatment
are presented. RESULTS: Retrospective studies and phase I-II trials with selected
patients have shown low to moderate toxicity, with an efficacy at least similar
to that of treatment with combinations of radiotherapy and chemotherapy. In
selected patients, SBRT is a treatment option for recurrent head and neck cancer
with low toxicity. DISCUSSION: New prospective studies should clarify data
regarding the efficacy and toxicity of SBRT in head and neck cancer recurrence.
PMID- 29373182
TI - The many-faced role of autophagy in liver diseases.
PMID- 29373183
TI - B-Natriuretic Peptide Pathway Modulation for the Management of Heart Failure With
Reduced Ejection Fraction.
PMID- 29373184
TI - Dose-volume and radiobiological dependence on the calculation grid size in
prostate VMAT planning.
AB - This study evaluated the effects of dose-volume and radiobiological dependence on
the calculation grid size in prostate volumetric-modulated arc therapy (VMAT)
planning. Ten patients with prostate cancer were selected for this retrospective
treatment planning study. Prostate VMAT plans were created for the patients using
the 6 MV photon beam produced by a Varian TrueBEAM linac with the calculation
grid size equal to 1, 2, 2.5, 3, 4, and 5 mm. Dose-volume histograms (DVHs) of
targets and organs at risk were generated for different grid sizes. We calculated
the radiobiological parameters of the tumor control probability (TCP) of clinical
target volume (CTV) and planning target volume (PTV), and the normal tissue
complication probability (NTCP) of organs at risk (rectal wall, rectum, bladder
wall, bladder, left femur, and right femur). The homogeneity, conformity, and
gradient indexes of CTV and PTV were calculated for different grid sizes. The TCP
of PTV was found decreasing with a rate of 0.06%/mm when the calculation grid
size increased from 1 to 5 mm. On the other hand, both NTCPs of rectal wall and
rectum were found decreasing with rates of 0.03%/mm and 0.05%/mm, respectively,
with an increase of grid size. The homogeneity index of PTV increased with a rate
of 0.57/mm of the calculation grid size, whereas the conformity index of PTV
decreased with a rate of 0.0075/mm. The gradient index of PTV was found
increasing with a rate equal to 0.05/mm. In prostate VMAT planning, variations of
dose-volume and radiobiological parameters with calculation grid size on PTV,
rectal wall, and rectum were more significant than those of CTV and other organs
at risk such as bladder wall, bladder, and femurs. Results in this study are
important in the treatment planning quality assurance when the calculation grid
size is varied to compromise a shorter dose computing time.
PMID- 29373185
TI - Control of hospitals and nursing homes in France: The 2016 reform may indirectly
improve a dysfunctional system.
AB - In France, the supervisory bodies require hospitals and nursing homes to undergo
various control procedures. A stack of legislation and control measures has piled
up, with no provision for their interconnection being included in any of the
legislation. The purpose of the article is to point to the prospects for better
control opened up by the legislation modernising the health system adopted on 26
January 2016. The reform will neither directly change the partitioning between
the supervisory bodies preventing the sharing of information and the
harmonisation of the practices in terms of control, nor change the internal
partitioning within the supervisory body. But in hospitals, the reform will
improve the interconnection of control of quality/control inspections/control of
strategy using a common medical project and pooling certain cross-cutting
functions, and implementing the control of quality for the new local hospital
groupings as a whole. In nursing homes, the generalisation of multi-year aims and
means contracts would allow a better interconnection of the control of strategy
and the control of quality since it provides managers with the means of
constructing projects for the evolution of their establishments over a period of
time, and accompanies changes in the socio-medical offer to improve the provision
of care. These changes would allow a more credible, coherent, useful, and
equitable control.
PMID- 29373186
TI - Rationalizing the introduction and use of pharmaceutical products: The role of
managed entry agreements in Central and Eastern European countries.
AB - This paper aims to provide an overview of the rationalization strategies for the
introduction and use of pharmaceuticals, focusing on the role of managed entry
agreements (MEA) in Central and Eastern European (CEE) countries, namely
Bulgaria, the Czech Republic, Croatia, Hungary, Poland and Romania. We developed
a conceptual framework on MEAs that was used as the basis for a standardized
assessment questionnaire sent to country experts to capture their perceptions on
their countries' rationalization strategies and MEAs. Our study shows that the
main role of MEAs and other related policies embedded in the health care system
is to limit the budget impact of drugs in all examined 6 countries. Uncertainty
about outcomes and appropriate utilization seem to be of lower priority. Finance
based MEAs are used by all countries. Performance-based MEAs are scarce and used
to a limited extent by Hungary and Poland. The overall transparency of the
existence and details of MEAs is limited. Expansion of the use and increased
transparency of MEAs is recommended. Still, the informational infrastructure and
competencies in implementing MEA's need to be developed further.
PMID- 29373187
TI - The use of preventable hospitalization for monitoring the performance of local
health authorities in long-term care.
AB - OBJECTIVE: The objective of the study was to examine whether there are
differences in the performance of long-term care programs between local health
authorities, using preventable hospitalization as an indicator. METHODS: A
retrospective cohort study compared the rate of preventable hospitalization for
local health authorities in Tuscany (Italy) between January 2012 and September
2016. Several administrative datasets for the patients in long-term care programs
were linked at the individual (patient) level. Elderly disabled patients 65 years
of age and older in long-term care programs in Tuscany from both types of
programs: nursing homes (n = 4 196) and home care (n = 15 659) were included in
the study. RESULTS: The rate of preventable hospitalization differed considerably
between local health authorities. Three out twelve local health authorities had a
significantly lower and one had a significantly higher preventable
hospitalization rate than the regional average. CONCLUSION: There was a large
variation in the rate of preventable hospitalization among the local health
authorities. Applying preventable hospitalization as an indicator for quality,
with implications for periodical audit can be used for monitoring the performance
of a long-term care program.
PMID- 29373188
TI - Socioeconomic status and waiting times for health services: An international
literature review and evidence from the Italian National Health System.
AB - In the absence of priority criteria, waiting times are an implicit rationing
instrument where the absence or limited use of prices creates an excess of
demand. Even in the presence of priority criteria, waiting times may be unfair
because they reduce health care demand of patients in lower socio-economic
conditions due to high opportunity costs of time or a decay in their health
level. Significant evidence has shown a relationship between socioeconomic status
and the length of waiting time. The first phase of the study involved an
extensive review of the existent literature for the period of 2002-2016 in the
main databases (Scopus, PubMed and Science Direct). Twenty-eight met the
eligibility criteria. The 27 papers were described and classified. The e mpirical
objective of this study was to determine whether socioeconomic characteristics
affect waiting time for different health services in the Italian national health
system. The services studied were specialist visits, diagnostics tests and
elective surgeries. A classification tree and logistic regression models were
implemented. Data from the 2013 Italian Health National Survey were used. The
analysis found heterogeneous results for different types of service. Individuals
with lower education and economic resources have a higher risk of experiencing
excessive waiting times for diagnostic and specialist visits. For elective
surgery, socioeconomic inequalities are present but appear to be lower.
PMID- 29373189
TI - Patient blood management in cardiac surgery: Results.
AB - OBJECTIVE: The main objective of the study was to evaluate the effect of
implementing a blood-saving programme in patients undergoing elective cardiac
surgery with an analysis of the transfusion rate and complications. MATERIALS AND
METHODS: A single-centre, observational, retrospective, comparative study which
included 604 consecutive patients older than 15 years old undergoing elective
cardiac surgery. Two groups of patients were created according to whether or not
they were included in a blood-saving protocol, and analysed between December 2012
and July 2013 (293 patients, prep group) and April 2015 to May 2016 (311
patients, posp group). RESULTS: The overall blood product transfusion rate was
reduced in the posp group (89.5 vs. 67.6%; P<0.001), as well as individually: red
blood cell concentrates (83.6%; P<0.001), fresh frozen plasma (36.2 vs. 21.2%;
P<0.001), platelets (40.8 vs. 32.7; P<0.001). By contrast, fibrinogen use
increased from 16.4 to 49% (P<0.001). Postoperative complications were similar in
both groups, except for pulmonary complications (57.8 vs. 43.1%; P<0.001). Length
of hospital stay was similar in both groups except in the Critical Care Unit with
longer stay for the prep group (5.81+/-8.00 vs. 4.18+/-4.38; P=0.002). Mortality
did not change. CONCLUSIONS: The implementation of a blood-saving programme in
the cardiac surgery area has favourable consequences, such as a saving in blood
product and a reduction of pulmonary complications, although without decreasing
the mortality rate.
PMID- 29373190
TI - What have we learned from reporting safety incidents in the Surgical Block?:
Cross-sectional descriptive study of two-years of activity of a multidisciplinary
analytical group.
AB - BACKGROUND AND OBJECTIVES: Incident Reporting Systems (IRS) are considered a tool
that facilitates learning and safety culture. Using the experience gained with
SENSAR, we evaluated the feasibility and the activity of a multidisciplinary
group analyzing incidents in the surgical patient notified to a general community
system, that of the Observatory for Patient Safety (OPS). MATERIAL AND METHOD:
Cross-sectional observational study planned for two years. After training in the
analysis, a multidisciplinary group was created in terms of specialties and
professional categories, which would analyze the incidents in the surgical
patient notified to the OPS. Incidents are classified and their circumstances
analyzed. RESULTS: Between March 2015 and 2017, 95 incidents were reported (4 by
non-professionals). Doctors reported more than nurses, at 54 (56.84%) vs. 37
(38.94%). The anaesthesia unit reported most at 46 (48.42%) (P=.025). The types
of incidents mainly related to the care procedure (30.52%); to the preoperative
period (42.10%); and to the place, the surgical area (48.42%). Significant
differences were detected according to the origin of the notifier (P=.03). No
harm, or minor morbidity, constituted 88% of the incidents. Errors were
identified in 79%. The analysis of the incidents directed the measures to be
taken. CONCLUSIONS: The activity undertaken by the multidisciplinary analytical
group during the period of study facilitated knowledge of the system among the
professionals and enabled the identification of areas for improvement in the
Surgical Block at different levels.
PMID- 29373191
TI - Change in the efficacy of influenza vaccination after repeated inoculation under
antigenic mismatch: A systematic review and meta-analysis.
AB - OBJECTIVES: To examine the effects of repeated influenza vaccination on medically
attended influenza (MAI) and acute respiratory illness (ARI) risk according to
the antigenic matching between vaccine and circulating virus strains. METHODS: We
performed a systematic review and meta-analysis of randomized studies that
compared the risk of MAI and ARI between subjects who had been vaccinated for two
consecutive seasons (multiple vaccine group) and those who had been vaccinated in
the current season and not in the previous season (single vaccine group).
RESULTS: Of 1467 articles identified, eight studies covering ten seasons were
included in meta-analyses. Six studies assessed efficacy against MAI in children,
yielding the risk ratios (RR) of 2.04 (95% CI 1.29-3.22) when circulating strains
mismatched vaccine strains, and 0.64 (0.33-1.22) when circulating strains matched
vaccine strains. When stratified by vaccine types, the reduced efficacy was
significant for live-attenuated influenza vaccine only. Three studies
investigated efficacy against ARI in children, with the RR of 0.96 (0.81-1.15).
The results on adults and the elderly were scarce. CONCLUSIONS: Influenza vaccine
efficacy against mismatch strains was lower in repeatedly vaccinated children as
compared with those vaccinated for the current season only. The scarcity of
available studies may call for further randomized controlled trials on repeated
influenza vaccination.
PMID- 29373193
TI - Antibody recognition of cathepsin L1-derived peptides in Fasciola hepatica
infected and/or vaccinated cattle and identification of protective linear B-cell
epitopes.
AB - Fasciola hepatica infection causes important economic losses in livestock and
food industries around the world. In the Republic of Ireland F. hepatica
infection has an 76% prevalence in cattle. Due to the increase of anti-helminthic
resistance, a vaccine-based approach to control of Fasciolosis is urgently
needed. A recombinant version of the cysteine protease cathepsin L1 (rmFhCL1)
from F. hepatica has been a vaccine candidate for many years. We have found that
vaccination of cattle with this immunodominant antigen has provided protection
against infection in some experimental trials, but not in others. Differential
epitope recognition between animals could be a source of variable levels of
vaccine protection. Therefore, we have characterised for first time linear B-cell
epitopes recognised within the FhCL1 protein using sera from F. hepatica-infected
and/or vaccinated cattle from two independent trials. Results showed that all F.
hepatica infected animals recognised the region 19-31 of FhCL1, which is situated
in the N-terminal part of the pro-peptide. Vaccinated animals that showed fluke
burden reduction elicited antibodies that bound to the regions 120-137, 145-155,
161-171 of FhCL1, which were not recognised by non-protected animals. This data,
together with the high production of specific IgG2 in animals showing vaccine
efficacy, suggest important targets for vaccine development.
PMID- 29373192
TI - The cost-effectiveness of trivalent and quadrivalent influenza vaccination in
communities in South Africa, Vietnam and Australia.
AB - BACKGROUND: To inform national healthcare authorities whether quadrivalent
influenza vaccines (QIVs) provide better value for money than trivalent influenza
vaccines (TIVs), we assessed the cost-effectiveness of TIV and QIV in low-and
middle income communities based in South Africa and Vietnam and contrasted these
findings with those from a high-income community in Australia. METHODS:
Individual based dynamic simulation models were interfaced with a health economic
analysis model to estimate the cost-effectiveness of vaccinating 15% of the
population with QIV or TIV in each community over the period 2003-2013.
Vaccination was prioritized for HIV-infected individuals, before elderly aged 65+
years and young children. Country or region-specific data on influenza-strain
circulation, clinical outcomes and costs were obtained from published sources.
The societal perspective was used and outcomes were expressed in International$
(I$) per quality-adjusted life-year (QALY) gained. RESULTS: When compared with
TIV, we found that QIV would provide a greater reduction in influenza-related
morbidity in communities in South Africa and Vietnam as compared with Australia.
The incremental cost-effectiveness ratio of QIV versus TIV was estimated at
I$4183/QALY in South Africa, I$1505/QALY in Vietnam and I$80,966/QALY in
Australia. CONCLUSIONS: The cost-effectiveness of QIV varied between communities
due to differences in influenza epidemiology, comorbidities, and unit costs.
Whether TIV or QIV is the most cost-effective alternative heavily depends on
influenza B burden among subpopulations targeted forvaccination in addition to
country-specific willingness-to-pay thresholds and budgetary impact.
PMID- 29373194
TI - A simple clinical method for predicting the benefit of prone vs. supine
positioning in reducing heart exposure during left breast radiotherapy.
AB - BACKGROUND AND PURPOSE: The benefit of reduced radiation heart exposure in the
prone vs. supine position individually differs. In this prospective cohort study,
the goal was to develop a simple method for the operation of a validated model
for the prediction of preferable treatment position during left breast
radiotherapy. MATERIAL AND METHODS: In 100 cases, a single CT slice was utilized
for the collection of the needed patient-specific data (in addition to body mass
index, the distance of the LAD from the chest wall and the area of the heart
included in the radiation fields at the middle of the heart in the supine
position). Outcome was analyzed in relation to the full CT series acquired in
both positions and dosimetric data. RESULTS: Great consistency was found between
the tested and original method regarding sensitivity and specificity. The
prioritization of LAD dose, and the use of heart dose and position-specific dose
constraints as safety measures ensure sensitivity and specificity values of 82.8%
and 87.3%, respectively. In an additional "routine clinical practice" series of
60 patients the new method seemed feasible in routine clinical practice. External
testing on a 28-case series indicated similar accuracy. CONCLUSION: We consider
this simple clinical tool appropriate for assisting individual positioning aiming
at maximum heart protection during left breast irradiation.
PMID- 29373195
TI - Ototoxicity and cochlear sparing in children with medulloblastoma: Proton vs.
photon radiotherapy.
AB - PURPOSE: To compare ototoxicity rates between medulloblastoma patients treated
with protons vs. photons. MATERIALS AND METHODS: The study included 84 children
diagnosed with medulloblastoma treated with either passively scattered protons (n
= 38) or photons (n = 46). Patients underwent maximal safe resection followed by
craniospinal irradiation, posterior fossa and/or tumor bed boost and chemotherapy
according to one of 3 multi-institutional trials. Median audiogram follow-up was
56 months for protons and 66 months for photons. RESULTS: Mean cochlear dose
(Dmc) was lower in patients treated with protons for both standard (p < 0.0001)
and high-risk disease (p < 0.001). Grade 3 and 4 ototoxicity was seen in 7 of 75
(9.3%) and 9 of 91 (9.9%) ears (Brock, p = 0.91), 13 of 75 (17.3%) and 19 of 91
(20.9%) ears (POG, p = 0.56), and 15 of 75 (20.0%) and 21 of 91 (23.1%) ears
(SIOP Boston, p = 0.63) with protons and photons respectively. CONCLUSIONS: While
cochlear doses were lower in the proton group, patients treated with either
protons or photons had similar Grade 3 and 4 ototoxicity rates.
PMID- 29373196
TI - Treatment outcome and prognostic factors for adult patients with medulloblastoma:
The Rare Cancer Network (RCN) experience.
AB - BACKGROUND AND PURPOSE: The optimal treatment for adults with newly diagnosed
medulloblastoma (MB) has not been defined. We report a large series of cases from
the Rare Cancer Network. MATERIAL AND METHODS: Thirteen institutions enrolled 206
MB patients who underwent postoperative radiotherapy (RT) between 1976 and 2014.
Log-rank univariate and Cox-modeled multivariate analyses were used to analyze
data collected. RESULTS: Median patient age was 29 years; follow-up was 31
months. All patients had the tumor resected; surgery was complete in 140 (68%)
patients. Postoperative RT was given in 202 (98%) patients, and 94% received
craniospinal irradiation (CSI) and, usually, a posterior fossa boost. Ninety
eight (48%) patients had chemotherapy, mostly cisplatin and vincristine-based.
The 10-year local control, overall survival, and disease-free survival rates were
46%, 51%, and 38%, respectively. In multivariate analyses, Karnofsky Performance
Status (KPS) >=80 and CSI were significant for disease-free and overall survival
(P <= .04 for all); receiving chemotherapy and KPS >=80 correlated with better
local-control rates. CONCLUSIONS: Patients with high KPS who received CSI had
better rates of disease-free and overall survival. Chemotherapy was associated
with better local control. These results may serve as a benchmark for future
studies designed to improve outcomes for adults with medulloblastoma.
PMID- 29373197
TI - The role of inflammatory cytokines and tumor associated macrophages (TAMs) in
microenvironment of pancreatic cancer.
AB - Pancreatic cancer is considered as one of the most lethal types of cancer due to
its poor prognosis and lack of effective therapeutic approaches. Although many
studies have been done on pancreatic cancer, the current treatment methods did
not exhibit successful results. Hence, novel strategies are needed for treatment
of pancreatic cancer. The microenvironment of pancreatic cancer contains many
factors such as inflammatory cytokines and tumor associated macrophages (TAMs),
which influence the tumor's status. These factors can be upregulated and
consequently lead to exacerbation of tumor progression. Understanding the role of
pro- and anti-inflammatory cytokines and the function of TAMs in the pancreatic
cancer microenvironment might lead to development and improvement of novel
strategies in the diagnosis and treatment of pancreatic cancer and may result in
promising treatments for this type of cancer.
PMID- 29373199
TI - Facial morphology and growth following surgery for congenital midline cervical
cleft patients.
AB - Congenital midline cervical cleft (CMCC) is a rare condition that consists of a
cutaneous midline neck lesion with a sinus extending inferiorly towards the
sternum. A fibrous band that extends superiorly to the mandible is a consistent
feature of the condition. Restriction of growth of the mandible, possibly due to
incomplete removal of the band, is the most significant long-term problem. It
remains unclear whether early removal of the fibrous band might allow catch-up
growth of the mandible. This study utilized non-invasive three-dimensional
photographs to objectively evaluate the facial growth of six CMCC patients. The
growth of these CMCC patients was compared to the average growth of age- and sex
matched controls from a database of three-dimensional facial photographs of
clinically normal subjects. After surgical removal of the fibrous cord, CMCC
patients experience growth in the chin at the same rate as in the normal
population; no evidence was found for catch-up growth. As a result, individuals
with CMCC are likely to require further surgical intervention to correct the
residual retrognathia on completion of facial growth. Early excision of the
lesion including aggressive resection of the fibrous band is still recommended,
as this should optimize the early growth of the mandible in infancy.
PMID- 29373200
TI - Survey of patient experiences of orthognathic surgery: health-related quality of
life and satisfaction.
AB - The objective of this study was to assess changes in patient quality of life
(QoL) after orthognathic surgery at the Kuwait University Dental Clinic. A self
administered Arabic version of the Orthognathic Quality of Life Questionnaire
(OQLQ) and two different visual analogue scales (VAS) were used. Sixty-six
patients participated (63.6% female). The deformities were corrected by
bimaxillary jaw surgery (83.3%), Le Fort I (9.1%), and bilateral sagittal split
osteotomy (7.6%). The most important reasons given for undertaking the surgery
were facial aesthetics (80.3%) and bite correction (75.8%). Of the patients who
had reported moderate to high levels of problems pre-surgery, the majority
reported improvements in facial appearance (91.3%), appearance of the teeth
(97.0%), biting (96.3%), chewing (92.3%), and eating function (76.5%). Overall,
93.9% of patients reported better conditions after surgery, and the satisfaction
level was very high (VAS 91.6%). The VAS score for QoL increased significantly
from pre-surgery (73.0%) to post-surgery (93.6%) (P=0.0001). The OQLQ score was
also significantly decreased after surgery, reflecting improvements in the
'social aspects of dentofacial deformity', 'facial aesthetics', 'oral function',
and 'awareness of dentofacial aesthetics' domains (P=0.0001). Overall, the
patients who underwent orthognathic surgery were satisfied and had improved QoL.
The satisfaction rate in the present study reflects successful treatment with
orthognathic surgery.
PMID- 29373198
TI - Lineage specification in innate lymphocytes.
AB - Innate lymphoid cells (ILCs) are immune cells that lack specific antigen
receptors but possess similar effector functions as T cells. Concordantly, ILCs
express many transcription factors known to be important for T cell effector
function. ILCs develop from lymphoid progenitors in fetal liver and adult bone
marrow. However, the identification of ILC progenitor (ILCP) and other precursors
in peripheral tissues raises the question of whether ILC development might occur
at extramedullary sites. We discuss central and local generation in maintaining
ILC abundance at peripheral sites.
PMID- 29373201
TI - Small size of metastatic lymph nodes with extracapsular spread greatly impacts
treatment outcomes in oral squamous cell carcinoma patients.
AB - Extracapsular spread (ECS) of metastatic lymph nodes from oral carcinoma is the
most significant prognostic predictor of a poor treatment outcome. However, only
a few reports on prognostic factors in ECS-positive cases have been investigated.
To address this problem, a detailed examination of ECS pathology was conducted to
determine the prognostic factors of oral squamous cell carcinoma (OSCC) with ECS
of metastatic lymph nodes. This study involved 63 OSCC patients with at least one
pathologically metastatic node with ECS. Among the 229 metastatic lymph nodes,
149 exhibited ECS. Univariate analysis revealed that a poor outcome and
recurrence were significantly associated with the number of ECS-positive nodes,
density of ECS, and the minor axis of the smallest ECS-positive node. However,
multivariate analysis identified only small size of ECS-positive nodes as a
significant and independent factor predicting recurrence and a poor outcome.
Thus, small size of ECS-positive nodes is the most important prognostic indicator
for OSCC with ECS in metastatic lymph nodes. The classification of ECS status
using the minor axis of ECS-positive nodes may be useful for further prediction
of a poorer prognosis in OSCC cases. Standardization of ECS diagnosis and
multicenter prospective studies will be required to confirm and refine these
findings.
PMID- 29373202
TI - Reconstruction of the mandibular condyle using the microvascular lateral femoral
condyle flap.
AB - The lateral femoral condyle (LFC) flap is a new flap first reported in 2015 for
the treatment of osteomyelitis in hand surgery. This paper introduces a technique
of osteochondral LFC flap harvest for mandibular condyle reconstruction and
reports on the use of this flap in temporomandibular joint reconstruction. For
condyle resection, a pre-auricular approach saving the temporal artery and vein
is performed. A step osteotomy technique is used for condyle resection. LFC
harvesting starts with dissection of the popliteal artery and vein. The superior
genicular artery and vein are identified and followed along their periosteal
branches. An osteochondral flap according to the condyle defect is harvested from
the anterior pole of the knee. The flap is banded according to the defect and
fixed to the mandibular neck with three miniscrews. Follow-up computed tomography
scans should be performed at 1 week, 6 months, and 1year after surgery. The case
of a 58-year-old female patient with osteomyelitis of the left mandibular condyle
after multiple preoperative therapies is reported. The LFC flap technique was
used for left condyle reconstruction, resulting in good functional and
morphological outcomes at the 6-month postoperative follow-up. In conclusion, the
osteochondral LFC flap is a new and promising technique for mandibular condyle
reconstruction for special indications.
PMID- 29373203
TI - Editor's Choice - A Prospective Study to Evaluate Complete Wound Healing and Limb
Salvage Rates After Angiosome Targeted Infrapopliteal Balloon Angioplasty in
Patients with Critical Limb Ischaemia.
AB - OBJECTIVE/BACKGROUND: To evaluate complete wound healing and limb salvage rates
in patients with critical limb ischaemia (CLI) with concurrent foot
ulceration/gangrene who underwent angiosome targeted infrapopliteal balloon
angioplasty. METHODS: This was a prospective observational study. In total, 212
patients who underwent successful infrapopliteal balloon angioplasty to assist
wound healing and achieve limb salvage were included from June 2014 to March
2016. Propensity score matching was developed to compare complete wound healing,
1 year amputation free survival (AFS), and limb salvage rates between the two
study groups (direct revascularisation [DR] and indirect revascularisation [IR]).
RESULTS: Direct flow to the foot wounds based on the angiosome principle was
achieved in 117 legs (55.2%) versus 95 legs (44.8%) that represented the IR
group. Seventy-three matched pairs were obtained to minimise intergroup
differences in baseline characteristics. Twelve months after angioplasty, the
complete wound healing rates were 80.8% and 63.0% (p = .02), AFS rates were 72.6%
and 61.6% (p = .164), and limb salvage rates were 90.4% and 82.2% (p = .148) in
the DR and IR groups, respectively. CONCLUSION: This study suggests that the
complete wound healing rate is better when the target foot lesion receives direct
perfusion following the angiosome concept, whereas limb salvage and AFS rates
were not significantly different among the DR and IR groups.
PMID- 29373204
TI - Trends in physical activity, health-related fitness, and gross motor skills in
children during a two-year comprehensive school physical activity program.
AB - OBJECTIVES: The purpose of this study was to examine the trends in school-day
step counts, health-related fitness, and gross motor skills during a two-year
Comprehensive School Physical Activity Program (CSPAP) in children. DESIGN:
Longitudinal trend analysis. METHODS: Participants were a sample of children
(N=240; mean age=7.9+/-1.2 years; 125 girls, 115 boys) enrolled in five low
income schools. Outcome variables consisted of school day step counts, Body Mass
Index (BMI), estimated VO2 Peak, and gross motor skill scores assessed using the
Test of Gross Motor Development-3rd Edition (TGMD-3). Measures were collected
over a two-year CSPAP including a baseline and several follow-up time-points.
Multi-level mixed effects models were employed to examine time trends on each
continuous outcome variable. Markov-chain transition models were employed to
examine time trends for derived binary variables for school day steps, BMI, and
estimated VO2 Peak. RESULTS: There were statistically significant time
coefficients for estimated VO2 Peak (b=1.10mL/kg/min, 95% C.I. [0.35mL/kg/min
2.53mL/kg/min], p=0.009) and TGMD-3 scores (b=7.8, 95% C.I. [6.2-9.3], p<0.001).
There were no significant changes over time for school-day step counts or BMI.
Boys had greater change in odds of achieving a step count associating with 30min
of school day MVPA (OR=1.25, 95% C.I. [1.02-1.48], p=0.044). CONCLUSIONS: A two
year CSPAP related to increases in cardio-respiratory endurance and TGMD-3
scores. School day steps and BMI were primarily stable across the two-year
intervention.
PMID- 29373205
TI - A 20*20m repeated sprint field test replicates the demands of wheelchair rugby.
AB - OBJECTIVES: To assess the physiological responses to, and the agreement between,
a 20*20m repeated sprint field test and wheelchair rugby game play, as well as
the reliability of the test. DESIGN: Cross-sectional and longitudinal. METHODS:
Heart rate (HR), blood lactate ([La-]B), and ratings of perceived exertion (RPE)
were collected in nineteen elite wheelchair rugby athletes before, during, and
after a 20*20m repeated sprint field test and game play. Times to complete 5, 10,
and 20m during the field test were also collected. RESULTS: Peak HR and peak [La
]B were positively correlated during the field test (r=0.470, p=0.043), as were
peak HR and peak speed (r=0.493, p=0.031), and peak [La-]B and peak speed
(r=0.559, p=0.013). During game play, peak [La-]B was correlated with peak RPE
(rho=0.703, p=0.001). Intra-class correlations (ICCs) between the field test and
game play were significant for peak HR (ICC=0.922, p<0.001) and peak [La-]B
(ICC=0.845, p<0.001). Bland-Altman analysis revealed good agreement between HR
and [La-]B obtained during the field test and game play and excellent between-day
reliability of the 20*20m sprint test. CONCLUSIONS: The physiological demands of
a 20*20m repeated sprint field test are similar to those of elite wheelchair
rugby game play and the test is highly reliable. This simple to implement field
test may be useful as a component of team selection and in assessing the
effectiveness of training interventions or monitoring athletes across training
phases.
PMID- 29373206
TI - Aggressive ossifying fibroma of right ethmoidal sinus: A case report.
AB - BACKGROUND: Ossifying fibroma is a rare benign fibro-osseous lesion seen in the
bones of the head and neck area. It is mostly found in the mandible followed by
the maxilla and rarely in the paranasal sinuses along with the orbit and skull
bones. CASE PRESENTATION: A 30-year-old male patient presented with headache and
incidental finding of a right ethmoidal sinus ossifying fibroma by paranasal
sinuses CT scan and MRI of the face. A flexible fiber-optic nasal endoscopy
examination revealed a right side fullness. A non-contrasted CT scan of the
paranasal sinus showed hyperdense lesion at the right ethmoid air cells.
Functional endoscopic sinus surgery was performed and multiple nasal-mass
biopsies were taken which showed fibro-osseous lesion most consistent with
aggressive ossifying fibroma. Later, a near total resection of skull base tumor
by endoscopic surgery was done and patient was discharged next day in a good
condition. CONCLUSION: Ossifying fibroma is a rare lesion found in the head and
neck area and it is unusual to be found in the paranasal sinuses. Thus crucial
attention to the clinical, radiographical and histopathological examination
should be taken for more accurate diagnosis and thus appropriate management.
PMID- 29373207
TI - Karakousis's abdominoinguinal approach for the treatment of a primary
retroperitoneal parasitic leiomyoma with inguinal extension. A case report.
AB - INTRODUCTION: Primary retroperitoneal parasitic leiomyoma (PRPL) with inguinal
extension is a diagnostic-therapeutic challenge due to its uncertain
etiopathogenesis and because it has been considered unresectable according to
customary surgical techniques in some instances. The abdominoinguinal incision
described by Karakousis in the 1980s allows a safe and radical approach for lower
quadrants abdominopelvic tumors. OBJECTIVES: We present the case of a rare PRPL
satisfactorily treated through Karakousis's approach. PRESENTATION OF CASE: A 35
year-old woman was referred from the Gynecology Service to our Unit. She suffered
from a pelvic tumor with left inguinal extension. Initially, it was diagnosed as
a retroperitoneal sarcomatous tumor as any digestive and/or gynecological origins
of the pelvic tumor were excluded. A radical oncologic excision with permanent
neuro-vascular control was undertaken using a left Karakousis's abdominoinguinal
approach. The final anatomopathological report was PRPL. The patient was
discharged after 8 days. She is disease-free 18 months later. DISCUSSION: PRPL
variant could be related to remnant embryogenic cells of the ducts of Wolf and
Muller. Karakousis's approach allowed an en-bloc ilioinguinal removal of the
tumor in continuity, with permanent control of the aorto-ileo-femoral axis, the
sparing of the neuro-vascular package, and ensured a total abdominal wall
restoration. CONCLUSIONS: PRPL is a rare extrauterine entity probably derived
from remnant embryogenic cells. The absence of clinical guidelines recommend an
individualized treatment of these patients. Karakousis's abdominoinguinal
approach should be present in any surgeon's armamentarium as the resectability
rate of tumors of the lower quadrant of the abdomen increases up to 95%.
PMID- 29373208
TI - Predictors of Shunt Dysfunction and Overall Survival in Patients with Variceal
Bleeding Treated with Transjugular Portosystemic Shunt Creation Using the Fluency
Stent Graft.
AB - RATIONALE AND OBJECTIVES: Transjugular intrahepatic portosystemic shunt (TIPS) is
an established method for portal hypertension. This study was to investigate the
long-term safety, technical success, and patency of TIPS, and to determine the
risk factors and clinical impacts of shunt dysfunction. MATERIALS AND METHODS: A
total of 154 consecutive patients undergoing embolotherapy of gastric coronary
vein and/or short gastric vein and TIPS creation were prospectively studied.
Follow-up data included technical success, patency and revision of TIPS, and
overall survival of patients. RESULTS: During the study, the primary and
secondary technical success rates were 98.7% and 100%, respectively. Sixty-three
patients developed shunt dysfunction, 30 with shunt stenosis and 33 with shunt
occlusion. The cumulative 60-month primary, primary assisted, and secondary
patency rates were 19.6%, 43.0%, and 93.4%, respectively. The cumulative 60-month
overall survival rates were similar between the TIPS dysfunction group and the
TIPS non-dysfunction group (68.6% vs. 58.6%, P = .096). Baseline portal vein
thrombosis (P < .001), use of bare stents (P = .018), and portal pressure
gradient (PPG) (P = .020) were independent predictors for shunt dysfunction,
hepatocellular carcinoma (P < .001), and ascites (P = .003) for overall survival.
The accuracy of PPG for shunt dysfunction was statistically significant (P <
.001), and a cutoff value of 8.5 had 77.8% sensitivity and 64.8% specificity.
CONCLUSIONS: The long-term safety, technical success, and patency of TIPS were
good; baseline portal vein thrombosis, use of bare stents, and PPG were
significantly associated with shunt dysfunction; shunt dysfunction has little
impact on patients' long-term survival because of high secondary patency rates.
PMID- 29373209
TI - Automated Radiology-Pathology Module Correlation Using a Novel Report Matching
Algorithm by Organ System.
AB - OBJECTIVES AND RATIONALE: Radiology-pathology correlation is time-consuming and
is not feasible in most clinical settings, with the notable exception of breast
imaging. The purpose of this study was to determine if an automated radiology
pathology report pairing system could accurately match radiology and pathology
reports, thus creating a feedback loop allowing for more frequent and timely
radiology-pathology correlation. METHODS: An experienced radiologist created a
matching matrix of radiology and pathology reports. These matching rules were
then exported to a novel comprehensive radiology-pathology module. All distinct
radiology-pathology pairings at our institution from January 1, 2016 to July 1,
2016 were included (n = 8999). The appropriateness of each radiology-pathology
report pairing was scored as either "correlative" or "non-correlative." Pathology
reports relating to anatomy imaged in the specific imaging study were deemed
correlative, whereas pathology reports describing anatomy not imaged with the
particular study were denoted non-correlative. RESULTS: Overall, there was 88.3%
correlation (accuracy) of the radiology and pathology reports (n = 8999). Subset
analysis demonstrated that computed tomography (CT) abdomen/pelvis, CT
head/neck/face, CT chest, musculoskeletal CT (excluding spine), mammography,
magnetic resonance imaging (MRI) abdomen/pelvis, MRI brain, musculoskeletal MRI
(excluding spine), breast MRI, positron emission tomography (PET), breast
ultrasound, and head/neck ultrasound all demonstrated greater than 91%
correlation. When further stratified by imaging modality, CT, MRI, mammography,
and PET demonstrated excellent correlation (greater than 96.3%). Ultrasound and
non-PET nuclear medicine studies demonstrated poorer correlation (80%).
CONCLUSION: There is excellent correlation of radiology imaging reports and
appropriate pathology reports when matched by organ system. Rapid, appropriate
radiology-pathology report pairings provide an excellent opportunity to close
feedback loop to the interpreting radiologist.
PMID- 29373210
TI - Discriminating Depth of Response to Therapy in Multiple Myeloma Using Whole-body
Diffusion-weighted MRI with Apparent Diffusion Coefficient: Preliminary Results
From a Single-center Study.
AB - RATIONALE AND OBJECTIVES: This study aimed to measure apparent diffusion
coefficient (ADC) in Chinese patients with newly diagnosed multiple myeloma by
whole-body diffusion-weighted magnetic resonance imaging (WB-DWI MRI) and assess
the diagnostic accuracy of ADC in the discrimination of deep response to
induction chemotherapy. MATERIALS AND METHODS: Seventeen patients underwent WB
DWI MRI before and after induction chemotherapy (week 20). DWI images and ADC
maps were produced and 89 regions of interest were chosen. ADC percent changes
were compared between deep (complete response or very good partial response) and
non-deep responders (partial response, minimal response, stable disease, or
progressive disease) as International Myeloma Working Group criteria. Diagnostic
accuracy of ADC was calculated using specific cut offs. Predictive positive value
of ADC was calculated to predict deep response to consolidation therapy. RESULTS:
Lesions reduced in size and number and signal intensity decreased in follow-up
DWI, which did not differ between deep and non-deep responders. ADC percent
changes were significantly higher in deep responders (36.79%) than in non-deep
responders (11.50%) after induction therapy (P = .02) in per lesion analysis. ADC
percent increases by 46.96%, 78.0% yielded specificity at 81.4%, 90.7% in
discriminating deep response to induction therapy. Predictive positive value
predicting deep response to consolidation therapy was 60.5% by using ADC cutoff
>1.00 * 10-3 mm2/s at week 20. CONCLUSIONS: ADC from WB-DWI MRI increased
remarkably in patients who achieved deep response at the end of induction
chemotherapy, which represented a confirmatory diagnostic tool to discriminate
deep response to induction therapy for patients with multiple myeloma. ADC may
have a potential to predict deep response to consolidation therapy.
PMID- 29373211
TI - Effect of Matrix Size on the Image Quality of Ultra-high-resolution CT of the
Lung: Comparison of 512 * 512, 1024 * 1024, and 2048 * 2048.
AB - RATIONALE AND OBJECTIVES: This study aimed to assess the effect of matrix size on
the spatial resolution and image quality of ultra-high-resolution computed
tomography (U-HRCT). MATERIALS AND METHODS: Slit phantoms and 11 cadaveric lungs
were scanned on U-HRCT. Slit phantom scans were reconstructed using a 20-mm field
of view (FOV) with 1024 matrix size and a 320-mm FOV with 512, 1024, and 2048
matrix sizes. Cadaveric lung scans were reconstructed using 512, 1024, and 2048
matrix sizes. Three observers subjectively scored the images on a three-point
scale (1 = worst, 3 = best), in terms of overall image quality, noise, streak
artifact, vessel, bronchi, and image findings. The median score of the three
observers was evaluated by Wilcoxon signed-rank test with Bonferroni correction.
Noise was measured quantitatively and evaluated with the Tukey test. A P value of
<.05 was considered significant. RESULTS: The maximum spatial resolution was 0.14
mm; among the 320-mm FOV images, the 2048 matrix had the highest resolution and
was significantly better than the 1024 matrix in terms of overall quality, solid
nodule, ground-glass opacity, emphysema, intralobular reticulation, honeycombing,
and clarity of vessels (P < .05). Both the 2048 and 1024 matrices performed
significantly better than the 512 matrix (P < .001), except for noise and streak
artifact. The visual and quantitative noise decreased significantly in the order
of 512, 1024, and 2048 (P < .001). CONCLUSION: In U-HRCT scans, a large matrix
size maintained the spatial resolution and improved the image quality and
assessment of lung diseases, despite an increase in image noise, when compared to
a 512 matrix size.
PMID- 29373212
TI - Associations of County-level Radiologist and Mammography Facility Supply with
Screening Mammography Rates in the United States.
AB - RATIONALE AND OBJECTIVES: The present study aims to assess associations of
Medicare beneficiary screening mammography rates with local mammography facility
and radiologist availability. MATERIALS AND METHODS: Mammography screening rates
for Medicare fee-for-service beneficiaries were obtained for US counties using
the County Health Rankings data set. County-level certified mammography facility
counts were obtained from the United States Food and Drug Administration. County
level mammogram-interpreting radiologist and breast imaging subspecialist counts
were determined using Centers for Medicare & Medicaid Services fee-for-service
claims files. Spearman correlations and multivariable linear regressions were
performed using counties' facility and radiologist counts, as well as counts
normalized to counties' Medicare fee-for-service beneficiary volume and land
area. RESULTS: Across 3035 included counties, average screening mammography rates
were 60.5% +/- 8.2% (range 26%-88%). Correlations between county-level screening
rates and total mammography facilities, facilities per 100,000 square mile county
area, total mammography-interpreting radiologists, and mammography-interpreting
radiologists per 100,000 county-level Medicare beneficiaries were all weak (r =
0.22-0.26). Correlations between county-level screening rates and mammography
rates per 100,000 Medicare beneficiaries, total breast imaging subspecialist
radiologists, and breast imaging subspecialist radiologists per 100,000 Medicare
beneficiaries were all minimal (r = 0.06-0.16). Multivariable analyses overall
demonstrated radiologist supply to have a stronger independent effect than
facility supply, although effect sizes remained weak for both. CONCLUSION:
Mammography facility and radiologist supply-side factors are only weakly
associated with county-level Medicare beneficiary screening mammography rates,
and as such, screening mammography may differ from many other health-care
services. Although efforts to enhance facility and radiologist supply may be
helpful, initiatives to improve screening mammography rates should focus more on
demand-side factors, such as patient education and primary care physician
education and access.
PMID- 29373214
TI - Early Androgen Deprivation Therapy Improves Survival, But How Do We Determine in
Whom?
PMID- 29373213
TI - Does Second Reader Opinion Affect Patient Management in Pancreatic Ductal
Adenocarcinoma?
AB - RATIONALE AND OBJECTIVES: To determine the impact of second-opinion assessment on
cancer staging and patient management in patients with pancreatic ductal
adenocarcinoma. METHODS AND MATERIALS: This retrospective study was approved by
our institutional review board with a waiver of informed consent. Second-opinion
reports between January 1, 2009 and December 31, 2013, alongside outside reports
for 65 consecutive cases of biopsy-proven pancreatic adenocarcinomas, were
presented in random order to two experienced abdominal surgeons who independently
reviewed them blinded to the origin of the report, images of the examinations,
and patient identifier. Each surgeon filled in a questionnaire for each report
recommending cancer staging and patient management. Recommended patient
management and staging were evaluated against reference standards (actual patient
management at 6 months following second-opinion assessment, and pathology or
other clinical and imaging reference standards at 6 months or longer,
respectively) using Cohen kappa. RESULTS: Cancer staging differed in 13% (9 of
65) of cases for surgeon 1 and in 18.4% (12 of 65) for surgeon 2. Patient
management changed in 38.4% (25 of 65) of cases for surgeon 1 and in 20% (13 of
65) for surgeon 2. When compared to the pathologic staging gold standard, second
opinion was correct in 85.7% (six of seven) of the time for both surgeons.
Recommended patient management from second-opinion reports showed good agreement
with the reference standard (weighted k = 0.6467 [0.4014-0.892] and weighted k =
0.6262 [0.3954-0.857] for surgeon 2). CONCLUSION: Second-opinion review by
subspecialized oncologic radiologists can impact patient care, specifically in
terms of management decision.
PMID- 29373215
TI - Focal Therapy in Primary Localised Prostate Cancer: The European Association of
Urology Position in 2018.
AB - : Radical treatment of localised prostate cancer is recognised to be an
unnecessary intervention or overtreatment in many men. Consequently, there has
been a rapid uptake in the use of focal ablative therapies. However, there are
several biological and practical concerns about such approaches as they have yet
to be proved as robust treatment options. In particular, the multifocal nature of
prostate cancer argues against unifocal treatment, while limitations in imaging
can preclude the accurate identification of the number, location, and extent of
prostate cancer foci. To date, a number of ablative options have reported results
on mainly low-risk disease. Most series are relatively immature, with a lack of
consistent follow-up, and the morbidity of retreatment is often not considered.
The authors consider focal therapy to be an investigational modality, and
encourage prospective recording of outcomes and recruitment of suitable patients.
PATIENT SUMMARY: Focal therapy of prostate cancer is the targeted destruction of
cancer within a specific part of the prostate gland, sparing the rest of the
prostate and nearby tissue. This procedure could potentially reduce side effects
when compared with established standard treatments, such as surgery or
radiotherapy, which treat the entire prostate. Studies show that for most men
with low-risk cancer, active surveillance is the preferred treatment option.
However, the available data regarding all forms of focal therapy are still poor
and inconclusive. Consequently, due to both the lack of clear results associated
with focal therapy and the difficulties in detecting all cancerous areas of the
prostate, focal therapy should be considered an investigational modality only.
PMID- 29373216
TI - An Update on Fatalities Due to Venomous and Nonvenomous Animals in the United
States (2008-2015).
AB - INTRODUCTION: To review recent (2008-2015) United States mortality data from
deaths caused by nonvenomous and venomous animals and compare with historical
data. METHODS: The Centers for Disease Control and Prevention Wide-Ranging Online
Data for Epidemiologic Research database was queried to return all animal-related
fatalities between 2008 and 2015. Mortality frequencies for animal-related
fatalities were calculated using the estimated 2011 United States population.
Inclusion criteria included all mortalities that were a consequence of bite,
contact, attack, or envenomation (International Classification of Diseases 10th
revision codes W53-W59 and X20-X29). RESULTS: There were 1610 animal-related
fatalities, with the majority from nonvenomous animals (4.8 deaths per 10million
persons annually). The largest proportion of animal-related fatalities was due to
"other mammals," largely composed of horses and cattle. Deaths attributable to
Hymenoptera (hornets, wasps, and bees) account for 29.7% of the overall animal
related fatalities and have been steady over the last 20 years. Dog-related
fatality frequencies are stable, although the fatality frequency of 4.6 deaths
per 10 million persons among children 4 years of age or younger was nearly 4-fold
greater than in the other age groups. CONCLUSIONS: Appropriate education and
prevention measures aimed at decreasing injury from animals should be directed at
the high-risk groups of agricultural workers and young children with dogs. Public
policy and treatment pricing should align to ensure adequate available medication
for those at risk of anaphylaxis from stings from Hymenoptera.
PMID- 29373217
TI - An Effective Treatment in the Austere Environment? A Critical Appraisal into the
Use of Intra-Articular Local Anesthetic to Facilitate Reduction in Acute Shoulder
Dislocation.
AB - Acute shoulder dislocation is a common injury in the outdoor environment. The
objective of this systematic review of the literature was to determine if intra
articular local anesthetic (IAL) is an effective treatment that could have
prehospital application. A methodical search of MEDLINE, PubMed, and EMBASE
databases targeted publications from January 1, 1990 until January 1, 2017.
Eligible articles compared IAL with other analgesic techniques in patients 16
years or older experiencing acute glenohumeral dislocation. Reduction success,
complications, and patient-reported outcome measures underwent comparison. All
identified publications originated from the hospital setting. Procedural success
rates ranged widely among randomized control trials comparing IAL with
intravenous analgesia and sedation (IAL 48-100%, intravenous analgesia and
sedation 44-100%). A pooled risk ratio [RR] favored intravenous analgesia and
sedation (RR 0.91, 95% confidence interval [CI] 0.84-0.98), but there was
significant inconsistency within the analysis (I2 = 75%). IAL provided lower
complication rates (4/170, 2%) than intravenous analgesia and sedation (20/150,
13%) (RR 1.11, 95% CI 1.04-1.19, I2 = 63%). One trial found a clinically relevant
reduction in visual analogue pain scores when comparing IAL against no additional
analgesia in the first minute (IAL 21+/-13 mm; control 49+/-15 mm; P<0.001) and
fifth minute (IAL 10+/-10 mm; control 40+/-14 mm, P<0.001) after reduction. The
results suggest that IAL is an effective intervention for acute anterior shoulder
dislocation that would have a place in the repertoire of the remote physician.
Further research might be beneficial in determining the outcomes of performing
IAL in the prehospital setting.
PMID- 29373219
TI - Cutaneous Vascular Responses of the Hands and Feet to Cooling, Rewarming, and
Hypoxia in Humans.
AB - INTRODUCTION: This study investigated skin vasomotor responses in the fingers and
toes during cooling and rewarming with and without normobaric hypoxia. METHODS:
Fourteen volunteers (8 males and 6 females) were exposed to gradual air cooling
(mean+/-SD: -0.4+/-0.1oC.min-1) followed by rewarming (+0.5+/-0.1oC.min-1) while
breathing normoxic air (FIO2 0.21 at 761+/-3 mm Hg) or hypoxic gas (FIO2 0.12, at
761+/-3 mm Hg, equivalent to ~5000 m above sea level). Throughout the gradual
cooling and rewarming phases, rectal temperature was measured, and skin
temperatures and laser Doppler skin blood flow were measured on the thumb, little
finger, and great and little toe pads. RESULTS: During gradual cooling, skin
temperature but not deep body temperature decreased. No differences in cutaneous
vascular conductance were found for the toes or thumb (P=0.169 great toe; P=0.289
little toe; P=0.422 thumb). Cutaneous vascular conductance was reduced in the
little finger to a greater extent at the same mean skin temperatures (34.5
33.5oC) in the hypoxic compared with normoxic conditions (P=0.047). The onset of
vasoconstriction and release of vasoconstriction in the thumb and little finger
occurred at higher mean skin temperatures in hypoxia compared with normoxia
(P<0.05). The onset of vasoconstriction and release of vasoconstriction in the
toes occurred at similar skin temperatures (P=0.181 and P=0.132, respectively).
CONCLUSION: The earlier vasoconstrictor response and later release of
vasoconstriction in the finger during hypoxic conditions may result in a greater
dose of cold to that digit, taking longer to rewarm following the release of
vasoconstriction.
PMID- 29373220
TI - Core Content for Wilderness Medicine Training: Development of a Wilderness
Medicine Track Within an Emergency Medicine Residency.
AB - Wilderness medicine training has become increasingly popular among medical
professionals with numerous educational opportunities nationwide. Curricula for
fellowship programs and for medical student education have previously been
developed and published, but a specific curriculum for wilderness medicine
education during emergency medicine (EM) residency has not. The objective of this
study is to create a longitudinal wilderness medicine curriculum that can be
incorporated into an EM residency program. Interest-specific tracks are becoming
increasingly common in EM training. We chose this model to develop our curriculum
specific to wilderness medicine. Outlined in the article is a 3-year longitudinal
course of study that includes a core didactic curriculum and a plan for graduated
level of responsibility. The core content is specifically related to the required
EM core content for residency training with additions specific to wilderness
medicine for the residents who pursue the track. The wilderness medicine
curriculum would give residencies a framework that can be used to foster learning
for residents interested in wilderness medicine. It would enhance the coverage of
wilderness and environmental core content education for all EM residents in the
program. It would provide wilderness-specific education and experience for
interested residents, allowing them to align their residency program requirements
through a focused area of study and enhancing their curriculum vitae at
graduation. Finally, given the popularity of wilderness medicine, the presence of
a wilderness medicine track may improve recruitment for the residency program.
PMID- 29373221
TI - Reply to "Movement-related neural processing in people with congenital mirror
movements beyond the (cortical) surface".
PMID- 29373222
TI - Predicting contrast-induced nephropathy after percutaneous coronary intervention:
Do we need formulas? A cardiological perspective.
PMID- 29373223
TI - Factors associated with pulmonary dysfunction in patients undergoing coronary
artery bypass graft surgery with use of intra-aortic balloon pump.
AB - OBJECTIVE: The aim of this study was to identify pulmonary dysfunction and
factors associated with prolonged mechanical ventilation, hospital stay, weaning
failure and mortality in patients undergoing coronary artery bypass grafting with
use of intra-aortic balloon pump (IABP). METHODS: This observational study
analyzed respiratory, surgical, clinical and demographic variables and related
them to outcomes. RESULTS: We analyzed 39 patients with a mean age of 61.2 years.
Pulmonary dysfunction, characterized by mildly impaired gas exchange, was present
from the immediate postoperative period to the third postoperative day.
Mechanical ventilation time was influenced by the use of IABP and PaO2/FiO2,
female gender and smoking. Intensive care unit (ICU) stay was influenced by
APACHE II score and use of IABP. Mortality was strongly influenced by APACHE II
score, followed by weaning failure. CONCLUSION: Pulmonary dysfunction was present
from the first to the third postoperative day. Mechanical ventilation time was
influenced by female gender, smoking, duration of IABP use and PaO2/FiO2 on the
first postoperative day. ICU stay was influenced by APACHE II score and duration
of IABP. Mortality was influenced by APACHE II score, followed by weaning
failure.
PMID- 29373225
TI - Response to Binar et al "Comment on 'Dysphagia and Obstructive Sleep Apnea in
Acute, First-Ever, Ischemic Stroke'".
PMID- 29373224
TI - Analysis of CDK2 mutations in Chinese men with non-obstructive azoospermia who
underwent testis biopsy.
AB - To examine whether mutations of the CDK2 gene exist in Chinese men with non
obstructive azoospermia (NOA) with different histopathology, we recruited 175
Chinese men with idiopathic NOA who underwent testis biopsy, including
hypospermatogenesis, germ cell maturation arrest and Sertoli cell only syndrome.
Genomic DNA was extracted from peripheral blood samples. Subsequently, the seven
exons of the CDK2 gene were amplified using polymerase chain reaction with
specific primers, respectively. The polymerase chain reaction products were
sequenced on an automated sequencer. We identified four known single nucleotide
polymorphisms: c.324G>A in exon 1; c.363T>C in exon 2; c.*570G>A; and c.*1160G>C
in the 3' UTR of the CDK2 gene. Comparison of the genotype and allele frequencies
showed no significant differences between NOA cases and controls for the four
single nucleotide polymorphisms. Furthermore, no significant differences were
found between each pathological group and control group, respectively. The
results indicate that mutations in the coding sequence of the CDK2 gene may not
be responsible for idiopathic NOA in Chinese men. Future studies in large cohorts
of different ethnic populations are warranted to establish whether associations
exist between the CDK2 gene and NOA.
PMID- 29373226
TI - Multiple Cerebral Infarctions Due to Patent Foramen Ovale in a Patient with
Eosinophilic Granulomatosis with Polyangiitis.
AB - A 51-year-old man was diagnosed with eosinophilic granulomatosis with
polyangiitis 6 years ago due to asthma, sinusitis, hypereosinophilia, and
peripheral neuropathy based on the diagnostic criteria of American College of
Rheumatology, and corticosteroid therapy achieved a remission. One year ago, he
was hospitalized due to deep venous thrombosis (DVT) and pulmonary embolism, and
rivaroxaban was administrated. He was admitted to our hospital for acute onset of
diplopia and right hemiparesis. Peripheral blood examinations disclosed
leukocytosis with hypereosinophilia. Perinuclear anti-neutrophil cytoplasmic
antibodies were positive. Diffusion-weighted imaging showed multiple fresh
ischemic lesions. Chronic ischemic lesions were seen in subcortical cerebral
region. No stenosis or occlusion was shown in extracranial and intracranial
arteries on magnetic resonance angiography. Ultrasonography of leg vein showed
DVT. Right-to-left shunt through patent foramen ovale after Valsalva maneuver was
seen on transesophageal echocardiography. Treatment with corticosteroid and
cyclophosphamide alleviated clinical deterioration. Rivaroxaban was changed to
warfarin. Diplopia and muscle strength of right limbs were improved. This is a
first case of multiple cerebral infarction caused by paradoxical embolism due to
patent foramen ovale with DVT based on hypercoagulable state of
hypereosinophilia. Overall this case illustrates that eosinophilic granulomatosis
with polyangiitis can be a risk factor for multiple cerebral infarction in the
systemic phase and that transesophageal echocardiography and ultrasonography of
leg vein should be conducted in stroke patient with eosinophilic granulomatosis
with polyangiitis.
PMID- 29373227
TI - Outcome of Acute Ischemic Stroke after the Treatment with Edaravone and 0.6 Mg/Kg
Alteplase in Japanese Patients with Diabetes.
AB - BACKGROUND: We investigated how diabetes mellitus (DM) affects the outcome of
acute ischemic stroke (AIS), comparing with the outcomes in those who had
hypertension (HT) and atrial fibrillation (AF). METHODS: This study was a sub
analysis of PROTECT4.5, which was previously performed as a large-scale,
prospective observational study of edaravone with approximately 10,000 patients
with AIS in Japan. The study patients treated with edaravone alone or edaravone +
alteplase (recombinant tissue plasminogen activator [tPA]) were analyzed for
their outcomes and explored for the risk factors of poor outcome, after being
divided into 8 groups according to their affected complications of DM, HT, or AF
in the groups treated with edaravone alone or edaravone + tPA. RESULTS: Among
patients treated with edaravone alone and edaravone + tPA, the mean reduction in
the National Institutes of Health Stroke Scale from baseline to 3 months after
the onset was 2.0 and 4.4 in DM groups, respectively. The reduction was smaller
in these groups compared with other groups (3.3-4.3 and 6.0-7.7, respectively).
The logistic regression model revealed that DM was an independent risk factor for
highly unfavorable outcome of modified Rankin Scale score 3-6 at 3 months after
the onset, among both patients treated with edaravone alone and those treated
with edaravone + tPA (odds ratio [OR]: 2.23, 95% confidential interval [CI]: 1.42
3.50 and OR: 2.05, 95% CI: 1.33-3.14, respectively). CONCLUSIONS: DM is suggested
to adversely affect the outcome of AIS in Japanese patients.
PMID- 29373228
TI - Effects of Early Mobilization after Acute Stroke: A Meta-Analysis of Randomized
Control Trials.
AB - BACKGROUND: Early mobilization is inconsistently associated with the recovery of
stroke. We aim to examine the effect of early mobilization on patients with acute
stroke. METHODS: PubMed, EMBASE, and the Cochrane library were searched up to
April 2017. Randomized controlled trials that reported risk estimates or mean
with standard deviation were included. Primary outcomes were defined as modified
Rankin scale score 0-2 and mortality, and secondary outcomes were Barthel Index,
length of stay, and incidence of complications. Summary relative risk,
standardized mean difference (SMD), and weighted mean difference (WMD) were
calculated as needed. Sensitivity analyses were also conducted to test stability
of results. RESULTS: Six studies (8 publications) were included to analyze the
effects of early mobilization after stroke. No differences between groups were
observed for modified Rankin scale 0-2 (relative risk [RR]: .80; 95% confidence
interval [CI]: .58-1.02; I2=45%) and the risk of death (RR: 1.21, 95% CI: .76
1.65; I2=0%). Compared with conventional practice, early mobilization was
superior in Barthel Index (SMD: .66; 95% CI: .00-1.31; I2=85.9%), and shorter
hospital stay for stroke patients (WMD: -1.97; 95% CI: -2.63 to -1.32; I2=15.3%).
We found no significant difference between groups on the incidence of
complications. CONCLUSIONS: Current evidence revealed that no statistical
significant difference between early mobilization and non-early mobilization was
observed on modified Rankin scale score 0-2 and mortality. Interestingly, early
mobilization is associated with an increased Barthel Index and shorter hospital
stay for patients. Further research is necessary to verify the effect of early
mobilization on patients with acute stroke.
PMID- 29373229
TI - Comment on "Dysphagia and Obstructive Sleep Apnea in Acute, First-Ever, Ischemic
Stroke".
PMID- 29373231
TI - Automatic recognition of gait patterns in human motor disorders using machine
learning: A review.
AB - BACKGROUND: automatic recognition of human movement is an effective strategy to
assess abnormal gait patterns. Machine learning approaches are mainly applied due
to their ability to work with multidimensional nonlinear features. PURPOSE: to
compare several machine learning algorithms employed for gait pattern recognition
in motor disorders using discriminant features extracted from gait dynamics.
Additionally, this work highlights procedures that improve gait recognition
performance. METHODS: we conducted an electronic literature search on Web of
Science, IEEE, and Scopus, using "human recognition", "gait patterns'', and
"feature selection methods" as relevant keywords. RESULTS: analysis of the
literature showed that kernel principal component analysis and genetic algorithms
are efficient at reducing dimensional features due to their ability to process
nonlinear data and converge to global optimum. Comparative analysis of machine
learning performance showed that support vector machines (SVMs) exhibited higher
accuracy and proper generalization for new instances. CONCLUSIONS: automatic
recognition by combining dimensional data reduction, cross-validation and
normalization techniques with SVMs may offer an objective and rapid tool for
investigating the subject's clinical status. Future directions comprise the real
time application of these tools to drive powered assistive devices in free-living
conditions.
PMID- 29373232
TI - An adaptive, real-time cadence algorithm for unconstrained sensor placement.
AB - This paper evaluates a new and adaptive real-time cadence detection algorithm
(CDA) for unconstrained sensor placement during walking and running. Conventional
correlation procedures, dependent on sensor position and orientation, may
alternately detect either steps or strides and consequently suffer from false
negatives or positives. To overcome this limitation, the CDA validates
correlation peaks as strides using the Sylvester's criterion (SC). This paper
compares the CDA with conventional correlation methods. 22 volunteers completed 7
different circuits (approx. 140 m) at three gaits-speeds: walking (1.5 m s-1),
running (3.4 m s-1), and sprinting (5.2 and 5.7 m s-1), disturbed by various gait
related activities. The algorithm was simultaneously evaluated for 10 different
sensor positions. Reference strides were obtained from a foot sensor using a
dedicated offline algorithm. The described algorithm resulted in consistent
numbers of true positives (85.6-100.0%) and false positives (0.0-2.9%) and showed
to be consistently accurate for cadence feedback across all circuits, subjects
and sensors (mean +/- SD: 98.9 +/- 0.2%), compared to conventional cross
correlation (87.3 +/- 13.5%), biased (73.0 +/- 16.2) and unbiased (82.2 +/- 20.6)
autocorrelation procedures. This study shows that the SC significantly improves
cadence detection, resulting in robust results for various gaits, subjects and
sensor positions.
PMID- 29373230
TI - Hyperperfusion after Clipping of Aneurysm: A Rare Entity.
AB - BACKGROUND: Cerebral vasospasm is an uncontrollable and sometimes fatal
complication occurring after subarachnoid hemorrhage. However, cerebral
hyperperfusion syndrome is a rare complication after subarachnoid hemorrhage.
Although plain computed tomography of cerebral hyperperfusion syndrome looks
similar to cerebral infarction induced by cerebral vasospasm, they should be
distinguished from each other because they require completely different
treatments. CASE DESCRIPTION: A 65-year-old man complained of severe headache and
vomiting. A computed tomography scan of his head showed subarachnoid hemorrhage
with acute hydrocephalus caused by intraventricular hematoma and aneurysm of the
left middle cerebral artery. After endoscopic irrigation of the ventricular
hematoma to decrease the intracranial pressure, we performed neck clipping for
the ruptured aneurysm. We used a temporary clip to the proximal M1 segment twice
for a total of 15 minutes. Five days after the clipping, a computed tomography
scan of the patient's head showed a large low-density area in the left cerebral
hemisphere. Although cerebral infarction caused by cerebral vasospasm was
suspected, his perfusion computed tomography demonstrated a state of
hyperperfusion corresponding to the low-density area. We started treatment to
prevent vasodilation and excessive cerebral blood flow instead of treatment for
cerebral vasospasm. After changing the treatment, the patient's symptoms
gradually improved, and his perfusion computed tomography (8 days after surgery)
showed no excessive increased blood flow. CONCLUSIONS: We present a case of
cerebral hyperperfusion syndrome and its successful treatment, distinct from that
of cerebral vasospasm, after ruptured aneurysm clipping. In addition, we discuss
the mechanism of this rare syndrome based on previous reports.
PMID- 29373233
TI - Instantaneous VO2 from a wearable device.
AB - We present a method for calculating instantaneous oxygen uptake (VO2) through the
use of a non-invasive and non-obtrusive (i.e. without a face mask) wearable
device, together with its clinical evaluation against a standard technique based
upon expired gas calorimetry. This method can be integrated with existing
wearable devices, we implemented it in the "Device for Reliable Energy
Expenditure Monitoring" (DREEM). The DREEM comprises a single lead
electrocardiogram (ECG) device combined with a tri-axial accelerometer and is
worn around the waist. Our clinical evaluation tests the developed method against
a gold standard for VO2, expired gas calorimetry, using an ethically approved
protocol comprising active exercise and sedentary periods. The study was
performed on 42 participants from a wide sample population including healthy
people, athletes and an at-risk health group including persons affected by
obesity. We developed an algorithm combining heart rate (HR) and the integral of
absolute acceleration (IAA), with results showing a correlation of r = 0.93 for
instantaneous VO2, and r = 0.97 for 3 min mean VO2, this is a considerably
improved estimation of VO2 in comparison to methods utilising HR and IAA
independently.
PMID- 29373234
TI - Design, optimisation and testing of a compact, inexpensive elastic element for
series elastic actuators.
AB - This paper presents the development of a compact torsion spring for use as an
elastic element in a lightweight series elastic actuator for an active orthosis.
This orthosis is going to be utilised as an assistive device for motorically
impaired stroke-patients. In the design a two-step optimisation strategy was
implemented to meet all requirements for the torsion spring. The first step was
to identify a promising topology for the element. In the second step, the shape
was optimised based on a finite element model using two different optimisation
methods in order to minimise the von Mises equivalent stresses. Four promising
variants of the identified topology were extracted from these calculations, one
of which was then chosen as the final design. A prototype was manufactured by a
laser cutting process, which is a new procedure in the context of elastic
elements for series elastic actuators. The calculation results were validated
successfully by measurement of the spring properties of this prototype.
PMID- 29373235
TI - Oral prednisolone in preschool children with virus-associated wheeze: a
prospective, randomised, double-blind, placebo-controlled trial.
AB - BACKGROUND: Children of preschool age often have episodes of virus-associated
wheeze, and research assessing efficacy of corticosteroids for paediatric wheeze
exacerbations is inconclusive. METHODS: This non-inferiority, randomised, double
blind, placebo-controlled trial was to compare the efficacy of placebo versus
oral prednisolone in children aged 24-72 months presenting with virus-associated
wheeze at the paediatric emergency department of Princess Margaret Hospital in
Perth, WA, Australia. Eligible participants were randomly assigned (1:1) using a
computer-generated random number program to receive placebo or prednisolone (1
mg/kg per day) for 3 days. The primary outcome was total length of stay in
hospital until ready for discharge. Following an analysis to test the hypothesis
that placebo is non-inferior to prednisolone, a post-hoc superiority analysis was
done to test the hypothesis that prednisolone was superior to placebo. A non
inferiority margin of 10% was used to establish non-inferiority. Efficacy
analyses were on a modified intention-to-treat basis, whereby patients were
excluded from the final efficacy analysis if consent was withdrawn, two doses of
study drug were vomited, or paperwork was lost. All participants were included in
safety analyses. This study is registered with the Australian and New Zealand
Clinical Trials Registry, number ACTRN12612000394842. FINDINGS: Between June 11,
2012, and June 10, 2015, we screened 3727 patients for eligibility. 624 eligible
patients were randomly assigned to treatment, and 605 patients were included in
the modified intention-to-treat analysis (300 patients from the placebo group,
305 patients from the prednisolone group). The median length of stay until ready
for discharge was longer in the placebo group (540 min [IQR 124-971]) than in the
prednisolone group (370 min [121-709]); placebo was inferior to prednisolone. In
the post-hoc superiority analysis of 605 patients, the unadjusted ratio of
geometric mean for length of stay was 0.79 (95% CI 0.64-0.97; p=0.0227) for the
prednisolone group relative to the placebo group. No serious adverse events were
reported during the study or follow-up period. One child in the placebo group had
a non-specific maculopapular rash, which resolved spontaneously. Two children
(one from each group) were reported to be hyperactive during follow-up
assessments. INTERPRETATION: Oral prednisolone had a clear benefit over placebo
at reducing the length of stay in children presenting to a paediatric emergency
department with virus-associated wheeze and was well tolerated. FUNDING: Western
Australian Department of Health.
PMID- 29373236
TI - Hyperechogenicity of lenticulostriate vessels: A poor prognosis or a normal
variant? A seven year retrospective study.
AB - BACKGROUND: Lenticulostriate vasculopathy (LSV) is a hyperechogenicity of the
lenticulostriate branches of the basal ganglia and/or thalamus' middle cerebral
arteries and is frequently seen in neonatology. Our study primarily describes the
perinatal data and long-term follow-up of newborns with lenticulostriate vessel
hyperechoic degeneration. Secondly, it describes the cerebral imaging data as a
function of perinatal factors and neurodevelopmental follow-up of these newborns.
METHODS: This retrospective study assesses the outcome of newborns with LSV
hyperechogenicity on cerebral ultrasound (two grades). These children were born
between January 2008 and September 2015 and were treated in a large level III
neonatal intensive care unit. Thirty-four term-equivalent age children underwent
MRIs using a standardized protocol of T2, T1 3D, diffusion and spectro-MRI
sequences. The MRIs retrospectively measured the white matter and basal ganglia
apparent diffusion coefficients (ADC). RESULTS: Fifty-eight neonates, ranging
from 25 to 42 weeks gestational age (GA), were diagnosed with LSV. There was a
significantly increased high-grade LSV when accompanied by fetal heart rate
abnormalities (p = 0.03) and the neonate's need for respiratory support at birth
(P = 0.002). The mean ADC score was substantially superior in the high-grade
versus the low-grade LSVs (p = 0.023). There were no noteworthy outcome
differences between a high and low grade LSV. The mean ADC for basal ganglions
was appreciably higher in children with a severe prognoses (death or
developmental disorder) as compared to children with no abnormalities (p < 0.01).
CONCLUSION: From the results of our study, it appears that a low-grade LSV could
be considered as a normal variant. There are no unifying diagnostic criteria for
LSV on cerebral ultrasound. With a cerebral MRI, the use of ADC values of basal
ganglia may well underscore the importance of such data in predicting long-term
outcomes.
PMID- 29373237
TI - Bacilli-containing granuloma with subsequent granuloma annulare-like eruptions
following Bacillus Calmette-Guerin vaccination.
PMID- 29373238
TI - Effect of longitudinal changes of body fat on the incidence and regression of
nonalcoholic fatty liver disease.
AB - AIMS: To investigate the longitudinal association between changes in body fat
amount and the incidence and regression of nonalcoholic fatty liver disease
(NAFLD). METHODS: We performed a cohort study of 2017 subjects without liver
disease or significant alcohol consumption from 2007 to 2008 and participated in
a voluntary follow-up between 2011 and 2013. Of the 2017 subjects, we enrolled
956 (47.4%) subjects who had available abdominal fat data in both 2007-2008 and
2011-2013. NAFLD was diagnosed on the basis of ultrasonographic findings. Adipose
tissue area was evaluated by computed tomography. RESULTS: We observed 145
incident cases of NAFLD (22.6% of 642), and 79 subjects experienced a regression
of NAFLD (25.2% of 314) during a median of 4.64 years. An increasing change in
visceral adipose tissue (VAT) area was associated with a higher incidence of
NAFLD (highest tertile vs. lowest tertile of VAT hazard ratio [HR] 2.45, 95%
confidence interval [CI] 1.56-3.85, P for trend <0.001) in the multivariable
analysis. An increasing change in VAT area was inversely associated with the
regression of NAFLD (highest tertile vs. lowest tertile of VAT HR 0.40, 95% CI
0.20-0.80, P for trend = 0.008). CONCLUSIONS: An increasing change in VAT area
was longitudinally associated with a higher risk of incident NAFLD and inversely
associated with the regression of NAFLD.
PMID- 29373239
TI - Sorafenib and fluvastatin synergistically alleviate hepatic fibrosis via
inhibiting the TGFbeta1/Smad3 pathway.
AB - BACKGROUND: Effective strategies for the treatment of hepatic fibrosis are
urgently in need. AIMS: To investigate the effect of the co-treatment of
sorafenib and fluvastatin on hepatic fibrosis and the underlying mechanisms.
METHODS: A diethylnitrosamine-induced hepatic fibrosis rat model was used to
evaluate the anti-fibrosis effect. Epithelial mesenchymal transition (EMT) of
hepatocytes and hepatic stellate cells (HSCs) in response to sorafenib and
fluvastatin was explored. A co-treatment effect on TGFbeta1 expression was
explored in the Kupffer cells of rats. The effect of co-treatment on the
regulation of the TGFbeta1/Smad3 pathway was investigated in both L02 cells and
LX-2 cells. RESULTS: Sorafenib and fluvastatin synergistically reduced collagen
content, alpha-SMA expression, lamin level, and hyaluronic acid level in the rat
hepatic model. Combination treatment significantly inhibited the expression of
mesenchymal markers and promoted the expression of epithelial markers in
hepatocytes. Co-treatment statistically suppressed the production of TGFbeta1 in
Kupffer cells. Suppression of EMT in parallel with alleviated up-regulation of
fibronectin and alpha-SMA expression was observed in TGFbeta1-activated LX-2
cells. Mechanistically, sorafenib plus fluvastatin blocked the TGFbeta1/Smad3
signaling pathway via inhibiting phosphorylation of TbetaR II in hepatocytes and
HSCs. CONCLUSIONS: Sorafenib and fluvastatin synergistically alleviated
diethylnitrosamine-induced hepatic fibrosis in rats. Sorafenib plus fluvastatin
may be a potential combination treatment for hepatic fibrotic diseases.
PMID- 29373240
TI - Sticking particles to solid surfaces using Moringa oleifera proteins as a glue.
AB - Experimental studies have been made to test the idea that seed proteins from
Moringa oleifera which are novel, natural flocculating agents for many particles
could be used to promote adhesion at planar interfaces and hence provide routes
to useful nanostructures. The proteins bind irreversibly to silica interfaces.
Surfaces that had been exposed to protein solutions and rinsed were then exposed
to dispersions of sulfonated polystyrene latex. Atomic force microscopy was used
to count particle density and identified that the sticking probability was close
to 1. Measurements with a quartz crystal microbalance confirmed the adhesion and
indicated that repeated exposures to solutions of Moringa seed protein and
particles increased the coverage. Neutron reflectivity and scattering experiments
indicate that particles bind as a monolayer. The various results show that the 2S
albumin seed protein can be used to fix particles at interfaces and suggest
routes for future developments in making active filters or improved interfaces
for photonic devices.
PMID- 29373241
TI - French translation and cross-cultural adaptation of the Michigan Hand Outcomes
Questionnaire and the Brief Michigan Hand Outcomes Questionnaire.
AB - Patient-Reported Outcome Measures (PROMs) are important clinical devices for
evaluating injuries and surgeries of the hand. However, some of the most widely
used questionnaires, such as the MHQ and bMHQ, are currently unavailable in
French, which prevents them from being used in the French Canadian province of
Quebec as well as in other French-speaking nations. We therefore intend to
develop valid and culturally adapted French translations of the afore-mentioned
questionnaires. Two independent bilingual translators converted all English
questionnaires to French. Two distinct translators then translated the French
versions back to English in reverse-blinded fashion. Discrepancies between the
original and second English versions were examined by a committee of four
bilingual healthcare professionals before final French translations of all
documents were produced. Thirty patients bilingual in French and English were
then asked to complete the original and French versions of the MHQ and bMHQ.
Their answers were compared in order to assess the accuracy of our translation.
In light of these findings, revised French versions were produced. French
versions of the MHQ and bMHQ questionnaires produced metrological qualities of
validity and fidelity with an inter-class correlation superior to 0.90 and a
kappa coefficient of 0.81 to 1. Clinical applicability revealed the distribution
of scores according to disease process was reproducible between the English and
French versions. PROM translation requires a rigorous process in order to achieve
strong metrological qualities in both the original and translated versions. We
produced French translations of the MHQ and bMHQ by abiding to the Beaton method
of cross-cultural adaptation of self-reported measures.
PMID- 29373242
TI - Fluticasone in mild to moderate atopic dermatitis relapse: A randomized
controlled trial.
AB - BACKGROUND: The long-term efficacy of corticosteroids to prevent atopic
dermatitis (AD) relapses has partially been addressed in children. This study
compared an intermittent dosing regimen of fluticasone propionate (FP) cream
0.05% with its vehicle base in reducing the risk of relapse in children with
stabilized AD. METHODS: A randomized controlled, multicentric, double-blind trial
was conducted. Children (2-10 years) with mild/moderate AD (exclusion criteria:
>30% affected body surface area and/or head) were enrolled into an Open-label
Stabilization Phase (OSP) of up to 2 weeks on twice daily FP. Those who achieved
treatment success entered the Double-blind Maintenance Phase (DMP). They were
randomly allocated to receive FP or vehicle twice-weekly on consecutive days for
16 weeks. The primary study endpoint was relapse rate; time to relapse and
severity of disease were also studied. Kaplan-Meier estimates were calculated.
RESULTS: Fifty-four patients (29 girls) entered the OSP (23 mild AD) and 49 (26
girls) continued into the DMP. Mean age was 5.5 (SD: 2.8) and 5.1 (SD: 2.3) yrs
for FP and vehicle groups, respectively. Four patients withdrew from the DMP (two
in every group). Patients treated with FP twice weekly had a 2.7 fold lower risk
of experiencing a relapse than patients treated with vehicle (relative risk 2.72,
SD: 1.28; p=0.034). FP was also superior to vehicle for delaying time to relapse.
Both treatment therapies were well tolerated. CONCLUSION: This long-term study
shows that twice weekly FP provides an effective maintenance treatment to control
the risk of relapse in children with AD.
PMID- 29373243
TI - Haploidentical stem cell transplantation in a boy with chronic granulomatous
disease.
AB - Chronic granulomatous disease is a primary immunodeficiency caused by mutations
in any one of the five components of the NADPH oxidase in phagocytic leucocytes.
This causes impaired microbial killing, which leads to severe life-threatening
bacterial and fungal infections. Currently, allogenic hematopoietic stem cell
transplantation (HSCT) is the only curative treatment for chronic granulomatous
disease, although gene therapy may provide a new therapeutic option for the
treatment of patients with CGD. Haploidentical HSCT provides a potentially
curative treatment option for patients who lack a suitably HLA-matched donor, but
only a few cases have been reported in the literature. Herein, we report a boy
with X-linked chronic granulomatous disease treated successfully by
haploidentical HSCT with post-transplant cyclophosphamide using a treosulfan
based conditioning regimen.
PMID- 29373244
TI - Race and Sex Disparities in Outcomes of Dialysis Access Maintenance
Interventions.
AB - PURPOSE: To determine whether utilization and outcomes of dialysis access
maintenance interventions vary by patient race or sex. MATERIALS AND METHODS:
Data for this retrospective cohort study of first-time arteriovenous (AV) access
recipients were drawn from a 5% sample of Medicare beneficiaries, containing
claims from all clinical settings (2009-2014) in 2,693 patients who received
their first AV fistula/graft in 2009. Maintenance interventions-angiography,
angioplasty, thrombolysis, stent placement, and venous embolization-were
identified by corresponding Current Procedural Terminology codes. Outcomes of
primary patency (PP), postinterventional primary patency (PIPP), and
postinterventional secondary patency (PISP) were calculated with utilization
records. Associations between demographic data and patency times were evaluated
by a multivariate survival approach, controlling for baseline differences in
patient age, comorbid disease, type of dialysis access, and interventionist
specialty. RESULTS: AV grafts (AVGs) were created with greater frequency in women
(32% vs 23% in men; P < .001) and minority patients (39% in black, 32% in
Hispanic, and 29% in Asian patients vs 21% in white patients; P < .001). Women
were at greater hazards for loss of PP (hazard ratio [HR], 1.49; 95% confidence
interval [CI], 1.09-2.14) and PIPP (HR, 1.42; 95% CI, 1.01-2.00). Black patients
were at greater hazards for loss of PP (HR, 1.37; 95% CI, 1.23-1.54) and PISP
(HR, 1.29; 95% CI, 1.01-1.65). AVG creation predisposed patients to patency loss
in all models (P < .001). CONCLUSIONS: Dialysis access patency rates are lower
for women and black patients. More frequent primary AVG creation in women and
minority patients additionally predisposes these patients to patency loss.
PMID- 29373245
TI - Structured Reporting of IR Procedures: Effect on Report Compliance, Accuracy, and
Satisfaction.
AB - PURPOSE: To compare effect of free-text versus structured reporting of IR
procedures on report quality and report coding and value. MATERIALS AND METHODS:
In this retrospective study, 432 common consecutive free-text IR reports created
during 4 months (from September 2013 to December 2013) before implementation of
structured reporting (February 2014) and 415 structured IR reports created after
implementation (from September 2014 to December 2014) were reviewed to assess
ease of use and compliance with reporting requirements for regulatory
requirements and coding. IR staff and trainees and referring physicians to IR
were surveyed on procedure report attributes, such as detail, quality, and
clarity. RESULTS: Structured reporting increased compliance with reporting
fluoroscopy time, radiation dose, and contrast administration compared with free
text reports (402/432 [93.1%] vs 251/415 [60.5%], P < .001; 402/432 [93.1%] vs
242/415 [58.3%], P < .001; and 395/432 [91.4%] vs 257/415 [61.9%], P < .001).
Structured reporting decreased addendum requests for insufficient documentation
from 43% (121/435 [28%] to 50/415 [12%], P = .01). Most IR physicians found
structured reports to require less time to complete (21/26 [81%]), to be easier
to complete (23/26 [89%]), and to have a similar or higher level of detail (19/26
[73%]) compared with free-text reports. Referring physicians were more satisfied
with structured reports compared with free-text reports (6.9/10 vs 5.6/10, P =
.03). CONCLUSIONS: Structured IR reporting compared with free-text reporting
improves compliance with radiation dose and contrast reporting, reporting and
coding efficiency, and satisfaction among IR and referring physicians.
PMID- 29373246
TI - Yttrium-90 Radioembolization of the Right Inferior Phrenic Artery in 20 Patients
with Hepatocellular Carcinoma.
AB - PURPOSE: To address the feasibility of infusion of yttrium-90 (90Y) glass
microspheres directly through the right inferior phrenic artery (RIPA). MATERIALS
AND METHODS: From November 2015 to May 2017, 20 patients underwent 90Y
radioembolization through the RIPA. When the systemic-to-pulmonary shunt was
demonstrated on C-arm computed tomography (CT) of the RIPA, prophylactic
embolization by polyvinyl alcohol (PVA) particles was performed prior to infusion
of 90Y glass microspheres. Follow-up CT scans were retrospectively reviewed for
pulmonary complications. Tumor response was determined by the modified Response
Evaluation Criteria in Solid Tumors. RESULTS: Nine (45%) patients had systemic-to
pulmonary shunts on C-arm CT images of the RIPA. The feeder of the systemic-to
pulmonary shunt was the azygoesophageal branch (n = 7) and the anterior branch (n
= 2). The mean activity of 90Y glass microspheres infused into the RIPA was 0.49
GBq (range, 0.19-1.55 GBq). No patient had symptomatic radiation pneumonitis or
cutaneous complications during follow-up. Seven patients had focal atelectasis (n
= 5), focal ground-glass opacity (n = 2), and/or a small amount of pleural
effusion (n = 2) on follow-up image. Best tumor response fed by the RIPA was
complete response (n = 4), partial response (n = 9), stable disease (n = 2),
progressive disease (n = 4), and unevaluable (n = 1). CONCLUSION: The
administration of 90Y glass microspheres through the RIPA may be safe after
embolization of a systemic-to-pulmonary shunt identified on C-arm CT.
PMID- 29373247
TI - Discrimination and identification of Q-markers based on 'Spider-web' mode for
quality control of traditional Chinese medicine.
AB - BACKGROUND: The safety and effectiveness of traditional Chinese medicine (TCM) in
clinical practice is directly related to the quality of TCM. And, the quality
control of TCM is a pivotal issue to the quality of TCM, but also an obstacle
impeding the modernization of TCM. PURPOSE: The purpose of this work is to
compile and develop a strategy based on discrimination and identification of
quality markers (Q-markers) for quality control of TCM. METHODS: Mainly
established by seven variables derived from four dimensions including content,
stability, pharmacokinetics and pharmacology, the 'Spider-web' mode was
undertaken to assess the Q-marker property of candidate compounds originated from
TCM by taking regression area (A) and coefficient variation (CV) of the tested
compounds into account. The importance index (ImI), ImI = A * 1/CV, was suggested
to focus Q-markers. RESULTS: The compounds with larger regression area (A) and
less coefficient variation (CV) are preferentially adopted as Q-markers, which
should possess the satisfactory properties of content, stability,
pharmacokinetics and pharmacological activity. To the contrary, the compounds are
excluded on the grounds of the unsatisfactory Q-markers' property, less
regression area (A) and larger coefficient variation (CV), which cannot represent
the quality of TCM. CONCLUSIONS: The 'Spider-web' mode can filter out the
redundant constituents and focus on the key indexes of quality control - Q
markers. The screened Q-markers possess the optimal integrated properties of
content, stability, pharmacokinetics and pharmacology among the numerous and
complicated ingredients of TCM, which can comprehensively characterize inherent
quality of TCM. In summary, the novel strategy established in this work provides
a valuable perspective for the quality control of TCM.
PMID- 29373249
TI - Percutaneous Repair of a Giant Aortic Aneurysm.
PMID- 29373248
TI - Measurement of coronary bifurcation angle with coronary CT angiography: A phantom
study.
AB - PURPOSE: Accurate determination of the bifurcation angle and correlation with
plaque buildup may lead to the prediction of coronary artery disease (CAD). This
work evaluates two techniques to measure bifurcation angles in 3D space using
coronary computed tomography angiography (CCTA). MATERIALS AND METHODS: Nine
phantoms were fabricated with different bifurcation angles ranging from 55.3
degrees to 134.5 degrees . General X-ray and CCTA were employed to acquire 2D
and 3D images of the bifurcation phantoms, respectively. Multiplanar reformation
(MPR) and volume rendering technique (VRT) were used to measure the bifurcation
angle between the left anterior descending (LAD) and left circumflex arteries
(LCx). The measured angles were compared with the true values to determine the
accuracy of each measurement technique. Inter-observer variability was evaluated.
The two techniques were further applied on 50 clinical CCTA cases to verify its
clinical value. RESULTS: In the phantom setting, the mean absolute differences
calculated between the true and measured angles by MPR and VRT were 2.4 degrees
+/-2.2 degrees and 3.8 degrees +/-2.9 degrees , respectively. Strong correlation
was found between the true and measured bifurcation angles. Furthermore, no
significant differences were found between the bifurcation angles measured using
either technique. In clinical settings, large difference of 12.0 degrees +/-10.6
degrees was found between the two techniques. CONCLUSION: In the phantom
setting, both techniques demonstrated a significant correlation to the true
bifurcation angle. Despite the lack of agreement of the two techniques in the
clinical context, our findings in phantoms suggest that MPR should be preferred
to VRT for the measurement of coronary bifurcation angle by CCTA.
PMID- 29373250
TI - Selection of the Best of 2017 in Vascular Risk and Cardiac Rehabilitation.
PMID- 29373251
TI - Ultrasound-assessed Pulmonary Contusion After CPR.
PMID- 29373252
TI - Selection of the Best of 2017 in Acute and Chronic Heart Failure.
PMID- 29373253
TI - Effect of Early Treatment With Ivabradine Plus Beta-blockers on Long-term
Outcomes in Patients Hospitalized With Systolic Heart Failure.
PMID- 29373254
TI - Early Sacubitril/Valsartan-driven Benefit on Exercise Capacity in Heart Failure
With Reduced Ejection Fraction: A Pilot Study.
PMID- 29373255
TI - Delirium in Patients With Heart Failure: Influence of Attendance Times in an
Emergency Department.
PMID- 29373256
TI - Severe obstructive sleep apnea in children with elevated blood pressure.
AB - The objective was to determine the prevalence of habitual snoring and obstructive
sleep apnea (OSA) in a cohort of children referred for elevated blood pressure
(BP), and to determine the association between OSA and BP elevation, learning
difficulties, and behavioral problems. We performed a retrospective review of 446
consecutive new patients referred for elevated BP. One hundred four (23%) had
habitual snoring. Patients with habitual snoring were more likely to be obese
(86.5 vs. 55.6%, P < .001) and to have Medicaid insurance (52.4 vs. 36%, P =
.004). Seventy-four patients had polysomnography, of which 57 (77%) had OSA; 21
(37%) had severe OSA. Severe OSA was associated with higher office systolic BP
index after adjusting for body mass index, age, sex, and socioeconomic status
(beta = 0.07, P = .014). Fifty-two percent of patients with severe OSA had office
systolic BP in the Stage 2 hypertension range. Children with habitual snoring or
OSA were not at increased risk of receiving school services for a learning
disability or receiving medications for inattention or mood problems. In summary,
habitual snoring is common in children referred for elevated BP, and those with
severe OSA are at higher risk of significantly increased BP.
PMID- 29373257
TI - Immunomodulatory Nonstructural Proteins of Influenza A Viruses.
AB - Influenza epidemics and pandemics still represent a severe public health threat
and cause significant morbidity and mortality worldwide. As intracellular
parasites, influenza viruses are strongly dependent on the host cell machinery.
To ensure efficient production of progeny viruses, viral proteins extensively
interfere with cellular signalling pathways to inhibit antiviral responses or to
activate virus-supportive functions. Here, we review various functions of the
influenza virus nonstructural proteins NS1, PB1-F2, and PA-X in infected cells
and how post-transcriptional modifications of these proteins affect the viral
life cycle. Furthermore, we discuss newly discovered interactions between these
proteins and the antiviral interferon response.
PMID- 29373258
TI - Fast growing melanoma following treatment with vismodegib for locally advanced
basal cell carcinomas: report of two cases.
PMID- 29373259
TI - An unusual swelling of the supraorbital region.
PMID- 29373260
TI - Thalamic Directional Deep Brain Stimulation for tremor: Spend less, get more.
AB - BACKGROUND: Directional Deep Brain Stimulation (D-DBS) allows axially asymmetric
electrical field shaping, away from structures causing side-effects. However,
concerns regarding the impact on device lifespan and complexity of the monopolar
survey have contributed to sparing use of these features. OBJECTIVE: To
investigate whether chronically implanted D-DBS systems can improve the
therapeutic window, without a negative impact on device lifespan, in thalamic
deep brain stimulation (DBS). METHODS: We evaluated stable outcomes of initial
programming sessions (4-6 weeks post-implantation) retrospectively in 8 patients
with drug-resistant disabling tremor syndromes. We assessed the impact of
directional stimulation on the Therapeutic Window (TW), Therapeutic Current
Strength (TCS), tremor scores, disability scores and total electrical energy
delivered. Finally, we performed Volume of Tissue Activation (VTA) modelling,
based on a range of parameters. RESULTS: We report significant gains in TW (91%)
and reductions in TCS (31%) with stimulation in the best direction compared to
best omnidirectional stimulation alternative. Tremor and ADL scores improvements
remained unchanged at six months. There was no increase in averaged IPG power
consumption (there is a 6% reduction over the omnidirectional-only alternative).
Illustrative VTA modelling shows that D-DBS achieves 85% of the total activation
volume at just 69% of the stimulation amplitude of non-directional configuration.
CONCLUSIONS: D-DBS can improve the therapeutic window over non-directional DBS,
leading to significant reduction in disability that may be sustained without
additional reprogramming visits. When averaged across the cohort, power output
and predicted device lifespan was not impacted by the use of directional
stimulation in this study.
PMID- 29373261
TI - Facilitators and barriers to pregnant women's participation in research: A
systematic review.
AB - BACKGROUND: Although there is consensus among many that exclusion of pregnant
women from clinical research should be justified, there is uncertainty as to
whether and why pregnant women themselves would be willing to participate even if
they were found to be eligible. The objective was to identify the reasons why
pregnant women participate in clinical research and thereby to distinguish
between facilitators and barriers. METHODS: We conducted a systematic review of
articles regarding pregnant women's reasons for participation in clinical
research. We used the PubMed/MEDLINE, EMBASE, PsycINFO and CINAHL databases and
retrieved additional articles through manually searching the reference lists. We
included all articles that reported on pregnant women's reasons for participation
in clinical research. We accumulated all reasons that were mentioned in the total
of articles and collated them to themes, classifying these themes as a
facilitator or a barrier. RESULTS: The search identified thirty articles that met
the inclusion criteria. Themes classified as facilitators: aspirational benefits,
collateral benefits, direct benefits, third party influence and lack of
inconvenience. Themes classified as barriers: inconveniences, risks,
randomisation, lack of trust in research enterprise, medical reasons and third
party influence. CONCLUSIONS: Pregnant women report mostly altruistic and
personal reasons for their willingness to participate in clinical research, while
barriers primarily relate to inconveniences. It appears that pregnant women's
described reasoning is similar to the described reasoning of non-pregnant
research subjects. Enhancing the facilitators and overcoming the barriers is the
next step to increase the evidence-base underlying maternal and foetal health.
PMID- 29373262
TI - A longitudinal study of perinatal depression among Chinese high-risk pregnant
women.
AB - BACKGROUND: Information is needed on the prevalence of depression in Chinese
women with medically defined complications across the perinatal period, as well
as key risk factors to develop appropriate perinatal mental health services and
ensure the services target those most in need. AIM: The goal of this study was to
examine whether women's perinatal depression scores change across the perinatal
period and evaluate risk factors associated with postnatal depression at 6-weeks
after delivery. METHODS: A sample of 167 Chinese pregnant women with medically
defined complications and an Edinburgh Postnatal Depression Scale>=9 and/or a
Postpartum Depression Screening Scale>=60 were followed throughout early
pregnancy (<28 weeks), late pregnancy (>28 weeks), 3-days and 6-weeks after
delivery. FINDINGS: Repeated measures analysis of variance showed that there were
significant differences on the Edinburgh Postnatal Depression Scale and
Postpartum Depression Screening Scale scores at each time point between high-risk
depressed and low-risk depressed groups. Binary logistic regression indicated a
significant association between postnatal depression at 6-weeks after delivery
and depression in late pregnancy and 3-days after delivery, postnatal stress
events, postnatal complications, and concerns about the fetus. CONCLUSIONS:
Postnatal depression is a common condition with limited research among Chinese
pregnant women with medically defined complications. Additional research is
warranted to develop strategies to identify high-risk depressed pregnant women as
well as effective treatment options during the perinatal period.
PMID- 29373263
TI - Legionella pneumonia appeared during hospitalization in a patient with
hematological malignancy confirmed by sputum culture after negative urine antigen
test.
AB - Legionella pneumophila is recognized as a common causative organism for community
acquired pneumonia, but it is rarely a causative organism for hospital-acquired
pneumonia, except in cases of hospital outbreak. Recently, most of the Legionella
cases have been diagnosed using the urine antigen test. However, this test can
reliably detect only L. pneumophila serogroup 1. Here we report a 63-year-old
male patient who was recently diagnosed with acute leukemia and treated with
chemotherapy and who developed pneumonia on hospital day 8 during the nadir
phase. He was later diagnosed with Legionella pneumonia by culture despite a
negative urine antigen test. This case suggests that Legionella pneumonia is an
important differential diagnosis for pneumonia in inpatients in the early phase
of hospitalization and that when Legionella infection is clinically suspected,
culture using selective media or molecular tests should be performed even if the
urine antigen test is negative.
PMID- 29373265
TI - Susceptibility of Clostridium species isolated in Japan to fidaxomicin and its
major metabolite OP-1118.
AB - The narrow-spectrum macrocyclic antibiotic fidaxomicin is approved for treatment
of Clostridium difficile infection in many countries and is currently under
evaluation in Japan for this indication. This study was conducted to evaluate the
effects of fidaxomicin and its major metabolite, OP-1118, on Clostridium spp.
isolated in Nagasaki University Hospital, Japan. Isolates were cultured and
antimicrobial susceptibility analyses performed according to the Clinical
Laboratory Standards Institute methods. Ninety-eight isolates were obtained
between 2012 and 2015, 50 of C. difficile and 48 of eight other Clostridium spp.
Fidaxomicin had the lowest minimum inhibitory concentration (MIC) of the
antimicrobials tested against C. difficile, with MIC90 (MIC range) 0.12 MUg/mL
(0.015-0.25), versus vancomycin MIC90 0.5 MUg/mL (0.5), metronidazole MIC90 0.5
MUg/mL (0.12-0.5), and OP-1118 MIC90 4.0 MUg/mL (0.5-4.0). Fidaxomicin and OP
1118 each had a similar spectrum of activity against the other Clostridium spp.
C. butyricum and the 29 fidaxomicin- and OP-1118-susceptible C. perfringens
isolates had the lowest MIC values, and C. bolteae and C. hathewayi higher. All
the C. ramosum isolates (n = 6) and one of 30 C. perfringens isolates had low
susceptibility to fidaxomicin and OP-1118 (i.e., MIC >64 MUg/mL). In summary,
this study showed that fidaxomicin was active against a number of Clostridium
spp., including C. difficile. Fidaxomicin was generally more effective than its
major metabolite OP-1118, but both showed a similar spectrum of activity,
suggesting that OP-1118 contributes to the antimicrobial activity of fidaxomicin.
These findings were broadly in accordance with those of similar studies conducted
in other settings.
PMID- 29373266
TI - Molecular diagnosis and characterization of a culture-negative mycotic aneurysm
due to ST54 Haemophilus influenzae type b with PBP 3 alterations.
AB - Mycotic aneurysm is a rare but life-threatening disease that warrants an
integrated therapeutic approach involving surgical intervention and prolonged
antibiotic use. However, the causative organisms are often unidentified because
antibiotics started empirically render blood and tissue cultures negative.
Molecular diagnosis has been reported to be useful in such culture-negative
cases. We report a case of a culture-negative mycotic aortic aneurysm due to
Haemophilus influenzae, diagnosed by direct 16S rRNA polymerase chain reaction
(PCR) and sequencing of the resected aneurysm tissue. PCR for serotype revealed
type b, and PCR and sequencing of the ftsI gene revealed alterations in
penicillin-binding protein 3, suggesting resistance to ampicillin. Multilocus
sequence typing demonstrated that the isolate belonged to sequence type 54.
PMID- 29373264
TI - Disseminated fusariosis emerged from prolonged local genital infection after cord
blood transplantation.
AB - Disseminated fusariosis (DF) is a rare life threatening fungal infection in
immunocompromised hosts. We herein report a case of a fatal DF mimicking
varicella zoster virus (VZV) infection that was emerged from a localized genital
infection during cord blood transplantation (CBT) in a patient with severe
aplastic anemia (SAA). The patient developed an ulcer following small painful
vesicles mimics herpes simplex virus infection (HSV) on the glans penis before
CBT, but a Fusarium species was identified. Despite administration of
voriconazole, liposomal amphotericin B and granulocyte transfusion, the lesion
was extended to extensive skin looked like VZV infection and the patients died
after CBT. Massive fusarium infiltration was detected in multiple organs at
autopsy. A genetic analysis of the mold identified Fusarium solani after his
death. It should be noted that in patients with fusarium infection, localized and
disseminated lesions of fusarium infection sometimes mimic HSV and VZV
infections, which hampers an early diagnosis.
PMID- 29373267
TI - Mediastinal, subcutaneous and multiple muscular abscesses caused by group B
streptococcus serotype VIII in a type 2 diabetes mellitus patient.
AB - We report a case of mediastinal subcutaneous and multiple muscular abscesses
caused by group B streptococcus serotype VIII in a type 2 diabetes mellitus
patient. The patient arrived at the hospital with the chief complaint of
immobility, and blood examination results suggested an acute infection and poorly
controlled diabetes mellitus. Group B streptococcal bacteria were cultured from
the patient's blood, and identified as serotype VIII upon further analysis. The
patient recovered without any sequelae after percutaneous drainage, antibiotic
therapy, and intensive glycemic control. Although the incidence of group B
streptococcal infection in non-pregnant adults has recently increased in many
developed countries, information on serotype VIII infection is quite limited. The
reason is that serotype VIII group B streptococci are a Japan-specific serotype,
and rarely cause invasive infections, even in Japan. Therefore, further
surveillance and case reports should be documented in the future.
PMID- 29373268
TI - Meningococcal meningitis with neurological complications and meningococcemia due
to serogroup W sequence type 11 complex.
AB - Invasive meningococcal disease (IMD) caused by the serogroup W (MenW) sequence
type-11 complex strain has recently emerged worldwide. Meningococcal infections
due to this strain are associated with high case fatality and often atypical
clinical manifestations. However, the annual IMD incidence was low, and MenW is
rare in Japan. We described the first Japanese case of meningococcal meningitis
and meningococcemia caused by this strain in a previously healthy 27-year-old
woman. This case showed various neurological complications such as abducens
palsy, cerebellitis, and cerebellar infarction, and reactive arthritis. This case
provides useful information on the possibility of spreading IMD strains and the
cause of various complications.
PMID- 29373269
TI - Surrogating and redirection of pyrazolo[1,5-a]pyrimidin-7(4H)-one core, a novel
class of potent and selective DPP-4 inhibitors.
AB - The initial focus on characterizing novel pyrazolo[1,5-a]pyrimidin-7(4H)-one
derivatives as DPP-4 inhibitors, led to a potent and selective inhibitor compound
b2. This ligand exhibits potent in vitro DPP-4 inhibitory activity (IC50: 80 nM),
while maintaining other key cellular parameters such as high selectivity, low
cytotoxicity and good cell viability. Subsequent optimization of b2 based on
docking analysis and structure-based drug design knowledge resulted in d1.
Compound d1 has nearly 2-fold increase of inhibitory activity (IC50: 49 nM) and
over 1000-fold selectivity against DPP-8 and DPP-9. Further in vivo IPGTT assays
showed that compound b2 effectively reduce glucose excursion by 34% at the dose
of 10 mg/kg in diabetic mice. Herein we report the optimization and design of a
potent and highly selective series of pyrazolo[1,5-a]pyrimidin-7(4H)-one DPP-4
inhibitors.
PMID- 29373270
TI - Reversed isoniazids: Design, synthesis and evaluation against Mycobacterium
tuberculosis.
AB - Novel reversed isoniazid (RINH) agents were synthesized by covalently linking
isoniazid with various efflux pump inhibitor (EPI) cores and their structural
motifs. These RINH agents were then evaluated for anti-mycobacterial activity
against sensitive, isoniazid mono-resistant and MDR clinical isolates of M.
tuberculosis and a selected number of compounds were also tested ex vivo for
intracellular activity as well as in the ethidium bromide (EB) assay for efflux
pump inhibition efficacy. The potency of some compounds against various strains
of M. tuberculosis (4a-c, 7 and 8; H37Rv-MIC99 <=1.25 uM, R5401-MIC99 <=2.5 uM,
X_61-MIC99 <=5 uM) demonstrated the potential of the reversed anti-TB agent
strategy towards the development of novel anti-mycobacterial agents to address
the rapidly growing issue of resistance. Further, macrophage activity with >90%
inhibition by 1a-c and 3b (MIC90 <=13.42 uM) and inhibition of EB efflux
demonstrated by these compounds are encouraging.
PMID- 29373271
TI - Synthesis, biological evaluation and docking study of a new series of di
substituted benzoxazole derivatives as selective COX-2 inhibitors and anti
inflammatory agents.
AB - A new series of substituted-N-(3,4-dimethoxyphenyl)-benzoxazole derivatives 13a
13p was synthesized and evaluated in vitro for their COX (I and II) inhibitory
activity, in vivo anti-inflammatory and ulcerogenic potential. Compounds 13d,
13h, 13k, 13l and 13n exhibited significant COX-2 inhibitory activity and
selectivity towards COX-2 over COX-1. These selected compounds were screened for
their in vivo anti-inflammatory activity by carrageenan induced rat paw edema
method. Among these compounds, 13d was the most promising analogs of the series
with percent inhibition of 84.09 and IC50 value of 0.04 uM and 1.02 uM (COX-2 and
COX-1) respectively. Furthermore, ulcerogenic study was performed and tested
compounds (13d, 13h, 13k, 13l) demonstrated a significant gastric tolerance than
ibuprofen. Molecular docking study was also performed with resolved crystal
structure of COX-2 to understand the binding mechanisms of newly synthesized
inhibitors in the active site of COX-2 enzyme and the results were found to be
concordant with the biological evaluation studies of the compounds. These newly
synthesized inhibitors also showed acceptable pharmacokinetic profile in the in
silico ADME/T analyses.
PMID- 29373272
TI - Chemosynthetic homologues of Mycoplasma pneumoniae beta-glycolipid antigens for
the diagnosis of mycoplasma infectious diseases.
AB - Mycoplasma pneumoniae expresses beta-glycolipids (beta-GGLs) in cytoplasmic
membranes, which possess a unique beta(1 -> 6)-linked disaccharide epitope, which
has high potential in biochemical and medicinal applications. In the present
study, a series of beta-GGLs homologues with different acyl chains (C12, C14,
C16, and C18) were prepared from a common precursor. An ELISA assay using an anti
(beta-GGLs) monoclonal antibody indicated that the synthetic homologues with long
acyl chains had greater diagnostic potential in the order C18 > C16 > C14 > C12.
Toward a simultaneous detection of natural glycolipids by mass spectrometry (MS),
a deuterium-labeled C16 homologue (beta-GGL-C16-d3) was prepared and applied as
an internal standard for a high-resolution electrospray ionization MS (ESI-MS)
analysis. The ESI-MS analysis was used to identify and quantify acyl homologues
(C16/C16, C16/C18, and C18/C18) of beta-GGL-C16 in cultured M. pneumoniae. A beta
GGLs homologue with a 1,2-diacetyl group (C2) was also prepared as a "water
soluble" glycolipid homologue and characterized by 1H NMR spectroscopy. We
envisage that each of these chemosynthetic homologues will provide promising
approaches to solve medical and biological problems associated with mycoplasma
infectious diseases (MIDs).
PMID- 29373273
TI - Generation of a New Disease-specific Prognostic Score for Patients With Brain
Metastases From Small-cell Lung Cancer Treated With Whole Brain Radiotherapy (BMS
Score) and Validation of Two Other Indices.
AB - INTRODUCTION: Patients with small-cell lung cancer (SCLC) demonstrate an
exception in the treatment of brain metastases (BM), because in patients with
SCLC whole brain radiotherapy (WBRT) only is the preferred treatment modality.
The purpose of this study was to develop a prognostic score for patients with
brain metastases from SCLC treated with WBRT. PATIENTS AND METHODS: The present
study was conducted utilizing a single-institution, previously described,
retrospective database of patients with SCLC who were treated with WBRT (n =
221). Univariate and multivariate analyses were performed to generate the "brain
metastases from SCLC score" (BMS score) based on favorable prognostic factors:
Karnofsky performance status (KPS > 70), extracerebral disease status (stable
disease/controlled), and time of appearance of BM (synchronous). Furthermore, the
disease-specific graded prognostic assessment score as well as the recursive
partitioning analysis (RPA) were performed and compared with the new BMS score by
using the log-rank (Mantel-Cox) test. RESULTS: BMS score and RPA showed the most
significant differences between classes (P < .001). BMS score revealed a mean
overall survival (OS) of 2.62 months in group I (0-1 points), 6.61 months in
group II (2-3 points), and 12.31 months in group III (4 points). The BMS score
also identified the group with the shortest survival (2.62 months in group I),
and the numbers of patients in each group were most equally distributed with the
BMS score. CONCLUSION: The new BMS score was more prognostic than the RPA and
disease-specific graded prognostic assessment scores. The BMS score is easy to
use and reflects known prognostic factors in contemporary patients with SCLC
treated with WBRT. Future studies are necessary to validate these findings.
PMID- 29373274
TI - Efficacy and Safety of Ramucirumab With Docetaxel Versus Placebo With Docetaxel
as Second-Line Treatment of Advanced Non-Small-Cell Lung Cancer: A Subgroup
Analysis According to Patient Age in the REVEL Trial.
AB - INTRODUCTION: Ramucirumab, a recombinant human immunoglobulin G1 monoclonal
antibody receptor antagonist designed to block the ligand-binding site of
vascular endothelial growth factor receptor-2 (VEGFR-2), was evaluated as second
line treatment in combination with docetaxel in patients with non-small-cell lung
cancer in the REVEL trial (NCT01168973). Ramucirumab significantly improved
overall survival (OS) and progression-free survival (PFS). We report age subgroup
analysis results primarily on the basis of a 65-year cutoff. PATIENTS AND
METHODS: Patients were randomized 1:1 to ramucirumab with docetaxel or placebo
with docetaxel (n = 1253). Of these, 798 were younger than 65 years (ramucirumab,
n = 391; control, n = 407) and 455 were 65 years or older (ramucirumab, n = 237;
control, n = 218). Treatment comprised 21-day cycles of 75 mg/m2 docetaxel with
10 mg/kg ramucirumab or placebo. Prespecified age subgroup analyses were
performed, including OS, PFS, and objective response rate. Quintiles age analysis
was conducted to establish a relationship between efficacy and age. The Lung
Cancer Symptom Scale (LCSS) measured quality of life outcomes. Safety was
assessed according to adverse events (AEs). RESULTS: Patients younger than 65
years showed favorable OS outcomes with ramucirumab treatment (hazard ratio [HR],
0.74; 95% confidence interval [CI], 0.62-0.87; P < .001) and PFS (HR, 0.68; 95%
CI, 0.59-0.79; P < .001). In patients 65 years or older, benefits of ramucirumab
were not as evident; after model adjustment for prognostic factors, OS and PFS
HRs were 0.96 (95% CI, 0.77-1.21; P = .04) and 0.87 (95% CI, 0.71-1.05; P = .03),
respectively. Age analysis according to quintiles showed HRs favoring ramucirumab
for all age groupings. LCSS scores and AEs did not considerably differ between
age groups. CONCLUSION: In this subgroup analysis, true treatment effect
differences on the basis of age have not been established, and treatment should
not be deterred solely because of age.
PMID- 29373275
TI - [Procrastination in the self-management of gestational diabetes].
PMID- 29373276
TI - [Acute myelitis by blast effect].
PMID- 29373277
TI - [Two successive opportunistic infections associated with severe CD4
lymphocytopenia revealing systemic sarcoidosis].
PMID- 29373278
TI - [Arterial hemodynamic parameters and cardiovascular risk in HIV patients with
controlled infection].
AB - Although viral replication is apparently controlled with highly active
antiretroviral therapy, cardiovascular risk of patients living with HIV remains a
concern in clinical practice with population ageing. Cardiovascular risk profile
in patients living with HIV is changing with the emergence of new parameters
related to the persistence of residual viral replication, the antecedent and
severity of immunodepression, the resulting chronic inflammation, as well as
prolonged exposure to antiretroviral drugs and "classical" cardiovascular risk
factors. Estimating risk models in patients living with HIV are not sufficient to
integrate duration of exposure and control quality of all cardiovascular risk
factors at the individual level. Aortic stiffness is a marker of the alteration
of structural and functional properties of the wall of the large arterial trunks.
This marker has an independent predictive value for total and cardiovascular
mortality in the general population but also in the presence of risk factors and
may offer clinical information about accelerated vascular ageing in people living
with HIV. Aortic stiffness can be estimated non-invasively by applanation
tonometry with carotid-femoral pulse wave velocity. This measure may be useful in
clinical practice for early identification of at risk patients. Study of the
determinants of aortic stiffening process in this population may optimize
cardiovascular prevention.
PMID- 29373279
TI - Hepatic epithelioid hemangioendothelioma: A misdiagnosed rare liver tumor.
PMID- 29373280
TI - [Light chain multiple myeloma revealed by a dorsal epidural compressive tumor].
PMID- 29373281
TI - Giant transverse colon diverticulum.
PMID- 29373282
TI - [Forensic medical examination of adolescent and adult victims of sexual assault
or intimate partner violence who do not complain to the police - An observational
study in Seine-Saint-Denis, France].
AB - OBJECTIVES: to identify characteristics of victims of sexual assault or domestic
violence who consulted in a Department of Forensic Medicine without a formal
complaint to the police. METHODS: observational study (03/01/2014-08/31/2015) of
individuals (age>15years) consulting in a Department of Forensic Medicine near
Paris, France, after a sexual assault or domestic violence. Among the individuals
who were examined in the department of Forensic Medicine, we compared the
individuals who had not complained to the police to those who had complained to
the police. RESULTS: A hundred and nine individuals have consulted without a
prior complaint to the police, including 73 persons after domestic violence (i.e.
4% of all persons examined with or without a complaint to the police) and 36
persons after a sexual assault (i.e. 8% of all persons examined). Regarding
domestic violence, the proportion of persons presenting recent traumatic injuries
was lower among those who did not complain to the police than among those who did
(64% vs. 78%, P=0.008). Regarding sexual violence, the persons who did not
complain to the police were more frequently uncertain about the assault (42% vs.
13%, P<0.001), reported more frequently a recent alcohol or drug intake (42% vs.
26%, P=0.039) and less frequently showed extragenital traumatic injuries than the
persons who did complain to the police (22% vs. 43%, P=0.016). CONCLUSION: the
persons examined who had not complained to the police accounted for less than one
in 20. The extension of the activity of a Department of Forensic Medicine to
persons who do not want to be involved in a judicial process is not sufficient
for the majority of victims to consult a forensic physician.
PMID- 29373283
TI - Nature of Emotion Categories: Comment on Cowen and Keltner.
AB - Cowen and Keltner (2017) published the latest installment in a longstanding
debate about whether measures of emotion organize themselves into categories or
array themselves more continuously along affective dimensions. We discuss several
notable features of the study and suggest future studies should consider asking
questions more directly about physical and psychological variation within emotion
categories as well as similarities between categories.
PMID- 29373284
TI - Erythropoietin to Enhance Recovery of Erectile Function in Men Following Radical
Prostatectomy: The ERECT Trial.
AB - Erythropoietin receptors have been localized to human penile tissue and
periprostatic neurovascular bundles. ERECT is a placebo-controlled, phase 2,
randomized trial assessing the effect of erythropoietin on recovery of erectile
function for men undergoing radical prostatectomy for prostate cancer.
PMID- 29373285
TI - Comparison of Outcomes Obtained After Regular Surgery Versus Live Operative
Surgical Cases: Single-centre Experience with Green Laser Enucleation of the
Prostate.
AB - BACKGROUND: Live surgery remains controversial. Although it may improve surgical
training and accelerate the dissemination of technical steps of surgical
procedures, controversy exists regarding patient safety in live surgery.
OBJECTIVE: To compare the perioperative outcomes of "en bloc" green laser
enucleation of the prostate (GreenLEP) performed in workshops during live case
demonstration (LCD) and in standard conditions (SCs). DESIGN, SETTING, AND
PARTICIPANTS: Between June 2015 and January 2017, all consecutive patients who
underwent GreenLEP for benign prostatic obstruction performed by a single surgeon
were prospectively enrolled. Perioperative data and early postoperative
complications according to Clavien-Dindo classification were collected. Workshop
programmes started locally according to the European Association of Urology
policy on live surgical events in June 2015 to enrol small groups of urologists
in the GreenLEP technique. INTERVENTION: Endoscopic enucleation of the prostate
using a GreenLight fibre. OUTCOME MEASUREMENTS AND STATISTICAL ANALYSIS: Outcomes
were compared between the LCD and SC groups. RESULTS AND LIMITATIONS: Of the 126
performed procedures, 37 were performed live during 17 LCDs with a median
attendee number of 3. The patients' baseline characteristics were similar in both
groups. Intraoperative parameters were comparable: total energy of 62 [53; 77]
versus 56kJ [44; 69] (p=0.068), operative time of 61 [53; 71] versus 55min [45;
66] (p=0.1), and morcellating time of 3 [3; 5] versus 4min [2; 6] (p=0.82) in the
LCD versus SC group. The catheterisation time (2d [1; 2]) and length of hospital
stay (2d [2; 3]) were similar. The overall complication rate was comparable in
the LCD (18.9%) and SC (24.7%) groups (p=0.64). Preoperative parameters
significantly improved from baseline without any significant differences between
the two groups. Limitations included a small number of attendees/workshop, and
case selections were made by the surgeon himself. CONCLUSIONS: GreenLEP was safe
and provided satisfactory functional outcomes in both conditions. From this
preliminary study, it seems that LCD did not jeopardise the surgeon's skill or
technique. Similar studies are required to further assess patient safety and
outcomes associated with live surgical events. PATIENT SUMMARY: According to the
surgeon expertise, endoscopic enucleation of the prostate performed during live
case demonstration provided similar functional outcomes to that performed in
standard condition and could therefore be considered a safe potential educational
tool.
PMID- 29373287
TI - Exporisq-HAP database: 20 years of monitoring French occupational exposure to
polycyclic aromatic hydrocarbon mixtures and identification of exposure
determinants.
AB - BACKGROUND: Millions of workers are exposed to polycyclic aromatic hydrocarbons
(PAHs), a well-known family of carcinogens, but occupational exposure data about
PAH mixture compositions are scarce. OBJECTIVES: To provide a detailed picture of
airborne PAH exposures encountered in the French industrial landscape over the
previous 20 years and to identify determinants driving exposures. METHODS:
Results from 1643 airborne samples of 16 gaseous and particulate PAHs implemented
into the Exporisq HAP database from 1995 to 2014 were used to describe exposure
levels and aerosol chemical composition in many industries and activities.
Compliance of benzo[a]pyrene (BaP) levels with several existing occupational
exposure limits for long-term exposure was assessed. RESULTS: BaP levels were
lower than those reported in the literature, but the level and composition of PAH
mixtures were highly variable between and within industries. Numerous exposure
determinants (e.g., product composition, type and temperature of process,
ventilation and confinement) were assumed to explain these differences. The
highest levels were found in industries using products derived from coal
(aluminum, silicon, and coke production, manufacturing of carbon products and
foundries), with mean BaP levels up to 23 times higher than the French
recommended value of 150 ng/m3. Forty-seven percent of the occupational
activities exceeded this value. Conversely, exposures resulting from petroleum
derived products were relatively low. CONCLUSIONS: As health effects depend on
PAH levels but also on the composition of the mixture, exposure assessments must
characterize the entire mixtures and record specific determinants to define
homogeneous exposure groups and to accurately assess health risks.
PMID- 29373286
TI - TRPC proteins contribute to development of diabetic retinopathy and regulate
glyoxalase 1 activity and methylglyoxal accumulation.
AB - OBJECTIVE: Diabetic retinopathy (DR) is induced by an accumulation of reactive
metabolites such as ROS, RNS, and RCS species, which were reported to modulate
the activity of cation channels of the TRPC family. In this study, we use
Trpc1/4/5/6-/- compound knockout mice to analyze the contribution of these TRPC
proteins to diabetic retinopathy. METHODS: We used Nanostring- and qPCR-based
analysis to determine mRNA levels of TRPC channels in control and diabetic
retinae and retinal cell types. Chronic hyperglycemia was induced by
Streptozotocin (STZ) treatment. To assess the development of diabetic
retinopathy, vasoregression, pericyte loss, and thickness of individual retinal
layers were analyzed. Plasma and cellular methylglyoxal (MG) levels, as well as
Glyoxalase 1 (GLO1) enzyme activity and protein expression, were measured in WT
and Trpc1/4/5/6-/- cells or tissues. MG-evoked toxicity in cells of both
genotypes was compared by MTT assay. RESULTS: We find that Trpc1/4/5/6-/- mice
are protected from hyperglycemia-evoked vasoregression determined by the
formation of acellular capillaries and pericyte drop-out. In addition,
Trpc1/4/5/6-/- mice are resistant to the STZ-induced reduction in retinal layer
thickness. The RCS metabolite methylglyoxal, which represents a key mediator for
the development of diabetic retinopathy, was significantly reduced in plasma and
red blood cells (RBCs) of STZ-treated Trpc1/4/5/6-/- mice compared to controls.
GLO1 is the major MG detoxifying enzyme, and its activity and protein expression
were significantly elevated in Trpc1/4/5/6-deficient cells, which led to
significantly increased resistance to MG toxicity. GLO1 activity was also
increased in retinal extracts from Trpc1/4/5/6-/- mice. The TRPCs investigated
here are expressed at different levels in endothelial and glial cells of the
retina. CONCLUSION: The protective phenotype in diabetic retinopathy observed in
Trpc1/4/5/6-/- mice is suggestive of a predominant action of TRPCs in Muller
cells and microglia because of their central position in the retention of a
proper homoeostasis of the neurovascular unit.
PMID- 29373288
TI - Variability of Outcome Reporting Following Arthroscopic Bankart Repair in
Adolescent Athletes: A Systematic Review.
AB - PURPOSE: To conduct a systematic review of the literature to assess the
variability of the reporting of outcome measures after arthroscopic Bankart
repair for traumatic anterior shoulder instability in the adolescent population.
METHODS: A systematic review was conducted investigating all studies reporting
outcomes after arthroscopic Bankart repair in the adolescent population. Four
databases (Medline, EMBASE, Ovid, and Google Scholar) were screened for clinical
studies involving the arthroscopic management of anterior shoulder instability in
adolescents. A full-text review of eligible studies was conducted. Inclusion and
exclusion criteria were applied to the searched studies. A quality assessment was
completed for each included study using the Methodological Index for
Nonrandomized Studies instrument and the Center for Evidence-Based Medicine's
Levels of Evidence Scale. RESULTS: We identified 8 eligible studies involving 274
patients (282 shoulders). There was considerable variation with regard to
reported outcomes after arthroscopic Bankart repair for anterior shoulder
instability in the adolescent population. The most common patient-reported
outcomes included the Rowe Score (50%), Single Assessment Numeric Evaluation
(37.5%), American Shoulder and Elbow Surgeons Shoulder Outcome Score (25%), and
the Constant Score (25%). Clinical outcomes reported included recurrence (100%),
return to sport (62.5%), patient satisfaction (37.5%), stability (37.5%), pain
scores (37.5%), and range of motion (12.5%). CONCLUSIONS: There is considerable
variation in reported clinical outcome measurements after arthroscopic Bankart
repair for traumatic shoulder instability in the adolescent population. This
study supports the need for standardized outcome reporting after arthroscopic
anterior shoulder instability surgery in adolescents. LEVEL OF EVIDENCE: Level
IV, systematic review of Level II-IV studies.
PMID- 29373289
TI - Patient-Reported Outcomes of Capsular Repair Versus Capsulotomy in Patients
Undergoing Hip Arthroscopy: Minimum 5-Year Follow-up-A Matched Comparison Study.
AB - PURPOSE: To elucidate whether capsular closure during hip arthroscopy affected
patient outcomes over midterm follow-up. METHODS: Between 2008 and 2011, data
were prospectively collected and retrospectively reviewed on patients who
underwent hip arthroscopy. Patients were then matched for age, gender, worker's
compensation, body mass index, and acetabular coverage. The inclusion criteria
were capsular repair or unrepaired capsulotomy, lateral-center edge angle >=18
degrees , and minimum 5-year follow-up. The exclusion criteria were previous hip
surgery or conditions and Tonnis grade >1. Patient-reported outcome scores (PROs)
included modified Harris hip score (mHHS), nonarthritic hip score, hip outcome
score sport-specific subscale, and visual analog score for pain, which were
collected preoperatively, at 3 months, and annually thereafter. Minimal clinical
important difference (MCID) and patient acceptable symptomatic state (PASS) for
both groups were analyzed. Patient satisfaction was noted as well as any
complications, secondary surgery, and conversion to arthroplasty. RESULTS:
Minimum 5-year follow-up was available for 82.5% (287 of 348) hips that met the
inclusion criteria and were eligible for matching. Ultimately, 65 patients who
underwent capsular repair could be matched in a 1:1 ratio to 65 patients with
release. Both groups had significant improvements in all mean PROs. The repair
group had significant improvement of mean PROs, visual analog score, and patient
satisfaction at both 2-year and minimum 5-year follow-up. The unrepaired group
had a significant decrease in mHHS (P = .001) and patient satisfaction (P = .01)
between 2- and 5-year follow-up. Despite decreasing mHHS in the repair group
between 2- and 5-year follow-up, both groups met the MCID and PASS criteria with
no significant difference between them. More patients in the release group
required conversion to hip arthroplasty (18.5% vs 10.8%). Subgroup analysis
considering various perioperative factors confirmed this trend. Rate of revision
arthroscopy was the same in both groups (15.4%). Complication rate was low (4.6%
vs 6.4%) in both groups. CONCLUSIONS: Patients undergoing hip arthroscopy and who
have minimal or no arthritis have significant short-term improvement, whether the
capsule is closed or left unrepaired. However, at midterm follow-up, patients who
had unrepaired capsules had deterioration in mHHS as well as a higher rate of
conversion to arthroplasty, even when controlling for various perioperative
variables. Despite this, patients in both groups met the MCID and PASS criteria.
This study suggests that routine capsular closure may lead to more consistently
durable outcome in patients undergoing hip arthroscopy, but also that individual
patient pathology may dictate capsular management. LEVEL OF EVIDENCE: Level III,
retrospective comparative study.
PMID- 29373290
TI - Clinical Outcomes of Arthroscopic Primary Repair of Proximal Anterior Cruciate
Ligament Tears Are Maintained at Mid-term Follow-up.
AB - PURPOSE: To assess the mid-term clinical outcomes in patients with proximal
avulsion anterior cruciate ligament (ACL) tears undergoing arthroscopic primary
repair with suture anchors. METHODS: The first 11 consecutive patients with
proximal avulsion tears treated with arthroscopic primary repair were evaluated
at mid-term (minimum 5-year) follow-up. Physical examination was performed;
laxity examination consisting of the Lachman, pivot-shift, and anterior drawer
tests was performed; and patients were asked to complete the Lysholm, modified
Cincinnati, Single Assessment Numeric Evaluation, and International Knee
Documentation Committee (IKDC) questionnaires. RESULTS: Of the 11 patients, 10
were seen at a mean follow-up of 6.0 +/- 1.5 years (range, 4.8-9.2 years). One
patient was lost to follow-up, in whom failure had already occurred at short-term
follow-up. One additional patient underwent reoperation for a medial meniscus
tear and also had a partial ACL tear; this patient was clinically stable at last
follow-up. All patients had full range of motion. Nine patients had negative
Lachman and negative pivot-shift examination findings (IKDC score of A), and 1
patient had a 1A Lachman result and 1+ pivot-shift result (IKDC score of B). The
mean Lysholm score was 96.0 +/- 4.5 (range, 88-100); modified Cincinnati score,
95.6 +/- 7.4 (range, 80-100); Single Assessment Numeric Evaluation score, 95.4 +/
5.4 (range, 85-100); preinjury Tegner score, 7.2 +/- 1.2 (range, 5-9);
postoperative Tegner score, 6.6 +/- 1.8 (range, 3-9); and IKDC subjective score,
92.3 +/- 11.3 (range, 64-100). CONCLUSIONS: The clinical outcomes of arthroscopic
primary repair of proximal ACL tears with suture anchors are excellent and are
maintained at mid-term follow-up in a carefully selected subset of patients with
proximal tears and excellent tissue quality. LEVEL OF EVIDENCE: Level IV,
therapeutic case series.
PMID- 29373291
TI - Proximal Long Head Biceps Rupture: A Predictor of Rotator Cuff Pathology.
AB - PURPOSE: To investigate whether acute rupture of the proximal long head biceps is
a harbinger of disease of the nearby supraspinatus and subscapularis tendons.
METHODS: A retrospective chart review from February 1, 2008, to August 31, 2016,
was performed at our institution identifying patients who presented with an acute
(<12-week) history of "Popeye" deformity of the distal biceps and a magnetic
resonance imaging (MRI) of the affected shoulder. MRI images were then reviewed
in duplicate to determine supraspinatus and subscapularis tendon tear incidence,
size, chronicity, and depth. The association between rotator cuff status and
acute long head biceps rupture as well as patient age, sex, smoking status, hand
dominance, and history of diabetes mellitus or trauma was then evaluated.
RESULTS: A total of 116 patients were included in this study (mean age: 61.9 +/-
10.9 years). A significant proportion (n = 99; incidence: 85%) were found to have
some degree of supraspinatus or subscapularis tendon tearing on MRI (P < .001).
These patients were also found to be significantly older compared with those with
an intact rotator cuff (mean age 63.3 +/- 10.7 vs 54.2 +/- 9.2; P = .001). Full
thickness rotator cuff tears were significantly more likely to involve the
supraspinatus as opposed to the subscapularis (incidence: 44% and 21%; P = .002).
CONCLUSIONS: Despite the expected association of rotator cuff disease with
increasing patient age, the results of this study also affirm the hypothesis that
inflammation in the rotator cuff interval signaled by rupture of the long head of
biceps is a harbinger of rotator cuff disease. Clinicians should have a high
index of suspicion regarding concomitant anterosuperior rotator cuff pathology in
patients presenting with acute long head of biceps rupture. Early evaluation with
advanced imaging should be strongly considered. LEVEL OF EVIDENCE: Level IV, case
series.
PMID- 29373292
TI - Should Acetabular Retroversion Be Treated Arthroscopically? A Systematic Review
of Open Versus Arthroscopic Techniques.
AB - PURPOSE: To compare patient-reported outcomes, progression of radiographic
arthritis, revision rates, and complications for hips with acetabular
retroversion treated by open versus arthroscopic methods. METHODS: The PubMed and
EMBASE databases were searched in August 2016 for literature on the open and
arthroscopic techniques using the PRISMA (Preferred Reporting Items for
Systematic Reviews and Meta-analyses) method. All studies published in the
English language that focused on the surgical treatment of femoroacetabular
impingement caused by retroversion were included. All arthroscopic procedures,
such as acetabuloplasty and labral repair, and open procedures, including
anteverting periacetabular osteotomy and surgical dislocation with osteoplasty,
were included. Articles that did not describe how retroversion was defined were
excluded, as were studies with less than 6 months' follow-up and fewer than 5
patients. Two authors screened the results and selected articles for this review
based on the inclusion and exclusion criteria. All results were scored using the
Methodological Index for Non-randomized Studies (MINORS) criteria. RESULTS: There
were 386 results returned and 15 articles that met the inclusion criteria of this
study. Among the studies, 11 reviewed arthroscopic techniques and 4 reviewed open
surgical procedures. Both techniques yield good results based on patient-reported
outcomes with minimal progression of osteoarthritis and low complication rates.
CONCLUSIONS: This review showed statistically and clinically significant
improvements for the treatment of acetabular retroversion based on patient
reported outcomes, with low progression of radiographic arthritis, revision
rates, and complications using both open and arthroscopic methods. LEVEL OF
EVIDENCE: Level IV, systematic review of Level I to IV studies.
PMID- 29373293
TI - Biceps Autograft Augmentation for Rotator Cuff Repair: A Systematic Review.
AB - PURPOSE: To improve surgical outcomes in patients with massive cuff defects,
different techniques and augmentations are proposed. The biceps tendon is easily
available as an autograft. Our aim was to conduct a qualitative systematic review
of various methods and surgical techniques that use a biceps autograft (BAG) for
rotator cuff repair. Functional outcomes are also reported. We hypothesized that
by using a BAG to treat massive rotator cuff tears, a more anatomic and
biomechanical reconstruction could be achieved compared with other techniques.
METHODS: A qualitative systematic review was conducted (MEDLINE and Embase
databases) to inventory surgical techniques for use of a BAG for rotator cuff
repair. The following search terms were used for MEDLINE: biceps AND (augment* OR
autograft* OR transplantation* OR (cuff AND graft*) OR biceps-incorporat*).
Studies were included if the following criteria were fulfilled: description of
surgical technique, only human subjects, functional outcomes noted, all study
designs except technical notes, and no restrictions on study date. The quality of
the studies was assessed in a standardized manner using a tool based on the
Cochrane handbook. RESULTS: We identified 981 studies; among these, 8 case series
met the inclusion criteria. We identified 6 studies as high quality and 2 as
medium quality. Different techniques for harvest and augmentation were used. Some
studies left the proximal or distal portion intact, whereas others used it as a
free graft. The clinical results of these studies showed significantly improved
function, pain relief, and range of motion at follow-up, although this was not
compared with a control group. The constructs were intact on magnetic resonance
imaging in most patients (82%) within 2 years. CONCLUSIONS: It can be concluded
that use of a BAG is an option for augmentation in massive rotator cuff tears,
although no definitive recommendations can be given. This is based on Level IV
medium- and high-quality studies. LEVEL OF EVIDENCE: Level IV, systematic review
of Level IV studies.
PMID- 29373294
TI - Propionibacterium acnes Suture Contamination in Arthroscopic Rotator Cuff Repair:
A Prospective Randomized Study.
AB - PURPOSE: To examine the contamination rate of the anchor-suture and to determine
the efficacy of 2 different surgical skin preparation solutions with or without a
plastic adhesive drape from suture contamination in arthroscopic rotator cuff
repair. METHODS: A prospective randomized study was undertaken to evaluate 126
consecutive patients undergoing arthroscopic rotator cuff repair. Each shoulder
was prepared with one of 2 randomly selected solutions according to an assigned
envelope that indicated the procedure to be used: chlorhexidine-alcohol (1%
chlorhexidine gluconate and 70% isopropyl alcohol) or povidone-iodine. Then, each
group was further divided according to the usage of a plastic drape. The first
cut-tails of the anchor-suture after cuff fixation were submitted to aerobic and
anaerobic cultures. RESULTS: The overall rate of Propionibacterium acnes-positive
cultures was 47% (14 of 31 cases) in the povidone-iodine group, 33% (11 of 33
cases) in the povidone-iodine with a drape group, 33% (10 of 30 cases) in the
chlorhexidine-alcohol group, and 9.3% (3 of 32 cases) in the chlorhexidine
alcohol with a drape group. The positive culture rate in the chlorhexidine
alcohol with a drape group was lower than that in the povidone-iodine group
(relative risk, 0.2; 95% confidence interval: 0.064-0.63; number needed to treat,
2.7; P < .0001). Coagulase-negative staphylococci were isolated in the povidone
iodine with a drape (1 case) and chlorhexidine-alcohol with a drape group (2
cases). No other bacteria were isolated, and no infections occurred in any of the
patients treated in this study during the minimum 12-month follow-up period.
CONCLUSIONS: Chlorhexidine-alcohol solution with an adhesive plastic drape was
more effective than chlorhexidine-alcohol without a plastic drape and povidone
iodine with/without a plastic drape in eliminating P acnes suture contamination.
However, the usage of a plastic drape slightly increased the risk of coagulase
negative Staphylococcus proliferation. LEVEL OF EVIDENCE: Level I, therapeutic,
prospective, randomized trial.
PMID- 29373295
TI - The Correlation Between Arthroscopically Defined Acetabular Cartilage Defects and
a Proposed Preoperative Delayed Gadolinium-Enhanced Magnetic Resonance Imaging of
Cartilage Index in Hips of Patients With Femoroacetabular Impingement Syndrome.
AB - PURPOSE: To evaluate a delayed gadolinium-enhanced magnetic resonance imaging of
cartilage (dGEMRIC) index designed to predict focal acetabular articular
cartilage damage in patients with femoroacetabular impingement (FAI). METHODS:
The inclusion criteria were patients who underwent dGEMRIC and hip arthroscopy
between April 2010 and August 2015 for FAI syndrome. The exclusion criteria were
previous hip conditions, a Tonnis grade greater than 1, and a delay between
magnetic resonance imaging and surgery greater than 180 days. The cutoff value
for full-thickness chondral damage was set to 350 milliseconds. The coronal
anterolateral (CAL) index was designed to evaluate focal articular anterolateral
chondral defects. We calculated the binary classification test of the CAL index
for full-thickness chondral damage, with arthroscopy as the gold standard. We
calculated the correlation between the CAL index and the arthroscopically defined
acetabular labrum articular disruption (ALAD) and Outerbridge grades and tested
for differences between no or mild focal chondral damage and moderate or severe
focal chondral damage. We repeated this analysis on the sagittal superior index,
a combination of the sagittal anterior and posterior indices. RESULTS: A total of
195 hips (183 patients) were reviewed. The CAL index showed a sensitivity of 55%
(95% confidence interval [CI], 32%-76%), specificity of 81% (95% CI, 74%-86%),
positive predictive value of 27% (95% CI, 18%-37%), and negative predictive value
of 93% (95% CI, 90%-96%). The CAL index showed a significant difference between
no or mild focal chondral damage and moderate or severe focal chondral damage per
both ALAD and Outerbridge groups (P < .0001). The CAL index was moderately
inversely correlated with ALAD and Outerbridge grades (rho = -0.403, P < .0001).
The sagittal superior index was not significantly different between the groups
and showed a weak correlation with focal defects. CONCLUSIONS: The CAL index may
play a role in ruling out full-thickness articular cartilage defects in patients
with FAI syndrome. In addition, it may help in differentiating between no or mild
focal chondral damage and moderate or severe focal chondral damage. LEVEL OF
EVIDENCE: Level III, case-control study.
PMID- 29373296
TI - Acetabular All-Suture Anchor for Labral Repair: Incidence of Intraoperative
Failure due to Pullout.
AB - PURPOSE: To report on the incidence and features of intraoperative anchor pullout
in a consecutive series of patients undergoing arthroscopic labral repair of the
hip. METHODS: Over an 18-month period, 434 consecutive cases underwent labral
repair by a single surgeon with a particular anchor system. The following data
were recorded: (1) age and gender of all cases; (2) number of anchors used; (3)
number of cases in which intraoperative anchor failure occurred; (4) number of
anchors that failed; and (5) age and gender of those cases in which anchor
failure occurred. Failures were reported for 3-month intervals. One patient
underwent repair with an alternative anchor system during this time period and
was excluded. RESULTS: Mean age was 34.2 (14-71) years with 180 males and 254
females. A total of 2,007 anchors were used, averaging 4.6 per case (1-8). Thirty
three anchors pulled out among 30 patients, representing a 1.6% incidence among
all anchors. Mean age among pullouts was 37.8 (17-54) years with 11 males and 19
females. There was no difference compared with patient population in which no
anchor pulled: mean 33.9 (14-71) years (P = .085) with 169 males and 235 females
(P = .578). Pullouts were evenly distributed over the 3-month intervals (4, 4, 6,
6, 5, 8). Pullout was mostly due to failure to securely imbed the anchor in bone.
Only 2 were known to pull out in the presence of being securely seated in bone.
CONCLUSIONS: These data support that the security of this particular all-suture
anchor at implantation is exceptionally reliable for a single experienced
surgeon, and there is no demonstrable learning curve. LEVEL OF EVIDENCE: Level
IV, retrospective review of a case series.
PMID- 29373297
TI - Humeral Retroversion and Capsule Thickening in the Overhead Throwing Athlete: A
Systematic Review.
AB - PURPOSE: To investigate the humeral and soft-tissue adaptations, including
humeral retroversion, range of motion, and posterior capsule changes, in overhead
throwing athletes. METHODS: We performed a systematic review in accordance with
Preferred Reporting Items for Systematic Reviews and Meta-analyses (PRISMA)
guidelines. PubMed, MEDLINE, CENTRAL (Cochrane Central Register of Controlled
Trials), and Embase were searched from January 1, 2011, through April 23, 2017,
by 2 reviewers independently and in duplicate. The methodologic quality of all
included articles was assessed using the Methodological Index for Non-randomized
Studies criteria. Interobserver agreement for assessments of eligibility was
calculated with the Cohen kappa statistic. Descriptive statistics and raw counts
were used to summarize data. RESULTS: We identified 14 studies (6 Level IV and 8
Level III) including 1,152 overhead throwing athletes. The mean age of the
included athletes was 18.37 years (standard deviation, 1.52 years), with 59% of
the athletes being pitchers and 41% being position players. Significantly greater
humeral retroversion was found across all studies evaluating bony morphology in
the dominant arm of overhead throwing athletes (range of mean differences, 9.6
degrees -25.8 degrees ). Each of these studies also found decreased internal
rotation in the dominant arm (range of mean internal rotation differences, -28
degrees to -7.8 degrees ). Five studies found a significant negative correlation
between the difference in humeral retroversion between the 2 arms and the
difference in internal rotation (range of Pearson correlation coefficients, -0.56
to -0.35). Soft-tissue adaptations were assessed in 5 studies, with 4 identifying
significantly thicker posterior capsules and 2 identifying significantly stiffer
posterior capsules (P < .05). CONCLUSIONS: Overhead throwing athletes
consistently show several distinct changes in their dominant shoulder. These
include increased humeral retroversion and the presence of a thickened and stiff
posterior capsule. Concomitantly, there is often reduced internal rotation and
increased external rotation of the dominant arm. LEVEL OF EVIDENCE: Level IV,
systematic review of Level III and IV studies.
PMID- 29373298
TI - Patient Reported Outcomes Measurement Information System Scores Are Responsive to
Early Changes in Patient Outcomes Following Arthroscopic Partial Meniscectomy.
AB - PURPOSE: The purpose of this study was to test the hypothesis that Patient
Reported Outcomes Measurement Information System (PROMIS) computer-adaptive
testing (CAT) physical function and pain interference scores can detect early
variations in postoperative outcomes following arthroscopic partial meniscectomy
and to determine whether age, sex, body mass index, mechanical symptoms, duration
of symptoms, and the severity of chondrosis affect these scores. METHODS: Seventy
five patients who had undergone a partial meniscectomy between September 2015 and
March 2016 and had both preoperative and postoperative PROMIS-CAT data for
physical function, pain interference, and depression were included. Demographic,
clinical, and surgical data including the presence of intraoperative chondral
lesions were collected for statistical analysis to assess for factors that led to
differences in PROMIS-CAT outcomes. RESULTS: Preoperatively, patients had
decreased physical function and increased pain interference in excess of 1
standard deviation from the general population with mean PROMIS scores of 38.5
and 63.5, respectively. At the 6-week postoperative visit, patients had
significant improvements in both physical function and pain interference with
mean scores of 43.4 (P < .001, SE = 0.75) and 55.5 (P < .001, SE = 0.83). Female
patients had less improvement in PROMIS physical function (P = .03) and
depression (P = .02) scores postoperatively compared with male patients. Patients
with high-grade articular cartilage lesions had less improvement in physical
function (P = .014) and pain interference (P = .010) at 6 weeks postoperative
compared with patients with low grade or no chondral lesion. CONCLUSIONS: PROMIS
CAT provides responsive outcome measures to early postoperative changes in
physical function and pain following arthroscopic partial meniscectomy and has
prognostic value in patient outcomes 6 weeks after procedure. LEVEL OF EVIDENCE:
Level IV case series.
PMID- 29373299
TI - Post-operative delayed elevation of ALT correlates with early death in patients
with HBV-related hepatocellular carcinoma and Post-hepatectomy Liver Failure.
AB - BACKGROUND: Post-hepatectomy Liver Failure (PHLF) remains the primary cause of
perioperative death. The kinetics of transaminase levels are usually measured as
markers of hepatocellular injury following partial hepatectomy, but their
correlation with PHLF and post-operative mortality is unclear. The aim of study
was to compare the post-operative transaminase kinetics with short term survival
in those patients that developed PHLF. METHODS: A retrospective review of
patients with HBV-related HCC and who developed PHLF was performed. Logistic
regression analysis was conducted to analyze risk factors for postoperative
delayed elevation of ALT (PDE-ALT) PHLF and lethal PHLF. RESULT: Of the 69
patients who developed PHLF 36 (52%) died. In those patients who died the mean +/
SD ALT and AST rose from day (POD) 1-3 and continued to fluctuate with highly
abnormal levels beyond day 3 with a mean +/- SD peak ALT level beyond POD 3 of
1851 +/- 1644 U/L (p < 0.001). CONCLUSIONS: The kinetics of the post-operative
transaminases were significantly correlated with perioperative mortality in those
patients who developed PHLF. PDE-ALT indicates an increased risk of death in HBV
related HCC patients with PHLF.
PMID- 29373300
TI - "The Filter is Kind of Broken": Family Caregivers' Attributions About Behavioral
and Psychological Symptoms of Dementia.
AB - OBJECTIVES: Behavioral and psychological symptoms of dementia (BPSD) are common,
often challenging to manage, and may erode caregivers' well-being. Few studies
have explored caregivers' perspectives of what causes these behaviors, but such
attributions may be important-particularly if they negatively impact the care
dyad. This study examined causal attributions about BPSD among individuals caring
for a family member with dementia. DESIGN: In-depth qualitative data were
obtained from family caregivers of older adults with dementia. SETTING: As part
of a larger study (NINR R01NR014200), four focus groups were conducted with
caregivers by an experienced facilitator. PARTICIPANTS: A total of 26 family
caregivers participated in the four focus groups. MEASUREMENTS: Caregivers
reported their own attributions about the causes of their care recipient's BPSD.
Sessions were audio-recorded. Data were transcribed, coded to determine relevant
concepts, and reduced to identify major categories. RESULTS: Five categories were
determined. Caregivers attributed BPSD to: 1) neurobiological disease factors; 2)
physical symptoms or comorbid health conditions; 3) psychological reactions to
dementia; 4) shifting social roles and relationships following dementia onset;
and 5) environmental changes such as lack of routine and medical transitions
(e.g., hospitalization). Despite this seemingly multifactorial attribution to
BPSD etiology, a number of respondents also indicated that BPSD were at least
partly within the care recipient's control. CONCLUSIONS: Family caregivers
attribute BPSD to a range of care recipient and environmental factors.
Caregivers' own causal beliefs about BPSD may reflect unmet educational needs
that should be considered in the development of targeted interventions to
minimize caregiving stress.
PMID- 29373301
TI - Conceptual distortions of hand structure are robust to changes in stimulus
information.
AB - Previous studies showed stereotyped distortions in hand representations. People
judge their knuckles as farther forward in the hand than they actually are. The
cause of this bias remains unclear. We tested whether both visual and tactile
information contribute to the bias. In Experiment 1, participants judged the
location of their knuckles by pointing to the location on their palm with: (1) a
metal baton (using vision and touch), (2) a metal baton while blindfolded (using
touch), or (3) a laser pointer (using vision). Distal mislocalisations were found
in all conditions. In Experiment 2, we investigated whether judgments are
influenced by visual landmarks such as creases. Participants localized their
knuckles on either a photograph of their palm or a silhouette. Distal
mislocalisations were apparent in both conditions. These results show that distal
biases are resistant to changes in stimulus information, suggesting that such
mislocalisations reflect a conceptual mis-representation of hand structure.
PMID- 29373302
TI - When is cognitive penetration a plausible explanation?
AB - Albert Newen and Petra Vetter argue that neurophysiological considerations and
psychophysical studies provide striking evidence for cognitive penetration. This
commentary focuses mainly on the neurophysiological considerations, which have
thus far remained largely absent in the philosophical debate concerning cognitive
penetration, and on the cognitive penetration of perceptual experiences, which is
the form of cognitive penetration philosophers have debated about the most. It is
argued that Newen and Vetter's evidence for cognitive penetration is unpersuasive
because they do not sufficiently scrutinize the details of the empirical studies
they make use of-the details of the empirical studies are crucial also when the
studies are used in philosophical debates. The previous does not mean that
cognitive penetration could not occur. Quite the contrary, details of the
feedback connections to the visual perceptual module and one of the candidates
presented by Newen and Vetter suggest that cognitive penetration can occur in
rare cases.
PMID- 29373303
TI - First fruits of the RO-ILS system: Are we learning anything new?
PMID- 29373304
TI - Epidemiology of type 1 diabetes in Asturias: 2002-2011.
AB - INTRODUCTION: Type 1 diabetes mellitus (T1DM) is one of the most common chronic
diseases in childhood. An increased incidence of T1DM has recently been noted in
children under 15 years of age, and especially in the younger group. The purpose
of this study was to know the incidence of T1DM in Asturias and its
characteristics. MATERIAL AND METHODS: Children and young adults under 40 years
of age living in Asturias and diagnosed with T1DM in public and private centers
from January 1, 2002 to December 31, 2011, were included in the study.
Information collected included age, sex, date of diagnosis, initial symptoms, and
biochemical parameters of the disease. RESULTS: A total of 436 patients were
diagnosed, of whom 59.63% were males; 169 were younger than 15 years, 56.8% of
them males. The age-adjusted overall incidence rate (IR) in Asturias of people
diagnosed with diabetes before 40 years of age during this period was
9.45/100.000 population/year (95% CI: 8.58-10.38), 11.07 in males (95% CI: 9.77
12.50) and 7.77 in females (95% CI: 6,66-9,00). In subjects under 30 years of
age, IR rate was 10.82 (95% CI: 9.67-12.07), 11.91 in males (95% CI: 10.23-13.78)
and 7.61 in females (95% CI: 6,25-9.17). The IR in subjects younger than 15 years
of age was 15.60 (95% CI: 13.33-18.13), 17.24/100,000 population/year (95% CI:
13.97-21.06) in males and 13, 86 (95% CI: 10.86-17.42) in females. Estimated IR
adjusted by age group in children under 15 years of age was 9.58 (95% CI: 6.64
13.39) in those aged 0-4 years, 18.25 in those aged 5-9 years (95% CI: 14.06
23.31), and 18.78 (95% CI: 14.67-23.69) between 10 and 14 years of age. IR
remained stable in virtually all age groups and in both sexes, except in girls
under 4 years of age, who showed a significant upward trend. There were
significant differences in incidence between the central area of Asturias,
predominantly urban, and the peripheral areas, mainly devoted to farming and
livestock breeding. Thus, while IR in Mieres was 8/100,000/year, in Jarrio
reached 25.6/100,000/year. CONCLUSION: In Asturias, incidence of T1DM in children
and young adults is similar to that of the surrounding communities, but lower
than the average in Spain. It has remained stable in recent years, except in the
younger girls (in whom it has increased), and shows a great geographical
variability between the center of the region and the periphery.
PMID- 29373305
TI - First detection of tick-borne encephalitis virus RNA in clinical specimens of
acutely ill patients in Hungary.
AB - Tick-borne encephalitis virus (TBEV) is one of the endemic flaviviruses in
Hungary, which is responsible for human infections every year. Neurological
involvement in the disease is characterized by meningitis, encephalitis or
meningoencephalitis which can result in long-term neurological and
neuropsychiatric sequelae. Microbiological diagnosis of acute cases is
predominantly based on serological tests due to the limited duration of viremia
and long incubation period, however, the application of molecular methods can
also supplement the serological diagnosis and provides epidemiological data. The
aim of this study was to determine how viral RNA could successfully be detected
from different body fluids of serologically confirmed acute cases. Serum, whole
blood, cerebrospinal fluid and urine samples of 18 patients from the total of the
19 serologically diagnosed cases were investigated by using the RT-PCR method.
Two sera and one urine sample of three patients tested positive and the European
subtype of TBEV could be identified. As far as we know this was the first time
that TBEV RNA could be detected from human clinical samples in Hungary. Our
finding highlights that the application of molecular methods besides serological
tests can be a valuable tool in differential diagnosis especially in areas like
Hungary, where two or more flaviviruses are co-circulating.
PMID- 29373306
TI - Isolated populations of Ixodes lividus ticks in the Czech Republic and Belgium
host genetically homogeneous Rickettsia vini.
AB - In the last two decades, the advent of molecular methods has revealed a
remarkable diversity of rickettsiae (Rickettsiales: Rickettsiaceae) in
invertebrates. Several species of these obligate intracellular bacteria are known
to cause human infections, hence more attention has been directed towards human
biting ectoparasites. A spotted fever group Rickettsia sp. was previously
detected in Ixodes lividus ticks (Ixodidae) associated with sand martins
(Hirundinidae: Riparia riparia). In order to identify whether this rickettsia
varies among isolated tick populations, a total of 1758 I. lividus ticks and five
Ixodes ricinus ticks (Ixodidae) were collected in the Czech Republic and 148 I.
lividus ticks were collected in Belgium, from nests of sand martins, European bee
eaters (Meropidae: Merops apiaster), Eurasian tree sparrows (Passeridae: Passer
montanus), and from captured sand martins. We screened 165 and 78 I. lividus
ticks (from the Czech Republic and Belgium, respectively) and all five I. ricinus
ticks for the presence of rickettsial DNA. Only I. lividus samples were positive
for Rickettsia vini, a spotted fever group rickettsia that commonly infects the
tree-hole tick Ixodes arboricola (Ixodidae). Maximum likelihood analysis of the
rickettsial sequences showed that the most closely related organism to R. vini
corresponds to an uncharacterized rickettsia detected in Argas lagenoplastis
(Argasidae), a nidicolous soft tick of the fairy martin (Hirundinidae:
Petrochelidon ariel) in Australia. The observed variability of R. vini sequences
from isolated tick populations was low; all 85 sequenced samples were identical
to each other in five out of six partial rickettsial genes, except for the sca4
sequence (99.9% identity, 808/809 nt) that differed in I. lividus ticks from two
sampling sites in the Czech Republic.
PMID- 29373307
TI - Bacterial communities adapted to higher external resistance can reduce the onset
potential of anode in microbial fuel cells.
AB - We investigated how bacterial communities adapted to external resistances and
exhibited the performance of electricity production in microbial fuel cells
(MFCs) with external resistance of 10 Omega (LR-MFC) and 1000 Omega (HR-MFC). The
HR-MFC exhibited better performance than the LR-MFC. The power densities of the
LR-MFC and the HR-MFC were 5.2 +/- 1.6 mW m-2 and 28 +/- 9.6 mW m-2 after day
197, respectively. Low-scan cyclic voltammetry analyses indicated that the onset
potential of the HR-MFC was more negative than that of the LR-MFC, suggesting
that the higher external resistance led to enrichment of the highly current
producing bacteria on the anode surface. All clones of Geobacter retrieved from
the LR-MFC and the HR-MFC were members of the Geobacter metallireducens clade.
Although the population density of Geobacter decreased from days 366-427 in the
HR-MFC, the current density was almost maintained. Multidimensional scaling
analyses based on denaturing gradient gel electrophoresis profiles indicated that
the dynamics of the biofilm and anolytic communities changed synchronously in the
two MFCs, but the dynamics of the bacterial communities in the LR-MFC and the HR
MFC were different from each other, reflecting different processes in adaptation
to the different external resistances. The results suggest that the microbial
community structure was formed by adapting to higher external resistance,
exhibiting more negative onset potential and higher performance of the HR-MFC
through collaborating with anode-respiring bacteria and fermenters.
PMID- 29373308
TI - Effect of inoculum size on single-cell oil production from glucose and xylose
using oleaginous yeast Lipomyces starkeyi.
AB - Oleaginous microbes can convert substrates such as carbon dioxide, sugars, and
organic acids to single-cell oils (SCOs). Among the oleaginous microorganisms,
Lipomyces starkeyi is a particularly well-suited host given its impressive native
abilities, including the capability to utilize a wide variety of carbon sources.
In this work, the potential of L. starkeyi NBRC10381 to produce SCOs in a
synthetically nitrogen-limited mineral medium (-NMM) was investigated by
differing the inoculum size using glucose and/or xylose as a carbon source.
Fermentation using glucose and xylose as mixed carbon sources generated the
highest production of biomass at 40.8 g/L, and achieved a lipid content of 84.9%
(w/w). When either glucose or xylose was used separately, the totals for achieved
lipid content were 79.6% (w/w) and 85.1% (w/w), respectively. However, biomass
production was higher for glucose than for xylose (30.3 vs. 28.7 g/L,
respectively). This study describes the first simultaneous achievement of higher
levels of cell mass and lipid production using glucose and/or xylose as the
carbon sources in different inoculum sizes.
PMID- 29373309
TI - Metabolic dependent and independent pH-drop shuts down VirSR quorum sensing in
Clostridium perfringens.
AB - Clostridium perfringens produces various exotoxins and enzymes that cause food
poisoning and gas gangrene. The genes involved in virulence are regulated by the
agr-like quorum sensing (QS) system, which consists of a QS signal synthesis
system and a VirSR two-component regulatory system (VirSR TCS) which is a global
regulatory system composed of signal sensor kinase (VirS) and response regulator
(VirR). We found that the perfringolysin O gene (pfoA) was transiently expressed
during mid-log phase of bacterial growth; its expression was rapidly shut down
thereafter, suggesting the existence of a self-quorum quenching (sQQ) system. The
sQQ system was induced by the addition of stationary phase culture supernatant
(SPCS). Activity of the sQQ system was heat stable, and was present following
filtration through the ultrafiltration membrane, suggesting that small molecules
acted as sQQ agents. In addition, sQQ was also induced by pure acetic and butyric
acids at concentrations equivalent to those in the stationary phase culture,
suggesting that organic acids produced by C. perfringens were involved in sQQ. In
pH-controlled batch culture, sQQ was greatly diminished; expression level of pfoA
extended to late-log growth phase, and was eventually increased by one order of
magnitude. Furthermore, hydrochloric acid induced sQQ at the same pH as was used
in organic acids. SPCS also suppressed the expression of genes regulated by VirSR
TCS. Overall, the expression of virulence factors of C. perfringens was
downregulated by the sQQ system, which was mediated by primary acidic metabolites
and acidic environments. This suggested the possibility of pH-controlled anti
virulence strategies.
PMID- 29373310
TI - [Obstetrical follow-up and perinatal prognosis in drug addict pregnant women].
AB - OBJECTIVE: To identify the factors associated with a good obstetric follow-up and
a good perinatal prognosis, in order to adapt the management of pregnant women
with addiction to opiates. METHODS: A retrospective study was carried out in our
maternity between January 2012 and December 2014, including 104 women who were
addicted to opiates, whether or not they were substituted, with or without
associated consumptions, regardless of the term of delivery beyond 22 weeks of
amenorrhea. RESULTS: A good obstetrical follow-up was observed by 32.7% of women.
The father's presence (OR=3.9; P=0.0113) and investment in pregnancy (OR=4.4;
P=0.0029), as well as the desired character of the pregnancy (OR=4.5; P=0.0008)
appeared to be associated with the quality of the observed follow-up. Preterm
deliveries (11.8 versus 35.8%; P=0.0103), and social measures taken at the
discharge of the newborn from the maternity (2.9 versus 24.3%, P=0.0057) were
less frequent. A good perinatal prognosis was found for 29.8% of the cases.
Associated consumptions <3 (OR=2.6 [1.1-6.2]; P=0.0281) confirmed by negative
urine drug screening (OR=2.9 [1.1-7.8]; P=0.0307) were more numerous. CONCLUSION:
Although the follow-up and the perinatal prognosis of these pregnancies have
improved considerably in recent years, it seems necessary to further optimize
their management.
PMID- 29373311
TI - [How I do... sacrospinofixation following Richter technique by mini-invasive
vaginal route].
PMID- 29373312
TI - [Impact of the detection of small for gestational age fetuses on the neonatal
prognosis].
AB - OBJECTIVE: We sought to evaluate whether the antenatal identification of small
for gestational age (SGA) fetuses could influence the neonatal and obstetric
prognosis. METHODS: This was a retrospective cohort study. All liveborn singleton
neonates with a birthweight<3rd centile, born>32 weeks of gestation between
January 1, 2011 and December 31, 2012 were included. Fetuses were considered
"suspected SGA" when the estimated fetal weight was<10th centile or when a
diagnosis of clinical or ultrasound SGA was explicitly noted in the record.
Obstetrical and neonatal follow-up and outcomes of suspected SGA (SGAS group) and
non-suspected (SGANS group) were compared, with Chi2 and the Fisher exact test
when appropriate. RESULTS: Hundred and forty-seven neonates were included. Among
these, 54% were suspected SGA before birth. Gestational age was lower (38.5 weeks
gestation [WG] vs. 39.6 WG, P<0.001) and there was a higher preterm birth rate in
the SGAS group (10% vs. 0%, P=0.005). The rate of elective cesarean sections (17%
vs. 3%, P=0.005) was higher in the SGAS group, whereas the rate of nonelective
cesarean sections was lower (20% vs. 33%, P=0.002). Neonatal morbidity was
similar in both groups, as well as birth weight. CONCLUSION: SGA fetal screening
in our cohort was associated with a higher rate of medical intervention and
preterm birth without neonatal benefit. Nevertheless, the study's power and
methodology are not adequate to reduce the risk of fetal death in utero or severe
asphyxia associated with non-identification of a SGA fetus.
PMID- 29373313
TI - [In vitro fertilization versus conversion to intrauterine insemination in
patients with poor response to controlled ovarian hyperstimulation].
AB - In women undergoing controlled ovarian hyperstimulation (COH) for in vitro
fertilization (IVF), a poor ovarian response, defined as three of fewer mature
follicles, can lead to cancellation of the cycle. However, in women with at least
one patent tube and normal semen parameters, conversion to intrauterine
insemination (IUI) is considered an option, offering reasonable pregnancy rates
at a lower cost and without the complications associated with oocyte retrieval.
Studies have shown that in cycles with only one mature follicle, IVF should be
canceled. However, in cycles with 2 or 3 mature follicles, patients have the
choice between IVF and conversion to IUI. Some studies have shown that IVF is
superior to IUI in such cases, whereas other reports failed to find any
difference. Most of these studies are retrospective and limited by the presence
of several biases and low numbers of cycles, and to this date, there is no
consensus on the best approach. We have thus designed a multicenter, randomized
non-inferiority study, comparing live birth rates following conversion to IUI or
IVF in patients with 2 or 3 mature follicles in COH cycles. Nine hundred and
forty patients will be randomized on trigger day to either IVF or conversion to
IUI. Our study will also include a medico-economic analysis.
PMID- 29373314
TI - CRISPR/Cas9-mediated modification of the extreme C-terminus impairs PDGF
stimulated activity of Duox2.
AB - Duox2 belongs to the large family of NADPH-oxidase enzymes that are implicated in
immune response, vasoregulation, hormone synthesis, cell growth and
differentiation via the regulated synthesis of H2O2 and reactive oxygen species.
We and others have shown that Duox2 and H2O2 are involved in platelet-derived
growth factor (PDGF) induced migration of fibroblasts. Now, using the CRISPR/Cas9
mediated genome editing we demonstrate that the extreme C-terminal region of
Duox2 is required for PDGF-stimulated activity of Duox2 and H2O2 production. We
generated the fibroblast cells that stably co-express the wild-type or C
terminally modified Duox2 and fluorescent H2O2 probe Hyper. We found that
nonsense substitution of the last 23 amino acids in Duox2 results in complete
loss of PDGF stimulation of intracellular H2O2 and fibroblast migration, yet
these mutations have no effects on the expression of Duox2 and other NADPH
oxidases in cells. These findings illustrate for the first time that the extreme
C-terminus of Duox2 is required for the functional activity of the enzyme.
Furthermore, the conservative nature of the C-terminus suggests its role for
activity in other NADPH-oxidases.
PMID- 29373315
TI - Pleiotropy of ABO gene: correlation of rs644234 with E-selectin and lipid levels.
AB - BACKGROUND: The ABO gene has been widely studied and associated with many
different diseases such as myocardial infarction and diabetes. Pleiotropic
effects of the ABO locus have been demonstrated. Indeed it affects different
phenotypes such as E- and P-selectins, triglycerides and total cholesterol. The
goal of this work was to study the SNP rs644234 located in the ABO gene with
different phenotypes related with diseases where the ABO gene has been involved.
METHODS: We analyzed the SNP rs644234 located in the ABO gene, by performing
association studies with different lipid phenotypes as well as with the soluble E
selectin levels in 348 adults from the STANISLAS Family Study. RESULTS: The major
rs644234*T allele was associated with increased levels of soluble E-selectin
(p=8.7*10-12). According to the lipid phenotypes, the major rs644234*T allele was
associated with decreased levels of apolipoproteins E (ApoE) (p=0.001) and low
density lipoprotein cholesterol (LDL-C) (p=0.032) but was associated with
increased levels of high-density lipoprotein cholesterol (HDL-C) (p=0.013). The
association of the HDL-C was especially significant in the male individuals
(p=0.001). CONCLUSIONS: We confirmed that ABO is a major locus for serum soluble
E-selectin levels variability, and we also correlated this gene with different
lipid phenotypes. Furthermore, we demonstrated that this pleiotropic effect is
independent. This is the first time that a correlation has been made between the
ABO gene and the ApoE levels. According to these results, the major allele of
this polymorphism may have a protective effect when it comes to cardiovascular
related diseases, and more specifically when it comes to the lipid phenotypes.
PMID- 29373316
TI - Practical recommendations for managing hemolyzed samples in clinical chemistry
testing.
AB - We suggest here a pragmatic approach for managing results of clinical chemistry
testing in hemolyzed samples collected from adults/older children, attempting to
balance the need to produce quality laboratory data with clinical urgency of
releasing test results. Automatic measurement of the hemolysis index (H-index) in
serum or plasma is highly advisable, whilst low-quality assessment of this test
remains less good than a visual inspection. Regarding its practical use, when the
H-index value does not generate an analytically significant bias, results can be
released, whilst when the value is associated with analyte variation in a range
between analytically and clinically significant bias (i.e. variation does not
exceed the reference change value [RCV]), results of hemolysis-sensitive tests
can be released in association with a comment describing the direction in which
data are potentially altered, suggesting the need to collect another sample. When
the H-index is associated with analyte variation exceeding clinically significant
bias (i.e. variation exceeds the RCV), results of hemolysis-sensitive tests
should be suppressed and replaced with a comment that biased results cannot be
released because the sample is preanalytically compromised and advising the
recollection of another sample. If H-index values reach an even higher critical
cut-off (i.e. H-index corresponding to a cell-free hemoglobin concentration >=10
g/L), all laboratory data may be unreliable and should hence be suppressed and
replaced with a comment that all data cannot be released because the sample is
grossly hemolyzed, also suggesting the recollection of another sample. Due to
inaccuracy and imprecision, the use of corrective formulas for adjusting data of
hemolysis-sensitive tests is discouraged.
PMID- 29373317
TI - Violence against Nursing Students in the Workplace: An Iranian Experience.
AB - Background Workplace violence has a great impact on the quality of patient care
and satisfaction. This study aimed to determine the extent of violence
experienced by nursing students, and its predisposing factors. Methods This was a
cross-sectional study which was conducted on 150 nursing students in teaching
hospitals of Ardabil University of Medical Sciences. A questionnaire form was
used for data collection. Results Participants stated they were abused physically
(12.7%), verbally (73.3%), racial (40.7%), and sexually (5.3%). The lack of
awareness of the population about the tasks of the nursing students (40.0%) was
the most important risk factor for violence against them. Conclusion The violence
against nursing students is a common problem in the workplace. It is mainly
caused by the lack of awareness of people from the duties of the nursing
students. Therefore, it is necessary to educate the population about the
responsibilities of nurses and nursing students.
PMID- 29373318
TI - Clinical follow-up data and the rate of development of precocious and rapidly
progressive puberty in patients with premature thelarche.
AB - BACKGROUND: We aimed to evaluate the clinical follow-up data of patients with
premature thelarche and determine the rate of development of precocious and early
puberty in these patients. METHODS: The charts of 158 girls with premature
thelarche who were followed-up in our pediatric endocrinology polyclinic were
reviewed. The patients were divided into three groups according to the age at
onset: group 1 (0-1 month) (n=12), group 2 (1-24 months) (n=40) and group 3 (2-8
years) (n=106). RESULTS: At admission, the mean height standard deviation score
(SDS), body weight (BW)-SDS, body mass index (BMI) and BMI-SDS were significantly
higher in group 3 than in group 1 and group 2. At admission, 8.8% of the patients
were obese and 24% of the patients were overweight. The majority of patients who
were obese and overweight were in group 3. At the end of the follow-up, thelarche
regressed in 24.7%, persisted in 32.9%, progressed in 25.9% and had a cyclic
pattern in 16.5% of the patients. Precocious or rapidly progressive puberty
developed in 47 of the 158 patients (29.7%). The mean age at progression to early
or rapidly progressive puberty was 98.1+/-17.6 months. A total of 89.3% of the
patients who progressed to early or rapidly progressive puberty were in group 3.
CONCLUSIONS: Precocious or rapidly progressive puberty developed in 29.7% of
subjects with premature thelarche. As patients who developed rapidly progressive
puberty had a higher BW-SDS and BMI-SDS than those who did not, it is suggested
that the increase in weight could stimulate rapidly progressive puberty in cases
with premature thelarche.
PMID- 29373319
TI - Brain gray matter volume differences in obese youth with type 2 diabetes: a pilot
study.
AB - BACKGROUND: Adults with type 2 diabetes (T2D) have significantly lower gray
matter volume (GMV) compared to healthy peers. Whether GMV differences exist in
youth with T2D remains unclear. Thus, we compared global and regional GMV between
obese youth with T2D with age, race and sex similar healthy controls. METHODS: In
a cross-sectional study, 20 obese youth with T2D underwent T1-weighted brain
magnetic resonance imaging (MRI). Comparisons were made to 20 age, race and sex
similar controls. Differences in global and regional GMV between groups were
identified using voxel-based morphometry (VBM). RESULTS: Youth with T2D had a
significantly lower global GMV-to-intracranial volume ratio (0.51+/-0.02 in T2D
vs. 0.53+/-0.02 in controls, p=0.02, Cohen's d=0.85). There were 14 regions where
GMV was significantly lower in the T2D group, and nine of these were found in
either the temporal or occipital lobes. There were six regions with increased GMV
in T2D. All regional differences were significant at p<0.05 after adjusting for
multiple comparisons. CONCLUSIONS: Results from this pilot study show obese youth
with T2D have significantly lower global GMV and regional GMV differences, when
compared to their age, race and sex similar peers. Future work is needed to
determine whether these brain findings are a direct result of adolescent-onset
T2D.
PMID- 29373320
TI - Hypercalcemia, hyperkalemia and supraventricular tachycardia in a patient with
subcutaneous fat necrosis.
AB - BACKGROUND: Subcutaneous fat necrosis (SCFN) is a rare, self-limiting condition
that has been associated with several complications including hypercalcemia. CASE
PRESENTATION: We present the case of a 6-week-old male who presented to his
pediatrician with a dime-sized erythematous nodule consistent with SCFN, who was
also found to have supraventricular tachycardia (SVT). Laboratory findings
revealed hypercalcemia and hyperkalemia. Extensive evaluation for the electrolyte
disturbances revealed no other etiology. This case reinforces that SCFN should be
considered in newborns with hypercalcemia of unknown etiology. Additionally, this
case is the first to suggest a connection between SCFN and hyperkalemia.
Electrolyte abnormalities can potentially contribute to arrhythmogenesis and may
have triggered the arrhythmia in our patient. CONCLUSIONS: Our case highlights
the importance of remaining vigilant in the workup of atypical rashes of the
infant, which should include early assessment of serum electrolytes.
PMID- 29373321
TI - Whole blood viscosity and cerebral blood flow velocities in obese hypertensive or
obese normotensive adolescents.
AB - BACKGROUND: Obesity affects all major organ systems and leads to increased
morbidity and mortality. Whole blood viscosity is an important independent
regulator of cerebral blood flow. The aim of the present study was to evaluate
the effect of whole blood viscosity on cerebral artery blood flow velocities
using transcranial Doppler ultrasound in pediatric patients with obesity compared
to healthy controls and analyze the effect of whole blood viscosity and blood
pressure status to the cerebral artery blood flow velocities. METHODS: Sixty
patients with obesity diagnosed according to their body mass index (BMI)
percentiles aged 13-18 years old were prospectively enrolled. They were grouped
as hypertensive or normotensive according to their ambulatory blood pressure
monitoring. Whole blood viscosity and middle cerebral artery velocities by
transcranial Doppler ultrasound were studied and compared to 20 healthy same aged
controls. RESULTS: Whole blood viscosity values in hypertensive (0.0619+/-0.0077
poise) and normotensive (0.0607+/-0.0071 poise) groups were higher than controls
(0.0616+/-0.0064 poise), with no significance. Middle cerebral artery blood flow
velocities were higher in the obese hypertensive (73.9+/-15.0 cm/s) and obese
normotensive groups (75.2+/-13.5 cm/s) than controls (66.4+/-11.5 cm/s), but with
no statistical significance. CONCLUSIONS: Physiological changes in blood
viscosity and changes in blood pressure did not seem to have any direct effect on
cerebral blood flow velocities, the reason might be that the cerebral circulation
is capable of adaptively modulating itself to changes to maintain a uniform
cerebral blood flow.
PMID- 29373323
TI - Art is not special: an assault on the last lines of defense against the
naturalization of the human mind.
AB - The assumption that human cognition requires exceptional explanations holds
strong in some domains of behavioral and brain sciences. Scientific aesthetics in
general, and neuroaesthetics in particular, abound with claims for art-specific
cognitive or neural processes. This assumption fosters a conceptual structure
disconnected from other fields and biases the sort of processes to be studied.
More generally, assuming that art is special is to cling to the idea that some
aspect of our species' mental constitution makes us unique, special, and
meaningful. This assumption continues to relegate scientific aesthetics to the
periphery of science and hampers a naturalized view of the human mind.
PMID- 29373322
TI - The utility of body mass index as an indicator for lipid abnormalities in non
fasting children.
AB - BACKGROUND: Many studies have reported the association between body mass index
(BMI) and fasting lipid profiles in children. However, little information exists
about the screening of dyslipidemia in the non-fasted state. This study assessed
whether BMI can predict non-fasting lipid abnormalities in children. METHODS:
Using gender-separated analysis, 3895 boys and 3866 girls (aged 11-12 years) were
investigated. Total cholesterol (TC), triglyceride (TG) and HDL-cholesterol (HDL
C) were measured, and non-HDL-C (=TC-[HDL-C]) was calculated. A BMI z-score was
employed as the weight status. Gender-specific 95th percentiles of TC, TG and non
HDL-C were defined as "elevated", with the 5th percentiles of HDL-C defined as
"reduced". RESULTS: TG and non-HDL-C were positively, and HDL-C was negatively
correlated with the BMI z-score in both genders. Both obese (2=2; major complications: grade >=3) in patients with
sarcopenia versus patients without sarcopenia. Stratified analyses were performed
by sarcopenia criteria, cutoff level, assessment methods, study quality, cancer
diagnosis, and "Enhanced Recovery After Surgery" care. RESULTS: Twenty-nine
studies (n = 7176) were included with sarcopenia prevalence ranging between 12%
and 78%. Preoperative incidence of sarcopenia was associated with increased risk
of major complications (risk ratio 1.40; 95% confidence interval, 1.20-1.64; P <
0.001; I = 52%) and total complications (risk ratio 1.35; 95% confidence
interval, 1.12-1.61; P = 0.001; I = 60%). Moderate heterogeneity was found for
both meta-analyses. Subgroup analyses showed that sarcopenia remained a
consistent risk factor across stratification by sarcopenia criteria, assessment
methods, study quality, and diagnoses. CONCLUSIONS: Sarcopenia was associated
with an increased risk of complications after gastrointestinal tumor resection,
but lack of methodological consensus hampers the interpretation and clinical
utilization of these findings. Combining assessment of muscle mass with measures
of physical function may increase the prognostic value and accuracy in
preoperative risk stratification.
PMID- 29373366
TI - Assessing the Safety of Overlapping Surgery at a Children's Hospital.
AB - IMPORTANCE: Media reports have questioned the safety of overlapping surgical
procedures, and national scrutiny has underscored the necessity of single-center
evaluations of its safety; however, sample sizes are likely small. We compared
the safety profiles of overlapping and nonoverlapping pediatric procedures at a
single children's hospital and discussed methodological considerations of the
evaluation. DATA AND DESIGN: Retrospective analysis of inpatient pediatric
surgical procedures (January 2013 to September 2015) at a single pediatric
referral center. Overlapping and nonoverlapping procedures were matched in an
unbalanced manner (m:n) by procedure. Mixed models adjusting for Vizient
predicted risk, case-mix, and surgeon compared inpatient mortality and length of
stay (LOS). RESULTS: Among 315 overlapping procedures, 256 (81.3%) were matched
to 645 nonoverlapping procedures. There were 6 deaths in all. The adjusted odds
ratio for mortality did not differ significantly between nonoverlapping and
overlapping procedures (adjusted odds ratio = 0.94 vs overlapping; 95% CI, 0.02
48.5; P = 0.98). Wide confidence intervals were minimally improved with Bayesian
methods (95% CI, 0.07-12.5). Adjusted LOS estimates were not clinically different
by overlapping status (0.6% longer for nonoverlapping; 95% CI, 9.7% shorter to
12.2% longer; P = 0.91). Among the 87 overlapping procedures with the greatest
overlap (>=60 min or >=50% of operative duration), there were no deaths.
CONCLUSIONS: The safety of overlapping and nonoverlapping surgical procedures did
not differ at this children's center. These findings may not extrapolate to other
centers. LOS or intraoperative measures may be more appropriate than mortality
for safety evaluations due to low event rates for mortality.
PMID- 29373367
TI - A Framework for a Battlefield Trauma System for Civilians.
PMID- 29373368
TI - Adenosine 2A Receptor Activation Attenuates Ischemia Reperfusion Injury During
Extracorporeal Cardiopulmonary Resuscitation.
AB - OBJECTIVE: We tested the hypothesis that systemic administration of an A2AR
agonist will reduce multiorgan IRI in a porcine model of ECPR. SUMMARY BACKGROUND
DATA: Advances in ECPR have decreased mortality after cardiac arrest; however,
subsequent IRI contributes to late multisystem organ failure. Attenuation of IRI
has been reported with the use of an A2AR agonist. METHODS: Adult swine underwent
20 minutes of circulatory arrest, induced by ventricular fibrillation, followed
by 6 hours of reperfusion with ECPR. Animals were randomized to vehicle control,
low-dose A2AR agonist, or high-dose A2AR agonist. A perfusion specialist using a
goal-directed resuscitation protocol managed all the animals during the
reperfusion period. Hourly blood, urine, and tissue samples were collected.
Biochemical and microarray analyses were performed to identify differential
inflammatory markers and gene expression between groups. RESULTS: Both the
treatment groups demonstrated significantly higher percent reduction from peak
lactate after reperfusion compared with vehicle controls. Control animals
required significantly more fluid, epinephrine, and higher final pump flow while
having lower urine output than both the treatment groups. The treatment groups
had lower urine NGAL, an early marker of kidney injury (P = 0.01), lower plasma
aspartate aminotransferase, and reduced rate of troponin rise (P = 0.01). Pro
inflammatory cytokines were lower while anti-inflammatory cytokines were
significantly higher in the treatment groups. CONCLUSIONS: Using a novel and
clinically relevant porcine model of circulatory arrest and ECPR, we demonstrated
that a selective A2AR agonist significantly attenuated systemic IRI and warrants
clinical investigation.
PMID- 29373370
TI - Conversion During Laparoscopic Liver Resections: A Step Forward.
PMID- 29373369
TI - A Nationwide, Systematic, and Comprehensive Assessment of Surgical Capacity in
Lebanon: Results of the Surgical Capacity in Areas With Refugees (SCAR) Study.
AB - OBJECTIVE: We sought to perform a systematic, comprehensive, and nationwide cross
sectional analysis of surgical capacity in Lebanon. BACKGROUND: Providing
surgical care in refugee areas is increasingly recognized as a global health
priority. The surgical capacity of Lebanon where at least 1 in 6 inhabitants is
currently a refugee remains unknown. METHODS: The Surgical Capacity in Areas with
Refugees cross-sectional study included 3 steps: (1) geographically mapping all
hospitals providing surgical care in Lebanon, (2) systematically assessing each
hospital's surgical capacity, and (3) identifying surgical care gaps/disparities.
First, a list of hospitals in Lebanon and their locations was generated combining
data from the Lebanese Ministry of Health and Syndicate of Hospitals. Specialty,
rehabilitation, and maternity facilities were excluded. Second, the validated 5
domain Personnel, Infrastructure, Procedures, Equipment, and Supplies (PIPES)
tool was administered in each hospital through a face-to-face or phone interview.
Hospitals' PIPES indices were computed; data were aggregated and analyzed for
geographic and private/public disparities. RESULTS: A total of 129 hospitals were
geographically mapped; 20% were public. The PIPES tool was administered in all
hospitals (100%). The mean PIPES index was 10.98 (Personnel = 14.91,
Infrastructure = 15.36, Procedures = 37.47, Equipment = 21.63, Supplies = 24.78).
The number of hospital beds, operating rooms, surgeons, and anesthesiologists per
100,000 people were 217, 8, 16, and 9, respectively. Deficiencies in
infrastructure were significant, whereby 62%, 36%, 16%, and 5% of hospitals lack
incinerators, pretested blood, intensive care units, and computed tomography,
respectively. Continuous external electricity was lacking in 16 hospitals (12%).
Compared to private hospitals, public hospitals had a lower PIPES index (10.48 vs
11.1, P = 0.022), including lower Personnel and Infrastructure scores (12.31 vs
15.57, P = 0.03; 14.04 vs 15.7, P = 0.003, respectively). Geographically, the
administrative governorates with highest refugee concentrations had the lowest
PIPES indices. CONCLUSIONS: Evaluating surgical capacity in Lebanon reveals
significant deficiencies, most pronounced in public hospitals in which refugee
care is provided and in areas with the highest refugee concentration.
PMID- 29373371
TI - Effect of Polydeoxyribonucleotide Injection in a Patient With Carpal Tunnel
Syndrome.
AB - Ultrasound-guided corticosteroid injection into the carpal tunnel is widely
performed for the management of carpal tunnel syndrome. However, this injection
may worsen the condition in patients with type 2 diabetes mellitus.
Polydeoxyribonucleotide was recently recognized as a substitute for
corticosteroid because of its anti-inflammatory effects. A 41-yr-old woman with
type 2 diabetes mellitus was admitted to our rehabilitation clinic with a 1-mo
history of numbness and tingling in her right hand and first three fingers tips.
She declined corticosteroid treatment because of a previous episode of
uncontrolled blood glucose levels after corticosteroid injection. Therefore,
ultrasound-guided polydeoxyribonucleotide injections into the carpal tunnel were
carried out. At the 6-mo follow-up, the patient demonstrated an improvement in
the carpal tunnel syndrome symptoms without any complications. Thus,
polydeoxyribonucleotide injections into the carpal tunnel can be an alternative
to corticosteroid injections for carpal tunnel syndrome if there are limitations
because of adverse effects of the latter.
PMID- 29373372
TI - Ultrasound-Guided Shoulder Injection or Ultrasound Guides the Shoulder
Injection?: Standard Versus State-of-the-Art.
PMID- 29373373
TI - Response to the Letter to the Editor on "Point-of-Care Ultrasonography Findings
and Care Use Among Patients Undergoing Ultrasound-Guided Shoulder Injections".
PMID- 29373374
TI - An Innovative End-of-Life Nursing Education Consortium Curriculum That Prepares
Nursing Students to Provide Primary Palliative Care.
AB - Research has demonstrated that patients facing serious, life-limiting illnesses
and their families benefit from receiving palliative care. Increasingly, however,
specialty palliative care has limited resources. Prelicensure nursing students
who are educated to provide primary palliative care to patients with serious
illness and at the end of life can fill that gap. This article describes the
development and implementation of an innovative online nursing curriculum that
prepares students with essential primary palliative nursing knowledge and skills.
PMID- 29373375
TI - Use of Team-Based Learning in a Nursing Leadership Course: An Action Research
Study.
AB - Team-based learning (TBL) is a learner-centered method widely used in health
sciences education. The aim of this action research study was to determine the
contribution of TBL to the learning experience of students participating in a
nursing leadership course. The study was conducted in 2 cycles over 2 semesters,
and TBL was embedded in regular class hours. Results suggest that TBL made
positive contributions to student learning.
PMID- 29373376
TI - Ventricular septal defect and left ventricular outflow tract obstruction after
transcatheter aortic valve implantation.
AB - : Ventricular septal defect (VSD) has been reported as a rare complication after
transcatheter aortic valve implantation (TAVI), presenting with signs of heart
failure. Furthermore, left ventricular outflow tract obstruction (LVOTO) may
worsen after TAVI, especially in cases of severe left ventricular hypertrophy and
small cavity. However, the simultaneous appearance of VSD and LVOT after TAVI has
not been reported before. We report a case of combined VSD and LVOTO after TAVI.
PMID- 29373377
TI - State of Evidence-Based Practice in Physician Assistant Education.
AB - PURPOSE: Evidence-based practice (EBP) involves using the best evidence available
to guide patient care. The use of EBP improves patient outcomes and the quality
of care delivered. Studies have investigated how EBP is taught in other health
professions but not in physician assistant (PA) programs. The purpose of this
study was to explore how PA programs teach this subject matter. METHODS: After
permission was obtained, a survey was adapted from a similar study completed in
medical schools. Requests were emailed to 186 accredited PA programs with
available contact information. These data were analyzed using descriptive
statistics. The text responses were reviewed and summarized to describe how EBP
was taught in the programs surveyed. RESULTS: Eighty-four responses were received
from the 186 PA programs contacted with the survey request, giving a 45.2%
return. Approximately 95% of respondents reported having formal EBP training in
their curriculum. Respondents reported formal EBP training through a variety of
educational activities, with time spent on these activities ranging from 4 hours
to 550 hours. Barriers to implementation of an EBP curriculum were reported by
27% of respondents, with the most common barrier being lack of time. CONCLUSIONS:
Most PA programs that responded have formal EBP training in the curriculum. There
is little standardization regarding the methods used and time spent in these
activities. The Accreditation Review Commission on Education for the Physician
Assistant may be able to assist in overcoming the reported barriers and improving
standardization by implementing a specific EBP accreditation standard.
PMID- 29373378
TI - Patient Reported Pain After Successful Nonunion Surgery: Can We Completely
Eliminate It?
AB - OBJECTIVES: To investigate what factors are associated with continued long-term
pain after fracture nonunion surgery. DESIGN: Prospective cohort study. SETTING:
Single Academic Institution. PATIENTS/PARTICIPANTS: Three hundred forty-one
patients surgically treated for fracture nonunion were prospectively followed.
Demographics, radiographic evaluations, VAS pain scores, and short
musculoskeletal functional assessment (SMFA) scores were collected at routine
intervals. Only patients who had a minimum of 1-year follow-up and complete
healing were included this analysis. Patients were divided into a high-pain and
low-pain cohort for comparison. Inclusion criteria for the high-pain cohort were
defined as any patient who reported a pain score greater than one standard
deviation above the mean. MAIN OUTCOME MEASURES: Long-term VAS pain scores and
factors contributing to increased patient-reported long-term VAS pain scores.
RESULTS: Two hundred seventy patients met criteria and were included in this
analysis, with 223 patients (82.6%) in the low-pain cohort and 47 patients
(17.4%) in the high-pain cohort. The mean long-term pain score was 7.47 +/- 1.2
in the high-pain group and 1.78 +/- 1.9 in the low-pain group. Within the high
pain cohort, 55.6% of patients reported a net increase in pain from baseline to
long-term follow-up compared with 10.5% in the low-pain cohort (P < 0.0005). High
baseline pain score (P = 0.003), increased Charlson comorbidity index (CCI) (P =
0.008), lower income level (P = 0.014), and current smoking status (P = 0.033)
were found to be significantly more prevalent in the high-pain cohort.
CONCLUSIONS: Patients with higher baseline pain scores, elevated Charlson
comorbidity index, lower income level or history of smoking are at an increased
risk of reporting significant and potentially debilitating long-term pain after
nonunion surgery. Although patients may expect complete relieve of pain,
orthopaedic surgeons must inform patients of the possibility of experiencing pain
1 year or more postoperatively. LEVEL OF EVIDENCE: Prognostic Level III. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 29373379
TI - Clinically Relevant Outcome Measures Following Limb Osseointegration; Systematic
Review of the Literature.
AB - OBJECTIVES: The current standard of care for an amputee is a socket-based
prostheses. An osseointegrated implant (OI) is an alternative for prosthetic
attachment. Osseointegration addresses reported problems related to wearing a
socket interface, such as skin issues, discomfort, diminished function, quality
of life, prosthetic use, and abandonment. The purpose of this report is to
systematically review current literature regarding OI to identify and categorize
the reported clinically relevant outcome measures, rate the quality of available
evidence, and synthesize the findings. DATA SOURCES: A multidisciplinary team
used PRISMA (Preferred Reporting Items for Systematic Reviews and Meta-Analyses)
methods. Search methodology was based on identifying clinically relevant
articles. Three databases were searched: PubMed, CINAHL, and Web of Science.
STUDY SELECTION: Clinical studies with aggregated data reporting at least 1
clinically relevant outcome measure were included. DATA EXTRACTION: The Grading
of Recommendations Assessment, Development, and Evaluation (GRADE) criterion was
used for critical appraisal and recommendations. CONCLUSIONS: This review
identified 21 clinically relevant observational studies. Outcome measures were
categorized into the following 9 categories: vibratory stimulation,
complications, biomechanics, economics, patient-reported outcome measures,
electromyography, x-ray, physical functional performance, and energy consumption.
This systematic review consisted of Level III and IV observational studies.
Homogeneous outcome measures with strong psychometric properties across
prospective studies do not exist to date. Higher-level, prospective, randomized,
long-term, clinically relevant trials are needed to prove efficacy of OI compared
with socket prosthetic attachment. Osseointegration was at least equivalent to
sockets in most studies. In some cases, it was superior. Osseointegration
represents a promising alternative to socket prosthetic attachments for extremity
amputees. LEVEL OF EVIDENCE: Therapeutic Level III. See Instructions for Authors
for a complete description of levels of evidence.
PMID- 29373380
TI - Cephalomedullary Nail Fixation of Intertrochanteric Femur Fractures: Are Two
Proximal Screws Better Than One?: Erratum.
PMID- 29373381
TI - Outpatient management of community-acquired pneumonia.
AB - PURPOSE OF REVIEW: The first guidelines on community-acquired pneumonia (CAP)
were published in 1993, but since then many of the challenges regarding the
outpatient management of CAP persist. These include the difficulty in
establishing the initial clinical diagnosis, its risk stratification, which will
dictate the place of treatment, the empirical choice of antibiotics, the relative
scarcity of novel antibiotics and the importance of knowing local microbiological
susceptibility patterns. RECENT FINDINGS: New molecular biology methods have
changed the etiologic perspective of CAP, especially the contribution of virus.
Lung ultrasound and biomarkers might aid diagnosis and severity stratification in
the outpatient setting. Antibiotic resistance is a growing problem that
reinforces the importance of novel antibiotics. And finally, prevention and the
use of anti-pneumococcal vaccine are instrumental in reducing the burden of
disease. SUMMARY: Most of CAP cases are managed in the community; however, most
research comes from hospitalized severe patients. New and awaited advances might
contribute to aid diagnosis, cause and assessment of patients with CAP in the
community. This knowledge might prove decisive in the execution of stewardship
programmes that maintain current antibiotics, safeguard future ones and reinforce
prevention.
PMID- 29373382
TI - Cost-Utility Analysis of Virtual and Mannequin-Based Simulation.
AB - INTRODUCTION: The purposes of this study were to (1) compare learning outcomes
between students who participated in mannequin-based simulation activities and
students who participated in virtual simulation activities and (2) describe a
cost-utility analysis comparing the two types of simulation activities in terms
of costs and multiple measures of effectiveness. METHODS: Nursing student
participants were randomly assigned to one of two experimental groups to complete
either a mannequin-based or virtual simulation activity. The simulation scenario
was the same for both groups and involved the care of a hospitalized patient
experiencing a chronic obstructive pulmonary disease exacerbation. Participants
completed presimulation and postsimulation assessments reflecting qualitative and
quantitative measures of learning. A random sample of participants from each
group completed a postsimulation performance assessment during which they
interacted one on one with a standardized patient. RESULTS: Eighty-four nursing
students were enrolled in the study and completed the simulation activities.
There were no significant differences in quantitative measures of learning or
performance between participants in the mannequin-based and virtual simulation
groups. Participants' qualitative responses to postintervention written
reflections and questions yielded additional data for describing learning from
the two interventions. In the cost-utility analysis, the virtual simulation
activity had a more favorable cost-utility ratio of US $1.08 versus the mannequin
based simulation activity's US $3.62. CONCLUSIONS: Healthcare educators striving
to make evidence-based decisions about how to best employ simulation pedagogy may
consider these findings about the cost utility of various simulation modalities.
However, additional research is needed.
PMID- 29373383
TI - Validity of Simulation-Based Assessment for Accreditation Council for Graduate
Medical Education Milestone Achievement.
AB - INTRODUCTION: The Accreditation Council for Graduate Medical Education requires
biannual evaluation of anesthesiology residents on 25 subcompetency milestones.
Some milestone domains are particularly challenging to repeatedly and reliably
observe during clinical care. Simulation-Based Milestones Assessment (SBMA) may
help overcome these challenges. However, few studies have examined the external
validation of simulation assessment scores (ie, the relationships between
simulation-based assessment scores and other standard measures of ability) for
milestones. This study analyzed whether SBMA scores (1) discriminate by
postgraduate year, (2) improve over time, and (3) correlate with traditional
measures of performance. METHODS: This is a retrospective analysis of 55
residents' SBMA data from 30 scenarios for two academic years. Each scenario was
evaluated for time-in-training discrimination. Scenarios were then analyzed for
SBMA scoring trends over time, and SBMA scores were compared with residents'
clinical evaluations. RESULTS: Twenty-four SBMA scenarios discriminated by
postgraduate year. Repeated measure analysis of variance showed statistically
significant between-session score improvements (F (3, 54) = 17.79, P < 0.001).
Pearson correlation coefficients demonstrated moderate to strong correlation
between SBMA and clinical evaluations: January 2015 r = 0.67, P < 0.01 (n = 27);
May 2015 r = 0.43, P = 0.09 (n = 17); November 2015 r = 0.70, P < 0.01 (n = 24);
and April 2016 r = 70, P < 0.01 (n = 27). CONCLUSIONS: The associations between
SBMA scores and experience level, time-in-training, and clinical performance
evaluations provide evidence that SBMA may be used as metrics of residents'
Accreditation Council for Graduate Medical Education milestone competencies.
PMID- 29373384
TI - Learning Theory Foundations of Simulation-Based Mastery Learning.
AB - STATEMENT: Simulation-based mastery learning (SBML), like all education
interventions, has learning theory foundations. Recognition and comprehension of
SBML learning theory foundations are essential for thoughtful education program
development, research, and scholarship. We begin with a description of SBML
followed by a section on the importance of learning theory foundations to shape
and direct SBML education and research. We then discuss three principal learning
theory conceptual frameworks that are associated with SBML-behavioral,
constructivist, social cognitive-and their contributions to SBML thought and
practice. We then discuss how the three learning theory frameworks converge in
the course of planning, conducting, and evaluating SBML education programs in the
health professions. Convergence of these learning theory frameworks is
illustrated by a description of an SBML education and research program in
advanced cardiac life support. We conclude with a brief coda.
PMID- 29373385
TI - Leveraging Quick Response Code Technology to Facilitate Simulation-Based
Leaderboard Competition.
AB - INTRODUCTION: Leaderboards provide feedback on relative performance and a
competitive atmosphere for both self-guided improvement and social comparison.
Because simulation can provide substantial quantitative participant feedback,
leaderboards can be used, not only locally but also in a multidepartment,
multicenter fashion. Quick Response (QR) codes can be integrated to allow
participants to access and upload data. We present the development,
implementation, and initial evaluation of an online leaderboard employing
principles of gamification using points, badges, and leaderboards designed to
enhance competition among healthcare providers. METHOD: This article details the
fundamentals behind the development and implementation of a user-friendly,
online, multinational leaderboard that employs principles of gamification to
enhance competition and integrates a QR code system to promote both self
reporting of performance data and data integrity. An open-ended survey was
administered to capture perceptions of leaderboard implementation. RESULTS:
Conceptual step-by-step instructions detailing how to apply the QR code system to
any leaderboard using simulated or real performance metrics are outlined using an
illustrative example of a leaderboard that employed simulated cardiopulmonary
resuscitation performance scores to compare participants across 17 hospitals in 4
countries for 16 months. The following three major descriptive categories that
captured perceptions of leaderboard implementation emerged from initial
evaluation data from 10 sites: (1) competition, (2) longevity, and (3) perceived
deficits. CONCLUSIONS: A well-designed leaderboard should be user-friendly and
encompass best practices in gamification principles while collecting and storing
data for research analyses. Easy storage and export of data allow for
longitudinal record keeping that can be leveraged both to track compliance and to
enable social competition.
PMID- 29373386
TI - High-Fidelity Realistic Acute Medical Simulation and SBAR Training at a Tertiary
Hospital in Blantyre, Malawi.
PMID- 29373387
TI - Bibliometric Study of Scientific Research on Scleral Lenses.
AB - PURPOSE: To analyze the state of scientific publications in the field of scleral
lenses applying a bibliometric study. METHODS: The database used in this
bibliometric study was SCOPUS, the largest abstract and citation database of peer
reviewed literature: scientific journals, books, and conference proceedings.
Using remote download techniques, articles published between 1962 (year of first
registrations) and 2015 were selected by entering the main descriptors: "scleral
contact lenses" and "scleral lenses," limiting the field for the article,
keywords, and abstract, linked with the OR tab. We applied the following
bibliometric indicators: Price index, doubling time and annual growth rate, Price
transience index, Lotka law of scientific productivity, and Bradford zones.
RESULTS: The authors recovered 361 contributions (articles, reviews, letters to
the editor, etc.) for 1962 to 2016. The distribution for five-year periods shows
a significant increase in 2012 to 2016, with a growth of 222.22% in comparison
with the previous period 2012 to 2016. The countries with the highest production
are the United states with 135 contributions, United Kingdom with 46, and India
with 19. The most productive institutions are Harvard Medical school, Boston
Foundation for Sight, and Moorfields Eye Hospital National Health Service
Foundation Trust. Classification of authors based on productivity is strongly
concentrated in small producers, with a transient index of 59.03. The total
number of authors is 891, representing a coauthor index of 2.47 for the 361
documents retrieved. The authors with the highest productivity are Kenneth W.
Pullum, Perry Rosenthal, and Deborah S. Jacobs, with an h-index between 12 and 19
documents. The number of documents published on scleral lenses shows a
significant increase in the last 5 years, and currently, they represent only
1.44% of all publications on contact lenses. CONCLUSIONS: Bibliometric studies
have become essential tools for evaluating scientific activity, allowing an
overview of the growth, size, and distribution of scientific literature
associated with a particular discipline.
PMID- 29373388
TI - Effect of the Rigid Gas-Permeable Contact Lens Use on the Endothelial Cells in
Patients With Keratoconus.
AB - OBJECTIVES: To compare the changes in the corneal endothelial cells of both the
patients with keratoconus who use rigid gas-permeable (RGP) contact lens and who
do not use any contact lens with healthy population. METHODS: Thirty-three
patients with keratoconus who use RGP contact lenses (group 1), 20 patients with
keratoconus who do not use any contact lens (group 2), and 40 healthy controls
were included in the study. Changes in the corneal endothelial cells of all
patients were evaluated using a noncontact specular microscope. Endothelial cell
density (ECD), coefficient of variability (CV), hexagonal cell percentage (%HEX),
and central corneal thickness (CCT) of the patients were evaluated in the study.
RESULTS: In the groups 1, 2, and 3, the mean ECD was 2,420.8+/-247, 2,579.5+/
240, and 2,589.5+/-271 cells/mm, the mean CV was 357+/-9, 34+/-7, and 34+/-6, the
mean %HEX was 54.3+/-13, 59.1+/-14, and 57.4+/-10, and the mean CCT was 508+/-33,
504+/-43, and 561+/-34 MUm, respectively. There was no significant difference
between the groups in terms of ECD, CV, and %HEX. Although significant
differences between the groups 1 to 3 and groups 2 to 3 in terms of CCT were
detected (P=0.001 and P=0.004, respectively), no significant difference was
observed between the groups 1 and 2. CONCLUSIONS: Although significant difference
was found between the groups 1 to 2 and normal population in terms of CCT, no
difference was detected between all the groups in terms of the other parameters.
In this study, we report that long-term use of RGP contact lens in patients with
keratoconus had no effect on the corneal endothelial cells.
PMID- 29373389
TI - Review of Contact Lens-Related Complications.
AB - The purpose of this article is to provide a review of existing literature
describing complications with contemporary contact lenses and their management.
It is envisioned that this will serve as a useful summary of noninfectious and
infectious complications associated with contact lens wear.
PMID- 29373390
TI - Optimized Protocol for Testing Multipurpose Contact Lens Solution Efficacy
Against Acanthamoeba.
AB - OBJECTIVES: To evaluate the interlaboratory and intralaboratory reproducibility
of a proposed protocol for multipurpose contact lens solution (MPS) disinfection
efficacy against Acanthamoeba. METHODS: Acanthamoeba castellanii and Acanthamoeba
polyphaga and four MPS with different biocidal agents were used to evaluate the
protocol in two different laboratories. In addition to the negative control, a
positive control and neutralization control were used. One experiment was
performed in triplicate, and all other experiments were performed in duplicate in
each laboratory. Acanthamoeba trophozoites were grown axenically, and cysts were
generated using the starvation method. Trophozoites and cysts at a concentration
of 2.0 * 10 to 2.0 * 10 organisms per milliliter were exposed to the test MPS for
0, 4 or 6 (manufacturer's recommended soak time [MRST]), 8, and 24 hr. Survivors
were determined by a limiting dilution method that used a most probable number
evaluation. RESULTS: The positive and negative controls displayed consistent
results and trends both within each laboratory and between each laboratory for
trophozoites and cysts of both A. castellanii and A. polyphaga. The
neutralization control consistently demonstrated the ability of the neutralizing
agents to neutralize the MPS and the positive control and demonstrated no
inhibition of Acanthamoeba by the negative control. Testing in triplicate and
duplicate demonstrated the reproducibility of the protocol both within each
laboratory and between the laboratories. Our results demonstrated that the MPS at
the MRST and at 8 hr (likely overnight soak time) are generally more effective
against trophozoites than they are against cysts. Only the MPS with hydrogen
peroxide as the biocidal agent was able to provide a greater than three-log kill
of cysts at the MRST and longer. Among the MPS we tested, trophozoites of A.
castellanii and A. polyphaga showed similar responses. Some variability was
observed when testing cysts of both species. In both laboratories, one
nonhydrogen peroxide containing MPS had some effect (>1 log kill) on A. polyphaga
cysts. This solution had no effect (<1 log kill) on A. castellanii cysts, A.
castellanii trophozoites, and A. polyphaga trophozoites. CONCLUSIONS: The
protocol that we have revised and evaluated is a well-controlled and reproducible
procedure that can effectively evaluate the efficacy of MPS against Acanthamoeba
trophozoites. Some variability was observed when testing the cyst stage.
PMID- 29373391
TI - Integrated HIV-Care Into Primary Health Care Clinics and the Influence on
Diabetes and Hypertension Care: An Interrupted Time Series Analysis in Free
State, South Africa Over 4 Years.
AB - BACKGROUND: Noncommunicable diseases (NCDs), specifically diabetes and
hypertension, are rising in high HIV-burdened countries such as South Africa. How
integrated HIV care into primary health care (PHC) influences NCD care is
unknown. We aimed to understand whether differences existed in NCD care (pre-
versus post-integration) and how changes may relate to HIV patient numbers.
SETTING: Public sector PHC clinics in Free State, South Africa. METHODS: Using a
quasiexperimental design, we analyzed monthly administrative data on 4 indicators
for diabetes and hypertension (clinic and population levels) during 4 years as
HIV integration was implemented in PHC. Data represented 131 PHC clinics with a
catchment population of 1.5 million. We used interrupted time series analysis at
+/-18 and +/-30 months from HIV integration in each clinic to identify changes in
trends postintegration compared with those in preintegration. We used linear
mixed-effect models to study relationships between HIV and NCD indicators.
RESULTS: Patients receiving antiretroviral therapy in the 131 PHC clinics studied
increased from 1614 (April 2009) to 57, 958 (April 2013). Trends in new diabetes
patients on treatment remained unchanged. However, population-level new
hypertensives on treatment decreased at +/-30 months from integration by 6/100,
000 (SE = 3, P < 0.02) and was associated with the number of new patients with
HIV on treatment at the clinics. CONCLUSIONS: Our findings suggest that during
the implementation of integrated HIV care into PHC clinics, care for hypertensive
patients could be compromised. Further research is needed to understand
determinants of NCD care in South Africa and other high HIV-burdened settings to
ensure patient-centered PHC.
PMID- 29373392
TI - Randomized Controlled Trial of the SystemCHANGE Intervention on Behaviors Related
to Cardiovascular Risk in HIV+ Adults.
AB - OBJECTIVE: To examine the effect of a lifestyle behavior intervention
(SystemCHANGE) on physical activity and diet quality among sedentary people
living with HIV (PLHIV). All participants expressed a desire to improve lifestyle
health behaviors. METHODS: One hundred and seven HIV+ adults were randomized to
either the intervention (6, in-person, standardized group sessions focusing on
improving lifestyle behaviors) or a control condition (general advice on AHA diet
and exercise guidelines). All participants wore an ActiGraph accelerometer and
completed 24-hour dietary recalls at baseline, 3, and 6 months. Generalized
estimating equations were used to examine intervention effects. The primary
activity outcome was time spent in moderate-to-vigorous physical activity, and
the primary dietary outcome was Healthy Eating Index. RESULTS: Mean age was 53
years, 65% were male, and 86% African American. Approximately 90% attended at
least half of the sessions and 60% attended 5 or more sessions. The intervention
did not significantly improve our primary lifestyle behavior endpoints (P >=
0.05); however, intervention participants consumed fewer carbohydrates-primarily
sugar-sweetened beverages-per day and lost 0.732 kg body weight compared with a
0.153 weight gain in the control group (P = 0.03). CONCLUSIONS: Among sedentary
PLHIV at high risk of cardiovascular disease, the SystemCHANGE intervention
reduced daily carbohydrate intake and body weight, but did not increase physical
activity or improve overall diet quality. Future work should identify fundamental
personal, interpersonal, and contextual factors that will increase physical
activity and improve overall diet quality among this population, and integrate
these factors into tailored, lifestyle interventions for aging PLHIV.
PMID- 29373393
TI - Extended-Release Naltrexone Improves Viral Suppression Among Incarcerated Persons
Living With HIV With Opioid Use Disorders Transitioning to the Community: Results
of a Double-Blind, Placebo-Controlled Randomized Trial.
AB - OBJECTIVE: To determine whether extended-release naltrexone (XR-NTX) would
improve or maintain viral suppression (VS) among prisoners or jail detainees with
HIV and opioid use disorder (OUD) transitioning to the community. DESIGN: A 4
site, prospective randomized double-blind, placebo-controlled trial was conducted
among prison and jail inmates with HIV and OUD transitioning to the community
from September 2010 through March 2016. METHODS: Eligible participants (N = 93)
were randomized 2:1 to receive 6 monthly injections of XR-NTX (n = 66) or placebo
(n = 27) starting at release and observed for 6 months. The primary outcome was
the proportion that maintained or improved VS (<50 copies/mL) from baseline to 6
months. RESULTS: Participants allocated to XR-NTX significantly improved to VS
(<50 copies/mL) from baseline (37.9%) to 6 months (60.6%) (P = 0.002), whereas
the placebo group did not (55.6% at baseline to 40.7% at 6 months P = 0.294).
There was, however, no statistical significant difference in VS levels at 6
months between XR-NTX (60.6%) vs. placebo (40.7%) (P = 0.087). After controlling
for other factors, only allocation to XR-NTX (adjusted odds ratio = 2.90; 95%
confidence interval = 1.04 to 8.14, P = 0.043) was associated with the primary
outcome. Trajectories in VS from baseline to 6 months differed significantly (P =
0.017) between treatment groups, and the differences in the discordant values
were significantly different as well (P = 0.041): the XR-NTX group was more
likely than the placebo group to improve VS (30.3% vs. 18.5%), maintain VS (30.3%
vs. 27.3), and less likely to lose VS (7.6% vs. 33.3%) by 6 months. CONCLUSIONS:
XR-NTX improves or maintains VS after release to the community for incarcerated
people living with HIV with OUD.
PMID- 29373394
TI - Associations Between Multiple Forms of Discrimination and Tobacco Use Among
People Living With HIV: The Mediating Role of Avoidance Coping.
AB - BACKGROUND: People living with HIV (PLWH) have higher levels of tobacco use
compared with the general population, increasing their risk of morbidity and
mortality. PLWH also face potential chronic stressors related to the stigma and
discrimination associated with HIV and other characteristics (eg, race and sexual
orientation). These experiences may be associated with harmful health behaviors,
such as tobacco use. The purpose of the current study is to explore the
psychosocial context of tobacco use in PLWH, examining avoidance coping as a
mediator in the relationship between multiple forms of discrimination and tobacco
use. SETTING: Participants included 202 PLWH recruited from an HIV primary care
clinic in Birmingham, AL, between 2013 and 2015. METHODS: Participants responded
to parallel items assessing experiences of discrimination related to HIV status,
race, and sexual orientation, as well as items assessing avoidance coping. Data
on current tobacco use were obtained from participants' clinic records. Mediation
models for each form of discrimination (HIV, race and sexual orientation)
adjusting for demographic variables and the other forms of discrimination were
evaluated. RESULTS: The indirect effect of HIV-related discrimination on
likelihood of tobacco use through avoidance coping was significant, suggesting
that avoidance coping mediates the association between HIV-related discrimination
and tobacco use. However, the indirect effects of the other forms of
discrimination were not significant. CONCLUSIONS: Given the disparity in tobacco
use in PLWH, behavioral scientists and interventionists should consider including
content specific to coping with experiences of discrimination in tobacco
prevention and cessation programs for PLWH.
PMID- 29373395
TI - Repetitive Deep TMS for Parkinson Disease: A 3-Month Double-Blind, Randomized
Sham-Controlled Study.
AB - PURPOSE: To study the effects of a repetitive deep transcranial magnetic
stimulation (rDTMS) in patients with Parkinson disease using the H5 coil for the
low-frequency stimulation of the primary motor cortex, followed by the high
frequency rDTMS of the prefrontal cortex. METHODS: The main outcome measures were
the total and motor scores of the Unified Parkinson's Disease Rating Scale
(UPDRS). Secondary measures included rating of depression and quantitative motor
tasks. RESULTS: Forty-eight patients were randomized 1:1 into real or sham rDTMS
treatment arms. Analyses (n = 42) of both UPDRS scores revealed a significant
main effect for time between baseline and day 90 (end of treatment), indicating
that there was an improvement of both scores over time in the whole sample.
Although effects of treatment and time-by-treatment were insignificant, simple
effects analysis of both measures was significant in the rDTMS group and reached
a P-value of 0.06 in the sham group. The response rate was higher in patients
with longer disease duration and higher motor UPDRS scores. Side effects were
more common in the rDTMS group but were transient and tolerable. CONCLUSIONS:
Although rDTMS treatment exhibited some motor improvements, we could not
demonstrate an advantage for real treatment over sham. Further research is
required to establish stimulation parameters that may induce potentially more
beneficial outcomes, probably in patients with longer and more sever disease.
PMID- 29373396
TI - Clinical Neurophysiology Training in a Developing Country: Institutional
Resources and Profiles.
AB - PURPOSE: The purpose of this study was to describe the characteristics and
preferences of clinical neurophysiology (CN) fellows, as well as the resources
available for their training, in a developing country such as Mexico. METHODS: An
online survey (25 questions) was given to Mexican CN fellows from May to June
2017, covering their reasons for choosing the CN subspecialty, their activities,
future plans, institutional resources, and administrative staff. Descriptive
statistics were used. RESULTS: Total respondents: 20/22 (90%), 65% female from 7
CN centers (80% public and 20% private hospitals) in Mexico City. Seventy-five
percent chose CN out of personal interest, and all were not unsatisfied with
their academic program. Most plan to work in private practice (75%) and are
interested in learning EEG (85%) and intraoperative monitoring (75%-85%). The
highest-reported training time by CN area allocated by the programs was as
follows: EEG (27%), electromyography (22%), and evoked potentials (16%). The
average number of fellows per center was 4; 75% of the centers perform epilepsy
surgery, of which 60% offer invasive intracranial studies for the evaluation of
surgical candidates. CONCLUSIONS: Mexican CN fellows are satisfied with their
choice and with the academic program. They are increasingly interested in
intraoperative monitoring, which is not addressed in current Mexican CN Programs.
PMID- 29373397
TI - Getting a Charge Out of You.
PMID- 29373400
TI - LEGISLATIVE DEPARTMENT.
PMID- 29373398
TI - PERSPECTIVES.
PMID- 29373402
TI - PRODUCTS AND INFORMATION.
PMID- 29373403
TI - Heroes-and You-Can Make a Difference.
PMID- 29373404
TI - Idiopathic Canalicular Inflammatory Disease: New Disease Description of Clinical
Patterns, Investigations, Management, and Outcomes.
AB - PURPOSE: The objective of this perspective is to present a separate disease
description of "idiopathic canalicular inflammatory disease" and outline the
diagnostic criteria and early experiences with its investigations and management.
METHODS: Retrospective case series of 44 canaliculi of 22 eyes of 11 patients
presenting at a tertiary care Dacryology service over a period of 2 years with
typical clinical patterns of inflammatory canaliculitis and its outcomes were
studied. All the patients underwent microbiological work-up with culture and
sensitivity, dacryoendoscopy imaging, serial Fourier domain ocular coherence
tomography, and collagen vascular profiles. Stages in the evolution of the
disease were studied. All patients were treated initially with topical steroids
followed by punctal dilatation and placement of mini-monoka stents. Five patients
in addition had a small biopsy from the inflamed portion of the vertical
canaliculus. Stents were extubated at 6 weeks. RESULTS: Forty-four canaliculi
were diagnosed to have idiopathic canalicular inflammatory disease during the
study period. There was a female preponderance (81.8%, 9/11) and the mean age at
presentation was 57 years. All patients presented with unilateral epiphora
without any discharge, pain, or swelling. Collagen vascular profiles and
screening for autoimmune diseases were negative. Clinical picture ranged from
stages 1 to 5, consisting of edema, progressive centripetal vascularization,
pouting of vascularized mucosa, membrane formation, and progressive scarring. The
presentation begins in 1 eye and usually involves the other eye at a mean of 6
months. Ocular coherence tomography and dacryoendoscopy were of adjunctive value
in the diagnosis. Histopathological examination was suggestive of a chronic
inflammation. All patients had relentless progression to end-stage disease,
although delayed significantly by steroids and monoka intubation. CONCLUSION:
Idiopathic canalicular inflammatory disease has a distinct and typical clinical
behavior and the current study proposed diagnostic features and disease staging.
The use of topical and systemic immunosuppressive agents needs to be explored to
formulate effective protocols for its management.
PMID- 29373405
TI - Bony Regrowth After Deep Lateral Orbital Decompression.
AB - PURPOSE: To report on 2 cases of late bony regrowth with clinically apparent
proptosis after deep lateral orbital decompression for thyroid orbitopathy.
METHODS: A retrospective review of 2 cases identified by the authors as having
late bony regrowth. The authors review the clinical, historical, radiologic, and
anatomical findings and discuss the significance thereof. RESULTS: Bony regrowth
with bowing toward the middle cranial fossa is observed at postoperative month 8
in the first case. Cortical bone and marrow was observed to regrow at 2 years
postoperatively in the second case. Both patients underwent successful repeat
deep lateral orbital decompression with resolution of proptosis and clinical
symptoms. CONCLUSIONS: Late bony regrowth should be considered as a possible
cause of recurrent proptosis after orbital decompression in thyroid eye disease.
PMID- 29373407
TI - The Individualized Facelift Technique in Improving Facial Asymmetry for Asian
Patients.
AB - PURPOSE: Among multiple influential factors affecting facial symmetry, the role
of soft tissue is often overlooked. Skin and skeletal differences between Asian
and Caucasian people also require the adaptation of current techniques for Asian
patients. This article aimed to explore the ability of individual facelift
techniques to improve facial symmetry and reset youthful eye in Asian people,
while a new method, called the grid method, was tried to evaluate the improvement
in facial symmetry. METHODS: The authors conducted a review of 58 consecutive
facelifts, which were all performed by a single surgeon between April 2009 and
December 2016 following institutional review board approval. Among them, 21
patients underwent lower eyelid blepharoplasty. The original frontal photograph
of each patient was evaluated by the grid method. Five independent plastic
surgeons reviewed the facial asymmetry of the images before and after the
operations using a visual analog scale to analyze the facial asymmetry of the
patients. RESULTS: In the preoperative group evaluated by the grid, the mean
facial asymmetry score was 4.11, while in the postoperative group, the mean score
was 1.07, which was significantly lower than the mean score before the operation
(p < 0.001). The change in mean scores illustrated that the technique was
effective in improving facial symmetry in Asian people. A total of 8 patients
experienced hematomas and recovered well without obvious sequelae. CONCLUSIONS:
The individual facelift technique was effective for improving facial symmetry and
reshaping youthful eye in Asian people.
PMID- 29373406
TI - Interlamellar Autogenous Tarsal Graft for the Correction of Lower Eyelid
Trichiasis Associated With Eyelid Margin Thinning.
AB - PURPOSE: To evaluate the use of autogenous tarsal graft for surgical correction
of lower eyelid trichiasis associated with eyelid thinning. METHODS: A total of
23 eyelids of 19 consecutive patients with trichiasis were operated with a
variant of the Van Millingen procedure. The surgery consisted of interposing a 3
mm high fragment of autogenous ipsilateral upper eyelid tarsus corresponding to
the trichiasis segment between the pretarsal anterior lamella and the lower
tarsal plate. Preoperative assessment included quantification of eyelid thickness
on the midpoint of the segment with trichiasis, biomicroscopy of the eyelid
margin and location of the trichiasis. All patients were examined at 1, 3, 6, and
12 months after surgery. Eyelid thickness was measured at 1 month and 12 months
of follow up. RESULTS: Preoperatively the mean thickness of the eyelid margin was
0.99 mm +/- 0.06 standard error. One month postoperatively eyelid thickness
increased to 2.08 +/- 0.28 standard error, decreasing over time to reach 1. 48 mm
+/- 0.18 standard error 1 year after surgery, a value that did not differ from
that of the control group. Small granulomas were diagnosed only in the early
phases of the postoperative period. Trichiasis recurrence was seen in just 1
eyelid. Isolated abnormal lashes lateral or medial to the graft were present in 5
eyelids at the end of the study. CONCLUSIONS: Autogenous tarsal grafts placed
parallel to the lower tarsus are a good option both to correct misdirected
eyelashes and to restore normal lower eyelid thickness.
PMID- 29373408
TI - From the Editors.
PMID- 29373409
TI - Letter to the Editor.
PMID- 29373410
TI - The Arrest of the University of Utah Nurse Was Wrong!: The Legal and Ethical
Issues Discussed and Lessons Learned.
PMID- 29373411
TI - JPNN Best Manuscripts and Reviewers of the Year.
PMID- 29373412
TI - Transitions in Care: Risk, Recovery, and Best Practices.
PMID- 29373413
TI - The Art of Skillful Disclosure.
PMID- 29373414
TI - Emerging Trends in Perinatal Quality and Risk With Recommendations for Patient
Safety.
PMID- 29373416
TI - Oxytocin Use in Labor: Legal Implications.
PMID- 29373415
TI - Ethical Considerations of a Neonatal Intensive Care Unit Pharmacist.
PMID- 29373417
TI - Marijuana-Perinatal and Legal Issues With Use During Pregnancy.
AB - Although still illegal at the federal level, marijuana has been legalized for
medical and/or recreational use in 29 states, causing a dynamically changing
legal and social landscape. While the legalization of marijuana at the state
level provides criminal protection for use by adults, there remain civil legal
implications for families brought about by mandated reporting laws. Mandated
reporting requirements have not been updated to account for the movement toward
legalization, risking overload of community child protection resources. There is
little evidence to inform development of guidelines and protocols for screening,
educating, testing of mothers and newborns, and reporting. There are perinatal
issues in this evolving environment as well. Discriminatory testing, length of
time the drug remains in the system, potential for compromised provider-patient
relationships, inconsistent education and referrals, breastfeeding during
marijuana use, punitive or legal interventions that may have a negative
psychosocial impact on a new family, and the risk for development of community
standards of care based on opinion rather than science are just a few of the
issues realized after marijuana legalization. These legal and perinatal issues
are discussed in detail, along with considerations for practice and policy in
caring for cannabis-exposed pregnant women and newborns.
PMID- 29373418
TI - Litigation in Perinatal Care: The Deposition Process.
AB - Litigation in perinatal nursing represents a disproportionate share of indemnity
payouts and results in excessive psychological stress. Testimony at deposition or
trial can be challenging for clinicians; little is taught in training or
postgraduate education regarding litigation. Nurses, midwives, and physicians can
effectively navigate the deposition process and prepare for trial testimony by
understanding the plaintiff's goals, recognizing the role of documentation, and
becoming familiar with various plaintiff's strategies including reptile theory.
Knowledge of psychological concepts such as confirmation bias and cognitive
dissonance may assist clinicians in responding to plaintiff's lines of
questioning. Deposition preparation is crucial to the defense and requires active
participation on the part of clinicians; it may include mock deposition or use of
simulation laboratories. Common mistakes in deposition may be avoided with
foresight and anticipatory planning by clinicians working closely with risk
managers and defense attorneys. This article provides an overview of the
deposition process, including the plaintiff's goals and common approaches, as
well as the role of documentation and common errors of deponents.
PMID- 29373419
TI - Institutional Betrayal and Gaslighting: Why Whistle-Blowers Are So Traumatized.
AB - Despite whistle-blower protection legislation and healthcare codes of conduct,
retaliation against nurses who report misconduct is common, as are outcomes of
sadness, anxiety, and a pervasive loss of sense of worth in the whistle-blower.
Literature in the field of institutional betrayal and intimate partner violence
describes processes of abuse strikingly similar to those experienced by whistle
blowers. The literature supports the argument that although whistle-blowers
suffer reprisals, they are traumatized by the emotional manipulation many
employers routinely use to discredit and punish employees who report misconduct.
"Whistle-blower gaslighting" creates a situation where the whistle-blower doubts
her perceptions, competence, and mental state. These outcomes are accomplished
when the institution enables reprisals, explains them away, and then pronounces
that the whistle-blower is irrationally overreacting to normal everyday
interactions. Over time, these strategies trap the whistle-blower in a maze of
enforced helplessness. Ways to avoid being a victim of whistle-blower
gaslighting, and possible sources of support for victims of whistle-blower
gaslighting are provided.
PMID- 29373420
TI - Institutional Betrayal and Gaslighting: Why Whistle-Blowers Are So Traumatized.
PMID- 29373421
TI - Partnering With a Medical Malpractice Insurer to Improve Patient Safety and
Decrease Risk.
AB - Implementing evolving science into clinical practice remains challenging.
Assimilating new scientific evidence into clinical protocols and best practice
recommendations, in a timely manner, can be difficult. In this article, we
examine the value of partnering with a captive medical malpractice insurance
company and its Patient Safety Organization to use data and convening
opportunities to build upon the principles of implementation science and foster
efficient and widespread adoption of the most current evidence-based
interventions. Analyses of medical malpractice and root-cause analysis data set
the context for this partnership and acted as a catalyst for creating best
practice guidelines for adopting therapeutic hypothermia in the treatment of
neonatal encephalopathy. What follows is a powerful example of successfully
leveraging the collective wisdom of healthcare providers across specialties and
institutional lines to move patient safety forward while managing risk.
PMID- 29373423
TI - Care Through the Newborn's Eyes.
AB - Separation of mothers and infants after birth is standard practice for many
hospitals, yet not evidence-based. Nonseparation clearly has numerous benefits.
However, newborns lack a voice to make choices to direct their course of care.
The evidence supports nonseparation strategies for mothers and infants that
promote attachment, physiologic regulation, nutrition, sleep, communication, and
management of stress/pain. Moreover, the evidence is compelling that
nonseparation is essential. The time has come to act on the evidence and begin
seeing the care we provide through the newborn's eyes.
PMID- 29373422
TI - Infants in Drug Withdrawal: A National Description of Nurse Workload, Infant
Acuity, and Parental Needs.
AB - Infants in drug withdrawal have complex physiological and behavioral states,
requiring intensive nursing care. The study objectives were to describe acuity,
parental needs, and nurse workload of infants in drug withdrawal compared with
other infants. The design was cross-sectional and involved secondary nurse survey
data from 6045 staff nurses from a national sample of 104 neonatal intensive care
units. Nurses reported the care of 15 233 infants, 361 (2.4%) of whom were in
drug withdrawal. Three-fourths of hospitals had at least 1 infant in drug
withdrawal. In these hospitals, the mean number of infants in drug withdrawal was
4.7. Infant acuity was significantly higher among infants in drug withdrawal.
Parents of infants in drug withdrawal required significantly more care to address
complex social situations (51% vs 12%). The number of infants assigned to nurses
with at least 1 infant in withdrawal (mean = 2.69) was significantly higher than
typical (mean = 2.51). Given infant acuity and parental needs, policies
legislating patient-to-nurse ratios should permit professional discretion on the
number of patients to assign nurses caring for infants in drug withdrawal.
Managers and charge nurses should consider the demands of caring for infants in
drug withdrawal in assignment decisions and provide support and education.
PMID- 29373424
TI - Grateful for the Generosity of Strangers.
PMID- 29373425
TI - The Effect of Heat Stress on Measures of Running Performance and Heart Rate
Responses During A Competitive Season in Male Soccer Players.
AB - Measures of running performance (RP) and heart rate responses (HR) to matchplay
during three different heat stress (HS) conditions were assessed in seven
National Collegiate Athletic Association (NCAA) Division I male soccer players.
Total distance (TD), as well as distance covered within distinct velocity zones
[walking (WALK), jogging (JOG), low speed running (LSR), high-speed running
(HSR), sprinting (SPRINT), low-intensity running (LIR), and high-intensity
running (HIR)] were assessed using GPS units over 12 matches. HS was monitored
during each match, and matches were defined as low (HSlow, n=4), moderate (HSmod,
n=4), or high (HShigh, n=4) HS. Minutes played were significantly different
across HS conditions (p=0.03). Therefore, distance covered within each movement
velocity was assessed relative to minutes played, and as a percentage of total
playing time. WALKrel was significantly greater during HShigh compared to HSlow
(p=0.035). LIRrel was significantly greater during HSmod (p=0.015) compared to
HSlow. A trend was observed for %WALK being higher during HShigh compared to
HSlow (p=0.066). %LIR was significantly greater during HShigh compared to HSlow
(p=0.048). HIR was not significantly different across HS conditions. Percent of
time spent >85% HRmax was significantly greater during HShigh (p=0.002) and HSmod
(p<0.001) compared to HSlow. Percent of time spent between 65-84% HRmax was
significantly greater during HSlow compared to HShigh (p<0.001). Results indicate
that HS resulted in increased LIR and %HR>=85, while HIR was maintained. HIR
performance may be conserved through decreased playing time and/or the adoption
of pacing strategies. This may assist coaches in altering player management
strategies to optimize team performance.
PMID- 29373426
TI - Tapering Practices of Strongman Athletes.
AB - Winwood, PW, Dudson, MK, Wilson, D, Mclaren-Harrison, JKH, Redjkins, V,
Pritchard, HJ, and Keogh, JWL. Tapering practices of strongman athletes. J
Strength Cond Res 32(5): 1181-1196, 2018-This study provides the first empirical
evidence of how strongman athletes taper for strongman competitions. Strongman
athletes (n = 454) (mean +/- SD: 33.2 +/- 8.0 years, 178.1 +/- 10.6 cm, 108.6 +/-
27.9 kg, 12.6 +/- 8.9 years general resistance training, 5.3 +/- 5.0 years
strongman implement training) completed a self-reported 4-page internet survey on
tapering practices. Analysis by sex (male and female), age (<=30 and >30 years),
body mass (<=105 and >105 kg), and competitive standard (local/regional amateur,
national amateur and professional) was conducted. Eighty-seven percent (n = 396)
of strongman athletes reported that they used a taper. Athletes stated that their
typical taper length was 8.6 +/- 5.0 days, with the step taper the most commonly
performed taper (52%). Training volume decreased during the taper by 45.5 +/-
12.9%, and all training ceased 3.9 +/- 1.8 days out from competition. Typically,
athletes reported that training frequency and training duration stayed the same
or decreased and training intensity decreased to around 50% in the last week.
Athletes generally stated that tapering was performed to achieve recovery, rest,
and peak performance; the deadlift, yoke walk, and stone lifts/work took longer
to recover from than other lifts; assistance exercises were reduced or removed in
the taper; massage, foam rolling, nutritional changes, and static stretching were
strategies used in the taper; and, poor tapering occurred when athletes trained
too heavy/hard or had too short a taper. These data will assist strongman
athletes and coaches in the optimization of tapering variables leading to more
peak performances. Future research could investigate the priming and
preactivation strategies strongman athletes use on competition day.
PMID- 29373427
TI - Noninvasive Assessment of Internal and External Player Load: Implications for
Optimizing Athletic Performance.
AB - Heishman, AD, Curtis, MA, Saliba, E, Hornett, RJ, Malin, SK, and Weltman, AL.
Noninvasive assessment of internal and external player load: implications for
optimizing athletic performance. J Strength Cond Res 32(5): 1280-1287, 2018-Few
data exist that assess athlete tracking and monitoring for the development of
strategies to optimize performance and reduce fatigue in elite athletes. The
purpose of the present study was to assess the efficacy of external load and
internal stress monitoring as assessment tools for examining a performance index
of fatigue. A retrospective analysis was performed on data collected over the
course of the preseason in 10 elite male NCAA Division 1 basketball players.
Internal stress was assessed using Omegawave Technology readiness scores and
compared with the performance index of countermovement jump (CMJ). The external
load that accumulated during the previous practice, quantified by PlayerLoad (PL;
Catapult), was compared with CMJ values and Omegawave scores. The results
indicated that high, compared to low CNS Omegawave Readiness Scores (6.7 +/-
05.1, 4.5 +/- 1.2 AU; p < 0.001), were associated with increased CMJ (62.1 +/-
6.5 vs. 59.4 +/- 6.6 cm; p = 0.05), Power (6,590 +/- 526.7 vs. 6,383.5 +/- 606.8
W; p = 0.05), Omegawave Overall Readiness (5.8 +/- 1.1 vs. 5.0 +/- 0.7 AU; p =
0.05), and Omega Potential (Omega) (21.3 +/- 6.3 vs. 9.9 +/- 20.8 mV; p = 0.07).
An increased PL during the previous exposure was associated with decreased CMJ
(58.7 +/- 4.7 cm vs. 60.4 +/- 5.1 cm; p < 0.001) and increased TRIMP (135.1 +/-
35.9 vs. 65.6 +/- 20.0 AU; p < 0.001), and duration (115.4 +/- 27.1 vs. 65.56 +/-
20.0 minutes; p = < 0.001) despite no differences in Omegawave CNS Readiness
scores. We conclude that Omegawave and Catapult technologies provide independent
information related to performance and may be effective tools for monitoring
athlete performance.
PMID- 29373428
TI - Four-Week Unstructured Break Improved Athletic Performance in Collegiate Rugby
Players.
AB - Jensen, CD, Gleason, D, and VanNess, JM. Four-week unstructured break improved
athletic performance in collegiate rugby players. J Strength Cond Res 32(6): 1671
1677, 2018-This study analyzed the changes in athletic performance and
anthropometric characteristics in collegiate male club rugby athletes (n = 14)
after a 4-week winter break. All measurements were collected before and after the
break. Body composition was assessed by body mass index and hydrostatic weighing.
Performance measurements were as follows: V[Combining Dot Above]O2max, vertical
jump, 10-yard sprint, squat max, and bench press max. Before testing, each
subject was acclimated to the protocols to reduce learning effects. During the 4
week break, no workouts were provided for the athletes; it was unsupervised and
unstructured. Participants were required to maintain and submit self-reported
nutritional and activity logs during this period. After the break, the athletes
demonstrated a 5.0% improvement in V[Combining Dot Above]O2max (absolute increase
of 2.25 ml.kg.min), 6.8% improvement in vertical jump (1.50 inches), and a 14.3%
increase in squat max (38.64 lb). Although increases in body mass (1.0%) were not
significant, the body fat percentage exhibited a relative increase of 19.3%
(absolute change from 13.35 to 15.93%). A significant discriminate function
analysis indicated statistical differences between groups based on these
variables. Self-reported behavior logs confirmed participation in >3 days of
moderate to intense physical activity per week but somewhat poor dietary habits.
These results indicate that collegiate rugby athletes may not need prescribed
exercise routines during seasonal breaks in the athletic schedule. However, it
may be beneficial to provide structured nutritional advice during unsupervised
periods.
PMID- 29373429
TI - Gender differences in mechanical properties of the Achilles tendon: Longitudinal
response to repetitive loading exercise.
AB - Gender differences have been observed in the mechanical properties of the
Achilles tendon, which may help to explain the increased risk of injury in males.
However, the response and recovery of tendon mechanics to repetitive loading
exercise, as well as gender dependent responses, are not well understood. Our
purpose was to compare Achilles tendon mechanical properties between males and
females prior to, immediately after, and 60-minutes following a repetitive
loading exercise. Seventeen female (age: 24.0 +/- 3.9yrs; height: 167.4 +/-
6.9cm; mass: 64.9 +/- 8.5kg) and 18 male (age: 23.9 +/- 2.4yrs; height: 179.2 +/-
5.09cm; mass: 78.4 +/- 8.7kg) recreationally active individuals volunteered.
Utilizing isokinetic dynamometry and diagnostic ultrasound, baseline levels of
Achilles tendon force, elongation, stiffness, stress, strain and Young's modulus
were assessed prior to 100 successive calf raises using a Smith machine at 20% of
participant body mass. Outcomes were reassessed immediately, and 60-minutes post
exercise. Females exhibited less Achilles tendon force, stiffness, stress and
modulus compared to males, regardless of time point. Both genders responded to
repetitive loading exercise similarly, with immediate decreases in mechanical
properties of the Achilles tendon from baseline to immediately post-exercise.
Tendon properties were observed to be equal to baseline values at 60-min post
exercise. Baseline differences in tendon properties may help to explain the
disparity in injury risk, as both genders responded to and recovered from
exercise similarly. Future research should aim to include additional time points
(both leading up to and following 60-minutes), and assess tendon responses to
more sport specific activities, while also including patients diagnosed with
Achilles tendon injuries.
PMID- 29373430
TI - Post Activation Potentiation and Concentric Contraction Performance: Effects on
Rate of Torque Development, Neuromuscular Efficiency and Tensile Properties.
AB - This study investigated how a 6s maximal voluntary isometric conditioning
contration (MVIC) affected plantar flexor twitch rate of torque development
(RTDTW), as well as peak torque (PTCC) and rate of torque development (RTDCC) of
maximal voluntary concentric contractions (MVCC) performed at 60 degrees /s.
RTDCC and normalized triceps surae electromyography signals (EMGTS) were measured
during different phases of contraction. Additionally, muscle tendon unit passive
stiffness index (SI) calculated from the torque-angle relation was measured
following each MVCC.Enhancements were found in the RTDTW immediately (by 59.7%)
and up to 480s (by 6.0%) post MVIC (p<0.05). RTDCC during the 100-200ms, 50
200ms, and 0-200ms phases and PTCC were enhanced (by 5.7-9.5%) from 90-300s post
conditioning (p<0.05). Neuromuscular efficiency increased (decreased EMGTS/RTDCC)
in the 50-200ms and 0-200ms phases by 8.8-12.4%, from 90-480s post MVIC (p<0.05).
No significant changes were found in the SI or in RTDCC during the 50-100ms
phase, suggesting that the enhancements reported, reflect mainly contractile
rather than neural or tensile mechanisms.PAP effects on PTCC and RTDCC were
significant, and more durable at a lower velocity than previously reported.
Enhancement in RTDCC and neuromuscular efficiency were found to be more prominent
in later phases (>100ms) of the MVCC. This suggests that enhanced contractile
properties, attained via MVIC, benefit concentric contraction performance.
PMID- 29373431
TI - The Importance of Strength and Power on Key Performance Indicators in Elite Youth
Soccer.
AB - The purpose of this investigation was to examine the importance of strength and
power in relation to key performance indicators (KPI's) within competitive soccer
match play. This was achieved through using an experimental approach where
fifteen subjects were recruited from a professional soccer club's scholarship
squad during the 2013/14 season. Following anthropometric measures, power and
strength were assessed across a range of tests which included the squat jump
(SJ), countermovement jump (CMJ), 20 metre (m) sprint and arrowhead change of
direction test. A predicted 1-repetition maximum (RM) was also obtained for
strength by performing a 3RM test for both the back squat and bench press and a
total score of athleticism (TSA) was provided by summing z-scores for all fitness
tests together, providing one complete score for athleticism. Performance
analysis data was collected during 16 matches for the following KPIs: passing,
shooting, dribbling, tackling and heading. Alongside this, data concerning player
ball involvements (touches) was recorded. Results showed that there was a
significant correlation (p < 0.05) between CMJ (r = 0.80), SJ (r = 0.79) and TSA
(r = 0.64) in relation to heading success. Similarly, a significant correlation
(p < 0.05) between predicted 1RM squat strength and tackle success (r = 0.61).
These data supports the notion that strength and power training are important to
soccer performance, particularly when players are required to win duels of a
physical nature. There were no other relationships found between the fitness data
and the KPI's recorded during match play which may indicate that other aspects of
player's development such as technical skill, cognitive function and sensory
awareness are more important for soccer-specific performance.
PMID- 29373432
TI - Comparison of sprint interval and endurance training in team sport athletes.
AB - PURPOSE: High volume endurance training (ET) has traditionally been used to
improve aerobic capacity but is extremely time-consuming in contrast to low
volume short duration sprint interval training (SIT) that improves maximal oxygen
uptake (V[Combining Dot Above]O2max) to a similar extent. Few studies have
compared the effects of SIT versus ET using running-based protocols, or in team
sport athletes. METHODS: Club level male Gaelic football players were randomly
assigned to SIT (n=7; 21.6 +/- 2.1 yr) or ET (n=8; 21.9 +/- 3.5 yr) for six
sessions over two weeks. V[Combining Dot Above]O2max, muscle mitochondrial enzyme
activity, running economy (RE), and high intensity endurance capacity (HEC) were
measured before and after training. RESULTS: An increase in V[Combining Dot
Above]O2max (P<0.05) following two weeks of both SIT and ET was observed.
Performance in HEC increased by 31.0% and 17.2% after SIT and ET, respectively
(P<0.05). RE assessed at 8, 9, 10 and 11 kmh, lactate threshold and vV[Combining
Dot Above]O2max were unchanged following both SIT and ET. Maximal activity of 3
beta-hydroxylacyl coenzyme A dehydrogenase (beta-HAD) was increased in response
to both SIT and ET (P<0.05), whereas the maximal activity of citrate synthase
remained unchanged following training (p=0.07). CONCLUSION: A running-based
protocol of SIT is a time-efficient training method for improving aerobic
capacity and HEC, and maintaining indices of running economy and lactate
threshold in team sport athletes.
PMID- 29373433
TI - Leptin and Leptin Receptor Genes Are Associated With Obesity-Related Traits
Changes in Response to Aerobic Training Program.
AB - Leonska-Duniec, A, Jastrzebski, Z, Jazdzewska, A, Krzysztof, F, and Cieszczyk, P.
Leptin and leptin receptor genes are associated with obesity-related traits
changes in response to aerobic training program. J Strength Cond Res 32(4): 1036
1044, 2018-Leptin (LEP) and leptin receptor (LEPR) genes have been studied for
their potential association with development of human obesity and its related
complications. Therefore, we have decided to check whether selected body mass,
body composition, and metabolic variables observed in physically active
participants will be modulated by the polymorphisms. The genotype distribution
was examined in a group of 201 women measured for chosen traits before and after
the completion of a 12-week aerobic training program. Our results revealed a
significant interaction between training and LEP genotype for glucose level. A
training-related decrease in plasma glucose concentration in the LEP AG
heterozygotes differed significantly from the change in the homozygotes. The
polymorphism was also associated with fat-free mass (FFM), total body water
(TBW), total cholesterol, triglycerides, and low-density lipoprotein cholesterol
(LDL-C) levels. Another finding was a significant interaction between training
and LEPR for LDL-C level. As opposed to AG and GG, AA homozygotes demonstrated a
training-related decrease in LDL-C level. Our findings also showed that the LEPR
G allele is connected with obesity-related traits. The participants with the GG
genotype had higher body mass, body mass index (BMI), FFM, and TBW during the
entire study period. This study provides evidence that polymorphisms in the LEP
and LEPR genes are associated with the magnitude of the effects of regular
physical activity on glucose and LDL-C levels, respectively. In addition, we
found the association of the G allele of the LEPR polymorphism with body mass and
BMI.
PMID- 29373434
TI - Assessing Asymmetries in Change of Direction Speed Performance; Application of
Change of Direction Deficit.
AB - The aims of this study were to quantify asymmetries in change of direction (COD)
performance via completion time and COD deficit, and determine its influence on
asymmetry profiling of COD ability. A secondary aim was to evaluate the
relationship between linear speed, 505 time and COD deficit. Forty-three youth
netball athletes (age: 15.4 +/- 1.1 years, height: 1.71 +/- 0.06 m, mass: 63.3 +/
6.6 kg) performed the 505 for both left and right limbs and a 10 m sprint test.
Asymmetries in 505 completion time and COD deficit were quantified for dominant
(D) (faster) and non-dominant (ND) (slower) directions. Paired sample t-tests
revealed significant differences between D and ND directions for 505 time and COD
deficit (p < 0.0001, g = -0.53 to -0.60). Substantially greater asymmetries for
COD deficit were observed compared to 505 time (p < 0.0001, g = 1.03). Only two
subjects displayed an asymmetry >=10% based on 505 times. Conversely, based on
COD deficit, 21 subjects demonstrated asymmetries >=10%. Large significant
associations were observed between 505 time and COD deficit (r = 0.500-0.593, p
<= 0.002). Large significant inverse associations were demonstrated between 10 m
sprint time and COD deficit (r = -0.539 to -0.633, p <= 0.001) indicating faster
athletes had longer COD deficits. Nine subjects were classified differently for
COD ability when comparing standardized scores for 505 time versus COD deficit.
Quantification of asymmetries in COD ability should be based on COD deficits;
inspection of 505 times only could lead to misinterpretations of an athlete's COD
symmetry and COD ability. Faster youth netball athletes demonstrate longer COD
deficits, thus, researchers and practitioners are encouraged to improve their
youth netball athletes' ability to rapidly decelerate, change direction and
reaccelerate from 180 degrees turns.
PMID- 29373435
TI - Match-play running demands and technical performance among elite Gaelic
footballers: Does divisional status count?
AB - The aim of the current study was to compare positional differences in running
demands and technical performance variables among elite Gaelic football teams
from separate Divisions. Data were obtained from a Division 1 (26.7 +/- 2.9
years, 179.2 +/- 21.3 cm, 89.9 +/- 21.2 kg) and a Division 3 (25.7 +/- 3.5 years,
183.0 +/- 4.7 cm, 84.4 +/- 6.5 kg) team. Match-play running variables were
collected using 4-Hz global positioning system (GPS) units (VX Sport, New
Zealand) (Match data sets; Division 1: n = 107, Division 3: n = 97). Selected
variables assessed were high speed running distance (HSR) (>=17 km.h), number of
high-speed efforts (HSE) (>= 17 km.h), relative high-speed distance (RHSD) (>= 17
km.h; m.min) and percentage of time at high speed (%HS). Each variable was
analysed across the 5 positional groups in Gaelic football (full-back, half-back,
midfield, half-forward, full-forward). The same 25 competitive games were
analysed using GPS and the Sports Code video analysis system (Sports Code Elite
V9, Sportstec, NSW, Australia). Technical performance variables selected for
analysis were total kick/hand passes, tackles, shots and percentage of time in
possession. HSR running demands were differentiated between the divisions; the
Division 3 team demonstrated significantly greater HSR, HSE, RHSD and %HS than
the Division 1 team (p <0.05). Positional-specific analysis found that the
Division 3 fullback and midfield positional lines had significantly greater HSR,
RHSD and %HS than their Division 1 counterparts. The Division 1 team made a
greater number of total tackles, with significantly more tackles in the middle
third (p <0.05). The Division 3 team performed a significantly greater number of
hand passes and unsuccessful shots per game (p <0.01). The results of the present
study indicate that overall technical proficiency, rather than high-speed running
profiles, differentiate Division 1 and 3 Gaelic football teams.
PMID- 29373436
TI - Factors Associated With Pediatric Hospital-Acquired Pressure Injuries.
AB - PURPOSE: The purpose of this study was to identify associations among pediatric
patient characteristics (age and gender), hospital characteristics, inpatient
unit characteristics (unit type, pressure injury prevention practices, and nurse
staffing measures), and hospital-acquired pressure injuries (HAPIs) in a group of
pediatric patients and in those determined to be at risk for pressure injury.
DESIGN: A descriptive, correlational analysis of data from a large dataset.
SUBJECTS AND SETTING: The sample comprised 39,984 children aged 1 day to 18 years
of age from 678 pediatric units in 271 participating hospitals across the United
States. METHODS: Data related to pressure injuries and prevention among pediatric
patients from the National Database of Nursing Quality Indicators (NDNQI) were
analyzed. All data were collected during the year 2012. Descriptive statistics
were used to summarize the study sample. Hierarchical logistic regression
analysis was used to analyze patient age and gender, unit type, pressure injury
risk, prevention and staffing measures, and hospital characteristics associated
with HAPI. RESULTS: The likelihood of a HAPI was more than 9 times higher among
patients who were determined to be at risk for pressure injuries (odds ratio
[OR]: 9.42, 95% confidence interval [CI]: 7.28-12.17, P < .001) compared to
patients deemed not at risk. Hospital-acquired pressure injury was more likely to
occur on pediatric critical care units (OR: 2.59, 95% CI: 1.28-5.26, P = .009)
and rehabilitation units (OR: 4.05, 95% CI: 1.21-13.55, P = .02). Patients from
hospitals that only provided care for children (OR: 2.6, 95% CI: 1.42-4.78, P =
.002) were also more likely to be associated with HAPI compared to hospitals that
provided care for adults and children. Among the 11,203 pediatric patients deemed
at risk for pressure injuries, 95.8% received one or more prevention
interventions. There were no prevention interventions associated with a lower
occurrence of HAPI. CONCLUSIONS: Study findings support pressure injury risk
assessment among pediatric patients and elucidates important information
regarding pediatric unit and hospital characteristics associated with HAPI.
PMID- 29373437
TI - Yield and Costs of Evaluating Children With Cyclic Vomiting Syndrome.
AB - BACKGROUND AND OBJECTIVES: Cyclic vomiting syndrome (CVS) clinical guidelines
recommend an algorithm of basic testing for standard patients, and more targeted
testing, including laboratory and imaging studies, in the presence of specific
red flags. The cost-effectiveness of this screening of children with suspected
CVS is lacking. The objectives of this study are to determine whether screening
studies in CVS patients results in diagnostic change and to estimate their
healthcare cost. METHOD: Charts of patients (1-18 years) with suspected CVS were
retrospectively reviewed at a single center. Results and cost of laboratory and
imaging studies were analyzed. RESULTS: A total of 503 charts were reviewed from
electronic medical records with the International Classification of Diseases-9
(ICD-9) code 536.2 or search terms "CVS, cyclic vomiting, persistent
emesis/vomiting, hyperemesis, or intractable/ periodic vomiting." Of these, 165
(33%) had a diagnosis of CVS and 135 (82%) children (mean age 7.7 +/- 4.3; 73
(54%) girls) met CVS criteria based on North American Society for Pediatric
Gastroenterology, Hepatology and Nutrition diagnostic criteria. Of those meeting
CVS criteria, 6 (4%) had a change in management based on the CVS screening
evaluation. The mean cost of screening per patient that met CVS criteria was
$6125.02 and the estimated total cost for all patients who met CVS criteria was
$826,877.88. CONCLUSIONS: The screening metabolic laboratory results, pelvic
ultrasound, magnetic resonance imaging, and upper endoscopy resulted in a
diagnosis change in few patients screened for CVS. Most children who met criteria
for CVS did not benefit from screening evaluation as results did not change
clinical diagnosis or management, and were associated with higher cost.
PMID- 29373439
TI - Psychological Factors and Outcomes in the Surgical Treatment of Pediatric
Patients With Median Arcuate Ligament Syndrome.
AB - OBJECTIVE: Median arcuate ligament syndrome (MALS) is a frequently overlooked
cause of chronic abdominal pain (CAP), and results in many symptoms that mimic
other gastrointestinal conditions that result in CAP. A small, but growing body
of literature indicates that surgery improves quality of life (QOL) in patients
with MALS. The purpose of the current study was to examine the psychological
characteristics of pediatric patients with MALS to determine their prevalence and
impact on surgical outcomes. METHODS: Thirty-two pediatric patients completed
psychological assessments before surgery, and 6 months postsurgical intervention.
Descriptive analyses and t tests were conducted to characterize the sample and
compare psychosocial and QOL items. To explore possible associations between
coping and ultimate changes in QOL, exploratory multiple regressions were
conducted. RESULTS: Comorbid psychological conditions were common, occurring in
about half the sample before and after surgery. Current pain significantly
improved, as well as patient and parent-reported QOL constructs (Ps < 0.05).
Parent-reported observations of patients using catastrophizing or helpless
strategies to cope with pain before surgery was significantly associated with
changes in patient and parent-reported QOL following surgery (Ps = 0.04).
CONCLUSIONS: Comorbid psychological conditions are common in pediatric patients
with MALS, and are maintained following surgery. While surgery improved pain and
QOL, the need for presurgical psychological interventions for MALS is implicated.
PMID- 29373440
TI - Esophageal Squamous Cell Papillomatosis Arising in Focal Dermal Hypoplasia in a 3
Year-Old Girl.
PMID- 29373441
TI - Impact of Thyroid Autoimmunity on Thyroid Function in 12-year-old Children With
Celiac Disease.
AB - OBJECTIVES: Celiac disease (CD) is associated with thyroid autoimmunity and other
autoimmune diseases. Data are, however, lacking regarding the relationship
between thyroid autoimmunity and thyroid function, especially in regard to CD.
Our aim was to investigate the impact of thyroid autoimmunity on thyroid function
in 12-year-old children with CD compared to their healthy peers. METHODS: A case
referent study was conducted as part of a CD screening of 12-year-olds. Our study
included 335 children with CD and 1695 randomly selected referents. Thyroid
autoimmunity was assessed with antibodies against thyroid peroxidase (TPOAb).
Thyroid function was assessed with thyroid-stimulating hormone and free
thyroxine. RESULTS: TPOAb positivity significantly increased the risk of
developing hypothyroidism in all children. The odds ratios (with 95% confidence
intervals) were 5.3 (2.7-11) in healthy 12-year-olds, 10 (3.2-32) in screening
detected CD cases, 19 (2.6-135) in previously diagnosed CD cases, and 12 (4.4-32)
in all CD cases together. Among children with TPOAb positivity, hypothyroidism
was significantly more common (odds ratio 3.1; 95% CI 1.03-9.6) in children with
CD (10/19) than in children without CD (12/46). CONCLUSIONS: The risk of thyroid
dysfunction due to thyroid autoimmunity is larger for those with CD than their
healthy peers. Our study indicates that a gluten-free diet does not reduce the
risk of thyroid dysfunction. Further studies are required for improved
understanding of the role of the gluten-free diet for the risk of autoimmune
diseases in children with CD.
PMID- 29373442
TI - Stroop Test Validation to Screen for Minimal Hepatic Encephalopathy in Pediatric
Extrahepatic Portal Venous Obstruction.
AB - OBJECTIVES: Minimal hepatic encephalopathy (MHE) has been reported in children
with extrahepatic portal vein obstruction (EHPVO). MHE assessment is restricted
to research situations as neuropsychiatric tests are time-intensive. Computerized
Stroop Test (CST) has been used in cirrhotic adults for MHE screening. The study
aims to assess MHE frequency in young Indian children with EHPVO and validate CST
for MHE screening in pediatric EHPVO. METHODS: Thirty-seven children with EHPVO
between 7 and 12 years of age and 37 age- and sex-matched controls were enrolled.
Fasting plasma ammonia was measured. MHE was diagnosed by Revised Amsterdamse
Kinder Intelligentie Test. The performance of a Tamil language version of CST in
MHE screening was assessed. RESULTS: MHE was diagnosed in 18.9% (7/37) of EHPVO
(EHPVO-MHE). Plasma ammonia levels were higher in EHPVO-MHE compared to EHPVO
without MHE (EHPVO-No-MHE) but abnormal plasma ammonia levels are unsuitable for
MHE screening. CST was administered in 35 EHPVO and 37 controls. EHPVO-MHE,
compared to EHPVO-No-MHE, had longer "on time," "off time," "(on+off) time," and
"(on-off) time." For MHE diagnosis, specificity and sensitivity of "(on+off)
time" were 100% and 89.6% for a cutoff of >180.4 seconds (area under receiver
operating characteristic = 0.97). CONCLUSIONS: In the absence of other risk
factors for neurological insult or patent surgical shunts, MHE frequency in young
Indian children with EHPVO, determined by Revised Amsterdamse Kinder
Intelligentie Test, was lesser than in earlier studies. CST is suitable for MHE
screening in clinical practice to select patients for neuropsychiatric
evaluation.
PMID- 29373443
TI - Evidence-Based Medicine: Abdominoplasty.
AB - LEARNING OBJECTIVES: After reading this article, the participant should be able
to: 1. Differentiate between techniques for standard abdominoplasty and
lipoabdominoplasty. 2. Assess patients for risk associated with abdominoplasty
alone and combined with other procedures. 3. Implement newer modalities for
abdominoplasty perioperative pain management. 4. Better understand the
implications and techniques for rectus diastasis correction by rectus sheath
plication. 5. Understand the evidence for eliminating the need for drains in
abdominoplasty. SUMMARY: This update to past Maintenance of Certification
articles offers new perspectives and builds on past knowledge regarding patient
assessment, perioperative management, surgical execution, and complications of
abdominoplasty.
PMID- 29373444
TI - Preoperative Planning in the Surgical Correction of Tibial Nonunions and
Malunions.
AB - Three typical cases demonstrate the achievement of precision in the
reconstruction of ununited fractures of the tibia by preliminary planning with
the aid of tracings on roentgenograms, templates, and goniometer measurements.
PMID- 29373445
TI - Valgus Slipped Capital Femoral Epiphysis: Pathophysiology of Motion and Results
of Intracapsular Realignment.
AB - OBJECTIVES: The purpose of this study was to report (1) a different but specific
pattern of impingement in hips involved with valgus slipped capital femoral
epiphysis (valgus SCFE) and (2) the results of surgical treatment using
intracapsular realignment techniques. DESIGN: Case series. SETTING: Multiple
academic centers. PATIENTS: Six patients with 8 involved hips referred for valgus
alignment of proximal femoral epiphysis (valgus SCFE). INTERVENTION:
Intracapsular realignment osteotomy combined with periacetabular osteotomy if
needed. MAIN OUTCOME MEASUREMENT: The clinical and radiographical results and
pathophysiology of motion. RESULTS: Eight hips in 6 patients were treated with
subcapital (5 hips) or femoral neck (3 hips) osteotomy for realignment. The
medially prominent metaphysis created an inclusive impingement at the anterior
acetabular wall, whereas the high coxa valga favored impacting impingement at the
posterior head-neck junction. The mean preoperative epiphyseal-shaft angle of
110.5 (range 90-125 degrees) was reduced to 62 degrees (range 55-70 degrees)
postoperatively. At the last follow-up, all but 1 hip were pain-free and
impingement-free, with normal range of motion. One hip was replaced after
repeated attempts of correction. The overall hip functional result using modified
Merle d'Aubigne scoring system was excellent in 5 hips (18-16 points), good in 2
hips (16-15 points), and poor in 1 hip (6 points). CONCLUSIONS: Impingement in
valgus SCFE deformity is specific and complex. Anatomical realignment can lead to
favorable results by the restoration of normal morphology and impingement-free
range of motion. LEVEL OF EVIDENCE: Therapeutic Level IV. See Instructions for
Authors for a complete description of levels of evidence.
PMID- 29373446
TI - Intraosseous Plating for the Management of Cortical Defects.
AB - The management of fractures with segmental bone loss or abundant comminution on
the far cortex is often complicated by deformity or frank hardware failure. Using
plate constructs that rely on off-axis loading may not be sufficient to support
the limb until healing occurs. There are a number of techniques to mitigate this
problem, notably the use of intramedullary nails and bicolumnar plating of the
fracture. These techniques are not always possible and do come with the biologic
cost of additional surgery. In this article, the authors present a technique
along with 2 case examples of using plates in an intraosseous location that was
described by Dr Mast in his classic orthopaedic text. By placing these plates in
the intramedullary space and then interdigitating fixation from the standard
cortical plate, a rigid "I-beam" of fixation can be created to mitigate the
eccentric loading placed on extraosseous plates. This technique is especially
useful in situations in which intramedullary nails are precluded (comminuted
intraarticular and some periprosthetic fractures).
PMID- 29373447
TI - Does Posterior Fixation of Partially Unstable Open-Book Pelvic Ring Injuries
Decrease Symphyseal Plate Failure? A Biomechanical Study.
AB - OBJECTIVE: Recent clinical study suggests an advantage to adding an iliosacral
screw to the anterior fixation construct for anteroposterior compression type-2
(OTA/AO type 61-B1), partially unstable open-book pelvic ring injuries. Others
have described stress examination to determine any required supplemental
fixation. However, biomechanical studies investigating iliosacral fixation
requirements for this injury are lacking. Our objective was to determine whether
adding an iliosacral screw to symphyseal plate fixation decreases displacement in
a well-defined open-book pelvic ring injury model. METHODS: An open-book pelvic
ring injury was created in 10 human cadaveric pelves by unilaterally releasing
the sacrospinous, sacrotuberous, and anterior sacroiliac ligaments plus
transection of the pubic symphysis, approximating the classically described
anteroposterior compression type-2 (APC-2) injury. Specimens were divided into 2
groups: (1) symphyseal plating and (2) plating plus an iliosacral screw. Using a
standard bilateral stance model loaded at 550 N, displacement measurements were
obtained at 210,000 and 500,000 cycles. RESULTS: Three specimens failed before
210,000 cycles because of technical errors and were excluded from analysis. For
the remaining 7, there was no significant difference in displacement between the
2 groups, and none sustained implant failure. Post hoc analysis showed that a
large sample size (45/group) would be required to detect any difference with 80%
power, indicating a small effect size with limited clinical application.
CONCLUSIONS: Adding an iliosacral screw to the symphyseal plate fixation does not
provide improved biomechanical outcome in classically described APC-2 injuries.
Clinically, stress examination may be useful to determine the need for
supplemental posterior fixation in APC-2 injuries.
PMID- 29373448
TI - Endosteal Substitution With an Intramedullary Rod in Fractures of the Femur.
AB - BACKGROUND: Morbid obesity and segmental fracture/bone loss are challenging
problems in the treatment of fractures and nonunions of the distal femur. The use
of an intramedullary rod as an endosteal substitute for a deficient medial cortex
along with a lateral locked plate is 1-tool to combat these problems. This
article describes the technique used and its results at a single Level 1 trauma
center. METHODS: Retrospective chart and radiographic review of all patients
treated for acute fractures and nonunions of the distal femur using endosteal
substitution with an intramedullary nail and a lateral locked plate. Fixation
construct was determined at the surgeon's discretion and was strongly influenced
by bone loss and patient body habitus. RESULTS: Seven of 8 acute fractures and 8
of 8 nonunions healed without an unplanned reoperation. There were no cases of
secondary displacement after fixation, and only 1 unplanned reoperation in the
study group. CONCLUSION: Endosteal substitution with an intramedullary rod and
the use of a lateral locked plate provides the stability needed to allow bone
healing under prolonged or supraphysiologic loads seen in morbid obesity or
segmental bone loss. LEVEL OF EVIDENCE: Therapeutic Level IV. See Instructions
for Authors for a complete description of levels of evidence.
PMID- 29373449
TI - Soft Tissue Structures Differ in Patients With Prearthritic Hip Disease.
AB - BACKGROUND: Clinically, understanding how the soft tissue envelope adapts to
various forms of hip dysfunction could enhance both surgical and nonsurgical
management. Very few studies have looked at soft tissue structures as
preoperative discriminators between varying underlying etiologies of hip
conditions. PURPOSE: To demonstrate that the magnetic resonance arthrography
assessment of soft tissue structures of the hip will preoperatively differ in
patients with different underlying hip joint diseases. METHODS: Fifty-seven
patients who underwent preoperative magnetic resonance arthrography and
corrective hip surgery were retrospectively identified yielding 3 groups: 17 with
developmental dysplasia of the hip (DDH) (11 F, 6 M; mean age 35.1 years, range
19.6-53.6); 20 with isolated labral tears (LTs) (17 F, 3 M; mean age 38.4 years,
range 15.2-62.1), and 20 with cam-type femoroacetabular impingement (FAI) (11 F,
9 M; mean age 38.8 years, range 18.9-51.2). Measurements of the hip labral
length, capsule thickness, and psoas, rectus femoris, and gluteal muscle
dimensions were performed, with normalization of the values for statistical
analysis. RESULTS: The superior labral length was significantly greater in the
DDH group [normalized value (NV): 0.30] compared with the FAI group (NV: 0.25, P
< 0.05). In addition, the superior (12 o'clock) capsular thickness (NV: 0.24) was
significantly greater compared with the LT group (NV: 0.15, P < 0.05) and the FAI
group (NV: 0.16, P < 0.05). The DDH group also had a significantly greater
anterior (3 o'clock) capsular thickness (NV: 0.18) compared with the LT group
(NV: 0.13, P < 0.05). The transverse dimension of the rectus femoris was larger
in the DDH group (NV: 1.39) compared with the FAI group (NV: 1.14, P < 0.05).
CONCLUSION: An enlarged rectus femoris and thicker hip capsule as well as an
enlarged labrum are characteristic findings in hip dysplasia. LEVEL OF EVIDENCE:
Prognostic Level III. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 29373450
TI - Outcomes of Presumed Aseptic Long-Bone Nonunions With Positive Intraoperative
Cultures Through a Single-Stage Surgical Protocol.
AB - OBJECTIVE: To evaluate the outcomes of a single-stage surgical protocol to treat
a presumed aseptic long-bone nonunion with positive intraoperative cultures
obtained at the time of surgery. DESIGN: Retrospective comparative series.
SETTING: Orthopaedic specialty hospital. PATIENTS AND METHODS: We retrospectively
identified 77 patients with long-bone nonunions thought to be aseptic
preoperatively, which grew bacteria from cultures obtained at the time of index
nonunion surgery. INTERVENTION: Fifty (65%) patients underwent open debridement
of the nonunion site followed by surgical stabilization through plates and
screws. Twenty-seven (35%) patients underwent exchange nailing with canal
reamings used for cultures. MAIN OUTCOME MEASUREMENT: Rate of radiographic union,
time to clinical and radiographic union, nonunion rate after index nonunion
surgery, and final union rate after revision procedures. RESULTS: Osseous union
after the index nonunion surgery was achieved in 84% of the patients (65 of 77).
Time to clinical union was 6.3 months (range, 1-24 months), and time to
radiographic union was 7.4 months (range, 2-24 months). Eighteen percent (14 of
77 patients) did not heal after the index nonunion surgery and required
additional surgeries. The final union rate after revision surgery was 99% (76 of
77 patients). CONCLUSIONS: Eighty-four percent of presumed aseptic nonunions of
long-bone fractures with positive intraoperative cultures fully healed after a
single-stage surgical protocol and long-term antibiotic when appropriate. When
patients are diagnosed with a subclinical infected nonunion, they should be
counseled about the higher likelihood of reoperation, but in most cases can
expect excellent union rates after 1 additional surgery. LEVEL OF EVIDENCE:
Prognostic Level IV. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 29373451
TI - Does Total Hip Arthroplasty Reduce the Risk of Secondary Surgery Following the
Treatment of Displaced Acetabular Fractures in the Elderly Compared to Open
Reduction Internal Fixation? A Pilot Study.
AB - OBJECTIVES: The goal of open reduction and internal fixation (ORIF) is to
anatomically reduce the facture and maintain a congruent hip joint. However, ORIF
in the elderly is technically challenging. Therefore, there are advocates for
acute total hip arthroplasty (THA) in this patient population. The primary
purpose of this study was to evaluate the rate of revision surgery in elderly
patients with acetabular fractures treated with ORIF or THA. The secondary
purpose was to compare patient's self-reported functional outcomes. DESIGN:
Retrospective review. SETTING: Two American College of Surgeons Level 1 trauma
centers. PATIENTS/PARTICIPANTS: Thirty-three patients were treated with ORIF and
37 were treated with THA. The mean follow-up was 22 months (range 6-89 months).
Patients were interviewed, and radiographs were examined. INTERVENTION: Treatment
of displaced acetabular fractures with either ORIF or THA. MAIN OUTCOME
MEASUREMENTS: Need for reoperation. Harris Hip Score and SF-36 questionnaire.
RESULTS: Those treated with ORIF had a higher rate of reoperation (10/33, 30%)
compared with those treated with THA (5/37, 14%); however, this was not
statistically significant (P = 0.12). Patients reported better bodily pain scores
as measured by SF-36 (48 vs. 39, P = 0.04), and a trend toward improved function
as measured by patient reported Harris Hip Scores (82 vs. 63, P = 0.06) in those
treated with THA compared with ORIF. CONCLUSIONS: Acute reconstruction of
acetabular fractures with THA in the geriatric population seems to compare
favorably with ORIF, with a similar rate of complications, but with improved pain
scores. In addition, there was a high rate of conversion to THA within 2 years of
injury when patients were treated with ORIF. Acute THA as primary treatment in
this patient population merits further, more controlled, comparative study. LEVEL
OF EVIDENCE: Prognostic Level III. See Instructions for Authors for a complete
description of levels of evidence.
PMID- 29373452
TI - Generic Preoperative Planning for Proximal Femoral Osteotomy in the Treatment of
Nonunion of the Femoral Neck.
AB - Despite improvements in surgical technique and overall patient care, failed
treatment of fractures of the femoral neck persists. For the physiologically
young patient, joint preservation is the preferred method of treatment.
Unfortunately, the best treatment option, proximal femoral osteotomy, is fast
becoming a lost art. Preoperative planning is critical in this regard. The
described preoperative planning work flow is a reliable method for obtaining the
desired deformity correction for a variety of proximal femoral malunions and
nonunion. Revisiting the classic Pauwels osteotomy for femoral neck nonunion is
an appropriate vehicle to supply the first link in resurrecting this treatment
modality by providing a standardized preoperative planning protocol.
PMID- 29373453
TI - Use of Spring Plates in Fixation of Comminuted Posterior Wall Acetabular
Fractures.
AB - OBJECTIVES: Surgical management of comminuted posterior wall acetabular fractures
with marginal fragments remains difficult. The purpose of this study was to
evaluate the use of spring plates as adjunctive fixation in comminuted posterior
wall acetabular fractures. METHODS: A retrospective review of patients treated
for posterior wall acetabular fractures with the use of customized spring plates
as adjunctive fixation between 2000 and 2017 was performed. Patients were
evaluated radiographically according to the grading criteria of Matta.
Complications including subsequent revision surgery and hardware failure were
recorded. RESULTS: Fifty-two patients underwent surgical fixation of posterior
wall acetabular fractures with the use of customized 3.5-mm spring plates
combined with posterior buttress compression plating over the spring plates as
definitive fixation. Mean follow-up was 13.9 months (range: 3-140 months). Forty
two patients had radiographic grades of excellent, with 6 good, 1 fair, and 3
poor. There were no hardware complications. Five patients underwent revision
surgery, including 4 patients (7.7%) who underwent a total hip arthroplasty due
to advanced arthritis. CONCLUSIONS: The use of customized spring plates is a
viable method of adjunctive fixation for comminuted posterior wall fractures with
marginal fragmentation. LEVEL OF EVIDENCE: Therapeutic Level IV. See Instructions
for Authors for a complete description of levels of evidence.
PMID- 29373454
TI - Double Oblique Osteotomy: A Technique for Correction of Posttraumatic Deformities
of the Distal Femur.
AB - OBJECTIVE: To evaluate the outcomes of a double oblique osteotomy for the
management of distal femoral malunions and a combination malunion/nonunion.
DESIGN: Case series. SETTING: Level 1/2 hospital. PATIENTS/PARTICIPANTS: Ten
patients with a mean age of 50 years (range 30-69 years) with posttraumatic
deformities of the distal femur. INTERVENTION: A 2-level, length-sparing
osteotomy was performed in accordance with a detailed preoperative plan for
correction of the mechanical axis in a distal femoral deformity. MAIN OUTCOME
MEASUREMENTS: Radiographic alignment and physical examination. RESULTS: Average
length of follow-up was 26 months. The average coronal plane correction was 12
degrees (range 4-20 degrees) for a residual coronal plane deformity average of 0
degrees. The residual flexion deformity was less than 10 degrees in all cases.
All rotational deformities were corrected to within 5 degrees of neutral. The
average limb length correction was 1.6 cm (range 0.4-2.6 cm). Eight patients
recovered without complication. One patient received bone grafting to achieve
union, and a prominent angled blade plate was removed in another. An isolated
saphenous nerve sensory deficit resolved spontaneously. CONCLUSIONS: The double
oblique osteotomy is a reliable technique for the correction of multiplane
deformities of the distal femur. LEVEL OF EVIDENCE: Therapeutic Level IV. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 29373455
TI - Routine Postoperative Computed Tomography Scans After Pelvic Fracture Fixation: A
Necessity or a Luxury?
AB - INTRODUCTION: There is no consensus regarding the postoperative radiology imaging
protocol after pelvic fracture surgery. Some institutes routinely scan all
patients after their surgery, others do not. The aim of this study was to assess
the value of routine use of computed tomography (CT) scans after pelvic fracture
surgery and to determine the sensitivity of conventional plain radiographs and
intraoperative fluoroscopy in detecting metalwork malposition. PATIENTS AND
METHODS: The radiographs and clinical notes of patients undergoing pelvic
fracture surgery in the period between January 2010 and December 2015 were
reviewed. Patients were categorized into 2 main groups: group A-patients whose
fixation entailed the use of a sacroiliac (SI) screws and group B-patients whose
fixation did not require an SI screw. Furthermore, the patients were classified
according to the position of metalwork in their postoperative plain radiographs
and perioperative fluoroscopy into 3 groups: (1) Safe: When there was no
suspicion of metalwork malposition. (2) Suspicious: When there was some suspicion
of malposition but radiographs were inconclusive. (3) Definite: When plain
imaging showed a definite malposition. RESULTS: One hundred ninety-eight patients
were included in this study (161 in group A and 37 in group B). In group A, 148
(92%) were classified as safe, 10 were suspicious (6%), and 3 (2%) showed
definite malposition. Of the fractures that were believed to be safe on plain
radiographs, 78% were confirmed to be safe on CT scans, whereas 22% showed
malpositioned metalwork, and 7 patients (4%) required a revision surgery. Plain
radiographs showed a sensitivity of 27% in detecting metalwork malposition and a
specificity of 99%. Increasing the number of screws significantly increased the
risk of malposition and reoperation (P = 0.006 and 0.002 respectively). The plain
images of group B were all classified as safe. The CT scans detected 2 cases with
long metalwork protruding into the soft tissues, none of which required a
revision surgery. CONCLUSION: Perioperative fluoroscopy and plain postoperative
radiographs have a low sensitivity in detecting the metalwork malposition after
pelvic fracture surgery. We recommend the use of routine postoperative CT scans
in patients whose fixation entails the use of SI screws. In this series, routine
scanning of patients who did not have SI screws added no significant clinical
value. LEVEL OF EVIDENCE: Level IV Diagnostic. See Instructions for Authors for a
complete description of levels of evidence.
PMID- 29373457
TI - Prevalence of Posttraumatic Stress Disorder in Patients With Multiple Sclerosis.
AB - Chronic and life-threatening illnesses, such as multiple sclerosis (MS), have
been identified as significant stressors potentially triggering posttraumatic
stress disorder (PTSD). The study aims to investigate the prevalence of PTSD
according to Diagnostic and Statistical Manual of Mental Disorders, 4th Edition,
Text Revision (DSM-IV-TR) criteria in a large sample of patients with MS. A total
of 988 patients with MS were screened with the Impact of Event Scale-Revised, and
then assessed with the PTSD module of the Structured Clinical Interview for DSM
IV and with the Clinician-Administered PTSD Scale to confirm PTSD diagnosis.
Posttraumatic symptoms were reported by 25.5% of the sample. A confirmed
diagnosis of PTSD was found in 5.7% of patients, but prevalence could reach 8.5%,
including also dropout patients. Further studies are needed to evaluate if
adjustment disorder could better encompass the frequently encountered
subthreshold posttraumatic stress symptoms and how clinicians can deal with these
symptoms with appropriate interventions.
PMID- 29373458
TI - Relationship Between the DSM-5 Anxious Distress Specifier and the Hamilton
Depression Rating Scale Anxiety/Somatization Factor.
AB - We examined the association between the Hamilton Depression Scale (HAMD) approach
to classifying depressed patients into anxious and nonanxious subgroups and the
Diagnostic and Statistical Manual of Mental Disorders, 5th Edition (DSM-5)
anxious distress specifier subtyping. Two hundred two depressed patients were
interviewed with semistructured diagnostic interviews. Patients were rated on the
17-item HAMD and the Hamilton Anxiety Rating Scale and completed the Clinically
Useful Anxiety Outcome Scale. Both approaches toward identifying anxiety in
depressed patients resulted in most of the patients meeting the anxiety subtype.
Both subtyping methods were significantly correlated with clinician-rated and
self-report measures of anxiety, and scores on the anxiety scales were higher in
the patients who met the anxious subtype. However, DSM-5 anxious distress
subtyping was only marginally associated with the HAMD anxiety/somatization
factor subtyping approach (k = 0.21), and dimensional scores were only moderately
correlated (r = 0.50). These findings indicate that the DSM-5 and HAMD approaches
toward identifying an anxious subtype of depression are not interchangeable.
PMID- 29373459
TI - Differences in Mental Health Service Use Among Unemployed Veterans of Different
Eras.
AB - Mental disorders have been linked to unemployment among veterans. Improving
mental health conditions, such as depression, can improve veteran employment
outcomes. This study compared mental health treatment among unemployed Operation
Enduring Freedom (OEF; in Afghanistan) and Operation Iraqi Freedom (OIF; in Iraq)
veterans and veterans from other service eras. The study included 3165 unemployed
veterans from six Veterans Affairs medical centers with a positive screen that
indicates a possible mental disorder. Chi-squared tests and logistic regression
analyses assessed whether veteran era was associated with mental health
treatment. Unemployed OEF/OIF veterans were less likely to receive psychotropic
medication and four or more psychotherapy sessions compared to veterans from
other eras. Multivariable analyses controlling for age found associations based
on younger age rather than era. Younger unemployed veterans received fewer mental
health services, which is concerning because reducing mental health symptoms may
increase employment and employment may reduce symptoms, which are key factors in
reintegration into civilian life.
PMID- 29373456
TI - Natural Medicines for Psychotic Disorders: A Systematic Review.
AB - Patients with psychotic disorders regularly use natural medicines, although it is
unclear whether these are effective and safe. The aim of this study was to
provide an overview of evidence for improved outcomes by natural medicines. A
systematic literature search was performed through Medline, PsycINFO, CINAHL, and
Cochrane until May 2015. In 110 randomized controlled trials, evidence was found
for glycine, sarcosine, N-acetylcysteine, some Chinese and ayurvedic herbs,
ginkgo biloba, estradiol, and vitamin B6 to improve psychotic symptoms when added
to antipsychotics. Ginkgo biloba and vitamin B6 seemed to reduce tardive
dyskinesia and akathisia. Results on other compounds were negative or
inconclusive. All natural agents, except reserpine, were well tolerated. Most
study samples were small, study periods were generally short, and most results
need replication. However, there is some evidence for beneficial effects of
certain natural medicines.
PMID- 29373470
TI - ERRATUM.
PMID- 29373460
TI - Early low-anticoagulant desulfated heparin after traumatic brain injury: Reduced
brain edema and leukocyte mobilization is associated with improved watermaze
learning ability weeks after injury.
AB - BACKGROUND: Unfractionated heparin administered immediately after traumatic brain
injury (TBI) reduces brain leukocyte (LEU) accumulation, and enhances early
cognitive recovery, but may increase bleeding after injury. It is unknown how non
anticoagulant heparins, such as 2,3-O desulfated heparin (ODSH), impact post-TBI
cerebral inflammation and long-term recovery. We hypothesized that ODSH after TBI
reduces LEU-mediated brain inflammation and improves long-term neurologic
recovery. METHODS: CD1 male mice (n = 66) underwent either TBI (controlled
cortical impact [CCI]) or sham craniotomy. 2,3-O desulfated heparin (25 mg/kg
[25ODSH] or 50 mg/kg [50ODSH]) or saline was administered for 48 hours after TBI
in 46 animals. At 48 hours, intravital microscopy visualized rolling LEUs and
fluorescent albumin leakage in the pial circulation, and the Garcia Neurologic
Test assessed neurologic function. Brain edema (wet/dry ratio) was evaluated post
mortem. In a separate group of animals (n = 20), learning/memory ability (% time
swimming in the Probe platform quadrant) was assessed by the Morris Water Maze 17
days after TBI. Analysis of variance with Bonferroni correction determined
significance (p < 0.05). RESULTS: Compared with CCI (LEU rolling: 32.3 +/- 13.7
LEUs/100 MUm per minute, cerebrovascular albumin leakage: 57.4 +/- 5.6%), both
ODSH doses reduced post-TBI pial LEU rolling (25ODSH: 18.5 +/- 9.2 LEUs/100 MUm
per minute, p = 0.036; 50ODSH: 7.8 +/- 3.9 LEUs/100 MUm per minute, p < 0.001)
and cerebrovascular albumin leakage (25ODSH: 37.9 +/- 11.7%, p = 0.001, 50ODSH:
32.3 +/- 8.7%, p < 0.001). 50ODSH also reduced injured cerebral hemisphere edema
(77.7 +/- 0.4%) vs. CCI (78.7 +/- 0.4 %, p = 0.003). Compared with CCI, both ODSH
doses improved Garcia Neurologic Test at 48 hours. Learning/memory ability (%
time swimming in target quadrant) was lowest in CCI (5.9 +/- 6.4%) and
significantly improved in the 25ODSH group (27.5 +/- 8.2%, p = 0.025).
CONCLUSION: 2,3-O desulfated heparin after TBI reduces cerebral LEU recruitment,
microvascular permeability and edema. 2,3-O desulfated heparin may also improve
acute neurologic recovery leading to improved learning/memory ability weeks after
injury.
PMID- 29373474
TI - Association Between Enterovirus Infection and Asthma in Children: A 16-year
Nationwide Population-based Cohort Study.
AB - BACKGROUND: Both virus-induced asthma and enterovirus (EV) infection are common
in children; however, the relationship between EV infection and virus-induced
asthma has not been systematically investigated in a cohort study. This
nationwide population-based cohort study investigated the association between EV
infection and asthma. METHODS: We used data from the Taiwan National Health
Insurance Research Database. The study sample consisted of insured children who
were younger than 18 years and had EV infection between 1997 and 2013 and were
followed until December 2013. We identified 36,935 children with EV infection and
compared them based on 36,935 age-, sex-, urbanization- and income-matched
controls to analyze the risk of subsequent asthma. Cox regression analyses were
performed and adjusted for sex, age, urbanization, income, preterm labor and
small for gestational age, perinatal complications, allergic rhinitis, allergic
conjunctivitis, atopic dermatitis and bronchiolitis. RESULTS: The mean follow-up
interval for all patients was 8.59 years (standard deviation = 4.35 years). The
mean latency period between initial EV infection and onset of asthma was 2.77
years (standard deviation = 2.43 years). EV infection was significantly
associated with a higher incidence of asthma (hazard ratio = 1.65; 95% confidence
interval: 1.60-1.71). CONCLUSIONS: A significant association was observed between
EV infection and asthma in children. Health providers should be aware of the
higher potential for children with EV to develop asthma in the future.
PMID- 29373475
TI - Lessons From an Outbreak of Varicella Infection in Pediatric Hemato-oncology
Patients.
AB - BACKGROUND: Immunocompromised patients exposed to varicella may experience
significant morbidity and a 7% mortality rate. Management and outcome of an
outbreak of varicella infection among hospitalized pediatric hemato-oncology
patients using the guidelines of the American Academy of Pediatrics Committee on
Infectious Diseases are presented. METHODS: This retrospective study describes an
outbreak of varicella infection between February 2011 and June 2011. Data were
retrieved from the patients' files. Positive polymerase chain reaction results
for varicella zoster virus from vesicular skin lesions were used for the
diagnosis of varicella infection. RESULTS: Twelve pediatric hemato-oncology
patients experienced 13 episodes of varicella infection, 11 underwent 1 episode
each and 1 patient had 2 episodes. All exposed patients without immunity received
varicella zoster immune globulins or intravenous immunoglobulin and were isolated
as recommended by the guidelines. Infected patients received intravenous
acyclovir. One patient with acute lymphoblastic leukemia at induction
chemotherapy died. All the other patients survived. CONCLUSIONS: Our experience
in the management of hospitalized immunocompromised patients exposed to varicella
was that a positive IgG serology did not confer protection after exposure to
varicella infection and thus can not serve as a marker for immunity. Unlike the
isolation period sufficient for immunocompetent patients, crusted lesions can be
contagious and thus require extended isolation for immunocompromised patients.
Patients receiving rituximab are at greater risk of having persistent or
recurrent disease. Studies with a larger sample size should be performed to
better assess the management of immunocompromized patients exposed to varicella.
PMID- 29373476
TI - Safety, Tolerability and Pharmacokinetics of MEDI8897, an Extended Half-life
Single-dose Respiratory Syncytial Virus Prefusion F-targeting Monoclonal Antibody
Administered as a Single Dose to Healthy Preterm Infants.
AB - BACKGROUND: MEDI8897 is a recombinant human monoclonal antibody being developed
for prophylaxis of serious respiratory syncytial virus (RSV) disease in all
infants. METHODS: In this phase 1b/2a dose-escalation study, healthy preterm
infants with a gestational age of 32-35 weeks were randomized to receive a single
intramuscular injection of MEDI8897 (10, 25 or 50 mg) or placebo. Safety,
pharmacokinetics, RSV-neutralizing antibody and antidrug antibody (ADA)
assessments were performed during the 360-day follow-up period. Infants who
experienced medically attended lower respiratory tract infections (LRTIs) were
tested for RSV. RESULTS: MEDI8897 serum half-life ranged from 62.5-72.9 days. On
day 151, 87% of infants in the 50 mg group had serum concentrations above the 90%
effective concentration target level of 6.8 ug/mL, and 90% showed a >=4-fold rise
from baseline in serum RSV-neutralizing antibody levels. Adverse events (AEs)
were reported in 17 of 18 (94.4%) placebo and 66 of 71 (93.0%) MEDI8897
recipients. Three MEDI8897 recipients experienced 5 serious AEs (3 LRTIs, 2
febrile seizures). ADA was detected at any time postbaseline in 28.2% of MEDI8897
recipients and at day 361 only in 26.5% of subjects. ADA response was not
associated with AEs. Five (7%) MEDI8897 recipients experienced medically attended
LRTIs through day 150; 1 tested positive for RSV (10 mg group). CONCLUSIONS:
MEDI8897 had a favorable safety profile in healthy preterm infants. The extended
half-life of MEDI8897 and demonstrated RSV-neutralizing activity support
protection from RSV for the duration of a typical 5-month season after a single
50 mg intramuscular (IM) dose.
PMID- 29373477
TI - Refined Lab-score, a Risk Score Predicting Serious Bacterial Infection in Febrile
Children Less Than 3 Years of Age.
AB - BACKGROUND: The identification of serious bacterial infection (SBI) in children
with fever without source remains a challenge. A risk score called Lab-score,
based on C-reactive protein, procalcitonin and urinary dipstick results was
derived to predict SBI. However, all biomarkers were initially dichotomized,
leading to weak statistical reliability and lack of transportability across
diverse settings. We aimed to refine and validate this risk-score algorithm.
METHODS: The Lab-score was refined using a secondary analysis of a multicenter
cohort study of children with fever without source via multilevel regression
modeling. The external validation was conducted on data from a Canadian cohort
study. RESULTS: Eight hundred seventy-seven children (24% SBI) were included for
the derivation study, and 347 (16% SBI) for validation. Only C-reactive protein,
procalcitonin, age and urinary dipstick remained independently associated with
SBI. The model achieved an area under the receiver operating characteristic (ROC)
curve of 0.94 (95% confidence interval [CI]: 0.93-0.96), which was significantly
higher than any other isolated biomarker (P < 0.0001), and the original Lab-score
(P < 0.0001). According to a decision curve analysis, the model yielded a better
strategy than those based on independently considered biomarkers, or on the
original Lab-score. The threshold analysis led to a cutoff that yielded 96% (95%
CI: 92-98) sensitivity and 73% (95% CI: 70-77) specificity. The external
validation found similar predictive abilities: 0.96 area under the ROC curve (95%
CI: 0.93-0.99), 95% sensitivity (95% CI: 85-99) and 87% specificity (95% CI: 83
91). CONCLUSION: The refined Lab-score demonstrated higher prediction ability for
SBI than the original Lab-score, with promising wider applicability across
settings. These results require validation in additional populations.
PMID- 29373478
TI - Microvascular endothelial dysfunction is associated with albuminuria: the
Maastricht Study.
AB - OBJECTIVE: Albuminuria is thought to be a biomarker of microvascular and
macrovascular endothelial dysfunction. However, direct evidence for an
association of microvascular endothelial dysfunction with albuminuria is limited.
In addition, experimental data suggest a stronger association of microvascular
endothelial dysfunction with albuminuria in individuals with than in those
without diabetes. METHODS: We examined cross-sectional associations of flicker
light-induced retinal arteriolar dilation (n = 2095) and heat-induced skin
hyperemia (n = 1508) with 24-h albuminuria in the population-based, diabetes
enriched Maastricht Study. We used linear regression analyses to adjust for age,
sex, type 2 diabetes, and cardiovascular disease risk factors. In addition, we
tested for statistical interaction with type 2 diabetes. RESULTS: Median
[interquartile range] albuminuria was 6.5 [3.9-11.6] mg/24 h and 8.2% had
albuminuria at least 30 mg/24 h. After adjustment, albuminuria was 1.168 (95%
confidence interval, 1.046-1.303) times greater in participants in the quartile
with the smallest flicker light-induced retinal arteriolar dilation relative to
those with the greatest dilation, and this association was stronger in
participants with type 2 diabetes (Pinteraction < 0.10). Further, each 100
percentage points lower heat-induced skin hyperemia was associated with a 1.022
(1.010-1.035) times greater albuminuria in participants with type 2 diabetes,
whereas it was not associated with albuminuria in nondiabetic participants
(Pinteraction < 0.10). CONCLUSION: This is the first population-based study that
provides direct evidence that microvascular endothelial dysfunction is associated
with albuminuria, and that this association is stronger in individuals with than
in those without type 2 diabetes.
PMID- 29373479
TI - Orthostatic hypotension in older persons is not associated with cognitive
functioning, features of cerebral damage or cerebral blood flow.
AB - OBJECTIVE: Particularly in old age, orthostatic hypotension has been related to
worse cognitive functioning, possibly caused by reduced cerebral blood flow
(CBF). This study investigates whether orthostatic hypotension in older people is
associated with cognitive dysfunction and, if so, whether this association is
mediated by cerebral vascular damage and/or decreased CBF. METHODS: Four hundred
and twenty participants of the Discontinuation of ANtihypertensive Treatment in
Elderly People (DANTE) Study Leiden (mean age 81 years, all using
antihypertensive medication and with mild cognitive deficits), and MRI data from
214 participants of the nested DANTE MRI sub-study. Orthostatic hypotension was
defined as either a SBP decrease at least 20 mmHg and/or a DBP decrease of at
least 10 mmHg within 3 min of standing up. Cognitive functioning was assessed
using a battery of six cognitive tests covering global cognition, memory
function, executive function and psychomotor speed. Cerebral vascular damage and
CBF were assessed using MRI. RESULTS: The prevalence of orthostatic hypotension
was 47% (n = 199). Compared with the group without orthostatic hypotension,
participants with orthostatic hypotension showed no differences in any of the
cognitive functions, features of cerebral small vessel disease, microstructural
integrity or CBF. CONCLUSION: In this population of older persons, the presence
of orthostatic hypotension was not associated with decreased cognition. In
addition, no differences were found in the supposedly underlying cerebral
vascular mechanisms.
PMID- 29373480
TI - The final word about LDL-cholesterol lowering.
PMID- 29373481
TI - Hepatic actions of androgens in the regulation of metabolism.
AB - PURPOSE OF REVIEW: The purpose of this review is to summarize recent findings on
hepatic actions of androgens in the regulation of protein, lipid and glucose
metabolism. The rationale for liver-targeted testosterone use will be provided.
RECENT FINDINGS: Liver-targeted testosterone administration, via the oral route,
induces protein anabolic effect by reducing the rate of protein oxidation to a
similar extent to that of systemic testosterone administration. Recent evidence
indicates that testosterone exerts whole-body anabolic effect through inhibition
of nitrogen loss via the hepatic urea cycle. Several hepatic effects of
androgens, particularly on glucose metabolism, are direct and take place before
any changes in body composition occur. This includes an increase in insulin
secretion and sensitivity, and reduction in hepatic glucose output by
testosterone. Furthermore, lack of testosterone in the liver exacerbates diet
induced impairment in glucose metabolism. In the liver, androgens induce the full
spectrum of metabolic changes through interaction with growth hormone or
aromatization to estradiol. SUMMARY: Liver-targeted testosterone therapy may open
up a new approach to achieve whole-body anabolism without systemic side-effects.
Aromatizable androgens may be superior to nonaromatizable androgens in inducing a
complex spectrum of direct, estrogen-mediated and other hormone-mediated effects
of androgens.
PMID- 29373482
TI - Rare monogenic causes of primary adrenal insufficiency.
AB - PURPOSE OF REVIEW: Monogenic disorders play significant roles in the pathogenesis
of childhood-onset primary adrenal insufficiency (PAI). The most common form of
PAI is congenital adrenal hyperplasia (CAH), which includes the enzymatic defects
of the steroidogenic pathway. This review focuses on less common forms of
monogenic PAI (i.e. non-CAH monogenic PAI) with particular attention on their
cause, clinical phenotypes and genetic epidemiology. RECENT FINDINGS: Non-CAH
monogenic PAI can be classified into three major categories: first,
adrenocorticotropic hormone resistance, second, impaired adrenal redox
homeostasis and third, defective organogenesis of the adrenal glands. The
clinical phenotypes of the mutation-carrying patients vary depending on the
responsible gene, and they are partially explained by the tissue RNA expression
patterns. Genetic epidemiology studies conducted in Turkey and Japan showed that
about 80% of PAI of unknown cause was monogenic. SUMMARY: Genetic basis of non
CAH monogenic PAI had been less clearly understood than CAH; however, significant
advances have been made with use of new research techniques such as next
generation sequencing. Understanding of these rare forms of PAI may contribute to
clarifying the physiology and pathology of the adrenal glands.
PMID- 29373483
TI - Spatial Distribution, Chemical Fraction and Fuzzy Comprehensive Risk Assessment
of Heavy Metals in Surface Sediments from the Honghu Lake, China.
AB - Spatial concentrations and chemical fractions of heavy metals (Cr, Cu, Pb, Zn and
Cd) in 16 sampling sites from the Honghu Lake were investigated using an atomic
absorption spectrophotometer and optimized BCR (the European Community Bureau of
Reference) three-stage extraction procedure. Compared with the corresponding
probable effect levels (PELs), adverse biological effects of the studied five
sediment metals decreased in the sequence of Cr > Cu > Zn > Pb > Cd. Geo
accumulation index (Igeo) values for Cr, Cu, Pb and Zn in each sampling site were
at un-contamination level, while the values for Cd varied from un-contamination
level to moderate contamination level. Spatially, the enrichment degree of Cd in
lower part of the South Lake, the west part of the North Lake and the outlet were
higher than the other parts of Honghu Lake. For metal chemical fractions, the
proportions of the acid-extractable fraction of five metal contents were in the
descending order: Cd, Cu, Zn, Pb and Cr. Cd had the highest bioaccessibility.
Being the above indexes focused always on heavy metals' total content or chemical
fraction in deterministic assessment system, which may confuse decision makers,
the fuzzy comprehensive risk assessment method was established based on PEI
(Potential ecological risk index), RAC (Risk assessment code) and fuzzy theory.
Average comprehensive risks of heavy metals in sediments revealed the following
orders: Cd (considerable risk) > Cu (moderate risk) > Zn (low risk) > Pb > Cr.
Thus, Cd and Cu were determined as the pollutants of most concern. The central
part of South Honghu Lake (S4, S5, S6, S9, S12 and S14), east part of the North
Honghu Lake (S1) and outlet of outlet of the Honghu Lake (S10) were recommended
as the priority control areas. Specifically, it is necessary to pay more
attention to S1, S4, S5, S6, S9 and S16 when decision making for their calculated
membership values (probabilities) of adjacent risk levels quite close.
PMID- 29373485
TI - Control of the Singular Points Location for Miniature Switches with Magnetically
Driven Contacts.
AB - This paper presents and discusses usefulness and possibility of control of the
singular points location of a driving magnetic field under as structure
considerations as well as selection of energizing magnet systems for miniature
electromagnetic switches. The sample results of theoretical analysis and
experimental testing concern selected reed switches with normally open contacts
as well as a developed miniature switch with a metallic ball contact. It must be
noted that the switch with the contact performed by means of the metallic tiny
ball can be effectively used both as a change-over switch as well as a detector
of the energizing magnetic field distribution under designing and assembling of
any electromagnetic contact device preferably with an increased degree of
integration and miniaturization.
PMID- 29373484
TI - Mechanisms of Cardiovascular Protection Associated with Intermittent Hypobaric
Hypoxia Exposure in a Rat Model: Role of Oxidative Stress.
AB - More than 140 million people live and works (in a chronic or intermittent form)
above 2500 m worldwide and 35 million live in the Andean Mountains. Furthermore,
in Chile, it is estimated that 55,000 persons work in high altitude shifts, where
stays at lowlands and interspersed with working stays at highlands. Acute
exposure to high altitude has been shown to induce oxidative stress in healthy
human lowlanders, due to an increase in free radical formation and a decrease in
antioxidant capacity. However, in animal models, intermittent hypoxia (IH) induce
preconditioning, like responses and cardioprotection. Here, we aimed to describe
in a rat model the responses on cardiac and vascular function to 4 cycles of
intermittent hypobaric hypoxia (IHH). Twelve adult Wistar rats were randomly
divided into two equal groups, a four-cycle of IHH, and a normobaric hypoxic
control. Intermittent hypoxia was induced in a hypobaric chamber in four
continuous cycles (1 cycle = 4 days hypoxia + 4 days normoxia), reaching a
barometric pressure equivalent to 4600 m of altitude (428 Torr). At the end of
the first and fourth cycle, cardiac structural, and functional variables were
determined by echocardiography. Thereafter, ex vivo vascular function and
biomechanical properties were determined in femoral arteries by wire myography.
We further measured cardiac oxidative stress biomarkers (4-Hydroxy-nonenal, HNE;
nytrotirosine, NT), reactive oxygen species (ROS) sources (NADPH and
mitochondrial), and antioxidant enzymes activity (catalase, CAT; glutathione
peroxidase, GPx, and superoxide dismutase, SOD). Our results show a higher
ejection and shortening fraction of the left ventricle function by the end of the
4th cycle. Further, femoral vessels showed an improvement of vasodilator capacity
and diminished stiffening. Cardiac tissue presented a higher expression of
antioxidant enzymes and mitochondrial ROS formation in IHH, as compared with
normobaric hypoxic controls. IHH exposure determines a preconditioning effect on
the heart and femoral artery, both at structural and functional levels,
associated with the induction of antioxidant defence mechanisms. However,
mitochondrial ROS generation was increased in cardiac tissue. These findings
suggest that initial states of IHH are beneficial for cardiovascular function and
protection.
PMID- 29373486
TI - Green Synthesis of Fluorescent Palladium Nanoclusters.
AB - Metal nanoclusters, with dimensions between atomic and nanoparticles, have
attracted a great deal of attention due to their significantly unusual
properties. Water-soluble palladium nanoclusters (Pd NCs) with blue-green
fluorescence were synthesized by a water bath heating method, with methionine as
a stabilizer and ascorbic acid as a reducing agent. We investigated the optimal
synthesis conditions, stability, and pH response of the obtained products in
detail. The synthesized materials were characterized by ultraviolet-absorption
spectroscopy, fluorescence spectroscopy, high-resolution transmission electron
microscopy, and atomic force microscopy. These experimental results showed that
the Pd NCs had a small size of ~1.91 nm, with a uniform size distribution.
Additionally, the Pd NCs emitted blue-green fluorescence under ultraviolet light
with a quantum yield of 5.47%. Notably, both stabilizers and reducing agents used
in this synthesis method are nutrients for humans, non-toxic, and harmless. This
method could be viewed as a biologically friendly and green way of preparing
fluorescent metal nanoclusters. The as-prepared fluorescent Pd NCs also possessed
excellent fluorescence detection ability and were very sensitive to low
concentrations of hemoglobin, with a linear response in the range of 0.25-3.5 MUM
and a detection limit of 50 nM.
PMID- 29373487
TI - The Mechanical Properties of Biocompatible Apatite Bone Cement Reinforced with
Chemically Activated Carbon Fibers.
AB - Calcium phosphate cement (CPC) is a well-established bone replacement material in
dentistry and orthopedics. CPC mimics the physicochemical properties of natural
bone and therefore shows excellent in vivo behavior. However, due to their
brittleness, the application of CPC implants is limited to non-load bearing
areas. Generally, the fiber-reinforcement of ceramic materials enhances fracture
resistance, but simultaneously reduces the strength of the composite. Combining
strong C-fiber reinforcement with a hydroxyapatite to form a CPC with a chemical
modification of the fiber surface allowed us to adjust the fiber-matrix interface
and consequently the fracture behavior. Thus, we could demonstrate enhanced
mechanical properties of CPC in terms of bending strength and work of fracture to
a strain of 5% (WOF5). Hereby, the strength increased by a factor of four from
9.2 +/- 1.7 to 38.4 +/- 1.7 MPa. Simultaneously, the WOF5 increased from 0.02 +/-
0.004 to 2.0 +/- 0.6 kJ?m-2, when utilizing an aqua regia/CaCl2 pretreatment. The
cell proliferation and activity of MG63 osteoblast-like cells as biocompatibility
markers were not affected by fiber addition nor by fiber treatment. CPC
reinforced with chemically activated C-fibers is a promising bone replacement
material for load-bearing applications.
PMID- 29373488
TI - A Review of Eight High-Priority, Economically Important Viral Pathogens of
Poultry within the Caribbean Region.
AB - Viral pathogens cause devastating economic losses in poultry industries
worldwide. The Caribbean region, which boasts some of the highest rates of
poultry consumption in the world, is no exception. This review summarizes
evidence for the circulation and spread of eight high-priority, economically
important poultry viruses across the Caribbean region. Avian influenza virus
(AIV), infectious bronchitis virus (IBV), Newcastle disease virus (NDV),
infectious laryngotracheitis virus (ILTV), avian metapneumovirus (aMPV),
infectious bursal disease virus (IBDV), fowl adenovirus group 1 (FADV Gp1), and
egg drop syndrome virus (EDSV) were selected for review. This review of
serological, molecular, and phylogenetic studies across Caribbean countries
reveals evidence for sporadic outbreaks of respiratory disease caused by
notifiable viral pathogens (AIV, IBV, NDV, and ILTV), as well as outbreaks of
diseases caused by immunosuppressive viral pathogens (IBDV and FADV Gp1). This
review highlights the need to strengthen current levels of surveillance and
reporting for poultry diseases in domestic and wild bird populations across the
Caribbean, as well as the need to strengthen the diagnostic capacity and
capability of Caribbean national veterinary diagnostic laboratories.
PMID- 29373489
TI - A Novel Inverse Solution of Contact Force Based on a Sparse Tactile Sensor Array.
AB - High-density tactile sensing has been pursued for humanoid robotic hands to
obtain contact force information while the elastomer skin cover is traditionally
considered to impair the force discrimination. In this work, we try to utilize
the diffusion effect of the elastomer cover to identify an arbitrary contact
force load just based on a sparse tactile sensor array. By numerical analysis, we
proved the monotonous relation between the Pearson's correlation coefficient and
the relative distance of two single-force loads. Then, we meshed the elastomer
surface and conducted the calibration load process to establish the calibration
database of the sensing outputs. Afterwards, we applied the correlation method to
the database and the sensing output of the unknown load to determine its location
and intensity. For validation tests of the proposed method, we designed and
fabricated a 3 * 3 sparse tactile sensor array with flat elastomer cover and
established an automatic three-axis loading system. The validation tests were
implemented including 100 random points with force intensity ranging from 0.1 to
1 N. The test results show that the method has good accuracy of detecting force
load with the mean location error of 0.46 mm and the mean intensity error of
0.043 N, which meets the basic requirements of tactile sensing. Therefore, it is
feasible for the sparse tactile sensor array to realize high-density load
detection.
PMID- 29373490
TI - Cellular Interaction and Tumoral Penetration Properties of Cyclodextrin
Nanoparticles on 3D Breast Tumor Model.
AB - Amphiphilic cyclodextrins are biocompatible oligosaccharides that can be used for
drug delivery especially for the delivery of drugs with solubility problems
thanks to their unique molecular structures. In this paper, Paclitaxel was used
as a model anticancer drug to determine the inclusion complex properties of
amphiphilic cyclodextrins with different surface charge. Paclitaxel-loaded
cyclodextrin nanoparticles were characterized in terms of mean particle diameter,
zeta potential, encapsulation efficacy, drug release profile and cell culture
studies. It was determined that the nanoparticles prepared from the inclusion
complex according to characterization studies have a longer release profile than
the conventionally prepared nanoparticles. In order to mimic the tumor
microenvironment, breast cancer cells and healthy fibroblast cells were used in 3
dimensional (3D) cell culture studies. It was determined that the activities of
nanoparticles prepared by conventional methods behave differently in 2
dimensional (2D) and 3D cell cultures. In addition, it was observed that the
nanoparticles prepared from the inclusion complex have a stronger anti-tumoral
activity in the 3D multicellular tumor model than the drug solution. Furthermore,
polycationic amphiphilic cyclodextrin nanoparticles can diffuse and penetrate
through multilayer cells in a 3D tumor model, which is crucial for an eventual
antitumor effect.
PMID- 29373491
TI - Microservices in Web Objects Enabled IoT Environment for Enhancing Reusability.
AB - In the ubiquitous Internet of Things (IoT) environment, reusing objects instead
of creating new one has become important in academics and industries. The
situation becomes complex due to the availability of a huge number of connected
IoT objects, and each individual service creates a new object instead of reusing
the existing one to fulfill a requirement. A well-standard mechanism not only
improves the reusability of objects but also improves service modularity and
extensibility, and reduces cost. Web Objects enabled IoT environment applies the
principle of reusability of objects in multiple IoT application domains through
central objects repository and microservices. To reuse objects with microservices
and to maintain a relationship with them, this study presents an architecture of
Web of Objects platform. In the case of a similar request for an object, the
already instantiated object that exists in the same or from other domain can be
reused. Reuse of objects through microservices avoids duplications, and reduces
time to search and instantiate them from their registries. Further, this article
presents an algorithm for microservices and related objects discovery that
considers the reusability of objects through the central objects repository. To
support the reusability of objects, the necessary algorithm for objects matching
is also presented. To realize the reusability of objects in Web Objects enabled
IoT environment, a prototype has been designed and implemented based on a use
case scenario. Finally, the results of the prototype have been analyzed and
discussed to validate the proposed approach.
PMID- 29373493
TI - Traditional Malian Solid Foods Made from Sorghum and Millet Have Markedly Slower
Gastric Emptying than Rice, Potato, or Pasta.
AB - From anecdotal evidence that traditional African sorghum and millet foods are
filling and provide sustained energy, we hypothesized that gastric emptying rates
of sorghum and millet foods are slow, particularly compared to non-traditional
starchy foods (white rice, potato, wheat pasta). A human trial to study gastric
emptying of staple foods eaten in Bamako, Mali was conducted using a carbon-13
(13C)-labelled octanoic acid breath test for gastric emptying, and subjective pre
test and satiety response questionnaires. Fourteen healthy volunteers in Bamako
participated in a crossover design to test eight starchy staples. A second
validation study was done one year later in Bamako with six volunteers to correct
for endogenous 13C differences in the starches from different sources. In both
trials, traditional sorghum and millet foods (thick porridges and millet
couscous) had gastric half-emptying times about twice as long as rice, potato, or
pasta (p < 0.0001). There were only minor changes due to the 13C correction. Pre
test assessment of millet couscous and rice ranked them as more filling and
aligned well with postprandial hunger rankings, suggesting that a preconceived
idea of rice being highly satiating may have influenced subjective satiety
scoring. Traditional African sorghum and millet foods, whether viscous in the
form of a thick porridge or as non-viscous couscous, had distinctly slow gastric
emptying, in contrast to the faster emptying of non-traditional starchy foods,
which are popular among West African urban consumers.
PMID- 29373495
TI - Indirect Reconstruction of Pore Morphology for Parametric Computational
Characterization of Unidirectional Porous Iron.
AB - This paper addresses the problem of reconstructing realistic, irregular pore
geometries of lotus-type porous iron for computer models that allow for simple
porosity and pore size variation in computational characterization of their
mechanical properties. The presented methodology uses image-recognition
algorithms for the statistical analysis of pore morphology in real material
specimens, from which a unique fingerprint of pore morphology at a certain
porosity level is derived. The representative morphology parameter is introduced
and used for the indirect reconstruction of realistic and statistically
representative pore morphologies, which can be used for the generation of
computational models with an arbitrary porosity. Such models were subjected to
parametric computer simulations to characterize the dependence of engineering
elastic modulus on the porosity of lotus-type porous iron. The computational
results are in excellent agreement with experimental observations, which confirms
the suitability of the presented methodology of indirect pore geometry
reconstruction for computational simulations of similar porous materials.
PMID- 29373492
TI - Do Neuroendocrine Peptides and Their Receptors Qualify as Novel Therapeutic
Targets in Osteoarthritis?
AB - Joint tissues like synovium, articular cartilage, meniscus and subchondral bone,
are targets for neuropeptides. Resident cells of these tissues express receptors
for various neuroendocrine-derived peptides including proopiomelanocortin (POMC)
derived peptides, i.e., alpha-melanocyte-stimulating hormone (alpha-MSH),
adrenocorticotropin (ACTH) and beta-endorphin (beta-ED), and sympathetic
neuropeptides like vasoactive intestinal peptide (VIP) and neuropeptide y (NPY).
Melanocortins attained particular attention due to their immunomodulatory and
anti-inflammatory effects in several tissues and organs. In particular, alpha
MSH, ACTH and specific melanocortin-receptor (MCR) agonists appear to have
promising anti-inflammatory actions demonstrated in animal models of
experimentally induced arthritis and osteoarthritis (OA). Sympathetic
neuropeptides have obtained increasing attention as they have crucial trophic
effects that are critical for joint tissue and bone homeostasis. VIP and NPY are
implicated in direct and indirect activation of several anabolic signaling
pathways in bone and synovial cells. Additionally, pituitary adenylate cyclase
activating polypeptide (PACAP) proved to be chondroprotective and, thus, might be
a novel target in OA. Taken together, it appears more and more likely that the
anabolic effects of these neuroendocrine peptides or their respective receptor
agonists/antagonists may be exploited for the treatment of patients with
inflammatory and degenerative joint diseases in the future.
PMID- 29373494
TI - Microtubule-Actin Crosslinking Factor 1 and Plakins as Therapeutic Drug Targets.
AB - Plakins are a family of seven cytoskeletal cross-linker proteins (microtubule
actin crosslinking factor 1 (MACF), bullous pemphigoid antigen (BPAG1)
desmoplakin, envoplakin, periplakin, plectin, epiplakin) that network the three
major filaments that comprise the cytoskeleton. Plakins have been found to be
involved in disorders and diseases of the skin, heart, nervous system, and cancer
that are attributed to autoimmune responses and genetic alterations of these
macromolecules. Despite their role and involvement across a spectrum of several
diseases, there are no current drugs or pharmacological agents that specifically
target the members of this protein family. On the contrary, microtubules have
traditionally been targeted by microtubule inhibiting agents, used for the
treatment of diseases such as cancer, in spite of the deleterious toxicities
associated with their clinical utility. The Research Collaboratory for Structural
Bioinformatics (RCSB) was used here to identify therapeutic drugs targeting the
plakin proteins, particularly the spectraplakins MACF1 and BPAG1, which contain
microtubule-binding domains. RCSB analysis revealed that plakin proteins had 329
ligands, of which more than 50% were MACF1 and BPAG1 ligands and 10 were
documented, clinically or experimentally, to have several therapeutic
applications as anticancer, anti-inflammatory, and antibiotic agents.
PMID- 29373496
TI - The Neuroscience of Growth Mindset and Intrinsic Motivation.
AB - Our actions can be triggered by intentions, incentives or intrinsic values.
Recent neuroscientific research has yielded some results about the growth mindset
and intrinsic motivation. With the advances in neuroscience and motivational
studies, there is a global need to utilize this information to inform educational
practice and research. Yet, little is known about the neuroscientific interplay
between growth mindset and intrinsic motivation. This paper attempts to draw on
the theories of growth mindset and intrinsic motivation, together with
contemporary ideas in neuroscience, outline the potential for neuroscientific
research in education. It aims to shed light on the relationship between growth
mindset and intrinsic motivation in terms of supporting a growth mindset to
facilitate intrinsic motivation through neural responses. Recent empirical
research from the educational neuroscience perspective that provides insights
into the interplay between growth mindset and intrinsic motivation will also be
discussed.
PMID- 29373497
TI - Ultrafast Chemistry of Water Radical Cation, H2O*+, in Aqueous Solutions.
AB - Oxidation reactions by radicals constitute a very important class of chemical
reactions in solution. Radiation Chemistry methods allow producing, in a
controlled way, very reactive oxidizing radicals, such as OH*, CO3*-, NO3*, SO4*
, and N3*. Although the radical cation of water, H2O*+, with a very short
lifetime (shorter than 1 ps) is the precursor of these radicals in aqueous
solutions, its chemistry is usually known to be limited to the reaction of proton
transfer by forming OH* radical. Herein, we stress situations where H2O*+
undergoes electron transfer reaction in competition with proton transfer.
PMID- 29373498
TI - Defining Multidrug Resistance of Gram-Negative Bacteria in the Dutch-German
Border Region-Impact of National Guidelines.
AB - Preventing the spread of multidrug-resistant Gram-negative bacteria (MDRGNB) is a
public health priority. However, the definition of MDRGNB applied for planning
infection prevention measures such as barrier precautions differs depending on
national guidelines. This is particularly relevant in the Dutch-German border
region, where patients are transferred between healthcare facilities located in
the two different countries, because clinicians and infection control personnel
must understand antibiograms indicating MDRGNB from both sides of the border and
using both national guidelines. This retrospective study aimed to compare
antibiograms of Gram-negative bacteria and classify them using the Dutch and
German national standards for MDRGNB definition. A total of 31,787 antibiograms
from six Dutch and four German hospitals were classified. Overall, 73.7% were no
MDRGNB according to both guidelines. According to the Dutch and German guideline,
7772/31,787 (24.5%) and 4586/31,787 (12.9%) were MDRGNB, respectively (p <
0.0001). Major divergent classifications were observed for extended-spectrum beta
lactamase (ESBL) -producing Enterobacteriaceae, non-carbapenemase-producing
carbapenem-resistant Enterobacteriaceae, Pseudomonas aeruginosa and
Stenotrophomonas maltophilia. The observed differences show that medical staff
must carefully check previous diagnostic findings when patients are transferred
across the Dutch-German border, as it cannot be assumed that MDRGNB requiring
special hygiene precautions are marked in the transferred antibiograms in
accordance with both national guidelines.
PMID- 29373499
TI - A Proposal for IoT Dynamic Routes Selection Based on Contextual Information.
AB - The Internet of Things (IoT) is based on interconnection of intelligent and
addressable devices, allowing their autonomy and proactive behavior with Internet
connectivity. Data dissemination in IoT usually depends on the application and
requires context-aware routing protocols that must include auto-configuration
features (which adapt the behavior of the network at runtime, based on context
information). This paper proposes an approach for IoT route selection using fuzzy
logic in order to attain the requirements of specific applications. In this case,
fuzzy logic is used to translate in math terms the imprecise information
expressed by a set of linguistic rules. For this purpose, four Objective
Functions (OFs) are proposed for the Routing Protocol for Low Power and Loss
Networks (RPL); such OFs are dynamically selected based on context information.
The aforementioned OFs are generated from the fusion of the following metrics:
Expected Transmission Count (ETX), Number of Hops (NH) and Energy Consumed (EC).
The experiments performed through simulation, associated with the statistical
data analysis, conclude that this proposal provides high reliability by
successfully delivering nearly 100% of data packets, low delay for data delivery
and increase in QoS. In addition, an 30% improvement is attained in the network
life time when using one of proposed objective function, keeping the devices
alive for longer duration.
PMID- 29373500
TI - Evaluation of Two-Diabetes Related microRNAs Suitability as Earlier Blood
Biomarkers for Detecting Prediabetes and type 2 Diabetes Mellitus.
AB - Increased the incidence of prediabetes and type 2 diabetes (T2D) worldwide raises
an urgent need to develop effective tools for early disease detection to
facilitate future preventive interventions and improve patient's care. We
evaluated the suitability of diabetes-related miR-375 and miR-9 as earlier
biomarkers for detecting prediabetes and T2D.TaqMan-based RT-qPCR was used to
quantify the expression of miRNAs in peripheral blood of 30 prediabetes patients,
30 T2D patients and 30 non-diabetic healthy controls. Compared to controls, miR
375 and miR-9 were expressed at higher levels in prediabetes patients and
progressively more enriched in T2D patients. Both miRNAs were directly associated
with the presence of prediabetes and T2D independently of known risk factors to
T2D and miR-375 was independently associated with the development of T2D. Both
miRNAs were positively correlated with the glycemic status and other T2D risk
factors. The ROC analysis indicated good diagnostic abilities for miR-375 to
distinguish overall patients from control and prediabetes from T2D patients.
Whereas, miR-9 showed lower values and borderline significance in discriminating
the subject groups. The combination of miRNAs enhanced the predictability to
discriminate patients from control. These results suggest that miR-375 and miR-9
are associated with the susceptibility to developing T2D and miR-375 alone or in
combination with miR-9 could serve as biomarkers for early detection of
prediabetes and T2D.
PMID- 29373502
TI - Label-Free Aptasensor for Lysozyme Detection Using Electrochemical Impedance
Spectroscopy.
AB - This research develops a label-free aptamer biosensor (aptasensor) based on
graphite-epoxy composite electrodes (GECs) for the detection of lysozyme protein
using Electrochemical Impedance Spectroscopy (EIS) technique. The chosen
immobilization technique was based on covalent bonding using carbodiimide
chemistry; for this purpose, carboxylic moieties were first generated on the
graphite by electrochemical grafting. The detection was performed using
[Fe(CN)6]3-/[Fe(CN)6]4- as redox probe. After recording the frequency response,
values were fitted to its electric model using the principle of equivalent
circuits. The aptasensor showed a linear response up to 5 uM for lysozyme and a
limit of detection of 1.67 uM. The sensitivity of the established method was
0.090 uM-1 in relative charge transfer resistance values. The interference
response by main proteins, such as bovine serum albumin and cytochrome c, has
been also characterized. To finally verify the performance of the developed
aptasensor, it was applied to wine analysis.
PMID- 29373501
TI - Impact & Blast Traumatic Brain Injury: Implications for Therapy.
AB - Traumatic brain injury (TBI) is one of the most frequent causes of combat
casualties in Operations Iraqi Freedom (OIF), Enduring Freedom (OEF), and New
Dawn (OND). Although less common than combat-related blast exposure, there have
been significant numbers of blast injuries in civilian populations in the United
States. Current United States Department of Defense (DoD) ICD-9 derived diagnoses
of TBI in the DoD Health Care System show that, for 2016, severe and moderate
TBIs accounted for just 0.7% and 12.9%, respectively, of the total of 13,634
brain injuries, while mild TBIs (mTBIs) accounted for 86% of the total. Although
there is a report that there are differences in the frequency of long-term
complications in mTBI between blast and non-blast TBIs, clinical presentation is
classified by severity score rather than mechanism because severity scoring is
associated with prognosis in clinical practice. Blast TBI (bTBI) is unique in its
pathology and mechanism, but there is no treatment specific for bTBIs-these
patients are treated similarly to TBIs in general and therapy is tailored on an
individual basis. Currently there is no neuroprotective drug recommended by the
clinical guidelines based on evidence.
PMID- 29373503
TI - Interrupting Prolonged Sitting with Regular Activity Breaks does not Acutely
Influence Appetite: A Randomised Controlled Trial.
AB - Regular activity breaks increase energy expenditure; however, this may promote
compensatory eating behaviour. The present study compared the effects of regular
activity breaks and prolonged sitting on appetite. In a randomised, cross-over
trial, 36 healthy adults (BMI (Body Mass Index) 23.9 kg/m2 (S.D. = 3.9))
completed four, two-day interventions: two with prolonged sitting (SIT), and two
with sitting and 2 min of walking every 30 min (RAB). Standardized meals were
provided throughout the intervention, with an ad libitum meal at the end of Day
2. Appetite and satiety were assessed throughout both days of each intervention
using five visual analogue scales. The five responses were combined into a single
appetite response at each time point. The area under the appetite response curve
(AUC) was calculated for each day. Intervention effects for appetite response AUC
and ad libitum meal intake were tested using linear mixed models. Appetite AUC
did not differ between interventions (standardised effect of RAB compared to SIT:
Day 1: 0.11; 95% CI: -0.28, 0.06; p = 0.212; Day 2: 0.04; 95% CI: -0.15, 0.24; p
= 0.648). There was no significant difference in energy consumed at the ad
libitum lunch meal on Day 2 between RAB and SIT. Interrupting prolonged sitting
with regular activity breaks does not acutely influence appetite or volume of
food consumed, despite inferred increases in energy expenditure. Longer-term
investigation into the effects of regular activity breaks on energy balance is
warranted.
PMID- 29373504
TI - The Response of HeLa Cells to Fluorescent NanoDiamond Uptake.
AB - Fluorescent nanodiamonds are promising probes for nanoscale magnetic resonance
measurements. Their physical properties predict them to have particularly useful
applications in intracellular analysis. Before using them in intracellular
experiments however, it should be clear whether diamond particles influence cell
biology. While cytotoxicity has already been ruled out in previous studies, we
consider the non-fatal influence of fluorescent nanodiamonds on the formation of
reactive oxygen species (an important stress indicator and potential target for
intracellular sensing) for the first time. We investigated the influence of
different sizes, shapes and concentrations of nanodiamonds on the genetic and
protein level involved in oxidative stress-related pathways of the HeLa cell, an
important model cell line in research. The changes in viability of the cells and
the difference in intracellular levels of free radicals, after diamond uptake,
are surprisingly small. At lower diamond concentrations, the cellular metabolism
cannot be distinguished from that of untreated cells. This research supports the
claims of non-toxicity and includes less obvious non-fatal responses. Finally, we
give a handhold concerning the diamond concentration and size to use for non
toxic, intracellular measurements in favour of (cancer) research in HeLa cells.
PMID- 29373505
TI - Skeleton-Controlled pDNA Delivery of Renewable Steroid-Based Cationic Lipids, the
Endocytosis Pathway Analysis and Intracellular Localization.
AB - Using renewable and biocompatible natural-based resources to construct functional
biomaterials has attracted great attention in recent years. In this work, we
successfully prepared a series of steroid-based cationic lipids by integrating
various steroid skeletons/hydrophobes with (l-)-arginine headgroups via facile
and efficient synthetic approach. The plasmid DNA (pDNA) binding affinity of the
steroid-based cationic lipids, average particle sizes, surface potentials,
morphologies and stability of the steroid-based cationic lipids/pDNA lipoplexes
were disclosed to depend largely on the steroid skeletons. Cellular evaluation
results revealed that cytotoxicity and gene transfection efficiency of the
steroid-based cationic lipids in H1299 and HeLa cells strongly relied on the
steroid hydrophobes. Interestingly, the steroid lipids/pDNA lipoplexes inclined
to enter H1299 cells mainly through caveolae and lipid-raft mediated endocytosis
pathways, and an intracellular trafficking route of "lipid-raft-mediated
endocytosis->lysosome->cell nucleic localization" was accordingly proposed. The
study provided possible approach for developing high-performance steroid-based
lipid gene carriers, in which the cytotoxicity, gene transfection capability,
endocytosis pathways, and intracellular trafficking/localization manners could be
tuned/controlled by introducing proper steroid skeletons/hydrophobes. Noteworthy,
among the lipids, Cho-Arg showed remarkably high gene transfection efficacy, even
under high serum concentration (50% fetal bovine serum), making it an efficient
gene transfection agent for practical application.
PMID- 29373506
TI - Annoyance Judgment and Measurements of Environmental Noise: A Focus on Italian
Secondary Schools.
AB - The effects of noise on students' health, well-being, and learning are of growing
concern among both the general public and policy-makers in Europe. Several
studies have highlighted the consequences of noise on children's learning and
performance at school. This study investigates the relationship between noise
judgment in school goers aged 11-18 and noise measurements aimed at evaluating
their exposure at school. For this purpose, a questionnaire was administered to
521 individuals in 28 classrooms in eight schools of four cities in Italy, with
different environmental characteristics. Using a Likert-type scale, a selected
set of responses related to noise generated an Annoyance Index (AI) score for
each student and a classroom median score (MAI). From the noise data acquired, a
global noise score (GNS) was assigned to each classroom. A higher AI was found in
industrialized areas and among younger students. No significant differences in
noise judgment were found by gender. A significant inverse correlation was
described between MAI and GNS, thus the better the acoustic quality of the
classrooms, the less the perceived noise and annoyance. The results show that
noise perception and consequent disturbance are highly correlated with classroom
acoustics, and confirm that annoyance represents the most widespread subjective
response to noise.
PMID- 29373507
TI - Effect of Cyclic Dynamic Compressive Loading on Chondrocytes and Adipose-Derived
Stem Cells Co-Cultured in Highly Elastic Cryogel Scaffolds.
AB - In this study, we first used gelatin/chondroitin-6-sulfate/hyaluronan/chitosan
highly elastic cryogels, which showed total recovery from large strains during
repeated compression cycles, as 3D scaffolds to study the effects of cyclic
dynamic compressive loading on chondrocyte gene expression and extracellular
matrix (ECM) production. Dynamic culture of porcine chondrocytes was studied at 1
Hz, 10% to 40% strain and 1 to 9 h/day stimulation duration, in a mechanical
driven multi-chamber bioreactor for 14 days. From the experimental results, we
could identify the optimum dynamic culture condition (20% and 3 h/day) to enhance
the chondrocytic phenotype of chondrocytes from the expression of marker (Col I,
Col II, Col X, TNF-alpha, TGF-beta1 and IGF-1) genes by quantitative real-time
polymerase chain reactions (qRT-PCR) and production of ECM (GAGs and Col II) by
biochemical analysis and immunofluorescence staining. With up-regulated growth
factor (TGF-beta1 and IGF-1) genes, co-culture of chondrocytes with porcine
adipose-derived stem cells (ASCs) was employed to facilitate chondrogenic
differentiation of ASCs during dynamic culture in cryogel scaffolds. By replacing
half of the chondrocytes with ASCs during co-culture, we could obtain similar
production of ECM (GAGs and Col II) and expression of Col II, but reduced
expression of Col I, Col X and TNF-alpha. Subcutaneous implantation of
cells/scaffold constructs in nude mice after mono-culture (chondrocytes or ASCs)
or co-culture (chondrocytes + ASCs) and subject to static or dynamic culture
condition in vitro for 14 days was tested for tissue-engineering applications.
The constructs were retrieved 8 weeks post-implantation for histological analysis
by Alcian blue, Safranin O and Col II immunohistochemical staining. The most
abundant ectopic cartilage tissue was found for the chondrocytes and chondrocytes
+ ASCs groups using dynamic culture, which showed similar neo-cartilage formation
capability with half of the chondrocytes replaced by ASCs for co-culture. This
combined co-culture/dynamic culture strategy is expected to cut down the amount
of donor chondrocytes needed for cartilage-tissue engineering.
PMID- 29373508
TI - Frame-Insensitive Expression Cloning of Fluorescent Protein from Scolionema
suvaense.
AB - Expression cloning from cDNA is an important technique for acquiring genes
encoding novel fluorescent proteins. However, the probability of in-frame cDNA
insertion following the first start codon of the vector is normally only 1/3,
which is a cause of low cloning efficiency. To overcome this issue, we developed
a new expression plasmid vector, pRSET-TriEX, in which transcriptional slippage
was induced by introducing a DNA sequence of (dT)14 next to the first start codon
of pRSET. The effectiveness of frame-insensitive cloning was validated by
inserting the gene encoding eGFP with all three possible frames to the vector.
After transformation with one of these plasmids, E. coli cells expressed eGFP
with no significant difference in the expression level. The pRSET-TriEX vector
was then used for expression cloning of a novel fluorescent protein from
Scolionema suvaense. We screened 3658 E. coli colonies transformed with pRSET
TriEX containing Scolionema suvaense cDNA, and found one colony expressing a
novel green fluorescent protein, ScSuFP. The highest score in protein sequence
similarity was 42% with the chain c of multi-domain green fluorescent protein
like protein "ember" from Anthoathecata sp. Variations in the N- and/or C
terminal sequence of ScSuFP compared to other fluorescent proteins indicate that
the expression cloning, rather than the sequence similarity-based methods, was
crucial for acquiring the gene encoding ScSuFP. The absorption maximum was at 498
nm, with an extinction efficiency of 1.17 * 105 M-1.cm-1. The emission maximum
was at 511 nm and the fluorescence quantum yield was determined to be 0.6. Pseudo
native gel electrophoresis showed that the protein forms obligatory homodimers.
PMID- 29373509
TI - Offshore Earthquakes Do Not Influence Marine Mammal Stranding Risk on the
Washington and Oregon Coasts.
AB - The causes of marine mammals stranding on coastal beaches are not well
understood, but may relate to topography, currents, wind, water temperature,
disease, toxic algal blooms, and anthropogenic activity. Offshore earthquakes are
a source of intense sound and disturbance and could be a contributing factor to
stranding probability. We tested the hypothesis that the probability of marine
mammal stranding events on the coasts of Washington and Oregon, USA is increased
by the occurrence of offshore earthquakes in the nearby Cascadia subduction zone.
The analysis carried out here indicated that earthquakes are at most, a very
minor predictor of either single, or large (six or more animals) stranding
events, at least for the study period and location. We also tested whether
earthquakes inhibit stranding and again, there was no link. Although we did not
find a substantial association of earthquakes with strandings in this study, it
is likely that there are many factors influencing stranding of marine mammals and
a single cause is unlikely to be responsible. Analysis of a subset of data for
which detailed descriptions were available showed that most live stranded animals
were pups, calves, or juveniles, and in the case of dead stranded mammals, the
commonest cause of death was trauma, disease, and emaciation.
PMID- 29373510
TI - Advances in Degradable Embolic Microspheres: A State of the Art Review.
AB - Considerable efforts have been placed on the development of degradable
microspheres for use in transarterial embolization indications. Using the
guidance of the U.S. Food and Drug Administration (FDA) special controls document
for the preclinical evaluation of vascular embolization devices, this review
consolidates all relevant data pertaining to novel degradable microsphere
technologies for bland embolization into a single reference. This review
emphasizes intended use, chemical composition, degradative mechanisms, and pre
clinical safety, efficacy, and performance, while summarizing the key advantages
and disadvantages for each degradable technology that is currently under
development for transarterial embolization. This review is intended to provide an
inclusive reference for clinicians that may facilitate an understanding of
clinical and technical concepts related to this field of interventional
radiology. For materials scientists, this review highlights innovative devices
and current evaluation methodologies (i.e., preclinical models), and is designed
to be instructive in the development of innovative/new technologies and
evaluation methodologies.
PMID- 29373511
TI - Poly-N-Acetyllactosamine Neo-Glycoproteins as Nanomolar Ligands of Human Galectin
3: Binding Kinetics and Modeling.
AB - Galectin-3 (Gal-3) is recognized as a prognostic marker in several cancer types.
Its involvement in tumor development and proliferation makes this lectin a
promising target for early cancer diagnosis and anti-cancer therapies. Gal-3
recognizes poly-N-acetyllactosamine (LacNAc)-based carbohydrate motifs of
glycoproteins and glycolipids with a high specificity for internal LacNAc
epitopes. This study analyzes the mode and kinetics of binding of Gal-3 to a
series of multivalent neo-glycoproteins presenting complex poly-LacNAc-based
oligosaccharide ligands on a scaffold of bovine serum albumin. These neo
glycoproteins rank among the strongest Gal-3 ligands reported, with Kd reaching
sub-nanomolar values as determined by surface plasmon resonance. Significant
differences in the binding kinetics were observed within the ligand series,
showing the tetrasaccharide capped with N,N'-diacetyllactosamine (LacdiNAc) as
the strongest ligand of Gal-3 in this study. A molecular model of the Gal-3
carbohydrate recognition domain with docked oligosaccharide ligands is presented
that shows the relations in the binding site at the molecular level. The neo
glycoproteins presented herein may be applied for selective recognition of Gal-3
both on the cell surface and in blood serum.
PMID- 29373512
TI - Self-Harm among Young People Detained in the Youth Justice System in Sri Lanka.
AB - Self-harm is prevalent in incarcerated adults, yet comparatively few studies of
self-harm in detained youth (and even fewer in low- and middle-income countries)
have been published. We examined the prevalence and correlates of self-harm in a
sample of 181 young people (mean age 15.0 years, SD = 2.3) detained in the youth
justice system in Sri Lanka. Structured face-to-face questionnaires assessed
demographic characteristics, family and social background, substance use, self
harm history (including frequency, method, and intention), bullying
victimization, physical and sexual abuse (victimization and perpetration), and
exposure to self-harm/suicide by others. Seventy-seven participants (43%)
reported a lifetime history of self-harm, 19 of whom (25%) who reported doing so
with suicidal intent. Fifty participants (65% of those with a history of self
harm) reported engaging in self-harm impulsively, with no prior planning. A
history of self-harm was associated with being female, prior sexual abuse
victimization, prior exposure to self-harm by friends, and a lifetime history of
self-harm ideation. High rates of substance use, bullying victimization, parental
incarceration, and exposure to suicide were reported across the sample. Young
people detained in the youth justice system in Sri Lanka are a vulnerable group
with high rates of self-harm, substance use, and psychosocial risk factors.
Strategies for identifying and preventing self-harm, and targeted psychological
interventions designed specifically to address impulsivity, may contribute to
more positive outcomes in this marginalised population.
PMID- 29373513
TI - Almond Consumption and Processing Affects the Composition of the Gastrointestinal
Microbiota of Healthy Adult Men and Women: A Randomized Controlled Trial.
AB - BACKGROUND: Almond processing has been shown to differentially impact
metabolizable energy; however, the effect of food form on the gastrointestinal
microbiota is under-investigated. OBJECTIVE: We aimed to assess the
interrelationship of almond consumption and processing on the gastrointestinal
microbiota. DESIGN: A controlled-feeding, randomized, five-period, crossover
study with washouts between diet periods was conducted in healthy adults (n =
18). Treatments included: (1) zero servings/day of almonds (control); (2) 1.5
servings (42 g)/day of whole almonds; (3) 1.5 servings/day of whole, roasted
almonds; (4) 1.5 servings/day of roasted, chopped almonds; and (5) 1.5
servings/day of almond butter. Fecal samples were collected at the end of each
three-week diet period. RESULTS: Almond consumption increased the relative
abundances of Lachnospira, Roseburia, and Dialister (p <= 0.05). Comparisons
between control and the four almond treatments revealed that chopped almonds
increased Lachnospira, Roseburia, and Oscillospira compared to control (p <
0.05), while whole almonds increased Dialister compared to control (p = 0.007).
There were no differences between almond butter and control. CONCLUSIONS: These
results reveal that almond consumption induced changes in the microbial community
composition of the human gastrointestinal microbiota. Furthermore, the degree of
almond processing (e.g., roasting, chopping, and grinding into butter)
differentially impacted the relative abundances of bacterial genera.
PMID- 29373515
TI - A Review of Apps for Calming, Relaxation, and Mindfulness Interventions for
Pediatric Palliative Care Patients.
AB - Patients and families increasingly use mobile apps as a relaxation and
distraction intervention for children with complex, chronic medical conditions in
the waiting room setting or during inpatient hospitalizations; and yet, there is
limited data on app quality assessment or review of these apps for level of
engagement, functionality, aesthetics, or applicability for palliative pediatric
patients. The pediatric palliative care study team searched smartphone
application platforms for apps relevant to calming, relaxation, and mindfulness
for pediatric and adolescent patients. Apps were reviewed using a systematic data
extraction tool. Validated Mobile Application Rating Scale (MARS) scores were
determined by two blinded reviewers. Apps were then characterized by infant,
child, adolescent, and adult caregiver group categories. Reviewer discussion
resulted in consensus. Sixteen of the 22 apps identified were included in the
final analysis. The apps operated on either iOS or Android platforms. All were
available in English with four available in Spanish. Apps featured a relaxation
approach (12/16), soothing images (8/16), and breathing techniques (8/16). Mood
and sleep patterns were the main symptoms targeted by apps. Provision of mobile
apps resource summary has the potential to foster pediatric palliative care
providers' knowledge of app functionality and applicability as part of ongoing
patient care.
PMID- 29373517
TI - Prediction of Tensile Behavior of UHSFRC Considering the Flow Field in the
Placing Dominated by Shear Flow.
AB - Considering the case of fabricating a UHSFRC (ultra-high strength fiber
reinforced concrete) beam with the method of one end placing and self-flowing to
the other end, it was intended to simulate the variation of the fiber orientation
distribution according to the flow distance and the variation of the resultant
tensile behaviors. Then the validity of the simulation approach was shown by
comparing the simulated results with experimental ones. A three-point bending
test with a notched beam was adopted for the experiment and a finite element
analysis was performed to obtain the simulated results for the bending test
considering the flow-dependent tensile behavior of the UHSFRC. From the
simulation for the fiber orientation distribution according to the flow distance,
it could be found that the major change in the fiber orientation distribution
took place within a short flow distance and most of the fibers became nearly
aligned to the flow direction. After some flow distance, there was a not-so
remarkable variation in the fiber orientation distribution that could influence
the tensile behavior of the composite. For this flow region, the consistent
flexural test results, regardless of flow distance, demonstrate the reliability
of the simulation.
PMID- 29373514
TI - The Ever-Evolving Concept of the Cancer Stem Cell in Pancreatic Cancer.
AB - Pancreatic ductal adenocarcinoma (PDAC), the most common type of pancreatic
cancer, is the 4th most frequent cause of cancer-related death worldwide,
primarily due to the inherent chemoresistant nature and metastatic capacity of
this tumor. The latter is believed to be mainly due to the existence of a
subpopulation of highly plastic "stem"-like cells within the tumor, known as
cancer stem cells (CSCs), which have been shown to have unique metabolic,
autophagic, invasive, and chemoresistance properties that allow them to
continuously self-renew and escape chemo-therapeutic elimination. As such,
current treatments for the majority of PDAC patients are not effective and do not
significantly impact overall patient survival (<7 months) as they do not affect
the pancreatic CSC (PaCSC) population. In this context, it is important to
highlight the need to better understand the characteristics of the PaCSC
population in order to develop new therapies to target these cells. In this
review, we will provide the latest updates and knowledge on the inherent
characteristics of PaCSCs, particularly their unique biological properties
including chemoresistance, epithelial to mesenchymal transition, plasticity,
metabolism and autophagy.
PMID- 29373516
TI - Phycoerythrin Peptide from Pyropia yezoensis Alleviates Endoplasmic Reticulum
Stress Caused by Perfluorooctane Sulfonate-Induced Calcium Dysregulation.
AB - Perfluorooctane sulfonate (PFOS), a stable fluorosurfactant, causes endoplasmic
reticulum (ER) stress in the brain. This study was designed to investigate
whether a phycoerythrin-derived peptide of Pyropia yezoensis (PYP) reduces PFOS
induced ER stress associated with calcium dysregulation. The protective effects
of PYP were determined by cell viability, immunoblotting for ER stress response
protein glucose-regulated protein 78 (GRP78) and calcium-dependent protein
kinases in rat frontal cortical neurons. PFOS-induced decrease in cell viability
was attenuated by PYP pretreatment (1 ug/mL) for 24 h, which was downregulated by
inhibiting tropomyosin-receptor kinase B (TrkB). PYP pretreatment downregulated
the increase in intracellular calcium levels and phosphorylation of
calcium/calmodulin-dependent protein kinase II and c-Jun N-terminal kinase which
are associated with a PFOS-induced increase in GRP78. The PFOS-induced increase
in GRP78 was downregulated via activation of TrkB receptor-linked extracellular
signal-regulated kinases 1/2 (ERK1/2) by PYP pretreatment. Moreover, PYP
microinjections (1 ug/kg, 0.54 nmol) attenuated the GRP78 expression in rat
prefrontal cortex caused by PFOS (10 mg/kg) exposure for 2 weeks. These findings
demonstrate that PYP enhances frontal cortical neuron viability via activation of
TrkB receptor-ERK1/2 signaling and attenuation of ER stress in rat prefrontal
cortex against PFOS exposure, suggesting that PYP might prevent neuronal
dysfunctions caused by PFOS-induced ER stress.
PMID- 29373518
TI - Impact of MAC Delay on AUV Localization: Underwater Localization Based on
Hyperbolic Frequency Modulation Signal.
AB - Medium Access Control (MAC) delay which occurs between the anchor node's
transmissions is one of the error sources in underwater localization. In
particular, in AUV localization, the MAC delay significantly degrades the ranging
accuracy. The Cramer-Rao Low Bound (CRLB) definition theoretically proves that
the MAC delay significantly degrades the localization performance. This paper
proposes underwater localization combined with multiple access technology to
decouple the localization performance from the MAC delay. Towards this goal, we
adopt hyperbolic frequency modulation (HFM) signal that provides multiplexing
based on its good property, high-temporal correlation. Owing to the multiplexing
ability of the HFM signal, the anchor nodes can transmit packets without MAC
delay, i.e., simultaneous transmission is possible. In addition, the simulation
results show that the simultaneous transmission is not an optional communication
scheme, but essential for the localization of mobile object in underwater.
PMID- 29373519
TI - Responses of an Agricultural Soil Microbiome to Flooding with Seawater after
Managed Coastal Realignment.
AB - Coastal areas have become more prone to flooding with seawater due to climate
change-induced sea-level rise and intensified storm surges. One way to cope with
this issue is by "managed coastal realignment", where low-lying coastal areas are
no longer protected and instead flooded with seawater. How flooding with seawater
impacts soil microbiomes and the biogeochemical cycling of elements is poorly
understood. To address this, we conducted a microcosm experiment using soil cores
collected at the nature restoration project site Gyldensteen Strand (Denmark),
which were flooded with seawater and monitored over six months. Throughout the
experiment, biogeochemical analyses, microbial community fingerprinting and the
quantification of marker genes documented clear shifts in microbiome composition
and activity. The flooding with seawater initially resulted in accelerated
heterotrophic activity that entailed high ammonium production and net removal of
nitrogen from the system, also demonstrated by a concurrent increase in the
abundances of marker genes for ammonium oxidation and denitrification. Due to the
depletion of labile soil organic matter, microbial activity decreased after
approximately four months. The event of flooding caused the largest shifts in
microbiome composition with the availability of labile organic matter
subsequently being the most important driver for the succession in microbiome
composition in soils flooded with seawater.
PMID- 29373521
TI - Detection of Abrin by Electrochemiluminescence Biosensor Based on Screen Printed
Electrode.
AB - For the convenience of fast measurement in the outdoor environment, a portable
electrochemiluminescence biosensor with the screen-printed electrode as the
reaction center was developed, which possesses the characteristics of high
sensitivity, small scale, simplified operation and so on, and has been used for
in situ detection of abrin. First, combining with magnetic separation technique,
the "biotin-avidin" method was used to immobilize the polyclonal antibody (pcAb)
on the magnetic microspheres surface as the capture probe. Secondly, the
Ru(bpy)32+-labeled monoclonal antibody (mcAb) was used as the specific
electrochemiluminescence signal probe. Then, the "mcAb-toxin-pcAb" sandwich model
was built to actualize the quantitative detection of abrin on the surface of the
screen-printed electrode. The linear detection range was 0.5-1000 ng/mL; the
regression equation was Y = 89.251lgX + 104.978 (R = 0.9989, n = 7, p < 0.0001);
and the limit of detection (LOD) was 0.1 ng/mL. The sensing system showed high
sensitivity, excellent specificity and good anti-interference ability, and could
be used for the analysis of trace abrin in various environmental samples with
good recovery and reproducibility. Compared with the traditional
electrochemiluminescence sensing device, its miniaturization and portability
gives it potential to satisfy the requirement of in situ detection.
PMID- 29373520
TI - Complete Chloroplast Genome Sequence and Phylogenetic Analysis of Paeonia ostii.
AB - Paeonia ostii, a common oil-tree peony, is important ornamentally and
medicinally. However, there are few studies on the chloroplast genome of Paeonia
ostii. We sequenced and analyzed the complete chloroplast genome of P. ostii. The
size of the P. ostii chloroplast genome is 152,153 bp, including a large single
copy region (85,373 bp), a small single-copy region (17,054 bp), and a pair of
inverted repeats regions (24,863 bp). The P. ostii chloroplast genome encodes 111
genes, including 77 protein-coding genes, four ribosomal RNA genes, and 30
transfer RNA genes. The genome contains forward repeats (22), palindromic repeats
(28), and tandem repeats (24). The presence of rich simple-sequence repeat loci
in the genome provides opportunities for future population genetics work for
breeding new varieties. A phylogenetic analysis showed that P. ostii is more
closely related to Paeonia delavayi and Paeonialudlowii than to Paeoniaobovata
and Paeoniaveitchii. The results of this study provide an assembly of the whole
chloroplast genome of P. ostii, which may be useful for future breeding and
further biological discoveries. It will provide a theoretical basis for the
improvement of peony yield and the determination of phylogenetic status.
PMID- 29373522
TI - lncRNA Gene Signatures for Prediction of Breast Cancer Intrinsic Subtypes and
Prognosis.
AB - Background: Breast cancer is intrinsically heterogeneous and is commonly
classified into four main subtypes associated with distinct biological features
and clinical outcomes. However, currently available data resources and methods
are limited in identifying molecular subtyping on protein-coding genes, and
little is known about the roles of long non-coding RNAs (lncRNAs), which occupies
98% of the whole genome. lncRNAs may also play important roles in subgrouping
cancer patients and are associated with clinical phenotypes. Methods: The purpose
of this project was to identify lncRNA gene signatures that are associated with
breast cancer subtypes and clinical outcomes. We identified lncRNA gene
signatures from The Cancer Genome Atlas (TCGA )RNAseq data that are associated
with breast cancer subtypes by an optimized 1-Norm SVM feature selection
algorithm. We evaluated the prognostic performance of these gene signatures with
a semi-supervised principal component (superPC) method. Results: Although lncRNAs
can independently predict breast cancer subtypes with satisfactory accuracy, a
combined gene signature including both coding and non-coding genes will give the
best clinically relevant prediction performance. We highlighted eight potential
biomarkers (three from coding genes and five from non-coding genes) that are
significantly associated with survival outcomes. Conclusion: Our proposed methods
are a novel means of identifying subtype-specific coding and non-coding potential
biomarkers that are both clinically relevant and biologically significant.
PMID- 29373523
TI - Evolution of Structural and Optical Properties of ZnO Nanorods Grown on Vacuum
Annealed Seed Crystallites.
AB - In this study, the ambient condition for the as-coated seed layer (SL) annealing
at 350 degrees C is varied from air or nitrogen to vacuum to examine the
evolution of structural and optical properties of ZnO nanorods (NRs). The NR
crystals of high surface density (~240 rods/MUm2) and aspect ratio (~20.3) show
greatly enhanced (002) degree of orientation and crystalline quality, when grown
on the SLs annealed in vacuum, compared to those annealed in air or nitrogen
ambient. This is due to the vacuum-annealed SL crystals of a highly preferred
orientation toward (002) and large grain sizes. X-ray photoelectron spectroscopy
also reveals that the highest O/Zn atomic ratio of 0.89 is obtained in the case
of vacuum-annealed SL crystals, which is due to the effective desorption of
hydroxyl groups and other contaminants adsorbed on the surface formed during
aqueous solution-based growth process. Near band edge emission (ultra violet
range of 360-400 nm) of the vacuum-annealed SLs is also enhanced by 44% and 33%
as compared to those annealed in air and nitrogen ambient, respectively, in
photoluminescence with significant suppression of visible light emission
associated with deep level transition. Due to this improvement of SL optical
crystalline quality, the NR crystals grown on the vacuum-annealed SLs produce ~3
times higher ultra violet emission intensity than the other samples. In summary,
it is shown that the ZnO NRs preferentially grow along the wurtzite c-axis
direction, thereby producing the high crystalline quality of nanostructures when
they grow on the vacuum-annealed SLs of high crystalline quality with minimized
impurities and excellent preferred orientation. The ZnO nanostructures of high
crystalline quality achieved in this study can be utilized for a wide range of
potential device applications such as laser diodes, light-emitting diodes,
piezoelectric transducers and generators, gas sensors, and ultraviolet detectors.
PMID- 29373524
TI - Photo-Induced Room-Temperature Gas Sensing with a-IGZO Based Thin-Film
Transistors Fabricated on Flexible Plastic Foil.
AB - We present a gas sensitive thin-film transistor (TFT) based on an amorphous
Indium-Gallium-Zinc-Oxide (a-IGZO) semiconductor as the sensing layer, which is
fabricated on a free-standing flexible polyimide foil. The photo-induced sensor
response to NO2 gas at room temperature and the cross-sensitivity to humidity are
investigated. We combine the advantages of a transistor based sensor with
flexible electronics technology to demonstrate the first flexible a-IGZO based
gas sensitive TFT. Since flexible plastic substrates prohibit the use of high
operating temperatures, the charge generation is promoted with the help of UV
light absorption, which ultimately triggers the reversible chemical reaction with
the trace gas. Furthermore, the device fabrication process flow can be directly
implemented in standard TFT technology, allowing for the parallel integration of
the sensor and analog or logical circuits.
PMID- 29373525
TI - Three-Dimensionally Hierarchical Graphene Based Aerogel Encapsulated Sulfur as
Cathode for Lithium/Sulfur Batteries.
AB - A simple and effective method was developed to obtain the electrode for
lithium/sulfur (Li/S) batteries with high specific capacity and cycling
durability via adopting an interconnected sulfur/activated carbon/graphene
(reduced graphene oxide) aerogel (S/AC/GA) cathode architecture. The AC/GA
composite with a well-defined interconnected conductive network was prepared by a
reduction-induced self-assembly process, which allows for obtaining compact and
porous structures. During this process, reduced graphene oxide (RGO) was formed,
and due to the presence of oxygen-containing functional groups on its surface, it
not only improves the electronic conductivity of the cathode but also effectively
inhibits the polysulfides dissolution and shuttle. The introduced activated
carbon allowed for lateral and vertical connection between individual graphene
sheets, completing the formation of a stable three-dimensionally (3D)
interconnected graphene framework. Moreover, a high specific surface area and 3D
interconnected porous structure efficiently hosts a higher amount of active
sulfur material, about 65 wt %. The designed S/AC/GA composite electrodes deliver
an initial capacity of 1159 mAh g-1 at 0.1 C and can retain a capacity of 765 mAh
g-1 after 100 cycles in potential range from 1 V to 3 V.
PMID- 29373526
TI - The Dynamic Effects of Isosteviol on Insulin Secretion and Its Inability to
Counteract the Impaired beta-Cell Function during Gluco-, Lipo-, and
Aminoacidotoxicity: Studies In Vitro.
AB - Isosteviol (ISV), a diterpene molecule, is an isomer of the backbone structure of
a group of substances with proven antidiabetic capabilities. The aim of this
study was to investigate if ISV elicits dynamic insulin release from pancreatic
islets and concomitantly is able to ameliorate gluco-, lipo-, and
aminoacidotoxicity in clonal beta-cell line (INS-1E) in relation to cell
viability and insulin secretion. Isolated mice islets placed into perifusion
chambers were perifused with 3.3 mM and 16.7 mM glucose with/without 10-7 M ISV.
INS-1E cells were incubated for 72 h with either 30 mM glucose, 1 mM palmitate or
10 mM leucine with or without 10-7 M ISV. Cell viability was evaluated with a
Cytotoxic Fluoro-test and insulin secretion was measured in Krebs-Ringer Buffer
at 3.3 mM and 16.7 mM glucose. In the presence of 3.3 mM glucose, 10-7 M ISV did
not change basal insulin secretion from perifused islets. However, at a high
glucose level of 16.7 mM, 10-7 M ISV elicited a 2.5-fold increase (-ISV: 109.92
+/- 18.64 ng/mL vs. +ISV: 280.15 +/- 34.97 ng/mL; p < 0.01). After 72 h gluco-,
lipo-, or aminoacidotoxicity in INS-1E cells, ISV treatment did not significantly
affect cell viability (glucotoxicity, -ISV: 19.23 +/- 0.83%, +ISV: 18.41 +/-
0.90%; lipotoxicity, -ISV: 70.46 +/- 3.15%, +ISV: 65.38 +/- 2.81%;
aminoacidotoxicity: -ISV: 8.12 +/- 0.63%; +ISV: 7.75 +/- 0.38%, all
nonsignificant). ISV did not improve impaired insulin secretion (glucotoxicity,
ISV: 52.22 +/- 2.90 ng/mL, +ISV: 47.24 +/- 3.61 ng/mL; lipotoxicity, -ISV: 19.94
+/- 4.10 ng/mL, +ISV: 22.12 +/- 3.94 ng/mL; aminoacidotoxicity: -ISV: 32.13 +/-
1.00 ng/mL; +ISV: 30.61 +/- 1.54 ng/mL, all nonsignificant). In conclusion, ISV
acutely stimulates insulin secretion at high but not at low glucose
concentrations. However, ISV did not counteract cell viability or cell
dysfunction during gluco-, lipo-, or aminoacidotoxicity in INS-1E cells.
PMID- 29373527
TI - Transcriptome Analysis of Kiwifruit in Response to Pseudomonas syringae pv.
actinidiae Infection.
AB - Kiwifruit bacterial canker caused by Pseudomonas syringae pv. actinidiae (Psa)
has brought about a severe threat to the kiwifruit industry worldwide since its
first outbreak in 2008. Studies on other pathovars of P. syringae are revealing
the pathogenesis of these pathogens, but little about the mechanism of kiwifruit
bacterial canker is known. In order to explore the species-specific interaction
between Psa and kiwifruit, we analyzed the transcriptomic profile of kiwifruit
infected by Psa. After 48 h, 8255 differentially expressed genes were identified,
including those involved in metabolic process, secondary metabolites metabolism
and plant response to stress. Genes related to biosynthesis of terpens were
obviously regulated, indicating terpens may play roles in suppressing the growth
of Psa. We identified 283 differentially expressed resistant genes, of which most
U-box domain containing genes were obviously up regulated. Expression of genes
involved in plant immunity was detected and some key genes showed differential
expression. Our results suggest that Psa induced defense response of kiwifruit,
including PAMP (pathogen/microbe-associated molecular patterns)-triggered
immunity, effector-triggered immunity and hypersensitive response. Metabolic
process was adjusted to adapt to these responses and production of secondary
metabolites may be altered to suppress the growth of Psa.
PMID- 29373528
TI - A IR-Femtosecond Laser Hybrid Sensor to Measure the Thermal Expansion and Thermo
Optical Coefficient of Silica-Based FBG at High Temperatures.
AB - In this paper, a hybrid sensor was fabricated using a IR-femtosecond laser to
measure the thermal expansion and thermo-optical coefficient of silica-based
fiber Bragg gratings (FBGs). The hybrid sensor was composed of an inline fiber
Fabry-Perot interferometer (FFPI) cavity and a type-II FBG. Experiment results
showed that the type-II FBG had three high reflectivity resonances in the
wavelength ranging from 1100 to 1600 nm, showing the peaks in 1.1, 1.3 and 1.5
MUm, respectively. The thermal expansion and thermo-optical coefficient (1.3 MUm,
1.5 MUm) of silica-based FBG, under temperatures ranging from 30 to 1100 degrees
C, had been simultaneously calculated by measuring the wavelength of the type-II
FBG and FFPI cavity length.
PMID- 29373529
TI - A Systematic Review of Behavioural Interventions Promoting Healthy Eating among
Older People.
AB - Because eating habits are inseparably linked with people's physical health,
effective behaviour interventions are highly demanded to promote healthy eating
among older people. The aim of this systematic review was to identify effective
diet interventions for older people and provide useful evidence and direction for
further research. Three electronic bibliographic databases-PubMed, Scopus and Web
of Science Core Collection were used to conduct a systematic literature search
based on fixed inclusion and exclusion criteria. English language peer-reviewed
journal articles published between 2011 and 2016 were selected for data
extraction and quality assessment. Finally, a total of 16 studies were
identified. The studies' duration ranged from three weeks to seven years. The
majority of studies were carried out in European countries. Seven studies had a
moderate quality while the remaining studies were at a less than moderate level.
Three dietary educational interventions and all meal service related
interventions reported improvements in older people's dietary variety, nutrition
status, or other health-related eating behaviours. Multicomponent dietary
interventions mainly contributed to the reduction of risk of chronic disease. The
results supported that older people could achieve a better dietary quality if
they make diet-related changes by receiving either dietary education or healthier
meal service. Further high-quality studies are required to promote healthy eating
among older people by taking regional diet patterns, advanced information
technology, and nudging strategies into account.
PMID- 29373530
TI - Type-4 Resistant Starch in Substitution for Available Carbohydrate Reduces
Postprandial Glycemic Response and Hunger in Acute, Randomized, Double-Blind,
Controlled Study.
AB - Resistant starch (RS) is a type of dietary fiber that has been acknowledged for
multiple physiological benefits. Resistant starch type 4 (RS4) is a subcategory
of RS that has been more intensively studied as new types of RS4 emerge in the
food supply. The primary aim of this randomized, double-blind, controlled study
was to characterize the postprandial glucose response in healthy adults after
consuming a high fiber scone containing a novel RS4 or a low fiber control scone
without RS4. Secondary aims included assessment of postprandial insulin response,
postprandial satiety, and gastrointestinal tolerance. The fiber scone
significantly reduced postprandial glucose and insulin incremental areas under
the curves (43-45% reduction, 35-40% reduction, respectively) and postprandial
glucose and insulin maximum concentrations (8-10% and 22% reduction,
respectively). The fiber scone significantly reduced hunger and desire to eat
during the 180 min following consumption and yielded no gastrointestinal side
effects compared with the control scone. The results from this study demonstrate
that a ready-to-eat baked-good, such as a scone, can be formulated with RS4
replacing refined wheat flour to yield statistically significant and clinically
meaningful reductions in blood glucose and insulin excursions. This is the first
study to report increased satiety after short-term RS4 intake, which warrants
further investigation in long-term feeding studies.
PMID- 29373531
TI - Comparative Studies on Thermal, Mechanical, and Flame Retardant Properties of PBT
Nanocomposites via Different Oxidation State Phosphorus-Containing Agents
Modified Amino-CNTs.
AB - High-performance poly(1,4-butylene terephthalate) (PBT) nanocomposites have been
developed via the consideration of phosphorus-containing agents and amino-carbon
nanotube (A-CNT). One-pot functionalization method has been adopted to prepare
functionalized CNTs via the reaction between A-CNT and different oxidation state
phosphorus-containing agents, including chlorodiphenylphosphine (DPP-Cl),
diphenylphosphinic chloride (DPP(O)-Cl), and diphenyl phosphoryl chloride
(DPP(O3)-Cl). These functionalized CNTs, DPP(Ox)-A-CNTs (x = 0, 1, 3), were,
respectively, mixed with PBT to obtain the CNT-based polymer nanocomposites
through a melt blending method. Scanning electron microscope observations
demonstrated that DPP(Ox)-A-CNT nanoadditives were homogeneously distributed
within PBT matrix compared to A-CNT. The incorporation of DPP(Ox)-A-CNT improved
the thermal stability of PBT. Moreover, PBT/DPP(O3)-A-CNT showed the highest
crystallization temperature and tensile strength, due to the superior dispersion
and interfacial interactions between DPP(O3)-A-CNT and PBT. PBT/DPP(O)-A-CNT
exhibited the best flame retardancy resulting from the excellent carbonization
effect. The radicals generated from decomposed polymer were effectively trapped
by DPP(O)-A-CNT, leading to the reduction of heat release rate, smoke production
rate, carbon dioxide and carbon monoxide release during cone calorimeter tests.
PMID- 29373532
TI - The Role of Bacteria, Probiotics and Diet in Irritable Bowel Syndrome.
AB - Irritable bowel syndrome is a highly prevalent gastrointestinal disorder that
threatens the quality of life of millions and poses a substantial financial
burden on healthcare systems around the world. Intense research into the human
microbiome has led to fascinating discoveries which directly and indirectly
implicate the diversity and function of this occult organ in irritable bowel
syndrome (IBS) pathophysiology. The benefit of manipulating the gastrointestinal
microbiota with diet and probiotics to improve symptoms has been demonstrated in
a wealth of both animal and human studies. The positive and negative mechanistic
roles bacteria play in IBS will be explored and practical probiotic and dietary
choices offered.
PMID- 29373533
TI - Suppression of Fatty Acid and Triglyceride Synthesis by the Flavonoid Orientin
through Decrease of C/EBPdelta Expression and Inhibition of PI3K/Akt-FOXO1
Signaling in Adipocytes.
AB - Plant flavonoids have a variety of biological properties. In a previous study, we
found that the tea of the Asian dayflower, Commelina communis L., decreased the
body weight gain in high-fat diet-fed mice. In this study, we studied the anti
adipogenic ability of a flavonoid orientin that is found in abundance in C.
communis. Orientin repressed the accumulation of intracellular triglyceride (TG)
in mouse adipocyte 3T3-L1 cells. The treatment with orientin also decreased the
mRNA levels of the genes involved in adipogenesis, lipogenesis, lipolysis, and TG
synthesis, and reduced the release of glycerol. Orientin lowered the expression
of CCAAT/enhancer binding protein (C/EBP) delta in the early stage of
adipogenesis, leading to a decrease in the expression of the adipogenic master
transcription factors such as peroxisome proliferator-activated receptor (PPAR)
gamma and C/EBPalpha. Moreover, the anti-adipogenic effect of orientin repressed
the phosphorylation of Akt and subsequent phosphorylation of forkhead box protein
O1 (FOXO1), which inhibits the transcription of the Ppar gene. These results
indicate that a plant flavonoid orientin suppressed the expression of the
Ppargamma gene through repression of C/ebpdelta expression and inhibition of the
phosphoinositide 3-kinase /Akt-FOXO1 signaling in adipocytes.
PMID- 29373535
TI - Strapdown Airborne Gravimetry Quality Assessment Method Based on Single Survey
Line Data: A Study by SGA-WZ02 Gravimeter.
AB - Quality assessment is an important part in the strapdown airborne gravimetry.
Root mean square error (RMSE) evaluation method is a classical way to evaluate
the gravimetry quality, but classical evaluation methods are preconditioned by
extra flight or reference data. Thus, a method, which is able to largely conquer
the premises of classical quality assessment methods and can be used in single
survey line, has been developed in this paper. According to theoretical analysis,
the method chooses the stability of two horizontal attitude angles, horizontal
specific force and vertical specific force as the determinants of quality
assessment method. The actual data, collected by SGA-WZ02 from 13 flights 21
lines in certain survey, was used to build the model and elaborate the method. To
substantiate the performance of the quality assessment model, the model is
applied in extra repeat line flights from two surveys. Compared with internal
RMSE, standard deviation of assessment residuals are 0.23 mGal and 0.16 mGal in
two surveys, which shows that the quality assessment method is reliable and
stricter. The extra flights are not necessary by specially arranging the route of
flights. The method, summarized from SGA-WZ02, is a feasible approach to assess
gravimetry quality using single line data and is also suitable for other
strapdown gravimeters.
PMID- 29373534
TI - Betaine Supplementation Enhances Lipid Metabolism and Improves Insulin Resistance
in Mice Fed a High-Fat Diet.
AB - Obesity is a major driver of metabolic diseases such as nonalcoholic fatty liver
disease, certain cancers, and insulin resistance. However, there are no effective
drugs to treat obesity. Betaine is a nontoxic, chemically stable and naturally
occurring molecule. This study shows that dietary betaine supplementation
significantly inhibits the white fat production in a high-fat diet (HFD)-induced
obese mice. This might be due to betaine preventing the formation of new white
fat (WAT), and guiding the original WAT to burn through stimulated mitochondrial
biogenesis and promoting browning of WAT. Furthermore, dietary betaine
supplementation decreases intramyocellular lipid accumulation in HFD-induced
obese mice. Further analysis shows that betaine supplementation reduced
intramyocellular lipid accumulation might be associated with increasing
polyunsaturated fatty acids (PUFA), fatty acid oxidation, and the inhibition of
fatty acid synthesis in muscle. Notably, by performing insulin-tolerance tests
(ITTs) and glucose-tolerance tests (GTTs), dietary betaine supplementation could
be observed for improvement of obesity and non-obesity induced insulin
resistance. Together, these findings could suggest that inhibiting WAT
production, intramyocellular lipid accumulation and inflammation, betaine
supplementation limits HFD-induced obesity and improves insulin resistance.
PMID- 29373536
TI - Sustainability of a Compartmentalized Host-Parasite Replicator System under
Periodic Washout-Mixing Cycles.
AB - The emergence and dominance of parasitic replicators are among the major hurdles
for the proliferation of primitive replicators. Compartmentalization of
replicators is proposed to relieve the parasite dominance; however, it remains
unclear under what conditions simple compartmentalization uncoupled with internal
reaction secures the long-term survival of a population of primitive replicators
against incessant parasite emergence. Here, we investigate the sustainability of
a compartmentalized host-parasite replicator (CHPR) system undergoing periodic
washout-mixing cycles, by constructing a mathematical model and performing
extensive simulations. We describe sustainable landscapes of the CHPR system in
the parameter space and elucidate the mechanism of phase transitions between
sustainable and extinct regions. Our findings revealed that a large population
size of compartments, a high mixing intensity, and a modest amount of nutrients
are important factors for the robust survival of replicators. We also found two
distinctive sustainable phases with different mixing intensities. These results
suggest that a population of simple host-parasite replicators assumed before the
origin of life can be sustained by a simple compartmentalization with periodic
washout-mixing processes.
PMID- 29373537
TI - Pharmacokinetics and Pharmacodynamics of (S)-Ketoprofen Co-Administered with
Caffeine: A Preclinical Study in Arthritic Rats.
AB - The purpose of the present study was to determine whether caffeine modifies the
pharmacokinetics and pharmacodynamics of (S)-ketoprofen following oral
administration in a gout-type pain model. 3.2 mg/kg of (S)-ketoprofen alone and
combined with 17.8 mg/kg of caffeine were administered to Wistar rats and plasma
levels were determined between 0.5 and 24.0 h. Additionally, antinociception was
evaluated based on the protocol of the PIFIR (pain-induced functional impairment
in the rat) model before blood sampling between 0.5 and 4.0 h. Significant
differences in Cmax, AUC0-24, and AUC0-infinity values were observed with
caffeine administration (p < 0.05). Also, significant differences in Emax, Tmax,
and AUC0-4 values were determined when comparing the treatments with and without
caffeine (p < 0.05). By relating the pharmacokinetic and pharmacodynamic data, a
counter-clockwise hysteresis loop was observed regardless of the administration
of caffeine. When the relationship between AUCe and AUCp was fitted to the
sigmoidal Emax model, a satisfactory correlation was found (R2 > 0.99) as well as
significant differences in Emax and EC50 values (p < 0.05). With caffeine, Emax
and EC50 values changed by 489.5% and 695.4%, respectively. The combination
studied represents a convenient alternative for the treatment of pain when
considering the advantages offered by using drugs with different mechanisms of
action.
PMID- 29373538
TI - Novel Formulations of Phase Change Materials-Epoxy Composites for Thermal Energy
Storage.
AB - This research aimed to evaluate the thermal properties of new formulations of
phase change materials (PCMs)-epoxy composites, containing a thickening agent and
a thermally conductive phase. The composite specimens produced consisted of
composites fabricated using (a) inorganic PCMs (hydrated salts), epoxy resins and
aluminum particulates or (b) organic PCM (paraffin), epoxy resins, and copper
particles. Differential Scanning Calorimetry (DSC) was used to analyze the
thermal behavior of the samples, while hardness measurements were used to
determine changes in mechanical properties at diverse PCM and conductive phase
loading values. The results indicate that the epoxy matrix can act as a container
for the PCM phase without hindering the heat-absorbing behavior of the PCMs
employed. Organic PCMs presented reversible phase transformations over multiple
cycles, an advantage that was lacking in their inorganic counterparts. The
enthalpy of the organic PCM-epoxy specimens increased linearly with the PCM
content in the matrix. The use of thickening agents prevented phase segregation
issues and allowed the fabrication of specimens containing up to 40% PCM, a
loading significantly higher than others reported. The conductive phase seemed to
improve the heat transfer and the mechanical properties of the composites when
present in low percentages (<10 wt %); however, given its mass, the enthalpy
detected in the composites was reduced as their loading further increased. The
conductive phase combination (PCM + epoxy resin + hardener + thickening agent)
presents great potential as a heat-absorbing material at the temperatures
employed.
PMID- 29373540
TI - Functionalizable Sol-Gel Silica Coatings for Corrosion Mitigation.
AB - Corrosion is constantly a major problem of the world economy in the field of
metal products, metal processing and other areas that utilise metals. Previously
used compounds utilizing hexavalent chromium were amongst the most effective
materials for corrosion protection but regulations have been recently introduced
that forbid their use. Consequently, there is a huge drive by engineers,
technologists and scientists from different disciplines focused on searching a
new, more effective and environmentally-friendly means of corrosion protection.
One novel group of materials with the potential to solve metal protection
problems are sol-gel thin films, which are increasingly interesting as mitigation
corrosion barriers. These environmentally-friendly and easy-to-obtain coatings
have the promise to be an effective alternative to hexavalent chromium compounds
using for anti-corrosion industrial coatings. In this review the authors present
a range of different solutions for slow down the corrosion processes of metallic
substrates by using the oxides and doped oxides obtained by the sol-gel method.
Examples of techniques used to the sol-gel coating examinations, in terms of anti
corrosion protection, are also presented.
PMID- 29373539
TI - Acute Limb Ischemia-Much More Than Just a Lack of Oxygen.
AB - Acute ischemia of an extremity occurs in several stages, a lack of oxygen being
the primary contributor of the event. Although underlying patho-mechanisms are
similar, it is important to determine whether it is an acute or chronic event.
Healthy tissue does not contain enlarged collaterals, which are formed in
chronically malperfused tissue and can maintain a minimum supply despite
occlusion. The underlying processes for enhanced collateral blood flow are
sprouting vessels from pre-existing vessels (via angiogenesis) and a lumen
extension of arterioles (via arteriogenesis). While disturbed flow patterns with
associated local low shear stress upregulate angiogenesis promoting genes,
elevated shear stress may trigger arteriogenesis due to increased blood volume.
In case of an acute ischemia, especially during the reperfusion phase, fluid
transfer occurs into the tissue while the vascular bed is simultaneously reduced
and no longer reacts to vaso-relaxing factors such as nitric oxide. This process
results in an exacerbative cycle, in which increased peripheral resistance leads
to an additional lack of oxygen. This whole process is accompanied by an
inundation of inflammatory cells, which amplify the inflammatory response by
cytokine release. However, an extremity is an individual-specific composition of
different tissues, so these processes may vary dramatically between patients. The
image is more uniform when broken down to the single cell stage. Because each
cell is dependent on energy produced from aerobic respiration, an event of acute
hypoxia can be a life-threatening situation. Aerobic processes responsible for
yielding adenosine triphosphate (ATP), such as the electron transport chain and
oxidative phosphorylation in the mitochondria, suffer first, thus disrupting the
integrity of cellular respiration. One consequence of this is irreparable damage
of the cell membrane due to an imbalance of electrolytes. The eventual increase
in net fluid influx associated with a decrease in intracellular pH is considered
an end-stage event. Due to the lack of ATP, individual cell organelles can no
longer sustain their activity, thus initiating the cascade pathways of apoptosis
via the release of cytokines such as the BCL2 associated X protein (BAX). As
ischemia may lead to direct necrosis, inflammatory processes are further
aggravated. In the case of reperfusion, the flow of nascent oxygen will cause
additional damage to the cell, further initiating apoptosis in additional
surrounding cells. In particular, free oxygen radicals are formed, causing severe
damage to cell membranes and desoxyribonucleic acid (DNA). However, the increased
tissue stress caused by this process may be transient, as radical scavengers may
attenuate the damage. Taking the above into final consideration, it is clearly
elucidated that acute ischemia and subsequent reperfusion is a process that leads
to acute tissue damage combined with end-organ loss of function, a condition that
is difficult to counteract.
PMID- 29373541
TI - Effect of Cation Ordering on the Performance and Chemical Stability of Layered
Double Perovskite Cathodes.
AB - The effect of A-site cation ordering on the cathode performance and chemical
stability of A-site cation ordered LaBaCo2O5+delta and disordered La0.5Ba0.5CoO3
delta materials are reported. Symmetric half-cells with a proton-conducting
BaZr0.9Y0.1O3-delta electrolyte were prepared by ceramic processing, and good
chemical compatibility of the materials was demonstrated. Both A-site ordered
LaBaCo2O5+delta and A-site disordered La0.5Ba0.5CoO3-delta yield excellent
cathode performance with Area Specific Resistances as low as 7.4 and 11.5
Omega.cm2 at 400 degrees C and 0.16 and 0.32 Omega.cm2 at 600 degrees C in 3%
humidified synthetic air respectively. The oxygen vacancy concentration,
electrical conductivity, basicity of cations and crystal structure were evaluated
to rationalize the electrochemical performance of the two materials. The
combination of high-basicity elements and high electrical conductivity as well as
sufficient oxygen vacancy concentration explains the excellent performance of
both LaBaCo2O5+delta and La0.5Ba0.5CoO3-delta materials at high temperatures. At
lower temperatures, oxygen-deficiency in both materials is greatly reduced,
leading to decreased performance despite the high basicity and electrical
conductivity. A-site cation ordering leads to a higher oxygen vacancy
concentration, which explains the better performance of LaBaCo2O5+delta. Finally,
the more pronounced oxygen deficiency of the cation ordered polymorph and the
lower chemical stability at reducing conditions were confirmed by coulometric
titration.
PMID- 29373542
TI - Environment vs. Plant Ontogeny: Arthropod Herbivory Patterns on European Beech
Leaves along the Vertical Gradient of Temperate Forests in Central Germany.
AB - Environmental and leaf trait effects on herbivory are supposed to vary among
different feeding guilds. Herbivores also show variability in their preferences
for plant ontogenetic stages. Along the vertical forest gradient, environmental
conditions change, and trees represent juvenile and adult individuals in the
understorey and canopy, respectively. This study was conducted in ten forests
sites in Central Germany for the enrichment of canopy research in temperate
forests. Arthropod herbivory of different feeding traces was surveyed on leaves
of Fagus sylvatica Linnaeus (European beech; Fagaceae) in three strata. Effects
of microclimate, leaf traits, and plant ontogenetic stage were analyzed as
determining parameters for herbivory. The highest herbivory was caused by
exophagous feeding traces. Herbivore attack levels varied along the vertical
forest gradient for most feeding traces with distinct patterns. If differences of
herbivory levels were present, they only occurred between juvenile and adult F.
sylvatica individuals, but not between the lower and upper canopy. In contrast,
differences of microclimate and important leaf traits were present between the
lower and upper canopy. In conclusion, the plant ontogenetic stage had a stronger
effect on herbivory than microclimate or leaf traits along the vertical forest
gradient.
PMID- 29373544
TI - Artificial Vector Calibration Method for Differencing Magnetic Gradient Tensor
Systems.
AB - The measurement error of the differencing (i.e., using two homogenous field
sensors at a known baseline distance) magnetic gradient tensor system includes
the biases, scale factors, nonorthogonality of the single magnetic sensor, and
the misalignment error between the sensor arrays, all of which can severely
affect the measurement accuracy. In this paper, we propose a low-cost artificial
vector calibration method for the tensor system. Firstly, the error parameter
linear equations are constructed based on the single-sensor's system error model
to obtain the artificial ideal vector output of the platform, with the total
magnetic intensity (TMI) scalar as a reference by two nonlinear conversions,
without any mathematical simplification. Secondly, the Levenberg-Marquardt
algorithm is used to compute the integrated model of the 12 error parameters by
nonlinear least-squares fitting method with the artificial vector output as a
reference, and a total of 48 parameters of the system is estimated
simultaneously. The calibrated system outputs along the reference platform
orthogonal coordinate system. The analysis results show that the artificial
vector calibrated output can track the orientation fluctuations of TMI
accurately, effectively avoiding the "overcalibration" problem. The accuracy of
the error parameters' estimation in the simulation is close to 100%. The
experimental root-mean-square error (RMSE) of the TMI and tensor components is
less than 3 nT and 20 nT/m, respectively, and the estimation of the parameters is
highly robust.
PMID- 29373543
TI - Vitamin E as an Antioxidant in Female Reproductive Health.
AB - Vitamin E was first discovered in 1922 as a substance necessary for reproduction.
Following this discovery, vitamin E was extensively studied, and it has become
widely known as a powerful lipid-soluble antioxidant. There has been increasing
interest in the role of vitamin E as an antioxidant, as it has been discovered to
lower body cholesterol levels and act as an anticancer agent. Numerous studies
have reported that vitamin E exhibits anti-proliferative, anti-survival, pro
apoptotic, and anti-angiogenic effects in cancer, as well as anti-inflammatory
activities. There are various reports on the benefits of vitamin E on health in
general. However, despite it being initially discovered as a vitamin necessary
for reproduction, to date, studies relating to its effects in this area are
lacking. Hence, this paper was written with the intention of providing a review
of the known roles of vitamin E as an antioxidant in female reproductive health.
PMID- 29373546
TI - A Micro-Resonant Gas Sensor with Nanometer Clearance between the Pole Plates.
AB - In micro-resonant gas sensors, the capacitive detection is widely used because of
its simple structure. However, its shortcoming is a weak signal output caused by
a small capacitance change. Here, we reduced the initial clearance between the
pole plates to the nanometer level, and increased the capacitance between the
pole plates and its change during resonator vibration. We propose a fabricating
process of the micro-resonant gas sensor by which the initial clearance between
the pole plates is reduced to the nanometer level and a micro-resonant gas sensor
with 200 nm initial clearance is fabricated. With this sensor, the resonant
frequency shifts were measured when they were exposed to several different
vapors, and high detection accuracies were obtained. The detection accuracy with
respect to ethanol vapor was 0.4 ppm per Hz shift, and the detection accuracy
with respect to hydrogen and ammonias vapors was 3 ppm and 0.5 ppm per Hz shift,
respectively.
PMID- 29373548
TI - Hyperspectral Image Classification for Land Cover Based on an Improved Interval
Type-II Fuzzy C-Means Approach.
AB - Few studies have examined hyperspectral remote-sensing image classification with
type-II fuzzy sets. This paper addresses image classification based on a
hyperspectral remote-sensing technique using an improved interval type-II fuzzy c
means (IT2FCM*) approach. In this study, in contrast to other traditional fuzzy c
means-based approaches, the IT2FCM* algorithm considers the ranking of interval
numbers and the spectral uncertainty. The classification results based on a
hyperspectral dataset using the FCM, IT2FCM, and the proposed improved IT2FCM*
algorithms show that the IT2FCM* method plays the best performance according to
the clustering accuracy. In this paper, in order to validate and demonstrate the
separability of the IT2FCM*, four type-I fuzzy validity indexes are employed, and
a comparative analysis of these fuzzy validity indexes also applied in FCM and
IT2FCM methods are made. These four indexes are also applied into different
spatial and spectral resolution datasets to analyze the effects of spectral and
spatial scaling factors on the separability of FCM, IT2FCM, and IT2FCM* methods.
The results of these validity indexes from the hyperspectral datasets show that
the improved IT2FCM* algorithm have the best values among these three algorithms
in general. The results demonstrate that the IT2FCM* exhibits good performance in
hyperspectral remote-sensing image classification because of its ability to
handle hyperspectral uncertainty.
PMID- 29373549
TI - A Novel Grid SINS/DVL Integrated Navigation Algorithm for Marine Application.
AB - Integrated navigation algorithms under the grid frame have been proposed based on
the Kalman filter (KF) to solve the problem of navigation in some special
regions. However, in the existing study of grid strapdown inertial navigation
system (SINS)/Doppler velocity log (DVL) integrated navigation algorithms, the
Earth models of the filter dynamic model and the SINS mechanization are not
unified. Besides, traditional integrated systems with the KF based correction
scheme are susceptible to measurement errors, which would decrease the accuracy
and robustness of the system. In this paper, an adaptive robust Kalman filter
(ARKF) based hybrid-correction grid SINS/DVL integrated navigation algorithm is
designed with the unified reference ellipsoid Earth model to improve the
navigation accuracy in middle-high latitude regions for marine application.
Firstly, to unify the Earth models, the mechanization of grid SINS is introduced
and the error equations are derived based on the same reference ellipsoid Earth
model. Then, a more accurate grid SINS/DVL filter model is designed according to
the new error equations. Finally, a hybrid-correction scheme based on the ARKF is
proposed to resist the effect of measurement errors. Simulation and experiment
results show that, compared with the traditional algorithms, the proposed
navigation algorithm can effectively improve the navigation performance in middle
high latitude regions by the unified Earth models and the ARKF based hybrid
correction scheme.
PMID- 29373545
TI - Growth Hormone (GH) and Gonadotropin-Releasing Hormone (GnRH) in the Central
Nervous System: A Potential Neurological Combinatory Therapy?
AB - This brief review of the neurological effects of growth hormone (GH) and
gonadotropin-releasing hormone (GnRH) in the brain, particularly in the cerebral
cortex, hypothalamus, hippocampus, cerebellum, spinal cord, neural retina, and
brain tumors, summarizes recent information about their therapeutic potential as
treatments for different neuropathologies and neurodegenerative processes. The
effect of GH and GnRH (by independent administration) has been associated with
beneficial impacts in patients with brain trauma and spinal cord injuries. Both
GH and GnRH have demonstrated potent neurotrophic, neuroprotective, and
neuroregenerative action. Positive behavioral and cognitive effects are also
associated with GH and GnRH administration. Increasing evidence suggests the
possibility of a multifactorial therapy that includes both GH and GnRH.
PMID- 29373550
TI - A Fiber Bragg Grating-Based Dynamic Tension Detection System for Overhead
Transmission Line Galloping.
AB - Galloping of overhead transmission lines (OHTLs) may induce conductor breakage
and tower collapse, and there is no effective method for long distance
distribution on-line galloping monitoring. To overcome the drawbacks of the
conventional galloping monitoring systems, such as sensitivity to electromagnetic
interference, the need for onsite power, and short lifetimes, a novel optical
remote passive measuring system is proposed in the paper. Firstly, to solve the
hysteresis and eccentric load problem in tension sensing, and to extent the
dynamic response range, an 'S' type elastic element structure with flanges was
proposed. Then, a tension experiment was carried out to demonstrate the dynamic
response characteristics. Moreover, the designed tension sensor was stretched
continuously for 30 min to observe its long time stability. Last but not the
least, the sensor was mounted on a 70 m conductor model, and the conductor was
oscillated at different frequencies to investigate the dynamic performance of the
sensor. The experimental results demonstrate the sensor is suitable for the OHTL
galloping detection. Compared with the conventional sensors for OHTL monitoring,
the system has many advantages, such as easy installation, no flashover risk,
distribution monitoring, better bandwidth, improved accuracy and higher
reliability.
PMID- 29373547
TI - The Effect of Triptolide in Rheumatoid Arthritis: From Basic Research towards
Clinical Translation.
AB - Triptolide (TP), a major extract of the herb Tripterygium wilfordii Hook F
(TWHF), has been shown to exert potent pharmacological effects, especially an
immunosuppressive effect in the treatment of rheumatoid arthritis (RA). However,
its multiorgan toxicity prevents it from being widely used in clinical practice.
Recently, several attempts are being performed to reduce TP toxicity. In this
review, recent progress in the use of TP for RA, including its pharmacological
effects and toxicity, is summarized. Meanwhile, strategies relying on chemical
structural modifications, innovative delivery systems, and drug combinations to
alleviate the disadvantages of TP are also reviewed. Furthermore, we also discuss
the challenges and perspectives in their clinical translation.
PMID- 29373551
TI - Depression in Intimate Partner Violence Victims in Slovenia: A Crippling Pattern
of Factors Identified in Family Practice Attendees.
AB - This multi-centre cross-sectional study explored associations between prevalence
of depression and exposure to intimate partner violence (IPV) at any time in
patients' adult life in 471 participants of a previous IPV study. In 2016, 174
interviews were performed, using the Short Form Domestic Violence Exposure
Questionnaire, the Zung Scale and questions about behavioural patterns of
exposure to IPV. Family doctors reviewed patients' medical charts for period from
2012 to 2016, using the Domestic Violence Exposure Medical Chart Check List, for
conditions which persisted for at least three years. Depression was found to be
associated with any exposure to IPV in adult life and was more likely to affect
women. In multivariable logistic regression modelling, factors associated with
self-rated depression were identified (p < 0.05). Exposure to emotional and
physical violence was identified as a risk factor in the first model, explaining
23% of the variance. The second model explained 66% of the variance; past
divorce, dysfunctional family relationships and a history of incapacity to work
increased the likelihood of depression in patients. Family doctors should
consider IPV exposure when detecting depression, since lifetime IPV exposure was
found to be 40.4% and 36.9% of depressed revealed it.
PMID- 29373552
TI - Self-Assembled Supramolecular Nanoparticles Improve the Cytotoxic Efficacy of CK2
Inhibitor THN7.
AB - Since the approval of imatinib in 2001, kinase inhibitors have revolutionized
cancer therapies. Inside this family of phosphotransferases, casein kinase 2
(CK2) is of great interest and numerous scaffolds have been investigated to
design CK2 inhibitors. Recently, functionalized indeno[1,2-b]indoles have been
revealed to have high potency against human cancer cell lines such as MCF-7
breast carcinoma and A-427 lung carcinoma. 4-Methoxy-5-isopropyl-5,6,7,8
tetrahydroindeno[1,2-b]indole-9,10-dione (THN7), identified as a potent inhibitor
of CK2 (IC50 = 71 nM), was selected for an encapsulation study in order to
evaluate its antiproliferative activity as THN7-loaded cyclodextrin
nanoparticles. Four alpha-cyclodextrins (alpha-CDs) were selected to encapsulate
THN7 and all experiments indicated that the nanoencapsulation of this CK2
inhibitor in alpha-CDs was successful. No additional surface-active agent was
used during the nanoformulation process. Nanoparticles formed between THN7 and
alpha-C6H13 amphiphilic derivative gave the best results in terms of
encapsulation rate (% of associated drug = 35%), with a stability constant (K11)
of 298 mol.L-1 and a size of 132 nm. Hemolytic activity of the four alpha-CDs was
determined before the in cellulo evaluation and the alpha-C6H13 derivative gave
the lowest value of hemolytic potency (HC50 = 1.93 mol.L-1). Only the THN7-loaded
cyclodextrin nanoparticles showing less toxicity on human erythrocytes (alpha
C6H13, alpha-C8H17 and alpha-C4H9) were tested against A-427 cells. All drug
loaded nanoparticles caused more cytotoxicity against A-427 cells than THN7
alone. Based on these results, the use of amphiphilic CD nanoparticles could be
considered as a drug delivery system for indeno[1,2-b]indoles, allowing an
optimized bioavailability and offering perspectives for the in vivo development
of CK2 inhibitors.
PMID- 29373553
TI - Anti-Inflammatory and Antitumor Effects of Hydroxytyrosol but Not Oleuropein on
Experimental Glioma In Vivo. A Putative Role for the Renin-Angiotensin System.
AB - Functional roles of the angiotensin peptides of the renin-angiotensin system
(RAS) cascade can be analyzed through their corresponding proteolytic regulatory
enzymes aspartyl aminopeptidase (ASAP), aminopeptidase A (APA), aminopeptidase B
(APB), aminopeptidase N (APN) and insulin-regulated aminopeptidase (IRAP). These
enzyme activities generate active or inactive angiotensin peptides that alter the
ratios between their bioactive forms, regulating several important processes such
as the regulation of cardiovascular functions, body water regulation, normal
memory consolidation and retrieval, but also cell growth, differentiation and
apoptosis or the inflammatory response. We have previously described that the
treatment with hydroxytyrosol but not with oleuropein or with the mixture of both
compounds led to the significant inhibition of tumor growth in an in vivo glioma
model by mechanisms not only related to redox balance. Using this glioma model,
here we analyze the effects of the phenolic compounds oleuropein and
hydroxytyrosol in circulating RAS-regulating ASAP, APA, APN, APB and IRAP
specific activities and the pro-inflammatory cytokines IL-6 and TNFalpha to
understand the relationship between the antitumor and anti-inflammatory effects
of hydroxytyrosol, but not oleuropein, and the components of the RAS. We found
that oleuropein increased all the activities analyzed and promoted a pro
inflammatory status, whereas hydroxytyrosol only modified ASAP and IRAP
activities and promotes an anti-inflammatory status. When administrated together,
oleuropein overrode the effects of hydroxytyrosol. Our results suggest a role for
angiotensin III and angiotensin 1-7 in both tumor growth inhibition and anti
inflammatory response promoted by hydroxytyrosol.
PMID- 29373554
TI - The Effect of Childhood Health Status on Adult Health in China.
AB - Childhood health in China was poor in the 1950s and 1960s because of limited
nutrition. In the last three decades, China has distinguished itself through its
tremendous economic growth and improvements in health and nutrition. However,
prior to such growth, access to good nutrition was more variable, with
potentially important implications, not only for childhood health, but also for
adult health, because of its long-term effects lasting into adulthood. To shed
light on these issues, this study examined the long-run association between
childhood health and adult health outcomes among a middle-aged Chinese population
and addresses the endogeneity of childhood health. A nationwide database from the
2011 China Health and Retirement Longitudinal Study (CHARLS) was employed. Three
adult health outcomes variables were used: self-reported health status,
cognition, and physical function. The local variation in grain production in the
subjects' fetal period and the first 24 months following birth was employed as an
instrument for childhood health in order to correct for its endogeneity.
Childhood health recalled by the respondents was positively and significantly
associated with their adult health outcomes in terms of self-reported health
status, cognition, and physical function in single-equation estimates that did
not correct for the endogeneity of childhood health. A good childhood health
status increased the probabilities of good adult health, good adult cognitive
function, and good adult physical function by 16% (95% CI: 13-18%), 13% (95% CI:
10-15%), and 14% (95% CI: 12-17%), respectively. After correcting for
endogeneity, the estimated effects of good childhood health were consistent but
stronger. We also studied the male and female populations separately, finding
that the positive effects of childhood health on adult health were larger for
males. In China, childhood health significantly affects adult health. This
suggests that early interventions to promote childhood health will have long-term
benefits in China and that health-care policies should consider their long-term
impacts over the life cycle in addition to their effects on specific age groups.
PMID- 29373555
TI - Microstructures and Properties of Laser Cladding Al-TiC-CeO2 Composite Coatings.
AB - Al-TiC-CeO2 composite coatings have been prepared by using a laser cladding
technique, and the microstructure and properties of the resulting composite
coatings have been investigated using scanning electron microscopy (SEM), a 3D
microscope system, X-ray diffraction (XRD), micro-hardness testing, X-ray stress
measurements, friction and wear testing, and an electrochemical workstation. The
results showed that an Al-Fe phase appears in the coatings under different
applied laser powers and shows good metallurgical bonding with the matrix. The
dilution rate of the coating first decreases and then increases with increasing
laser power. The coating was transformed from massive and short rod-like
structures into a fine granular structure, and the effect of fine grain
strengthening is significant. The microhardness of the coatings first decreases
and then increases with increasing laser power, and the maximum microhardness can
reach 964.3 HV0.2. In addition, the residual stress of the coating surface was
tensile stress, and crack size increases with increasing stress. When the laser
power was 1.6 kW, the coating showed high corrosion resistance.
PMID- 29373556
TI - Long-Term Weight Loss Effects of a Behavioral Weight Management Program: Does the
Community Food Environment Matter?
AB - This study examined whether community food environments altered the longer-term
effects of a nationwide behavioral weight management program on body mass index
(BMI). The sample was comprised of 98,871 male weight management program
participants and 15,385 female participants, as well as 461,302 and 37,192
inverse propensity-score weighted matched male and female controls. We measured
the community food environment by counting the number of supermarkets,
convenience stores, and fast food restaurants within a 1-mile radius around each
person's home address. We used difference-in-difference regression models with
person and calendar time fixed effects to estimate MOVE! effects over time in sub
populations defined by community food environment attributes. Among men, after an
initial decrease in BMI at 6 months, the effect of the program decreased over
time, with BMI increasing incrementally at 12 months (0.098 kg/m2, p < 0.001), 18
months (0.069 kg/m2, p < 0.001), and 24 months (0.067 kg/m2, p < 0.001). Among
women, the initial effects of the program decreased over time as well. Women had
an incremental BMI change of 0.099 kg/m2 at 12 months (p < 0.05) with non
significant incremental changes at 18 months and 24 months. We found little
evidence that these longer-term effects of the weight management program differed
depending on the community food environment. Physiological adaptations may
overwhelm environmental influences on adherence to behavioral regimens in
affecting longer-term weight loss outcomes.
PMID- 29373558
TI - Physiological Effects of Visual Stimulation with Forest Imagery.
AB - This study was aimed to clarify the physiological effects of visual stimulation
using forest imagery on activity of the brain and autonomic nervous system.
Seventeen female university students (mean age, 21.1 +/- 1.0 years) participated
in the study. As an indicator of brain activity, oxyhemoglobin (oxy-Hb)
concentrations were measured in the left and right prefrontal cortex using near
infrared time-resolved spectroscopy. Heart rate variability (HRV) was used as an
indicator of autonomic nervous activity. The high-frequency (HF) component of
HRV, which reflected parasympathetic nervous activity, and the ratio of low
frequency (LF) and high-frequency components (LF/HF), which reflected sympathetic
nervous activity, were measured. Forest and city (control) images were used as
visual stimuli using a large plasma display window. After sitting at rest viewing
a gray background for 60 s, participants viewed two images for 90 s. During rest
and visual stimulation, HRV and oxy-Hb concentration in the prefrontal cortex
were continuously measured. Immediately thereafter, subjective evaluation of
feelings was performed using a modified semantic differential (SD) method. The
results showed that visual stimulation with forest imagery induced (1) a
significant decrease in oxy-Hb concentrations in the right prefrontal cortex and
(2) a significant increase in perceptions of feeling "comfortable," "relaxed,"
and "natural."
PMID- 29373559
TI - Data Analyses and Modelling for Risk Based Monitoring of Mycotoxins in Animal
Feed.
AB - Following legislation, European Member States should have multi-annual control
programs for contaminants, such as for mycotoxins, in feed and food. These
programs need to be risk based implying the checks are regular and proportional
to the estimated risk for animal and human health. This study aimed to prioritize
feed products in the Netherlands for deoxynivalenol and aflatoxin B1 monitoring.
Historical mycotoxin monitoring results from the period 2007-2016 were combined
with data from other sources. Based on occurrence, groundnuts had high priority
for aflatoxin B1 monitoring; some feed materials (maize and maize products and
several oil seed products) and complete/complementary feed excluding dairy cattle
and young animals had medium priority; and all other animal feeds and feed
materials had low priority. For deoxynivalenol, maize by-products had a high
priority, complete and complementary feed for pigs had a medium priority and all
other feed and feed materials a low priority. Also including health consequence
estimations showed that feed materials that ranked highest for aflatoxin B1
included sunflower seed and palmkernel expeller/extracts and maize. For
deoxynivalenol, maize products were ranked highest, followed by various small
grain cereals (products); all other feed materials were of lower concern. Results
of this study have proven to be useful in setting up the annual risk based
control program for mycotoxins in animal feed and feed materials.
PMID- 29373557
TI - Autoimmunity and Gastric Cancer.
AB - Alterations in the immune response of patients with autoimmune diseases may
predispose to malignancies, and a link between chronic autoimmune gastritis and
gastric cancer has been reported in many studies. Intestinal metaplasia with
dysplasia of the gastric corpus-fundus mucosa and hyperplasia of chromaffin
cells, which are typical features of late-stage autoimmune gastritis, are
considered precursor lesions. Autoimmune gastritis has been associated with the
development of two types of gastric neoplasms: intestinal type and type I gastric
carcinoid. Here, we review the association of autoimmune gastritis with gastric
cancer and other autoimmune features present in gastric neoplasms.
PMID- 29373560
TI - Effect of Temperature on Flavor Compounds and Sensory Characteristics of Maillard
Reaction Products Derived from Mushroom Hydrolysate.
AB - Maillard reaction products (MRPs) were prepared from mushroom hydrolysate (MH) by
heating with d-xylose and l-cysteine at various temperatures (100 degrees C-140
degrees C) for 2 h at a pH of 7.4. The sensory characteristics of MH and MRPs
were evaluated by panelists and volatile compounds were analyzed by GC/MS.
Additionally, partial least squares regression (PLSR) was performed to analyze
the correlation between quantitative sensory characteristics and GC/MS data.
GC/MS results revealed that higher reaction temperature resulted in more nitrogen
and sulfur containing compounds in MRPs while alcohols, ketones and aldehydes
were the major flavor compounds obtained in MH. PLSR results showed that 3
phenylfuran and 2-octylfuran were the compounds responsible for the caramel-like
flavor; 1-octen-3-ol, (E)-2-octen-1-ol and geranyl acetone were significantly and
positively correlated to mushroom-like flavor, whereas, 2-thiophene
carboxaldehyde, 2,5-thiophenedicarboxaldehyde and 3-methylbutanal positively
affected MRPs meat-like attribute. Overall, 125 degrees C was identified as the
optimal temperature for preparing MRPs with abundant volatile compounds and
favorable sensory characteristics; the concentration of free amino acids and 5'
GMP, which are associated with the umami taste, in MRPs derived under 125
degrees C were 3 to 4 times higher than those in MH.
PMID- 29373561
TI - Sex-Specific Associations in Nutrition and Activity-Related Risk Factors for
Chronic Disease: Australian Evidence from Childhood to Emerging Adulthood.
AB - Global assessments of burden of disease suggests there are sex differences in
risk factors for chronic disease, including overweight/obesity, dietary patterns
and habitual physical activity. Given that prevention efforts aim to target such
factors to reduce disease risk, the age at which sex differences may occur is of
particular interest. Early life to young adulthood is the optimal time for
intervention, with lifestyle habits typically forming during this period. This
study aimed to identify the sex differences in risk factors for chronic disease
during childhood (5-9 years), adolescence (10-17 years) and emerging adulthood
(18-25 years) in a large population-representative Australian sample. Among
children in this study (n = 739), no sex-related differences were observed. Among
adolescents (n = 1304), females were more likely than males to meet daily fruit
and vegetable recommendations (12.9% vs. 7.5%; OR = 1.84, 95% CI = 1.16, 2.93, p
< 0.05). Among emerging adults (n = 909), females were less likely to be
overweight/obese (30.1% vs. 39.8%; OR = 0.65, 95% CI = 0.44, 0.95, p < 0.05) and
more likely to meet physical activity recommendations (52.1% vs. 42.3%; OR =
1.44, 95% CI = 1.01, 2.06, p < 0.05). These findings suggest that sex differences
for risk factors of chronic disease occur during adolescence and emerging
adulthood, although the differences are not consistent across age periods. From
adolescence onwards, it appears that females exhibit lower risk factors than
males and a life span approach to risk factor monitoring is warranted.
PMID- 29373562
TI - Characterization and Oral Delivery of Proinsulin-Transferrin Fusion Protein
Expressed Using ExpressTec.
AB - Proinsulin-transferrin fusion protein (ProINS-Tf) has been designed and
successfully expressed from the mammalian HEK293 cells (HEK-ProINS-Tf). It was
found that HEK-ProINS-Tf could be converted into an activated form in the liver.
Furthermore, HEK-ProINS-Tf was demonstrated as an extra-long acting insulin
analogue with liver-specific insulin action in streptozotocin (STZ)-induced type
1 diabetic mice. However, due to the low production yield from transfected HEK293
cells, there are other interesting features, including the oral bioavailability,
which have not been fully explored and characterized. To improve the protein
production yield, an alternative protein expression system, ExpressTec using
transgenic rice (Oryza sativa L.), was used. The intact and active rice-derived
ProINS-Tf (ExpressTec-ProINS-Tf) was successfully expressed from the transgenic
rice expression system. Our results suggested that, although the insulin-like
bioactivity of ExpressTec-ProINS-Tf was slightly lower in vitro, its potency of
in vivo blood glucose control was considerably stronger than that of HEK-ProINS
Tf. The oral delivery studies in type 1 diabetic mice demonstrated a prolonged
control of blood glucose to near-normal levels after oral administration of
ExpressTec-ProINS-Tf. Results in this report suggest that ExpressTec-ProINS-Tf is
a promising insulin analog with advantages including low cost, prolonged and
liver targeting effects, and most importantly, oral bioactivity.
PMID- 29373563
TI - Study of Stroke Incidence in the Aseer Region, Southwestern Saudi Arabia.
AB - Recent data regarding first-stroke incidence in Saudi Arabia in general and in
the Aseer region in particular are scarce and even lacking. The aim of this work
was to study the first-time stroke incidence in the Aseer region, southwestern
Saudi Arabia. All first-stroke patients admitted to all hospitals in the Aseer
region over a one-year period (January through December 2016) were included.
Stroke patients outside the Aseer region were excluded from the study. The
incidence per 100,000 patients and the concomitant 95% CI (Confidence Intervals)
were computed. The present study included 1249 first-time stroke patients and
calculated an overall minimal incidence rate of hospitalized first-time stroke of
57.64 per 100,000 persons per year (95% CI: 57.57-57.70). A steady increase was
noticed depending on the patients' age, reaching a figure of 851.81 (95% CI:
849.2-854.5) for those patients aged 70 years and more. Overall, the incidence
rate for females (48.14; 95% CI: 48.04-48.24) was lower compared to males (65.52;
95% CI: 65.1-66.0). Taking into consideration the expected rise of the elderly
because of the prominent medical services provided by the Saudi government,
leading to a subsequent change in the horizontal and vertical age distribution
structure of the population, an increase in the number of stroke patients is
expected. It is suggested to establish a nationwide stroke surveillance system in
the Kingdom, with the objective to report, analyze, and maintain an updated
overview of the stroke status in Saudi Arabia.
PMID- 29373565
TI - Intention for Car Use Reduction: Applying a Stage-Based Model.
AB - This study investigates which variables drive intention to reduce car use by
modelling a stage of change construct with mechanisms in the Theory of Planned
Behavior (TPB) and Norm Activation Model (NAM). Web questionnaires (n = 794) were
collected via 11 workplaces. The socio-demographics, work commute, stage of
change, attitudes to sustainable travel modes, social norms, perceived behavioral
control, and personal norm were assessed. An initial descriptive analysis
revealed that 19% of the employees saw no reason to reduce their car use; 35%
would like to reduce their car use but felt it was impossible; 12% were thinking
about reducing their car use but were unsure of how or when to do this; 12% had
an aim to reduce current car use, and knew which journeys to replace and which
modes to use; and 23% try to use modes other than a car for most journeys, and
will maintain or reduce their already low car use in the coming months. A series
of Ordered Logit Models showed that socio-demographic variables did not explain
the stage of change. Instead, personal norms, instrumental and affective
attitudes, and perceived behavioral control toward sustainable travel modes were
all significant and explained 43% of the variance in stage of change.
Furthermore, it was found that the significant relationships were not linear in
nature. The analysis also showed an indirect effect of social norms on the stage
of change through personal norms. Implications are discussed regarding the design
of interventions aimed at influencing a sustainable work commute.
PMID- 29373566
TI - Cistus incanus from Strandja Mountain as a Source of Bioactive Antioxidants.
AB - The purpose of the present study is to survey the extraction conditions and
explore the antioxidant potential of the wild herb Cistus incanus, which is non
traditional in Bulgarian ethnomedicine and widespread in the Strandja Mountain.
The influence of the extraction time (0-500 min) and solvent composition (0-50%
ethanol in water) on the polyphenols, flavonoid yields and on the antioxidant
capacity of the extracts of leaves, stalks (wood parts) and bud mixture were
studied. The antioxidant capacity (AOC) was evaluated by use of scavenging assays
of 2,2-diphenyl-1-picrylhydrazyl (DPPH) radicals. Total polyphenol and flavonoid
contents were quantified using UV-vis (ultraviolet-visible) spectrophotometry.
The optimal yield of the desired components was obtained with 30% ethanol in
water solvent at the 390th min of extraction time. In addition, the influence of
seasonality (winter and summer Cistus incanus), and of the different aerial parts
hard-coated seeds, buds, and a mixture of leaves and stalks of the wild plant-on
the presence of polyphenols, flavonoids, and AOC were investigated. The present
work revealed that the high values of polyphenols, flavonoids and the high AOC
occurred not only in the summer leaves, but were also found in the winter leaves,
hard-coated seeds, buds, and stalks. Based on the obtained results, the Cistus
incanus from Strandja Mountain could be an excellent new source of natural
antioxidants in food and for the pharmaceutical industries.
PMID- 29373567
TI - Do Natural Experiments of Changes in Neighborhood Built Environment Impact
Physical Activity and Diet? A Systematic Review.
AB - Physical activity and diet are major modifiable risk factors for chronic disease
and have been shown to be associated with neighborhood built environment.
Systematic review evidence from longitudinal studies on the impact of changing
the built environment on physical activity and diet is currently lacking. A
systematic review of natural experiments of neighborhood built environment was
conducted. The aims of this systematic review were to summarize study
characteristics, study quality, and impact of changes in neighborhood built
environment on physical activity and diet outcomes among residents. Natural
experiments of neighborhood built environment change, exploring longitudinal
impacts on physical activity and/or diet in residents, were included. From five
electronic databases, 2084 references were identified. A narrative synthesis was
conducted, considering results in relation to study quality. Nineteen papers,
reporting on 15 different exposures met inclusion criteria. Four studies included
a comparison group and 11 were pre-post/longitudinal studies without a comparison
group. Studies reported on the impact of redeveloping or introducing cycle and/or
walking trails (n = 5), rail stops/lines (n = 4), supermarkets and farmers'
markets (n = 4) and park and green space (n = 2). Eight/15 studies reported at
least one beneficial change in physical activity, diet or another associated
health outcome. Due to limitations in study design and reporting, as well as the
wide array of outcome measures reported, drawing conclusions to inform policy was
challenging. Future research should consider a consistent approach to measure the
same outcomes (e.g., using measurement methods that collect comparable physical
activity and diet outcome data), to allow for pooled analyses. Additionally,
including comparison groups wherever possible and ensuring high quality reporting
is essential.
PMID- 29373568
TI - Current Age, Age at First Sex, Age at First Homelessness, and HIV Risk
Perceptions Predict Sexual Risk Behaviors among Sexually Active Homeless Adults.
AB - While HIV disproportionately impacts homeless individuals, little is known about
the prevalence of HIV risk behaviors in the southwest and how age factors and HIV
risk perceptions influence sexual risk behaviors. We conducted a secondary data
analysis (n = 460) on sexually active homeless adults from a cross-sectional
study of participants (n = 610) recruited from homeless service locations, such
as shelters and drop-in centers, in an understudied region of the southwest.
Covariate-adjusted logistic regressions were used to assess the impact of age at
homelessness onset, current age, age at first sex, and HIV risk perceptions on
having condomless sex, new sexual partner(s), and multiple sexual partners (>=4
sexual partners) in the past 12 months. Individuals who first experienced
homelessness by age 24 were significantly more likely to report condomless sex
and multiple sexual partners in the past year than those who had a later onset of
their first episode of homelessness. Individuals who were currently 24 years or
younger were more likely to have had condomless sex, new sexual partners, and
multiple sexual partners in the past 12 months than those who were 25 years or
older. Those who had low perceived HIV risk had lower odds of all three sexual
risk behaviors. Social service and healthcare providers should consider a younger
age at homelessness onset when targeting HIV prevention services to youth
experiencing homelessness.
PMID- 29373569
TI - Efficacy and Acceptability of 1 Liter of Polyethylene Glycol with Ascorbic Acid
vs. 2 Liters of Polyethylene Glycol Plus Mosapride and Sennoside for Colonoscopy
Preparation.
AB - BACKGROUND [color=black]Bowel preparation is an important factor for an optimal
outcome of colonoscopy. Recently, polyethylene glycol (PEG) solution has been in
common use for bowel cleansing for colonoscopy, but some patients are intolerant
of PEG because of taste or volume. A low-volume PEG administered with ascorbic
acid solution (PEG-Asc) was designed to improve tolerability, but the
administration of this method is more complex than that with PEG alone. This
study aimed to compare bowel cleansing efficacy, safety, and tolerability of 1 L
PEG-Asc with a 2 L PEG preparation with use of sennosides and mosapride.[/color]
MATERIAL AND METHODS [color=black]This was a prospective, single-center, non
inferiority trial that included 112 patients (PEG-Asc group, 68; PEG group, 44).
The primary endpoint was the efficacy of colon cleansing assessed by endoscopists
using a validated 4-point scale according to the Aronchick scale and was verified
by a blinded investigator. Acceptability, tolerability, and adenoma detection
rate (ADR) of these 2 regimens were secondary endpoints.[/color][color=black]
[/color] RESULTS [color=black]We found no statistically significant differences
between the groups in colon-cleansing efficacy or in the adenoma detection rate
(ADR). Moreover, overall, patients significantly favored PEG-Asc over PEG,
reflecting better acceptance of PEG-Asc. Additionally, more patients favored PEG
Asc over PEG for a hypothetical future colonoscopy. [/color] CONCLUSIONS
[color=black]The alternate 1 L PEG-Asc regimen and standard 2 L PEG regimen were
clinically equivalent with respect to cleansing efficacy, safety, and ADR, and
more patients favored PEG-Asc than PEG. This alternate regimen may improve
patient compliance and acceptance of surveillance colonoscopy.[/color].
PMID- 29373570
TI - Do cannabinoids play a role in the control of glaucoma?
AB - INTRODUCTION: The use of cannabinoids in diverse clinical conditions is today a
subject of debate. Its use has been proposed for the control of glaucoma.
However, there is controversy about its real effectiveness and safety. METHODS:
To answer this question we used Epistemonikos, the largest database of systematic
reviews in health, which is maintained by screening multiple information sources,
including MEDLINE, EMBASE, Cochrane, among others. We extracted data from the
systematic reviews, reanalyzed data of primary studies and generated a summary of
findings table using the GRADE approach. RESULTS AND CONCLUSIONS: We identified
five systematic reviews including three studies overall, all of them randomized
controlled trials. We concluded that although cannabinoids could decrease
intraocular pressure, the effect would be transient and associated with frequent
adverse effects.
PMID- 29373571
TI - The microenvironment of classical Hodgkin lymphoma: heterogeneity by Epstein-Barr
virus presence and location within the tumor.
AB - This corrects the article DOI: 10.1038/bcj.2016.26.
PMID- 29373564
TI - Galectin-3: One Molecule for an Alphabet of Diseases, from A to Z.
AB - Galectin-3 (Gal-3) regulates basic cellular functions such as cell-cell and cell
matrix interactions, growth, proliferation, differentiation, and inflammation. It
is not surprising, therefore, that this protein is involved in the pathogenesis
of many relevant human diseases, including cancer, fibrosis, chronic inflammation
and scarring affecting many different tissues. The papers published in the
literature have progressively increased in number during the last decades,
testifying the great interest given to this protein by numerous researchers
involved in many different clinical contexts. Considering the crucial role
exerted by Gal-3 in many different clinical conditions, Gal-3 is emerging as a
new diagnostic, prognostic biomarker and as a new promising therapeutic target.
The current review aims to extensively examine the studies published so far on
the role of Gal-3 in all the clinical conditions and diseases, listed in
alphabetical order, where it was analyzed.
PMID- 29373572
TI - Intraspecific variation in oxidative stress tolerance in a model cnidarian:
Differences in peroxide sensitivity between and within populations of
Nematostella vectensis.
AB - Nematostella vectensis is a member of the phylum Cnidaria, a lineage that
includes anemones, corals, hydras, and jellyfishes. This estuarine anemone is an
excellent model system for investigating the evolution of stress tolerance
because it is easy to collect in its natural habitat and to culture in the
laboratory, and it has a sequenced genome. Additionally, there is evidence of
local adaptation to environmental stress in different N. vectensis populations,
and abundant protein-coding polymorphisms have been identified, including
polymorphisms in proteins that are implicated in stress responses. N. vectensis
can tolerate a wide range of environmental parameters, and has recently been
shown to have substantial intraspecific variation in temperature preference. We
investigated whether different clonal lines of anemones also exhibit differential
tolerance to oxidative stress. N. vectensis populations are continually exposed
to reactive oxygen species (ROS) generated during cellular metabolism and by
other environmental factors. Fifteen clonal lines of N. vectensis collected from
four different estuaries were exposed to hydrogen peroxide. Pronounced
differences in survival and regeneration were apparent between clonal lines
collected from Meadowlands, NJ, Baruch, SC, and Kingsport, NS, as well as among
12 clonal lines collected from a single Cape Cod marsh. To our knowledge, this is
the first example of intraspecific variability in oxidative stress resistance in
cnidarians or in any marine animal. As oxidative stress often accompanies heat
stress in marine organisms, resistance to oxidative stress could strongly
influence survival in warming oceans. For example, while elevated temperatures
trigger bleaching in corals, oxidative stress is thought to be the proximal
trigger of bleaching at the cellular level.
PMID- 29373573
TI - Maternal obesity increases insulin resistance, low-grade inflammation and
osteochondrosis lesions in foals and yearlings until 18 months of age.
AB - INTRODUCTION: Obesity is a growing concern in horses. The effects of maternal
obesity on maternal metabolism and low-grade inflammation during pregnancy, as
well as offspring growth, metabolism, low-grade inflammation, testicular
maturation and osteochondrotic lesions until 18 months of age were investigated.
MATERIAL AND METHODS: Twenty-four mares were used and separated into two groups
at insemination according to body condition score (BCS): Normal (N, n = 10, BCS
<=4) and Obese (O, n = 14, BCS >=4.25). BCS and plasma glucose, insulin,
triglyceride, urea, non-esterified fatty acid, serum amyloid A (SAA), leptin and
adiponectin concentrations were monitored throughout gestation. At 300 days of
gestation, a Frequently Sampled Intravenous Glucose Tolerance Test (FSIGT) was
performed. After parturition, foals' weight and size were monitored until 18
months of age with plasma SAA, leptin, adiponectin, triiodothyronine (T3),
thyroxine (T4) and cortisol concentrations measured at regular intervals. At 6,
12 and 18 months of age, FSIGT and osteoarticular examinations were performed.
Males were gelded at one year and expression of genes involved in testicular
maturation analysed by RT-qPCR. RESULTS: Throughout the experiment, maternal BCS
was higher in O versus N mares. During gestation, plasma urea and adiponectin
were decreased and SAA and leptin increased in O versus N mares. O mares were
also more insulin resistant than N mares with a higher glucose effectiveness.
Postnatally, there was no difference in offspring growth between groups.
Nevertheless, plasma SAA concentrations were increased in O versus N foals until
6 months, with O foals being consistently more insulin resistant with a higher
glucose effectiveness. At 12 months of age, O foals were significantly more
affected by osteochondrosis than N foals. All other parameters were not different
between groups. CONCLUSION: In conclusion, maternal obesity altered metabolism
and increased low-grade inflammation in both dams and foals. The risk of
developing osteochondrosis at 12 months of age was also higher in foals born to
obese dams.
PMID- 29373574
TI - Loss to follow-up before and after initiation of antiretroviral therapy in HIV
facilities in Lilongwe, Malawi.
AB - INTRODUCTION: Although several studies have explored factors associated with loss
to follow-up (LTFU) from HIV care, there remains a gap in understanding how these
factors vary by setting, volume of patient and patients' demographic and clinical
characteristics. We determined rates and factors associated with LTFU in HIV care
Lilongwe, Malawi. METHODS: We conducted a retrospective cohort study of HIV
infected individuals aged 15 years or older at the time of registration for HIV
care in 12 ART facilities, between April 2012 and March 2013. HIV-positive
individuals who had not started ART (pre-ART patients) were clinically assessed
to determine ART eligibility at registration and during clinic follow-up visits.
ART-eligible patients were initiated on triple antiretroviral combination. Study
data were abstracted from patients' cards, facility ART registers or electronic
medical record system from the date of registration for HIV care to a maximum
follow-up period of 24 months. Descriptive statistics were undertaken to
summarize characteristics of the study patients. Separate univariable and
multivariable poisson regression models were used to explore factors associated
with LTFU in pre-ART and ART care. RESULTS: A total of 10,812 HIV-infected
individuals registered for HIV care. Of these patients, 1,907 (18%) and 8,905
(82%) enrolled in pre-ART and ART care, respectively. Of the 1,907 pre-ART
patients, 490 (26%) subsequently initiated ART and were included in both the pre
ART and ART analyses. The LTFU rates among patients in pre-ART and ART care were
48 and 26 per 100 person-years, respectively. Of the 9,105 ART patients with
reasons for starting ART, 2,451 (27%) were initiated on ART because of pregnancy
or breastfeeding (Option B+) status. Multivariable analysis showed that being
>=35 years and female were associated with decreased risk of LTFU in the pre-ART
and ART phases of HIV care. However, being in WHO clinical stage 3 (adjusted risk
ratio (aRR) 1.35, 95% confidence interval (CI): 1.20-1.51) and stage 4 (aRR 1.87,
95% CI: 1.62-2.18), body mass index <= 18.4 (aRR 1.24, 95% CI: 1.11-1.39) at ART
initiation, poor adherence to clinic appointments (aRR 4.55, 95% CI: 4.16-4.97)
and receiving HIV care in rural facilities (aRR 2.32, 95% CI: 1.94-2.87) were
associated with increased risk of LTFU among ART patients. Being re-initiated on
ART once (aRR 0.20, 95% CI: 0.17-0.22), more than once (aRR 0.06, 95% CI: 0.05
0.07), and being enrolled at a low-volume facility (aRR 0.25, 95% CI: 0.20-0.30)
were associated with decreased risk of LTFU from ART care. CONCLUSION: A sizeable
proportion of ART LTFU occurred among women enrolled during pregnancy or breast
feeding. Non- compliance to clinic and receiving ART in a rural facility or high
volume facility were associated with increased risk of LTFU from ART care.
Developing effective interventions that target high-risk subgroups and contexts
may help reduce LTFU from HIV care.
PMID- 29373575
TI - Biochar amendment changes jasmonic acid levels in two rice varieties and alters
their resistance to herbivory.
AB - Biochar addition to soil not only sequesters carbon for the long-term but
enhances agricultural productivity. Several well-known benefits arise from
biochar amendment, including constant provision of nutrients, increased soil
moisture retention, decreased soil bulk density, and sometimes the induction of
systemic resistance against foliar and soil borne plant pathogens. However, no
research has investigated the potential of biochar to increase resistance against
herbivory. The white-backed plant hopper (WBPH) (Sogatella furcifera Horvath) is
a serious agricultural pest that targets rice (Oryza sativa L.), a staple crop
that feeds half of the world's human population. Therefore, we investigated the
(1) optimization of biochar amendment levels for two rice varieties
('Cheongcheong' and 'Nagdong') and (2) subsequent effects of different biochar
amendments on resistance and susceptibility of these two varieties to WBPH
infestation. Initial screening results for the optimization level revealed that
the application of biochar 10% (w/w) to the rooting media significantly improved
plant physiological characteristics of both rice varieties. However, levels of
biochar amendment, mainly 1, 2, 3, and 20%, resulted in negative effects on plant
growth characteristics. Cheongcheong and Nagdong rice plants grown with the
optimum biochar level showed contrasting reactions to WBPH infestation.
Specifically, biochar application significantly increased plant growth
characteristics of Nagdong when exposed to WBPH infestation and significantly
decreased these characteristics in Cheongcheong. The amount of WBPH-induced
damage to plants was significantly lower and higher in Nagdong and Cheongcheong,
respectively, compared to that in the controls. Higher levels of jasmonic acid
caused by the biochar priming effect could have accumulated in response to WBPH
infestation, resulting in a maladaptive response to stress, negatively affecting
growth and resistance to WBPH in Cheongcheong. This study highlights the
importance of investigating the effects of biochar on different rice varieties
before application on a commercial scale to avoid potential crop losses.
PMID- 29373576
TI - Kinesin Khc-73/KIF13B modulates retrograde BMP signaling by influencing endosomal
dynamics at the Drosophila neuromuscular junction.
AB - Retrograde signaling is essential for neuronal growth, function and survival;
however, we know little about how signaling endosomes might be directed from
synaptic terminals onto retrograde axonal pathways. We have identified Khc-73, a
plus-end directed microtubule motor protein, as a regulator of sorting of
endosomes in Drosophila larval motor neurons. The number of synaptic boutons and
the amount of neurotransmitter release at the Khc-73 mutant larval neuromuscular
junction (NMJ) are normal, but we find a significant decrease in the number of
presynaptic release sites. This defect in Khc-73 mutant larvae can be genetically
enhanced by a partial genetic loss of Bone Morphogenic Protein (BMP) signaling or
suppressed by activation of BMP signaling in motoneurons. Consistently,
activation of BMP signaling that normally enhances the accumulation of
phosphorylated form of BMP transcription factor Mad in the nuclei, can be
suppressed by genetic removal of Khc-73. Using a number of assays including live
imaging in larval motor neurons, we show that loss of Khc-73 curbs the ability of
retrograde-bound endosomes to leave the synaptic area and join the retrograde
axonal pathway. Our findings identify Khc-73 as a regulator of endosomal traffic
at the synapse and modulator of retrograde BMP signaling in motoneurons.
PMID- 29373577
TI - IgA and IgG against Mycobacterium tuberculosis Rv2031 discriminate between
pulmonary tuberculosis patients, Mycobacterium tuberculosis-infected and non
infected individuals.
AB - As part of a major project to investigate protective and diagnostic immune
markers against tuberculosis (TB), we measured antibody isotype responses to
Mycobacterium tuberculosis (Mtb) antigens (LAM, Rv2031, and HBHA) in cohorts of
149 pulmonary tuberculosis patients (PTBP), 148 household contacts (HHCs), and 68
community controls (CCs) in an endemic setting. ELISA was used to measure levels
of IgA, IgG, and IgM from sera of cohorts at baseline, and at 6 and 12 months
from entry. The results show that there were significant differences in IgA, IgG,
and IgM responses to the different antigens and in the three cohorts. At
baseline, the level of IgM against RV2031 and LAM did not vary between cohorts,
but the levels of IgA and IgG against Rv2031 were significantly higher in PTB
patients than HHCs and CCs, followed by HHCs, and the lowest in CCs. In patients,
there was a significant variation in antibody responses before and after
chemotherapy. The levels of IgA and IgG against HBHA, and IgA against Rv2031
decreased significantly and remained low, while IgA and IgG against LAM increased
significantly and remained high following chemotherapy. However, the levels of
IgM against Rv2031 and LAM increased at 6 months but decreased again at 12
months. IgM against HBHA did not show any significant variation before and after
chemotherapy. Similarly, there were also significant variations in antibody
responses in HHCs over time. Our results show that there are significant
variations in IgA, IgG and IgM responses to the different antigens and in the
three cohorts, implying that not all antibody isotype responses are markers of
clinical TB. In addition, the current and previous studies consistently show that
IgA and IgG against Rv2031 discriminate between clinical disease, Mtb-infected
and non-infected individuals.
PMID- 29373578
TI - Diagnostic metabolite biomarkers of chronic typhoid carriage.
AB - BACKGROUND: Salmonella Typhi and Salmonella Paratyphi A are the agents of enteric
(typhoid) fever; both can establish chronic carriage in the gallbladder. Chronic
Salmonella carriers are typically asymptomatic, intermittently shedding bacteria
in the feces, and contributing to disease transmission. Detecting chronic
carriers is of public health relevance in areas where enteric fever is endemic,
but there are no routinely used methods for prospectively identifying those
carrying Salmonella in their gallbladder. METHODOLOGY/PRINCIPAL FINDINGS: Here we
aimed to identify biomarkers of Salmonella carriage using metabolite profiling.
We performed metabolite profiling on plasma from Nepali patients undergoing
cholecystectomy with confirmed S. Typhi or S. Paratyphi A gallbladder carriage
(and non-carriage controls) using two-dimensional gas chromatography coupled with
time-of-flight mass spectrometry (GCxGC-TOFMS) and supervised pattern recognition
modeling. We were able to significantly discriminate Salmonella carriage samples
from non-carriage control samples. We were also able to detect differential
signatures between S. Typhi and S. Paratyphi A carriers. We additionally compared
carriage metabolite profiles with profiles generated during acute infection;
these data revealed substantial heterogeneity between metabolites associated with
acute enteric fever and chronic carriage. Lastly, we found that Salmonella
carriers could be significantly distinguished from non-carriage controls using
only five metabolites, indicating the potential of these metabolites as
diagnostic markers for detecting chronic Salmonella carriers.
CONCLUSIONS/SIGNIFICANCE: Our novel approach has highlighted the potential of
using metabolomics to search for diagnostic markers of chronic Salmonella
carriage. We suggest further epidemiological investigations of these potential
biomarkers in alternative endemic enteric fever settings.
PMID- 29373580
TI - Fast and robust shape diameter function.
AB - The shape diameter function (SDF) is a scalar function defined on a closed
manifold surface, measuring the neighborhood diameter of the object at each
point. Due to its pose oblivious property, SDF is widely used in shape analysis,
segmentation and retrieval. However, computing SDF is computationally expensive
since one has to place an inverted cone at each point and then average the
penetration distances for a number of rays inside the cone. Furthermore, the
shape diameters are highly sensitive to local geometric features as well as the
normal vectors, hence diminishing their applications to real-world meshes which
often contain rich geometric details and/or various types of defects, such as
noise and gaps. In order to increase the robustness of SDF and promote it to a
wide range of 3D models, we define SDF by offsetting the input object a little
bit. This seemingly minor change brings three significant benefits: First, it
allows us to compute SDF in a robust manner since the offset surface is able to
give reliable normal vectors. Second, it runs many times faster since at each
point we only need to compute the penetration distance along a single direction,
rather than tens of directions. Third, our method does not require watertight
surfaces as the input-it supports both point clouds and meshes with noise and
gaps. Extensive experimental results show that the offset-surface based SDF is
robust to noise and insensitive to geometric details, and it also runs about 10
times faster than the existing method. We also exhibit its usefulness using two
typical applications including shape retrieval and shape segmentation, and
observe a significant improvement over the existing SDF.
PMID- 29373579
TI - An AKAP-Lbc-RhoA interaction inhibitor promotes the translocation of aquaporin-2
to the plasma membrane of renal collecting duct principal cells.
AB - Stimulation of renal collecting duct principal cells with antidiuretic hormone
(arginine-vasopressin, AVP) results in inhibition of the small GTPase RhoA and
the enrichment of the water channel aquaporin-2 (AQP2) in the plasma membrane.
The membrane insertion facilitates water reabsorption from primary urine and fine
tuning of body water homeostasis. Rho guanine nucleotide exchange factors (GEFs)
interact with RhoA, catalyze the exchange of GDP for GTP and thereby activate the
GTPase. However, GEFs involved in the control of AQP2 in renal principal cells
are unknown. The A-kinase anchoring protein, AKAP-Lbc, possesses GEF activity,
specifically activates RhoA, and is expressed in primary renal inner medullary
collecting duct principal (IMCD) cells. Through screening of 18,431 small
molecules and synthesis of a focused library around one of the hits, we
identified an inhibitor of the interaction of AKAP-Lbc and RhoA. This molecule,
Scaff10-8, bound to RhoA, inhibited the AKAP-Lbc-mediated RhoA activation but did
not interfere with RhoA activation through other GEFs or activities of other
members of the Rho family of small GTPases, Rac1 and Cdc42. Scaff10-8 promoted
the redistribution of AQP2 from intracellular vesicles to the periphery of IMCD
cells. Thus, our data demonstrate an involvement of AKAP-Lbc-mediated RhoA
activation in the control of AQP2 trafficking.
PMID- 29373581
TI - MUMmer4: A fast and versatile genome alignment system.
AB - The MUMmer system and the genome sequence aligner nucmer included within it are
among the most widely used alignment packages in genomics. Since the last major
release of MUMmer version 3 in 2004, it has been applied to many types of
problems including aligning whole genome sequences, aligning reads to a reference
genome, and comparing different assemblies of the same genome. Despite its broad
utility, MUMmer3 has limitations that can make it difficult to use for large
genomes and for the very large sequence data sets that are common today. In this
paper we describe MUMmer4, a substantially improved version of MUMmer that
addresses genome size constraints by changing the 32-bit suffix tree data
structure at the core of MUMmer to a 48-bit suffix array, and that offers
improved speed through parallel processing of input query sequences. With a
theoretical limit on the input size of 141Tbp, MUMmer4 can now work with input
sequences of any biologically realistic length. We show that as a result of these
enhancements, the nucmer program in MUMmer4 is easily able to handle alignments
of large genomes; we illustrate this with an alignment of the human and
chimpanzee genomes, which allows us to compute that the two species are 98%
identical across 96% of their length. With the enhancements described here,
MUMmer4 can also be used to efficiently align reads to reference genomes,
although it is less sensitive and accurate than the dedicated read aligners. The
nucmer aligner in MUMmer4 can now be called from scripting languages such as
Perl, Python and Ruby. These improvements make MUMer4 one the most versatile
genome alignment packages available.
PMID- 29373582
TI - Vitrectomy without prone positioning for rhegmatogenous retinal detachments in
eyes with inferior retinal breaks.
AB - PURPOSE: To compare the anatomic and functional outcomes of pars plana vitrectomy
(PPV) for treating rhegmatogenous retinal detachments (RRDs) between two groups
with and without postoperative prone positioning. METHODS: This retrospective
cohort study included 142 eyes of 142 patients with a primary RRD. All patients
underwent PPV with 20% sulfur hexafluoride gas tamponade and were divided into
two groups: the groups that did and did not maintain a prone position
postoperatively. All patients were followed for more than 3 months. The main
outcome measures were the best-corrected visual acuity (BCVA), retinal
reattachment rate, and postoperative complications. RESULTS: Sixty-five eyes were
included in the prone position group and 77 eyes in the group without prone
positioning; the respective initial reattachment rates were 83.1% and 96.1%, a
difference that reach significance (p = 0.011). In the eyes with inferior breaks,
the initial reattachment rate was 94.7% (18 eyes) without prone positioning,
which was significantly (p = 0.036) better than the 60% (6 eyes) initial
reattachment rate in the group with prone positioning. In the eyes without
inferior breaks, there was no significant difference in the initial reattachment
rates between the two groups. The BCVAs at the 3-month postoperative visit did
not differ significantly between the two groups. An epiretinal membrane (ERM) was
observed postoperatively in 10 (13.0%) eyes in the group without prone
positioning; no ERMs were seen postoperatively in eyes in which the internal
limiting membrane (ILM) was peeled during PPV. CONCLUSIONS: PPV without
postoperative prone positioning is associated with a higher reattachment rate in
eyes with a RRD, especially those with inferior retinal breaks. PPV with
postoperative supine and lateral positioning might be beneficial to manage RRDs
associated with inferior retinal breaks if ILM peeling is performed
intraoperatively.
PMID- 29373583
TI - Zinc stimulates glucose oxidation and glycemic control by modulating the insulin
signaling pathway in human and mouse skeletal muscle cell lines.
AB - Zinc is a metal ion that is an essential cell signaling molecule. Highlighting
this, zinc is an insulin mimetic, activating cellular pathways that regulate
cellular homeostasis and physiological responses. Previous studies have linked
dysfunctional zinc signaling with several disease states including cancer,
obesity, cardiovascular disease and type 2 diabetes. The present study evaluated
the insulin-like effects of zinc on cell signaling molecules including tyrosine,
PRSA40, Akt, ERK1/2, SHP-2, GSK-3beta and p38, and glucose oxidation in human and
mouse skeletal muscle cells. Insulin and zinc independently led to the
phosphorylation of these proteins over a 60-minute time course in both mouse and
human skeletal muscle cells. Similarly, utilizing a protein array we identified
that zinc could active the phosphorylation of p38, ERK1/2 and GSK-3B in human and
ERK1/2 and GSK-3B in mouse skeletal muscle cells. Glucose oxidation assays were
performed on skeletal muscle cells treated with insulin, zinc, or a combination
of both and resulted in a significant induction of glucose consumption in mouse
(p<0.01) and human (p<0.05) skeletal muscle cells when treated with zinc alone.
Insulin, as expected, increased glucose oxidation in mouse (p<0.001) and human
(0.001) skeletal muscle cells, however the combination of zinc and insulin did
not augment glucose consumption in these cells. Zinc acts as an insulin mimetic,
activating key molecules implicated in cell signaling to maintain glucose
homeostasis in mouse and human skeletal muscle cells. Zinc is an important metal
ion implicated in several biological processes. The role of zinc as an insulin
memetic in activating key signaling molecules involved in glucose homeostasis
could provide opportunities to utilize this ion therapeutically in treating
disorders associated with dysfunctional zinc signaling.
PMID- 29373584
TI - Epigallocatechin-3-gallate confers protection against corticosterone-induced
neuron injuries via restoring extracellular signal-regulated kinase 1/2 and
phosphatidylinositol-3 kinase/protein kinase B signaling pathways.
AB - Extensive studies suggested epigallocatechin-3-gallate (EGCG) has significant
neuroprotection against multiple central neural injuries, but the underlying
mechanisms still remain poorly elucidated. Here we provide evidence to support
the possible involvement of extracellular signal-regulated kinase 1/2 (ERK1/2)
and phosphatidylinositol-3 kinase/ protein kinase B (PI3K/AKT) pathways in EGCG
mediated protection against corticosterone-induced neuron injuries. As an
essential stress hormone, corticosterone could induce obvious neurotoxicity in
primary hippocampal neurons. Pre-treatment with EGCG ameliorated the
corticosterone-induced neuronal injuries; however, it was blocked by
pharmacological inhibitors for ERK1/2 (U0126) and PI3K/AKT (LY294002).
Furthermore, the results confirmed that EGCG restored the corticosterone-induced
decrease of ERK1/2 and PI3K/AKT phosphorylation, and attenuated the
corticosterone-induced reduction of peroxisome proliferators-activated receptor
gamma coactivator-1alpha (PGC-1alpha) expression and ATP production. Taken
together, these findings indicated that EGCG has significant neuroprotection
against corticosterone-induced neuron injuries partly via restoring the ERK1/2
and PI3K/AKT signaling pathways as well as the PGC-1alpha-mediated ATP
production.
PMID- 29373585
TI - Implication of molecular vascular smooth muscle cell heterogeneity among arterial
beds in arterial calcification.
AB - Vascular calcification is a strong and independent predictive factor for
cardiovascular complications and mortality. Our previous work identified
important discrepancies in plaque composition and calcification types between
carotid and femoral arteries. The objective of this study is to further
characterize and understand the heterogeneity in vascular calcification among
vascular beds, and to identify molecular mechanisms underlying this process. We
established ECLAGEN biocollection that encompasses human atherosclerotic lesions
and healthy arteries from different locations (abdominal, thoracic aorta,
carotid, femoral, and infrapopliteal arteries) for histological, cell isolation,
and transcriptomic analysis. Our results show that lesion composition differs
between these locations. Femoral arteries are the most calcified arteries
overall. They develop denser calcifications (sheet-like, nodule), and are highly
susceptible to osteoid metaplasia. These discrepancies may derive from intrinsic
differences between SMCs originating from these locations, as microarray analysis
showed specific transcriptomic profiles between primary SMCs isolated from each
arterial bed. These molecular differences translated into functional disparities.
SMC from femoral arteries showed the highest propensity to mineralize due to an
increase in basal TGFbeta signaling. Our results suggest that biological
heterogeneity of resident vascular cells between arterial beds, reflected by our
transcriptomic analysis, is critical in understanding plaque biology and
calcification, and may have strong implications in vascular therapeutic
approaches.
PMID- 29373586
TI - Developmental profiling of microRNAs in the human embryonic inner ear.
AB - Due to the extreme inaccessibility of fetal human inner ear tissue, defining of
the microRNAs (miRNAs) that regulate development of the inner ear has relied on
animal tissue. In the present study, we performed the first miRNA sequencing of
otic precursors in human specimens. Using HTG miRNA Whole Transcriptome assays,
we examined miRNA expression in the cochleovestibular ganglion (CVG), neural
crest (NC), and otic vesicle (OV) from paraffin embedded (FFPE) human specimens
in the Carnegie developmental stages 13-15. We found that in human embryonic
tissues, there are different patterns of miRNA expression in the CVG, NC and OV.
In particular, members of the miR-183 family (miR-96, miR-182, and miR-183) are
differentially expressed in the CVG compared to NC and OV at Carnegie
developmental stage 13. We further identified transcription factors that are
differentially targeted in the CVG compared to the other tissues from stages 13
15, and we performed gene set enrichment analyses to determine differentially
regulated pathways that are relevant to CVG development in humans. These findings
not only provide insight into the mechanisms governing the development of the
human inner ear, but also identify potential signaling pathways for promoting
regeneration of the spiral ganglion and other components of the inner ear.
PMID- 29373587
TI - Qualitative analysis of the dynamics of policy design and implementation in
hospital funding reform.
AB - BACKGROUND: As in many health care systems, some Canadian jurisdictions have
begun shifting away from global hospital budgets. Payment for episodes of care
has begun to be implemented. Starting in 2012, the Province of Ontario
implemented hospital funding reforms comprising three elements: Global Budgets;
Health Based Allocation Method (HBAM); and Quality-Based Procedures (QBP). This
evaluation focuses on implementation of QBPs, a procedure/diagnosis-specific
funding approach involving a pre-set price per episode of care coupled with best
practice clinical pathways. We examined whether or not there was consensus in
understanding of the program theory underpinning QBPs and how this may have
influenced full and effective implementation of this innovative funding model.
METHODS: We undertook a formative evaluation of QBP implementation. We used an
embedded case study method and in-depth, one-on-one, semi-structured, telephone
interviews with key informants at three levels of the health care system:
Designers (those who designed the QBP policy); Adoption Supporters (organizations
and individuals supporting adoption of QBPs); and Hospital Implementers (those
responsible for QBP implementation in hospitals). Thematic analysis involved an
inductive approach, incorporating Framework analysis to generate descriptive and
explanatory themes that emerged from the data. RESULTS: Five main findings
emerged from our research: (1) Unbeknownst to most key informants, there was
neither consistency nor clarity over time among QBP designers in their
understanding of the original goal(s) for hospital funding reform; (2) Prior to
implementation, the intended hospital funding mechanism transitioned from ABF to
QBPs, but most key informants were either unaware of the transition or believe it
was intentional; (3) Perception of the primary goal(s) of the policy reform
continues to vary within and across all levels of key informants; (4) Four years
into implementation, the QBP funding mechanism remains misunderstood; and (5)
Ongoing differences in understanding of QBP goals and funding mechanism have
created challenges with implementation and difficulties in measuring success.
CONCLUSIONS: Policy drift and policy layering affected both the goal and the
mechanism of action of hospital funding reform. Lack of early specification in
both policy goals and hospital funding mechanism exposed the reform to reactive
changes that did not reflect initial intentions. Several challenges further
exacerbated implementation of complex hospital funding reforms, including a
prolonged implementation schedule, turnover of key staff, and inconsistent
messaging over time. These factors altered the trajectory of the hospital funding
reforms and created confusion amongst those responsible for implementation.
Enacting changes to hospital funding policy through a process that is
transparent, collaborative, and intentional may increase the likelihood of
achieving intended effects.
PMID- 29373588
TI - Subsequent somatic axis and bone tissue metabolism responses to a low-zinc diet
with or without phytase inclusion in broiler chickens.
AB - Zinc is required for normal bone development and cartilage formation. The purpose
of this study was to assess the effect of with adding organic Zn (alone or
phytase inclusion) at the reduced dose to growing male Ross 308 chickens on
somatic axis and bone tissue metabolism. 200 one-day old broilers were divided
into the negative control group fed diet without Zn or phytase inclusion,
positive control group receiving Zn in the 100% of daily recommended dose from
ZnO, and two experimental groups fed diet introduced Zn in 25% of daily
recommendation as a glycine chelate (Zn-Gly) with or without phytase inclusion
(500 FTU.kg-1). Supplemental organic Zn increased bone Zn and Mg content, serum
IGF-1, growth hormone and leptin concentration. Additional phytase inclusion
increased body weight gain, blood plasma Ca, Fe, Zn and osteocalcin concentration
and tibia ash percentage when compared to the Zn-deprived control. Bone geometry,
yield and ultimate strengths were enhanced in both organic Zn supplemented
groups, and the overall mechanical strength parameters of bone were better in
these groups than in the positive control group supplemented with standard dose
of inorganic Zn. Also marked improvements in the thickness of articular and the
growth plate cartilages as well as real bone volume and thickness of metaphyseal
trabeculae were achieved in all broilers fed Zn-supplemented diet irrespective of
phytase inclusion, however, the highest cancellous bone mass and the best
trabecular structure were noted after ZnO supplementation. In concludion,
although dietary organic Zn given to growing broilers in 25% of daily recommended
dose improved general bone properties and mechanical strength, the obtained
results do not allow to unambiguously state that organic Zn supplementation at
this level, even after phytase inclusion, is sufficient for proper bone
development.
PMID- 29373589
TI - Sensorimotor adaptation of voice fundamental frequency in Parkinson's disease.
AB - OBJECTIVE: This study examined adaptive responses to auditory perturbation of
fundamental frequency (fo) in speakers with Parkinson's disease (PD) and control
speakers. METHOD: Sixteen speakers with PD and nineteen control speakers produced
sustained vowels while they received perturbed auditory feedback (i.e., fo
shifted upward or downward). Speakers' pitch acuity was quantified using a just
noticeable-difference (JND) paradigm. Twelve listeners provided estimates of the
speech intelligibility for speakers with PD. RESULTS: Fifteen responses from each
speaker group for each shift direction were included in analyses. While control
speakers generally showed consistent adaptive responses opposing the
perturbation, speakers with PD showed no compensation on average, with individual
PD speakers showing highly variable responses. In the PD group, the degree of
compensation was not significantly correlated with age, disease progression,
pitch acuity, or intelligibility. CONCLUSIONS: These findings indicate reduced
adaptation to sustained fo perturbation and higher variability in PD compared to
control participants. No significant differences were seen in pitch acuity
between groups, suggesting that the fo adaptation deficit in PD is not the result
of purely perceptual mechanisms. SIGNIFICANCE: These results suggest there is an
impairment in vocal motor control in PD. Building on these results, contributions
can be made to developing targeted voice treatments for PD.
PMID- 29373590
TI - Risk preferences impose a hidden distortion on measures of choice impulsivity.
AB - Measuring temporal discounting through the use of intertemporal choice tasks is
now the gold standard method for quantifying human choice impulsivity
(impatience) in neuroscience, psychology, behavioral economics, public health and
computational psychiatry. A recent area of growing interest is individual
differences in discounting levels, as these may predispose to (or protect from)
mental health disorders, addictive behaviors, and other diseases. At the same
time, more and more studies have been dedicated to the quantification of
individual attitudes towards risk, which have been measured in many clinical and
non-clinical populations using closely related techniques. Economists have
pointed to interactions between measurements of time preferences and risk
preferences that may distort estimations of the discount rate. However, although
becoming standard practice in economics, discount rates and risk preferences are
rarely measured simultaneously in the same subjects in other fields, and the
magnitude of the imposed distortion is unknown in the assessment of individual
differences. Here, we show that standard models of temporal discounting -such as
a hyperbolic discounting model widely present in the literature which fails to
account for risk attitudes in the estimation of discount rates- result in a large
and systematic pattern of bias in estimated discounting parameters. This can lead
to the spurious attribution of differences in impulsivity between individuals
when in fact differences in risk attitudes account for observed behavioral
differences. We advance a model which, when applied to standard choice tasks
typically used in psychology and neuroscience, provides both a better fit to the
data and successfully de-correlates risk and impulsivity parameters. This results
in measures that are more accurate and thus of greater utility to the many fields
interested in individual differences in impulsivity.
PMID- 29373591
TI - A trivalent Apx-fusion protein delivered by E. coli outer membrane vesicles
induce protection against Actinobacillus pleuropneumoniae of serotype 1 and 7
challenge in a murine model.
AB - Actinobacillus pleuropneumoniae (APP) causes serious economic losses in the swine
industry, and is the etiologic agent of porcine pleuropneumonia. In this study we
have engineered a trivalent Apx fusion protein enclosed in outer membrane
vesicles (Apxr-OMV) and studied its immunoprotective efficacy against APP
serotypes 1 and 7 challenge in mice. The results showed that the IgG levels in
the Apxr-OMVs immune group were significantly higher than those of the negative
control (P < 0.05). Up-regulation of both Th1 (IFN-gamma, IL-2) and Th2 (IL-4)
cytokines were detected in splenocytes of Apxr-OMVs immune group. The survival
rates 87.5% and 62.5% were observed against APP strain 1516 of serotype 7 and APP
strain 2701 of serotype 1 in the groups of Apxr-OMVs immune group, respectively.
Histopathological lesions of the pulmonary structure alveoli were found to be
minimal in APX-OMV group challenged with APP serotypes 1 and 7. These results
strongly indicated that engineered OMVs could effectively induce specific humoral
or cellular immune responses. Moreover, Apxr-OMVs used as novel vaccine provides
cross-protective immunity against different serotype 1 and 7 of APP infection in
a mouse model. In contrast, the OMV-empty and PBS as negative controls or
inactivated strain of APP-2701 and APP-1516 as positive controls for the animal
study cannot provide protection or cross-protection.
PMID- 29373592
TI - Strontium isotopes reveal weathering processes in lateritic covers in southern
China with implications for paleogeographic reconstructions.
AB - The isotope ratios of Sr are useful tracers for studying parent material sources,
weathering processes, and biogeochemical cycling. Mineralogical and geochemical
investigations of two lateritic weathering covers, in an area close to the Tropic
of Cancer (Guangxi Province, southern China), were undertaken to study the
regional weathering processes and Sr isotopic sources. We found that weathering
and decomposition of Rb- and Sr-bearing minerals change the Sr isotopic
composition in weathering products (lateritic soils). Weathering of illite
lowered the 87Sr/86Sr ratio whereas dissolving and leaching of carbonate minerals
increased the 87Sr/86Sr ratio. An Fe nodular horizon is widely developed on the
top of the weathering covers in the studied area and it differs from the
lateritic soil horizon in mineral composition, construction, and elemental
concentration. Furthermore, both Fe2O3 and P2O5 (concentrations) are negatively
correlated with the 87Sr/86Sr ratios, suggesting fixation of apatite by Fe oxides
is a controlling factor of the Sr isotopic composition in the Fe nodular horizon.
The 87Sr/86Sr and Nb/Sr ratios imply the contents and proportions of Fe nodules
and clay are critical in controlling the changes of Sr isotopic composition in
the Fe nodular horizon. The two stages of the weathering process of carbonate
rocks are revealed by the87Sr/86Sr versus Nb/Sr diagram. The 87Sr/86Sr and Rb/Sr
ratios suggest that Sr isotopes in the weathering covers within the studied area
are derived mainly from parent rock weathering and that the contributions from
allothogenic Sr isotopes are limited. A comparison of Sr isotopic composition
signatures in the weathering covers of the studied area and Guizhou Province
provided insight into the Sr isotopic source and paleogeographic evolution of
southern China. From the Permian to the Triassic, the continental fragment
sources of the South China sedimentary basin changed significantly. In the
Permian, Southern China presented the paleogeographic pattern that the north was
higher (in elevation) than the south.
PMID- 29373593
TI - Laying sequence interacts with incubation temperature to influence rate of
embryonic development and hatching synchrony in a precocial bird.
AB - Incubation starts during egg laying for many bird species and causes
developmental asynchrony within clutches. Faster development of late-laid eggs
can help reduce developmental differences and synchronize hatching, which is
important for precocial species whose young must leave the nest soon after
hatching. In this study, we examined the effect of egg laying sequence on length
of the incubation period in Wood Ducks (Aix sponsa). Because incubation
temperature strongly influences embryonic development rates, we tested the
interactive effects of laying sequence and incubation temperature on the ability
of late-laid eggs to accelerate development and synchronize hatching. We also
examined the potential cost of faster development on duckling body condition.
Fresh eggs were collected and incubated at three biologically relevant
temperatures (Low: 34.9 degrees C, Medium: 35.8 degrees C, and High: 37.6 degrees
C), and egg laying sequences from 1 to 12 were used. Length of the incubation
period declined linearly as laying sequence advanced, but the relationship was
strongest at medium temperatures followed by low temperatures and high
temperatures. There was little support for including fresh egg mass in models of
incubation period. Estimated differences in length of the incubation period
between eggs 1 and 12 were 2.7 d, 1.2 d, and 0.7 d at medium, low and high
temperatures, respectively. Only at intermediate incubation temperatures did
development rates of late-laid eggs increase sufficiently to completely
compensate for natural levels of developmental asynchrony that have been reported
in Wood Duck clutches at the start of full incubation. Body condition of
ducklings was strongly affected by fresh egg mass and incubation temperature but
declined only slightly as laying sequence progressed. Our findings show that
laying sequence and incubation temperature play important roles in helping to
shape embryo development and hatching synchrony in a precocial bird.
PMID- 29373594
TI - Biofilm formation and transcriptome analysis of Streptococcus gallolyticus subsp.
gallolyticus in response to lysozyme.
AB - Streptococcus gallolyticus subsp. gallolyticus is a commensal bacterium of the
human gastrointestinal tract, and a pathogen causing infective endocarditis and
other biofilm-associated infections via exposed collagen. This study focuses on
the characterization of the biofilm formation and collagen adhesion of S.
gallolyticus subsp. gallolyticus under different conditions. In this study, it
has been observed that the isolate UCN 34 is resistant to 20 mg/ml lysozyme in
BHI medium, whereas the strain BAA-2069 builds more biofilm in the presence of
lysozyme compared to in a control of BHI without lysozyme. A transcriptome
analysis with whole genome microarrays of these two isolates in BHI medium with
lysozyme compared to control without lysozyme revealed changes in gene expression
levels. In the isolate BAA-2069, 67 genes showed increased expression in the
presence of lysozyme, while in the isolate UCN 34, 165 genes showed increased
expression and 30 genes showed decreased expression through lysozyme treatment.
Products of genes which were higher expressed are in involved in transcription
and translation, in cell-wall modification, in hydrogen peroxide resistance and
in bacterial immunity. Furthermore, the adhesion ability of different strains of
S. gallolyticus subsp. gallolyticus to collagen type I and IV was analyzed.
Thereby, we compared the adhesion of 46 human isolates with 23 isolates from
animals. It was shown that the adhesion ability depends significantly on whether
the isolate was isolated from human or animal. For example, high adhesion ability
was observed for strain UCN 34 isolated from an infective endocarditis patient,
whereas strain DSM 16831 isolated from koala feces adhered only marginally to
collagen. Full genome microarray analysis of these two strains revealed strain
dependent gene expression due to adhesion. The expression of 25 genes of a
transposon and 15 genes of a phage region in strain DSM 16831 were increased,
which corresponds to horizontal gene transfer. Adherence to collagen in strain
UCN 34 led to higher expression of 27 genes and lower expression of 31 genes.
This was suggestive of a change in nutrient uptake.
PMID- 29373595
TI - Hospitalizations due to unintentional transport injuries among Aboriginal
population of British Columbia, Canada: Incidence, changes over time and
ecological analysis of risk markers.
AB - BACKGROUND: Worldwide, Indigenous people have disproportionately higher rates of
transport injuries. We examined disparities in injury-related hospitalizations
resulting from transport incidents for three population groups in British
Columbia (BC): total population, Aboriginal off-reserve, and Aboriginal on
reserve populations. We also examined sociodemographic, geographic and ethnic
risk markers for disparities. METHODS: We identified Aboriginal people through
BC's universal health care insurance plan insurance premium group and birth and
death record notations. We calculated crude incidence rate and Standardized
Relative Risk (SRR) of hospitalization for unintentional transport injury,
standardized for age, gender and Health Service Delivery Area (HSDA), relative to
the total population of BC. We tested hypothesized associations of geographic,
socio-economic, and employment-related characteristics of Aboriginal communities
with SRR of transport injury by multivariable linear regression. RESULTS: During
the period 1991-2010, the SRR for the off-reserve Aboriginal population was 1.77
(95% CI: 1.71 to 1.83); and 2.00 (95% CI: 1.93 to 2.07) among those living on
reserve. Decline in crude rate and SRRs was observed over this period among both
the Aboriginal and total populations of BC, but was proportionally greater among
the Aboriginal population. The best-fitting multivariable risk marker model was
an excellent fit (R2 = 0.912, p<0.001), predicted SRRs very close to observed
values, and retained the following terms: urban residence, population per room,
proportion of the population with a high school certificate, proportion of the
population employed; and multiplicative interactions of Aboriginal ethnicity with
population per room and proportion of the population employed. CONCLUSIONS:
Disparities in risk of hospitalization due to unintentional transport injury have
narrowed. Aboriginal ethnicity modifies the effects of socioeconomic risk
factors. Continued improvement of socioeconomic conditions and implementation of
culturally relevant injury prevention interventions are needed.
PMID- 29373597
TI - High dietary zinc feeding promotes persistence of multi-resistant E. coli in the
swine gut.
AB - High levels of zinc oxide are used frequently as feed additive in pigs to improve
gut health and growth performance and are still suggested as an alternative to
antimicrobial growth promoters. However, we have recently described an increase
of multi-resistant E. coli in association to zinc feeding in piglets. This
previous study focused on clonal diversity of E. coli, observing the effect on
multi-resistant strains by chance. To shed further light into this highly
important topic and falsify our previous findings, we performed a zinc pig
feeding trial where we specifically focused on in-depth analysis of antimicrobial
resistant E. coli. Under controlled experimental conditions, piglets were
randomly allocated to a high dietary zinc (zinc group) and a background zinc
feeding group (control group). At different ages samples were taken from feces,
digesta, and mucosa and absolute E. coli numbers were determined. A total of 2665
E. coli isolates were than phenotypically tested for antimicrobial resistance and
results were confirmed by minimum inhibitory concentration testing for random
samples. In piglets fed with high dietary zinc, we detected a substantial
increase of multi-resistant E. coli in all gut habitats tested, ranging from 28.9
30.2% multi-resistant E. coli compared to 5.8-14.0% in the control group. This
increase was independent of the total number of E. coli. Interestingly, the total
amount of the E. coli population decreased over time. Thus, the increase of the
multi-resistant E. coli populations seems to be linked with persistence of the
resistant population, caused by the influence of high dietary zinc feeding. In
conclusion, these findings corroborate our previous report linking high dietary
zinc feeding of piglets with the occurrence of antimicrobial resistant E. coli
and therefore question the feeding of high dietary zinc oxide as alternative to
antimicrobial growth promoters.
PMID- 29373596
TI - Increasingly inbred and fragmented populations of Plasmodium vivax associated
with the eastward decline in malaria transmission across the Southwest Pacific.
AB - The human malaria parasite Plasmodium vivax is more resistant to malaria control
strategies than Plasmodium falciparum, and maintains high genetic diversity even
when transmission is low. To investigate whether declining P. vivax transmission
leads to increasing population structure that would facilitate elimination, we
genotyped samples from across the Southwest Pacific region, which experiences an
eastward decline in malaria transmission, as well as samples from two time points
at one site (Tetere, Solomon Islands) during intensified malaria control.
Analysis of 887 P. vivax microsatellite haplotypes from hyperendemic Papua New
Guinea (PNG, n = 443), meso-hyperendemic Solomon Islands (n = 420), and
hypoendemic Vanuatu (n = 24) revealed increasing population structure and
multilocus linkage disequilibrium yet a modest decline in diversity as
transmission decreases over space and time. In Solomon Islands, which has had
sustained control efforts for 20 years, and Vanuatu, which has experienced
sustained low transmission for many years, significant population structure was
observed at different spatial scales. We conclude that control efforts will
eventually impact P. vivax population structure and with sustained pressure,
populations may eventually fragment into a limited number of clustered foci that
could be targeted for elimination.
PMID- 29373598
TI - Quantitative characterization of viscoelastic behavior in tissue-mimicking
phantoms and ex vivo animal tissues.
AB - Viscoelasticity of soft tissue is often related to pathology, and therefore, has
become an important diagnostic indicator in the clinical assessment of suspect
tissue. Surgeons, particularly within head and neck subsites, typically use
palpation techniques for intra-operative tumor detection. This detection method,
however, is highly subjective and often fails to detect small or deep
abnormalities. Vibroacoustography (VA) and similar methods have previously been
used to distinguish tissue with high-contrast, but a firm understanding of the
main contrast mechanism has yet to be verified. The contributions of tissue
mechanical properties in VA images have been difficult to verify given the
limited literature on viscoelastic properties of various normal and diseased
tissue. This paper aims to investigate viscoelasticity theory and present a
detailed description of viscoelastic experimental results obtained in tissue
mimicking phantoms (TMPs) and ex vivo tissues to verify the main contrast
mechanism in VA and similar imaging modalities. A spherical-tip micro-indentation
technique was employed with the Hertzian model to acquire absolute, quantitative,
point measurements of the elastic modulus (E), long term shear modulus (eta), and
time constant (tau) in homogeneous TMPs and ex vivo tissue in rat liver and
porcine liver and gallbladder. Viscoelastic differences observed between porcine
liver and gallbladder tissue suggest that imaging modalities which utilize the
mechanical properties of tissue as a primary contrast mechanism can potentially
be used to quantitatively differentiate between proximate organs in a clinical
setting. These results may facilitate more accurate tissue modeling and add
information not currently available to the field of systems characterization and
biomedical research.
PMID- 29373599
TI - Drug drug interaction extraction from the literature using a recursive neural
network.
AB - Detecting drug-drug interactions (DDI) is important because information on DDIs
can help prevent adverse effects from drug combinations. Since there are many new
DDI-related papers published in the biomedical domain, manually extracting DDI
information from the literature is a laborious task. However, text mining can be
used to find DDIs in the biomedical literature. Among the recently developed
neural networks, we use a Recursive Neural Network to improve the performance of
DDI extraction. Our recursive neural network model uses a position feature, a
subtree containment feature, and an ensemble method to improve the performance of
DDI extraction. Compared with the state-of-the-art models, the DDI detection and
type classifiers of our model performed 4.4% and 2.8% better, respectively, on
the DDIExtraction Challenge'13 test data. We also validated our model on the PK
DDI corpus that consists of two types of DDIs data: in vivo DDI and in vitro DDI.
Compared with the existing model, our detection classifier performed 2.3% and
6.7% better on in vivo and in vitro data respectively. The results of our
validation demonstrate that our model can automatically extract DDIs better than
existing models.
PMID- 29373600
TI - Schistosoma mansoni infection suppresses the growth of Plasmodium yoelii
parasites in the liver and reduces gametocyte infectivity to mosquitoes.
AB - Malaria and schistosomiasis are major parasitic diseases causing morbidity and
mortality in the tropics. Epidemiological surveys have revealed coinfection rates
of up to 30% among children in Sub-Saharan Africa. To investigate the impact of
coinfection of these two parasites on disease epidemiology and pathology, we
carried out coinfection studies using Plasmodium yoelii and Schistosoma mansoni
in mice. Malaria parasite growth in the liver following sporozoite inoculation is
significantly inhibited in mice infected with S. mansoni, so that when low
numbers of sporozoites are inoculated, there is a large reduction in the
percentage of mice that go on to develop blood stage malaria. Furthermore,
gametocyte infectivity is much reduced in mice with S. mansoni infections. These
results have profound implications for understanding the interactions between
Plasmodium and Schistosoma species, and have implications for the control of
malaria in schistosome endemic areas.
PMID- 29373601
TI - Transcriptional changes detected in fecal RNA of neonatal dairy calves undergoing
a mild diarrhea are associated with inflammatory biomarkers.
AB - After birth, a newborn calf has to adapt to an extrauterine life characterized by
several physiological changes. In particular, maturation of the gastrointestinal
tract in a new environment loaded with potential pathogens, which can predispose
neonatal calves to develop diarrhea, and is a major cause of morbidity and
mortality during the first 4 wks of life. We aimed to investigate the
inflammatory adaptations at a transcriptomic level in the gastrointestinal (GI)
tract to a mild diarrhea in neonatal dairy calves using RNA isolated from fresh
fecal samples. Eight newborn Jersey male calves were used from birth to 5 wks of
age and housed in individual pens. After birth, calves received 1.9 L of
colostrum from their respective dams. Calves had ad-libitum access to water and
starter grain (22% CP) and were fed twice daily a total of 5.6 L pasteurized
whole milk. Starter intake, body weight (BW), fecal score, withers height (WH),
and rectal temperature (RT) were recorded throughout the experiment. Blood
samples were collected weekly for metabolic and inflammatory profiling from wk 0
to wk 5. Fresh fecal samples were collected weekly and immediately flash frozen
until RNA was extracted using a Trizol-based method, and subsequently, an RT-qPCR
analysis was performed. Orthogonal contrasts were used to evaluate linear or
quadratic effects over time. Starter intake, BW, and WH increased over time.
Fecal score was greatest (2.6 +/- 0.3) during wk 2. The concentrations of IL-6,
ceruloplasmin, and haptoglobin had a positive quadratic effect with maximal
concentrations during wk 2, which corresponded to the maximal fecal score
observed during the same time. The concentration of serum amyloid A decreased
over time. The mRNA expression of the proinflammatory related genes TLR4, TNFA,
IL8, and IL1B had a positive quadratic effect of time. A time effect was observed
for the cell membrane sodium-dependent glucose transporter SLC5A1, for the major
carbohydrate facilitated transporter SLC2A2, and water transport function AQP3,
where SLC5A1 and AQP3 had a negative quadratic effect over time. Our data support
the use of the fecal RNA as a noninvasive tool to investigate intestinal
transcriptomic profiling of dairy calves experiencing diarrhea, which would be
advantageous for future research including nutritional effects and health
conditions.
PMID- 29373602
TI - Apixaban 5 and 2.5 mg twice-daily versus warfarin for stroke prevention in
nonvalvular atrial fibrillation patients: Comparative effectiveness and safety
evaluated using a propensity-score-matched approach.
AB - : Prior real-world studies have shown that apixaban is associated with a reduced
risk of stroke/systemic embolism (stroke/SE) and major bleeding versus warfarin.
However, few studies evaluated the effectiveness and safety of apixaban according
to its dosage, and most studies contained limited numbers of patients prescribed
2.5 mg twice-daily (BID) apixaban. Using pooled data from 4 American claims
database sources, baseline characteristics and outcomes for patients prescribed 5
mg BID and 2.5 mg BID apixaban versus warfarin were compared. After 1:1
propensity-score matching, 31,827 5 mg BID apixaban-matched warfarin patients and
6600 2.5 mg BID apixaban-matched warfarin patients were identified. Patients
prescribed 2.5 mg BID apixaban were older, had clinically more severe
comorbidities, and were more likely to have a history of stroke and bleeding
compared with 5 mg BID apixaban patients. Compared with warfarin, 5 mg BID
apixaban was associated with a lower risk of stroke/SE (hazard ratio [HR]: 0.70,
95% confidence interval [CI]: 0.60-0.81) and major bleeding (HR: 0.59, 95% CI:
0.53-0.66). Compared with warfarin, 2.5 mg BID apixaban was also associated with
a lower risk of stroke/SE (HR: 0.63, 95% CI: 0.49-0.81) and major bleeding (HR:
0.59, 95% CI: 0.49-0.71). In this real-world study, both apixaban doses were
assessed in 2 patient groups differing in age and clinical characteristics. Each
apixaban dose was associated with a lower risk of stroke/SE and major bleeding
compared with warfarin in the distinct population for which it is being
prescribed in United States clinical practice. TRIAL REGISTRATION:
Clinicaltrials.Gov Identifier: NCT03087487.
PMID- 29373603
TI - N-acetylcysteine alleviates cadmium-induced placental endoplasmic reticulum
stress and fetal growth restriction in mice.
AB - Cadmium (Cd) is a developmental toxicant that induces fetal growth restriction
(FGR). Placental endoplasmic reticulum (ER) stress is associated with FGR. This
study investigated the effects of N-acetylcysteine (NAC) on Cd-induced placental
ER stress and FGR. Pregnant mice were intraperitoneally injected with CdCl2 daily
from gestational day (GD)13 to GD17. As expected, Cd reduced fetal weight and
crown-rump length. Cd decreased the internal space of blood vessels in the
placental labyrinth layer and inhibited placental cell proliferation. Several
genes of growth factors, such as Vegf-a, placental growth factor, Igf1 and Igf1r,
and several genes of nutrient transport pumps, such as Glut1, Fatp1 and Snat2,
were down-regulated in placenta of Cd-treated mice. Moreover, Cd evoked placental
ER stress. Of interest, NAC alleviated Cd-induced FGR. Additional experiment
showed that NAC inhibited Cd-induced impairment of placental development and
placental ER stress. Therefore, NAC may be exploited for prevention of Cd-induced
placental insufficiency and FGR.
PMID- 29373604
TI - Excessive scleral shrinkage, rather than choroidal thickening, is a major
contributor to the development of hypotony maculopathy after trabeculectomy.
AB - PURPOSE: We previously reported that eyes with hypotony maculopathy (HM) after
trabeculectomy (TLE) exhibited more reduction of axial length (AL) than those
without HM, suggesting that inward collapse of the scleral wall may contribute to
the development of HM after TLE. However, we did not evaluate change in choroidal
thickness (CT), which could influence AL measures. We compared the magnitude and
rate of AL and CT changes in eyes with and without HM by simultaneously measuring
these parameters before and after TLE. METHODS: We enrolled 77 eyes of
77consecutive patients with glaucoma, who underwent TLE between March 2014 and
March 2016. Intraocular pressure (IOP), central corneal thickness, keratometry,
AL, and CT were measured pre- and postoperatively, up to 6 months. These
biometrics were compared in eyes with and without HM. RESULTS: The 14 patients
who developed HM were significantly younger than those who did not. The eyes with
HM exhibited significantly reduced AL (2.8%) compared to those without HM (0.7%).
There was no significant difference in CT change between the two groups. The rate
of AL reduction was significantly correlated with age, postoperative IOP, and
preoperative AL. Post-adjustment logistic regression analysis revealed that eyes
with AL reduction rate >= 2% had 11.67 higher risk for developing HM (95%
confidence interval, 1.28-106.6; P = 0.03). CONCLUSIONS: AL reduction rates >= 2%
were significantly associated with HM. Excessive reduction in AL, which was seen
in eyes with HM, was not an artificial measure resulting from choroidal
thickening but rather reflected reductions in the anterior-posterior diameter of
the eyeball. Inward collapse of the scleral wall leads to redundancy of the
chorioretinal tissue, contributing to the development of HM after TLE.
PMID- 29373605
TI - Plant identity and shallow soil moisture are primary drivers of stomatal
conductance in the savannas of Kruger National Park.
AB - Our goal was to describe stomatal conductance (gs) and the site-scale
environmental parameters that best predict gs in Kruger National Park (KNP),
South Africa. Dominant grass and woody species were measured over two growing
seasons in each of four study sites that represented the natural factorial
combination of mean annual precipitation [wet (750 mm) or dry (450 mm)] and soil
type (clay or sand) found in KNP. A machine-learning (random forest) model was
used to describe gs as a function of plant type (species or functional group) and
site-level environmental parameters (CO2, season, shortwave radiation, soil type,
soil moisture, time of day, vapor pressure deficit and wind speed). The model
explained 58% of the variance among 6,850 gs measurements. Species, or plant
functional group, and shallow (0-20 cm) soil moisture had the greatest effect on
gs. Atmospheric drivers and soil type were less important. When parameterized
with three years of observed environmental data, the model estimated mean daytime
growing season gs as 68 and 157 mmol m-2 sec-1 for grasses and woody plants,
respectively. The model produced here could, for example, be used to estimate gs
and evapotranspiration in KNP under varying climate conditions. Results from this
field-based study highlight the role of species identity and shallow soil
moisture as primary drivers of gs in savanna ecosystems of KNP.
PMID- 29373606
TI - Modulation of HIV replication in monocyte derived macrophages (MDM) by steroid
hormones.
AB - Significant sex specific differences in the progression of HIV/AIDS have been
reported. Several studies have implicated steroid hormones in regulating host
factor expression and modulating HIV transmission and replication. However, the
exact mechanism exerted by steroid hormones estrogen and progesterone in the
regulation of HIV-1 replication is still unclear. Results from the current study
indicated a dose dependent down regulation of HIV-1 replication in monocyte
derived macrophages pre-treated with high concentrations of estrogen or
progesterone. To elucidate the molecular mechanisms associated with the down
regulation of HIV-1 replication by estrogen and progesterone we used PCR arrays
to analyze the expression profile of host genes involved in antiviral responses.
Several chemokines, cytokines, transcription factors, interferon stimulated genes
and genes involved in type-1 interferon signaling were down regulated in cells
infected with HIV-1 pre-treated with high concentrations of estrogen or
progesterone compared to untreated HIV-1 infected cells or HIV-1 infected cells
treated with low concentrations of estrogen or progesterone. The down regulation
of CXCL9, CXCL10 and CXCL11 chemokines and IL-1beta, IL-6 cytokines in response
to high concentrations of estrogen and progesterone pre-treatment in HIV-1
infected cells was confirmed at the protein level by quantitating chemokine and
cytokine concentrations in the culture supernatant. These results demonstrate
that a potent anti-inflammatory response is mediated by pre-treatment with high
concentrations of estrogen and progesterone. Thus, our study suggests a strong
correlation between the down-modulation of anti-viral and pro-inflammatory
responses mediated by estrogen and progesterone pre-treatment and the down
regulation of HIV-1 replication. These findings may be relevant to clinical
observations of sex specific differences in patient populations and point to the
need for further investigation.
PMID- 29373607
TI - Editing of the human TRIM5 gene to introduce mutations with the potential to
inhibit HIV-1.
AB - The type I interferon (IFN-I)-inducible human restriction factor TRIM5alpha
inhibits the infection of human cells by specific nonhuman retroviruses, such as
N-MLV and EIAV, but does not generally target HIV-1. However, the introduction of
two aminoacid substitutions, R332G and R355G, in the human TRIM5alpha
(huTRIM5alpha) domain responsible for retroviral capsid recognition leads to
efficient HIV-1 restriction upon stable over-expression. CRISPR-Cas-based
approaches to precisely edit DNA could be employed to modify TRIM5 in human
cells. Toward this aim, we used a DNA transfection-based CRISPR-Cas9 genome
editing protocol to successfully mutate TRIM5 to its potentially HIV-1
restrictive version by homology-directed repair (HDR) in HEK293T cells. Nine
clones bearing at least one HDR-edited TRIM5 allele containing both mutations
were isolated (5.6% overall efficiency), whereas another one contained only the
R332G mutation. Of concern, several of these HDR-edited clones contained on
target undesired mutations, and none had all the alleles corrected. Our study
demonstrates the feasibility of editing the TRIM5 gene in human cells and
identifies the main challenges to be addressed in order to use this approach to
confer protection from HIV-1.
PMID- 29373608
TI - Interleukin-33 induces interleukin-8 expression via JNK/c-Jun/AP-1 pathway in
human umbilical vein endothelial cells.
AB - Interleukin (IL)-33 is a member of the IL-1 cytokine family with dual functions
as a traditional cytokine and as a transcriptional regulator. We recently
reported that IL-33 up-regulated growth regulated oncogene (GRO)-alpha/CXCL1
expression in human vascular endothelial cells. The aim of this study was to
investigate the effect of IL-33 on the expression of IL-8/CXCL8, another member
of the CXC-chemokine family, and to elucidate its signaling pathways in human
umbilical vein endothelial cells (HUVECs). Immunocytochemical staining and
Western immunoblot analysis revealed that IL-33 augmented IL-8 protein expression
in HUVECs. Real time reverse transcription-polymerase chain reaction (RT-PCR) and
enzyme-linked immunosorbent assay (ELISA) showed that IL-33 significantly
increased IL-8 mRNA and secretion in a dose- and time-dependent manner. IL-33
preferentially stimulated proliferating subconfluent cells, and increased IL-8
secretion to a higher level compared with confluent cells. IL-33 also stimulated
phosphorylations of c-Jun N-terminal kinase (JNK) and c-Jun, and enhanced
activator protein (AP)-1 DNA-binding activity, all of which were suppressed by
SP600125, a JNK inhibitor. Moreover, IL-33-induced IL-8 mRNA and secretion were
also suppressed by SP600125. Transfection of c-Jun small interfering RNA into
cultured HUVECs significantly reduced the IL-33-induced increase in IL-8
secretion from HUVECs. The present study demonstrates that IL-33 induces IL-8
expression via JNK/c-Jun/AP-1 pathway in human vascular endothelial cells, and
provides a new insight into the role of IL-33-induced IL-8 in the pathophysiology
of atherosclerosis and vascular inflammation.
PMID- 29373609
TI - Systematic identification of latent disease-gene associations from PubMed
articles.
AB - Recent scientific advances have accumulated a tremendous amount of biomedical
knowledge providing novel insights into the relationship between molecular and
cellular processes and diseases. Literature mining is one of the commonly used
methods to retrieve and extract information from scientific publications for
understanding these associations. However, due to large data volume and
complicated associations with noises, the interpretability of such association
data for semantic knowledge discovery is challenging. In this study, we describe
an integrative computational framework aiming to expedite the discovery of latent
disease mechanisms by dissecting 146,245 disease-gene associations from over 25
million of PubMed indexed articles. We take advantage of both Latent Dirichlet
Allocation (LDA) modeling and network-based analysis for their capabilities of
detecting latent associations and reducing noises for large volume data
respectively. Our results demonstrate that (1) the LDA-based modeling is able to
group similar diseases into disease topics; (2) the disease-specific association
networks follow the scale-free network property; (3) certain subnetwork patterns
were enriched in the disease-specific association networks; and (4) genes were
enriched in topic-specific biological processes. Our approach offers promising
opportunities for latent disease-gene knowledge discovery in biomedical research.
PMID- 29373612
TI - Are meta-analyses clinically useful?
PMID- 29373610
TI - Don't speak too fast! Processing of fast rate speech in children with specific
language impairment.
AB - BACKGROUND: Perception of speech rhythm requires the auditory system to track
temporal envelope fluctuations, which carry syllabic and stress information.
Reduced sensitivity to rhythmic acoustic cues has been evidenced in children with
Specific Language Impairment (SLI), impeding syllabic parsing and speech
decoding. Our study investigated whether these children experience specific
difficulties processing fast rate speech as compared with typically developing
(TD) children. METHOD: Sixteen French children with SLI (8-13 years old) with
mainly expressive phonological disorders and with preserved comprehension and 16
age-matched TD children performed a judgment task on sentences produced 1) at
normal rate, 2) at fast rate or 3) time-compressed. Sensitivity index (d') to
semantically incongruent sentence-final words was measured. RESULTS: Overall
children with SLI perform significantly worse than TD children. Importantly, as
revealed by the significant Group * Speech Rate interaction, children with SLI
find it more challenging than TD children to process both naturally or
artificially accelerated speech. The two groups do not significantly differ in
normal rate speech processing. CONCLUSION: In agreement with rhythm-processing
deficits in atypical language development, our results suggest that children with
SLI face difficulties adjusting to rapid speech rate. These findings are
interpreted in light of temporal sampling and prosodic phrasing frameworks and of
oscillatory mechanisms underlying speech perception.
PMID- 29373611
TI - Accuracy and reliability of noninvasive stroke volume monitoring via ECG-gated 3D
electrical impedance tomography in healthy volunteers.
AB - Cardiac output (CO) and stroke volume (SV) are parameters of key clinical
interest. Many techniques exist to measure CO and SV, but are either invasive or
insufficiently accurate in clinical settings. Electrical impedance tomography
(EIT) has been suggested as a noninvasive measure of SV, but inconsistent results
have been reported. Our goal is to determine the accuracy and reliability of EIT
based SV measurements, and whether advanced image reconstruction approaches can
help to improve the estimates. Data were collected on ten healthy volunteers
undergoing postural changes and exercise. To overcome the sensitivity to heart
displacement and thorax morphology reported in previous work, we used a 3D EIT
configuration with 2 planes of 16 electrodes and subject-specific reconstruction
models. Various EIT-derived SV estimates were compared to reference measurements
derived from the oxygen uptake. Results revealed a dramatic impact of posture on
the EIT images. Therefore, the analysis was restricted to measurements in supine
position under controlled conditions (low noise and stable heart and lung
regions). In these measurements, amplitudes of impedance changes in the heart and
lung regions could successfully be derived from EIT using ECG gating. However,
despite a subject-specific calibration the heart-related estimates showed an
error of 0.0 +/- 15.2 mL for absolute SV estimation. For trending of relative SV
changes, a concordance rate of 80.9% and an angular error of -1.0 +/- 23.0
degrees were obtained. These performances are insufficient for most clinical
uses. Similar conclusions were derived from lung-related estimates. Our findings
indicate that the key difficulty in EIT-based SV monitoring is that purely
amplitude-based features are strongly influenced by other factors (such as
posture, electrode contact impedance and lung or heart conductivity). All the
data of the present study are made publicly available for further investigations.
PMID- 29373613
TI - Passings to note: Paul Michael Packman, MD; S. Charles Schulz, MD.
AB - One of the keys to the success of Annals of Clinical Psychiatry has always been
the tireless efforts of our dedicated Editorial Board. We recently lost 2
longtime Editorial Board members, Drs. Paul Michael Packman and S. Charles
Schulz. Both will be greatly missed.
PMID- 29373614
TI - The association of adverse mental health effects with repeated exposure to
disasters.
AB - BACKGROUND: It has been assumed that the mental health effects of repeated trauma
should be incrementally greater than simple additive effects of separate trauma.
However, repeated disasters afflicting the same population are uncommon. This
study investigated psychiatric disorders following differential exposures to
repeated disasters. METHODS: Mental health effects of exposure to repeated
disasters of 547 individuals exposed to either flooding, tornadoes, dioxin
contamination, and/or radioactive well water were assessed. Structured diagnostic
interviews assessed prevalence of psychiatric disorders before and after each of
the disasters. A multiple logistic regression model was used to test the
association of post-disaster disorders after each flood with the total number of
flood exposures, controlling for lifetime pre-disaster disorders. RESULTS:
Approximately one-fifth to one-third of the disaster-exposed groups had a
psychiatric disorder following exposure to disaster, but disaster-related
posttraumatic stress disorder and incident psychiatric disorders were nonexistent
or rare in both post-disaster periods. Most identified post-disaster
psychopathology consisted of alcohol use that predated the disasters.
CONCLUSIONS: Findings suggest that alcohol use disorder may be more
representative of a risk factor for, rather than an outcome of, flood exposure.
This possibility is supported by the high lifetime pre-flood prevalence of
alcohol use disorders in flood plain populations.
PMID- 29373615
TI - Is binge eating a cognitive disorder? Results from the International Mood
Disorders Collaborative Project.
AB - BACKGROUND: Individuals with binge eating disorder (BED) are differentially
affected by attention-deficit/hyperactivity disorder (ADHD), obesity, and
substance use disorder. We have investigated to what extent cognitive deficits
are relevant to binge eating behavior (BEB). METHODS: Data from the International
Mood Disorders Collaborative Project were retrospectively and cross-sectionally
analyzed to compare individuals with and without BEB on measures of anhedonia and
general cognitive functions (n = 566). BEB was assessed using items from the Mini
International Neuropsychiatric Interview Plus 5.0.0 for DSM-IV-TR that correspond
with DSM-5-defined diagnostic criteria for BED. Individuals currently prescribed
benzodiazepines were excluded from analyses. RESULTS: Individuals with BEB were
more likely to exhibit anhedonia (P = .044) and general cognitive (P = .005)
symptoms, when compared to those without BEB. We also observed that individuals
with BEB were more likely to have specific psychiatric (eg, ADHD) and medical
(eg, obesity) disorders (P < .05). CONCLUSIONS: Our results suggest that a
central disturbance in cognitive processes may be mechanistically relevant to the
cause and treatment of BEB in adults.
PMID- 29373616
TI - Differences in the cognitive profile of depression between racial groups.
AB - BACKGROUND: There is little research concerning whether race is associated with
different clinical presentations of psychiatric disorders. Understanding the
racial aspects of cognitive differences among depressed adults could help
identify specific targets for depression treatment. METHODS: We recruited 59
participants (52.5% white, 47.5% African American), age 18 to 60, with a current
diagnosis of major depressive disorder (MDD). All participants underwent a
cognitive battery that included tasks assessing decision-making, response
inhibition, working memory, and executive planning. Differences between white and
African American groups were analyzed using analysis of variance or equivalent
nonparametric tests. RESULTS: There were no differences in demographic variables
of age, sex, and education, but white individuals reported a significantly
earlier age of first depressive episode compared with African American
individuals. The African American group, however, showed significantly lower
quality of decision-making and less risk adjustment on the Cambridge Gambling
Task, and made more errors on the Spatial Working Memory task. CONCLUSIONS: Our
finding that specific cognitive domains differ as a function of racial
differences in MDD might have differential prognostic and treatment implications.
PMID- 29373617
TI - Determinants of cognitive function in individuals with type 2 diabetes mellitus:
A meta-analysis.
AB - BACKGROUND: Type 2 diabetes mellitus (T2DM) is associated with deficits across
multiple cognitive domains; however, the determinants of cognitive impairment in
T2DM are not well characterized. We aimed to evaluate body mass index (BMI),
glycemic control, and T2DM duration as moderators of cognitive dysfunction in
T2DM. METHODS: We conducted a meta-analytic review of the literature reporting
data on BMI, hemoglobin A1c (HbA1c), T2DM duration, and validated measures of
processing speed (ie, Digit Symbol Substitution Test, Trail Making Test [TMT]-A),
verbal learning and memory (ie, Rey Auditory Verbal Learning Test), and working
memory/executive function (ie, TMT-B) among individuals with vs without T2DM.
RESULTS: Individuals with T2DM demonstrated deficits across multiple cognitive
domains (k = 40; n = 4,252 T2DM; n = 22,322 non-T2DM; effect sizes 0.21 to 0.35).
Illness duration and BMI did not significantly moderate measures of cognition;
however, higher HbA1c levels were significantly associated with deficits in
measures of processing speed (R2 values 0.41 to 0.73, P < .01) and working
memory/executive function (R2 = 0.62, P < .001). CONCLUSIONS: Adults with T2DM
exhibited significant deficits across multiple domains of cognitive function.
Additionally, we identified an association between poorer glycemic control and
cognitive dysfunction. A clinical translation of our findings relates to the
reduction in morbidity by improving glycemic control.
PMID- 29373618
TI - Effectiveness of mindfulness-based stress reduction in a community sample over 2
years.
AB - BACKGROUND: Although numerous studies have demonstrated that mindfulness-based
stress reduction (MBSR) improves clinical and nonclinical outcomes, few studies
have followed MBSR participants for >12 months, and few have analyzed post
intervention home practice. METHODS: We followed a community sample of 247 self
referred adults for 2 years after completion of an 8-week MBSR program. Dependent
variables, including self-reported anxiety, depression, perceived wellness,
mindfulness, and duration and frequency of continued home practice, were measured
before and after the program and every 6 months after. RESULTS: Participants
reported significantly improved symptoms of depression and anxiety, increased
perceived wellness, and increased mindfulness after the 8-week intervention
compared with preintervention reports. These improvements persisted for 2 years.
Correlations between post-intervention home practice and mindfulness indicated
that individuals who continued to practice developed greater mindfulness, which
was associated with improved mental health and wellness. CONCLUSIONS:
Participants who completed the MBSR program reported significant improvements in
anxiety, depression, perceived wellness, and mindfulness during the program.
PMID- 29373619
TI - A brief history of polarity in mood and its diagnostic evolution.
AB - BACKGROUND: We reviewed the historical development of diagnostic nomenclature and
classification systems of mood disorders. METHODS: A literature search in PubMed
and Google Scholar was performed using multiple search terms. Also, the criteria
and classification of various mood disorders were reviewed and compared across
all editions of DSM. We also reviewed several books and the references of the
found articles. RESULTS: This review describes the historical development of the
concepts and diagnostic nomenclature of mood disorders, including the
encompassing of most of the now major depressive disorder under the prior manic
depressive illness. Additionally, we examine how mood disorders have been
developed, classified, and split into subcategories historically until the
current classification. We observed that the modern nosology (DSM-5) leans a bit
more toward a spectrum approach. CONCLUSIONS: The pendulum has swung a bit from
splitting toward lumping. The current diagnostic system blurs some of the
boundaries between bipolar and unipolar disorders, as in the case of changing
nomenclature to "mixed features" in both types of illnesses. This is supported by
many experts (and some studies) who advocate for the spectrum concept in mood at
the phenotypic level. The spectrum concept is more supported by evidence and
further examination driven by both unconfined clinical observations and
biological anchor points and markers to scientifically examine the zones of
rarity and boundaries between disorders. This would be more fruitful than the
arbitrary DSM number of criteria or episode durations and the artificial
separation of manic-depressive illness.
PMID- 29373620
TI - Stuttering and levetiracetam: Case report and research proposal.
PMID- 29373621
TI - Modafinil associated with new-onset obsessivecompulsive disorder.
PMID- 29373627
TI - Comparison of Performance of the VIP and WMT in a Criminal Forensic Sample.
AB - Objective: To compare the efficacy of the Validity Indicator Profile (VIP) and
Word Memory Test (WMT) in a criminal forensic population. Method: Potential
participants included 225 male evaluees from a maximum-security Federal prison
referred for neuropsychological evaluation for either forensic purposes or for
suspected neurocognitive dysfunction as part of a medical evaluation. Examinees
were included in the analysis if administered the VIP (Verbal, Nonverbal, or both
tests) and WMT along with at least two other freestanding PVTs; 74 satisfied
these criteria. Participants were then categorized as having probable Malingered
Neurocognitive Dysfunction (+MND) if they failed at least two freestanding
validity indicators, negative for MND (-MND) if they passed all indicators, and
Possible MND (pMND) if they failed one indicator. Results: Groups were very
demographically similar. There were significant differences in WMT scores and
distribution of VIP profiles across groups. Whether using traditional or
investigative cut scores, and whether using the WMT with or without consideration
of a GMIP profile, the WMT demonstrated superior sensitivity and specificity on
nearly every comparison. Conclusions: The VIP, when interpreted in the
traditional fashion, and the WMT with GMIP, both had more than adequate
psychometric properties when used with criminal forensic evaluees, strengthening
the body of literature supporting their use for these types of evaluations.
Counting a positive on either of the VIP subtests as an indication of +MND
improves the psychometric properties of the VIP slightly, although the WMT had
the better overall classification accuracy.
PMID- 29373628
TI - Effects of Personal Protective Equipment Use and Good Workplace Hygiene on
Symptoms of Neurotoxicity in Solvent-Exposed Vehicle Spray Painters.
AB - Objectives: To assess the association between the use of personal protective
equipment (PPE) and good workplace hygiene and symptoms of neurotoxicity in
solvent-exposed vehicle spray painters. Methods: Exposure control measures
including PPE-use and workplace hygiene practices and symptoms of neurotoxicity
were assessed in 267 vehicle repair spray painters. Symptoms were assessed using
an adapted version of the EUROQUEST Questionnaire. Results: Frequent respirator
and glove use was inversely and significantly associated with symptoms of
neurotoxicity in a dose-dependent manner (P < 0.05 for trend) with the strongest
protective effect found for consistent glove use (odds ratios [OR] 0.1-0.2, P <
0.01, for reporting >=10 and >=5 symptoms). A clear dose-response trend was also
observed when combining frequency of respirator and glove use (P < 0.05 for
reporting >=5 and >=10 symptoms), with an overall reduction in risk of 90% (OR,
0.1, P < 0.01) for those who consistently used both types of PPE. Protective
effects were most pronounced for the symptom domains of psychosomatic (P < 0.05
for trend, for combined PPE use), mood (P < 0.05), and memory and concentration
symptoms combined (P < 0.05), with reductions in risk of >80%. Poor hygiene
workplace practices, such as solvent exposure to multiple body parts (OR 3.4, P =
0.11 for reporting >=10 symptoms), were associated with an increased risk of
symptoms. When using a general workplace hygiene score derived from a combination
of PPE-use and (good) workplace practice factors an inverse and significant dose
response trend was observed for reporting >=5 (P < 0.01) and >=10 symptoms (P <
0.01). Conclusions: This study has shown that PPE-use and good workplace hygiene
are associated with a strongly reduced risk of symptoms of neurotoxicity in
solvent-exposed vehicle spray painters.
PMID- 29373629
TI - Are there tides within trees?
AB - Background: Tree stem diameters and electrical stem potentials exhibit rhythmic
variations with periodicities of 24-25 h. Under free-running conditions of
constant light or darkness these rhythms were suggested to be mediated by the
lunisolar gravitational force. Scope: To further unravel the regulation of tree
stem diameter dilatations, many of the published time courses of diameter
variations were re-evaluated in conjunction with the contemporaneous time courses
of the lunisolar tidal acceleration. This was accomplished by application of the
Etide program, which estimates, with high temporal resolution, local
gravitational changes as a consequence of the diurnal variations of the lunisolar
gravitational force due to the orbits and relative positions of Earth, Moon and
Sun. In all instances investigated, it was evident that a synchronism exists
between the times of the turning points of both the lunisolar tide and stem
diameter variations when the direction of extension changes. This finding of
synchrony documents that the lunisolar tide is a regulator of the tree stem
diameter dilatations. Conclusions: Under the described experimental conditions,
rhythms in tree stem diameter dilations and electrical stem potentials are
controlled by the lunisolar gravitational acceleration.
PMID- 29373630
TI - Reply: No evidence for rare TRAP1 mutations influencing the risk of idiopathic
Parkinson's disease.
PMID- 29373631
TI - Physiological and Anatomical Outputs of Rat Genital Cortex.
AB - Rat somatosensory genital cortex contains a large sexually monomorphic
representation of the penis in males and the clitoris in females. Genital cortex
microstimulation-evoked movements of legs, trunk and genitals, which showed sex
specific differences related to mating behaviors and included thrusting in males
and lordosis-like movements in females. Erections/tumescence of penis or clitoris
could not be evoked, however. Anterograde tracer injections into penis/clitoris
cortex revealed eleven corticocortical and 10 subcortical projection targets,
which were qualitatively similar in both sexes. Corticocortical genital-cortex
projections innervated about 3% of the cortical surface and most were analog to
other somatosensory projections targeting motor cortex, secondary somatosensory
cortex, parietal cortex and perirhinal cortex. Corticocortical projections that
differed from other parts of somatosensory cortex targeted male scrotum cortex,
female vulva cortex, the somatosensory-ear-auditory-cortex-region and the caudal
parietal area. Aligning cytoarchitectonic borders with motor topography, sensory
genital responses and corticocortical projections identified a candidate region
for genital motor cortex. Most subcortical genital-cortex-projections were analog
to other thalamic, tectal or pontine projections of somatosensory cortex. Genital
cortex-specific subcortical projections targeted amygdala and nucleus submedius
and accumbens. Microstimulation-effects and projections support a sexual function
of genital cortex and suggest that genital cortex is a major hub of sexual
sensorimotor processing in rodents.
PMID- 29373633
TI - On the Neural and Mechanistic Bases of Self-Control.
AB - Intertemporal choice requires a dynamic interaction between valuation and
deliberation processes. While evidence identifying candidate brain areas for each
of these processes is well established, the precise mechanistic role carried out
by each brain region is still debated. In this article, we present a
computational model that clarifies the unique contribution of frontoparietal
cortex regions to intertemporal decision making. The model we develop samples
reward and delay information stochastically on a moment-by-moment basis. As
preference for the choice alternatives evolves, dynamic inhibitory processes are
executed by way of asymmetric lateral inhibition. We find that it is these
lateral inhibition processes that best explain the contribution of frontoparietal
regions to intertemporal decision making exhibited in our data.
PMID- 29373634
TI - A Brief History of the Encoding of Hand Position by the Cerebral Cortex:
Implications for Motor Control and Cognition.
AB - Encoding hand position by the cerebral cortex is essential not only for the
neural representation of the body image but also for different actions based on
eye-hand coordination. These include reaching for visual objects as well as
complex movement sequences, such as tea-making, tool use, and object
construction, among many others. All these functions depend on a continuous
refreshing of the hand position representation, relying on both predictive
signaling and afferent information. The hand position influence on neural
activity in the parietofrontal system, together with eye position signals, are
the basic elements of an eye-hand matrix from which all the above functions can
emerge and could be regarded as key features of a network with several entry
points, command nodes and outflow pathways, as confirmed by the discovery of a
direct parietospinal projection for the control of hand action. The integrity of
this system is crucial for daily life, as testified by the consequences of
cortical lesions, spanning from severe paralysis to complex forms of apraxia. In
this review, I will sketch my personal understanding of the scientific and
conceptual trajectory of a line of investigation with many unexpected influences
on cortical function and disease, from motor behavior to cognition.
PMID- 29373635
TI - Fear Extinction Recall Modulates Human Frontomedial Theta and Amygdala Activity.
AB - Human functional magnetic resonance imaging (fMRI) and electroencephalography
(EEG) studies, as well as animal studies, indicate that the amygdala and
frontomedial brain regions are critically involved in conditioned fear and that
frontomedial oscillations in the theta range (4-8 Hz) may support communication
between these brain regions. However, few studies have used a multimodal approach
to probe interactions among these key regions in humans. Here, our goal was to
bridge the gap between prior human fMRI, EEG, and animal findings. Using
simultaneous EEG-fMRI recordings 24 h after fear conditioning and extinction,
conditioned stimuli presented (CS+E, CS-E) and not presented during extinction
(CS+N, CS-N) were compared to identify effects specific to extinction versus fear
recall. Differential (CS+ vs. CS-) electrodermal, frontomedial theta (EEG) and
amygdala responses (fMRI) were reduced for extinguished versus nonextinguished
stimuli. Importantly, effects on theta power covaried with effects on amygdala
activation. Fear and extinction recall as indicated by theta explained 60% of the
variance for the analogous effect in the right amygdala. Our findings show for
the first time the interplay of amygdala and frontomedial theta activity during
fear and extinction recall in humans and provide insight into neural circuits
consistently linked with top-down amygdala modulation in rodents.
PMID- 29373636
TI - Visual Attention and Math Performance in Survivors of Childhood Acute
Lymphoblastic Leukemia.
AB - Objective: Attentional and academic difficulties, particularly in math, are
common in survivors of childhood acute lymphoblastic leukemia (ALL). Of cognitive
deficits experienced by survivors of childhood ALL, attention deficits may be
particularly responsive to intervention. However, it is unknown whether deficits
in particular aspects of attention are associated with deficits in math skills.
The current study investigated relationships between math calculation skills,
performance on an objective measure of sustained attention, and parent- and
teacher-reported attention difficulties. Method: Twenty-four survivors of
childhood ALL (Mage = 13.5 years, SD = 2.8 years) completed a computerized
measure of sustained attention and response control and a written measure of math
calculation skills in the context of a comprehensive clinical neuropsychological
evaluation. Parent and teacher ratings of inattention and impulsivity were
obtained. Results: Visual response control and visual attention accounted for
26.4% of the variance observed among math performance scores after controlling
for IQ (p < .05). Teacher-rated, but not parent-rated, inattention was
significantly negatively correlated with math calculation scores. Conclusions:
Consistency of responses to visual stimuli on a computerized measure of attention
is a unique predictor of variance in math performance among survivors of
childhood ALL. Objective testing of visual response control, rather than parent
rated attentional problems, may have clinical utility in identifying ALL
survivors at risk for math difficulties.
PMID- 29373637
TI - No evidence for rare TRAP1 mutations influencing the risk of idiopathic
Parkinson's disease.
PMID- 29373632
TI - Neurotransmitter deficits from frontotemporal lobar degeneration.
AB - Frontotemporal lobar degeneration causes a spectrum of complex degenerative
disorders including frontotemporal dementia, progressive supranuclear palsy and
corticobasal syndrome, each of which is associated with changes in the principal
neurotransmitter systems. We review the evidence for these neurochemical changes
and propose that they contribute to symptomatology of frontotemporal lobar
degeneration, over and above neuronal loss and atrophy. Despite the development
of disease-modifying therapies, aiming to slow neuropathological progression, it
remains important to advance symptomatic treatments to reduce the disease burden
and improve patients' and carers' quality of life. We propose that targeting the
selective deficiencies in neurotransmitter systems, including dopamine,
noradrenaline, serotonin, acetylcholine, glutamate and gamma-aminobutyric acid is
an important strategy towards this goal. We summarize the current evidence-base
for pharmacological treatments and suggest strategies to improve the development
of new, effective pharmacological treatments.
PMID- 29373638
TI - Renal Considerations in the Treatment of Hypertension.
AB - There are renal implications when employing intensive blood pressure control
strategies. While this approach provides cardiovascular benefit in patients with
and without chronic kidney disease, the impact on renal disease progression
differs according to the pattern of underlying renal injury. In the setting of
proteinuria, stringent blood pressure control has generally conferred a
protective effect on renal disease progression, but in the absence of
proteinuria, this benefit tends to be much less impressive. Thiazide diuretics
are frequently part of the regimen to achieve intensive blood pressure control.
These drugs can cause hyponatremia and present with biochemical evidence
mimicking the syndrome of inappropriate antidiuretic hormone secretion. Altered
prostaglandin transport may explain the unique susceptibility to this
complication observed in some patients. Hyperkalemia is also a complication of
intensive blood pressure lowering particularly in the setting of renin
angiotensin-aldosterone blockade. There are strategies and new drugs now
available that can allow use of these blockers and at the same time ensure a
normal plasma potassium concentration.
PMID- 29373640
TI - Quantifying within-plant spatial heterogeneity in carbohydrate availability in
cotton using a local-pool model.
AB - Background and Aims: Within-plant spatial heterogeneity in the production of and
demand for assimilates may have major implications for the formation of fruits.
Spatial heterogeneity is related to organ age, but also to position on the plant.
This study quantifies the variation in local carbohydrate availability for the
phytomers in the same cohort using a cotton growth model that captures
carbohydrate production in phytomers and carbohydrate movement between phytomers.
Methods: Based on field observations, we developed a functional-structural plant
model of cotton that simulates production and storage of carbohydrates in
individual phytomers and transport of surplus to other phytomers. Simulated total
leaf area, total above-ground dry mass, dry mass distribution along the stem, and
dry mass allocation fractions to each organ at the plant level were compared with
field observations for plants grown at different densities. The distribution of
local carbohydrate availability throughout the plant was characterized and a
sensitivity analysis was conducted regarding the value of the carbohydrate
transport coefficient. Key Results: The model reproduced cotton leaf expansion
and dry mass allocation across plant densities adequately. Individual leaf area
was underestimated at very high plant densities. Best correspondence with
measured plant traits was obtained for a value of the transport coefficient of
0.1 d-1. The simulated translocation of carbohydrates agreed well with results
from C-labelling studies. Moreover, simulation results revealed the heterogeneous
pattern of local carbohydrate availability over the plant as an emergent model
property. Conclusions: This modelling study shows how heterogeneity in local
carbohydrate production within the plant structure in combination with
limitations in transport result in heterogeneous satisfaction of demand over the
plant. This model provides a tool to explore phenomena in cotton that are thought
to be determined by local carbohydrate availability, such as branching pattern
and fruit abortion in relation to climate and crop management.
PMID- 29373641
TI - Cortical Responses to Input From Distant Areas are Modulated by Local Spontaneous
Alpha/Beta Oscillations.
AB - Any given area in human cortex may receive input from multiple, functionally
heterogeneous areas, potentially representing different processing threads. Alpha
(8-13 Hz) and beta oscillations (13-20 Hz) have been hypothesized by other
investigators to gate local cortical processing, but their influence on cortical
responses to input from other cortical areas is unknown. To study this, we
measured the effect of local oscillatory power and phase on cortical responses
elicited by single-pulse electrical stimulation (SPES) at distant cortical sites,
in awake human subjects implanted with intracranial electrodes for epilepsy
surgery. In 4 out of 5 subjects, the amplitudes of corticocortical evoked
potentials (CCEPs) elicited by distant SPES were reproducibly modulated by the
power, but not the phase, of local oscillations in alpha and beta frequencies.
Specifically, CCEP amplitudes were higher when average oscillatory power just
before distant SPES (-110 to -10 ms) was high. This effect was observed in only a
subset (0-33%) of sites with CCEPs and, like the CCEPs themselves, varied with
stimulation at different distant sites. Our results suggest that although alpha
and beta oscillations may gate local processing, they may also enhance the
responsiveness of cortex to input from distant cortical sites.
PMID- 29373639
TI - A novel metabolism-based phenotypic drug discovery platform in zebrafish uncovers
HDACs 1 and 3 as a potential combined anti-seizure drug target.
AB - Despite the development of newer anti-seizure medications over the past 50 years,
30-40% of patients with epilepsy remain refractory to treatment. One explanation
for this lack of progress is that the current screening process is largely biased
towards transmembrane channels and receptors, and ignores intracellular proteins
and enzymes that might serve as efficacious molecular targets. Here, we report
the development of a novel drug screening platform that harnesses the power of
zebrafish genetics and combines it with in vivo bioenergetics screening assays to
uncover therapeutic agents that improve mitochondrial health in diseased animals.
By screening commercially available chemical libraries of approved drugs, for
which the molecular targets and pathways are well characterized, we were able to
reverse-identify the proteins targeted by efficacious compounds and confirm the
physiological roles that they play by utilizing other pharmacological ligands.
Indeed, using an 870-compound screen in kcna1-morpholino epileptic zebrafish
larvae, we uncovered vorinostat (ZolinzaTM; suberanilohydroxamic acid, SAHA) as a
potent anti-seizure agent. We further demonstrated that vorinostat decreased
average daily seizures by ~60% in epileptic Kcna1-null mice using video-EEG
recordings. Given that vorinostat is a broad histone deacetylase (HDAC)
inhibitor, we then delineated a specific subset of HDACs, namely HDACs 1 and 3,
as potential drug targets for future screening. In summary, we have developed a
novel phenotypic, metabolism-based experimental therapeutics platform that can be
used to identify new molecular targets for future drug discovery in epilepsy.
PMID- 29373643
TI - Corrigendum.
PMID- 29373642
TI - Feeling stretched or compressed? The multiple mechanosensitive responses of wood
formation to bending.
AB - Background and Aims: Trees constantly experience wind, perceive resulting
mechanical cues, and modify their growth and development accordingly. Previous
studies have demonstrated that multiple bending treatments trigger ovalization of
the stem and the formation of flexure wood in gymnosperms, but ovalization and
flexure wood have rarely been studied in angiosperms, and none of the experiments
conducted so far has used multidirectional bending treatments at controlled
intensities. Assuming that bending involves tensile and compressive strain, we
hypothesized that different local strains may generate specific growth and wood
differentiation responses. Methods: Basal parts of young poplar stems were
subjected to multiple transient controlled unidirectional bending treatments
during 8 weeks, which enabled a distinction to be made between the wood formed
under tensile or compressive flexural strains. This set-up enabled a local
analysis of poplar stem responses to multiple stem bending treatments at growth,
anatomical, biochemical and molecular levels. Key Results: In response to
multiple unidirectional bending treatments, poplar stems developed significant
cross-sectional ovalization. At the tissue level, some aspects of wood
differentiation were similarly modulated in the compressed and stretched zones
(vessel frequency and diameter of fibres without a G-layer), whereas other
anatomical traits (vessel diameter, G-layer formation, diameter of fibres with a
G-layer and microfibril angle) and the expression of fasciclin-encoding genes
were differentially modulated in the two zones. Conclusions: This work leads us
to propose new terminologies to distinguish the 'flexure wood' produced in
response to multiple bidirectional bending treatments from wood produced under
transient tensile strain (tensile flexure wood; TFW) or under transient
compressive strain (compressive flexure wood; CFW). By highlighting similarities
and differences between tension wood and TFW and by demonstrating that plants
could have the ability to discriminate positive strains from negative strains,
this work provides new insight into the mechanisms of mechanosensitivity in
plants.
PMID- 29373644
TI - Control of plant leaf movements by the lunisolar tidal force.
AB - Background: Investigations into the diurnal ascent and descent of leaves of beans
and other species, as well as experimental interventions into these movements,
such as exposures to light at different times during the movement cycle, led to
the concept of an endogenous 'clock' as a regulator of these oscillations. The
causal origin of leaf movement can be traced to processes that modulate cell
volume in target tissues of the pulvinus and petiole. However, these elements of
the leaf-movement process do not sufficiently account for the rhythms that are
generated following germination in constant light or dark conditions, or when
plants are transferred to similar free-running conditions. Scope: To further
unravel the regulation of leaf-movement rhythms, many of the published time
courses of leaf movements that provided evidence for the concept of the
endogenous clock were analysed in conjunction with the contemporaneous time
courses of the lunisolar tidal acceleration. This was accomplished by application
of the Etide program, which estimates, with high temporal resolution, local
gravitational changes as a consequence of the diurnal variations of the lunisolar
gravitational force due to the orbits and relative positions of Earth, Moon and
Sun. To substantiate the results obtained in earthbound laboratories additional
experiments were performed in the International Space Station (ISS). Tidal
recurrence within the ISS exhibited a periodicity of 45 min. In all instances
investigated, it was evident that a synchronism exists between the times of the
turning points of both the lunisolar tide and of the leaftide when the direction
of leaf movement changes. This finding of synchrony documents that the lunisolar
tide is a regulator of the leaftide, and that the rhythm of leaf movement is not
of endogenous origin but is an expression of an exogenous lunisolar clock
impressed upon the leaf-movement apparatus. Conclusions: A huge number of
correlations between leaftide and Etide time courses were established for leaf
movement rhythms in natural conditions of the greenhouse, in conditions of
constant light or dark, and under the microgravity conditions of the
International Space Station. Even the apparently spontaneous short-period, small
amplitude rhythms recorded from leaves under unusual growth conditions are
consistent with the hypothesis of a lunisolar zeitgeber. Synchronism between
leaftide and Etide is discussed in terms of classical and quantum mechanics.
PMID- 29373645
TI - Influence of external stenting on venous graft flow parameters in coronary artery
bypass grafting: a randomized study.
AB - OBJECTIVES: Long-term patency of saphenous vein grafts (SVGs) remains a concern
after coronary artery bypass grafting. Interventions to overcome this problem
include monitoring intraoperative flow profile and, more recently, external
stenting of SVGs. It is not known to what extent external stenting changes the
perioperative flow characteristics of SVGs. The aim of this study was to assess
whether the presence of an external stent affects perioperative graft flow
parameters as evaluated by transit time flowmetry. METHODS: Thirty-five patients
were included from 1 centre participating in a multicentre, randomized clinical
trial of external stenting of SVGs. Patients were eligible if scheduled for on
pump multivessel coronary artery bypass grafting including planned SVGs to both
the right and the left coronary territories. Each patient received external
stenting of a single SVG randomly allocated intraoperatively to either coronary
territory. The primary end-points were mean graft flow, pulsatility index,
percentage of diastolic filling and percentage of backward flow in stented versus
non-stented SVGs. RESULTS: External stenting was performed in 17 SVGs supplying
the left territory (20 non-stented SVGs for control) and in 18 SVGs supplying the
right territory (18 non-stented SVGs for control). No significant difference was
found in flow parameters between stented and non-stented SVGs in the overall
group or between pre-defined groups of SVGs supplying the right and left
territories, respectively. CONCLUSIONS: External stenting of SVGs do not affect
intraoperative flow parameters significantly. CLINICAL TRIAL REGISTRATION:
clinicaltrials.gov identifier: NCT02511834.
PMID- 29373646
TI - Somatic symptoms and internalizing problems in urban youth: a cross-cultural
comparison of Czech and Russian adolescents.
AB - Background: Although the association between somatic complaints and internalizing
problems (anxiety, somatic anxiety and depression) is well established, it
remains unclear whether the pattern of this relationship differs by gender and in
different cultures. The aim of this study was to examine cross-cultural and
gender-specific differences in the association between somatic complaints and
internalizing problems in youth from the Czech Republic and Russia. Methods: The
Social and Health Assessment, a self-report survey, was completed by
representative community samples of adolescents, age 12-17 years, from the Czech
Republic (N = 4770) and Russia (N = 2728). Results: A strong association was
observed between somatic complaints and internalizing psychopathology. Although
the levels of internalizing problems differed by country and gender, they
increased together with and largely in a similar way to somatic complaints for
boys and girls in both countries. Conclusion: The association between somatic
symptoms and internalizing problems seems to be similar for boys and girls across
cultures.
PMID- 29373647
TI - Eggerthella lenta Bloodstream Infections Are Associated With Increased Mortality
Following Empiric Piperacillin-Tazobactam (TZP) Monotherapy: A Population-based
Cohort Study.
AB - Background: Eggerthella lenta is a anaerobic gram-positive bacilli associated
with polymicrobial intraabdominal infections. Recently, E. lenta was recognized
as an important cause of anaerobic bloodstream infections (BSIs) associated with
high mortality. Eggerthella lenta has been reported to have high minimal
inhibitory concentrations (MICs) to piperacillin-tazobactam (TZP), a broad
spectrum antibiotic with anaerobic coverage commonly used in multiple centers for
empiric treatment of abdominal sepsis. Methods: We describe a retrospective
population-based analysis of invasive E. lenta infections from 2009 through 2015.
A logistic regression analysis for 30-day mortality risk factors was conducted.
Results: We identified 107 E. lenta infections, 95 (89%) were BSIs, 11 (10%) skin
and soft tissue infections, and 1 intraabdominal abscess. Polymicrobial
infections were found in 40%; 72% of isolates were from a gastrointestinal
source, most commonly appendicitis (33%) of which two-thirds were perforated. TZP
MIC50 and MIC90 for E. lenta isolates were 32 MUg/mL and 64 MUg/mL, respectively.
The overall 30-day mortality for BSI was 23% and was independently associated
with empiric TZP monotherapy (odds ratio [OR], 4.4; 95% confidence interval [CI],
1.2-16; P = .02) and intensive care unit stay (OR, 6.2; 95% CI, 1.4-27.3; P =
.01). Thirty-day mortality rates were significantly influenced by the use of
different TZP MIC breakpoints. Conclusions: Our results demonstrate the increased
recognition of E. lenta as an anaerobic opportunistic pathogen and highlight the
need for improved empiric antimicrobial guidelines and TZP MIC breakpoints with
better correlation to clinical outcomes to guide appropriate management of
invasive E. lenta infections.
PMID- 29373648
TI - Management and Outcomes of Childhood Renal Artery Stenosis and Middle Aortic
Syndrome.
AB - BACKGROUND: Renal artery stenosis (RAS) in isolation or in conjunction with
middle aortic syndrome (MAS) are important vascular causes of childhood
hypertension. Few longitudinal studies have assessed the risk of surgical or
endovascular intervention, and outcomes by etiology or extent of vascular
disease. METHODS: In a retrospective study of 93 children seen over 30 years with
RAS and/or MAS, data on vascular involvement (isolated RAS vs. RAS with MAS),
etiology (unknown, inflammatory, or genetic), and management were collected. Time
to first intervention (endovascular or surgical) was assessed by Cox regression.
Mixed-effects analysis examined the longitudinal change in blood pressure after
intervention compared to antihypertensive medications alone. RESULTS: Children
were 7.0 +/- 5.4 years old. Etiology was unknown in 50%, genetic in 26% and
inflammatory in 24% of children. Children had isolated RAS (49%) or MAS with or
without RAS (51%). Overall, 70% were managed with surgical or endovascular
intervention. After adjusting for age, sex, and systolic blood pressure, children
with unknown etiology had a higher risk of intervention compared to those with
genetic and inflammatory diseases (hazard ratio 3.1, 95% confidence interval [CI]
1.7, 5.6). Children with RAS and MAS were less likely to receive intervention
(hazard ratio 0.4, 95% CI 0.2, 0.8) than isolated RAS. Over a median follow-up of
2 years, 65% remained hypertensive. The longitudinal changes in systolic blood
pressure did not differ by etiology, or between interventional and medical
management. CONCLUSIONS: Hypertension persists despite endovascular or surgical
management of childhood RAS and MAS highlighting the importance of close
monitoring and ongoing medical management.
PMID- 29373649
TI - Application of hybrid Stage I palliation for patients with two ventricular
cavities and hypoplastic left heart structures.
AB - OBJECTIVES: To assess the feasibility of hybrid Stage I palliation consisting of
bilateral pulmonary artery bandings and ductal stenting for patients with 2
ventricular cavities and hypoplastic left heart structures. METHODS: Eleven
consecutive patients who underwent hybrid Stage I palliation between 2010 and
2017 were enrolled. The diagnoses were interrupted aortic arch/coarctation of the
aorta, ventricular septal defect and significant left ventricular (LV) outflow
tract obstruction in 5 patients, critical aortic stenosis and reduced LV
contraction in 3 patients and hypoplastic left heart complex in 3 patients.
RESULTS: The median age at definitive surgery was 12 months (range 6-22 months).
During the mean follow-up period of 24 months (range 9-83 months) following the
definitive surgery, there was 1 death. Two patients with interrupted aortic
arch/coarctation of the aorta did not undergo the Yasui operation but underwent
arch repair and ventricular septal defect closure after the growth of the aortic
valve and LV outflow tract. For 2 of the 3 patients with critical aortic
stenosis, biventricular repair was performed. Of the 3 patients with hypoplastic
left heart complex, 2 patients showed growth of the mitral valve and left
ventricle following LV rehabilitation by balloon pulmonary artery dilatation or
surgical debanding of the banded pulmonary arteries and subsequently underwent
biventricular repair, which resulted in 1 death. CONCLUSIONS: Hybrid Stage I
palliation would be a safe and beneficial treatment for patients with 2
ventricles, as a bridge to decide whether and how to achieve a biventricular
repair and whether it should be preceded by a preliminary LV rehabilitation.
PMID- 29373650
TI - Melatonin protects oocytes from MEHP exposure-induced meiosis defects in porcine.
AB - In 2011, DEHP (plasticizer) was reported to illegally be added in food and
beverage products in Taiwan, which caused great concerns about food safety
worldwide. DHEP has multiple toxic effects to human and animals such as endocrine
disruption, cardiotoxicity, reproductive function, and development defects.
However, the toxic effects of DEHP on mammalian oocyte quality are still unclear.
Since MEHP is the active metabolite of DEHP in vivo, in this study we used
porcine oocyte as model to explore the effects of MEHP on oocyte maturation and
we also studied the effects of melatonin administration on MEHP exposure-induced
meiosis defects. Our results showed that exposure to MEHP significantly decreased
the polar body extrusion rate in porcine oocytes. Further study showed that cell
cycle progression, meiotic spindle organization, and actin assembly were all
disturbed after MEHP exposure. Moreover, the DNA and histone methylation levels
were also affected, showing with altered 5mC and H3K4me2 levels. These results
indicated that MEHP affected porcine oocyte maturation, while MEHP exposure
induced meiotic defects were all remarkably ameliorated by the administration of
melatonin in porcine oocytes. We further tried to explore the causes of MEHP
toxicity on oocytes, and we found that MEHP exposure resulted in significant
elevations of oxidative stress and induced early apoptosis as well as elevated
autophagy, while melatonin administration could reduce these. Taken together, our
results indicated that MEHP exposure induced deterioration of oocyte quality,
whereas melatonin supplement showed amelioration on oocyte maturation through its
rescue effects on oocyte oxidative stress-mediated apoptosis and autophagy.
PMID- 29373651
TI - Extracellular matrix glycation and receptor for advanced glycation end-products
activation: a missing piece in the puzzle of the association between diabetes and
cancer.
AB - A growing body of epidemiologic evidence suggests that people with diabetes are
at a significantly higher risk of many forms of cancer. However, the molecular
mechanisms underlying this association are not fully understood. Cancer cells are
surrounded by a complex milieu, also known as tumor microenvironment, which
contributes to the development and metastasis of tumors. Of note, one of the
major components of this niche is the extracellular matrix (ECM), which becomes
highly disorganized during neoplastic progression, thereby stimulating cancer
cell transformation, growth and spread. One of the consequences of chronic
hyperglycemia, the most frequently observed sign of diabetes and the etiological
source of diabetes complications, is the irreversible glycation and oxidation of
proteins and lipids leading to the formation of the advanced glycation end
products (AGEs). These compounds may covalently crosslink and biochemically
modify structure and functions of many proteins, and AGEs accumulation is
particularly high in long-living proteins with low biological turnover, features
that are shared by most, if not all, ECM proteins. AGEs-modified proteins are
recognized by AGE-binding proteins, and thus glycated ECM components have the
potential to trigger Receptor for advanced glycation end-products-dependent
mechanisms. The biological consequence of receptor for advanced glycation end
products activation mechanisms seems to be connected, in different ways, to drive
some hallmarks of cancer onset and tumor growth. The present review intends to
highlight the potential impact of ECM glycation on tumor progression by
triggering receptor for advanced glycation end-products-mediated mechanisms.
PMID- 29373652
TI - Impact of adenotonsillectomy on the dentofacial development of obstructed
children.
PMID- 29373653
TI - Presynaptic GABAA Receptors Modulate Thalamocortical Inputs in Layer 4 of Rat V1.
AB - Fast inhibitory GABAergic transmission plays a fundamental role in neural
circuits. Current theories of cortical function assume that fast GABAergic
inhibition acts via GABAA receptors on postsynaptic neurons, while presynaptic
effects of GABA depend on GABAB receptor activation. Manipulations of GABAA
receptor activity in vivo produced different effects on cortical function, which
were generally ascribed to the mode of action of a drug, more than its site of
action. Here we show that in rodent primary visual cortex, alpha4-containing
GABAA receptors can be located on subsets of glutamatergic and GABAergic
presynaptic terminals and decrease synaptic transmission. Our data provide a
novel mechanistic insight into the effects of changes in cortical inhibition; the
ability to modulate inputs onto cortical circuits locally, via presynaptic
regulation of release by GABAA receptors.
PMID- 29373654
TI - Behavioral Responses of Pityophthorus juglandis (Coleoptera: Curculionidae:
Scolytinae) to Volatiles of Black Walnut and Geosmithia morbida (Ascomycota:
Hypocreales: Bionectriaceae), the Causal Agent of Thousand Cankers Disease.
AB - Thousand cankers disease (TCD) is a pest complex formed by the association of the
walnut twig beetle (WTB), Pityophthorus juglandis Blackman (Coleoptera:
Curculionidae: Scolytinae), with the fungal pathogen Geosmithia morbida Kolarik,
Freeland, Utley and Tisserat (Ascomycota: Hypocreales: Bionectriaceae). Current
monitoring and detection efforts for WTB rely on a pheromone lure that is
effective over a limited distance while plant- and fungal-derived volatiles that
may facilitate host location remain poorly understood. In this study, we test the
hypothesis that adult beetles are attracted to volatiles of black walnut, Juglans
nigra L. (Juglandaceae), and the pathogen, G. morbida. We measured the response
of beetles to head-space volatiles collected from leaves and stems of 12
genotypes of black walnut and found genotypic variation in the attractiveness of
host trees to adult WTB. Volatile profiles of the most attractive genotypes
contained more alpha-pinene and beta-pinene, and adult beetles were attracted to
both of these compounds in olfactometer bioassays. In bioassays, we also
demonstrated that adult WTB are attracted to volatiles of G. morbida. These
findings suggest that, in addition to the aggregation pheromone, dispersing WTB
potentially use host plant and fungal volatiles to locate suitable larval hosts.
Finally, we conducted a field experiment to determine the extent to which
ethanol, a common attractant for bark beetles, and limonene, a known bark beetle
repellent, influence the behavior of adult WTB to pheromone-baited traps.
Although ethanol did not increase trap capture, WTB were repelled by limonene,
suggesting that this compound could be used to manipulate and manage WTB
populations.
PMID- 29373655
TI - Development and Validation of an Analytical Methodology Based on Liquid
Chromatography-Electrospray Tandem Mass Spectrometry for the Simultaneous
Determination of Phenolic Compounds in Olive Leaf Extract.
AB - A simple method was validated for the analysis of 31 phenolic compounds using
liquid chromatography-electrospray tandem mass spectrometry. Proposed method was
successfully applied to the determination of phenolic compounds in an olive leaf
extract and 24 compounds were analyzed quantitatively. Olive biophenols were
extracted from olive leaves by using microwave-assisted extraction with
acceptable recovery values between 78.1 and 108.7%. Good linearities were
obtained with correlation coefficients over 0.9916 from calibration curves of the
phenolic compounds. The limits of quantifications were from 0.14 to 3.2 MUg g-1.
Intra-day and inter-day precision studies indicated that the proposed method was
repeatable. As a result, it was confirmed that the proposed method was highly
reliable for determination of the phenolic species in olive leaf extracts.
PMID- 29373657
TI - Cardiac desmoid tumour.
PMID- 29373658
TI - The outcome of reoperative pulmonary endarterectomy surgery.
AB - OBJECTIVES: Pulmonary endarterectomy (PEA) is the treatment of choice for
patients with chronic thromboembolic pulmonary hypertension (PH). Despite
excellent outcomes following PEA, a small proportion of patients have residual
proximal disease or present with recurrent chronic thromboembolic PH and may
benefit from further surgery. The aim of this study was to analyse outcomes
following reoperative PEA at a high-volume national tertiary referral centre for
the management of chronic thromboembolic PH. METHODS: This retrospective analysis
was performed using our prospectively maintained PH database to identify all
patients who underwent reoperative PEA surgery between the commencement of the
programme in 1997 and January 2017, and the patients' data were collected for
analysis. RESULTS: Twelve patients underwent reoperative PEA during the period of
study. The mean interval between primary procedure and reoperative procedure was
6.3 years. Significant improvements were observed in pulmonary haemodynamics
following reoperative PEA. Mean pulmonary arterial pressure decreased from 46.8
to 29.8 mmHg (P < 0.0001) and pulmonary vascular resistance decreased from 662 to
362 dyne.s.cm-5 (P = 0.0007). A significant functional improvement in the 6-min
walking test distance was also observed, increasing from 327 to 460 m at 6 months
postoperatively (P = 0.0018). Median length of hospital stay was 12 days. In
hospital mortality was 8.3% with 1-year survival of 83.3%. CONCLUSIONS:
Reoperative PEA is technically possible and relatively safe, achieving good
functional and physiological outcomes. Patients must be carefully selected by a
multidisciplinary team, and surgery should be performed in experienced centres.
PMID- 29373659
TI - Simultaneous Aesthetic Eyelid Surgery and Orbital Decompression for
Rehabilitation of Thyroid Eye Disease: The One-Stage Approach.
AB - Background: Aesthetic rehabilitation of thyroid orbitopathy includes orbital
decompression, correction of eyelid retraction, and aesthetic blepharoplasty,
performed traditionally in separate stages. Objectives: To report the results of
orbital decompression surgery associated with aesthetic eyelid surgery in one
stage for aesthetic rehabilitation of patients affected by thyroid eye disease.
Methods: Retrospective, multicentric study including 40 consecutive patients, who
underwent orbital decompression surgery associated with aesthetic eyelid surgery
in two centers: Genova (group 1) + Buenos Aires (group 2). Surgical techniques
are described in detail. Results: Mean patient age in the study group was 41.2,
85% of the patients were female, and minimum follow-up time was 12 months, with
average follow up of 27 months. All patients underwent orbital decompression; at
the same time, 26 patients (65%) underwent bilateral upper blepharoplasty and 32
patients (80%) underwent transconjunctival lower blepharoplasty. Associated upper
eyelid procedures included 23 patients (58%) undergoing upper eyelid retraction
repair, 9 patients (23%) undergoing associated inferior retractor recession, and
12 patients (30%) closed transcanthal lateral canthopexy. Seven patients (17%)
needed strabismus surgery for the treatment of new-onset diplopia and none
required further revision eyelid surgery. Conclusions: Shorr and Seiff suggested
4 stages of surgical rehabilitation: (1) orbital decompression; (2) eye muscle
surgery; (3) correction of eyelid retraction; and (4) removal of excess fat and
skin. This is the first study to suggest single-stage aesthetic rehabilitation
consisting of combined orbital decompression and aesthetic eyelid surgery. This
approach has high patient satisfaction and significant reduction in direct and
indirect healthcare costs. Level of Evidence 4:
PMID- 29373656
TI - Role of smooth muscle cells in coronary artery bypass grafting failure.
AB - Atherosclerosis is the underlying pathology of many cardiovascular diseases. The
formation and rupture of atherosclerotic plaques in the coronary arteries results
in angina and myocardial infarction. Venous coronary artery bypass grafts are
designed to reduce the consequences of atherosclerosis in the coronary arteries
by diverting blood flow around the atherosclerotic plaques. However, vein grafts
suffer a high failure rate due to intimal thickening that occurs as a result of
vascular cell injury and activation and can act as 'a soil' for subsequent
atherosclerotic plaque formation. A clinically-proven method for the reduction of
vein graft intimal thickening and subsequent major adverse clinical events is
currently not available. Consequently, a greater understanding of the underlying
mechanisms of intimal thickening may be beneficial for the design of future
therapies for vein graft failure. Vein grafting induces inflammation and
endothelial cell damage and dysfunction, that promotes vascular smooth muscle
cell (VSMC) migration, and proliferation. Injury to the wall of the vein as a
result of grafting leads to the production of chemoattractants, remodelling of
the extracellular matrix and cell-cell contacts; which all contribute to the
induction of VSMC migration and proliferation. This review focuses on the role of
altered behaviour of VSMCs in the vein graft and some of the factors which
critically lead to intimal thickening that pre-disposes the vein graft to further
atherosclerosis and re-occurrence of symptoms in the patient.
PMID- 29373660
TI - Elucidating the interaction between light competition and herbivore feeding
patterns using functional-structural plant modelling.
AB - Background and Aims: Plants usually compete with neighbouring plants for
resources such as light as well as defend themselves against herbivorous insects.
This requires investment of limiting resources, resulting in optimal resource
distribution patterns and trade-offs between growth- and defence-related traits.
A plant's competitive success is determined by the spatial distribution of its
resources in the canopy. The spatial distribution of herbivory in the canopy in
turn differs between herbivore species as the level of herbivore specialization
determines their response to the distribution of resources and defences in the
canopy. Here, we investigated to what extent competition for light affects plant
susceptibility to herbivores with different feeding preferences. Methods: To
quantify interactions between herbivory and competition, we developed and
evaluated a 3-D spatially explicit functional-structural plant model for Brassica
nigra that mechanistically simulates competition in a dynamic light environment,
and also explicitly models leaf area removal by herbivores with different feeding
preferences. With this novel approach, we can quantitatively explore the extent
to which herbivore feeding location and light competition interact in their
effect on plant performance. Key Results: Our results indicate that there is
indeed a strong interaction between levels of plant-plant competition and
herbivore feeding preference. When plants did not compete, herbivory had
relatively small effects irrespective of feeding preference. Conversely, when
plants competed, herbivores with a preference for young leaves had a strong
negative effect on the competitiveness and subsequent performance of the plant,
whereas herbivores with a preference for old leaves did not. Conclusions: Our
study predicts how plant susceptibility to herbivory depends on the composition
of the herbivore community and the level of plant competition, and highlights the
importance of considering the full range of dynamics in plant-plant-herbivore
interactions.
PMID- 29373662
TI - Massive pneumopericardium as onset symptom of fistulizing oesophageal cancer.
PMID- 29373661
TI - Macrophage Migration Inhibitory Factor and microRNA-451a in Response to
Mindfulness-based Therapy or Treatment as Usual in Patients with Depression,
Anxiety, or Stress and Adjustment Disorders.
AB - Background: Macrophage migration inhibitory factor is a proinflammatory cytokine
that has been associated with various psychiatric disorders. MicroRNA-451a can
directly target macrophage migration inhibitory factor and downregulate its
expression in cells. However, the role of macrophage migration inhibitory factor
and microRNA-451a in psychiatric patients treated with psychotherapeutic
interventions is unknown. In this study, our aim was to investigate levels of
macrophage migration inhibitory factor and its regulating microRNA-451a in
patients with depression, anxiety, or stress and adjustment disorders who
underwent mindfulness-based therapy or treatment as usual. Methods: A total of
168 patients with psychiatric disorders were included from a randomized
controlled trial that compared mindfulness-based therapy with treatment as usual.
Plasma levels of macrophage migration inhibitory factor and microRNA-451a were
measured at baseline and after the 8-week follow-up using Luminex assay and qPCR.
Results: Macrophage migration inhibitory factor levels decreased significantly in
patients posttreatment, whereas microRNA-451a levels showed a nonsignificant
change. Macrophage migration inhibitory factor levels were inversely associated
with microRNA-451a expression levels at baseline (beta=-0.04, P=.008). The change
in macrophage migration inhibitory factor levels (follow-up levels minus baseline
levels) was associated with the change in microRNA-451a (follow-up levels minus
baseline levels) (beta=-0.06, P < .0001). The change in either macrophage
migration inhibitory factor or microRNA-451a was not associated with improvement
in psychiatric symptoms. Conclusion: We demonstrate that the levels of macrophage
migration inhibitory factor decreased after psychotherapeutic interventions in
patients with psychiatric disorders. However, this reduction was not associated
with an improvement in psychiatric symptoms in response to the treatment. We also
found an association between macrophage migration inhibitory factor and its
regulating microRNA. However, this association needs to be further examined in
future studies.
PMID- 29373663
TI - Plant architecture and foliar senescence impact the race between wheat growth and
Zymoseptoria tritici epidemics.
AB - Background and Aims: In order to optimize crop management in innovative
agricultural production systems, it is crucial to better understand how plant
disease epidemics develop and what factors influence them. This study explores
how canopy growth, its spatial organization and leaf senescence impact
Zymoseptoria tritici epidemics. Methods: We used the Septo3D model, an epidemic
model of Septoria tritici blotch (STB) coupled with a 3-D virtual wheat
structural plant model (SPM). The model was calibrated and evaluated against
field experimental data. Sensitivity analyses were performed on the model to
explore how wheat plant traits impact the interaction between wheat growth and Z.
tritici epidemics. Key Results: The model reproduces consistently the effects of
crop architecture and weather on STB progress on the upper leaves. Model
sensitivity analyses show that the effects of plant traits on epidemics depended
on weather conditions. The simulations confirm the known effect of increased stem
height and stem elongation rate on limiting STB progress on upper leaves.
Strikingly, the timing of leaf senescence is one of the most influential traits
on simulated STB epidemics. When the green life span duration of leaves is
reduced by early senescence, epidemics are strongly reduced. Conclusions: We
introduce the notion of a 'race' for the colonization of emerging healthy host
tissue between the growing canopy and the developing epidemics. This race is 2
fold: (1) an upward race at the canopy scale where STB must catch the newly
emerging leaves before they grow away from the spore sources; and (2) a local
race at the leaf scale where STB must use the resources of its host before it is
caught by leaf apical senescence. The results shed new light on the importance of
dynamic interactions between host and pathogen.
PMID- 29373664
TI - Opportunities to Improve Fluoroquinolone Prescribing in the United States for
Adult Ambulatory Care Visits.
AB - The Food and Drug Administration warned against fluoroquinolone use for
conditions with effective alternative agents. An estimated 5.1% of adult
ambulatory fluoroquinolone prescriptions were for conditions that did not require
antibiotics, and 19.9% were for conditions where fluoroquinolones are not
recommended first-line therapy. Unnecessary fluoroquinolone use should be
reduced.
PMID- 29373665
TI - Insights into radiographic investigations for headaches in general practice.
AB - Background/Objective: Headaches present commonly in general practice, and doctors
face uncertainties and pressures in dealing with them. This study identifies key
characteristics of headaches investigated through head imaging, in the hope of
strengthening existing guidelines. Method: A 7-year retrospective analysis of
head imaging scans ordered for investigating headaches among patients aged >=18
in an Australian general practice setting. Results: A total of 109 of the 517
(21.1%) patients required head imaging, although 14 (2.7%) of these had repeat
scans. Three-quarters were females, and most of the headaches were recurrent
(56.9%), severe (62.4%) or had associated red flags (78.0%). Computed tomography
(CT) and magnetic resonance imaging (MRI) were the only scans adopted (4:1 and
1:1 for first and repeat scans, respectively). Twelve (11.0%) scans had findings
likely to explain the headaches after the initial scans, with no difference in
findings between diagnoses from CTs and MRIs (P = 0.41). Repeat scans offered no
additional benefits, and roughly one in three patients were referred to third
party carers (mostly neurologists). Females had more recurrent headaches (OR =
2.63; CI = 1.09-6.35; P = 0.03). Patients with psycho-morbidities were less
likely to have scan findings that explained their headaches (OR = 0.22; CI = 0.06
0.88; P = 0.03), and, though not quite significant, were also more likely to
undergo imaging (OR = 1.47; CI 0.96-2.27; P = 0.08). Conclusion: Significant
intracranial findings were uncommon following imaging for headaches, and MRIs
offer no advantages over CTs. Repeating head scans within 5 years offers no clear
benefits. Psycho-morbidities should be considered when deciding the imaging
needs, given the lesser chance of findings. Larger studies will help validate
these findings.
PMID- 29373666
TI - Identification of Odor Blend Used by Caenorhabditis elegans for Pathogen
Recognition.
AB - Animals have evolved specialized pathways to detect appropriate food sources and
avoid harmful ones. Caenorhabditis elegans can distinguish among the odors of
various species of bacteria, its major food source, but little is known about
what specific chemical cue or combination of chemical cues C. elegans uses to
detect and recognize different microbes. Here, we examine the strong innate
attraction of C. elegans for the odor of the pathogenic bacterium, Serratia
marcescens. This initial attraction likely facilitates ingestion and infection of
the C. elegans host. Using solid-phase microextraction and gas chromatography
coupled with mass spectrometry, we identify 5 volatile odors released by S.
marcescens and identify those that are attractive to C. elegans. We use genetic
methods to show that the amphid chemosensory neuron, AWCON, senses both S.
marcescens-released 2-butanone and acetone and drives attraction to S.
marcescens. In C. elegans, pairing a single odorant with food deprivation results
in a reduced attractive response for that specific odor. We find that pairing the
natural odor of S. marcescens with food deprivation results in a reduced
attraction for the natural odor of S. marcescens and a similar reduced attraction
for the synthetic blend of acetone and 2-butanone. This result indicates that
only 2 odorants represent the more complex odor bouquet of S. marcescens.
Although bacterial-released volatiles have long been known to be attractive to C.
elegans, this study defines for the first time specific volatile cues that
represent a particular bacterium to C. elegans.
PMID- 29373667
TI - Experimental ex vivo lung perfusion with sevoflurane: effects on damaged donor
lung grafts.
AB - OBJECTIVES: Volatile anaesthetics can provide significant protection against
reperfusion injury in various experimental settings. The aim of this study was to
assess the potential of sevoflurane treatment, the most commonly used volatile
anaesthetic in modern anaesthesia, in rat lungs donated after circulatory death
and reconditioned in an ex vivo lung perfusion (EVLP) system. METHODS: Fifteen
rats were sacrificed and divided into 3 groups. In the control and sevoflurane
groups, the heart-lung blocks were exposed to 1 h of warm ischaemia and 2 h of
cold ischaemia and were mounted on an EVLP circuit for 3 h, in the absence or in
the presence of 2% sevoflurane. In the baseline group, heart-lung blocks were
harvested immediately after euthanasia. Physiological data, lung nitro-oxidative
stress, lactate dehydrogenase (LDH), expression of cytokines, oedema and
histopathological findings were assessed during or post-EVLP. RESULTS: The
sevoflurane group showed significantly reduced LDH (8.82 +/- 3.58 arbitrary unit
vs 3.80 +/- 3.02 arbitrary unit, P = 0.03), protein carbonyl (1.17 +/- 0.44
nmol?mg-1 vs 0.55 +/- 0.11 nmol?mg-1, P = 0.006), 3-nitrotyrosine (197.44 +/-
18.47 pg?mg-1 vs 151.05 +/- 23.54 pg?mg-1, P = 0.004), cytokine-induced
neutrophil chemoattractant factor 1 (1.17 +/- 0.32 ng?mg-1 vs 0.66 +/- 0.28 ng?mg
1, P = 0.03) and tumour necrosis factor alpha (1.50 +/- 0.59 vs 0.59 +/- 0.38
ng?mg-1, P = 0.02) when compared with the control group. In addition, sevoflurane
lungs gained significantly less weight (0.72 +/- 0.09 g vs 0.72 +/- 0.09 g, P =
0.044), had less perivascular oedema (0.58 +/- 0.09 vs 0.47 +/- 0.07, P = 0.036),
and improved static pulmonary compliance (+0.215 ml?cmH2O-1, P = 0.003) and peak
airways pressure (-1.33 cmH2O, P = 0.04) but similar oxygenation capacity (+1.61
mmHg, P = 0.77) and pulmonary vascular resistances (+0.078 mmHg?min?ml-1, P =
0.15) when compared with the control group. CONCLUSIONS: These findings suggest
that the potential of sevoflurane in protecting the lungs donated after cardiac
death and reconditioned using EVLP could improve the outcome of these lungs
following subsequent transplantation.
PMID- 29373669
TI - Corrigendum to: Incidence of infective endocarditis among patients considered at
high risk.
PMID- 29373668
TI - Pervasive Correlated Evolution in Gene Expression Shapes Cell and Tissue Type
Transcriptomes.
AB - The evolution and diversification of cell types is a key means by which animal
complexity evolves. Recently, hierarchical clustering and phylogenetic methods
have been applied to RNA-seq data to infer cell type evolutionary history and
homology. A major challenge for interpreting this data is that cell type
transcriptomes may not evolve independently due to correlated changes in gene
expression. This nonindependence can arise for several reasons, such as common
regulatory sequences for genes expressed in multiple tissues, that is,
pleiotropic effects of mutations. We develop a model to estimate the level of
correlated transcriptome evolution (LCE) and apply it to different data sets. The
results reveal pervasive correlated transcriptome evolution among different cell
and tissue types. In general, tissues related by morphology or developmental
lineage exhibit higher LCE than more distantly related tissues. Analyzing new
data collected from bird skin appendages suggests that LCE decreases with the
phylogenetic age of tissues compared, with recently evolved tissues exhibiting
the highest LCE. Furthermore, we show correlated evolution can alter patterns of
hierarchical clustering, causing different tissue types from the same species to
cluster together. To identify genes that most strongly contribute to the
correlated evolution signal, we performed a gene-wise estimation of LCE on a data
set with ten species. Removing genes with high LCE allows for accurate
reconstruction of evolutionary relationships among tissue types. Our study
provides a statistical method to measure and account for correlated gene
expression evolution when interpreting comparative transcriptome data.
PMID- 29373670
TI - General ion recombination effect in a liquid ionization chamber in high-dose-rate
pulsed photon and electron beams.
AB - Liquid ionization chambers (LICs) are highly sensitive to dose irradiation and
have small perturbations because of their liquid-filled sensitive volume. They
require a sensitive volume much smaller than conventional air-filled chambers.
However, it has been reported that the collection efficiency has dependencies on
the dose per pulse and the pulse repetition frequency of a pulsed beam. The
purpose of this study was to evaluate in detail the dependency of the ion
collection efficiency on the pulse repetition frequency. A microLion (PTW,
Freiburg, Germany) LIC was exposed to photon and electron beams from a TrueBeam
(Varian Medical Systems, Palo Alto, USA) linear accelerator. The pulse repetition
frequency was varied, but the dose per pulse was fixed. A theoretical evaluation
of the collection efficiency was performed based on Boag's theory. Linear
correlations were observed between the frequency and the relative collection for
all energies of the photon and electron beams. The decrease in the collected
charge was within 1% for all the flattened photon and electron beams, and they
were 1.1 and 1.8% for the 6 and 10 MV flattening filter-free photon beams,
respectively. The theoretical ion collection efficiency was 0.990 for a 10 MV
flattened photon beam with a dose rate of 3 Gy.min-1. It is suggested that the
collected charge decreased because of the short time intervals of the beam pulse
compared with the ion collection time. Thus, it is important to correctly choose
the pulse repetition frequency, particularly when flattening filter-free mode is
used for absolute dose measurements.
PMID- 29373671
TI - Modeling the Phenology of Asian Citrus Psyllid (Hemiptera: Liviidae) in Urban
Southern California: Effects of Environment, Habitat, and Natural Enemies.
AB - Modeling can be used to characterize the effects of environmental drivers and
biotic factors on the phenology of arthropod pests. From a biological control
perspective, population dynamics models may provide insights as to when the most
vulnerable pest life stages are available for natural enemies to attack. Analyses
presented here used temperature and habitat dependent, instar-specific, discrete
models to investigate the population dynamics of Asian citrus psyllid, Diaphorina
citri Kuwayama (Hemiptera: Liviidae). This pest is the target of a classical
biological control program with the parasitoid Tamarixia radiata (Waterston)
(Hymenoptera: Eulophidae). The population trends of D. citri eggs, nymphs, and
adults, citrus flush growth patterns, and T. radiata activity were monitored
monthly on orange and lemon trees at 10 urban sites in southern California for a
2-yr period. Cumulative D. citri egg, nymph, and adult days recorded at each
site, were regressed against accumulated degree-days (DDs) to model the
population dynamics of each development stage in relation to temperature. Using a
biofix point of 1 January, the model predicted that 10% and 90% of eggs were laid
by 198 and 2,255 DD, respectively. Populations of small and large D. citri nymphs
increased slowly with 90% of the population recorded by 2,389 and 2,436 DD,
respectively. D. citri adults were present year round with 10 and 90% of the
population recorded by 95 and 2,687 DD, respectively. The potential implications
of using DD models for optimizing inoculative releases of natural enemies, such
as T. radiata into citrus habitat infested with D. citri, are discussed.
PMID- 29373673
TI - A 17-Year-Old Boy With Right Face Palsy, Left Leg Weakness, and Lytic Skull-Bone
Lesions.
AB - Human T-cell lymphotropic virus (HTLV), an infection that is endemic in certain
parts of Asia, Africa, and South America, has been associated with malignancy and
neurological deficits. Here, we describe a pediatric patient with chronic HTLV-I
infection who developed complications associated with HTLV-I (ie, adult T-cell
leukemia/lymphoma and HTLV-I-associated myelopathy/tropical spastic paraparesis).
To our knowledge, this presentation in a child has never been described. The
patient underwent a bone marrow transplant and, at the time of this writing, was
in remission. This case report highlights the fact that HTLV-related
complications, previously expected to occur after decades of infection, also can
occur in pediatric patients, particularly those who acquired HTLV-I perinatally.
PMID- 29373672
TI - Global Trends in CD4 Cell Count at the Start of Antiretroviral Therapy:
Collaborative Study of Treatment Programs.
AB - Background: Early initiation of combination antiretroviral therapy (cART), at
higher CD4 cell counts, prevents disease progression and reduces sexual
transmission of human immunodeficiency virus (HIV). We describe the temporal
trends in CD4 cell counts at the start of cART in adults from low-income, lower
middle-income, upper-middle-income, and high-income countries (LICs, LMICs,
UMICs, and HICs, respectively). Methods: We included HIV-infected individuals
aged >=16 years who started cART between 2002 and 2015 in a clinic participating
in the International epidemiology Databases to Evaluate AIDS (IeDEA) or the
Collaboration of Observational HIV Epidemiological Research in Europe (COHERE).
Missing CD4 cell counts at the start of cART were estimated through multiple
imputation. Weighted mixed-effect models were used to smooth trends in median CD4
cell counts. Results: A total of 951855 adults from 16 LICs, 11 LMICs, 9 UMICs,
and 19 HICs were included. Overall, the modeled median CD4 cell count at the
start of cART increased from 2002 to 2015, from 78/uL (95% confidence interval,
58-104/uL) to 287/uL (250-328/uL) in LICs, from 99/uL (71-140/uL) to 234/uL (192
285/uL) in LMICs, from 71/uL (49-104/uL) to 311/uL (255-379/uL) in UMICs, and
from 161/uL (143-181/uL) to 327/uL (286-372/uL) in HICs. In LICs, LMICs, and
UMICs, the increase was more pronounced in women; in HICs, the opposite was
observed. Conclusions: Median CD4 cell counts at the start of cART increased in
all income groups, but generally remained below 350/MUL in 2015. Substantial
additional efforts and resources are required to achieve earlier diagnosis,
linkage to care, and initiation of cART.
PMID- 29373674
TI - Two decades of blaVIM-2-producing Pseudomonas aeruginosa dissemination: an
interplay between mobile genetic elements and successful clones.
AB - Objectives: Information on clonal lineages and genetic platforms involved in the
mobilization of carbapenemases between Pseudomonas aeruginosa strains in Portugal
is scarce. Here, we outline the genetic drivers contributing to the occurrence of
blaVIM-2-producing P. aeruginosa over two decades. Methods: A collection of
carbapenem-resistant P. aeruginosa clinical isolates (n = 263, 1995-2014) was
screened for carbapenemase production by Blue-Carba and PCR. Antimicrobial
susceptibility testing was performed according to EUCAST and clonal analysis by
MLST. Nine isolates representing different integrons and STs were selected for
WGS, followed by bioinformatics. Results: Twenty-seven blaVIM-2-producing P.
aeruginosa belonging to 10 STs were identified, with ST179 and ST111 being the
most prevalent and persistent clones. blaVIM-2 was associated with seven class I
integrons frequently co-harbouring aminoglycoside resistance genes. In58 was
commonly identified, followed by derivatives and In100. blaVIM-2-harbouring
transposons of the Tn3 and Tn402 families were linked to different plasmids or
integrative conjugative elements of the clc family. Conclusions: The
dissemination of blaVIM-2 carrying integrons is associated with a complex
interplay between different mobile genetic elements, including the overlooked
integrative conjugative elements, and successful spread of particular clones.
PMID- 29373675
TI - Zoonotic Infection With Pigeon Paramyxovirus Type 1 Linked to Fatal Pneumonia.
AB - The characteristics and risk factors of pigeon paramyxovirus type 1 (PPMV-1)
infection in humans are poorly known. We performed virological, pathological, and
epidemiological analyses of a Dutch case, and compared the results with those of
a US case. Both infections occurred in transplant patients under
immunosuppressive therapy and caused fatal respiratory failure. Both virus
isolates clustered with PPMV-1, which has pigeons and doves as reservoir.
Experimentally inoculated pigeons became infected and transmitted the virus to
naive pigeons. Both patients were likely infected by contact with infected
pigeons or doves. Given the large populations of feral pigeons with PPMV-1
infection in cities, increasing urbanization, and a higher proportion of
immunocompromised individuals, the risk of severe human PPMV-1 infections may
increase. We recommend testing for avian paramyxovirus type 1, including PPMV-1,
in respiratory disease cases where common respiratory pathogens cannot be
identified.
PMID- 29373676
TI - The Precarity of Older Adults Living Alone With Cognitive Impairment.
AB - Purpose of the Study: To examine the lived experience of older adults living
alone with cognitive impairment to better understand their needs and concerns.
Based on our previous work suggesting that older adults living alone often
experience a sense of precarity, we were interested in exploring this construct
in older adults living alone with a diagnosis of cognitive impairment. The notion
of precarity points to the uncertainty deriving from coping with cumulative
pressures while trying to preserve a sense of independence. Design and Methods:
This is a qualitative study of 12 adults aged 65 and older living alone with
cognitive impairment. Six participants had a diagnosis of Alzheimer's disease; 6
had a diagnosis of mild cognitive impairment. Participants' lived experiences
were elicited through 40 ethnographic interviews and participant observation in
their homes. Using a qualitative content analysis approach, interview transcripts
and fieldnotes were analyzed to identify codes and themes. Results: Qualitative
analysis of transcripts revealed three themes. Theme 1 described the distress
stemming from the uncertainty of having cognitive impairment that has an
unpredictable course. Theme 2 drew attention to the tendency of participants to
feel responsible for managing their cognitive impairment. Theme 3 described the
pressures stemming from the lack of appropriate services to support independent
living for persons with cognitive impairment. Implications: These 3 themes all
pointed to facets of precarity. Findings also suggest the dearth of programs to
support older adults living alone with cognitive impairment and the need to
develop novel programs and interventions.
PMID- 29373677
TI - Pathway involving the N155H mutation in HIV-1 integrase leads to dolutegravir
resistance.
AB - Background: Dolutegravir, an integrase strand-transfer inhibitor (STI), shows a
high genetic barrier to resistance. Dolutegravir is reported to be effective
against viruses resistant to raltegravir and elvitegravir. In this study, we
report the case of a patient treated with dolutegravir monotherapy. Failure of
dolutegravir treatment was observed concomitant with the appearance of N155H
K211R-E212T mutations in the integrase (IN) gene in addition to the polymorphic
K156N mutation that was present at baseline in this patient. Methods: The impact
of N155H-K156N-K211R-E212T mutations was studied in cell-free, culture-based
assays and by molecular modelling. Results: Cell-free and culture-based assays
confirm that selected mutations in the patient, in the context of the polymorphic
mutation K156N present at the baseline, lead to high resistance to dolutegravir
requiring that the analysis be done at timepoints longer than usual to properly
reveal the results. Interestingly, the association of only N155H and K156N is
sufficient for significant resistance to dolutegravir. Modelling studies showed
that dolutegravir is less stable in IN/DNA complexes with respect to the WT
sequence. Conclusions: Our results indicate that the stability of STI IN/DNA
complexes is an important parameter that must be taken into account when
evaluating dolutegravir resistance. This study confirms that a pathway including
N155H can be selected in patients treated with dolutegravir with the help of the
polymorphic K156N that acts as a secondary mutation that enhances the resistance
to dolutegravir.
PMID- 29373679
TI - Mechanistic peculiarities of activation-induced mobilization of cytotoxic
effector proteins in human T cells.
AB - It is widely accepted that cytotoxic T and NK cells store effector proteins
including granzymes, perforin and Fas ligand (FasL) in intracellular granules,
often referred to as secretory lysosomes. Upon target cell encounter, these
organelles are transported to the cytotoxic immunological synapse, where they
fuse with the plasma membrane to release the soluble effector molecules and to
expose transmembrane proteins including FasL on the cell surface. We previously
described two distinct species of secretory vesicles in T and NK cells that
differ in size, morphology and protein loading, most strikingly regarding FasL
and granzyme B. We now show that the signal requirements for the mobilization of
one or the other granule also differ substantially. We report that prestored FasL
can be mobilized independent of extracellular Ca2+, whereas the surface exposure
of lysosome-associated membrane proteins (Lamps; CD107a and CD63) and the release
of granzyme B are calcium-dependent. The use of selective inhibitors of actin
dynamics unequivocally points to different transport mechanisms for individual
vesicles. While inhibitors of actin polymerization/dynamics inhibit the surface
appearance of prestored FasL, they increase the activation-induced mobilization
of CD107a, CD63 and granzyme B. In contrast, inhibition of the actin-based motor
protein myosin 2a facilitates FasL-, but impairs CD107a-, CD63- and granzyme B
mobilization. From our data, we conclude that distinct cytotoxic effector
granules are differentially regulated with respect to signaling requirements and
transport mechanisms. We suggest that a T cell might 'sense' which effector
proteins it needs to mobilize in a given context, thereby increasing efficacy
while minimizing collateral damage.
PMID- 29373678
TI - Design and evaluation of a novel flavonoid-based radioprotective agent utilizing
monoglucosyl rutin.
AB - In this study, three novel flavonoid composite materials, created by combining an
aglycone [quercetin (QUE), hesperetin (HES) or naringenin (NAR)] with
monoglucosyl rutin (MGR), were designed to test for improved radioprotectivity
compared with that provided by administration of MGR alone. Aglycone in the MGR
composite state was highly soluble in water, compared with aglycone alone
dissolved in dimethyl sulfoxide or distilled water. The antioxidant activity of
the three flavonoid composites was as high as that of MGR only. Next, the
cytotoxicity test after 30 min treatment of an MGR composite showed a clear
reduction in cell viability and suggested that a rapid introduction of aglycone
into cells had taken place. In addition, QUE/MGR and HES/MGR composites strongly
scavenged intracellular reactive oxygen species (ROS) induced by X-ray
irradiation as well as MGR alone did. However, in the colony-formation assay
using irradiated Chinese hamster ovary (CHO) cells, the HES/MGR composite showed
a stronger radioprotective effect than MGR alone did, but the QUE/MGR composite
showed no additional protective effect compared with the control. Furthermore, it
was revealed that QUE and QUE/MGR composite treatment had the effect of reducing
the glutathione (GSH) content in cells, and that QUE showed a stronger inhibition
of PARP activity compared that of HES and NAR. Our data demonstrated that when
designing a flavonoid composite as a radioprotective agent, it was necessary to
select an appropriate aglycone, considering not only its antioxidant ability but
also its inhibitory effect on cell recovery or DNA repair after radiation injury.
PMID- 29373680
TI - Diversity of Leafhoppers (Hemiptera: Cicadellidae) Associated with Border Grasses
and Maize During the Wet and Dry Seasons in Mexico.
AB - Leafhoppers constitute one of the largest groups of pests causing damage to crops
worldwide. Edge grasses, which are border crops (also known as border grasses),
contain diverse plant species and maintain large populations of leafhoppers
during the tropical dry and wet seasons. However, little is known about the
diversity of leafhoppers on these grasses. The objective of this study was to
characterize the diversity of leafhoppers on maize and on surrounding border
grasses during the dry and wet seasons. Leafhopper adults were collected with a
sweep net from border grasses during the dry season, when maize is absent. During
the wet, maize-growing season, leafhoppers were collected in both the maize
fields and their surrounding edge grasses. A highly diverse (H' = 1.97 +/- 2.03)
leafhopper population was seen on the edge grasses during the dry season, and
during the wet season, leafhopper diversity was higher in the edge grasses (H'=
1.79 +/- 1.72) than in the maize fields themselves (H'= 1.67 +/- 1.12).
Throughout the wet season, the most abundant leafhopper species in border grasses
were Stirellus bicolor (Van Duzee) (Hemiptera: Cicadellidae), Graminella sonora
(Ball) (Hemiptera: Cicadellidae), and Balclutha incisa (Matsumura) (Hemiptera:
Cicadellidae), whereas Dalbulus maidis (DeLong) (Hemiptera: Cicadellidae) was the
most abundant species in maize fields. All of these species were from the
subfamily Deltocephalinae. Canonical Component Analysis showed that leafhopper
abundance of Sibovia compta (Fowler) (Hemiptera: Cicadellidae), Graphocephala
aurolineata (Fowler) (Hemiptera: Cicadellidae), D. maidis, and S. bicolor was
associated with abiotic factors such as relative humidity, soil moisture,
temperature, and pluvial precipitation. Nevertheless, for most leafhopper
species, abundances were not significantly correlated with the abiotic variables
measured.
PMID- 29373682
TI - The impact of adenotonsillectomy on the dentofacial development of obstructed
children.
PMID- 29373681
TI - How equitable is social franchising? Case studies of three maternal healthcare
franchises in Uganda and India.
AB - Substantial investments have been made in clinical social franchising to improve
quality of care of private facilities in low- and middle-income countries but
concerns have emerged that the benefits fail to reach poorer groups. We assessed
the distribution of franchise utilization and content of care by socio-economic
status (SES) in three maternal healthcare social franchises in Uganda and India
(Uttar Pradesh and Rajasthan). We surveyed 2179 women who had received antenatal
care (ANC) and/or delivery services at franchise clinics (in Uttar Pradesh only
ANC services were offered). Women were allocated to national (Uganda) or state
(India) SES quintiles. Franchise users were concentrated in the higher SES
quintiles in all settings. The percent in the top two quintiles was highest in
Uganda (over 98% for both ANC and delivery), followed by Rajasthan (62.8% for
ANC, 72.1% for delivery) and Uttar Pradesh (48.5% for ANC). The percent of
clients in the lowest two quintiles was zero in Uganda, 7.1 and 3.1% for ANC and
delivery, respectively, in Rajasthan and 16.3% in Uttar Pradesh. Differences in
SES distribution across the programmes may reflect variation in user fees, the
average SES of the national/state populations and the range of services covered.
We found little variation in content of care by SES. Key factors limiting the
ability of such maternal health social franchises to reach poorer groups may
include the lack of suitable facilities in the poorest areas, the inability of
the poorest women to afford any private sector fees and competition with free or
even incentivized public sector services. Moreover, there are tensions between
targeting poorer groups, and franchise objectives of improving quality and
business performance and enhancing financial sustainability, meaning that middle
income and poorer groups are unlikely to be reached in large numbers in the
absence of additional subsidies.
PMID- 29373683
TI - Aortic elongation in aortic aneurysm and dissection: the Tubingen Aortic
Pathoanatomy (TAIPAN) project.
AB - OBJECTIVES: To study the lengths and diameters of aortic segments in healthy and
diseased aortas and to assess the role of aortic elongation in Type A aortic
dissection (TAD) prediction. METHODS: Ectasia and aneurysm were defined by
ascending aorta diameters of 45-54 mm and >=55 mm, respectively. Computed
tomography angiography studies of 256 healthy, 102 ectasia, 38 aneurysm, 17 pre
TAD and 166 TAD aortas were analysed using curved multiplanar reformats. RESULTS:
The study groups were structurally equal. The diameter of the ascending aorta was
35 mm in the control group and was larger (P < 0.001) in the pre-TAD (43 mm) and
TAD (56 mm) groups. The length of the ascending aorta from the aortic annulus to
the brachiocephalic trunk was 92 mm in the control group, 113 mm in the ectasia
group, 120 mm in the aneurysm group and 111 mm and 118 mm in the pre-TAD and TAD
groups (all P < 0.001 compared with the control group). An ascending aorta length
of 120 mm was exceeded in 2% of the control group, 31% of the ectasia group, 50%
of the aneurysm group, 24% of the pre-TAD group and 48% of the TAD group. The
correlation between the diameter and the length of the ascending aorta was r =
0.752; therefore, both parameters must be examined separately. A score
considering both parameters identified 23.5% of pre-TAD patients, significantly
more than the diameter alone, and 31.4% of ectasia aortas were elongated.
CONCLUSIONS: Patients with ectatic (45-54 mm diameter) and elongated (>=120 mm)
ascending aortas represent a high-risk subpopulation for TAD.
PMID- 29373685
TI - Phytosphingosine is a novel activator of GPR120.
AB - GPR120 is a receptor for long chain fatty acids and is expressed in small
intestinal endocrine cells, L cells and adipose tissue. Activation of GPR120
promotes the secretion of incretin GLP-1, which is known to have effects on anti
metabolic syndrome. As such, GPR120 is a potential target of pharmaceuticals for
type II diabetes. In this study, we performed ligand-screening for GPR120 on
glycero- and sphingo-type lipids and their derivatives using a Transforming
Growth Factor alpha-shedding assay. We found that phytosphingosine (PHS)
activates GPR120 in a manner comparable to the natural ligand alpha-linolenic
acid (ALA) and superior to that of the synthetic ligand GW9508. The IC50 value of
PHS was 33.4 MUM, of ALA was 31.0 MUM and of GW9508 was 41.7 MUM. Additionally,
PHS-induced activation of GPR120 was inhibited by the specific antagonist AH7614.
Many of the natural or synthetic ligands found thus far are compounds with
carboxyl groups. However, PHS does not possess a carboxyl group, suggesting that
its manner of interaction with GPR120 may be significantly different from that of
other ligands. Since PHS is rich in the plasma membrane of yeast, our results
imply that PHS found in fermented food could have effects on anti-diabetes
through activation of GPR120.
PMID- 29373684
TI - The effects of dietary sulfur amino acids on growth performance, intestinal
morphology, enzyme activity, and nutrient transporters in weaning piglets.
AB - Early weaning results in intestinal dysfunction in piglets, while sulfur amino
acids (SAA) are involved in improving intestinal functions. We tested a
hypothesis that dietary supplementation with SAA can improve intestinal functions
of weaning piglets and analyzed the effects of different dietary SAA levels on
intestinal functions. A total of 80 piglets (Duroc * Landrace * Yorkshire) were
weaned at 21 d of age and randomly assigned to one of the five diets that
contained 0.53%, 0.63%, 0.74%, 0.85%, or 0.96% SAA, which corresponded to 70%,
85%, 100%, 115%, or 130% of the SAA:Lys ratio recommended by the National
Research Council (2012). The 14 d feeding experiment involved 16 pens per diet
and one piglet per pen. Eight randomly selected piglets from each treatment were
euthanized for tissue sampling on day 7 and 14 post weaning. Supplementation with
SAA led to a rise over time in G:F (linear, P = 0.001; quadratic, P = 0.001).
Between day 0 and 14 of treatment, the jejunal crypt depth decreased (linear, P =
0.018; quadratic, P = 0.015), while that of the duodenal villus (linear, P =
0.049) and ileal villus width (linear, P = 0.029; quadratic, P = 0.034)
increased. The activities of jejunal alkaline phosphatase (ALP) were
quadratically increased (P = 0.040) from day 0 to 14 due to dietary SAA. Dietary
SAA also elevated the activities of jejunal lactase (linear, P = 0.003;
quadratic, P = 0.004), jejunal sucrase (linear, P = 0.032; quadratic, P = 0.027),
and jejunal contents of glutathione (GSH) from day 0 to 7, as well as the
activity of jejunal maltase (linear, P = 0.014; quadratic, P = 0.001) between day
0 and 14. During the first wk, dietary SAA linearly increased the amounts of
intestinal-type fatty acid-binding protein (I-FABP) (P = 0.048) and SGLT-1 (P =
0.021) and linearly decreased the amount of GLUT2 (P = 0.029) proteins in the
jejunum. The abundance of jejunal I-FABP (P = 0.044) and PEPT1 (P = 0.049)
protein linearly increased from day 0 to 14 in response to this supplementation.
These findings indicate that there is a dose-dependent response to dietary SAA on
feed efficiency and intestinal parameters of weanling pigs.
PMID- 29373686
TI - Protective Effectiveness of Inactivated Hantavirus Vaccine Against Hemorrhagic
Fever With Renal Syndrome.
AB - As there is no effective treatment against hemorrhagic fever with renal syndrome
(HFRS), the development of effective vaccine is important. An inactivated
hantavirus vaccine (IHV) has been used in Korea, but there has been controversy
regarding its effectiveness. We conducted a case-control study to evaluate the
vaccine effectiveness (VE) of IHV against HFRS in the Korean military. Unadjusted
and adjusted VEs of IHV were 59.1% and 58.9%, respectively. VE was higher in
divisions with high incidence of HFRS (unadjusted VE, 71.4%; adjusted VE, 78.7%).
Our study demonstrated the moderate effectiveness of IHV in high-risk populations
residing in endemic area.
PMID- 29373687
TI - Substituting Abacavir for Stavudine in Children Who Are Virally Suppressed
Without Lipodystrophy: Randomized Clinical Trial in Johannesburg, South Africa.
AB - Objectives: Abacavir has replaced stavudine in antiretroviral therapy (ART)
regimens because it has largely been phased out as a result of toxicity concerns;
this loss has reduced further the already-limited drug options for children. Few
data regarding virologic and metabolic outcomes among children who undergo
substitution of stavudine exist. We evaluated the effects of preemptive
substitution of abacavir for stavudine in children initially without
lipodystrophy and virally suppressed on a stavudine-containing regimen. Methods:
At Rahima Moosa Mother and Child Hospital in Johannesburg, South Africa, virally
suppressed human immunodeficiency virus (HIV)-infected children >=36 months of
age without lipodystrophy were randomly assigned to continue taking stavudine as
part of their ART regimen (n = 106) or to have abacavir substituted for stavudine
(n = 107). The children were followed for 56 weeks after randomization in the
context of a larger trial of treatment options for ART-experienced children.
Results: The mean age of the children was 4.3 years, and the mean duration of ART
before random assignment was 3.5 years. No differences in virological outcomes,
CD4 response, growth, or dyslipidemia were noted between the stavudine and
abacavir groups. By 56 weeks, children in the abacavir group had less clinically
detected lipodystrophy (4.7% vs 16%, respectively), a higher proportion of leg
fat relative to total fat (0.243 vs 0.230, respectively; P = .006), and a lower
trunk/leg-skinfold ratio (0.547 vs 0.569, respectively; P = .003) than the
children in the stavudine group. Conclusion: Substituting abacavir for stavudine
did not compromise virological response to treatment and was associated with
significantly less lipodystrophy. These results support recommendations that
favor abacavir in this population.
PMID- 29373688
TI - Compromised JMJD6 Histone Demethylase Activity Affects VHL Gene Repression in
Preeclampsia.
AB - Context: The von Hippel Lindau (VHL) protein is a key executor of the cellular
hypoxic response that is compromised in preeclampsia, a serious disorder
complicating 5% to 7% of pregnancies. To date, the mechanisms controlling VHL
gene expression in the human placenta remain elusive. Objective: We examined VHL
epigenetic regulation in normal pregnancy and in preeclampsia, a pathology
characterized by placental hypoxia. Design, Setting, and Participants: Placentae
were obtained from early-onset preeclampsia (n = 56; <34 weeks of gestation) and
late-onset preeclampsia (n = 19; >=34 weeks of gestation). Placentae from healthy
normotensive age-matched preterm control (n = 43) and term control (n = 23)
pregnancies were included as controls. Main Outcome Measure(s): We measured the
activity of Jumonji domain containing protein 6 (JMJD6), a ferrous iron (Fe2+)-
and oxygen-dependent histone demethylase, and examined its function in the
epigenetic control of VHL. Results: JMJD6 regulates VHL gene expression in the
human placenta. VHL downregulation in preeclampsia is dependent on decreased
JMJD6 demethylase activity due to hypoxia and reduced Fe2+ bioavailability.
Chromatin immunoprecipitation assays revealed decreased association of JMJD6 and
its histone targets with the VHL promoter. Findings in preeclampsia were
corroborated in a murine model of pharmacological hypoxia using FG-4592.
Placentae from FG-4592-treated mice exhibited reduced VHL levels, accompanied by
placental morphological alterations and reduced pup weights. Notably, Fe2+
supplementation rescued JMJD6 histone demethylase activity in histone from E-PE
and FG-4592-treated mice. Conclusions: Our study uncovers epigenetic regulation
of VHL and its functional consequences for altered oxygen and iron homeostasis in
preeclampsia.
PMID- 29373689
TI - Central Line-Associated Mucor velutinosus Bloodstream Infection in an
Immunocompetent Pediatric Patient.
AB - We report here the isolation of Mucor velutinosus from multiple blood cultures
performed on samples from Broviac catheters and culture of a Broviac insertion
site wound sample from a 6-year-old boy with a history of intestinal failure
secondary to chronic intestinal pseudo-obstruction, parenteral nutrition, and
jejunostomy tube dependence. Examination of a slide from the culture revealed the
presence of wide nonseptate hyphae with sporangiophores, columella, and
chlamydospores. The fungal isolate was sent to the National Institutes of Health
for further evaluation and was identified as Mucor velutinosus by matrix-assisted
laser desorption ionization-time-of-flight mass spectrometry and genomic
sequencing. The patient was treated successfully with intravenous amphotericin B
and prompt removal of his central line. To the best of our knowledge, this is the
first case of M velutinosus bloodstream infection in a child without cancer.
PMID- 29373690
TI - Novel Function of Cyclooxygenase-2: Suppressing Mycobacteria by Promoting
Autophagy via the Protein Kinase B/Mammalian Target of Rapamycin Pathway.
AB - In Mycobacterium tuberculosis-infected macrophages, cyclooxygenase-2 (COX-2)
expression considerably increases to defend the body against mycobacteria by
regulating adaptive immunity and restoring the mitochondrial inner membrane.
Moreover, in cancer cells, COX-2 enhances the autophagy machinery, an important
bactericidal mechanism. However, the association between M. tuberculosis-induced
COX-2 and autophagy-mediated antimycobacterial response has not been explored.
Here, COX-2 expression silencing reduced the autophagy and bactericidal activity
against intracellular M. tuberculosis, while COX-2 overexpression reversed the
above effects. In addition, enhancement of bactericidal activity was suppressed
by inhibiting autophagy in COX-2-overexpressing cells, indicating that COX-2
accelerated mycobacterial elimination by promoting autophagy. Furthermore, the
regulatory effects of COX-2 on autophagy were mediated by its catalytic products,
which functioned through inhibiting the protein kinase B/mammalian target of
rapamycin pathway. Thus, COX-2 contributes to host defense against mycobacterial
infection by promoting autophagy, establishing the basis for development of novel
therapeutic agents against tuberculosis by targeting COX-2.
PMID- 29373691
TI - Recombination of plasmids in a carbapenem-resistant NDM-5-producing clinical
Escherichia coli isolate.
AB - Objectives: To investigate the genetic features of five plasmids recovered from
an NDM-5-producing clinical Escherichia coli strain, BJ114, and to characterize
the plasmid recombination event that occurred during the conjugation process.
Methods: The genetic profiles of the five plasmids were determined by PCR,
conjugation, S1-PFGE, Southern hybridization and WGS analysis. Plasmid sequences
were analysed with various bioinformatic tools. Results: Complete sequences of
five plasmids were obtained. Two small plasmids, pBJ114-141 and pBJ114-46, were
speculated to have recombined into a large fusion plasmid, pBJ114T-190. When
conjugated to other E. coli strains, some of the fusion plasmids were able to be
resolved into the original two single plasmids. A non-conjugative plasmid, pBJ114
96, exhibited a high degree of sequence identity with the phage P7-like plasmid
as well as an mcr-1-bearing plasmid. Another plasmid, pBJ114-78, was found to
contain multidrug resistance genes and various mobile elements. Conclusions: The
fusion plasmid recoverable from the transconjugant was found to be generated as a
result of a recombination event that occurred upon interaction between a blaNDM-5
carrying plasmid and another plasmid present in the parental strain. Such
recombination events presumably play a potential role in the dissemination of the
blaNDM genes among different plasmids and pathogenic bacterial strains.
PMID- 29373692
TI - Comment on: Failure of rivaroxaban to prevent thrombosis in four patients with
anti-phospholipid syndrome: reply.
PMID- 29373693
TI - Lung-Derived Exosomal miR-483-3p Regulates the Innate Immune Response to
Influenza Virus Infection.
AB - Exosomes regulate cell-cell communication by transferring functional proteins and
RNAs between cells. Here, to clarify the function of exosomes during influenza
virus infection, we characterized lung-derived exosomal microRNAs (miRNAs). Among
the detected miRNAs, miR-483-3p was present at high levels in bronchoalveolar
lavage fluid (BALF) exosomes during infection of mice with various strains of
influenza virus, and miR-483-3p transfection potentiated gene expression of type
I interferon and proinflammatory cytokine upon viral infection of MLE-12 cells.
RNF5, a regulator of the RIG-I signaling pathway, was identified as a target gene
of miR-483-3p. Moreover, we found that CD81, another miR-483-3p target, functions
as a negative regulator of RIG-I signaling in MLE-12 cells. Taken together, this
study indicates that BALF exosomal miRNAs may mediate the antiviral and
inflammatory response to influenza virus infection.
PMID- 29373694
TI - Impact of antiretroviral resistance and virological failure on HIV-1
informational entropy.
AB - Objectives: The present study investigated the relationship between genomic
variability and resistance of HIV-1 sequences in protease (PR) and reverse
transcriptase (RT) regions of the pol gene. In addition, we analysed the
resistance among 651 individuals presenting antiretroviral virological failure,
from 2009 to 2011, in the state of Sao Paulo, Brazil. Methods: The genomic
variability was quantified by using informational entropy methods and the
relationship between resistance and replicative fitness, as inferred by the
residual viral load and CD4+ T cell count. Results: The number of antiretroviral
schemes is related to the number of resistance mutations in the HIV-1 PR (alpha =
0.2511, P = 0.0003, R2 = 0.8672) and the RT (alpha = 0.7892, P = 0.0001, R2 =
0.9141). Increased informational entropy rate is related to lower levels of HIV-1
viral loads (alpha = -0.0121, P = 0.0471, R2 = 0.7923), lower levels of CD4+ T
cell counts (alpha = -0.0120, P = 0.0335, R2 = 0.8221) and a higher number of
antiretroviral resistance-related mutations. Conclusions: Less organized HIV
genomes as inferred by higher levels of informational entropy relate to less
competent host immune systems, lower levels of HIV replication and HIV genetic
evolution as a consequence of antiretroviral resistance.
PMID- 29373695
TI - Determination of Organophosphorous Pesticides in Blood Using Microextraction in
Packed Sorbent and Gas Chromatography-Tandem Mass Spectrometry.
AB - The aim of our work was to develop a method for the determination of six
organophosphorous pesticides (Ops) (azynphos-ethyl (AZP), diazinon (DZN),
chlorpyrifos (CLP), chlorfenvinfos (CLF), parathion-ethyl (PRT) and quinalphos
(QLP)) in whole blood using microextraction by packed sorbent (MEPS) and analysis
by gas chromatography-tandem mass spectrometry (GC-MS/MS). The optimization of
the MEPS procedure was performed using a design of experiments (DOE) approach,
assessing different factors that significantly affected the extraction
efficiency. Ultimately, the number of sample strokes, wash volume, percentage of
2-propanol in the wash solvent and the number of elution strokes were
successfully optimized using a response surface methodology (RSM). The developed
and optimized method was fully validated according to international guidelines.
Linearity was established from 2.5 to 50 MUg/mL for AZP and from 0.5 to 50 MUg/mL
for the remaining compounds, with coefficients of determination (R2) higher than
0.99 in all cases. The lower limit of quantification were 2.5 MUg/mL (AZP) and
0.5 MUg/mL (remaining compounds). Recoveries ranged from 61% to 77%. Intra- and
inter-day precision and accuracy were considered adequate according to the
guidelines. This is the first method employing MEPS as a sample preparation
procedure for the analysis of these OPs in whole blood.
PMID- 29373696
TI - Immunogenicity and safety of pneumococcal vaccination in patients with systemic
sclerosis.
PMID- 29373697
TI - Azithromycin-resistant Neisseria gonorrhoeae spreading amongst men who have sex
with men (MSM) and heterosexuals in New South Wales, Australia, 2017.
AB - Objectives: To identify the genetic basis of resistance as well as to better
understand the epidemiology of a recent surge in azithromycin-resistant Neisseria
gonorrhoeae in New South Wales, Australia. Methods: Azithromycin-resistant N.
gonorrhoeae isolates (n = 118) collected from 107 males, 10 females and 1
transsexual between January and July 2017 were genotyped using a previously
described iPLEX method. The results were compared with phenotypic resistance
profiles and available patient data. Results: The iPLEX results revealed 10
different N. gonorrhoeae genotypes (designated AZI-G1 to AZI-G10) of which three
were responsible for the majority of infections; AZI-G10 (74.6%, 88 isolates; 87
males and 1 transsexual), AZI-G4 (11.0%, 13 isolates; 7 males and 6 females) and
AZI-G7 (6.8%, 8 isolates; 7 males and 1 female). The observed resistance was
attributable to one of two different azithromycin resistance mechanisms; the 23S
rRNA C2611T mutation was identified in 24% of isolates, whereas the majority of
resistance (76%) was associated with a meningococcal-type mtrR variant.
Additionally, one isolate was found to harbour both the 23S rRNA C2611T mutation
and a type XXXIV mosaic penA sequence associated with cephalosporin resistance.
Conclusions: These data indicate outbreaks of azithromycin-resistant gonococci
amongst networks of MSM and heterosexuals in New South Wales. The results also
provide further evidence that azithromycin may soon be an ineffective treatment
option for gonococcal infection and highlight the urgent need to explore
alternative therapies.
PMID- 29373698
TI - Randomized Trial to Compare Smoking Cessation Rates of Snus, with and without
Smokeless Tobacco Health-Related Information, and a Nicotine Lozenge.
AB - Introduction: Nicotine replacement medications are moderately effective in
increasing quit rates. However, some smokers reject such aids, suggesting the
value of considering alternative options. Snus, a smokeless tobacco product with
low nitrosamine content, could offer an alternative. This study compared smoking
cessation rates for snus, with and without information about reduced risk
relative to smoking, with a nicotine lozenge (without relative risk information).
Methods: A randomized, open-label, multicenter clinical trial with 649 smokers,
aged 21 to 65, who smoked at least 10 cigarettes per day for the past year and
were motivated to quit smoking. Participants were followed for up to 12 months
and were provided no counseling or support. Smoking cessation was analyzed as
continuous smoking abstinence (no smoking following quit date) and repeated point
prevalence abstinence (no smoking within past 7 days). Results: Abstinence rates
did not differ significantly between snus and the nicotine lozenge -continuous
abstinence did not differ at any timepoint, and point prevalence rates differed
only at month 3, when the lozenge group showed higher abstinence rates (17.4%)
than either of the two snus groups (snus alone: 8.7%; snus plus information:
10.1%). Large percentages of participants used the products during the treatment
period. Providing relative risk information to snus users did not affect snus
use. The amount of use did not predict subsequent outcome. Adverse events were
reported at similar rates across the three groups. Conclusions: Smoking cessation
rates were comparable between snus and a nicotine lozenge, but success rates in
this trial were low. Implications: This randomized trial of the nicotine lozenge,
snus, or snus plus information on the relative risks of smokeless tobacco vs.
smoking found comparable but low smoking cessation rates for all three groups at
weeks 12, 26, and 52. The one-time provision of relative risk information did
not lead to greater snus use among those provided the information, suggesting no
effect for this brief intervention.
PMID- 29373699
TI - Characteristics and mechanism of apogeotropic central positional nystagmus.
AB - Here we characterize persistent apogeotropic type of central positional
nystagmus, and compare it with the apogeotropic nystagmus of benign paroxysmal
positional vertigo involving the lateral canal. Nystagmus was recorded in 27
patients with apogeotropic type of central positional nystagmus (22 with
unilateral and five with diffuse cerebellar lesions) and 20 patients with
apogeotropic nystagmus of benign paroxysmal positional vertigo. They were tested
while sitting, while supine with the head straight back, and in the right and
left ear-down positions. The intensity of spontaneous nystagmus was similar while
sitting and supine in apogeotropic type of central positional nystagmus, but
greater when supine in apogeotropic nystagmus of benign paroxysmal positional
vertigo. In central positional nystagmus, when due to a focal pathology, the
lesions mostly overlapped in the vestibulocerebellum (nodulus, uvula, and
tonsil). We suggest a mechanism for apogeotropic type of central positional
nystagmus based on the location of lesions and a model that uses the velocity
storage mechanism. During both tilt and translation, the otolith organs can relay
the same gravito-inertial acceleration signal. This inherent ambiguity can be
resolved by a 'tilt-estimator circuit' in which information from the semicircular
canals about head rotation is combined with otolith information about linear
acceleration through the velocity-storage mechanism. An example of how this
mechanism works in normal subjects is the sustained horizontal nystagmus that is
produced when a normal subject is rotated at a constant speed around an axis that
is tilted away from the true vertical (off-vertical axis rotation). We propose
that when the tilt-estimator circuit malfunctions, for example, with lesions in
the vestibulocerebellum, the estimate of the direction of gravity is erroneously
biased away from true vertical. If the bias is toward the nose, when the head is
turned to the side while supine, there will be sustained, unwanted, horizontal
positional nystagmus (apogeotropic type of central positional nystagmus) because
of an inappropriate feedback signal indicating that the head is rotating when it
is not.
PMID- 29373700
TI - The Role of KLRG1 in Human CD4+ T-Cell Immunity Against Tuberculosis.
AB - Background: KLRG1 is a marker of terminally differentiated CD8+ T cells in viral
infection, but its role in human Mycobacterium tuberculosis infection remains
elusive. Methods: A set of cohorts of patients with tuberculosis was designed,
and the expression profiles and functions of KLRG1+CD4+ T cells were determined
with and without antibody blocking. Results: KLRG1 expression on CD4+ T cells was
significantly increased in patients with active tuberculosis, compared with
healthy controls and patients without tuberculosis. Upon M. tuberculosis-specific
stimulation, the ability to secrete interferon gamma, interleukin 2, and tumor
necrosis factor alpha was significantly greater in KLRG1-expressing CD4+ T cells
than in their KLRG-negative counterparts and was accompanied by a decreased
proportion of regulatory T cells and increased Akt signaling. However, KLRG1
expressing CD4+ T cells had a shorter life-span, which was associated with a
higher apoptosis rate but a similar proliferative response. Blockade of KLRG1
signaling significantly enhanced interferon gamma and interleukin 2 secretion
without affecting either cell apoptosis or multiplication. Addition of a specific
Akt inhibitor prevented this increased cytokine response, implicating the Akt
signaling pathway. Conclusions: Our study delineated the profile of KLRG1+CD4+ T
cells in patients with tuberculosis and suggests that M. tuberculosis infection
drives CD4+ T cells to acquire increased effector function in a terminally
differentiated state, which is restrained by KLRG1 via KLRG1/Akt signaling
pathway.
PMID- 29373701
TI - Contextual factors influence work outcomes in employed patients with ankylosing
spondylitis starting etanercept: 2-year results from AS@Work.
AB - Objectives: The aim was to determine changes over time in work outcomes and
investigate the predictive value of baseline personal and work-related factors on
the evolution of work outcomes among employed patients with AS initiating
etanercept. Methods: Employment status, absenteeism and presenteeism were
assessed using the Work Productivity and Activity Impairment for AS questionnaire
in a 24-month open-label, observational study (NCT01421303). The potential effect
of baseline factors on work outcomes was analysed using predictive modelling (Cox
regression and linear mixed models). Results: After 24 months, 11/75 (14.7%)
patients had permanently withdrawn from employment (seven because of AS).
Absenteeism and presenteeism decreased significantly within 6 months of
etanercept treatment and remained stable thereafter. Predictive modelling
indicated that male sex (hazard ratio = 0.18; 95% CI: 0.04, 0.85), (log) number
of working hours per week (hazard ratio = 0.13; 95% CI: 0.03, 0.51) and the
possibility of developing skills (hazard ratio = 0.42; 95% CI: 0.19, 0.91)
positively influenced time in employment. Over time, lower absenteeism was
significantly associated with the quality of contact with colleagues [coefficient
(s.e.): -0.35 (0.10)] and importance of the job for quality of life [-0.49
(0.17)], and higher absenteeism with current smoking [1.66 (0.44)] and change in
job because of illness [1.51 (0.66)]. Over time, lower presenteeism was
associated with male sex [-14.5 (2.64)], the possibility of postponing work [
6.60 (2.73)], quality of contact with colleagues [-2.04 (0.96)] and >50 workers
in the company [-7.65 (2.76)], and higher presenteeism with manual profession
[8.41 (2.72)]. Conclusion: Contextual factors influence work outcomes over time
and should not be ignored when aiming to improve work outcomes in patients with
AS. Trial registration: ClinicalTrials.gov, http://clinicaltrials.gov,
NCT01421303.
PMID- 29373702
TI - Tissue-specific changes in apoplastic proteins and cell wall structure during
cold acclimation of winter wheat crowns.
AB - The wheat (Triticum aestivum L.) crown is the critical organ of low temperature
stress survival over winter. In cold-acclimated crowns, ice formation in the
apoplast causes severe tissue disruption as it grows at the expense of
intracellular water. While previous crown studies have shown the vascular
transition zone (VTZ) to have a higher freezing sensitivity than the shoot apical
meristem (SAM), the mechanism behind the differential freezing response is not
fully understood. Cooling cold-acclimated crowns to -10 degrees C resulted in an
absence of VTZ tetrazolium chloride staining, whereas the temperatures at which
50% of the SAM stained positive and 50% of plants recovered (LT50) were similar
after cold acclimation for 21 (-16 degrees C) and 42 d (-20 degrees C) at 4
degrees C. Proteomic analysis of the apoplastic fluids identified dehydrins,
vernalization-responsive proteins, and cold shock proteins preferentially
accumulated in the SAM. In contrast, modifications to the VTZ centered on
increases in pathogenesis-related proteins, anti-freeze proteins, and sugar
hydrolyzing enzymes. Fourier transform infrared spectroscopy focal plane array
analysis identified the biochemical modification of the cell wall to enhance
methyl-esterified cross-linking of glucuronoarabinoxylans in the VTZ. These
findings indicate that the SAM and VTZ express two distinct tissue-specific
apoplastic responses during cold acclimation.
PMID- 29373703
TI - Trajectories of Acute Diabetes-Specific Stress in Adolescents With Type 1
Diabetes and Their Caregivers Within the First Year of Diagnosis.
AB - Objectives: Our aims were to describe stress trajectories for newly diagnosed
type 1 diabetes (T1D) in adolescents and their parents, explore whether
resilience is associated with stress trajectories, and to examine the effects of
stress trajectories on diabetes-specific outcomes. Methods: Fifty-nine youth aged
10-18 years with newly diagnosed T1D and a primary caregiver were followed for 12
months. Stress and resilience were assessed using questionnaires every 3 months,
and diabetes-specific outcomes (self-care, quality of life, and hemoglobin A1C)
at 6 and 12 months. Parent and adolescent stress trajectories were identified
using semiparametric group-based modeling. Results: Four stress trajectories
emerged for parents and three emerged for adolescents. Adolescent trajectories
were stable throughout the 12 months, and those with stable low stress had the
highest levels of resilience. Further, the stable low stress group had higher
quality of life scores at 12-month postdiagnosis. In contrast, stress for parents
changed considerably over the 12-month period, and trajectory groups did not
associate with 12-month outcomes. Conclusions: Distinct patterns of stress
emerged for both the adolescent and parent cohorts. Resilience at the time of
diagnosis was particularly protective for adolescents. These results suggest that
stress-reducing and resilience-promoting interventions for newly diagnosed
adolescents with T1D may have potential to improve longer-term outcomes.
PMID- 29373704
TI - Comment on: Failure of rivaroxaban to prevent thrombosis in four patients with
anti-phospholipid syndrome.
PMID- 29373705
TI - Trabecular Bone Score in Obese and Nonobese Subjects With Primary
Hyperparathyroidism Before and After Parathyroidectomy.
AB - Context: Obesity has been shown to be unfavorable to skeletal microarchitecture
when assessed by trabecular bone score (TBS). The influence of adiposity on
skeletal microstructure in primary hyperparathyroidism (PHPT) has not yet been
evaluated. Objective: To investigate the effect of obesity on TBS and bone
mineral density (BMD) in subjects with PHPT at baseline and through 2 years after
parathyroidectomy. Design: Prospective observational study. Setting: Referral
center. Patients or Other Participants: Thirty men and women with PHPT undergoing
parathyroid surgery. Main Outcome Measures: TBS and BMD by dual-energy X-ray
absorptiometry (DXA). Results: There were notable improvements in lumbar spine
and femoral neck BMD in the obese (lumbar spine: 4.3 +/- 4.7%, femoral neck: 3.8
+/- 6.6%; P < 0.05 for both) and nonobese subjects (lumbar spine: 3.8 +/- 5.6%,
femoral neck 3.1 +/- 5.0%; P < 0.05 for both) but no marked change in TBS in
either group at 24 months postparathyroidectomy. Obese subjects had fully
degraded TBS values compared with the nonobese subjects, whose TBS values were
minimally below normal throughout the study (baseline: 1.199 +/- 0.086 vs 1.327
+/- 0.099, respectively; P = 0.003; 24 months: 1.181 +/- 0.061 vs 1.352 +/-
0.114, respectively; P = 0.001), despite improvements in BMD. Conclusions: The
detrimental effect of obesity on TBS, an index of bone quality, was demonstrated
in subjects with PHPT. Obesity was associated with fully degraded skeletal
microarchitecture as measured by TBS in PHPT, despite similar values in bone
density by DXA compared with nonobese subjects. TBS values did not improve
postparathyroidectomy in either obese or nonobese subjects.
PMID- 29373706
TI - Maturation of the 90S pre-ribosome requires Mrd1 dependent U3 snoRNA and 35S pre
rRNA structural rearrangements.
AB - In eukaryotes, ribosome biogenesis requires folding and assembly of the precursor
rRNA (pre-rRNA) with a large number of proteins and snoRNPs into huge RNA-protein
complexes. In spite of intense genetic, biochemical and high-resolution cryo-EM
studies in Saccharomyces cerevisiae, information about the structure of the 35S
pre-rRNA is limited. To overcome this, we performed high-throughput SHAPE
chemical probing on the 35S pre-rRNA within 90S pre-ribosomes. We focused our
analyses on external (5'ETS) and internal (ITS1) transcribed spacers as well as
the 18S rRNA region. We show that in the 35S pre-rRNA, the central pseudoknot is
not formed and the central core of the 18S rRNA is in an open configuration but
becomes more constrained in 20S pre-rRNA. The essential ribosome biogenesis
protein Mrd1 influences the structure of the 18S rRNA region locally and is
involved in organizing the central pseudoknot and surrounding structures. We
demonstrate that U3 snoRNA dynamically interacts with the 35S pre-rRNA and that
Mrd1 is required for disrupting U3 snoRNA base pairing interactions in the 5'ETS.
We propose that the dynamic U3 snoRNA interactions and Mrd1 are essential for
establishing the structure of the central core of 18S rRNA that is required for
processing and 40S subunit function.
PMID- 29373708
TI - Professor Adayapalam Tyagarajan Natarajan (1928-2017): a tribute.
PMID- 29373707
TI - Cardiovascular and cerebrovascular comorbidities of juvenile dermatomyositis in
US children: an analysis of the National Inpatient Sample.
AB - Objective: JDM is associated with multiple potential risk factors for
cardiovascular disease, including reduced heart rate variability,
systolic/diastolic cardiac dysfunction, abnormal brachial artery reactivity and
metabolic syndrome. However, little is known about cardiovascular risk in JDM. We
sought to examine the association between JDM and cardiovascular risk factors and
disease in US children. Methods: Data from the 2002-12 National Inpatient Sample
was analysed, including ~20% of all US hospitalizations (n = 14 535 620
paediatric hospitalizations). Results: JDM was significantly associated with 12
of 13 comorbidities, including hypertension [survey logistic regression; crude
odds ratio (95% CI): 22.25 (15.51, 31.92)], obesity [5.87 (3.44, 10.02)],
uncomplicated diabetes [7.95 (4.21, 15.00)], lipid abnormalities [5.84 (2.77,
12.31)], particularly lipodystrophy [151.08 (38.24, 596.86)], peripheral and
visceral atherosclerosis [10.09 (3.70, 27.56)], late effects of cerebrovascular
disease [15.49 (2.37, 101.43)], personal history of transient ischaemic attack
and cerebral infarction [10.82 (2.46, 47.65)], pulmonary circulatory disorder
[12.23 (2.59, 57.73)], arrhythmia [3.93 (2.80, 5.52)], bradycardia [4.22 (2.65,
6.74)] and hypotension [2.62 (1.27, 5.39)]. Conclusions: There are significantly
higher odds of cardiovascular and cerebrovascular comorbidities among inpatients
with JDM, with adolescents, girls and racial/ethnic minorities being at highest
risk.
PMID- 29373710
TI - Smart Glasses for Neurosurgical Navigation by Augmented Reality.
AB - BACKGROUND: Wearable devices with heads-up displays or smart glasses can overlay
images onto the sight of the wearer. This technology has never been applied to
surgical navigation. OBJECTIVE: To assess the applicability and accuracy of smart
glasses for augmented reality (AR)-based neurosurgical navigation. METHODS: Smart
glasses were applied to AR-based neurosurgical navigation. Three-dimensional
computer graphics were created based on preoperative magnetic resonance images
and visualized in see-through smart glasses. Optical markers were attached to the
smart glasses and the patient's head for accurate navigation. Two motion capture
cameras were used for registration and continuous monitoring of the location of
the smart glasses in relation to the patient's head. After the accuracy was
assessed with a phantom, this technique was applied in 2 patients with brain
tumors located in the brain surface. RESULTS: A stereoscopic view by image
overlay through the smart glasses was successful in the phantom and in both
patients. Hands-free neuronavigation inside the operative field was available
from any angles and distances. The targeting error in the phantom measured in 75
points ranged from 0.2 to 8.1 mm (mean 3.1 +/- 1.9 mm, median 2.7 mm). The
intraoperative targeting error between the visualized and real locations in the 2
patients (measured in 40 points) ranged from 0.6 to 4.9 mm (mean 2.1 +/- 1.1 mm,
median 1.8 mm). CONCLUSION: Smart glasses enabled AR-based neurosurgical
navigation in a hands-free fashion. Stereoscopic computer graphics of targeted
brain tumors corresponding to the surgical field were clearly visualized during
surgery.
PMID- 29373709
TI - Selection of external beam radiotherapy approaches for precise and accurate
cancer treatment.
AB - Physically precise external-beam radiotherapy (EBRT) technologies may not
translate to the best outcome in individual patients. On the other hand, clinical
considerations alone are often insufficient to guide the selection of a specific
EBRT approach in patients. We examine the ways in which to compare different EBRT
approaches based on physical, biological and clinical considerations, and how
they can be enhanced with the addition of biophysical models and machine-learning
strategies. The process of selecting an EBRT modality is expected to improve in
tandem with knowledge-based treatment planning.
PMID- 29373711
TI - Pioglitazone Therapy of PAX8-PPARgamma Fusion Protein Thyroid Carcinoma.
AB - Context: A subset of thyroid carcinomas expresses an oncogenic paired box 8
(PAX8) and peroxisome proliferator activated receptor gamma (PPARgamma) fusion
protein (PPFP). The PPARgamma/PPFP ligand pioglitazone is highly therapeutic in a
transgenic mouse model of PPFP thyroid carcinoma, but whether pioglitazone is
therapeutic in patients with PPFP thyroid carcinoma is unknown. Case Description:
Tumor blocks from 40 patients with progressive thyroid cancer despite standard-of
care therapy were screened for PPFP, and the tumor from only one patient (2.5%)
was positive. The patient had a 6.0-cm acetabular soft tissue metastasis from
Hurthle cell carcinoma that caused severe pain on weight bearing and had a serum
thyroglobulin level of 1974 ng/mL. After 24 weeks of therapy with pioglitazone,
the metastatic lesion was 3.9 cm, the thyroglobulin level was 49.4 ng/mL, and the
patient was pain-free. Thirteen months after discontinuation of pioglitazone, the
metastatic lesion was 3.6 cm, the thyroglobulin level was 4.7 ng/mL, and the
patient remained pain-free. Conclusions: Pioglitazone may be therapeutic in
patients with PPFP thyroid cancer. However, thyroid cancers that are progressive
despite standard-of-care therapy appear to only rarely express PPFP.
PMID- 29373713
TI - Corrigendum: Disruption of OsSEC3A increases the content of salicylic acid and
induces plant defense responses in rice.
PMID- 29373712
TI - Whole-Body Single-Cell Sequencing Reveals Transcriptional Domains in the Annelid
Larval Body.
AB - Animal bodies comprise diverse arrays of cells. To characterize cellular
identities across an entire body, we have compared the transcriptomes of single
cells randomly picked from dissociated whole larvae of the marine annelid
Platynereis dumerilii. We identify five transcriptionally distinct groups of
differentiated cells, each expressing a unique set of transcription factors and
effector genes that implement cellular phenotypes. Spatial mapping of cells into
a cellular expression atlas, and wholemount in situ hybridization of group
specific genes reveals spatially coherent transcriptional domains in the larval
body, comprising, for example, apical sensory-neurosecretory cells versus
neural/epidermal surface cells. These domains represent new, basic subdivisions
of the annelid body based entirely on differential gene expression, and are
composed of multiple, transcriptionally similar cell types. They do not represent
clonal domains, as revealed by developmental lineage analysis. We propose that
the transcriptional domains that subdivide the annelid larval body represent
families of related cell types that have arisen by evolutionary diversification.
Their possible evolutionary conservation makes them a promising tool for evo-devo
research.
PMID- 29373714
TI - Corrigendum: Map-based cloning and characterization of BPH29, a B3 domain
containing recessive gene conferring brown planthopper resistance in rice.
PMID- 29373716
TI - Epidemiological trends of cryptococcosis in Italy: Molecular typing and
susceptibility pattern of Cryptococcus neoformans isolates collected during a 20
year period.
AB - In the present study clinical data and isolates from cases of cryptococcosis
recorded during clinical surveys carried out in Italy from 1997 to 2016, were
investigated. Molecular typing and antifungal susceptibility testing were
performed in order to delineate the epidemiological trend of cryptococcosis in
Italy and to define wild-type population for four different antifungal compounds.
During the studied period, a total of 302 cases collected from 32 centers of 11
Italian regions were recorded. Analysis of clinical data showed a significant
increase of frequency (from 7% to 38%) of cryptococcosis in human
immunodeficiency virus (HIV)-negative patients primarily with hematologic
malignancies and solid organ transplantations. The prevalence of the molecular
types has significantly changed during the study period, showing an increase of
VNIII isolates from 11% to 41% in HIV-negative patients, and a decrease of VNIV
isolates from 36% to 16%. Antifungal susceptibility testing allowed us to
calculate the epidemiological cut-off for flucytosine (1 mg/l), fluconazole (8
mg/l), itraconazole (0.5 mg/l), and voriconazole (0.25 mg/l). Most of the
isolates were wild-type strains. Comparison of the MIC distributions according to
molecular types showed that VNIV isolates had lower MICs for fluconazole and
itraconazole than the VNI and VIII isolates. The current study emphasizes that
the epidemiology of cryptococcosis in Italy has significantly changed over the
last decades.
PMID- 29373715
TI - Positive-sense RNA viruses reveal the complexity and dynamics of the cellular and
viral epitranscriptomes during infection.
AB - More than 140 post-transcriptional modifications (PTMs) are known to decorate
cellular RNAs, but their incidence, identity and significance in viral RNA are
still largely unknown. We have developed an agnostic analytical approach to
comprehensively survey PTMs on viral and cellular RNAs. Specifically, we used
mass spectrometry to analyze PTMs on total RNA isolated from cells infected with
Zika virus, Dengue virus, hepatitis C virus (HCV), poliovirus and human
immunodeficiency virus type 1. All five RNA viruses significantly altered global
PTM landscapes. Examination of PTM profiles of individual viral genomes isolated
by affinity capture revealed a plethora of PTMs on viral RNAs, which far exceeds
the handful of well-characterized modifications. Direct comparison of viral
epitranscriptomes identified common and virus-specific PTMs. In particular,
specific dimethylcytosine modifications were only present in total RNA from virus
infected cells, and in intracellular HCV RNA, and viral RNA from Zika and HCV
virions. Moreover, dimethylcytosine abundance during viral infection was
modulated by the cellular DEAD-box RNA helicase DDX6. By opening the Pandora's
box on viral PTMs, this report presents numerous questions and hypotheses on PTM
function and strongly supports PTMs as a new tier of regulation by which RNA
viruses subvert the host and evade cellular surveillance systems.
PMID- 29373717
TI - Integrated transcriptomic and regulatory network analyses identify microRNA-200c
as a novel repressor of human pluripotent stem cell-derived cardiomyocyte
differentiation and maturation.
AB - Aims: MicroRNAs (miRNAs) are crucial for the post-transcriptional control of
protein-encoding genes and together with transcription factors (TFs) regulate
gene expression; however, the regulatory activities of miRNAs during cardiac
development are only partially understood. In this study, we tested the
hypothesis that integrative computational approaches could identify miRNAs that
experimentally could be shown to regulate cardiomyogenesis. Methods and results:
We integrated expression profiles with bioinformatics analyses of miRNA and TF
regulatory programs to identify candidate miRNAs involved with cardiac
development. Expression profiling showed that miR-200c, which is not normally
detected in adult heart, is progressively down-regulated both during cardiac
development and in vitro differentiation of human embryonic stem cells (hESCs) to
cardiomyocytes (CMs). We employed computational methodologies to predict target
genes of both miR-200c and five key cardiac TFs to identify co-regulated gene
networks. The inferred cardiac networks revealed that the cooperative action of
miR-200c with these five key TFs, including three (GATA4, SRF and TBX5) targeted
by miR-200c, should modulate key processes and pathways necessary for CM
development and function. Experimentally, over-expression (OE) of miR-200c in
hESC-CMs reduced the mRNA levels of GATA4, SRF and TBX5. Cardiac expression of
Ca2+, K+ and Na+ ion channel genes (CACNA1C, KCNJ2 and SCN5A) were also
significantly altered by knockdown or OE of miR-200c. Luciferase reporter assays
validated miR-200c binding sites on the 3' untranslated region of CACNA1C. In
hESC-CMs, elevated miR-200c increased beating frequency, and repressed both Ca2+
influx, mediated by the L-type Ca2+ channel and Ca2+ transients. Conclusions: Our
analyses demonstrate that miR-200c represses hESC-CM differentiation and
maturation. The integrative computation and experimental approaches described
here, when applied more broadly, will enhance our understanding of the interplays
between miRNAs and TFs in controlling cardiac development and disease processes.
PMID- 29373719
TI - Quantification of 15 Psychotropic Drugs in Serum and Postmortem Blood Samples
after a Modified Mini-QuEChERS by UHPLC-MS-MS.
AB - The aim of the study was to develop a LC-MS-MS method able to detect and quantify
a number of frequently prescribed antipsychotic and antidepressant drugs for
toxicological purposes. Separation of compounds was performed on a C-18 RP column
by Ultra High-Pressure Chromatography over a 11 min run. A modified single step
QuEChERS protocol consisted essentially by the addition of acetonitrile,
potassium carbonate and magnesium sulfate in 100 MUL of sample, vortexing,
centrifugation and evaporation has been selected. The method achieves
satisfactory recoveries for 15 psychotropic drugs with a mean R% of 85% and
provides efficient purification of the sample from endogenous interferences,
simplicity and short sample handling times. The method was validated and provided
satisfactory accuracy with recoveries ranging from 85 to 113% and precision with
CV ranging from 1.2 to 13.2%. LODs were determined to be from 0.0003 to 0.017
MUg/mL while LOQs were from 0.001 to 0.05 MUg/mL for the 15 drugs. Matrix effect
was below 20% and the analytes were stable in the matrix for 3 weeks. The method
proved to be suitable for both analysis of clinical samples for Therapeutic Drug
Monitoring and antemortem or postmortem whole blood samples of forensic cases. A
number of samples with clinical and forensic interest were successfully analyzed
demonstrating the effectiveness of QuEChERS in this field.
PMID- 29373718
TI - The aberrant splicing of BAF45d links splicing regulation and transcription in
glioblastoma.
AB - Background: Glioblastoma, the most aggressive primary brain tumor, is genetically
heterogeneous. Alternative splicing (AS) plays a key role in numerous
pathologies, including cancer. The objectives of our study were to determine
whether aberrant AS could play a role in the malignant phenotype of glioma and to
understand the mechanism underlying its aberrant regulation. Methods: We obtained
surgical samples from patients with glioblastoma who underwent 5-aminolevulinic
fluorescence-guided surgery. Biopsies were taken from the tumor center as well as
from adjacent normal-appearing tissue. We used a global splicing array to
identify candidate genes aberrantly spliced in these glioblastoma samples.
Mechanistic and functional studies were performed to elucidate the role of our
top candidate splice variant, BAF45d, in glioblastoma. Results: BAF45d is part of
the switch/sucrose nonfermentable complex and plays a key role in the development
of the CNS. The BAF45d/6A isoform is present in 85% of over 200 glioma samples
that have been analyzed and contributes to the malignant glioma phenotype through
the maintenance of an undifferentiated cellular state. We demonstrate that BAF45d
splicing is mediated by polypyrimidine tract-binding protein 1 (PTBP1) and that
BAF45d regulates PTBP1, uncovering a reciprocal interplay between RNA splicing
regulation and transcription. Conclusions: Our data indicate that AS is a
mechanism that contributes to the malignant phenotype of glioblastoma.
Understanding the consequences of this biological process will uncover new
therapeutic targets for this devastating disease.
PMID- 29373720
TI - StopWatch: The preliminary evaluation of a smartwatch-based system for passive
detection of cigarette smoking.
AB - Introduction: Recent developments in smoking cessation support systems and
interventions have highlighted the requirement for unobtrusive, passive ways to
measure smoking behaviour. A number of systems have been developed for this that
either use bespoke sensing technology, or expensive combinations of wearables and
smartphones. Here we present StopWatch, a system for passive detection of
cigarette smoking that runs on a low-cost smartwatch and does not require
additional sensing or a connected smartphone. Methods: Our system uses motion
data from the accelerometer and gyroscope in an Android smartwatch to detect the
signature hand movements of cigarette smoking. It uses machine learning
techniques to transform raw motion data into motion features, and in turn into
individual drags and instances of smoking. These processes run on the smartwatch,
and do not require a smartphone. Results: We conducted preliminary validations of
the system in daily smokers (n=13) in laboratory and free-living conditions
running on an Android LG G-Watch. In free-living conditions, over a 24-hour
period, the system achieved precision of 86% and recall of 71%. Conclusions:
StopWatch is a system for passive measurement of cigarette smoking that runs
entirely on a commercially available Android smartwatch. It requires no
smartphone so the cost is low, and needs no bespoke sensing equipment so
participant burden is also low. Performance is currently lower than other more
expensive and complex systems, though adequate for some applications. Future
developments will focus on enhancing performance, validation on a range of
smartwatches, and detection of electronic cigarette use. Implications: We present
a low-cost, smartwatch-based system for passive detection of cigarette smoking.
It uses data from the motion sensors in the watch to identify the signature hand
movements of cigarette smoking. The system will provide the detailed measures of
individual smoking behaviour needed for context-triggered just-in-time smoking
cessation support systems, and to enable just-in-time adaptive interventions.
More broadly, the system will enable researchers to obtain detailed measures of
individual smoking behaviour in free-living conditions that are free from the
recall errors and reporting biases associated with self-report of smoking.
PMID- 29373722
TI - Sodium-hydrogen exchange inhibition attenuates glycoside-induced hypertrophy in
rat ventricular myocytes.
PMID- 29373721
TI - DARC matter(s) for inflammatory cells.
PMID- 29373723
TI - CETP inhibition and HDL: what is the trial REVEALing?
PMID- 29373724
TI - Niels Voigt talks to W. Jonathan Lederer, keynote lecturer at the "Gottingen
Channels" Symposium 2017.
PMID- 29373725
TI - Cytosolic Glutamine Synthetase Isozymes Play Redundant Roles in Ammonium
Assimilation Under Low-Ammonium Conditions in Roots of Arabidopsis thaliana.
AB - Ammonium is a major nitrogen source for plants; it is assimilated into glutamine
via a reaction catalyzed by glutamine synthetase (GLN). Arabidopsis expresses
four cytosolic GLN genes, GLN1; 1, GLN1; 2, GLN1; 3 and GLN1; 4, in roots.
However, the function and organization of these GLN1 isozymes in ammonium
assimilation in roots remain unclear. In this study, we aimed to characterize the
four GLN1 isozymes. The levels of growth of the wild type and gln1 single and
multiple knockout lines were compared in a hydroponic culture at ammonium
concentrations of 0.1 and 3 mM. Under the low-ammonium concentration, in single
mutants for each GLN1 gene, there was little effect on growth, whereas the triple
mutant for GLN1; 1, GLN1; 2 and GLN1; 3 grew slowly and accumulated ammonium.
Under the high-ammonium concentration, the single mutant for GLN1; 2 showed 50%
decreases in fresh weight and glutamine, whereas the other gln1 single mutants
did not show notable changes in the phenotype. The double mutant for GLN1; 1 and
GLN1; 2 showed less growth and a lower glutamine concentration than the single
mutant for GLN1; 2. Promoter analysis indicated an overlapping expression of
GLN1; 1 with GLN1; 2 in the surface layers of the roots. We thus concluded that:
(i) at a low concentration, ammonium was assimilated by GLN1; 1, GLN1; 2 and
GLN1; 3, and they were redundant; (ii) low-affinity GLN1; 2 could contribute to
ammonium assimilation at concentrations ranging from 0.1 to 3 mM; and (iii) GLN1;
1 supported GLN1; 2 within the outer cell layers of the root.
PMID- 29373728
TI - Pathways to Linguistic Isolation Among Older U.S. Immigrants: Assessing the Role
of Living Arrangements and English Proficiency.
AB - Objectives: To identify diverse pathways to linguistic isolation (LI) and explain
the differences in LI for older immigrants from different countries. Method: A
demographic decomposition of LI was applied to 18 largest origin subgroups of
foreign-born, ages 65 and older, in the 2010-2014 American Community Survey data.
Results: LI varied from 12% for older Indians to 68% for older Ukrainians.
Decomposition analysis identified 3 components: (a) Limited English proficiency
(LEP); (b) Solitary living; and (c) Limited English of co-resident others. The
relative contribution of components differed by country of origin, pointing to
different pathways to LI. Older Mexicans have the highest LEP, but moderate LI
due to infrequent solitary living and the English proficiency of co-resident
others. Many Chinese and Vietnamese older adults are LI because they live with
other LEP adults. Older Europeans' common pathway to LI is solitary living.
Discussion: Components of LI in ethnic communities can inform communication
strategies for older LEP lacking access to critical information.
PMID- 29373727
TI - Determinants of Reduced Genetic Capacity for Butyrate Synthesis by the Gut
Microbiome in Crohn's Disease and Ulcerative Colitis.
AB - Background and Aims: Alterations in short chain fatty acid metabolism,
particularly butyrate, have been reported in inflammatory bowel disease, but
results have been conflicting because of small study numbers and failure to
distinguish disease type, activity or other variables such as diet. We performed
a comparative assessment of the capacity of the microbiota for butyrate
synthesis, by quantifying butyryl-CoA:acetate CoA-transferase [BCoAT] gene
content in stool from patients with Crohn's disease [CD; n = 71], ulcerative
colitis [UC; n = 58] and controls [n = 75], and determined whether it was related
to active vs inactive inflammation, microbial diversity, and composition and/or
dietary habits. Methods: BCoAT gene content was quantified by quantitative
polymerase chain reaction [qPCR]. Disease activity was assessed clinically and
faecal calprotectin concentration measured. Microbial composition was determined
by sequencing 16S rRNA gene. Dietary data were collected using an established
food frequency questionnaire. Results: Reduced butyrate-synthetic capacity was
found in patients with active and inactive CD [p < 0.001 and p < 0.01,
respectively], but only in active UC [p < 0.05]. In CD, low BCoAT gene content
was associated with ileal location, stenotic behaviour, increased inflammation,
lower microbial diversity, greater microbiota compositional change, and decreased
butyrogenic taxa. Reduced BCoAT gene content in patients with CD was linked with
a different regimen characterised by lower dietary fibre. Conclusions: Reduced
butyrate-synthetic capacity of the microbiota is more evident in CD than UC and
may relate to reduced fibre intake. The results suggest that simple replacement
of butyrate per se may be therapeutically inadequate, whereas manipulation of
microbial synthesis, perhaps by dietary means, may be more appropriate.
PMID- 29373726
TI - Fibre intake and the development of inflammatory bowel disease: A European
prospective multi-centre cohort study (EPIC-IBD).
AB - Background and Aims: Population-based prospective cohort studies investigating
fibre intake and development of inflammatory bowel disease are lacking. Our aim
was to investigate the association between fibre intake and the development of
Crohn's disease [CD] and ulcerative colitis [UC] in a large European population.
Methods: In total, 401326 participants, aged 20-80 years, were recruited in eight
countries in Europe between 1991 and 1998. At baseline, fibre intake [total
fibres, fibres from fruit, vegetables and cereals] was recorded using food
frequency questionnaires. The cohort was monitored for the development of
inflammatory bowel disease. Each case was matched with four controls and odds
ratios [ORs] for the exposures were calculated using conditional logistic
regression. Sensitivity analyses according to smoking status were computed.
Results: In total, 104 and 221 participants developed incident CD and UC,
respectively. For both CD and UC, there were no statistically significant
associations with either quartiles, or trends across quartiles, for total fibre
or any of the individual sources. The associations were not affected by adjusting
for smoking and energy intake. Stratification according to smoking status showed
null findings apart from an inverse association with cereal fibre and CD in non
smokers [Quartile 4 vs 1 OR = 0.12, 95% confidence interval = 0.02-0.75, p =
0.023, OR trend across quartiles = 0.50, 95% confidence interval = 0.29-0.86, p =
0.017]. Conclusion: The results do not support the hypothesis that dietary fibre
is involved in the aetiology of UC, although future work should investigate
whether there may be a protective effect of specific types of fibre according to
smoking status in CD.
PMID- 29373729
TI - Resting-state functional connectivity predicts neuroticism and extraversion in
novel individuals.
AB - The personality dimensions of neuroticism and extraversion are strongly
associated with emotional experience and affective disorders. Previous studies
reported functional magnetic resonance imaging (fMRI) activity correlates of
these traits, but no study has used brain-based measures to predict them. Here,
using a fully cross-validated approach, we predict novel individuals' neuroticism
and extraversion from functional connectivity (FC) data observed as they simply
rested during fMRI scanning. We applied a data-driven technique, connectome-based
predictive modeling (CPM), to resting-state FC data and neuroticism and
extraversion scores (self-reported NEO Five Factor Inventory) from 114
participants of the Nathan Kline Institute Rockland sample. After dividing the
whole brain into 268 nodes using a predefined functional atlas, we defined each
individual's FC matrix as the set of correlations between the activity
timecourses of every pair of nodes. CPM identified networks consisting of
functional connections correlated with neuroticism and extraversion scores, and
used strength in these networks to predict a left-out individual's scores. CPM
predicted neuroticism and extraversion in novel individuals, demonstrating that
patterns in resting-state FC reveal trait-level measures of personality. CPM also
revealed predictive networks that exhibit some anatomical patterns consistent
with past studies and potential new brain areas of interest in personality.
PMID- 29373731
TI - The Power of Prevention and Youth Voice: A Strategy for Social Work to Ensure
Youths' Healthy Development.
AB - The opening plenary session at the 2017 Annual Conference of the Society for
Social Work and Research, which focused on ensuring healthy youth development,
highlighted the need to include young people as partners in research and
evaluation. In doing so, the conference participants joined the voices of a
growing group of social work scholars committed to youth participation and
engagement, who have also highlighted the importance of youth voice in the
development of prevention strategies and programming that are aligned with the
lived experience of young people. Presenting four unique social work efforts
designed to harness the power of youth voice, this article shines a spotlight on
the role of social work research and practice in harnessing the power of youth
participation in efforts of promote healthy youth development. This article
concludes by illustrating the benefits of youth engagement for young people,
communities, and researchers.
PMID- 29373730
TI - Cell-cycle regulation of non-enzymatic functions of the Drosophila
methyltransferase PR-Set7.
AB - Tight cell-cycle regulation of the histone H4-K20 methyltransferase PR-Set7 is
essential for the maintenance of genome integrity. In mammals, this mainly
involves the interaction of PR-Set7 with the replication factor PCNA, which
triggers the degradation of the enzyme by the CRL4CDT2 E3 ubiquitin ligase. PR
Set7 is also targeted by the SCFbeta-TRCP ligase, but the role of this additional
regulatory pathway remains unclear. Here, we show that Drosophila PR-Set7
undergoes a cell-cycle proteolytic regulation, independently of its interaction
with PCNA. Instead, Slimb, the ortholog of beta-TRCP, is specifically required
for the degradation of the nuclear pool of PR-Set7 prior to S phase.
Consequently, inactivation of Slimb leads to nuclear accumulation of PR-Set7,
which triggers aberrant chromatin compaction and G1/S arrest. Strikingly, these
phenotypes result from non-enzymatic PR-Set7 functions that prevent proper
histone H4 acetylation independently of H4K20 methylation. Altogether, these
results identify the Slimb-mediated PR-Set7 proteolysis as a new critical
regulatory mechanism required for proper interphase chromatin organization at
G1/S transition.
PMID- 29373732
TI - Study of Novel Autoantibodies in Schizophrenia.
AB - A recent genome-wide association (GWA) study confirmed 108 genetic loci that were
strongly associated with schizophrenia. Fifteen schizophrenia-associated genes
were selected for this study based on a number of selection criteria including
their high expression in both brain tissues and B-lymphocyte cells. We aimed to
investigate whether individuals with schizophrenia showed different levels of
plasma IgG antibodies against protein-derived fragments encoded by these 15
genes. A total of 356 plasma samples were used to analyze circulating IgG
antibodies against 18 target peptide antigens using an in-house enzyme-linked
immunosorbent assay. Of 18 antigens tested, 6 (derived from DPYD, MAD1L1,
ZNF804A, DRD2, TRANK1, and MMP16, respectively) showed increased IgG levels and 3
(derived from TSNARE1, TCF4, and VRK2, respectively) showed decreased IgG levels
in patients with schizophrenia compared with control subjects. Receiver operating
characteristic (ROC) curve analysis revealed that the anti-TRANK1 IgG assay had
the area under the ROC curve of 0.68 (95% CI = 0.62-0.73), with the highest
sensitivity of 20.7% against specificity of 95.2% among all 18 tests. There was
no difference in positivity of anti-double strand DNA IgG between the patient
group and the control group and no correlation between total IgG levels and each
individual IgG level tested. Although risperidone treatment showed confounding
effects on overall IgG levels in the circulation (combined P = .005), anti-TRANK1
IgG levels did not appear to be significantly affected (t = 1.358, P = .176). In
conclusion, this study suggests that circulating anti-TRANK1 IgG is likely to
serve as a biomarker for identification of a subgroup of schizophrenia.
PMID- 29373733
TI - Functional relevance of radiographic spinal progression in axial
spondyloarthritis: results from the GErman SPondyloarthritis Inception Cohort.
AB - Objectives: The aim of the study was to investigate the functional relevance of
the development of structural damage in the spine in patients with early axial
spondyloarthritis (axSpA). Methods: Altogether, 210 patients with early axSpA
(symptom duration ?10 years) who completed a 2-year clinical and radiographic
follow-up in the GErman SPondyloarthritis Inception Cohort were included. An
association between structural damage in the spine [modified Stoke AS Spine Score
(mSASSS)] and functional status (the BASFI) or spinal mobility (the BASMI) was
assessed in a longitudinal linear mixed model analysis; both unstandardized
(beta) and standardized (betastand) regression coefficients were calculated.
Results: There was an association between mSASSS and BASFI: beta = 0.05 (95% CI:
0.03, 0.08) and betastand = 0.20 (95% CI: 0.11, 0.59) adjusted for disease
activity parameters (the BASDAI and CRP), the presence of definite radiographic
sacroiliitis and sex. An association between mSASSS and BASMI was stronger: beta
= 0.08 (95% CI: 0.05, 0.11) and betastand = 0.41 (95% CI: 0.25, 0.57) adjusted
for the same parameters. These data indicate that, over time, an increase of 20
or 12 mSASSS points would be responsible for an increase of one BASFI or one
BASMI point, respectively. Disease activity (BASDAI) also showed a significant
association with BASFI [beta = 0.79 (95% CI: 0.71, 0.86) and betastand = 0.71
(95% CI: 0.63, 0.77)] and BASMI [beta = 0.22 (95% CI: 0.15, 0.30) and betastand =
0.28 (95% CI: 0.18, 0.37)]. Conclusion: Structural damage in the spine and
disease activity are both determinants of the functional status and spinal
mobility in early axSpA.
PMID- 29373734
TI - Long-Term Testosterone Administration on Insulin Sensitivity in Older Men With
Low or Low-Normal Testosterone Levels.
AB - Background: Serum testosterone levels and insulin sensitivity both decrease with
age. Severe testosterone deficiency is associated with the development of insulin
resistance. However, the effects of long-term testosterone administration on
insulin sensitivity in older men with low or low-normal testosterone levels
remain unknown. Methods: The Testosterone Effects on Atherosclerosis in Aging Men
Trial was a placebo-controlled, randomized, double-blind trial. The participants
were 308 community-dwelling men, >=60 years old, with total testosterone 100 to
400 ng/dL or free testosterone <50 pg/mL. A subset of 134 nondiabetic men (mean
age, 66.7 +/- 5.1 years) underwent an octreotide insulin suppression test at
baseline and at 3 and 36 months after randomization to measure insulin
sensitivity. Insulin sensitivity was estimated as the steady-state plasma glucose
(SSPG) concentration at equilibrium during octreotide and insulin administration.
Secondary outcomes included total lean mass (TLM) and total fat mass (TFM) by
dual energy x-ray absorptiometry. Results: There was a significant (P = 0.003)
increase in SSPG in the placebo group, whereas no change was seen in testosterone
treated subjects from baseline to 36 months; however, the between-group
differences in change in SSPG over 3 years were not statistically significant
(+15.3 +/- 6.9 mg/dL in the placebo group vs +6.2 +/- 6.4 mg/dL in the
testosterone group; mixed-model effect, P = 0.17). Changes in SSPG with
testosterone treatment were not associated with changes in serum total or free
testosterone concentrations. Changes in TFM but not TLM were associated with
increases in SSPG. Stratification by age or baseline total testosterone level did
not show significant intervention effects. Conclusion: Testosterone
administration for 36 months in older men with low or low-normal testosterone
levels did not improve insulin sensitivity.
PMID- 29373735
TI - Systematic editing of synthetic RIG-I ligands to produce effective antiviral and
anti-tumor RNA immunotherapies.
AB - Retinoic acid-inducible gene I (RIG-I) recognizes double-stranded viral RNAs
(dsRNAs) containing two or three 5' phosphates. A few reports of 5'-PPP
independent RIG-I agonists have emerged, but little is known about the molecular
principles underlying their recognition. We recently found that the bent duplex
RNA from the influenza A panhandle promoter activates RIG-I even in the absence
of a 5'-triphosphate moiety. Here, we report that non-canonical synthetic RNA
oligonucleotides containing G-U wobble base pairs that form a bent helix can
exert RIG-I-mediated antiviral and anti-tumor effects in a sequence- and site
dependent manner. We present synthetic RNAs that have been systematically
modified to enhance their efficacy and we outline the basic principles for
engineering RIG-I agonists applicable to immunotherapy.
PMID- 29373737
TI - Interleukin 1alpha (IL-1alpha) Promotes Pathogenic Immature Myeloid Cells and IL
1beta Favors Protective Mature Myeloid Cells During Acute Lung Infection.
AB - Bacterial pneumonia is a common risk factor for acute lung injury and sepsis
mediated death, but the mechanisms underlying the overt inflammation and
accompanying pathology are unclear. Infiltration of immature myeloid cells and
necrotizing inflammation mediate severe pathology and death during pulmonary
infection with Francisella tularensis. However, eliciting mature myeloid cells
provides protection. Yet, the host factors responsible for this pathologic
immature myeloid cell response are unknown. Here, we report that while the influx
of both mature and immature myeloid cells is strictly MyD88 dependent, the
interleukin 1 (IL-1) receptor mediates an important dual function via its ligands
IL-1alpha and IL-1beta. Although IL-1beta favors the appearance of bacteria
clearing mature myeloid cells, IL-1alpha contributes to lung infiltration by
ineffective and pathologic immature myeloid cells. Finally, IL-1alpha and IL
1beta are not the sole factors involved, but myeloid cell responses during acute
pneumonia were largely unaffected by lung levels of interleukin 10, interleukin
17, CXCL1, granulocyte colony-stimulating factor, and granulocyte-macrophage
colony-stimulating factor.
PMID- 29373736
TI - Metabolomics of osteoarthritis: emerging novel markers and their potential
clinical utility.
AB - OA is a multifactorial and progressive disease with no cure yet. Substantial
efforts have been made and several biochemical and genetic markers have been
reported, but neither alone nor in combination is adequate to identify early OA
changes or determine disease progression with sufficient predictive values.
Recent advances in metabolomics and its application to the study of OA have led
to elucidation of involvement of several metabolic pathways and new specific
metabolic markers for OA. Some of these metabolic pathways affect amino acid
metabolism, including branched chain amino acids and arginine, and phospholipid
metabolism involving conversion of phosphatidylcholine to
lysophosphatidylcholine. These metabolic markers appear to be clinically
actionable and may potentially improve the clinical management of OA patients. In
this article, we review the recent studies of metabolomics of OA, discuss those
novel metabolic markers and their potential clinical utility, and indicate future
research directions in the field.
PMID- 29373738
TI - The Relation Between Multiple Informal Caregiving Roles and Subjective Physical
and Mental Health Status Among Older Adults: Do Racial/Ethnic Differences Exist?
AB - Purpose of the Study: The present study examined whether race/ethnicity moderated
the relation between type of caregiving role (none, one, or multiple care
recipients) and subjective physical and mental health among older adults. Design
and Methods: The sample was drawn from the 2009 California Health Interview
Survey. Racially/ethnically diverse adults aged 55 and older (n = 24,241) were
categorized into 3 groups by caregiving roles: noncaregivers (n = 18,626;
referent), caregivers with a single caregiving role (n = 4,023), and caregivers
with multiple caregiving roles (n = 1,772). A 2-way analysis of covariance was
conducted to test main and interaction effects. Results: After adjustment for
covariates, noncaregivers reported significantly worse self-rated health and
lower psychological distress than caregivers with any type of role. The
interaction between race/ethnicity and caregiving roles was significant only for
self-rated health (p < .05). Blacks with multiple caregiving roles had poorer
self-rated health than those with a single caregiving role and better self-rated
health than noncaregivers, whereas other racial/ethnic groups with multiple
caregiving roles had better self-rated health compared to both noncaregivers and
those with a single caregiving role. Our sensitivity analysis showed that
controlling caregiving-related variables present only among caregivers eliminated
the differences in self-rated health between the two types of caregivers.
Implications: Findings suggest that caregivers report better self-rated health
than noncaregivers and that the relation of multiple caregiving roles with self
rated health differs by race/ethnicity, with blacks differing from other
racial/ethnic groups. This implies that caregivers experience gain, or are
selected into the role of caregiving by virtue of having good health.
PMID- 29373739
TI - Preclinical Pharmacological Development of Chlorcyclizine Derivatives for the
Treatment of Hepatitis C Virus Infection.
AB - Hepatitis C virus (HCV) is a small, single-stranded, positive-sense RNA virus
that infects more than an estimated 70 million people worldwide. Untreated,
persistent HCV infection often results in chronic hepatitis, cirrhosis, or liver
failure, with progression to hepatocellular carcinoma. Current anti-HCV regimens
comprising direct acting antivirals (DAAs) can provide curative treatment;
however, due to high costs there remains a need for effective, shorter-duration,
and affordable treatments. Recently, we disclosed anti-HCV activity of the cheap
antihistamine chlorcyclizine, targeting viral entry. Following our hit-to-lead
optimization campaign, we report evaluation of preclinical in vitro absorption,
distribution, metabolism, and excretion properties, and in vivo pharmacokinetic
profiles of lead compounds. This led to selection of a new lead compound and
evaluation of efficacy in chimeric mice engrafted with primary human hepatocytes
infected with HCV. Further development and incorporation of this compound into
DAA regimens has the potential to improve treatment efficacy, affordability, and
accessibility.
PMID- 29373740
TI - Structural properties and gene-silencing activity of chemically modified DNA-RNA
hybrids with parallel orientation.
AB - We report, herein, a new class of RNAi trigger molecules based on the
unconventional parallel hybridization of two oligonucleotide chains. We have
prepared and studied several parallel stranded (ps) duplexes, in which the
parallel orientation is achieved through incorporation of isoguanine and
isocytosine to form reverse Watson-Crick base pairs in ps-DNA:DNA, ps-DNA:RNA, ps
(DNA-2'F-ANA):RNA, and ps-DNA:2'F-RNA duplexes. The formation of these duplexes
was confirmed by UV melting experiments, FRET and CD studies. In addition, NMR
structural studies were conducted on a ps-DNA:RNA hybrid for the first time.
Finally, we provide evidence for the unprecedented finding that ps-DNA:RNA and ps
DNA:2'F-RNA hybrids can engage the RNAi pathway to silence gene expression in
vitro.
PMID- 29373741
TI - High rates of cerebral toxoplasmosis in HIV patients presenting with meningitis
in Accra, Ghana.
AB - Background: Data on adult meningitis among patients infected with the human
immunodeficiency virus (HIV) is scarce in western sub-Saharan Africa, including
Ghana. Methods: HIV-infected adults with a provisional diagnosis of meningitis
were consecutively enrolled, between August 2014 and January 2016. After patient
data collection, cerebrospinal fluid (CSF) was obtained and evaluated for
microbiological aetiologies, cell counts and biochemistry. Caregiver clinicians
provided limited data for inpatients at the end-point of discharge or death.
Results: Complete data sets from 84 patients were analysed (inpatients=63,
outpatients=21). Median age was 40 years with 56% (47/84) being females. Only 30%
(25/84) of the patients were on antiretroviral therapy (ART). CD4+ T-cell count
was available for 81% (68/84) of patients and 61.9% (52/84) had counts below 150
cells/MUL [median and interquartile range=56 (13.8-136)]. Microbiological
aetiologies were detected in 60.7% (51/84) patients with the following
distribution-Toxoplasmosis (25%), Epstein-Barr virus (28.6%), Cytomegalovirus and
Cryptococcus (2.4%) each. Co-infection was identified in 20.7% (17/84) of the
patients. Conclusion: Patients presenting with symptoms of meningitis had
advanced HIV/AIDS, a quarter of whom had cerebral toxoplasmosis or infection with
EBV. A high index of suspicion, laboratory exclusion of cryptococcal meningitis
and prompt patient management with anti-toxoplasmosis empiric therapy may thus be
required for optimal treatment.
PMID- 29373742
TI - Single and joint antibacterial activity of aqueous garlic extract and Manuka
honey on extended-spectrum beta-lactamase-producing Escherichia coli.
AB - Background: Multidrug resistance and recent technological advances have renewed
interest in natural product drug discovery from ancient remedies such as Allium
sativum (garlic) and honey. This study assessed antibacterial activity of aqueous
garlic extract (AGE) and Manuka honey on extended-spectrum beta-lactamase (ESBL)
producing Escherichia coli. Methods: Thirty clinical isolates of E. coli were
collected and screened for ESBL production by double-disc synergy test. Single
and joint antibacterial activity of AGE and Manuka honey against ESBL-producing
E. coli were determined by agar well dilution and checkerboard methods,
respectively. Results: Minimum inhibitory concentration (MIC) and minimum
bactericidal concentration (MBC) of AGE ranged from 125-250 mg/mL and 250-500
mg/mL, respectively. MIC and MBC of Manuka honey ranged from 12.5-25% v/v and 25
50% v/v, respectively. The combination of AGE and Manuka honey exhibited
different effects on selected ESBL-producing E. coli; synergism (1/4H+1/16G),
additive (1/8H+1/2G, 1/2H+1/16G), indifference (1/16H+MICG, MICH+1/16G,
2MICH+1/32G) and antagonism (4MICH+1/32G). Conclusions: Manuka honey exhibited
greater antibacterial activity against ESBL-producing E. coli than AGE.
Antibacterial activity, and the interaction of AGE and Manuka honey against ESBL
producing E. coli are dependent on their concentration. Studies assessing
antibacterial activity of potent phytochemicals in AGE and honey would provide
insights to mechanisms of interaction for development of new drug leads.
PMID- 29373744
TI - Respiratory Syncytial Virus-A ON1 Genotype Emergence in Central Mexico in 2009
and Evidence of Multiple Duplication Events.
AB - Background: Respiratory syncytial virus (RSV) is a leading cause of respiratory
infections. An RSV-A genotype (ON1) that contains a 72-nt duplication was
reported in 2012 and has since extended worldwide. Methods: We analyzed 345
respiratory samples obtained between 2003 and 2014 to assess the relevance of ON1
infections. Nucleotidic and deduced amino acid sequences from viruses detected in
San Luis Potosi and sequences previously reported were analyzed. Results: RSV ON1
was detected in 105 samples. The earliest case of ON1 infection was detected in
November 2009, almost 1 year prior to detection of this virus in Canada. Amino
acid sequence analysis of the duplication region showed the presence of Y273N and
L274P substitutions in RSV GA2 viruses that, when combined, resulted in 4
different GXXSPSQ sequence motifs at positions 272-278. Three of these motifs
were present in both the original and duplicated regions of ON1 strains.
Additional signature amino acid substitutions were observed in ON1 strains that
have the different sequence motifs. Conclusions: ON1 strains include viruses that
appear to be the result of at least 3 independent duplication events. Molecular
data of strains from diverse geographical regions should help define the
frequency and implications of this evolution mechanism.
PMID- 29373743
TI - A comparison of the electrocortical response to monetary and social reward.
AB - Affective science research on reward processing has primarily focused on monetary
rewards. There has been a growing interest in evaluating the neural basis of
social decision-making and reward processing. The present study employed a within
subject design and compared the reward positivity (RewP), an event-related
potential component that is present following favorable feedback and absent or
reduced following unfavorable feedback, during monetary and social reward tasks.
Specifically, 114 participants (75 females) completed a monetary reward task and
a novel social reward task that were matched on trial structure, timing, and
feedback stimuli in a counterbalanced order. Results indicated that the monetary
and social RewP were of similar magnitude, positively correlated and demonstrated
comparable psychometric properties, including reliability and dependability.
Across both the monetary and social tasks, women demonstrated a greater RewP
compared with men. This study provides a novel methodological approach toward
examining the electrocortical response to social reward that is comparable to
monetary reward.
PMID- 29373745
TI - Modeling heterotic effects in beef cattle using genome-wide SNP-marker genotypes.
AB - An objective of commercial beef cattle crossbreeding programs is to
simultaneously optimize use of additive (breed differences) and non-additive
(heterosis) effects. A total of 6,794 multibreed and crossbred beef cattle with
phenotype and Illumina BovineSNP50 genotype data were used to predict genomic
heterosis for growth and carcass traits by applying two methods assumed to be
linearly proportional to heterosis. The methods were as follows: 1) retained
heterozygosity predicted from genomic breed fractions (HET1) and 2) deviation of
adjusted crossbred phenotype from midparent value (HET2). Comparison of methods
was based on prediction accuracy from cross-validation. Here, a mutually
exclusive random sampling of all crossbred animals (n = 5,327) was performed to
form five groups replicated five times with approximately 1,065 animals per
group. In each run within a replicate, one group was assigned as a validation
set, while the remaining four groups were combined to form the reference set. The
phenotype of the animals in the validation set was assumed to be unknown; thus,
it resulted in every animal having heterosis values that were predicted without
using its own phenotype, allowing their adjusted phenotype to be used for
validation. The same approach was used to test the impact of predicted heterosis
on accuracy of genomic breeding values (GBV). The results showed positive
heterotic effects for growth traits but not for carcass traits that reflect the
importance of heterosis for growth traits in beef cattle. Heterosis predicted by
HET1 method resulted in less variable estimates that were mostly within the range
of estimates generated by HET2. Prediction accuracy was greater for HET2 (0.37
0.98) than HET1 (0.34-0.43). Proper consideration of heterosis in genomic
evaluation models has debatable effects on accuracy of EBV predictions. However,
opportunity exists for predicting heterosis, improving accuracy of genomic
selection, and consequently optimizing crossbreeding programs in beef cattle.
PMID- 29373747
TI - Effect of nutrition labels on dietary quality among college students: a
systematic review and meta-analysis.
AB - Context: College students are at an elevated risk of poor nutrition and eating
habits. Objective: The aim of this systematic review was to examine and quantify
the effect of nutrition labels on diet quality in college students. Data Sources:
Literature searches were conducted in 4 electronic databases. Study Selection:
Peer-reviewed publications that assessed the effect of nutrition label use on
food choice or dietary intake in college students were included. Data Extraction:
Twenty-two randomized controlled trials, cohort studies, and pre-post studies
were identified. Results: Sixteen studies found label exposure to be associated
with improved diet. Of the 13 studies reporting calories selected or consumed, 8
found that posting labels at the point of purchase decreased calories, 4 found no
effect, and 1 found that calories consumed increased after posting labels. Nine
of the 12 studies assessing noncaloric measures found that nutrition labels
positively affected diet quality. Meta-analysis of pre-post studies found a
decrease of 36 kcal (P < 0.05) with label exposure. Conclusions: Nutrition labels
had a moderate but positive effect on dietary intake of college students.
PMID- 29373746
TI - Distinct and redundant functions of three homologs of RNase III in the
cyanobacterium Synechococcus sp. strain PCC 7002.
AB - RNase III is a ribonuclease that recognizes and cleaves double-stranded RNA.
Across bacteria, RNase III is involved in rRNA maturation, CRISPR RNA maturation,
controlling gene expression, and turnover of messenger RNAs. Many organisms have
only one RNase III while others have both a full-length RNase III and another
version that lacks a double-stranded RNA binding domain (mini-III). The genome of
the cyanobacterium Synechococcus sp. strain PCC 7002 (PCC 7002) encodes three
homologs of RNase III, two full-length and one mini-III, that are not essential
even when deleted in combination. To discern if each enzyme had distinct
responsibilities, we collected and sequenced global RNA samples from the wild
type strain, the single, double, and triple RNase III mutants. Approximately 20%
of genes were differentially expressed in various mutants with some operons and
regulons showing complex changes in expression levels between mutants. Two RNase
III's had a role in 23S rRNA maturation and the third was involved in copy number
regulation one of six native plasmids. In vitro, purified RNase III enzymes were
capable of cleaving some of the known Escherichia coli RNase III target
sequences, highlighting the remarkably conserved substrate specificity between
organisms yet complex regulation of gene expression.
PMID- 29373748
TI - Backscattered electron imaging and elemental analysis of rapidly frozen plant
cells using variable accelerating voltage.
AB - Rapidly frozen rosemary leaves were observed at variable accelerating voltages in
a low-vacuum scanning electron microscope equipped with a cryo transfer system.
After water was sublimated from the fractured face of the leaf, distinct
backscattered electron (BSE) images were obtained depending on the accelerating
voltages applied. At 5 kV, surface cell wall structure was observed, whereas at
10 and 15 kV chloroplasts lining the inside of the cell wall and membrane were
visualized. With energy dispersive X-ray microanalysis, elemental information
corresponding to the BSE images was obtained. Besides visualization of the
structures and elemental composition close to the living state, information on
layers at different depths from the surface could be detected by varying the
accelerating voltage in this system.
PMID- 29373749
TI - The rear window: structural and functional plasticity in tree responses to
climate change inferred from growth rings.
PMID- 29373750
TI - Internal Carotid Artery Bifurcation Aneurysms: Microsurgical Strategies and
Operative Nuances for Different Aneurysmal Directions.
AB - BACKGROUND: Internal carotid artery bifurcation aneurysms (ICAbifAs), comprising
approximately 5% of intracranial aneurysms, are characterized clinically by their
tendency to occur at a younger age and surgically by the technical difficulties
posed by their domes being adherent to the frontal lobe, their location at the
apex of the sylvian fissure, and their relation to myriad perforator complexes.
OBJECTIVE: To review the nuances of technique and operative strategy specific to
aneurysmal direction in the light of our experience with ICAbifAs. METHODS:
ICAbifAs managed at our institute from January 2001 to July 2016 were analyzed.
Detailed analysis of clinical data, pre- and postoperative radiology, operative
notes and videos, and clinical outcome was done. RESULTS: Fifty-one patients with
ICAbifAs were treated in the study period out of which 40 patients underwent
microsurgical clipping. The median age was 48 yr (range 14-68 yr). Nearly 95% of
the patients presented in a good clinical grade (World Federation of Neurological
Surgeons grade 1 and 2). At 6-mo follow-up, 36 patients (90%) had good clinical
outcome (Glasgow Outcome Scale 4 and 5). According to their direction, ICAbifAs
were grouped as anteriorly directed (10 cases), superiorly directed (23 cases),
and posteriorly directed (7 cases). Operative techniques and nuances utilized
depend on aneurysmal direction and are presented here. CONCLUSION: An appropriate
surgical strategy based on the direction of ICAbifAs as per the preoperative
radiology, meticulous dissection of aneurysmal wall from adjacent perforators,
and clip application with the aim of remodeling the ICA bifurcation are integral
to achieving a good outcome.
PMID- 29373751
TI - Inferring putative virulence factors for Pythium insidiosum by proteomic
approach.
AB - Pythium insidiosum is the etiologic agent of pythiosis, a life-threatening
disease that affects human and animals, has difficult diagnosis, and therapy.
Studies on protein characterization of P. insidiosum are scarce, so we aimed to
determine the protein profile of P. insidiosum by mass spectrometry and
bioinformatics strategies targeting in proteins that may act as putative
virulence factors. Therefore, an extraction protocol was standardized to obtain
the total proteins of P. insidiosum. By the analysis of Image Master 2D Platinum
software, it was found that 186 spots ranging between 12 and 89 KDa and
isoelectric point from 4 to 7. By the analysis of 2D-SDS-PAGE it was possible to
visualize and excise 103 spots, which were hydrolyzed with trypsin and submitted
to mass spectrometry, resulting in the identification of 36 different proteins.
Three of them were classified as proteins supposedly related to virulence factors
due to its functions, such as glucan 1,3-beta glucosidase, Heat shock protein
(Hsp) 70 and enolase. These results may contribute to a better understanding of
the virulence factors of this medically important oomycete, as well as to
subsidize new studies on diagnosis and therapeutic approaches.
PMID- 29373752
TI - Identity Disturbance, Feelings of Emptiness, and the Boundaries of the
Schizophrenia Spectrum.
AB - Historical and current research on borderline personality disorder reveal certain
affinities with schizophrenia spectrum psychopathology. This is also the case for
the borderline criteria of "identity disturbance" and "feelings of emptiness,"
which reflect symptomatology frequently found in schizophrenia and schizotypal
personality disorder. Unfortunately, the diagnostic manuals offer limited insight
into the nature of these criteria, including possible deviations and similarities
with schizophrenia spectrum symptomatology. In this article, we attempt to
clarify the concepts of identity disturbance and feelings of emptiness with an
emphasis on the criteria's differential diagnostic significance. Drawing on
contemporary philosophy, we distinguish between a "narrative" self and a "core"
self, suggesting that this distinction may assist differential diagnostic efforts
and contribute to mark the psychopathological boundaries of these disorders.
PMID- 29373753
TI - Dicer partner protein tunes the length of miRNAs using base-mismatch in the pre
miRNA stem.
AB - Dicer partner proteins Drosophila Loquacious-PB (Loqs-PB) and human TRBP tune the
length of miRNAs produced by Dicer from a subset of pre-miRNAs and thereby alter
their target repertoire, by an unknown mechanism. Here, we developed a novel high
throughput method that we named Dram-seq (Dice randomized pre-miRNA pool and seq)
to study length distributions of miRNAs produced from thousands of different pre
miRNA variants. Using Dram-seq, we found that a base-mismatch in the pre-miRNA
stem can alter the length of miRNAs compared with a base-pair at the same
position in both Drosophila and human, and is important for the miRNA length
tuning by Loqs-PB. Loqs-PB directly bound base-mismatched nucleotides in the pre
miRNA stem. We speculate that Loqs-PB tunes miRNA length by changing the
conformation of base-mismatched nucleotides in the pre-miRNA stem to that of base
paired ones and thereby altering the distance of the pre-miRNA stem.
PMID- 29373754
TI - Age Differences in Face Processing: The Role of Perceptual Degradation and
Holistic Processing.
AB - Objectives: We simultaneously investigated the role of three hypotheses regarding
age-related differences in face processing: perceptual degradation, impaired
holistic processing, and an interaction between the two. Methods: Young adults
(YA) aged 20-33-year olds, middle-age adults (MA) aged 50-64-year olds, and older
adults (OA) aged 65-82-year olds were tested on the context congruency paradigm,
which allows measurement of face-specific holistic processing across the life
span (Meinhardt-Injac, Persike & Meinhardt, 2014. Acta Psychologica, 151, 155
163). Perceptual degradation was examined by measuring performance with faces
that were not filtered (FSF), with faces filtered to preserve low spatial
frequencies (LSF), and with faces filtered to preserve high spatial frequencies
(HSF). Results: We found that reducing perceptual signal strength had a greater
impact on MA and OA for HSF faces, but not LSF faces. Context congruency effects
were significant and of comparable magnitude across ages for FSF, LSF, and HSF
faces. By using watches as control objects, we show that these holistic effects
reflect face-specific mechanisms in all age groups. Discussion: Our results
support the perceptual degradation hypothesis for faces containing only HSF and
suggest that holistic processing is preserved in aging even under conditions of
reduced signal strength.
PMID- 29373755
TI - Can We Reduce the Duration of Untreated Psychosis? A Systematic Review and Meta
Analysis of Controlled Interventional Studies.
AB - Reduction of duration of untreated psychosis (DUP) is the key strategy of early
interventions for improving the outcomes of first-episode psychosis. Although
several controlled interventional studies have been conducted with the aim of
reducing DUP, the results are highly inconsistent and conflicting. The current
study systematically searches Web of Science and Ovid for English original
articles investigating interventions adopted to reduce DUP, compared to a control
intervention, up to April 6, 2017. Sixteen controlled interventional studies were
retrieved, including 1964 patients in the intervention arm and 1358 in the
control arm. The controlled intervention studies were characterized by standalone
first episode psychosis services, standalone clinical high risk services,
community interventions, healthcare professional training, and multifocus
interventions. Random effects meta-analyses were conducted. There was no summary
evidence that available interventions are successful in reducing DUP during the
first episode of psychosis (Hedges' g = -0.12, 95% CI = -0.25 to 0.01). Subgroup
analyses showed no differences within each subgroup, with the exception of
clinical high risk services (Hedges' g = -0.386, 95% CI = -0.726 to -0.045).
These negative findings may reflect a parceled research base in the area, lack of
prospective randomized controlled trials (only 2 randomized cluster designed
studies were present) and small sample sizes. There was substantial heterogeneity
(I2 = 66.4%), most of which was accounted by different definitions of DUP onset
(R2 = .88). Psychometric standardization of DUP definition, improvement of study
design, and implementation of preventative strategies seem the most promising
avenues for reducing DUP and improving outcomes of first-episode psychosis.
PMID- 29373756
TI - Alterations of Intrinsic Connectivity Networks in Antipsychotic-Naive First
Episode Schizophrenia.
AB - Background: The investigation of large-scale intrinsic connectivity networks in
antipsychotic-naive first-episode schizophrenia increases our understanding of
system-level cerebral dysfunction in schizophrenia while enabling control of
confounding effects of medication and disease progression. Reports on functional
connectivity in antipsychotic-naive patients have been mixed and the relation
between network alterations, psychopathology and cognition is unclear. Methods: A
total number of 47 patients with first-episode schizophrenia who had never
received antipsychotic medication and 47 healthy controls were scanned with
functional magnetic resonance imaging under resting conditions. Main outcome
measures were differences in functional connectivity between groups and the
relationship between network alterations, psychopathology and cognition. Results:
Altered connectivity was found between right central executive network (CEN) and
right ventral attention network (VAN) (patients > controls, P = .001), left CEN
and left VAN (P = .002), and between posterior default mode network and auditory
network (P = .006). Association between network connectivity and clinical
characteristics was found as interactions between the effects of group and
sustained attention (P = .005) and between group and processing speed (P = .007)
on the connectivity between right CEN and right VAN. Conclusions: Our findings
suggest that the early phase of schizophrenia is characterized by increased
connectivity between fronto-parietal networks suggested to be involved in the
control of cognitive and sensory functions. Moreover, the present study suggests
that the problem of not disengaging the VAN leads to difficulties with attention
and possibly subjective awareness.
PMID- 29373758
TI - Drug-Drug Interactions Between PA-824 and Darunavir Based on Pharmacokinetics in
Rats by LC-MS-MS.
AB - Currently, patients with co-infection with HIV and tuberculosis are treated with
more than one drug. PA-824 a new chemical entity and a member of a class of
compounds known as nitroimidazo-oxazines, has significant antituberculosis
activity and a unique mechanism of action. Darunavir (PrezistaTM) is a new
protease inhibitor of HIV-1. A simple, sensitive and rapid LC-MS-MS method has
been developed and validated for simultaneous determination of PA-824 and
darunavir. Chromatographic separation was achieved on Agilent Eclipse plus C18
column (100 mm * 2.1 mm, 3.5 MUm) using gradient elution of acetonitrile-water
(90:10, v/v) with fast gradient elution at a flow rate of 0.6 mL/min and run time
of 4.5 min. The mass spectrometer was run in positive electrospray ionization
mode using multiple reaction monitoring to monitor the mass transitions. The
method was validated for accuracy, precision, linearity, range, selectivity,
lower limit of quantification, recovery and matrix effect. All validation
parameters met the acceptance criteria according to regulatory guidelines. The
method had been successfully applied to a pharmacokinetic study of fixed dose
administration of PA-824, darunavir and their combination in rats. The results
indicated that when co-administration of darunavir could decrease the amount of
PA-824 in vivo and extend the elimination half-life.
PMID- 29373759
TI - Results of a Targeted Screening Program for Congenital Cytomegalovirus Infection
in Infants Who Fail Newborn Hearing Screening.
AB - Background: Congenital cytomegalovirus (CMV) infection is a major cause of
sensorineural hearing loss. By law, newborns in Connecticut who fail newborn
hearing screening are tested for infection with CMV. This targeted screening is
controversial, because most children with congenital CMV infection are
asymptomatic, and CMV-related hearing loss can have a delayed onset. Our hospital
uses a saliva polymerase chain reaction (PCR) assay (confirmed by a urine PCR
assay) to detect CMV. Here, we report the results of the first year of our
screening program. Methods: We reviewed the medical records of newborns in the
Yale New Haven Health System who failed the newborn hearing screening test
between January 1 and December 31, 2016. Results: Of 10964 newborns, 171 failed
newborn hearing screening, and 3 of these newborns had positive saliva CMV PCR
test results. Of these 3 newborns, 2 had positive results on the confirmatory
test (for 1 of them the confirmatory test was not performed until the infant was
10 weeks old), and 1 had a negative result on the confirmatory test. Three
additional newborns with congenital CMV infection were tested because of clinical
indications (1 for ventriculomegaly on prenatal ultrasound and 2 for CMV
infection of the mother). Results of audiology follow-up were available for 149
(87.1%) of the 171 newborns who failed newborn hearing screening; 127 (85.2%) had
normal results. Conclusion: Our targeted screening program for congenital CMV
infection had a low yield. Consideration should be given to other strategies for
identifying children at risk of hearing loss as a result of congenital CMV
infection.
PMID- 29373760
TI - Automated annotation of mobile antibiotic resistance in Gram-negative bacteria:
the Multiple Antibiotic Resistance Annotator (MARA) and database.
AB - Background: Multiresistance in Gram-negative bacteria is often due to acquisition
of several different antibiotic resistance genes, each associated with a
different mobile genetic element, that tend to cluster together in complex
conglomerations. Accurate, consistent annotation of resistance genes, the
boundaries and fragments of mobile elements, and signatures of insertion, such as
DR, facilitates comparative analysis of complex multiresistance regions and
plasmids to better understand their evolution and how resistance genes spread.
Objectives: To extend the Repository of Antibiotic resistance Cassettes (RAC) web
site, which includes a database of 'features', and the Attacca automatic DNA
annotation system, to encompass additional resistance genes and all types of
associated mobile elements. Methods: Antibiotic resistance genes and mobile
elements were added to RAC, from existing registries where possible. Attacca
grammars were extended to accommodate the expanded database, to allow overlapping
features to be annotated and to identify and annotate features such as composite
transposons and DR. Results: The Multiple Antibiotic Resistance Annotator (MARA)
database includes antibiotic resistance genes and selected mobile elements from
Gram-negative bacteria, distinguishing important variants. Sequences can be
submitted to the MARA web site for annotation. A list of positions and
orientations of annotated features, indicating those that are truncated, DR and
potential composite transposons is provided for each sequence, as well as a
diagram showing annotated features approximately to scale. Conclusions: The MARA
web site (http://mara.spokade.com) provides a comprehensive database for mobile
antibiotic resistance in Gram-negative bacteria and accurately annotates
resistance genes and associated mobile elements in submitted sequences to
facilitate comparative analysis.
PMID- 29373757
TI - Mutations involving the SRY-related gene SOX8 are associated with a spectrum of
human reproductive anomalies.
AB - SOX8 is an HMG-box transcription factor closely related to SRY and SOX9. Deletion
of the gene encoding Sox8 in mice causes reproductive dysfunction but the role of
SOX8 in humans is unknown. Here, we show that SOX8 is expressed in the somatic
cells of the early developing gonad in the human and influences human sex
determination. We identified two individuals with 46, XY disorders/differences in
sex development (DSD) and chromosomal rearrangements encompassing the SOX8 locus
and a third individual with 46, XY DSD and a missense mutation in the HMG-box of
SOX8. In vitro functional assays indicate that this mutation alters the
biological activity of the protein. As an emerging body of evidence suggests that
DSDs and infertility can have common etiologies, we also analysed SOX8 in a
cohort of infertile men (n = 274) and two independent cohorts of women with
primary ovarian insufficiency (POI; n = 153 and n = 104). SOX8 mutations were
found at increased frequency in oligozoospermic men (3.5%; P < 0.05) and POI
(5.06%; P = 4.5 * 10-5) as compared with fertile/normospermic control populations
(0.74%). The mutant proteins identified altered SOX8 biological activity as
compared with the wild-type protein. These data demonstrate that SOX8 plays an
important role in human reproduction and SOX8 mutations contribute to a spectrum
of phenotypes including 46, XY DSD, male infertility and 46, XX POI.
PMID- 29373761
TI - When Gist and Familiarity Collide: Evidence From False Recognition in Younger and
Older Adults.
AB - Objectives: Aging is associated with decreased recollection required to offset
misleading effects of familiarity, as well as an increased mnemonic reliance on
gist-based over detail-based information. We tested the novel hypothesis that age
related decrements in overriding familiarity can be eliminated under conditions
in which gist-based information facilitates retrieval. Method: Twenty-seven
younger adults and 27 older adults viewed scenes from two categories in an
incidental encoding phase. In a recognition phase, old scenes were intermixed
with new scenes from the studied categories and an unstudied category, with each
new scene reappearing after 4, 18, or 48 intervening scenes. Participants were to
respond "yes" to old scenes, and "no" to new scenes, including their repetitions.
Results: Despite encoding the scenes similarly, older adults made more false
endorsements of new and repeated new scenes from studied categories. Both groups,
however, were equally unlikely to falsely recognize new and repeated new scenes
from the unstudied category. Discussion: When helpful gist and misleading
familiarity collide, gist wins, and eliminates age-related increases in false
recognition.
PMID- 29373762
TI - Evolutionary diversification of galactinol synthases in Rosaceae: adaptive roles
of galactinol and raffinose during apple bud dormancy.
AB - Galactinol synthase (GolS) is a key enzyme in the biosynthetic pathway of
raffinose family oligosaccharides (RFOs), which play roles in carbon storage,
signal transduction, and osmoprotection. The present work assessed the
evolutionary history of GolS genes across the Rosaceae using several
bioinformatic tools. Apple (Malus * domestica) GolS genes were transcriptionally
characterized during bud dormancy, in parallel with galactinol and raffinose
measurements. Additionally, MdGolS2, a candidate to regulate seasonal galactinol
and RFO content during apple bud dormancy, was functionally characterized in
Arabidopsis. Evolutionary analyses revealed that whole genome duplications have
driven GolS gene evolution and diversification in Rosaceae speciation. The strong
purifying selection identified in duplicated GolS genes suggests that
differential gene expression might define gene function better than protein
structure. Interestingly, MdGolS2 was differentially expressed during bud
dormancy, concomitantly with the highest galactinol and raffinose levels. One of
the intrinsic adaptive features of bud dormancy is limited availability of free
water; therefore, we generated transgenic Arabidopsis plants expressing MdGolS2.
They showed higher galactinol and raffinose contents and increased tolerance to
water deficit. Our results suggest that MdGolS2 is the major GolS responsible for
RFO accumulation during apple dormancy, and these carbohydrates help to protect
dormant buds against limited water supply.
PMID- 29373764
TI - [Wernicke-Korsakoff syndrome secondary to cytomegalovirus encephalitis: A case
report].
AB - Cytomegalovirus (CMV) is one of the opportunistic microorganisms with the highest
prevalence in immunocompromised patients. Reactivation has decreased after the
introduction of highly active antiretroviral therapy (HAART). Encephalitis has
been reported in the coinfection as one of the most frequent presentations.We
present the case of a young adult patient with HIV infection and rapid
neurological deterioration due to classic clinical symptoms and signs of the
Wernicke-Korsakoff syndrome, with no risk factors for thiamine deficiency, with
images by nuclear magnetic resonance typical of the syndrome, and identification
of cytomegalovirus in cerebrospinal fluid. The specific treatment for CMV managed
to control the symptoms with neurological sequelae in progression towards
improvement.This is one of the few cases reported in the literature of Wernicke
syndrome secondary to cytomegalovirus encephalitis.
PMID- 29373765
TI - [In vitro susceptibility of Cuban Aspergillus spp. strains of clinical and
environmental origin].
AB - INTRODUCTION: The behavior of antifungal susceptibility of Aspergillus spp. in
Cuba remains unknown. The antifungals recommended to treat aspergillosis are
amphotericin B, itraconazole, voriconazole and echinocandins. The influence of
the environment may set off the emergence of drug-resistance in these
microorganisms. OBJECTIVE: To evaluate in vitro susceptibility of Aspergillus
spp. strains to amphotericin B, itraconazole and voriconazol, and the
relationship between susceptibility patterns and their origin. MATERIALS AND
METHODS: Minimum inhibitory concentrations of amphotericin B, itraconazole and
voriconazole were determined for 60 Aspergillus spp. strains of clinical and
environmental origin using the M38-A2 method of the Clinical and Laboratory
Standards Institute. RESULTS: We found 21 amphotericin B resistant strains
(mainly from clinical samples and hospital environments), as well as three
itraconazole resistant strains (from non-hospital outdoor and indoor
environments). No voriconazole resistance was found. No relationship was found
between strain origin and susceptibility. CONCLUSIONS: Results suggest the
possible existence of environmental factors or interactions with resistant
genotypes which may give rise to resistant phenotypes in our country. This is the
first report of in vitro Aspergillus spp. resistant strains in Cuba. These
studies should be broadened and include molecular and phylogenetic analyses.
PMID- 29373763
TI - Comparative advantages of infrapatellar fat pad: an emerging stem cell source for
regenerative medicine.
AB - Growing evidence indicates that infrapatellar fat pad (IPFP)-derived stem cells
(IPFSCs) exert robust proliferation capacities and multilineage differentiation
potentials. However, few papers summarize the advantages that the IPFP and IPFSCs
have in regenerative medicine. In this review we delineate the development and
anatomy of the IPFP by comparing it with an adjacent fibrous tissue, synovium,
and a more frequently harvested fat depot, subcutaneous adipose tissue.
Furthermore, we explore the similarities and differences of stem cells from these
three tissues in terms of IPFSCs, synovium-derived stem cells and subcutaneous
adipose tissue-derived stem cells in proliferation capacity and tri-lineage
differentiation potentials, including chondrogenesis, osteogenesis and
adipogenesis. Finally, we highlight the advantages of IPFSCs in regenerative
medicine, such as the abundant accessibility and the ability to resist
inflammation and senescence, two hurdles for cell-based tissue regeneration.
Considering the comparative advantages of IPFSCs, the IPFP can serve as an
excellent stem cell source for regenerative medicine, particularly for cartilage
regeneration.
PMID- 29373766
TI - [Optimizing resources to reduce costs to determine HIV viral load in limited
resources settings].
AB - INTRODUCTION: HIV viral load testing is a key factor to evaluate the
accomplishment of the UNAIDS target of 90% of viral suppression among people
receiving antiretroviral therapy. Pooled samples are a potentially accurate and
economic approach in resource-constrained settings, but efficiency can be
negatively affected by high prevalence rates of virological failure. OBJECTIVE:
Strategies were assessed to increase the relative efficiency of pooled HIV viral
load testing in resource-constrained settings. MATERIALS AND METHODS: We
evaluated two strategies: a) plasma samples were not included in pools if
patients had <12 months on antiretroviral therapy, patients had previous viral
load >1,000 copies/ml, or were antiretroviral therapy naive patients, and b)
plasma pools were organized separately for first and second-line antiretroviral
therapy regimens. Individual viral load tests were used to compare pooled
results. RESULTS: Negative predictive values were similar for patients on first
(100.0%; 95% CI 99.5 to 100.0) and second-line antiretroviral therapy regimens
(99.4%; 95% CI 96.9 to 99.9). However, the incidence of virological failure among
individuals on first-line antiretroviral therapy was lower than second-line
antiretroviral therapy patients (p <0.01), resulting in greater savings in
laboratory tests in patients on first-line antiretroviral therapy (74.0%; 95% CI
71.0 to 76.7) compared with the group of patients on second-line antiretroviral
therapy (50.9%; 95% CI 44.4 to 57.3) (p<0.01). CONCLUSION: Selecting the samples
to be included in the pools and selecting the pools according to ART regimens are
criteria that could lead to decreased spending on laboratory tests for HIV viral
load determination in resource-constrained settings.
PMID- 29373767
TI - [Characteristics of Clostridium difficile infection in a high complexity hospital
and report of the circulation of the NAP1/027 hypervirulent strain in Colombia].
AB - INTRODUCTION: Clostridium difficile is the main pathogen related to healthcare
associated diarrhea and it is the cause of 20 to 30% of diarrhea cases caused by
antibiotics. In Colombia and Latin America, the knowledge about the
epidemiological behavior of this infection is limited. OBJECTIVE: To describe the
characteristics of a series of patients with C. difficile infection. MATERIALS
AND METHODS: We performed a descriptive case series study of patients with C.
difficile infection hospitalized in the Fundacion Clinica Shaio from January,
2012, to November, 2015. RESULTS: We analyzed 36 patients. The average age was 65
years. The risk factors associated with the infection were: previous use of
antibiotics (94.4%), prior hospitalization in the last three months (66.7%) and
use of proton pump inhibitors (50%). The most common comorbidities were chronic
kidney disease (41.7%) and diabetes mellitus (30.6%). The most frequent symptoms
were more than three loose stools per day (97.1%) and abdominal pain (42.9%).
According to the severity of the disease, 44.4% of cases were classified as mild
to moderate, 38.9% as severe, and 11.1% as complicated or severe. The detection
of the toxin by PCR (GeneXpert) was the most common diagnostic procedure (63.8%).
Global mortality during hospitalization was 8%. We identified four strains with
serotype NAP1/027 and nine samples positive for binary toxin. CONCLUSION:
Clostridium difficile infection should be suspected in patients with diarrhea and
traditional risk factors associated with this disease. We report the circulation
of the hypervirulent strain serotype NAP1/027 in Colombia, which should be
countered with epidemiological surveillance and a prompt diagnosis.
PMID- 29373768
TI - Results of the national surveillance of antimicrobial resistance of
Enterobacteriaceae and Gram negative bacilli in health care-associated infections
in Colombia, 2012-2014.
AB - INTRODUCTION: The Colombian National Antimicrobial Resistance Monitoring System
for the surveillance of healthcare-associated infections was set up to meet this
problem in the third quarter of 2012. OBJECTIVE: To describe resistance profiles
and laboratory-based surveillance based on the information collected by the
System. MATERIALS AND METHODS: We conducted a retrospective and descriptive study
of the information notified to the Colombian Public Health Surveillance System
(Sivigila), and in the Whonet databases covering the period from July 2012 to
December 2014 provided by the primary data-generating units in the country, as
well as laboratory surveillance results from 1,642 phenotypic and genotypic tests
on carbapenemase isolates (927 from Enterobacteriaceae, 614 from Pseudomonas spp.
and 101 from Acinetobacter spp.). RESULTS: There was a significant increase in
Escherichia coli resistance to third-generation cephalosporins (reaching 26.3% in
ICUs and 22.5% in other hospital wards), and Klebsiella pneumoniae resistance to
ertapenem also increased (reaching 14.6% in ICUs). Acinetobacter baumannii
carbapenem resistance exceeded 50% in ICUs whereas Pseudomonas aeruginosa had
lower carbapenem resistance (38.8%). KPC (n = 574) and NDM (n=57) were the most
frequently occurring carbapenemases in Enterobacteriaceae, VIM (n=229) and KPC
(n=114) in P. aeruginosa, and OXA-23 in A. baumannii (n=87); several
carbapenemase combinations were identified, KPC + VIM being the most common in
Pseudomonas spp. and Enterobacteriaceae. CONCLUSION: The data from the
surveillance of healthcare-associated infections revealed significant carbapenem
resistance profiles and antimicrobial resistance mechanisms circulating in
Colombian healthcare institutions.
PMID- 29373769
TI - [Variants in the TNFA, IL6 and IFNG genes are associated with the dengue severity
in a sample from Colombian population].
AB - INTRODUCTION: The genetic makeup of the host contributes to the clinical profile
of dengue. This could be due to the effect of variants in the genes encoding pro
inflammatory cytokines. OBJECTIVE: To evaluate the association between the
variants of three polymorphisms in TNFA, IL6 and IFNG candidate genes with dengue
severity in a sample of Colombian population. MATERIALS AND METHODS: We evaluated
the rs1800750, rs2069843, and rs2069705 polymorphisms in TNFA, IL6 and IFNG
candidate genes, respectively, in 226 patients with dengue infection. The
genotypes were typed using both polymerase chain reaction (PCR) and restriction
fragment length polymorphism (RFLP). To determine the risk of different dengue
phenotypes, we compared allele frequencies with chi-square and genotypes and
haplotypes using logistic regression. Finally, these analyzes were adjusted with
data from self-identification or the ancestral genetic component. RESULTS: The A
allele in the rs2069843 polymorphism, adjusted by self-identification, was
associated with dengue hemorrhagic fever cases in Afro-Colombians. In the entire
sample, this polymorphism, adjusted by the ancestral genetic component, was
reproducible. In addition, there were significant associations between GGT and
GAC allelic combinations of rs1800750, rs2069843, and rs2069705 in dengue
hemorrhagic fever patients, with and without adjustment by ancestral genetic
component. Additionally, the AGC allelic combination produced 58.03 pg/ml of
interleukin-6 more than the GGC combination, regardless of European, Amerindian
and African genetic components. CONCLUSIONS: The variants of GGT and GAC
polymorphisms of rs1800750, rs2069843, and rs2069705 in the TNFA, IL6 and IFNG
genes, respectively, were correlated with the susceptibility to dengue severity
in a sample of Colombian population.
PMID- 29373770
TI - [Spirometry in a population of coal miners in Paipa, Colombia].
AB - INTRODUCTION: Respiratory diseases resulting from exposure to particulate matter
such as in coal mining remains a research challenge in this country and a public
health issue. Spirometry is a basic test of fundamental respiratory function for
the diagnosis and monitoring of these types of chronic lung diseases. OBJECTIVE:
To determine spirometric values in the coal mining municipality of Paipa and
their association with age and occupational exposure times. MATERIALS AND
METHODS: We conducted a descriptive cross-sectional study. The occupational
respiratory disease questionnaire of the American Thoracic Society (ATS) was
completed while spirometric measurements were performed and interpreted in
accordance with international recommendations for conducting the test. RESULTS:
The sample consisted of 226 coal mining workers of the municipality of Paipa.
Twenty-eight subjects (12.3%) of the sample showed patterns of obstructive and
restrictive respiratory disease with mild degrees of severity. Eighty subjects
(35%) showed a decrease in the forced vital capacity ratio/expiratory volume in
one second (FVC/FEV1). A statistically significant association between age range
(p=0.002) and years of mining work (p=0.34) with the development of restrictive
and obstructive disorders was found. Also, there was a statistically significant
association between age range (p<0.01) and years of mining work (p<0.01) with
various degrees of severity of the spirometric pattern. CONCLUSIONS: Spirometry
is a useful test for detecting the presence of respiratory disorders in the
population of coal miners. The time of exposure was significantly associated with
the respiratory disease exhibited by these miners.
PMID- 29373771
TI - [Estimation of underreporting of Chikungunya virus infection cases in Girardot,
Colombia, from November, 2014, to May, 2015].
AB - INTRODUCTION: Chikungunya virus infection in Colombia became epidemic in 2015. It
is estimated that there is underreporting of cases to the public health
surveillance system which can induce bias in epidemiological projections for
decision making, a serious problem, as it veils the real magnitude and actual
epidemiological importance of this disease. OBJECTIVE: To estimate the
underreporting of cases of chikungunya infection in the municipality of Girardot,
Cundinamarca, from November, 2014, to May, 2015. MATERIALS AND METHODS: We
conducted a descriptive and retrospective study using surveys in 132 blocks
selected by simple random sampling for community active search and the revision
of 100% of the individual records of health services and those from the public
health surveillance system for institutional active search. The data were
analyzed using EpiInfo, version 7. RESULTS: The attack rate was 64.7%. The
estimated underreporting was 36.1% for not attending medical consultation while
24.9% of cases were not reported to the public health surveillance system. The
principal cause for not seeking medical consultation among those surveyed (n=392;
43%) was self-medication, followed by the collapse of health services (n=207;
23%). RESULTS: The attack rate was 64.7%. The estimated underreporting was 36.1%
for not attending medical consultation while 24.9% of cases were not reported to
the public health surveillance system. The principal cause for not seeking
medical consultation among those surveyed (n=392; 43%) was self-medication,
followed by the collapse of health services (n=207; 23%). CONCLUSION: The overall
underreporting since the beginning of the epidemic in Girardot was 87.05%.This
research explains 60.9% of this underreporting.
PMID- 29373772
TI - [Periodontal microbiota and microorganisms isolated from heart valves in patients
undergoing valve replacement surgery in a clinic in Cali, Colombia].
AB - INTRODUCTION: Periodontitis is an infectious disease that affects the support
tissue of the teeth and it is associated with different systemic diseases,
including cardiovascular disease. Microbiological studies facilitate the
detection of microorganisms from subgingival and cardiovascular samples.
OBJECTIVE: To describe the cultivable periodontal microbiota and the presence of
microorganisms in heart valves from patients undergoing valve replacement surgery
in a clinic in Cali. MATERIALS AND METHODS: We analyzed 30 subgingival and
valvular tissue samples by means of two-phase culture medium, supplemented blood
agar and trypticase soy agar with antibiotics. Conventional PCR was performed on
samples of valve tissue. RESULTS: The periodontal pathogens isolated from
periodontal pockets were: Fusobacterium nucleatum (50%), Prevotella intermedia/
nigrescens (40%), Campylobacter rectus (40%), Eikenella corrodens (36.7%), Gram
negative enteric bacilli (36.7%), Porphyromonas gingivalis (33.3%), and
Eubacterium spp. (33.3%). The pathogens isolated from the aortic valve were
Propionibacterium acnes (12%), Gram negative enteric bacilli (8%), Bacteroides
merdae (4%), and Clostridium bifermentans (4%), and from the mitral valve we
isolated P. acnes and Clostridium beijerinckii. Conventional PCR did not return
positive results for oral pathogens and bacterial DNA was detected only in two
samples. CONCLUSIONS: Periodontal microbiota of patients undergoing surgery for
heart valve replacement consisted of species of Gram-negative bacteria that have
been associated with infections in extraoral tissues. However, there is no
evidence of the presence of periodontal pathogens in valve tissue, because even
though there were valve and subgingival samples positive for Gram-negative
enteric bacilli, it is not possible to maintain they corresponded to the same
phylogenetic origin.
PMID- 29373773
TI - [How to intervene and prevent stunting of children from homes belonging to the
Sisben in Caldas].
AB - INTRODUCTION: Growth retardation or chronic malnutrition (low height for age)
indicates a failure in the natural genetic potential that allows us to growth.
OBJECTIVE: To estimate predictive models of growth retardation in households with
children younger than five years in the department of Caldas and registered in
the identification system of potential beneficiaries of social programs (Sistema
de Identificacion de Potenciales Beneficiarios de Programas Sociales, Sisben).
MATERIALS AND METHODS: We conducted an analytical study in all households
(N=56,987) included in the Sisben III database with the presence of children
younger than five years (N=33,244). The variables under study were demographic
and socioeconomic characteristics, health service access, housing, poverty,
education, job market, and growth retardation. The multivariate analysis was done
in two phases: first, an exploratory analysis of households using hierarchical
classification (cluster), then estimation of a nonlinear predictive model
(probit) with growth retardation as the dependent variable. RESULTS: The largest
proportion of growth retardation in children younger than five years was found in
southcentral Caldas, in urban centers, and households with monthly income lower
than USD$ 65. CONCLUSION: Poverty in Caldas women-headed households with children
younger than five years registered in the Sisben was the main predictor of growth
retardation.
PMID- 29373774
TI - [Mitochondrial DNA diversity in prehispanic bone remains on the eastern Colombian
Andes].
AB - INTRODUCTION: DNA extracted from ancient human bones allows to analyze the
genetic makeup of pre-Columbian populations and to determine the dynamics that
gave rise to the diversity of contemporary populations. OBJECTIVE: To determine
the genetic diversity of skeletal remains associated with the Templo del Sol (Sun
Temple) and their relationship with other contemporary and ancient communities of
America. MATERIALS AND METHODS: We analyzed 13 individuals belonging to the pre
Columbian Muisca Period (IX-XVI centuries AD) from the vicinities of the Templo
del Sol (Sun Temple) (Sogamoso, Boyaca) in the eastern Colombian Andes.
Mitochondrial DNA was amplified and RFLPs were performed in order to type the
four traditional Amerindian haplogroups (A, B, C and D). In addition, autosomal
markers including amelogenin and Y-chromosome STRs were amplified. RESULTS: Among
the observed mitochondrial lineages, haplogroup A was the most frequent, followed
by haplogroups B and C; no evidence of haplogroup D was found. The genetic
variation analysis indicated a similar diversity of pre-ColumbianMuiscas to that
of contemporary populations belonging to the Chibcha linguistic family from
Colombia and Central America. Molecular sexing was accomplished and it was
compared to osteological data. With only one exception, anthropological and
molecular data were consistent. CONCLUSIONS: Our results contribute new genetic
elements supporting the hypothesis of Central American origin of the Chibcha
groups of the Cundiboyacense plateau, and allowed sex typing and kinship
evaluations.
PMID- 29373775
TI - [Validation of an analytical methodology to determine polychlorinated biphenyls
in samples from blood plasma].
AB - INTRODUCTION: Polychlorinated biphenyls are among the five most toxic persistent
contaminants for living organisms according to the Agency for Toxic Substances
and Disease Registry (ATSDR). OBJECTIVE: To standardize and validate an
analytical method to determine and quantify polychlorinated biphenyl indicators
in samples from blood plasma by means of gas chromatography-mass spectrometry.
MATERIALS AND METHODS: We fortified a plasma pool to do the matrix assays.
Additionally, we used the NIST SRM(r) 1958 reference material for the veracity
and intermediate accuracy assays. RESULTS: Methodology recovery percentages
ranged between 88.4 and 97.5%, and the bias was less than 20%. Detection and
quantification limits were 0.04 MUg/L and 0.10 MUg/L, respectively, for all
polychlorinated biphenyl indicators. The linearity represented by the
determination coefficient (R2) varied between 0.9866 and 0.9886. Accuracy,
expressed as relative standard deviation was less than 20% in all the linear work
range (0.5-500 MUg/L). Finally, we analyzed 115 samples from Colombian population
in various zones of the country and we found 65 positive samples, from which two
samples were above HBM-II (7.0 MUg/L, 2XSigmaPCB 138, 153, 180), and two, above
HBM-I (3.5 MUg/L, 2XSigmaPCB 138, 153, 180). CONCLUSION: The method we developed
is accurate for PCB analysis in blood plasma samples and could be used for
biological surveillance of these contaminants in the Colombian population.
PMID- 29373776
TI - ?
AB - Introduccion. El caseinato de sodio, una sal de la caseina utilizada como agente
proinflamatorio en ratones, es capaz de inducir granulopoyesis en vivo e
incrementar la produccion de citocinas esenciales en dicho evento.Objetivo.
Evaluar si el caseinato de sodio es capaz de inducir un efecto biologico en
celulas de origen linfoide y la produccion de citocinas involucradas con este
linaje.Materiales y metodos: Se utilizaron ratones hembra BALB/c de 8 a 12
semanas de edad. Los animales se inyectaron cuatro veces, con intervalos de 48
horas, por via intraperitoneal con 1 ml de caseinato de sodio (10 % de SFB p/v).
La poblacion de linfocitos B y la incorporacion de bromodesoxiuridina (BrdU) se
analizaron mediante citometria de flujo. La deteccion de la interleucina 7 se
evaluo mediante la tecnica de ELISA.Resultados. Tras la inyeccion por via
intraperitoneal, el numero de linfocitos B 220+ provenientes del bazo de ratones
tratados con caseinato de sodio aumento comparados con los que solo recibieron el
vehiculo como tratamiento (89,01+/-1,03 Vs. 75,66+/-2,08), asi como la
incorporacion de BrdU en celulas B220+ (38,59+/-4,48 Vs. 11,82+/-1,04). Se
evidencio, asimismo, el incremento en la concentracion de la interleucina 7 (IL
7) en el suero de los ratones tratados con caseinato de sodio, comparados con los
que solo recibieron el vehiculo (62,1+/-17,5 Vs. 26,9+/-4,4 pg/ml).Conclusion. El
caseinato de sodio fue capaz de aumentar el numero de linfocitos B en bazo de
ratones, asi como inducir la produccion de IL-7, citocina clave para la
linfopoyesis B.
PMID- 29373777
TI - Commented review of the Colombian legislation regarding the ethics of health
research.
AB - The scope of ethics in health research transcends its legal framework and the
regulations established in Resolution 8430 of 1993. These norms represent a
fundamental tool to determine the minimum protection standards for research
subjects, and, therefore, they should be known, applied properly, and reflect
upon by all researchers in the field.Here I present and discuss from an
analytical point of view the regulations that guide research in health. In this
framework, health is understood as a multidimensional process, and research in
health as a multidisciplinary exercise involving basic, clinical and public
health research, collective health, and other related sciences.The main
analytical categories are related to the principles and actors involved in
research (regulatory authorities, ethical committees, and special or vulnerable
subjects and populations), and to professional ethics codes, in addition to
informed consents and data management.Despite the contribution of this
legislation to the qualification of health research, my conclusion is that the
national legislation in ethics for health research requires updating regarding
technological and scientific developments, as well as specifications from the
multiple types of health studies.
PMID- 29373778
TI - [Urinalysis as a diagnostic tool in severe malaria].
AB - Malaria accounts for a significant morbidity and mortality rate around the world,
especially in communities with limited access to healthcare. Some clinical signs
in urine, like haematuria, coluria and proteinuria, help for the early diagnosis
of severe malaria cases.A narrative review was conducted by analyzing 91
publications on studies about severe malaria cases and the use of urinalysis.A
urinalysis can detect metabolic disturbances and organ injury. Its diagnostic
utility for frequent complications caused by malaria, such as hepatic injury,
kidney dysfunction and hemolysis, has been confirmed by recent Colombian
studies.This test is an easy-to-use tool in outpatient clinics and with
hospitalized patients to promptly recognize complicated cases, allowing the
timely identification of different lesions in patients with malaria, thus
contributing to the reduction of severe morbidity and mortality.
PMID- 29373779
TI - ?
PMID- 29373780
TI - Trends in the Management of Spontaneous Pneumothorax: A Single Center Experience.
AB - Objectives: Management of patients with primary spontaneous pneumothorax is
controversial. We sought to review our experience in the treatment of primary
spontaneous pneumothorax (PSP) by comparing outcomes from video-assisted
thoracoscopic surgery (VATS) with thoracotomy. : A retrospective review of
electronic and paper medical charts identified 104 consecutive operations
performed at University of Kentucky Chandler Medical Center for spontaneous
pneumothorax management between the dates of January 2000 and January 2013.
Follow-up phone calls were made to ensure absence of re-ocurrences outside of our
hospital system. : Eighty-eight patients (85%) underwent VATS repair with
unilateral and contralateral recurrence rates of 7% and 11% respectively. Mean
operative time was 97 minutes; mean postoperative hospital stay was 6 days.
Mechanical pleurodesis/pleurectomy was performed in 94% of cases. Comparatively
16 patients (16%) underwent thoracotomy repair with unilateral and contralateral
recurrence rates of 0.00% and 6% respectively. Mean operative time for the
thoracotomy group was 188 minutes; mean postoperative hospital stay was 5 days.
Mechanical pleurodesis was performed 12 times (75%). : In our study, the VATS
group had a significant reduction in operating room time (P = .001), a non
significant increase in unilateral recurrence (p = .587), and no reduction in
postoperative length of stay. Contralateral recurrence rate did not vary between
groups.
PMID- 29373781
TI - A Focused Observation Tool Using Dreyfus Stages of Skill Acquisition as an
Evaluative Scale.
AB - Focused Observartion (FO) is associated with assessing complex skills and differs
from generalized observations and evaluations. We've developed a FO assessing
clinical procedural skills using Hubert Dreyfus Stages of Skill Acquisition as
descriptive anchors. This study sought to analyze the effectiveness of this
measure of skill progression. During week 1 and week 4 of training, FO was
performed repetitively on 6 residents during endotracheal intubation. Skill
stage ratings were converted to numerical scores. A dependent, paired samples t
test was calculated using total mean score (dependent variable) and an effect
size. (Cohen's d) was performed to ascertain the standardized mean difference
between observations. A significant improvement in mean scores occurred between
Week 1 (AVG 1.2, STDV +/- 0.1) and Week 4 (AVG 2.0, STDV +/- 0.1) (t= -3.9,
p<.05) Calculated Chohen's d indicates that this difference was meaningful. This
study demonstrates success in adapting a Focused Observation technique and an
innovative evaluative scale based upon Dreyfus stages of skill acquisition.
PMID- 29373782
TI - Traffic Fatalities in West Virginia and the Remaining United States, 2008-2012.
AB - Purpose: Research has shown that Appalachia has a higher traffic fatality rate
than the non-Appalachian United States. This study compared traffic fatality
rates in West Virginia to the rest of the United States. Methods: Fatality
Analysis Reporting System and Census data from 2008-2012 were used to calculate
traffic fatality rates. Poisson regression was used to model rate ratios
stratified by age, sex, rurality, and transportation type, as well as rate ratios
per licensed driver, vehicles registered and miles travelled. Results: The West
Virginia traffic fatality rate was 71% higher that the United States. Fatality
rates per-capita were elevated for vehicle passengers and drivers of passenger
vehicles, and large trucks. In contrast, rates for pedestrians and bicyclists
were lower that the rest of the country. Conclusion: Public health intervention
and further research are likely needed to discern reasons as to why this
disparity exists in West Virginia.
PMID- 29373783
TI - Metastatic Renal Cell Carcinoma of the Head and Neck: A Case Series.
AB - Background: Renal cell carcinoma (RCC) accounts for over 80% of malignant tumors
arising from the kidney. However, metastatic RCC to the head and neck is a
relatively rare entity. Case Presentation: We describe three patients with
metastatic RCC to the head and neck with the involvement of the parapharyngeal
space, the level V region of the neck, and the maxillary sinus. Conclusion:
Metastatic RCC in the head and neck is uncommon; however, it must be taken into
consideration given a patient with a history of RCC. Multiple pathways allow for
the spread of RCC to the head and neck region. Treatment options include
mastectomy or local radiation and systemic chemotherapy.
PMID- 29373784
TI - Delignified and Densified Cellulose Bulk Materials with Excellent Tensile
Properties for Sustainable Engineering.
AB - Today's materials research aims at excellent mechanical performance in
combination with advanced functionality. In this regard, great progress has been
made in tailoring the materials by assembly processes in bottom-up approaches. In
the field of wood-derived materials, nanocellulose research has gained increasing
attention, and materials with advanced properties were developed. However, there
are still unresolved issues concerning upscaling for large-scale applications.
Alternatively, the sophisticated hierarchical scaffold of wood can be utilized in
a top-down approach to upscale functionalization, and one can profit at the same
time from its renewable nature, CO2 storing capacity, light weight, and good
mechanical performance. Nevertheless, for bulk wood materials, a wider
multipurpose industrial use is so far impeded by concerns regarding durability,
natural heterogeneity as well as limitations in terms of functionalization,
processing, and shaping. Here, we present a novel cellulose bulk material concept
based on delignification and densification of wood resulting in a high
performance material. A delignification process using hydrogen peroxide and
acetic acid was optimized to delignify the entire bulk wooden blocks and to
retain the highly beneficial structural directionality of wood. In a subsequent
step, these cellulosic blocks were densified in a process combining compression
and lateral shear to gain a very compact cellulosic material with entangled
fibers while retaining unidirectional fiber orientation. The cellulose bulk
materials obtained by different densification protocols were structurally,
chemically, and mechanically characterized revealing superior tensile properties
compared to native wood. Furthermore, after delignification, the cellulose bulk
material can be easily formed into different shapes, and the delignification
facilitates functionalization of the bioscaffold.
PMID- 29373785
TI - Efficient NIR Emission from Nd, Er, and Tm Complexes with Fluorinated Selenolate
Ligands.
AB - (DME)2Ln(SeC6F5)3 (Ln = Nd, Er, Tm) can be isolated in high yield by reductive
cleavage of the Se-Se bond in (SeC6F5)2 with elemental Ln in DME. All three Ln
compounds are isostructural, with 8 coordinate Ln bound to four O from DME, three
terminally bound Se(C6F5), and a dative bond from an arene fluoride to a fluorine
at the ortho position of one selenolate. Emission measurements indicate that
these compounds are bright NIR sources.
PMID- 29373786
TI - Recent Advances in CRISPR Base Editing: From A to RNA.
PMID- 29373788
TI - Magnetic Structure of SmCo5 from 5 K to the Curie Temperature.
AB - The crystal and magnetic structure of SmCo5 is determined by neutron powder
diffraction between 5 K and the Curie temperature. In order to overcome the
enormous neutron absorption of samarium, a 154Sm isotopically enriched sample was
used. The ordered magnetic moments of both crystallographically distinct cobalt
atoms are not significantly different over the whole temperature range. They
decrease from 2.2 MUB at 5 K to about 0.6 MUB at 1029 K. Samarium's ordered
magnetic moment decreases from 1.0 MUB at 5 K, runs through a minimum of 0.2 MUB
around 650 K, and becomes larger than cobalt's ordered magnetic moment above 950
K. No sign or orientation change of the samarium and cobalt ordered magnetic
moments is found between the Curie temperature and 5 K. SmCo5 is thus a
ferromagnet and does not switch to a ferrimagnetic state as discussed in the
literature.
PMID- 29373787
TI - Transformations of Nanoenabled Copper Formulations Govern Release, Antifungal
Effectiveness, and Sustainability throughout the Wood Protection Lifecycle.
AB - Here we compare the standard European benchmark of wood treatment by molecularly
dissolved copper amine (Cu-amine), also referred to as aqueous copper amine
(ACA), against two nanoenabled formulations: copper(II)oxide nanoparticles (CuO
NPs) in an acrylic paint to concentrate Cu as a barrier on the wood surface, and
a suspension of micronized basic copper carbonate (CuCO3.Cu(OH)2) for wood
pressure treatment. After characterizing the properties of the (nano)materials
and their formulations, we assessed their effects in vitro against three fungal
species: Coniophora puteana, Gloeophyllum trabeum, and Trametes versicolor,
finding them to be mediated only partially by ionic transformation. To assess the
use phase, we quantify both release rate and form. Cu leaching rates for the two
types of impregnated wood (conventional and nanoenabled) are not significantly
different at 172 +/- 6 mg/m2, with Cu being released predominantly in ionic form.
Various simulations of outdoor aging with release sampling by runoff, during
condensation, by different levels of mechanical shear, all resulted in comparable
form and rate of release from the nanoenabled or the molecular impregnated woods.
Because of dissolving transformations, the nanoenabled impregnation does not
introduce additional concern over and above that associated with the traditional
impregnation. In contrast, Cu released from wood coated with the CuO acrylate
contained particles, but the rate was at least 100-fold lower. In the same
ranking, the effectiveness to protect against the wood-decaying basidiomycete
Coniophora puteana was significant with both impregnation technologies but
remained insignificant for untreated wood and wood coated by the acrylic CuO.
Accordingly, a lifecycle-based sustainability analysis indicates that the CuO
acrylic coating is less sustainable than the technological alternatives, and
should not be developed into a commercial product.
PMID- 29373789
TI - Efficient Transition State Optimization of Periodic Structures through Automated
Relaxed Potential Energy Surface Scans.
AB - This work explores how constrained linear combinations of bond lengths can be
used to optimize transition states in periodic structures. Scanning of
constrained coordinates is a standard approach for molecular codes with localized
basis functions, where a full set of internal coordinates is used for
optimization. Common plane wave-codes for periodic boundary conditions almost
exlusively rely on Cartesian coordinates. An implementation of constrained linear
combinations of bond lengths with Cartesian coordinates is described. Along with
an optimization of the value of the constrained coordinate toward the transition
states, this allows transition optimization within a single calculation. The
approach is suitable for transition states that can be well described in terms of
broken and formed bonds. In particular, the implementation is shown to be
effective and efficient in the optimization of transition states in zeolite
catalyzed reactions, which have high relevance in industrial processes.
PMID- 29373790
TI - Cytotoxic and Noncytotoxic Metabolites from Teratosphaeria sp. FL2137, a Fungus
Associated with Pinus clausa.
AB - A new naphthoquinone, teratosphaerone A (1), four new naphthalenones, namely,
teratosphaerone B (2), structurally related to 1, iso-balticol B (3), iso
balticol B-4,9-acetonide (4), and (+)-balticol C (5), a new furanonaphthalenone,
(3a S,9 R,9a S)-1(9a),3(3a),9-hexahydromonosporascone (6), and the known
metabolite monosporascone (7) were isolated from Teratosphaeria sp. FL2137, a
fungal strain inhabiting the internal tissue of recently dead but undecomposed
foliage of Pinus clausa. The structures of 1-6 were elucidated on the basis of
their spectroscopic data including 2D NMR, and absolute configurations of 2, 3,
and 6 were determined by the modified Mosher's ester method. When evaluated in a
panel of five tumor cell lines, metabolites 1 and 7 isolated from a cytotoxic
fraction of the extract exhibited moderate selectivity for metastatic breast
adenocarcinoma cell line MDA-MB-231. Of these, 1 showed cytotoxicity to this cell
line with an IC50 of 1.2 +/- 0.1 MUM.
PMID- 29373791
TI - Lipovelutibols A-D: Cytotoxic Lipopeptaibols from the Himalayan Cold Habitat
Fungus Trichoderma velutinum.
AB - Four novel lipovelutibols A (1), B (2), C (3), and D (4) containing six amino
acid residues with leucinol at the C-terminus and a fatty acyl moiety (n
octanoyl) at its N-terminus were isolated from the psychrotrophic fungus
Trichoderma velutinum collected from the Himalayan cold habitat. The structures
(1-4) were determined by NMR and MS/MS, and the stereochemistry of amino acids by
Marfey's method. Lipopeptaibols 2 and 4 were found to contain d-isovaline, a
nonproteinogenic amino acid, but lacked alpha-aminoisobutyric acid,
characteristic of peptaibols. Cytotoxic activity of 2 and 4 was observed against
HL-60, LS180, MDA-MB-231, and A549 cancer cell lines.
PMID- 29373792
TI - Structure Reassignment of Cryptorigidifoliols E and K.
AB - The structures of the alpha-pyrones cryptorigidifoliols E (5) and K (11) have
been reassigned as 5C and 11C.
PMID- 29373794
TI - Selective Synthesis of (Z)-Diazadiphosphafulvalene from 2,2'-bis-Azaphosphindole.
AB - The unprecedented 2,2'-bis(azaphosphindole) has been synthesized via a new route.
Reaction with NaH afforded a dianion derivative 5, which is easily transformed to
alkylated bis(azaphosphindole) or (Z)-P,P,N,N-cisoid diazadiphosphafulvalene. The
reaction features good regioselectivity and high steroselectivity. Relatively
strong fluorescence is observed with diazadiphosphafulvalenes. The X-ray crystal
structure analysis showed that dianion ligand 5 is bonded to two Na atoms in a
bridging cis-fashion, which allows the synthesis of diazadiphosphafulvalene in a
highly stereoselective approach.
PMID- 29373793
TI - The Thermodynamics of Anion Complexation to Nonpolar Pockets.
AB - The interactions between nonpolar surfaces and polarizable anions lie in a gray
area between the hydrophobic and Hofmeister effects. To assess the affinity of
these interactions, NMR and ITC were used to probe the thermodynamics of eight
anions binding to four different hosts whose pockets each consist primarily of
hydrocarbon. Two classes of host were examined: cavitands and cyclodextrins. For
all hosts, anion affinity was found to follow the Hofmeister series, with
associations ranging from 1.6-5.7 kcal mol-1. Despite the fact that cavitand
hosts 1 and 2 possess intrinsic negative electrostatic fields, it was determined
that these more enveloping hosts generally bound anions more strongly. The
observation that the four hosts each possess specific anion affinities that
cannot be readily explained by their structures, points to the importance of
counter cations and the solvation of the "empty" hosts, free guests, and host
guest complexes, in defining the affinity.
PMID- 29373796
TI - Risk Assessment Tools in Pulmonary Arterial Hypertension. Prognosis for
Prospective Trials?
PMID- 29373795
TI - Asymmetric Synthesis of Chiral Acyclic Purine Nucleosides Containing a Hemiaminal
Ester Moiety via Three-Component Dynamic Kinetic Resolution.
AB - An efficient route to construct chiral acyclic purine nucleosides containing a
hemiaminal ester moiety is reported via three-component dynamic kinetic
resolution of purines, aldehydes, and acid anhydrides. The procedure provides
diverse chiral acyclic purine nucleoside analogues in a regioselective manner
with good yields (up to 93% yield) and excellent enantioselectivities (up to 95%
ee). Furthermore, the chiral (acyloxyalkyl)-5-fluorouracil could also be
generated as a potential prodrug of 5-fluorouracil.
PMID- 29373798
TI - Is It Time to Consider Obstructive Sleep Apnea Syndrome a Risk Factor for
Alzheimer's Disease?
PMID- 29373797
TI - Does Extracorporeal Membrane Oxygenation Improve Survival in Pediatric Acute
Respiratory Failure?
AB - RATIONALE: Extracorporeal membrane oxygenation (ECMO) has supported gas exchange
in children with severe respiratory failure for more than 40 years, without ECMO
efficacy studies. OBJECTIVES: To compare the mortality and functional status of
children with severe acute respiratory failure supported with and without ECMO.
METHODS: This cohort study compared ECMO-supported children to pair-matched non
ECMO-supported control subjects with severe acute respiratory distress syndrome
(ARDS). Both individual case matching and propensity score matching were used.
The study sample was selected from children enrolled in the cluster-randomized
RESTORE (Randomized Evaluation of Sedation Titration for Respiratory Failure)
clinical trial. Detailed demographic and daily physiologic data were used to
match patients. The primary endpoint was in-hospital mortality. Secondary
outcomes included hospital-free days, ventilator-free days, and change in
functional status at hospital discharge. MEASUREMENTS AND MAIN RESULTS: Of 2,449
children in the RESTORE trial, 879 (35.9%) non-ECMO-supported patients with
severe ARDS were eligible to match to 61 (2.5%) ECMO-supported children. When
individual case matching was used (60 matched pairs), the in-hospital mortality
rate at 90 days was 25% (15 of 60) for both the ECMO-supported and non-ECMO
supported children (P > 0.99). With propensity score matching (61 matched pairs),
the ECMO-supported in-hospital mortality rate was 15 of 61 (25%), and the non
ECMO-supported hospital mortality rate was 18 of 61 (30%) (P = 0.70). There was
no difference between ECMO-supported and non-ECMO-supported patients in any
secondary outcomes. CONCLUSIONS: In children with severe ARDS, our results do not
demonstrate that ECMO-supported children have superior outcomes compared with non
ECMO-supported children. Definitive answers will require a rigorous multisite
randomized controlled trial.
PMID- 29373800
TI - Household Air Pollution and Chronic Obstructive Pulmonary Disease. "A Riddle,
Wrapped in a Mystery, Inside an Enigma".
PMID- 29373799
TI - The Role of the Vascular and Structural Response to Activity in the Development
of Achilles Tendinopathy: A Prospective Study.
AB - BACKGROUND: Several risk factors have been suggested in the development of
Achilles tendinopathy, but large-scale prospective studies are limited. PURPOSE:
To investigate the role of the vascular response to activity of the Achilles
tendon, tendon thickness, ultrasound tissue characterization (UTC) of tendon
structure, and foot posture as possible risk factors in the development of
Achilles tendinopathy. STUDY DESIGN: Cohort study; Level of evidence, 2. METHODS:
The study began with 351 first-year students at Ghent University. After 51
students were excluded, 300 were tested in the academic years 2013-2014 and 2014
2015 and were followed prospectively for 2 consecutive years by use of a
multilevel registration method. Of those, 250 students were included in the
statistical analysis. At baseline, foot posture index and UTC were investigated
bilaterally. Blood flow and tendon thickness were measured before and after a
running activity. Cox regression analyses were performed to identify significant
contributors to the development of Achilles tendinopathy. RESULTS: During the 2
year follow-up, 27 of the included 250 participants developed Achilles
tendinopathy (11%). Significant predictive effects were found for female sex and
blood flow response after running ( P = .022 and P = .019, respectively). The
risk of developing Achilles tendinopathy increased if the blood flow increase
after running was reduced, regardless of sex, foot pronation, and timing of flow
measurements. The model had a predictive accuracy of 81.5% regarding the
development of Achilles tendinopathy, with a specificity of 85.0% and a
sensitivity of 50.0%. CONCLUSION: This prospective study identified both female
sex and the diminished blood flow response after running as significant risk
factors for the development of Achilles tendinopathy. UTC of tendon structure,
Achilles tendon thickness, and foot posture did not significantly contribute to
the prediction of Achilles tendinopathy. A general evaluation of tendon structure
by UTC, measurement of tendon thickness, or determination of the foot posture
index will not allow clinicians to identify patients at risk for developing
Achilles tendinopathy. Furthermore, it may be possible to improve blood flow
after activity by using noninvasive techniques (such as prostaglandins,
compression stockings, heat, massage, and vibration techniques). These techniques
may be useful in the prevention and management of Achilles tendinopathy, but
further research is needed.
PMID- 29373801
TI - Long-term Clinical Outcomes After Microfracture of the Glenohumeral Joint:
Average 10-Year Follow-up.
AB - BACKGROUND: Microfracture is an effective surgical treatment for full-thickness
cartilage defects of the knee; however, little is known regarding long-term
outcomes after microfracture in the shoulder. PURPOSE: To present long-term
clinical outcomes of patients undergoing microfracture of full-thickness
articular cartilage defects of the glenohumeral joint. STUDY DESIGN: Case series;
Level of evidence, 4. METHODS: Sixteen consecutive patients (17 shoulders) were
retrospectively reviewed who underwent arthroscopic microfracture of the humeral
head and/or glenoid surface, with or without additional procedures between 2001
and 2008 and with a minimum follow-up of 8.5 years. All patients completed pre-
and postoperative surveys containing the visual analog scale, American Shoulder
and Elbow Surgeons form, and Simple Shoulder Test. Complications and reoperations
were analyzed. Failure was defined by biological resurfacing or conversion to
arthroplasty. RESULTS: Of the original 16 patients (17 shoulders), 13 patients
(14 shoulders) were available for mean follow-up at 10.2 +/- 1.8 years after
microfracture (range, 8.5-15.8 years), for an overall clinical follow-up rate of
82%. The patients (6 men, 7 women) were 36.1 +/- 12.9 years old at time of
microfracture. The average size of humeral head defects was 5.20 cm2 (range, 4.0
7.84 cm2), and the average size of glenoid defects was 1.53 cm2 (range, 1.0-3.75
cm2). Four patients (4 shoulders) underwent at least 1 reoperation, and 3 were
considered to have structural failures. The average time to failure was 3.7 years
after microfracture (range, 0.2-9.6 years). The overall survival rate was 76.6%
at 9.6 years. For these patients, there were statistically significant
improvements in visual analog scale, Simple Shoulder Test, and American Shoulder
and Elbow Surgeons scores as compared with preoperative values at long-term
follow-up ( P < .05 for all), without any significant change from short-term
(mean, 2.3 years) to long-term (mean, 10.2 years) follow-up. There was no
significant difference in Single Assessment Numeric Evaluation or Short Form-12
Physical or Mental scores between short- and long-term follow-up. When compared
with short-term follow-up, in which 2 patients had already failed, 1 additional
patient progressed to failure at 9.6 years after the original microfracture. Two
patients (2 shoulders) were considered to have clinical failure. Owing to the
overall number of failures (3 structural failure and 2 clinical failure), the
total long-term success rate of glenohumeral microfracture is 66.7% in the
current study. CONCLUSION: Treating full-thickness symptomatic chondral defects
of the glenohumeral joint with microfracture can result in long-term improved
function and reduced pain for some patients. However, in this case series, 21.4%
of patients required conversion to arthroplasty <10 years after the index
microfracture procedure, and 33% to 42% of patients were considered to have
potential clinical failure. Additional studies with larger patient cohorts are
needed.
PMID- 29373803
TI - Knockdown of Nrf2 inhibits angiogenesis by downregulating VEGF expression through
PI3K/Akt signaling pathway in cerebral microvascular endothelial cells under
hypoxic conditions.
AB - Ischemic stroke is a major cerebrovascular disease resulting from a transient or
permanent local reduction of cerebral blood flow. Angiogenesis plays an important
role in cerebral microvascular repair after ischemic stroke. This study aimed at
investigating the effect of NF-E2-related factor 2 (Nrf2) on the angiogenesis of
mouse cerebral microvascular endothelial bEnd.3 cells in a hypoxic environment.
We found that Nrf2 expression was temporarily increased in hypoxia-induced bEnd.3
cells. Knockdown of Nrf2 inhibited the proliferation, migration, as well as tube
formation in hypoxia-induced bEnd.3 cells. Meanwhile, vascular endothelial growth
factor and PI3K/Akt signaling pathways were identified to be regulated by Nrf2 in
hypoxia-induced bEnd.3 cells. It was found that silencing of Nrf2 downregulated
the expression levels of NAD(P)H:quinine oxidoreductase-1, vascular endothelial
growth factor, p-Akt, and heme oxygenase-1 in hypoxia-induced bEnd.3 cells. Data
suggested that hypoxia induced the transient increase of Nrf2, which plays a key
role in the angiogenesis of cerebral microangiogenesis, and that Nrf2 regulates
the proliferation, migration, as well as tube formation likely through PI3K/Akt
signaling pathway in hypoxia-induced bEnd.3 cells. Our study provides proof of
concept for the modulation of Nrf2, so as to tilt the balance toward
angiogenesis, representing a therapeutic strategy for hypoxia or ischemia
disorders such as stroke.
PMID- 29373802
TI - Positive End-Expiratory Pressure Lower Than the ARDS Network Protocol Is
Associated with Higher Pediatric Acute Respiratory Distress Syndrome Mortality.
AB - RATIONALE: The ARDS Network (ARDSNet) used a positive end-expiratory pressure
(PEEP)/FiO2 model in many studies. In general, pediatric intensivists use less
PEEP and higher FiO2 than this model. OBJECTIVES: To evaluate whether children
managed with PEEP lower than recommended by the ARDSNet PEEP/FiO2 model had
higher mortality. METHODS: This was a multicenter, retrospective analysis of
patients with pediatric acute respiratory distress syndrome (PARDS) managed
without a formal PEEP/FiO2 protocol. Four distinct datasets were combined for
analysis. We extracted time-matched PEEP/FiO2 values, calculating the difference
between PEEP level and the ARDSNet-recommended PEEP level for a given FiO2. We
analyzed the median difference over the first 24 hours of PARDS diagnosis against
ICU mortality and adjusted for confounding variables, effect modifiers, or
factors that may have affected the propensity to use lower PEEP. MEASUREMENTS AND
MAIN RESULTS: Of the 1,134 patients with PARDS, 26.6% were managed with lower
PEEP relative to the amount of FiO2 recommended by the ARDSNet protocol. Patients
managed with lower PEEP experienced higher mortality than those who were managed
with PEEP levels in line with or higher than recommended by the protocol (P <
0.001). After adjustment for hypoxemia, inotropes, comorbidities, severity of
illness, ventilator settings, nitric oxide, and dataset, PEEP lower than
recommended by the protocol remained independently associated with higher
mortality (odds ratio, 2.05; 95% confidence interval, 1.32-3.17). Findings were
similar after propensity-based covariate adjustment (odds ratio, 2.00; 95%
confidence interval, 1.24-3.22). CONCLUSIONS: Patients with PARDS managed with
lower PEEP relative to FiO2 than recommended by the ARDSNet model had higher
mortality. Clinical trials targeting PEEP management in PARDS are needed.
PMID- 29373804
TI - Quantitative trait loci analysis of root traits under phosphorus deficiency at
the seedling stage in wheat.
AB - Deficiency of available phosphorus (P) in soil limits wheat production and
creates a need to develop P-deficiency-tolerant cultivars. Plant roots, important
organs for absorbing nutrients and synthesizing growth regulators, are good
candidates for P-efficiency screening. In this study, we evaluated five root
traits under hydroponic culture conditions either with (AP) or without (NP)
applied P in a recombinant inbred line population (H461/CM107) of Triticum
aestivum L. at the seedling stage. Four significant quantitative trait loci (QTL)
were detected, on chromosomes 1D, 2D, 3D, and 7D in NP-treated plants, explaining
up to 13.0%, 11.0%, 14.4%, and 12.8% of the phenotypic variance, respectively.
Among these QTL, Qrt.sicau-3D and Qrt.sicau-7D showed pleiotropic and additive
effects. All QTL were found to be novel. The diversity array technology markers
flanking the QTL were converted to simple sequence repeat markers that can be
deployed in future genetic studies of P deficiency. These QTL lead to an increase
in root biomass and respond to P-deficiency stress; these characteristics are
crucial to improve root traits for breeding or further investigation of the
gene(s) involved in P-deficiency tolerance.
PMID- 29373805
TI - Systematic Review and Meta-analysis of Outcomes After Hip Arthroscopy in
Femoroacetabular Impingement.
AB - BACKGROUND: Femoroacetabular impingement (FAI) has become a more commonly
recognized cause of limited hip range of motion and hip pain among young adults
and athletes. Hip arthroscopy is recommended for the surgical treatment of this
disorder, unless specific contraindications exist. Despite the increasing rate of
published studies in this area, there remains no aggregate data for outcomes
after this approach. PURPOSE: To evaluate risk factors and outcomes after
arthroscopic management of FAI, including return to play, revision rate, surgical
and nonsurgical complications, change in alpha-angle, intraoperative bone
resection, and patient-reported outcomes. STUDY DESIGN: Meta-analysis and
systematic review. METHODS: A review of the current literature was performed with
the terms "femoroacetabular impingement," "hip arthroscopy," and numerous
variations thereof in PubMed, EMBASE, BioMed Central, Cochrane, Science Direct,
and Scopus, yielding 1723 abstracts. After screening by eligibility criteria, 31
articles were included. The incidence of bilateral FAI was determined by
enrollment data, and pooled estimates were calculated for postoperative patient
reported outcome measures, alpha-angles, return to sport, postoperative
complications, and reoperation risk. RESULTS: A total of 1981 hips among 1911
patients were identified, with a mean +/- SD age of 29.9 +/- 1.9 years and 29.5
+/- 14.0 months of follow-up. The incidence of bilateral FAI in these studies
that required operative intervention was 3.6%. The pooled risk of reoperation
after hip arthroscopy, including revision surgery or subsequent total hip
arthroplasty, was 5.5% (95% CI, 3.6%-7.5%). The risk of clinical complications
was 1.7% (95% CI, 0.9%-2.5%). In total, 87.7% of patients demonstrated return to
sport after surgery (95% CI, 82.4%-92.9%, P < .001), and all patient-reported
outcomes improved postoperatively, with the highest increase observed in the Hip
Outcome Score sports scale (41.7 points; 95% CI, 34.1-49.4; P < .001). The alpha
angle decreased by an average of 23.6 degrees (95% CI, 18.2 degrees -29.0
degrees ; P < .001). We identified 11 factors associated with the success of hip
arthroscopy in FAI and 16 factors associated with failure or negative outcomes.
CONCLUSION: A high percentage of patients return to sport activities after hip
arthroscopy for FAI, with a low rate of complications and reoperation. All
patient-reported outcome measures, except for mental health, significantly
improved after surgery.
PMID- 29373806
TI - Intra-articular Injections of Platelet-Rich Plasma Releasate Reduce Pain and
Synovial Inflammation in a Mouse Model of Osteoarthritis.
AB - BACKGROUND: Osteoarthritis (OA) is a degenerative joint disease leading to pain
and disability for which no curative treatment exists. A promising biological
treatment for OA is intra-articular administration of platelet-rich plasma (PRP).
PRP injections in OA joints can relieve pain, although the exact working
mechanism is unclear. PURPOSE: To examine the effects of PRP releasate (PRPr) on
pain, cartilage damage, and synovial inflammation in a mouse OA model. STUDY
DESIGN: Controlled laboratory study. METHODS: OA was induced unilaterally in the
knees of male mice (n = 36) by 2 intra-articular injections of collagenase at
days -7 and -5. At day 0, pain was measured by registering weight distribution on
the hindlimbs, after which mice were randomly divided into 2 groups. Mice
received 3 intra-articular injections of PRP or saline in the affected knee.
Seven mice per group were euthanized at day 5 for assessment of early synovial
inflammation and cartilage damage. Pain in the remaining mice was registered for
a total of 3 weeks. These mice were euthanized at day 21 for assessment of
cartilage damage and synovial inflammation on histological evaluation. Antibodies
against iNOS, CD163, and CD206 were used to identify different subtypes of
macrophages in the synovial membrane. RESULTS: Mice in the PRPr group increased
the distribution of weight on the affected joint in 2 consecutive weeks after the
start of the treatment ( P < .05), whereas mice in the saline group did not. At
day 21, PRPr-injected knees had a thinner synovial membrane ( P < .05) and a
trend toward less cartilage damage in the lateral joint compartment ( P = .053)
than saline-injected knees. OA knees treated with saline showed less anti
inflammatory (CD206+ and CD163+) cells at day 5 than healthy knees, an
observation that was not made in the PRPr-treated group. A higher level of pain
at day 7 was associated with a thicker synovial membrane at day 21. The presence
of CD206+ cells was negatively associated with synovial membrane thickness.
CONCLUSION: In a murine OA model, multiple PRPr injections reduced pain and
synovial thickness, possibly through modulation of macrophage subtypes. CLINICAL
RELEVANCE: PRPr injections in early OA or shortly after joint trauma can reduce
pain and synovial inflammation and may inhibit OA development in patients.
PMID- 29373807
TI - Prostate ultrasound imaging: evaluation of a two-step scoring system in the
diagnosis of prostate cancer.
AB - RATIONALE AND OBJECTIVES: This study aims to investigate the feasibility and
performance of a two-step scoring system of ultrasound imaging in the diagnosis
of prostate cancer. MATERIAL AND METHODS: 75 patients with 888 consecutive
histopathologically verified lesions were included in this study. Step 1, an
initial 5-point scoring system was developed based on conventional transrectal
ultrasound (TRUS). Step 2, a final scoring system was evaluated according to
contrast-enhanced transrectal ultrasound (CE-TRUS). Each lesion was evaluated
using the two-step scoring system (step 1 + step 2) and compared with only using
conventional TRUS (step 1). RESULTS: 888 lesions were histologically verified:
315 of them were prostate cancer from 46 patients and 573 were benign prostatic
hypertrophy (BPH) from 29 patients. According to the two-step scoring system, 284
lesions were upgraded and 130 lesions were downgraded from step 1 to step 2 (this
means using step 2 to assess the results by step 1). However, 96 cases were
improperly upgraded after step 2 and 48 malignant lesions were still missed after
step 2 as score-1. For the two-step scoring system, the sensitivity, specificity,
and accuracy were 84.7%, 83.2%, and 83.7%, respectively, versus 22.8%, 96.6%, and
70.4%, respectively, for conventional TRUS. The area under the ROC curve (AUC)
for lesion diagnosis was 0.799-0.952 for the two-step scoring system, versus
0.479-0.712 for conventional TRUS. The difference in the diagnostic accuracy of
the two-step scoring system and conventional TRUS was statistically significant
(P<0.0001). CONCLUSION: The two-step scoring system was straightforward to use
and achieved a considerably accurate diagnostic performance for prostate cancer.
The application of the two-step scoring system for prostate cancer is promising.
PMID- 29373808
TI - Post-vitrectomy cataract acceleration in phakic eyes: a review.
AB - Vitrectomy is considered the standard therapy for the treatment of posterior
segment disease; however, after a significant improvement of the visual acuity,
there is a reduction in visual acuity due to cataract formation, which requires
further surgery. Nuclear sclerotic cataract (NSC) is the most common type of
cataract seen after vitrectomy. The pathogenesis of post-vitrectomy NSC is
unclear; several hypotheses have been formulated without any conclusions. In
order to correct post-vitrectomy NSC, phacoemulsification with intraocular lens
implantation is performed. This surgery itself has some complications, such as
longer surgical time and the increased risk of capsular rupture as compared to
phacoemulsification done alone. In this review, different hypotheses proposed by
different authors in their studies and possible measures that are known so far to
prevent post-vitrectomy NSC are discussed along with its treatments and their
complications. Moreover, we aim to describe the pathophysiology of the formation
of post-vitrectomy NSC.
PMID- 29373809
TI - Pressing diseases that represent promising targets for gene therapy.
AB - Over time, there has been a growing interest in the application of gene therapy
within the healthcare industry as demonstrated by the nearly 3,000 clinical
trials associated with gene therapy that are listed in clinicaltrials.gov.
However, there are various difficulties associated with gene therapy that have
limited the realization of licensed gene therapies to only a handful of
treatments. Furthermore, efforts to develop gene therapeutics have been narrowly
focused and most clinical trials have sought to develop treatments for cancer
(64.6%), monogenic diseases (10.5%), infectious diseases (7.4%), and
cardiovascular diseases (7.4%). In addition, nearly 70% of clinical trials have
utilized viral-based delivery systems, despite various concerns associated with
this strategy. Each of these factors highlights the lack of diversity in the
development of gene therapeutics that should be addressed. In recent years,
developments in gene manipulation and delivery such as CRISPR and non-viral
vectors (e.g., liposomes) demonstrate promise for improving outcomes for gene
therapy. The increased fidelity and capacity afforded by these technologies
provide the potential to improve upon contemporary gene therapy approaches and
enable the development of treatments for less-emphasized disorders. In this
review, we provide a summary of gene delivery technology and discuss various
developments in gene therapy technology. We conclude by proposing several genetic
conditions that represent promising targets for gene therapy given recent
developments in gene delivery and manipulation.
PMID- 29373811
TI - Long non-coding RNA CASC2 regulates Sprouty2 via functioning as a competing
endogenous RNA for miR-183 to modulate the sensitivity of prostate cancer cells
to docetaxel.
AB - Prostate cancer (PC) is the most common cancer in men; however, limited effect is
obtained due to the therapy resistance. CASC2 acts as a tumor suppressor in human
malignancies serving as a ceRNA for miRNAs; Sprouty2 (SPRY2), a key antagonist of
RTK signalling, also serves as a tumor suppressor. Herein, CASC2 and SPRY2
expression was down-regulated in PC tissues and cell lines; the overexpression of
CASC2 and SPRY2 could suppress PC cell proliferation, promote PC cell apoptosis,
and enhance the sensitivity of PC cells to docetaxel. CASC2 positively regulated
SPRY2 expression and inhibited downstream extracellular regulated protein kinases
(ERK) signaling activation through SPRY2. By using online tools, miR-183 might be
a direct target of CASC2, and might simultaneously bind to the 3'UTR of SPRY2.
The direct binding between CASC2, miR-183 and SPRY2 was then validated; miR-183
inhibition enhanced the cytotoxicity of docetaxel on PC cells, which could be
partially attenuated by SPRY2 knockdown. In summary, CASC2 competes with SPRY2
for miR-183 binding to rescue the expression of SPRY2 in PC cells, thus enhancing
the sensitivity of PC cells to docetaxel through SPRY2 downstream ERK signaling
pathway; CASC2 and SPRY2 might be novel adjuvants for docetaxel-based
chemotherapy for PC.
PMID- 29373812
TI - Journey of sperms from production by males to storage by queens in Crematogaster
osakensis (Hymenoptera: Formicidae).
AB - Ants show a unique reproductive system among insects. Males finish sperm
production, and their testes degenerate at a young stage. After copulation,
spermatozoa are transferred into the queens, who store the received sperm cells
throughout their long lifespan without additional mating. In the present study,
we investigated the reproductive biology of Crematogaster osakensis from male
sexual maturation to sperm transfer, and sperm storage in queens. The sperm
production was completed by eclosion and all produced spermatozoa had migrated to
the seminal vesicle and the testes shrank until 10 days after eclosion. Sperm
were not connected with bundles in mature males. The sperm cells were immobilized
in the seminal vesicle. The sperm cells with the spermatophore were ejaculated
into the bursa copulatrix and remained immotile during transfer from the bursa
copulatrix to the spermathecal reservoir via the spermathecal duct. These
findings provide significant insights into the importance of sperm
immobilization, which prevents sperm damage and/or production of reactive oxygen
species rather than swimming faster competed with rival male spermatozoa to reach
into the sperm storage site, even in the polyandrous species, C. osakensis.
Immobilization was also observed in the spermathecal reservoir 5 years after
mating. This observation suggests that sperm immobilization is one of the
important factors for successful long-term sperm storage and maintaining low
levels of sperm metabolism.
PMID- 29373810
TI - Long-term T cell responses in the brain after an ischemic stroke.
AB - Stroke, which occurs during a loss of blood flow to the brain, is a global
disease that accounts for 10% of yearly mortality. But stroke is also a leading
cause of long-term adult disability, with recovery continuing for months to years
after initial stroke onset. This long-term functional recovery from stroke
encompasses changes in neuronal structure and function, and occurs throughout the
post-stroke brain. Much less understood is whether the adaptive immune cells that
infiltrated the brain during acute post-stroke neuroinflammation remain long
term, and if their presence supports or hinders functional recovery. Studies show
that T cell subsets and their derived cytokines exhibit diverse protective and
detrimental effects in the immediate acute phase following stroke. Interestingly,
T cells are also important in regulating physiological behavior, which hints at a
potential role in functional recovery after stroke. Moreover, T cell egress into
the post-stroke brain might actually peak weeks after stroke onset, suggesting a
long-term role for the adaptive immune system in the injured CNS. However, the
significance of T cells in the long-term functional and behavioral recovery and
repair phase of stroke remains largely unexplored. We summarize here recent work
in delineating the beneficial and detrimental effects of T cells after a stroke,
including antigen-specific and non-specific effects of T cells in the post-stroke
recovery phase. We also highlight the role of T cells in other CNS diseases that
may suggest mechanisms for future study of these adaptive immune cells in the
ischemic brain.
PMID- 29373813
TI - Functional regulation of large conductance Ca2+-activated K+ channels in vascular
diseases.
AB - The large conductance Ca2+-activated potassium channels, the BK channels, is
widely expressed in various tissues and activated in a Ca2+- and voltage
dependent manner. The activation of BK channels hyperpolarizes vascular smooth
muscle cell membrane potential, resulting in vasodilation. Under
pathophysiological conditions, such as diabetes mellitus and hypertension,
impaired BK channel function exacerbates vascular vasodilation and leads to organ
ischemia. The vascular BK channel is composed of 4 pore-forming subunits, BK
alpha together with 4 auxiliary subunits: beta1 subunits (BK-beta1) or gamma1
subunits (BK-gamma1). Recent studies have shown that down-regulation of the BK
beta1 subunit in diabetes mellitus induced vascular dysfunction; however, the
molecular mechanism of these vascular diseases is not well understood. In this
review, we summarize the potential mechanisms regarding BK channelopathy and the
potential therapeutic targets of BK channels for vascular diseases.
PMID- 29373814
TI - Behavioral and endocrine responses to season and social dynamics of captive male
southern hairy-nosed wombats (Lasiorhinus latifrons).
AB - Although southern hairy-nosed wombats (SHN wombats; Lasiorhinus latifrons) rarely
breed in captivity, further knowledge of their reproductive physiology and
behavior is likely to improve their breeding potential. This study examined the
effect of seasonal variation and changes in social dynamics on the physiology and
behavior of a captive population of male SHN wombats (n = 6). Seasonal changes in
urinary testosterone metabolites (UTM), urinary cortisol metabolites (UCM),
qualitative estimates of spermatorrhoea (QS), aggressive behavior and
reproductive behavior were measured over an 11-month period. While there was no
effect of month on QS (GLM ANOVA, P = 0.27), reproductive behavior (GLM ANOVA, P
= 0.19) or aggressive behavior (Tukey pairwise comparisons), the secretion of UTM
(GLM ANOVA, P = 0.051) was only marginally affected by season, compared to that
reported for wild male SHN wombats. Mean UCM concentrations of July and August
2016 were significantly higher than those between October 2015 and January 2016
(Tukey pairwise comparisons). To examine social dynamics, two trials of animal
positioning exchange with the enclosure system were implemented and behavioral
data were examined for each trial over a six week period; UTM, UCM and general
behaviors (n = 27) were measured for each trial. Neither UTM nor UCM
concentration varied significantly (P >= 0.45) before and after the exchanges.
"Scratching" decreased at the group level following the animal exchange in both
trials, suggesting reduction in self-grooming may be a behavioral response to
novel stimuli. UCM and UTM concentrations were both positively correlated with
"standing still" and "body rub" behaviors. This may be evidence of a hormonal
control of a "freezing behavioral response" to external stimuli and marking
behavior, respectively. As there was no evidence that changing the social
dynamics affected reproductive or agonistic behavior or hormone concentrations,
it was concluded that captive male wombats in this study showed reduced
reproductive seasonality compared to wild wombats and that animal exchange
resulted in a behavioral response to novel stimuli but was not sufficient to
affect testosterone or cortisol secretion, within the context of our study.
PMID- 29373815
TI - Sphingosine-1-phosphate (S1P) activates STAT3 to protect against de novo acute
heart failure (AHF).
AB - AIMS: Acute heart failure (AHF) is a burden disease, with high mortality and re
hospitalisations. Using an ex-vivo model of AHF, we have previously reported that
sphingosine-1-phosphate (S1P) confers cardioprotection. However, the mechanisms
remain to be elucidated. In the present study, we aimed to examine the role of
the cardioprotective signal transducer and activator of transcription 3 (STAT3)
in S1P mediated improved functional recovery in AHF. MATERIAL AND METHODS:
Isolated hearts from male Long-Evans rats were subjected to hypotensive AHF for
35 min followed by a recovery phase of 30 min (n >= 4/group). S1P (10 nM) was
given during either the hypotensive or the recovery phase with/without an
inhibitor of STAT3, AG490. Functional parameters were recorded throughout the
experiment. KEY FINDINGS: Following an AHF insult, S1P, given during the recovery
phase, improved the heart rate (HR) compared to the control (175.2 +/- 30.7 vs.
71.6 +/- 27.4 beats per minute (BPM); p < 0.05), with no changes in the left
ventricular developed pressure. This effect was associated with an increase in
phosphorylated STAT3 levels in the nucleus. Addition of AG490 with S1P abolished
the cardioprotective effect of S1P (42.3 +/- 17.1 vs. 148.8 +/- 26.4 BPM for S1P;
p < 0.05). SIGNIFICANCE: Our data suggest that S1P protects in an ex-vivo rat
heart model of AHF by activation of STAT3 and provide further evidence for the
usage of S1P as a potential therapy in patients suffering from AHF.
PMID- 29373816
TI - Extracellular vesicle therapeutics for liver disease.
AB - Extracellular vesicles (EVs) are endogenous nanoparticles that play important
roles in intercellular communication. Unmodified and engineered EVs can be
utilized for therapeutic purposes. For instance, mesenchymal stem cell (MSC)
derived EVs have shown promise for tissue repair, while drug-loaded EVs have the
potential to be used for cancer treatment. The liver is an ideal target for EV
therapy due to the intrinsic regenerative capacity of hepatic tissue and the
tropism of systemically injected nanovesicles for this organ. This review will
give an overview of the potential of EV therapeutics in liver disease.
Specifically, the mechanisms by which MSC-EVs induce liver repair will be
covered. Moreover, the use of drug-loaded EVs for the treatment of hepatocellular
carcinoma will also be discussed. Although there are several challenges
associated with the clinical translation of EVs, these biological nanoparticles
represent a promising new therapeutic modality for liver disease.
PMID- 29373817
TI - trans-Resveratrol ameliorates anxiety-like behaviors and fear memory deficits in
a rat model of post-traumatic stress disorder.
AB - trans-Resveratrol, a natural polyphenol enriched in grape seed and skin, has been
extensively investigated for its antioxidant, anti-inflammatory and anti
psychiatric properties. The present study examined the effects of trans
resveratrol on ameliorating anxiety-like behaviors and fear memory deficits
induced by time-dependent sensitization (TDS) procedure, which is a classical
animal model for mimicking posttraumatic stress disorder (PTSD). The results
suggested that trans-resveratrol at doses of 10, 20 and 40 mg/kg (via gavage,
i.g.) reversed TDS-induced decreases in the percentage of time spent in the
center of arena, the open arm entries and time spent in the open arms in the open
field and elevated plus maze tests. It also decreased the percentage of freezing
time in the contextual fear paradigm that was increased in TDS treated rats.
Further study suggested that TDS-induced abnormality in the limbic hypothalamus
pituitary-adrenal gland (L-HPA) axis was reversed by trans-resveratrol, i.e. it
reversed increased adrenal gland index and corticotropin-releasing factor (CRF)
levels, and rescued the differential expression of glucocorticoid receptor (GR)
in the hypothalamus, hippocampus and amygdala. Neurobiological studies suggested
that trans-resveratrol increased phosphorylation of cAMP response element binding
protein (pCREB) and brain derived neurotrophic factor (BDNF) levels, which were
decreased in rats subjected to TDS. These results provide compelling evidence
that trans-resveratrol protects neurons against PTSD-like stress insults by
regulation of L-HPA axis function and activation of downstream neuroprotective
molecules, such as pCREB and BDNF expression.
PMID- 29373818
TI - A novel fibrinolytic serine metalloprotease from the marine Serratia marcescens
subsp. sakuensis: Purification and characterization.
AB - This study demonstrates the purification and characterization of a fibrinolytic
serine metalloprotease from the marine Serratia marcescens subsp. sakuensis
(KU296189.1). The purified enzyme (1033 U/mg) had a molecular weight of 43 KDa,
with optimum pH and temperature being 7 and 55 degrees C. The in vitro half-life
of the fibrinolytic enzyme at 37 degrees C was found to be 19 h. The kinetic
constants, Km and Vmax of the purified enzyme determined using fibrin as
substrate was 0.66 mg/mL and 158.73 U/mL. The Kcat and catalytic efficiency of
the enzyme was found to be 12.21 min-1 and 18.32 mL/(mg min) respectively. The
fibrinolytic enzyme did not show any proteolytic activity towards blood plasma
proteins like haemoglobin, gamma-globulins and transferrin. In vitro studies
revealed that the fibrinolytic enzyme displayed 38% clot lysis for a period of 3
h which was higher than that displayed by streptokinase and heparin. A total of
seven peptide sequences were obtained after the LC-MS/MS-TOF analysis, out of
which only four sequences showed 67% homology with the sequences of the other
proteases. All these results suggest its novelty and potential application in
thrombolytic therapy.
PMID- 29373819
TI - Beliefs about FDA tobacco regulation, modifiability of cancer risk, and tobacco
product comparative harm perceptions: Findings from the HINTS-FDA 2015.
AB - Smokers who inaccurately believe that FDA evaluates cigarettes for safety hold
lower harm perceptions of cigarettes compared to those who do not hold this
belief. However, not much is known about associations between beliefs about FDA
tobacco regulatory authority and comparative harm perceptions of tobacco
products. Data were analyzed from the Health Information National Trends Survey,
HINTS-FDA 2015 (N = 3738), which is a cross-sectional, probability-based,
nationally representative survey of U.S. non-institutionalized civilian adults
aged 18 years or older. Weighted multinomial and logistic regression analyses
regressed comparative harm perceptions on sociodemographic factors, beliefs about
FDA regulatory authority, perceptions of FDA credibility, and beliefs about
modifiability of cancer risk (behavioral cancer causal beliefs and cancer
fatalism). Findings indicate that, compared to non-users, current tobacco users
are more likely to report believing that e-cigarettes are less harmful than
cigarettes, to report believing that some cigarette types may be less harmful
than others, and to report believing that tobacco products are safer now than
they were five years ago. Awareness of FDA regulatory authority was associated
with reporting the belief that tobacco products are safer now than five years
ago, that e-cigarettes are less harmful than cigarettes, and that some cigarette
types are less harmful than other cigarette types. Believing behavior as a cause
of cancer and endorsing cancer fatalism were associated with uncertainty of
comparative harm perceptions. Communication efforts can help target inaccurate
beliefs by raising awareness about regulation of tobacco products as well as the
risks of tobacco products.
PMID- 29373820
TI - Molecular detection of Leishmania (Leishmania) infantum in phlebotomine sandflies
from a visceral leishmaniasis endemic area in northwestern of Sao Paulo State,
Brazil.
AB - This study identified the natural infection rate of Leishmania (Leishmania)
infantum in Lutzomyia longipalpis sandflies collected in a neighborhood around a
kennel, in Dracena, northwestern of Sao Paulo state. This region is highly
endemic for visceral leishmaniasis in Brazil. Insects were captured during 2-3
nights monthly for 11 months (January-November 2012) using 10 automatic light
traps around a kennel in a transition between periurban and urban neighborhood.
Capture aimed the determination of the minimal infection rate (MIR) on the area.
A total of 1690 Lu. longipalpis were captured during the studied period. Out of
them, 292 (17.25%) were females and were grouped in 165 pools containing 1 to
five insects for DNA extraction and PCR analysis. Positive results for L. (L)
infantum in conventional PCR and real time PCR were shown in 7.28% (12/165) and
4.85% (8/165) of the analysis respectively. These data confirm that Lu.
longipalpis captured in the study area were infected by L. (L.) infantum. The MIR
of sandflies during the 11 months of captures was 4.10% for female the total of
292 female sandflies collected. A high DNA concentration of L. (L.) infantum was
detected on sandflies especially in kennel, chicken coop and neighboring houses,
where higher abundance of hosts for blood source were present.
PMID- 29373821
TI - Pharyngeal Airway Space Changes After Condylar Replacement and Mandibular
Advancement Surgery.
AB - PURPOSE: The aim of this study was to examine the total volume and cross
sectional areas of the pharyngeal airway after bilateral condylar replacement and
mandibular advancement surgery. MATERIALS AND METHODS: A total of 137 patients
(126 women and 11 men) underwent bilateral temporomandibular joint total joint
replacement performed by 1 surgeon. A subsample of 30 patients who underwent
condylar replacement and only mandibular advancement were evaluated for impact on
the airway. Measurements were taken preoperatively, postoperatively, and at a
follow-up 1 year after surgery on cone beam computed tomography scans.
InVivoDental 3-dimensional imaging (Anatomage, San Jose, CA) was used to measure
airway space regarding total volume (in cubic centimeters); minimum cross
sectional area (in square millimeters); minimum cross sections of the first,
second, and third cervical vertebrae; and whether the patient had mandibular
retrognathia before surgery. A second operator was used to test for interoperator
error. Descriptive and bivariate statistics were computed, and the P value was
set at .05. RESULTS: There was a significant increase in all measurements at the
follow-up visit compared with the preoperative visit. There were no significant
differences between groups based on simultaneous Le Fort I surgery, mandibular
retrognathia, and gender. However, there were statistically significant
differences in cross sections 1 and 2, as well as minimum cross-sectional area,
regarding age. Condylar replacement and mandibular advancement have a significant
association with an increase in airway space. The intraclass correlation
coefficient showed excellent agreement between interoperator measurements.
CONCLUSIONS: Patients undergoing bilateral temporomandibular joint replacement
and mandibular advancement surgery showed an increase in pharyngeal airway space
at a 1-year follow-up. In this study, age was significantly associated with the
cross-sectional areas of the airway, with older patients having smaller values.
PMID- 29373822
TI - Electrophysiological individual face adaptation effects with fast periodic visual
stimulation resist long interruptions in adaptation.
AB - This study used fast periodic visual stimulation (FPVS) and
electroencephalography (EEG) to investigate whether the reduction of face
adaptation effects over time is due to the introduction of a novel stimulus. In
adapting sequences, one individual face was presented at a rate of 6 Hz over 60
s. In testing sequences this adapted face was alternated with a novel individual
face at the same rate for 20 s, so that face identity was repeated at a frequency
of 3 Hz (i.e. 6 Hz/2). Testing sequences started immediately or 9-15 s after
adapting sequences. Identity adaptation produced a selective response at 3 Hz
over the right occipito-temporal cortex both with and without delay after
adapting sequences. These results suggest that the adaptation effect persists for
several seconds, and that the decay of the adaptation effect is due to the
introduction of a novel face stimulus.
PMID- 29373823
TI - Angiography Before Posterior Mediastinal Tumor Resection: Selection Criteria and
Patient Outcomes.
AB - BACKGROUND: Resection of posterior mediastinal tumors may be complicated by
bleeding or neurologic injury. Preoperative spinal angiography of mediastinal
tumors has been underreported or not commonly practiced. This study evaluated the
selection criteria and outcomes of patients with posterior mediastinal tumors who
underwent preoperative angiography and embolization. METHODS: This was a single
institution retrospective study of patients with posterior mediastinal tumors
from 2002 to 2016. Multilevel spinal angiography was performed, with or without
selective arterial embolization of vascular supply, in patients selected by
thoracic or neurologic surgeons. RESULTS: Ten of 87 patients (11%) with posterior
mediastinal tumors underwent preoperative angiography. A mean of 11 arteries
(range, 2 to 25) were studied. Embolization in 7 of 10 patients successfully
occluded 1 to 3 arteries. There was no significant difference in age, sex, body
mass index, American Society of Anesthesiologists Physical Status Classification,
operative time, operative blood loss, complications, or death between patients
with or without angiography. Patients who underwent angiography had larger tumors
(1,490 vs 97 cm3, p < 0.0001), involvement of the neuroforamen (44% vs 10%, p <
0.05), and a longer hospital stay (9 vs 4.5 days, p < 0.05). Angiography was
complicated in 1 patient by vocal cord ulceration after intubation of a tumor
compressed trachea. Shared blood supply between the tumor and the spinal cord
precluded embolization and tumor resection in 1 patient. Use of angiography
increased over time. CONCLUSIONS: Selective preoperative angiography for
evaluation of posterior mediastinal tumors identifies arterial variations,
threatened spinal arteries, and targets for embolization. The specific role of
angiography and embolization requires further investigation to standardize
indications and protocols for the number of arteries examined.
PMID- 29373824
TI - Amplatzer Device Embolization to the Aortic Arch Discovered Two Years After
Implantation.
PMID- 29373825
TI - Postoperative Complications Drive Unplanned Readmissions After Esophagectomy for
Cancer.
AB - BACKGROUND: Hospital readmissions are increasingly viewed as a marker of inferior
health care quality and penalized with decreased reimbursement. The timing of,
and reasons for, readmissions after esophagectomy for cancer are not well
understood. We examined the association of complications to 30-day postoperative
related, unplanned readmission to identify opportunities for improvement in
patient care. METHODS: We analyzed the American College of Surgeons National
Surgical Quality Improvement Program database (2012 to 2015) to characterize 30
day postoperative unplanned readmissions after esophagectomy for cancer using
descriptive statistics. Type and timing of readmission after discharge was
assessed. A Cox proportional hazards model was developed to identify predictors
of readmission. RESULTS: Of 3,723 patients who underwent esophagectomy for
cancer, 1,419 (38.1%) experienced >=1 complication within 30 days. A total of 400
patients (10.7%) experienced related, unplanned readmissions within 30 days of
the operation, and postoperative complications were documented in 263 (65.8%).
Leading causes of readmission were infectious, pulmonary, and gastrointestinal
complications. Of these patients, 155 (59%) were readmitted within 7 days and 236
(90%) within 14 days of discharge. The Cox proportional hazards model identified
readmission being associated with occurrence of postdischarge infectious,
pulmonary, venous thromboembolic, and urinary tract infection complications, in
hospital urinary tract infection complications, and log-transformation of length
of stay (representing increasing length of stay) (all p < 0.05). CONCLUSIONS:
Postoperative occurrence of common complications and prolonged length of stay are
associated with unplanned readmission after esophagectomy. Most patients are
readmitted within 1 week of discharge. Earlier follow-up after discharge may
identify patients with complications and facilitate outpatient intervention to
prevent readmission.
PMID- 29373826
TI - An Element of Life.
AB - While it has been known for decades that the essential function of selenium was
in the form of its incorporation as selenocysteine into selenoproteins-including
the enzyme glutathione peroxidase-4-now, Ingold et al. (2018) reveal the precise
role of selenolate-based catalysis by this enzyme.
PMID- 29373827
TI - Meiotic Recombination: Genetics' Good Old Scalpel.
AB - In the era of genome engineering, a new study returns to classical genetics to
decipher genotype-phenotype relationships in unprecedented throughput and with
unprecedented accuracy. Capitalizing on natural variation in yeast strains and
frequent meiotic recombination, She and Jarosz (2018) dissect and map to
nucleotide resolution, simple and complex determinants of diverse phenotypic
traits.
PMID- 29373830
TI - Targeting KRAS Mutant Cancers with a Covalent G12C-Specific Inhibitor.
AB - KRASG12C was recently identified to be potentially druggable by allele-specific
covalent targeting of Cys-12 in vicinity to an inducible allosteric switch II
pocket (S-IIP). Success of this approach requires active cycling of KRASG12C
between its active-GTP and inactive-GDP conformations as accessibility of the S
IIP is restricted only to the GDP-bound state. This strategy proved feasible for
inhibiting mutant KRAS in vitro; however, it is uncertain whether this approach
would translate to in vivo. Here, we describe structure-based design and
identification of ARS-1620, a covalent compound with high potency and selectivity
for KRASG12C. ARS-1620 achieves rapid and sustained in vivo target occupancy to
induce tumor regression. We use ARS-1620 to dissect oncogenic KRAS dependency and
demonstrate that monolayer culture formats significantly underestimate KRAS
dependency in vivo. This study provides in vivo evidence that mutant KRAS can be
selectively targeted and reveals ARS-1620 as representing a new generation of
KRASG12C-specific inhibitors with promising therapeutic potential.
PMID- 29373829
TI - Mapping Causal Variants with Single-Nucleotide Resolution Reveals Biochemical
Drivers of Phenotypic Change.
AB - Understanding the sequence determinants that give rise to diversity among
individuals and species is the central challenge of genetics. However, despite
ever greater numbers of sequenced genomes, most genome-wide association studies
cannot distinguish causal variants from linked passenger mutations spanning many
genes. We report that this inherent challenge can be overcome in model organisms.
By pushing the advantages of inbred crossing to its practical limit in
Saccharomyces cerevisiae, we improved the statistical resolution of linkage
analysis to single nucleotides. This "super-resolution" approach allowed us to
map 370 causal variants across 26 quantitative traits. Missense, synonymous, and
cis-regulatory mutations collectively gave rise to phenotypic diversity,
providing mechanistic insight into the basis of evolutionary divergence. Our data
also systematically unmasked complex genetic architectures, revealing that
multiple closely linked driver mutations frequently act on the same quantitative
trait. Single-nucleotide mapping thus complements traditional deletion and
overexpression screening paradigms and opens new frontiers in quantitative
genetics.
PMID- 29373828
TI - Functional Classification and Experimental Dissection of Long Noncoding RNAs.
AB - Over the last decade, it has been increasingly demonstrated that the genomes of
many species are pervasively transcribed, resulting in the production of numerous
long noncoding RNAs (lncRNAs). At the same time, it is now appreciated that many
types of DNA regulatory elements, such as enhancers and promoters, regularly
initiate bi-directional transcription. Thus, discerning functional noncoding
transcripts from a vast transcriptome is a paramount priority, and challenge, for
the lncRNA field. In this review, we aim to provide a conceptual and experimental
framework for classifying and elucidating lncRNA function. We categorize lncRNA
loci into those that regulate gene expression in cis versus those that perform
functions in trans and propose an experimental approach to dissect lncRNA
activity based on these classifications. These strategies to further understand
lncRNAs promise to reveal new and unanticipated biology with great potential to
advance our understanding of normal physiology and disease.
PMID- 29373832
TI - Nudt21 Controls Cell Fate by Connecting Alternative Polyadenylation to Chromatin
Signaling.
PMID- 29373831
TI - Context-Dependent and Disease-Specific Diversity in Protein Interactions within
Stress Granules.
AB - Stress granules (SGs) are transient ribonucleoprotein (RNP) aggregates that form
during cellular stress and are increasingly implicated in human
neurodegeneration. To study the proteome and compositional diversity of SGs in
different cell types and in the context of neurodegeneration-linked mutations, we
used ascorbate peroxidase (APEX) proximity labeling, mass spectrometry, and
immunofluorescence to identify ~150 previously unknown human SG components. A
highly integrated, pre-existing SG protein interaction network in unstressed
cells facilitates rapid coalescence into larger SGs. Approximately 20% of SG
diversity is stress or cell-type dependent, with neuronal SGs displaying a
particularly complex repertoire of proteins enriched in chaperones and autophagy
factors. Strengthening the link between SGs and neurodegeneration, we demonstrate
aberrant dynamics, composition, and subcellular distribution of SGs in cells from
amyotrophic lateral sclerosis (ALS) patients. Using three Drosophila ALS/FTD
models, we identify SG-associated modifiers of neurotoxicity in vivo. Altogether,
our results highlight SG proteins as central to understanding and ultimately
targeting neurodegeneration.
PMID- 29373833
TI - SnapShot: O-Glycosylation Pathways across Kingdoms.
AB - O-glycosylation is one of the most abundant and diverse types of post
translational modifications of proteins. O-glycans modulate the structure,
stability, and function of proteins and serve generalized as well as highly
specific roles in most biological processes. This ShapShot presents types of O
glycans found in different organisms and their principle biosynthetic pathways.
To view this SnapShot, open or download the PDF.
PMID- 29373834
TI - New zwitterionic monoterpene indole alkaloids from Uncaria rhynchophylla.
AB - Four new zwitterionic monoterpene indole alkaloids, rhynchophyllioniums A-D (1
4), together with eight known alkaloids (5-12), were isolated from the hook
bearing stems of Uncaria rhynchophylla. Their structures were elucidated by
extensive spectroscopic data analysis of MS, 1D and 2D NMR, and ECD, and the
zwitterionic forms and absolute configurations of 1 and 2 were unambiguously
confirmed by single crystal X-ray diffraction analysis. All the isolates,
including the monoterpene indole alkaloids with free C-22 carboxyl group and
those with C-22 carboxyl methyl ester, were proved to be naturally coexisting in
the herb by LC-MS analysis. This is the first report of monoterpene indole
alkaloids that exist in the form of zwitterion. Additionally, the cytotoxic
activities of all isolates against A549, HepG2, and MCF-7 cell lines are
reported.
PMID- 29373835
TI - The in vitro detection of botulinum neurotoxin-cleaved endogenous VAMP is epitope
dependent.
AB - The in vitro potency of botulinum neurotoxin (BoNT) serotypes is often measured
by monitoring cleavage of their soluble N-ethylmaleimide-sensitive factor
attachment protein receptor (SNARE) protein substrates. A frequently used method
is Western blot, whereby the full-length protein and cleaved form migrate at
different molecular weights. Until now, it has been extremely difficult to detect
the cleaved cellular form of the SNARE protein vesicle associated membrane
protein 1, 2 or 3 (VAMP1, 2 or 3) by Western blot. These VAMP isoforms are the
substrates of BoNT serotypes BoNT/B, D, F and G as well as tetanus neurotoxin.
Using custom made anti-VAMP antibodies against epitopes either side of the
cleavage sites for BoNT/B, BoNT/D and BoNT/F, we have successfully detected the
cleaved C-terminal VAMP fragment in cortical neurons. These new antibodies enable
quantitative assessment of the potency of VAMP-cleaving neurotoxins by a gain of
signal Western blot assay.
PMID- 29373836
TI - Patients' perceived needs of health care providers for low back pain management:
a systematic scoping review.
AB - BACKGROUND CONTEXT: Optimal management of low back pain (LBP) involves patients'
active participation in care, facilitated by positive interactions with their
health care provider(s) (HCP). An understanding of patients' perceived needs
regarding their HCP is, therefore, necessary to achieve such outcomes. Therefore,
the aim of the present study is to review the existing literature regarding
patients' perceived needs of HCP managing LBP. METHODS: A systematic scoping
review of publications in MEDLINE, EMBASE, CINAHL, and PsycINFO (1990-2016) was
performed. Descriptive data regarding study design and methodology were
extracted, and risk of bias was assessed. Aggregates of patients' perceived needs
of HCP for LBP were categorized. RESULTS: Forty-three studies (30 qualitative, 12
quantitative, and 1 mixed methods) from 1,829 were relevant. Four areas of
perceived need emerged: (1) there are several characteristics of HCP that
patients desire, such as good communication and shared decision-making; (2)
patients wanted HCP to provide information, including a cause of their LBP and
legitimization of their symptoms; (3) patients' valued holistic, individualized
care, and continuity of care; and (4) patients perceived long waiting times,
difficulties with access to treatment, cost, and personal effort to be obstacles
to care. CONCLUSIONS: Patients with LBP want patient-centered care, to be
actively involved, and they have identified characteristics of HCP that foster a
good provider-patient relationship. They noted areas of dissatisfaction with HCP
and perceived obstacles to care. Given limited health care resources, HCP and
policy makers need to implement novel methods of health care delivery that
address these issues to facilitate improved patient satisfaction and achieve
better patient and health system outcomes.
PMID- 29373837
TI - Evaluation of five automated and one manual method for Toxoplasma and human DNA
extraction from artificially spiked amniotic fluid.
AB - OBJECTIVES: Molecular detection of Toxoplasma gondii plays a crucial role in the
prenatal and neonatal diagnosis of congenital toxoplasmosis (CT). Sensitivity of
this diagnosis is partly related to the efficiency of parasite DNA extraction and
amplification. DNA extraction methods with automated platforms have been
developed. Therefore, it is essential to evaluate them in combination with
adequate PCR amplification assays. METHODS: In this multisite study, we
investigated the suitability of two recent automated procedures for the isolation
of Toxoplasma DNA from amniotic fluid (AF) (Magtration system 12GC, PSS and
Freedom EVO VacS, Tecan), compared with three other automated procedures
(MagNAPure Compact, Roche, BioRobot EZ1, Qiagen and modified NucliSens easyMAG,
bioMerieux) and with the manual DNA extraction QIAamp DNA Mini kit (Qiagen). Two
Toxoplasma PCR assays targeting the '529-bp' repeat DNA element were used, based
upon dual hybridization (FRET) or hydrolysis (TaqMan) probes. A total of 1296
PCRs were performed including 972 Toxoplasma PCRs. RESULTS: We showed variable
efficacy (4.2%-100% positive results) among the DNA extraction procedures in
isolating up to five T. gondii cells/mL in AF samples. Moreover, for a given DNA
extraction method, variable results were obtained among the two Toxoplasma PCR
assays for detecting up to five T. gondii cells/mL: when using TaqMan PCR, all
the automated systems yielded more than 60% positive results. Nevertheless, when
testing the DNA extracts in triplicate, four out of six extraction methods
allowed a satisfactory detection of low amounts of T. gondii DNA (>=33% of
positive results) independently of the PCR assay used. CONCLUSIONS: Despite the
influence of the subsequent PCR method used, this study should help
microbiologists in the choice of DNA extraction methods for the detection of T.
gondii in amniotic fluid. The extraction method should be checked as adequate for
the PCR assay used.
PMID- 29373838
TI - Quantifying multiple sclerosis pathology in post mortem spinal cord using MRI.
AB - Multiple sclerosis (MS) is a common inflammatory, demyelinating and degenerative
disease of the central nervous system. The majority of people with MS present
with symptoms due to spinal cord damage, and in more advanced MS a clinical
syndrome resembling that of progressive myelopathy is not uncommon. Significant
efforts have been undertaken to predict MS-related disability based on short-term
observations, for example, the spinal cord cross-sectional area measured using
MRI. The histo-pathological correlates of spinal cord MRI changes in MS are
incompletely understood, however a surge of interest in tissue microstructure has
recently led to new approaches to improve the precision with which MRI indices
relate to underlying tissue features, such as myelin content, neurite density and
orientation, among others. Quantitative MRI techniques including T1 and T2,
magnetisation transfer (MT) and a number of diffusion-derived indices have all
been successfully applied to post mortem MS spinal cord. Combining advanced
quantification of histological features with quantitative - particularly
diffusion-based - MRI techniques provide a new platform for high-quality
MR/pathology data generation. To more accurately quantify grey matter pathology
in the MS spinal cord, a key driver of physical disability in advanced MS,
remains an important challenge of microstructural imaging.
PMID- 29373840
TI - Icariin modulates mitochondrial function and apoptosis in high glucose-induced
glomerular podocytes through G protein-coupled estrogen receptors.
AB - Podocyte apoptosis in glomerular lesions has been found to have a dominant role
in the progression of diabetic nephropathy. The present research aimed to explore
the beneficial effect of icariin on diabetic podocytes by interfering in the
process of apoptosis. Podocyte apoptosis was significantly exacerbated after high
glucose treatment, with the level of reactive oxygen species (ROS) increasing
simultaneously. Here, we demonstrated that icariin, which is a G protein-coupled
estrogen receptor 1 (GPER) agonist, inhibited podocyte apoptosis by reducing ROS,
maintaining the integrity of mitochondrial membranes. Moreover, the stabilization
of mitochondria by icariin was reversed when GPER was knocked down in podocytes.
Meanwhile, icariin inhibited the caspase cascade in podocyte apoptosis by
promoting Bcl-2 expression and mitochondrial translocation. The above findings at
least partly elucidated the mechanism by which icariin stabilized podocytes by
inducing the mitochondrial Bcl-2 translocation and therefore preventing
downstream apoptosis.
PMID- 29373839
TI - Nogo-B receptor increases the resistance of estrogen receptor positive breast
cancer to paclitaxel.
AB - Intrinsic or acquired chemoresistance is a hurdle in oncology. Only 7%-16% of
estrogen receptor alpha (ERalpha) positive breast cancer cases achieve a
pathological complete response (pCR) after neo-adjuvant chemotherapy. Nogo-B
receptor (NgBR) is a cell surface receptor that binds farnesylated Ras and
promotes Ras translocation to the plasma membrane. Here, we demonstrate NgBR as a
potential therapeutic target for ERalpha positive breast cancer patients to
attenuate paclitaxel resistance. NgBR knockdown enhanced paclitaxel-induced cell
apoptosis by modulating expression of p53 and survivin in ERalpha positive breast
cancer cells via NgBR-mediated PI3K/Akt and MAPK/ERK signaling pathways. NgBR
knockdown attenuated either 17beta-estradiol or epidermal growth factor
stimulated phosphorylation of ERalpha at Serine 118 residue. The ChIP-PCR assay
further demonstrated that NgBR knockdown decreased ERalpha binding to the
estrogen response element (ERE) of the ERalpha target gene and increased the
binding of p53 to the promoter region of survivin to attenuate survivin
transcription. In summary, our data suggest that NgBR expression is essential to
promoting ERalpha positive breast cancer cell resistance to paclitaxel. Findings
from this study implicate a novel therapeutic target for treating ERalpha
positive breast cancer in neo-adjuvant/adjuvant chemotherapy.
PMID- 29373841
TI - Recent advances in siRNA delivery for cancer therapy using smart nanocarriers.
AB - Small interfering RNAs (siRNAs) can selectively target and downregulate disease
causing genes, holding great promise in treating human diseases, especially
malignant cancers. However, how to efficiently deliver siRNAs into target cell
cytosol is a problem that has hindered their clinical application. Here, we
review the recent strategies for siRNA delivery on the basis of smart
nanocarriers by using stimuli-responsive materials. We highlight the rationales
of how to design smart nanocarriers responsive to physiological and external
stimuli to improve the delivery efficiency, targeting precision and gene
silencing efficacy. Finally, we provide an outlook on the fundamental limitation
for clinical translation of siRNA-based nanomedicine that should be overcome by
the combination of chemistry, biology, material and medical science.
PMID- 29373842
TI - Bowel Invisible Microscopic Endometriosis: Leave It Alone.
PMID- 29373843
TI - ROS and redox signaling in myocardial ischemia-reperfusion injury and
cardioprotection.
AB - Ischemia-reperfusion (IR) injury is central to the pathology of major
cardiovascular diseases, such as stroke and myocardial infarction. IR injury is
mediated by several factors including the elevated production of reactive oxygen
species (ROS), which occurs particularly at reperfusion. The mitochondrial
respiratory chain and NADPH oxidases of the NOX family are major sources of ROS
in cardiomyocytes. The first part of this review discusses recent findings and
controversies on the mechanisms of superoxide production by the mitochondrial
electron transport chain during IR injury, as well as the contribution of the NOX
isoforms expressed in cardiomyocytes, NOX1, NOX2 and NOX4, to this damage. It
then focuses on the effects of ROS on the opening of the mitochondrial
permeability transition pore (mPTP), an inner membrane non-selective pore that
causes irreversible damage to the heart. The second part analyzes the redox
mechanisms of cardiomyocyte mitochondrial protection; specifically, the
activation of the hypoxia-inducible factor (HIF) pathway and the antioxidant
transcription factor Nrf2, which are both regulated by the cellular redox state.
Redox mechanisms involved in ischemic preconditioning, one of the most effective
ways of protecting the heart against IR injury, are also reviewed. Interestingly,
several of these protective pathways converge on the inhibition of mPTP opening
during reperfusion. Finally, the clinical and translational implications of these
cardioprotective mechanisms are discussed.
PMID- 29373844
TI - Citrullinated fibrinogen impairs immunomodulatory function of bone marrow
mesenchymal stem cells by triggering toll-like receptor.
AB - Bone marrow mesenchymal stem cells (BMSC) have been shown to possess
immunomodulatory activities, while its role in rheumatoid arthritis (RA) remains
unknown. Citrullinated fibrinogen (cfb) has been considered as a specific
autoantigen in RA pathogenesis. Our study aims to determine the role of cfb on
immunomodulatory function of BMSC. We demonstrated the specific role of toll-like
receptor 4 (TLR4)-NFkappaB pathway in the pro-inflammatory response of BMSC to
cfb with increased production of interleukin (IL)-6, IL-8 and chemokine CC motif
ligand 2 (CCL2). Moreover, cfb impaired BMSC-mediated suppression of peripheral
blood mononuclear cells (PBMC) proliferation and reduced the production of the
key immunomodulatory molecule indoleamine 2,3-dioxygenase (IDO) in BMSC. We have
uncovered a previously unrecognized role of cfb in interfering BMSC-mediated
immunoregulation in RA. Cfb could act as a damage-associated molecule pattern
(DAMP) for BMSC and thereby contribute to the propagation of inflammation in RA.
PMID- 29373845
TI - Daptomycin treatment in Gram-positive vascular graft infections.
AB - BACKGROUND: Daptomycin is a bactericidal antibiotic approved for the treatment of
skin and soft tissue infections and right-side endocarditis. However, there is a
lack of published data outlining its usefulness in vascular graft infections
(VGI). The aim of this study was to describe the clinical experience of
daptomycin use in the treatment of VGI caused by Gram-positive bacteria. METHODS:
This was a retrospective cohort study of patients diagnosed with VGI receiving
daptomycin at a tertiary care hospital during the period January 2010 to December
2012. RESULTS: Of a total 1066 consecutive patients who had undergone vascular
grafts (VG), 25 were diagnosed with VGI. Fifteen of these patients (11 prosthetic
VG, three autologous VG, one both types) received daptomycin (median dose
6.7mg/kg/day, range 4.1-7.1mg/kg/day; median age 69 years, range 45-83 years; 80%
male). The infected bypass was removed in 13 cases. The most common reason for
selecting daptomycin was kidney failure (53%). The Gram-positive organisms
isolated were coagulase-negative Staphylococcus (n=10), Staphylococcus aureus
(n=3) (two methicillin-resistant S. aureus), Enterococcus faecium (n=2), and
Enterococcus faecalis (n=1). The mean follow-up was 69 months (interquartile
range 48-72 months). Ten patients (66.7%) achieved complete healing of the VGI. A
recurrence of the infection was observed in 100% of patients in whom the bypass
was not removed. Among patients who did not achieve complete healing, one needed
a supracondylar amputation and one died as a consequence of infection. Five
patients received treatment with rifampicin in addition to daptomycin and they
were all cured. CONCLUSIONS: The use of daptomycin and surgery for Gram-positive
VGI was effective and well tolerated, and this may be a good alternative for the
treatment of VGI in patients with peripheral arterial disease in whom renal
insufficiency is common.
PMID- 29373846
TI - Soluble expression of biologically active methionine sulfoxide reductase B1
(PaMsrB1) from Carica papaya in Escherichia coli and isolation of its protein
targets.
AB - Plant methionine sulfoxide reductase B1 (MsrB1) protects the photosynthetic
apparatus from oxidative damage by scavenging reactive oxygen species to repair
Met-oxidized proteins in response to abiotic stresses and biotic attack. Papaya
MsrB1 (PaMsrB1) was identified previously to interact with papaya ringspot virus
NIa-Pro, and this interaction inhibits the import of PaMsrB1 into the
chloroplast. Further functional characterization of PaMsrB1 requires the
production of a biologically active purified recombinant protein. In this report,
PaMsrB1 as a fusion protein containing an N-terminal maltose-binding protein
(MBP) was expressed in Escherichia coli Rosetta (DE3) cells and purified.
Production of soluble fusion protein was greater when the cells were cultured at
16 degrees C than at 37 degrees C. The Factor Xa protease digested MBP-PaMsrB1
fusion protein and subsequently purified recombinant PaMsrB1 specifically reduced
the R-diastereomer of methionine sulfoxide (MetSO) and Dabsyl-MetSO to Met in the
presence of dithiothreitol. Eight chloroplast-localized and five non-chloroplast
localized candidate proteins that interact with PaMsrB1 were isolated by affinity
chromatography and liquid chromatography coupled to tandem mass spectrometry. The
results provide a platform to further understand the anti-oxidative defense
mechanism of PaMsrB1.
PMID- 29373847
TI - Housing and testing in mixed-sex rooms increases motivation and accuracy during
operant testing in both male and female mice.
AB - Operant behavior tasks are widely used in neuroscience research, but little is
known about how variables such as housing and testing conditions affect rodent
operant performance. We have previously observed differences in operant
performance in male and female mice depending on whether mice were housed and
tested in rooms containing only one sex versus rooms containing both sexes. Here,
male and female mice in either single-sex or mixed sex housing rooms were trained
on fixed ratio 1 (FR1) and progressive ratio (PR) tasks. For both sexes, animals
in the mixed sex room had more accurate performance in FR1 and were more
motivated in the PR task. We then moved the single sex housed animals to the
mixed sex room and vice versa. Animals that started in mixed sex housing had no
change to PR, but both sexes who started in single sex housing were more
motivated after the switch. Additionally, the females that moved into single-sex
housing performed less accurately in FR1. We conclude that housing and testing
conditions can affect performance on FR1 and PR tasks. As these tasks are
commonly used as training steps to more complex tasks, housing and testing
conditions should be carefully considered during experiment design and reported
in publications.
PMID- 29373848
TI - On the Cusp of a Healthcare Revolution: BME Technologies Have the Potential to
?Transform Our World?
AB - Of the key technologies listed as "ready to propel industries and transform our
world" in the 2017 report Top 50 Emerging Technologies: Growth Opportunities of
Strategic Imperative, most fall under the scope of biomedical engineering (BME).
Issued by the major market research and analysis company Frost and Sullivan [1],
the report's findings are no surprise to the researchers, clinicians, and others
who are heavily invested in this field.
PMID- 29373849
TI - Automated Insulin Delivery: Taking the Guesswork out of Diabetes Management.
AB - For individuals with Type 1 or insulin-requiring Type 2 diabetes, new technology
may offer something they desperately need, but is now nigh impossible: the
ability to maintain ideal blood glucose levels all day, every day.
PMID- 29373850
TI - Machine Learning Takes on Health Care: Leonard D'Avolio's Cyft Employs Big Data
to Benefit Patients and Providers.
AB - When Leonard D'Avolio (Figure 1) was working on his Ph.D. degree in biomedical
informatics, he saw the power of machine learning in transforming multiple
industries; health care, however, was not among them. "The reason that Amazon,
Netflix, and Google have transformed their industries is because they have
embedded learning throughout every aspect of what they do. If we could prove that
is possible in health care too, I thought we would have the potential to have a
huge impact," he says.
PMID- 29373851
TI - Carbon Monoxide, Repurposed: Researchers Are Developing Myriad Ways to Deliver CO
to Treat Sickle Cell Anemia, Lung Disease, and More.
AB - In the 16th century, Paracelsus-the father of modern toxicology-wrote that "all
things are poison and nothing is without poison; the dose alone makes a thing not
poison." While it's conceivable that too much of a good thing, such as water or
oxygen, could be fatal, the opposite-that smaller quantities of a bad thing might
be beneficial-may be harder to believe. But four centuries after Paracelsus
shared this idea, two researchers decided to apply the more counterintuitive
notion of Paracelsus' dogma for a notoriously toxic chemical: carbon monoxide
(CO).
PMID- 29373852
TI - Technology You Can Swallow: Moving Beyond Wearable Sensors, Researchers Are
Creating Ingestible Ones.
AB - Around 6 p.m. each evening, the streets of Boston's suburbs come alive with the
physically fit and those aspiring to be. They are runners, bikers, walkers, and
scooter riders of all different body shapes and ages who would seem to have
little in common except one thing-an electronic band wrapped around their wrist.
For many of these people, it's hard to imagine life without the daily nagging
from a personal health device to meet their daily prescript of 10,000 steps.
PMID- 29373853
TI - Tiny Conveyance: Micro- and Nanorobots Prepare to Advance Medicine.
AB - In the science-fiction classic Fantastic Voyage [1], a shrink-ray zaps a
submarine and the crew within it, and the resulting microscopic vehicle ventures
inside a human body to destroy a blood clot and save a prominent patient's life.
While that scenario remains in the realm of make-believe, it may not be long
before micro- and nanoscale robots can navigate a person's blood vessels and
execute a medical task, such as the targeted delivery of drugs or even the
performance of some medical procedures.
PMID- 29373854
TI - A New Fight Against Colon Cancer: As Cancer Rates Rise Among Younger Adults,
Researchers Look for New Screening and Treatment Options.
AB - Although the overall incidence of colon cancer has been falling over the past few
decades, a pair of recent studies revealed a startling trend. In February 2017,
researchers published a report showing that colon cancer rates were rising among
younger adults. Some skeptics suggested the spike might simply reflect earlier
detection and not necessarily represent a real increase in the disease. But a
follow-up study found that Gen-Xers and millenials aren't just getting cancer
diagnoses earlier; they are dying from colon cancer at slightly higher rates than
in previous decades. Death rates among adults aged 20-54 have increased slightly,
to 4.3 deaths per 100,000 people in 2014, up from 3.9 per 100,000 in 2004.
PMID- 29373855
TI - Toward Better Management for Asthma: From Smart Inhalers to Injections to
Wearables, Researchers Are Finding New Ways to Improve Asthma Treatment.
AB - Although asthma has been around since Hippocrates' time, more people are being
diagnosed with the disease than ever before. Over the last 20 years, the global
burden of asthma has increased by almost 30%, as more than 235 million people
most of them children-cope with the breathlessness and wheezing characteristic of
the disease. In particular, cases have spiked in China and India, where pollution
is reported to sometimes be deadly. Researchers with the Health Effects
Institute, a Boston-based nonprofit that studies the health effects of pollution,
recently reported that air pollution in India and China alone contributed to more
than half of the four million deaths worldwide due to air pollution in 2015.
PMID- 29373856
TI - Black and White and Shades of Gray [State of the Art].
AB - "Moderation in all things" is a popular saying that many of us have heard all our
lives. Still, a good number of people seem to have forgotten the sentiment behind
this advice. Instead of looking for the good that exists within the bad and the
bad that dwells within the good, people are choosing to line up behind one
extreme or another. Nuances are being ignored in favor of strong positions on
either side of the middle. This has led inevitably to polarization, partisanship,
and balkanization in our society. For some reason, moderation has been forgotten.
PMID- 29373857
TI - The Brain Willis Circle and Ring Electric Power Systems: Analogies
[Retrospectroscope].
AB - The word analogy is a synonym of likeness, resemblance, similitude, or affinity
and involves two concepts being placed side by side, as in a comparison [1]. The
workings of nature and those of human societies are amenable to such analogous
comparison-even though the evolution of the natural world obviously spans
millions of years [2], while human societies are much younger, relatively puppies
by comparison. This article considers two interesting examples from these two
realms that show remarkable similarities (possibly a result of sheer chance),
i.e., a circulatory brain anastomosis, the circle of Willis (CW), and modern
power transmission-distribution systems in the ring arrangement. Remember that
electric networks handle the flow of charges [say, in coulombs per second (C/s)
or electric charge per unit time, which is current), whereas hydraulic systems
deal with fluid flow [say, in liters per minutes (L/min) or volume/unit time or
fluid mass/unit time]. Hence, these systems too are analogous, a well-known fact
often mentioned by instructors of electrical engineering courses.
PMID- 29373858
TI - Capturing Genetic Diversity: The Power of the CC and DO Mouse Models.
PMID- 29373859
TI - Urine Arsenic and Arsenic Metabolites in U.S. Adults and Biomarkers of
Inflammation, Oxidative Stress, and Endothelial Dysfunction: A Cross-Sectional
Study.
AB - BACKGROUND: Arsenic (As) exposure has been associated with increased risk for
cardiovascular disease (CVD) and with biomarkers of potential CVD risk and
inflammatory processes. However, few studies have evaluated the effects of As on
such biomarkers in U.S. populations, which are typically exposed to low to
moderate As concentrations. OBJECTIVES: We investigated associations between As
exposures and biomarkers relevant to inflammation, oxidative stress, and CVD risk
in a subset of participants from the New Hampshire Health Study, a population
with low to moderate As exposure (n=418). METHODS: Associations between toenail
As, total urine As (uAs), and %uAs metabolites [monomethyl (%uMMAV), dimethyl
(%uDMAV), and inorganic (%iAs) species] and plasma biomarkers, including soluble
plasma vascular and cellular adhesion molecules (VCAM-1 and ICAM-1,
respectively), matrix metalloproteinase-9 (MMP-9), tumor necrosis factor-alpha,
plasminogen activator inhibitor-1 (PAI-1), and urinary oxidative stress marker 15
F2t-isoprostane (15-F2t-IsoP), were evaluated using linear regression models.
RESULTS: Covariate-adjusted estimates of associations with a doubling of urinary
As suggested an 8.8% increase in 15-F2t-IsoP (95% CI: 3.2, 14.7), and a doubling
of toenail As was associated with a 1.7% increase in VCAM-1 (95% CI: 0.2, 3.2).
Additionally, a 5% increase in %uMMA was associated with a 7.9% increase in 15
F2t-IsoP (95% CI: 2.1, 14.1), and a 5% increase in %uDMA was associated with a
2.98% decrease in 15-F2t-IsoP [(95% CI: -6.1, 0.21); p=0.07]. However, in
contrast with expectations, a doubling of toenail As was associated with a 2.3%
decrease (95% CI: -4.3, -0.3) in MMP-9, and a 5% increase in %uMMA was associated
with a 7.7% decrease (95% CI: -12.6, -2.5) in PAI-1. CONCLUSION: In a cross
sectional study of U.S. adults, we observed some positive associations of uAs and
toenail As concentrations with biomarkers potentially relevant to CVD
pathogenesis and inflammation, and evidence of a higher capacity to metabolize
inorganic As was negatively associated with a marker of oxidative stress.
https://doi.org/10.1289/EHP2062.
PMID- 29373861
TI - The Sister Study Cohort: Baseline Methods and Participant Characteristics.
AB - BACKGROUND: The Sister Study was designed to address gaps in the study of
environment and breast cancer by taking advantage of more frequent breast cancer
diagnoses among women with a sister history of breast cancer and the presumed
enrichment of shared environmental and genetic exposures. OBJECTIVE: The Sister
Study sought a large cohort of women never diagnosed with breast cancer but who
had a sister (full or half) diagnosed with breast cancer. METHODS: A multifaceted
national effort employed novel strategies to recruit a diverse cohort, and
collected biological and environmental samples and extensive data on potential
breast cancer risk factors. RESULTS: The Sister Study enrolled 50,884 U.S. and
Puerto Rican women 35-74y of age (median 56 y). Although the majority were non
Hispanic white, well educated, and economically well off, substantial numbers of
harder-to-recruit women also enrolled (race/ethnicity other than non-Hispanic
white: 16%; no college degree: 35%; household income <$50,000: 26%). Although all
had a biologic sister with breast cancer, 16.5% had average or lower risk of
breast cancer according to the Breast Cancer Risk Assessment Tool (Gail score).
Most were postmenopausal (66%), parous with a first full-term pregnancy <30y of
age (79%), never-smokers (56%) with body mass indexes (BMIs) of <29.9 kg/m2
(70%). Few (5%) reported any cancer prior to enrollment. CONCLUSIONS: The Sister
Study is a unique cohort designed to efficiently study environmental and genetic
risk factors for breast cancer. Extensive exposure data over the life-course and
baseline specimens provide important opportunities for studying breast cancer and
other health outcomes in women. Collaborations are welcome.
https://doi.org/10.1289/EHP1923.
PMID- 29373860
TI - Cadmium-Associated Differential Methylation throughout the Placental Genome:
Epigenome-Wide Association Study of Two U.S. Birth Cohorts.
AB - BACKGROUND: Cadmium (Cd) is a ubiquitous environmental toxicant that can
accumulate in the placenta during pregnancy, where it may impair placental
function and affect fetal development. OBJECTIVES: We aimed to investigate Cd
associated variations in placental DNA methylation (DNAM) and associations with
gene expression; we also aimed to identify novel pathways involved in Cd
associated reproductive toxicity. METHODS: Using placental DNAM and Cd
concentrations in the New Hampshire Birth Cohort Study (NHBCS, n=343) and the
Rhode Island Child Health Study (RICHS, n=141), we performed an epigenome-wide
association study (EWAS) between Cd and DNAM, adjusting for tissue heterogeneity
using a reference-free method. Cohort-specific results were aggregated via
inverse variance weighted fixed effects meta-analysis, and variably methylated
CpGs were associated with gene expression. We then performed functional
enrichment analysis and tests for associations between gene expression and birth
size metrics. RESULTS: We identified 17 Cd-associated differentially methylated
CpG sites with meta-analysis p-values<1*10-5, two of which were within a 5% false
discovery rate (FDR). DNAM levels at 9 of the 17 loci were associated with
increased expression of 6 genes (5% FDR): TNFAIP2, EXOC3L4, GAS7, SREBF1, ACOT7,
and RORA. Higher placental expression of TNFAIP2 and ACOT7 and lower expression
of RORA were associated with lower birth weight z-scores (p-values<0.05).
CONCLUSION: Cd-associated differential DNAM and corresponding DNAM-expression
associations were observed at loci involved in inflammatory signaling and cell
growth. The expression levels of genes involved in inflammatory signaling
(TNFAIP2, ACOT7, and RORA) were also associated with birth weight, suggesting a
role for inflammatory processes in Cd-associated reproductive toxicity.
https://doi.org/10.1289/EHP2192.
PMID- 29373863
TI - Mutagenicity and Lung Toxicity of Smoldering vs. Flaming Emissions from Various
Biomass Fuels: Implications for Health Effects from Wildland Fires.
AB - BACKGROUND: The increasing size and frequency of wildland fires are leading to
greater potential for cardiopulmonary disease and cancer in exposed populations;
however, little is known about how the types of fuel and combustion phases affect
these adverse outcomes. OBJECTIVES: We evaluated the mutagenicity and lung
toxicity of particulate matter (PM) from flaming vs. smoldering phases of five
biomass fuels, and compared results by equal mass or emission factors (EFs)
derived from amount of fuel consumed. METHODS: A quartz-tube furnace coupled to a
multistage cryotrap was employed to collect smoke condensate from flaming and
smoldering combustion of red oak, peat, pine needles, pine, and eucalyptus.
Samples were analyzed chemically and assessed for acute lung toxicity in mice and
mutagenicity in Salmonella. RESULTS: The average combustion efficiency was 73 and
98% for the smoldering and flaming phases, respectively. On an equal mass basis,
PM from eucalyptus and peat burned under flaming conditions induced significant
lung toxicity potencies (neutrophil/mass of PM) compared to smoldering PM,
whereas high levels of mutagenicity potencies were observed for flaming pine and
peat PM compared to smoldering PM. When effects were adjusted for EF, the
smoldering eucalyptus PM had the highest lung toxicity EF (neutrophil/mass of
fuel burned), whereas smoldering pine and pine needles had the highest
mutagenicity EF. These latter values were approximately 5, 10, and 30 times
greater than those reported for open burning of agricultural plastic, woodburning
cookstoves, and some municipal waste combustors, respectively. CONCLUSIONS: PM
from different fuels and combustion phases have appreciable differences in lung
toxic and mutagenic potency, and on a mass basis, flaming samples are more
active, whereas smoldering samples have greater effect when EFs are taken into
account. Knowledge of the differential toxicity of biomass emissions will
contribute to more accurate hazard assessment of biomass smoke exposures.
https://doi.org/10.1289/EHP2200.
PMID- 29373865
TI - The ATAD2 bromodomain binds different acetylation marks on the histone H4 in
similar fuzzy complexes.
PMID- 29373864
TI - Sulfur denitrosylation by an engineered Trx-like DsbG enzyme identifies
nucleophilic cysteine hydrogen bonds as key functional determinant.
PMID- 29373866
TI - An intermediate cell state allows rerouting of cell fate.
AB - Somatic cell reprogramming into pluripotent stem cells using transcriptional
factors or chemical compounds has been shown to include an intermediate cell
state with epithelial features. Two recent papers show that this intermediate
state can be redirected to create other differentiated cell types-specifically
hepatocytes and neurons-using chemical cocktails. These results shed new light on
a critical intermediate in cell fate conversion with mechanistic and practical
implications.
PMID- 29373862
TI - Association of Low-Moderate Arsenic Exposure and Arsenic Metabolism with Incident
Diabetes and Insulin Resistance in the Strong Heart Family Study.
AB - BACKGROUND: High arsenic exposure has been related to diabetes, but at low
moderate levels the evidence is mixed. Arsenic metabolism, which is partly
genetically controlled and may rely on certain B vitamins, plays a role in
arsenic toxicity. OBJECTIVE: We evaluated the prospective association of arsenic
exposure and metabolism with type 2 diabetes and insulin resistance. METHODS: We
included 1,838 American Indian men and women free of diabetes (median age, 36 y).
Arsenic exposure was assessed as the sum of inorganic arsenic (iAs),
monomethylarsonate (MMA), and dimethylarsinate (DMA) urine concentrations
(SigmaAs). Arsenic metabolism was evaluated by the proportions of iAs, MMA, and
DMA over their sum (iAs%, MMA%, and DMA%). Homeostasis model assessment for
insulin resistance (HOMA2-IR) was measured at baseline and follow-up visits.
Incident diabetes was evaluated at follow-up. RESULTS: Median SigmaAs, iAs%,
MMA%, and DMA% was 4.4 MUg/g creatinine, 9.5%, 14.4%, and 75.6%, respectively.
Over 10,327 person-years of follow-up, 252 participants developed diabetes.
Median HOMA2-IR at baseline was 1.5. The fully adjusted hazard ratio [95%
confidence interval (CI)] for incident diabetes per an interquartile range
increase in SigmaAs was 1.57 (95% CI: 1.18, 2.08) in participants without
prediabetes at baseline. Arsenic metabolism was not associated with incident
diabetes. SigmaAs was positively associated with HOMA2-IR at baseline but
negatively with HOMA2-IR at follow-up. Increased MMA% was associated with lower
HOMA2-IR when either iAs% or DMA% decreased. The association of arsenic
metabolism with HOMA2-IR differed by B-vitamin intake and AS3MT genetics
variants. CONCLUSIONS: Among participants without baseline prediabetes, arsenic
exposure was associated with incident diabetes. Low MMA% was cross-sectional and
prospectively associated with higher HOMA2-IR. Research is needed to confirm
possible interactions of arsenic metabolism with B vitamins and AS3MT variants on
diabetes risk. https://doi.org/10.1289/EHP2566.
PMID- 29373867
TI - [Dacryoendoscopic Surgery-Factors Influencing Postoperative Results].
AB - BACKGROUND: Dacryoendoscopy (DE) is an established method in lacrimal surgery.
Long-term follow-up-analyses of this surgery are of great interest. Here, factors
influencing postoperative success rates should be considered to elect suitable
patients. METHODS: In this retrospective study, patients having had
dacryoendoscopic surgery were included. Using a questionnaire, a prospective
follow-up analysis was made. Pre- and intraoperative factors were correlated with
treatment success, which was defined as improved epiphora, absence of a second
lacrimal surgery and no signs of chronic dacryocystitis (secretion, pain at the
lacrimal sac). Additionally, we asked for patient's satisfaction with the
postoperative result (categorized in "very good", "good", "poor", and "bad").
RESULTS: Overall, 215 DE of 182 patients (130 women, 52 men) were studied. Mean
patient age was 58 +/- 17 years (range: 18 to 91 years). Follow-up was 31 to 77
months (median: 55 months). Treatment success after this time was 59.1%, in which
neither patient's age nor sex showed significant influences. In comparison to
complete stenosis, incomplete obstruction could be treated successfully twice as
often (p = 0.02). Patients suffering from ectatic lacrimal sacs had a risk of 1.9
for failing therapy (p = 0.01). A trend concerning worse cure rates could be
observed in patients with postsaccal localization of stenosis (p = 0.2) and an
age of older than 49 years (p = 0.1). Surgical results evaluated by the patients
were "very good" in 32.1% and "good" in 30.2%. CONCLUSION: Dacryoendoscopy with
its minimally invasive approach is suitable as a first-step procedure in lacrimal
surgery. The absence of a scar and the quick recovery are vitally important for
the patients. Medically important is that the topographic anatomy is preserved by
using dacryoendoscopy, so other surgical techniques can be performed later
without limitations, if necessary. Patients with incomplete obstructions and a
localization of stenosis, being pre- to intrasaccal, profit from this treatment
method in particular.
PMID- 29373868
TI - [Chronic Progressive External Ophthalmoplegia Ptosis: Problems with Diagnostics
and Treatment].
AB - Ptosis is often the first symptom of chronic progressive external ophthalmoplegia
(CPEO), a rare muscle disorder. As the disease progresses, it can lead to ocular
motility defects. Ptosis is present in the early stages of the disease and can be
corrected by levator surgery. Due to the rarity of CPEO (< 1% of ptosis
patients), further diagnostic steps with muscle biopsy and genetic analysis of
mitochondrial DNA are usually not considered in the early phase. Intraoperative
abnormal observations during ptosis surgery and postoperative motility problems
are signs of CPEO. If CPEO is confirmed, alternative surgical methods can correct
the ptosis, like frontalis suspension.
PMID- 29373869
TI - [Tarsal Kink: Pathognomonic Presentation and Therapy of a Rare Congenital Upper
Eyelid Malformation].
AB - BACKGROUND: Presentation of a congenital abnormality that is rare, but follows a
distinct course and can be diagnosed and cured promptly if the pathognomonic
presentation is recognized. A congenital tarsal kink leads to a malposition of
the upper eyelid margin that must not be missed, as it will lead to ulcerative
keratitis if it is not treated. CASE PRESENTATION: An otherwise healthy newborn
was presented after delivery with forceps with marked unilateral purulent
secretion and blepharospasm. DIFFERENTIAL DIAGNOSIS: Neonatal dacryocystitis,
gonococcal infection, congenital entropion with ulcerative keratitis, tarsal
kink. EXAMINATION: It was not possible to fully examine the lid and cornea with
the baby awake. Due to total inversion of the lid margin, no lashes could be
seen. Under general anesthesia, the tarsal kink, with complete inversion of the
lid margin and a corneal ulcer, was confirmed. TREATMENT: The literature offers
several methods to correct this rare malposition, all of which aim to strengthen
the anterior lamella to correct the kink. After incision of the kink and
repositioning of the tarsus and securing the position with fixation sutures, the
ulcer healed quickly and completely; lid closure and lid contour were normal and
symmetrical. SUMMARY: Complete inversion of the lid margin is the pathognomonic
sign of tarsal kink, giving the impression of "missing" lashes, accompanied by
blepharospasm, followed by purulent secretion and corneal ulceration. The
condition must not be misdiagnosed as only immediate correction can prevent
severe damage.
PMID- 29373870
TI - ?
PMID- 29373871
TI - [DOG and BVA Guideline No. 24b - Noninfectious uveitis posterior].
PMID- 29373872
TI - Depression in Women with Breast Cancer: A Systematic Review of Cross-Sectional
Studies in Iran
AB - Objective: Breast cancer is one of the most common cancers in women and has more
severe mental and emotionaleffects than other types. Depression as a mental
disorder affects people's mental well-being, physical symptoms,occupational
performance, and finally quality of life. The aim of this study was to determine
depression levels inIranian women with breast cancer. Methods: A systematic
review study was conducted in 2017. English and Persiandatabases (PubMed, SCOPUS,
Web of Science, Google Scholar, SID, Magiran) were searched with key words suchas
Depression Or Depressive Disorders AND Women AND Breast Cancer OR Tumor OR
Neoplasm OR MalignancyAND Iran. Inclusion criteria allowed for cross-sectional
studies conducted in Iran (published in English or Persianlanguage journals),
studies that had key words in their keywords or their titles and standard
instruments for measuringdepression in patients. Of the 160 publications found,
eight were selected after reviewing the title, abstract and fullarticle. Results:
Age of women with breast cancer in selected studies ranged from 43.8 (SD = 47.1)
to 55.9 (SD = 14.6)years. Duration of cancer in most studies was about 1-2 years.
In most studies, mild levels of depression for womenwith breast cancer were
present. However, in one study it was stated that 69.4% of participants had
serious levels ofdepression. Conclusions: There is increase in the risk of
depression in women with breast cancer. Therefore, it seemsnecessary to plan
preventive and therapeutic measures in order to improve the mental health and
quality of life of theaffected patients.
PMID- 29373873
TI - Attitude and Practice Regarding Breast Cancer Early Detection among Iranian
Women: A Systematic Review
AB - Objectives: To determining attitudes and practice regarding breast cancer early
detection techniques (breastself-examination (BSE), clinical breast examination
(CBE) and mammography) among Iranian woman. Methods:International (PubMed, ISI,
and Google Scholar) and national (SID and Magiran) databases were reviewed up
toSeptember 2017 to identify articles related to the attitudes and practices of
Iranian women concerning breast cancerscreening behavior with reference to BSE ,
CBE and mammography. The screening steps, analysis of quality of thestudies and
extraction of the papers were performed by two reviewers. Results: Of the 532
studies included initially, 21performed on 10,521 people were considered
eligible. Subjects with a positive attitude toward BSE in various studieswere
13.5% to 94.0% with an average of 47.6%. Positive attitudes to CBE and
mammography were found in 21.0%and 26.4%, respectively. Participant performance
of BSE ranged from 2.6% to 84.7%, with an average of 21.9%. Therespective figures
for CBE and mammography were 15.8% and 16.7%. Conclusion: Considering the poor
performanceand low rates for positive attitudes, it is suggested that educational
programs should be conducted across the country.
PMID- 29373874
TI - More Reasonable Animal Model for Study the Effect of Pneumoperitoneum on
Abdominal Tumor Cells
AB - Background: Many animal experimental studies showed that abdominal tumor cells
will be widely spread duringlaparoscopic treatment and grow into metastases.
These results are different from clinical observations. There is ahypothesis that
too much tumor cells was injected in the animals lead to the results of theses
bias. We aim to learn thedifference of abdominal cavity volume between human body
and the nude mice and to determine reasonable amountof tumor cells in the animal
experiments. Methods: The insufflated CO2 volume which represents the capacity of
theabdominal cavity was recorded during laparoscopic process in 212 patients and
20 nude mice respectively, the relativevolume of nude mice and human body was
calculated.Based on data from the literature and this study , the amountof tumor
cells in the animal experiments was determined.According to these data, we set up
a new animal modeland a traditional one respectively,and compared the rate of
successful modeling and tumor formation between twoanimal models. Results: The
intraperitoneal volumes of humans and nude mice were 3.01+/-0.36 L and 0.011+/
0.001 Lrespectively.The number of tumor cells that be uesd in animal should be
approximately 0.26*105 in terms of knowndata in human beings.Compared with the
traditional animal model which formed a large number of intraperitonealtumor
metastasis, the new animal model was shows more moderately,and the rate of
successful modeling was similar.Conclusion: In animal experiments, to simulate
the clinical situation, about 0.26*105 tumor cells should be inject inperitoneal
cavity of the nude mice.
PMID- 29373875
TI - The Economic Burden of Metastatic Castration Resistant Prostate Cancer and
Skeletal Related Events in Japanese University Hospitals
AB - Objective: Although androgen deprivation therapy (ADT) has improved the survival
and quality of life of patientswith prostate cancer, resistance to treatment
inevitably results in transition to a castration resistant state (CRPC) and,
inadvanced cases, bone metastasis, leading to skeletal related events (SRE). In
order to understand the current burden onpatients in Japan, there is a need to
estimate the healthcare costs of CRPC treatment in current clinical practice.
Methods:This retrospective observational cohort study utilized claims data from
13 national university hospitals through thePlatform for Clinical Information
Statistical Analysis database. Extracted data included the use of diagnostic
tests, thefrequency and cost of hospitalizations and outpatient visits, and
medication costs, using values from the Healthcare FeeSystem and the National
Health Insurance Drug Price List relative to each observed year. Results: Data
were collectedfrom 4001 patients with CRPC, 97% of whom had undergone ADT.
Between 2005 and 2016, the mean annualizeddirect medical cost per patient was
Y739,147 (US$7060), of which 91% was related to medication, 4.8% to laboratoryand
imaging, 4.1% to radiotherapy, and 0.1% to surgery. A total of 771 (19%) of the
4001 CRPC patients experiencedan SRE. Resource utilization was significantly
higher (p<0.0001) in patients with SRE than in those without, withmean annualized
medication costs per patient of Y1,074,885 and Y659,006, respectively, and
Y108,807 and Y71,392,respectively, for laboratory and imaging. The occurrence of
even one SRE led to a significant increase in costs and theuse of analgesics,
compared to the prior period. Conclusions: A diagnosis of CRPC is associated with
considerablehealthcare resource utilization and increased economic burden on
patients, which are significantly higher in those withSREs. Treatments that can
prevent or delay SREs may help ease this burden, thereby providing cost savings
acrossJapanese healthcare systems.
PMID- 29373876
TI - Prognostic Value of IL-10 and Its Relationship with Disease Stage in Iranian
Patients with Multiple Myeloma
AB - Background: Several studies have demonstrated roles of interleukins in the
pathogenesis of multiple myeloma (MM).Objective: Here we considered correlations
among serum levels of IL-10, stage of disease and clinical laboratory
diseasemarkers in Iranian MM patients to investigate whether the interleukin
might have prognostic significance. Materialsand Methods: In this cross-sectional
study, a total of 60 subjects (40 patients and 20 controls) were recruited.
Afterpreliminary laboratory tests, disease stage was evaluated and serum levels
of IL-10 were measured using an enzymelinkedimmunosorbent assay (ELISA). Results:
The mean concentration of serum IL-10 in patients (2.39+/-0.82 ng/ml) was
significantly higher (p<0.0001) than that in healthy controls (0.34+/-0.15
ng/ml). A positive and significantcorrelation (p<0.0001) was observed with the
disease stage. The highest plasma cell proportions were recorded forMM stage III
patients (68.8+/-9.21%), differing significantly from those of stage I patients
(50.0+/-10.0%; p=0.011). TheBeta-2 microglobulin value in stage III patients
(7.7+/-1.13mg/l) was significantly higher than in those with stage II(4.31+/-0.64
mg/l; p<0.0001) and stage I (2.8+/-0.4 mg/l; p<0.0001). There was also a positive
and significant correlation(p=0.002) between IL-10 levels and B2M. A trend
(p=0.06) for positive correlation was observed between IL-10 levelsand plasma
cells. Conclusions: The correlation of IL-10 with disease stage and markers of
disease activity indicatesimportant roles in MM pathogenesis and progression.
Therefore, measurement of serum IL-10 might be helpful forpredicting stage and
clinical management of MM.
PMID- 29373878
TI - Brain-Derived Neurotrophic Factor Role in Autism Remains Elusive: A Flashback on
the Route That Has Brought Us Here.
PMID- 29373877
TI - Serum MicroRNA-21 Negatively Relates to Expression of Programmed Cell Death-4 in
Patients with Epithelial Ovarian Cancer
AB - Background: Ovarian cancer is the third most common cancer of the female genital
tract and the leading causeof cancer death associated with gynecologic tumors.
MicroRNAs regulate at least 60% of human genes, includingtumor suppressor genes
and oncogenes and, thereby, can affect cancer risk. Aim of the work: We aimed to
assess anydiagnostic role for serum miR-21 as a biomarker in human ovarian cancer
and to study relations with programmedcell death-4 (PDCD4), one of its target
proteins, hoping to help explain heterogeneity of this cancer type and
facilitatestratification of regimens for therapy. Subjects and Methods: A total
of 60 newly diagnosed ovarian cancer cases and30 apparently healthy females were
recruited. Serum microRNA-21 levels were measured by TaqMan- Real time PCRassay
and PDCD4 by ELISA. Results: Significant over-expression of serum miR-21 and
lower serum PDCD4 levelswere observed in ovarian cancer patients as compared to
the control group. A statistically significant inverse correlationwas also
evident between miR-21 and PDCD4. However, no significant links were noted
observed between miR-21and tumor grade, stage or histopathological type.
Conclusion: The present work showed significantly up-regulationof serum miR21 in
the recruited group of patients and a significant inverse relation association
between miR-21andPDCD4. These findings suggest that miR-21 may be used as a
diagnostic biomarker for human ovarian cancer.
PMID- 29373879
TI - Ghrelin Alleviates MDMA-Induced Disturbance of Serum Glucose and Lipids Levels in
the Rat.
AB - Hepatotoxicity is one of the clinically adverse effects of ecstasy (3, 4
methylenedioxymethamphetamine; MDMA) consumption. The detoxification tissue,
liver, plays a central role in maintaining circulating levels of glucose and
lipid. Hypoglycemia and hypotriglyceridemia have been reported due to ecstasy
abuse. Ghrelin is a 28-amino-acid peptide secreted predominantly from the
stomach. It has been demonstrated that ghrelin has hepatoprotective effects and
is able to increase blood glucose concentration. In the current study, we
explored the effect of hepatotoxic dose of MDMA and therapeutic use of exogenous
ghrelin on the serum levels of glucose and lipids in four groups of rats. MDMA
caused a severe and transient reduction in circulating levels of glucose and
triglyceride and increased serum LDL. However, cholesterol and HDL levels
remained unchanged. Meanwhile, altered hepatic architecture was observed with
intracellular vacuolation that may indicate intracellular accumulation of lipid
droplets. In addition, following ghrelin administration, the blood sugar levels
improved and LDL levels returned to the baseline value, and ghrelin treatment did
not improve triglycerides levels. These results showed that MDMA causes
hypoglycemia, hypotriglyceridemia, and hyper LDL-cholesterolemia. To our
knowledge, this is the first report showing ghrelin administration could improve
hypoglycemia and normalize LDL levels induced by MDMA and partially restore
hepatic architecture.
PMID- 29373880
TI - The Effect of Recombinant HopH Protein of Helicobacter pylori on the VEGF
Expression in Metastatic Breast Cancer Model.
AB - Breast cancer imposes a considerable amount of cancer-related mortality and
morbidity among women worldwide. Many efforts are in progress to reduce the
disease burden and amongst the bacterial-based products received considerable
attention as potential anti-cancer drugs. In the present study, the effect of
recombinant pro-inflammatory outer membrane protein (HopH) of Helicobacter pylori
on the angiogenic factor and tumor development in metastatic breast cancer model
was evaluated. The HopH gene was cloned into Pet28a vector, induced by IPTG and
expressed and purified by Ni-NTA affinity chromatography. The expressed protein
was confirmed by SDS-page. The breast cancer tumor induction was performed using
Breast cancer cell line (4T1). The mice were divided into different groups and
underwent treatment by recombinant HopH and Herceptin, subsequently. The
treatment effectiveness on tumor size was followed, and the expression level of
vascular endothelial growth factor was evaluation by real time PCR. The SDS-PAGE
analysis confirmed the expression of HopH protein with an approximate 34KD
weight. Based on our results, the expression level of VEGF was significantly
reduced in HopH-treated mice group comparing to the control and Herceptin group.
Our results have shown that the recombinant HopH protein can effectively reduce
VEGF expression in breast cancer tumor which was associated with reduction of
tumor size. The HopH protein can be considered as a potential anti-cancer agent
for future cancer therapeutic studies.
PMID- 29373881
TI - The Impact of Thymidylate Synthase and Methylenetetrahydrofolate Reductase
Genotypes on Sensitivity to 5-Fluorouracil Treatment in Colorectal Cancer Cells.
AB - 5-fluorouracil (5-FU) is one of the major components of many standard regimens
for chemotherapy of colorectal cancer (CRC) and some other malignancies. Given
the known relationship between thymidylate synthase (TS) and
methylenetetrahydrofolate reductase (MTHFR) activity and 5-FU metabolism, this
study investigated the impact of selected functional polymorphisms of the TS and
MTHFR genes on chemotherapy resistance in 5 human CRC cell lines. HCT116, SW1116,
HT29/219, LS180, and Caco-2 CRC cells were cultured as monolayer and their
chemosensitivity to 5-FU, oxaliplatin, and irinotecan was determined by MTT
assay. Genomic DNA was extracted from the cultured cells, and a 6-bp insertion or
deletion (6-bp ins/del) polymorphism in 3'-UTR of the TS gene was determined by
the PCR-RFLP method. Genotyping of MTHFR 677 C/T and 1298A/C single nucleotide
polymorphism (SNP) was also performed by MS-PCR and PCR-RFLP, respectively. Caco
2 with the homozygous TS 6-bp ins/ins and MTHFR 677 T/T and 1298 C/C genotype,
was the most 5-FU resistant cell line. HCT116 with the homozygous TS 6-bp del/del
and MTHFR 1298 A/A and heterozygous MTHFR 677 C/T genotype was the least 5-FU
resistant cell. LS180, the second most 5-FU resistant cell line, was heterozygous
for all three polymorphic sits. HT29/219 and SW1116 cells with homozygous TS 6-bp
ins/ins and heterozygous MTHFR 677 C/T and 1298 A/C genotypes had intermediate 5
FU sensitivity. The results indicate that TS 3'-UTR 6-bp insertion and MTHFR 677T
and 1298C alleles increase 5-FU resistance in CRC cells. No relationship was
observed between TS and MTHFR genotypes and oxaliplatin or irinotecan sensitivity
in these cells.
PMID- 29373882
TI - The Association Between Bisphenol A and Polycystic Ovarian Syndrome: A Case
Control Study.
AB - Polycystic ovarian syndrome (PCOS) is an endocrine metabolic disorder with
unclear etiopathogenesis among reproductive age women. Evidences show genetic
susceptibility and environmental factors were associated with PCOS. The aim of
this study was to find the association between urinary concentrations of
Bisphenol-A as an endocrine disrupting chemical (EDC) and PCOS. A case-control
study was conducted in 51 samples in each group. All cases were selected from
women who diagnosed with PCOS at Gynecology and infertility center. The control
group was selected from women who had clinical file in the center due to previous
problem and came for routine check-up and pap smear. The participants were asked
to collect a first-morning urine sample before any medical interventions. Total
BPA in urine were measured with High Performance Liquid Chromatography (HPLC)
method. Comparison of BPA level between two groups shows significantly higher
level in PCOS group compared with control group (3.34 +/- 2.63 vs 1.43 +/- 1.57
ng/mL, P<0.001). Using logistic regression analysis, BPA as the main dependent
variable, was significantly associated with PCOS with adjusted Odds Ratio (OR)
equal to 1.53 (95% CI: 1.14-2.05, P =0.004). The results of this study indicated
that BPA may play a major role in the PCOS pathogenesis. Further investigations
with better design are necessary to confirm this association.
PMID- 29373883
TI - The Relation of Carotid Arteries' Intima-Media Thickness With Snoring and
Obstructive Sleep Apnea in Type 2 Diabetes Patients.
AB - In recent decades, the relation of carotid artery intima-media thickness (IMT) as
a marker of atherosclerosis with snoring and sleep disorders has been drawing
attention. The aim of this study was to evaluate the relation of carotid arteries
IMT with snoring in type 2 diabetic patients. This cross-sectional study was
performed on type 2 diabetes patients referring to Mashhad University of Medical
Sciences' clinics. The stop Bang, Epworth sleepiness scale, and Stanford
questionnaires were used for evaluation of daily sleepiness and snoring. For
assessment of carotid artery thickness, Madison X8 ultrasound with 10 MHz
superficial probes was utilized. The data were entered into SPSS software, and
then the ANOVA test with Turkey, chi-square comparison technique, and Kruskal
Wallis with Mann-Whitney U technique was used. The level of significance was
considered P<=0.05. In total 80 patients (37 snorers and 43 non-snorers) entered
the study. The mean carotid artery IMT in the group of snoring patients (0.72+/
0.17) was significantly higher than non-snorers (0.56+/-0.17) (P<0.001).
Frequency of daily based on Stanford and ESS questionnaires was 23.8% and 39.2%.
The association of sleepiness and snoring was confirmed by Stanford and ESS
questionnaires with P=0.026 and P=0.007. Patients with higher risk of apnea had
higher thickness of the mean carotid artery IMT (P<0.001). The mean carotid
artery IMT had a positive significant relation with age (P=0.002), serum
creatinine level (P<0.002), blood cholesterol (P=0.02) and HbAIC level (P=0.04).
Findings of this study provides evidence on the relation of carotid artery IMT in
diabetic patients with snoring independent of other effective factors. Also,
results showed that snoring is associated with increased daily sleepiness and
patients with higher risk of apnea had higher thickness of the mean carotid
artery IMT.
PMID- 29373884
TI - Effect of Progesterone on Latent Phase Prolongation in Patients With Preterm
Premature Rupture of Membranes.
AB - Preterm premature rupture of membranes (PPROM) is a condition leading to an
increased risk of maternal and neonatal morbidity and mortality in pregnant
women. To prevent this complication, some studies have proposed using
prophylactic progesterone. However, due to lack of sufficient relevant data,
there is still need for further studies in this regard. This study was performed
to determine the effect of rectal progesterone on the latent phase and maternal
and neonatal outcome variables in females with PPROM. During the present
randomized clinical trial study (IRCT201512077676N4), a total of 120 patients
with PPROM at pregnancy ages between 26 and 32 weeks were randomly assigned to 2
equal intervention and control groups. In the intervention group, progesterone
suppositories (400 mg per night) were administered until delivery or completion
of the 34th gestational week and was compared with placebo effect in control
group. The latent phase and maternal and neonatal outcome variables were compared
between the two groups. The mean age of patients was 29.56+/-5.66 (19-42) and
29.88+/-5.57 (17-40) years in the intervention and control group, respectively.
The two groups were almost identical in the confounding factors. The median
latent phase was 8.5 days in the intervention group vs. 5 days in the control
group in the 28th-30th weeks of gestation, which was significantly higher in the
intervention group (P=0.001). Among maternal and neonatal outcome variables, only
the mean birth-weight was significantly higher in the intervention group than
that in the controls (1609.92+/-417.28 gr vs. 1452.03+/-342.35 gr, P=0.03).
Administration of progesterone suppository in patients with PPROM at gestational
ages of 28 to 30 weeks is effective in elongating the latent phase and increasing
birth-weight with no significant complications.
PMID- 29373885
TI - The Effect of Rosa Damascena Extract on Expression of Neurotrophic Factors in the
CA1 Neurons of Adult Rat Hippocampus Following Ischemia.
AB - Ischemic stroke is an important cause of death and disability in the world. Brain
ischemia causes damage to brain cell, and among brain neurons, pyramidal neurons
of the hippocampal CA1 region are more susceptive to ischemic injury. Recent
findings suggest that neurotrophic factors protect against ischemic cell death. A
dietary component of Rosa damascene extract possibly is associated with
expression of neurotrophic factors mRNA following ischemia, so it can have
therapeutic effect on cerebral ischemia. The present study attempts to evaluate
the neuroprotective effect of Rosa damascene extract on adult rat hippocampal
neurons following ischemic brain injury. Forty-eight adult male Wistar rats
(weighing 250+/-20 gr and ages 10-12 weeks) used in this study, animals randomly
were divided into 6 groups including Control, ischemia/ reperfusion (IR), vehicle
and three treated groups (IR+0.5, 1, 2 mg/ml extract). Global ischemia was
induced by bilateral common carotid arteries occlusion for 20 minutes. The
treatment was done by different doses of Rosa damascena extract for 30 days.
After 30 days cell death and gene expression in neurons of the CA1 region of the
hippocampus were evaluated by Nissl staining and real time PCR assay. We found a
significant decrease in NGF, BDNF and NT3 mRNA expression in neurons of CA1
region of the hippocampus in ischemia group compared to control group (P<0.0001).
Our results also revealed that the number of dark neurons significantly increases
in ischemia group compared to control group (P<0.0001). Following treatment with
Rosa damascene extract reduced the number of dark neurons that was associated
with NGF, NT3, and BDNF mRNA expression. All doses level had positive effects,
but the most effective dose of Rosa damascena extract was 1 mg/ml. Our results
suggest that neuroprotective activity of Rosa damascena can enhance hippocampal
CA1 neuronal survival after global ischemia.
PMID- 29373886
TI - The Neuroprotective Effects of Flaxseed Oil Supplementation on Functional Motor
Recovery in a Model of Ischemic Brain Stroke: Upregulation of BDNF and GDNF.
AB - Cerebral ischemic stroke is a common leading cause of disability. Flaxseed is a
richest plant-based source of antioxidants. In this study, the effects of
flaxseed oil (FSO) pretreatment on functional motor recovery and gene expression
and protein content of neurotrophic factors in motor cortex area in rat model of
brain ischemia/reperfusion (I/R) were assessed. Transient middle cerebral artery
occlusion (tMCAo) in rats was used as model brain I/R. Rats (6 in each group)
were randomly divided into four groups of Control (Co+normal saline [NS]), Sham
(Sh+NS), tMCAo+NS and tMCAo+FSO. After three weeks of pretreatment with vehicle
or FSO (0.2 ml~800 mg/kg body weight), the rats were operated in sham and
ischemic groups. Ischemia was induced for 1 h and then reperfused. After 24 h of
reperfusion, neurological examination was performed, and animals were sacrificed,
and their brains were used for molecular and histopathological studies. FSO
significantly improved the functional motor recovery compared with tMCAo+NS group
(P<0.05). A significant reduction in brain-derived neurotrophic factor (BDNF) and
glial cell-derived neurotrophic factor (GDNF) mRNAs and protein levels were
observed in the tMCAo+NS group compared with Co+NS and Sh+NS group (P<0.05). A
significant increase of BDNF and GDNF mRNAs and proteins was recorded in the
tMCAo+FSO group compared with Co+NS, Sh+NS and tMCAO+NS groups (P<0.05). The
results of the current study demonstrated that pretreatment with FSO had
neuroprotective effects on motor cortex area following cerebral ischemic stroke
by increasing the neurotrophic factors (BDNF, GDNF).
PMID- 29373887
TI - Chondrosarcoma in Metachondromatosis: A Rare Case Report.
AB - Metachondromatosis which was first described in 1971 by Maroteaux is a rare
genetic disease consisting of osteochondromas and enchondromas, caused by loss of
function of the PTPN11 gene. It is distinct from other cartilaginous tumors such
as multiple osteochondromas and hereditary multiple exostosis by the distribution
and orientation of lesions, and pattern of inheritance. In Metachondromatosis
osteochondromas typically occur in hands, feet, femur, and tibia while
enchondromas commonly affect the pelvic bones and femurs. Both tumors are
generally reported to regress in adulthood. To the best of our knowledge only one
case of Chondrosarcoma has been reported, and our case is the second reported
case of Chondrosarcoma in metachondromatosis.
PMID- 29373888
TI - Sarcoid Myopathy Mimicking Polymyositis: A Case Report and Pool Analysis of the
Literature Reviews.
AB - A 59-year-old man presented with proximal myopathy, myalgia, and weight loss,
with the initial markedly elevated serum creatine kinase at 11,000 U/L. Due to
his refusal for muscle biopsy, he was initially treated as inflammatory myositis
and responded well with the corticosteroids. However, he subsequently had a
relapse of the symptoms with more extensive systemic involvement, i.e.,
hypercalcemia, lymphadenopathy and subcutaneous nodules. Finally, a biopsy of the
thigh and subcutaneous nodule revealed non-caseating granulomatous inflammation,
consistent with sarcoidosis. He responded well to the corticosteroids, and
finally, azathioprine was added as a steroid-sparing agent. Including our series,
there are 103 cases of symptomatic muscle involvement in sarcoidosis patients
published in the English literature to date. Further pool analysis of the cases
will be reported in this review.
PMID- 29373889
TI - Paraplegia After Off-Pump Coronary Artery By-Pass Grafting.
AB - Paraplegia is an exceedingly rare neurologic complication after off-pump coronary
artery bypass graft (OPCAB) surgery commonly caused by spinal cord ischemia
(SCI). SCI is not a well unknown clinical phenomenon in the postoperative course.
SCI has been reported after noncardiac surgery in otherwise healthy subjects in
whom only one risks factor i.e. severe hypotension has been documented. SCI has
also been reported as a rare complication among the other neurologic sequels of
cardiac surgery. We report a case of paraplegia in a patient with metabolic
syndrome and multiples risk factors after an OPCAB. This patient may be
considered as an interesting case as no any ischemic event was found in the
imaging modalities and culprit lesion may be attributed to 1-left internal
thoracic artery use as an important blood perfusion to anterior spinal artery
vasculature and 2-Disc herniation at intervertebral space of T as a culprit
lesion 3-transient intraoperative hypotension. The patient was managed by lower
extremities physiotherapy and skin care, however, after 3months of follow-up, no
evidence of recovery was detected.
PMID- 29373890
TI - Long-term Results of Adjuvant Imatinib Treatment for Localized Gastrointestinal
Stromal Tumors after Surgery
AB - Objective: Despite the development of two significant classifications for
recurrence risk evaluation among patientsengaged with gastrointestinal stromal
tumor and corresponding treatment criteria, recurrence happens in a numberof the
patients who were once classified as ineligible for treatment and hence removed
from treatment program. Assuch, the aim of the present study is to increase the
number of patients recognized as eligible for treatment, so as tofurther reduce
recurrence rate of this disease. Materials and Methods: A total of 26 patients
from Ilam, Kermanshah,Lorestan, Kurdistan, and some parts of Hamedan, entered
this study from 2006 until 2016. The western provincesincluded have similar
socioeconomical conditions. Inclusion criteria were operable tumors confirmed
radiologicallywith a gross size larger than 3 centimeters regardless of the
mitosis rate in microscopic power fields, tumor location, orpresence of
peritoneal involvement during the surgery. Imatinib capsules were administered
daily at 400 mg for 3 years.The patients were followed up every 3 months by
radiology, ultrasonography, biochemical assessment, and clinicalexamination.
Results and Conclusions: The overall survival after 10-years follow up was 100%,
while 5-year survivalwithout relapse was 95%. Mean overall survival was 106
months, and only one patient who had limited peritonealinvolvement experienced
relapse and he is still alive after 2 years. The drug was well tolerated and no
significant sideeffects were observed.
PMID- 29373891
TI - Fas-Antisense Long Noncoding RNA and Acute Myeloid Leukemia: Is There any
Relation?
AB - In recent years, lncRNAs have been considered as potential predictive biomarkers
for prognosis of different humancancers. One example is the FAS antisense RNA 1
(FAS-AS1) located in the 10q23.31 region which is transcribed fromthe opposite
strand of the FAS gene. FAS has an important role in regulation of apoptotic
pathways and there is aninverse correlation between FAS-AS1 expression level and
production of the soluble form of Fas, so that it might havepotential as a
therapeutic target to improve chemotherapy effectiveness. In the present study we
therefore evaluatedFAS-AS1 expression in blood samples of de novo AML patients
and healthy controls using real-time quantitativereverse transcription-PCR (qRT
PCR). Our results indicated that the expression level of FAS-AS1 lncRNA
demonstratedno significant difference between AML patients and healthy
individuals. We conclude from the obtained data thatFAS-AS1 is not an informative
and reliable biomarker for AML diagnosis, although our results need to be
confirmedin further studies.
PMID- 29373892
TI - Curcumin Analog Pentagamavunon-1 (PGV-1) Sensitizes Widr Cells to 5-Fluorouracil
through Inhibition of NF-kappaB Activation
AB - Cell cycle regulation and the NF-kappaB pathway in cancer cells are important in
mediating resistance to 5-Fluorouracil(5-FU). Pentagamavunon-1 (PGV-1), a
curcumin analog, is known to exhibit stronger growth inhibitory effects
thancurcumin itself in several cancer cells. In this study, we evaluated the
potency of PGV-1 in combination with 5-FUin WiDr colon cancer cells. In MTT
assays, PGV-1 did not only exhibit stronger growth inhibitory effects than both5
FU and curcumin, but also enhanced the cytotoxicity of 5-FU. Flow cytometry
demonstrated that single treatmentswith PGV-1 and 5-FU resulted in different
effects on cell cycle profiles. PGV-1 induced G2/M arrest while 5-FUcaused S
phase arrest at low concentration (1 MUM) and G1-phase arrest at high
concentration (100 MUM). Interestingly,the combination of 5-FU and PGV-1 enhanced
cell accumulation in S-phase. Although a single treatment with either5-FU or PGV
1 increased cyclin D1 at the protein level, the combination treatment resulted in
significant suppression.In addition, PGV-1 inhibited activation of NF-kappaB and
suppressed the expression of cyclooxygenase-2, an NF-kappaBdownstream protein. In
conclusion, PGV-1 increased the cytotoxic effect of 5-FU on WiDr cells through
inhibition ofNF-kappaB activation.
PMID- 29373893
TI - The Effect of Mammary Extracellular Matrix in Controlling Oral and Mammary Cancer
Cells
AB - Extracellular matrix (ECM) plays an important role in the normal physiology of
tissues and progression to disease.Earlier studies and our external microarray
data analysis indicated that mammary matrix from involuting tissue
showedupregulation of genes involved in ECM remodeling. The present study
examines the fate of mammary and oral cancercells grown in the ECM from lactating
mammary gland. Our findings show that non-tumorigenic cells, MCF10A andDOK cells
did not proliferate but the tumorigenic and metastatic cells, SCC25 and MDA-MB
231, underwent apoptosiswhen grown on mammary ECM isolated from lactating mice.
In addition, the cytokinesis marker, CEP55, was repressedin the oral and breast
cancer cells. In contrast, these cells proliferated normally on mammary ECM
isolated from miceundergoing involution. External microarray data analysis of
mammary tissue further revealed over expression (~16 fold)of QSOX1 gene, which
promotes cellular quiescence, in lactating mammary gland. A recent study has
indicated thatQSOX1 overexpression in breast cancer cells led to reduced
proliferation and tumorigenic properties. This extracellularprotein in mammary
ECM may be responsible for reduced cellular proliferation. The present study has
shown that ECMfrom lactating mammary gland can regulate signals to oral and
breast cancer cells to halt cell division. This preliminaryobservation provided
insights into the potential role of ECM factors present in lactating mammary
gland as therapeutictargets to control cancer cell division. This preliminary
study is an attempt to understand not only the requirement ofECM remodeling
factors essential for the growth and survival of cancer cells but also the
factors present in the lactationmatrix that simultaneously halts cell division
and selectively inhibits the growth of cancer cells.
PMID- 29373894
TI - Health-Related Quality of Life before and after Surgical Resection of
Hepatocellular Carcinoma: A Prospective Study
AB - Background and Objectives: This large-scale prospective cohort study of a Taiwan
population applied generalizedestimating equations (GEEs) to evaluate changing
trends in health-related quality of life (HRQoL) and to comparepredictors of
HRQoL before and after surgical resection of hepatocellular carcinoma (HCC)
performed during2011-2014. Materials and Methods: The Short Form-36 Health Survey
(SF-36) and Functional Assessment of CancerTherapy-Hepatobiliary were used in a
preoperative assessment and in 3- and 6-month postoperative assessments of 332HCC
patients. Results: The HRQoL was signficantly (p<0.05) improved at 3 months after
surgical resection of HCCand plateaued at 6 months after surgery. Scores for both
the SF-36 Physical Component Summary (PCS) and MentalComponent Summary (MCS) were
significantly higher at the third month after surgery (p<0.05) compared to
thepreoperative period. Both scores also exceeded the norms after hepatic
resection of HCC. However, PCS scores weregenerally higher than MCS scores
throughout the study period. After adjusting for time effects and baseline
predictors,GEE approaches revealed the following explanatory variables for HRQoL:
time of HRQoL assessment, gender, age,education, coresidence with family,
chemotherapy, average length of hospital stay, and preoperative functional
status.Conclusions: Hepatic resection significantly increased HRQoL in patients
with HCC (p<0.05). However, an evaluationof HRQoL after hepatic resection should
consider several factors other than outcomes of the surgery itself.
Additionally,patients should be advised that their HRQoL improvement after
surgery might depend not only on the success of surgery,but also on their
preoperative functional status.
PMID- 29373895
TI - Pattern of Failure with Locally Advanced Cervical Cancer- A Retrospective Audit
and Analysis of Contributory Factors
AB - Background: The majority of the global burden of cervical cancer is affecting
developing countries. Despiteimprovement in treatment of patients presenting at a
locally advanced stage, approximately 50% experience recurrencewithin the 1st two
years. This study was conducted to analyse contributory factors for recurrence
within 24 months.Methods: The present retrospective study was undertaken to
analyse factors affecting recurrence, type of failure andthe follow up pattern of
patients who completed treatment with a minimum follow-up period of 6 months
during thestudy period of 5 years. Results: Out of 323 patients included in the
study, 112 (34.7%) presented with recurrencewithin the follow-up period. The
stage and histology had a significant impact on disease free survival (DFS). Of
thosewho were followed-up regularly, recurrence was observed in 28.7% with a DFS
of 81.3 months, in contrast to the 48.5% patients with a DFS of 45.0 months for
whom follow-up was irregular. The failure pattern was mostly in the formof nodal
recurrence (61%). On univariate analysis, treatment time, EBRT and ICBT gap and
mean EQD2 point A werefound to associated with a better outcome in terms of 2yr
DFS. On Cox regression analysis, stage, histology, treatmentgap (HR-0.48) and
follow up pattern (HR-0.24) retained their effects on survival. Point A dose was
higher in patientswithout recurrence (P value 0.000) unlike other assymmetric
parameters. Conclusion: Apart from point A cumulativedose (mean EQD2), stage,
histology and treatment gap were the factors that affected early local failure.
An interestingresult was that follow-up pattern had a significant impact on DFS
period.
PMID- 29373896
TI - Differential Response of B Cells to an Immunogen, a Mitogen and a Chemical
Carcinogen in a Mouse Model System
AB - Background: B cells are specific antibody generating cells which respond to
foreign intruders in the circulation.The purpose of this study was to compare the
relative immunogenic potentials of three well established agent types viz.an
immunogen, a mitogen and a carcinogen, by following B cell responses to their
presence in a mouse model system.Methods: Mice were treated with tetanus toxoid
(immunogen), poke weed mitogen (typical mitogen), and benzo-alpha-pyrene
(carcinogen) and generated B cell populations were determined in isolated splenic
lymphocytes (splenocytes)by flow cytometry using specific anti-B cell marker
antibodies. Flow cytometric estimation of LDL receptor (LDLR)expression, along
with associated B cell markers, was also conducted. Kit based estimation of serum
IgG, westernblotting for LDLR estimation on total splenocytes and spectrometry
for cholesterol and serum protein estimation werefurther undertaken. Student's T
tests and one way ANOVA followed by the Bonferroni method were employed
forstatistical analysis. Results: The mitogen was found to better stimulate B
cell marker expression than the immunogen,although the latter was more effective
at inducing antibody production. The chemical carcinogen benzo-alpha-pyrene atlow
concentration acted potentially like a mitogen but almost zero immunity was
apparent at a carcinogenic dose, witha low profile for LDLR expression and
intracellular cholesterol. Conclusion: The findings in our study demonstratean
impact of concentration of BaP on generation of humoral immunity. Probably by
immunosuppression throughrestriction of B-cell populations and associated
antibodies, benzo-alpha-pyrene may exerts carcinogenicity. The level
ofcholesterol was found to be a pivotal target.
PMID- 29373897
TI - Association of ARID5B Genetic Variants with Risk of Childhood B Cell Precursor
Acute Lymphoblastic Leukaemia in Latvia
AB - Background: Acute lymphoblastic leukaemia (ALL) is the most common malignancy in
childhood. Despitenumerous investigations very little is still known about its
aetiology. However, in one genome wide association studyconducted to identify the
possible genetic risk factors, two allelic variations rs10821936 and rs10994982
in the 3rdintron of the ARID5B gene were identified as possible ALL risk alleles.
Association between ARID5B gene variants andALL risk was also been confirmed for
different ethnic groups. Materials and Methods: Eight genetic variants in thegene
ARID5B were genotyped - rs10994982, rs7908445, rs7923074, rs10821936, rs10821937,
rs7896246, rs10821938and rs7089424 in 77 ALL patients in remission and in 122 age
and gender matched controls; parental samples werealso genotyped in 50 cases.
Results: Six out of the eight (rs7908445, rs7923074, rs10821936, rs10821937,
rs7896246and rs7089424) analysed allelic variations were identified in the case
control analysis as statistically significant riskalleles for ALL development. In
the family study and using hybrid analysis, all allelic variations were
significantlyassociated with ALL. During the study, risk haplotype was identified
rs10994982/rs7908445/rs7923074/
rs10821936/rs10821937/rs7896246/rs10821938/rs7089424 - ATACCAAG - with a
frequency in cases of 0.17 and in the controlgroup at 0.29 (chi square = 6.69, p
value = 0.009). In the family association study the same haplotype showed
statisticalsignificance (chi squared = 10.3, p value = 0.001). Conclusions:
Results of the study replicate and extend previouslypublished findings for ARID5B
localized allelic variants, but do not explain the mechanism of action related to
thepathogenesis of ALL.
PMID- 29373898
TI - Anti-ROR1 scFv-EndoG as a Novel Anti-Cancer Therapeutic Drug
AB - Aim: Immunotoxins are proteins that consist of an antibody fragment linked to a
toxin, used as agents for targetedtherapy of cancers. Although the most potent
immunotoxins are made from bacterial and plant toxins, obstacles whichcontribute
to poor responses are immunogenicity in patients and rapid development of
neutralizing antibodies. In thepresent study we proposed a new therapeutic
immunotoxin for targeted cancer therapy of ROR1 expressing cancers:an anti ROR1
single chain fragment variable antibody (scFv)-endonuclease G (anti ROR1 scFv
EndoG). Methods:The three-dimensional structure of anti ROR1 scFv-EndoG protein
was modeled and structure validation tools wereemployed to confirm the accuracy
and reliability of the developed model. In addition, stability and integrity of
themodel were assessed by molecular dynamic (MD) simulation. Results: All results
suggested the protein model tobe acceptable and of good quality. Conclusions:
Anti-ROR1 scFv-EndoG would be expected to bind to the ROR1extracellular domain by
its scFv portion and selectively deliver non-immunogenic human endonuclease G
enzyme asan end-stage apoptosis molecule into ROR1-expressing cancer cells and
lead rapidly to apoptosis. We believe that antiROR1 and other anti-tumor antigen
scFv-EndoG forms may be helpful for cancer therapy.
PMID- 29373899
TI - Mitochondrial Effects of Teucrium Polium and Prosopis Farcta Extracts in
Colorectal Cancer Cells
AB - Background: Teucrium Polium and Prosopis Farcta have been traditionally employed
in cancer treatment. In thisstudy we evaluated the effects of methanolic extracts
of these two plants in HT-29 cells. Methods: IC50s of extractswere obtained via
MTT assay and the levels of ROS production, cell death, collapse of mitochondrial
membranepotential and Sirt3 enzyme activity were determined. Results: After 48
hours exposure, IC50s for Teucrium and Prosopisextracts were 3 and 2MUg/ml,
respectively. Extracts induced higher ROS production after 6 hours than after 12
hours.Mitochondrial membrane potential collapse and cell death rate were also
increased; Teucrium caused greater cell deaththan Prosopis. Extracts from both
plants increased Sirt3 activity in its normal form, but only Teucrium extract
caused asignificant increase in activity of Sirt3 enzyme isolated from cancer
cells. Conclusion: Teucrium and Prosopis extractsexert anticancer activity via
mitochondrial alterations, as exemplified by increased ROS levels, Sirt3 activity
and celldeath in HT-29 colorectal cancer cells.
PMID- 29373900
TI - Epidermal Growth Factor Receptor Mutations in Lung Adenocarcinomas: A Single
Center Study from Iran
AB - Introduction: Lung cancer is the fifth leading tumor in Iran, and while its
incidence remains relatively low, ithas been increasing steadily. Targeted
therapies have brought new hope to patients with non small cell lung
cancer(NSCLC). The epidermal growth factor receptor (EGFR) gene is the prototype
member of the type I receptor tyrosinekinase (TK) family and plays a pivotal role
in cell proliferation and differentiation. Studies from Asian countries
haverevealed a higher frequency of EGFR mutations than in the West. The aim of
this study was to measure the frequencyand type of EGFR mutations in a group of
Iranian patients with lung adenocarcinomas. Methods: Formalin fixedparaffin
embedded (FFPE) lung adenocarcinoma tissues from 103 Iranian patients were
sequentially tested for EGFRmutations by the polymerase chain reaction (PCR)
followed by direct nucleotide sequencing of exons 18, 19, 20, and21. Patient's
demographics and other clinical details were obtained from the medical records of
hospitals affiliated toIran University of Medical Sciences, Tehran, Iran.
Statistical analyses were performed with SPSS v.20. Results: EGFRmutations were
detected in 25/103 (24.3%) patients. The most frequent was an exon 21 point
mutation (L858R) (15patients; 60%), followed by one in exon 19 (10 patients;
40%). The frequency of EGFR mutations in never-smokerpatients was significantly
higher than in smokers (68% versus 32%; p < 0. 01). Conclusion: EGFR mutation
frequencyis higher than in the West but lower than in East Asian and almost equal
to reported rates for Indian and North Africanpopulations. Smoking is negatively
associated with EGFR mutations in Iranian lung adenocarcinomas.
PMID- 29373901
TI - Compliance with National Guidelines on the Treatment of Stage II-IVB
Nasopharyngeal Carcinoma in a Regional Cancer Center of Southern China
AB - Objective: It is unknown whether the treatment provided to patients with stage II
IVB NPC in southern Chinaadheres to the 2015 NCCN guidelines. Consequently, a
retrospective analysis was conducted, in order to evaluatethe compliance with
NCCN guidelines and identify the areas for improvement. Methods: The present
study was aretrospective study that included patients with stage II-IVB NPC in
southern China during the period 2013 and 2014.The treatment regimens were
compared with the 2015 NCCN guidelines in order to identify potential
noncomplianceregarding the treatment for stage II-IVB NPC. The statistical
analyses included descriptive statistics, univariateand/or multivariate analysis
using SPSS version 16.0.0. Results: A total of 215 patients, including 166 men
(77.21%)and 49 women (22.79%), were involved in the analysis. Although the
overall rate of noncompliance with the NCCNrecommendations was 23.26%, the
noncompliance rate of concurrent chemoradiation (CCRT), induction of
chemotherapy(IC) followed by CCRT and CCRT followed by adjuvant chemotherapy (AC)
was 7.02%, 39.76% and 50.00%,respectively. Univariate analysis indicated that
NCCN noncompliance regarding the treatment for stage II-IVB NPCdid not exhibit a
significant correlation with the parameters age, gender, insurance status,
education profile, first clinicdepartment, careers, comorbidities and overall
clinical stage, but it indicated a significant association with the
therapeuticschedule (P<0.05). The multivariate analysis indicated that the NCCN
noncompliance regarding the treatment for stageII-IVB NPC exhibited a
statistically significant difference between CCRT and CCRT followed by AC
(OR=0.10, 95%CI 0.04-0.27, P<0.05 ), although the difference noted between CCRT
and IC followed by CCRT was not significantlydifferent (OR=1.71, 95% CI 0.50
5.87,P=0.40). Conclusions: The use of specific therapeutic schedules may affect
thenoncompliance with NCCN guidelines regarding the treatment for stage II-IVB
NPC in southern China, notably withregard to the treatment schedule of CCRT
followed by AC.
PMID- 29373902
TI - Beliefs and Behavior of Saudi Women in the University of Tabuk Toward Breast Self
Examination Practice
AB - Background: Breast cancer is one of the most frequent types of malignancy
worldwide, Breast Self Exam (BSE)is considered as a simple method to screen and
detect breast cancer, then early beginning of treatment and enhancingsurvival
rates. Aim: To Identify the health beliefs about breast Self-Examination and its
relationships with thefrequency of BSE among the women in the University of Tabuk
at Saudi Arabia. Methods: Descriptive, cross-sectionalcorrelational design was
used; Champion Health Beliefs Model (CHBM) was utilized to assess health beliefs
among 400women who answered a self-administered questionnaire. Results: Among the
400 respondents, almost all the sample(382,95.5%) heard about BSE. However, only
(7.8%) practiced BSE regularly each month in the past year, and (9%)is intended
to perform BSE monthly in future. There was a positive relationship between
performing BSE last year andthe beliefs of susceptibility and confidence. While,
intention to perform BSE in the future was significantly correlatedto seriousness
and confidence. Perceived barriers were negatively related to BSE performance in
last 12 months and infuture. Conclusion: Health beliefs affect the behavior of
women in practicing BSE. Confidence was related positivelyto BSE in past year,
and future practice, while barriers belief was as the negative factor to perform
BSE.
PMID- 29373903
TI - Hemostatic Abnormalities in Multiple Myeloma Patients
AB - Background: Multiple myeloma (MM) is a neoplastic plasma cell disorder
characterized by clonal proliferation ofplasma cells in the bone marrow. Diverse
hemostatic abnormalities have been reported in patients with myeloma
whichpredispose to bleeding and also thrombosis. Methods: Complete blood count,
biochemical parameters and parametersof hemostasis i.e. platelet count,
prothrombin time (PT), activated partial thromboplastin time (APTT), thrombin
time(TT), factor VIII assay results, plasma fibrinogen, D-dimer and lupus
anticoagulant, were assessed in 29 MM patientsand 30 age matched controls.
Results: The most frequent abnormal screening parameter was APTT. Of the six
indicativeof a bleeding tendency i.e. thrombocytopenia, prolonged PT, APTT, TT,
reduced plasma fibrinogen and factor VIII, atleast one was abnormal in 8 (27.6%)
patients. Of the four prothrombotic markers, lupus anticoagulant, D-dimer,
elevatedfactor VIII and plasma fibrinogen, one or more marker was present in 24
(82.7%). D-dimer was the most commonprothrombotic marker, being elevated in 22
(75.9%) patients. One or more laboratory parameter of hemostasis wasabnormal in
all 29 (100%) patients. Though thrombotic complications are reported to be less
frequent as compared tohemorrhagic manifestations, one or more marker of
thrombosis was present in 24 (82.7%) patients. Conclusion: Thisstudy provided
laboratory evidence of hemostatic dysfunction which may be associated with
thrombotic or bleedingcomplications at diagnosis in all MM patients. Hence,
screening for these abnormalities at the time of diagnosis shouldhelp improved
prognosis in such cases.
PMID- 29373904
TI - Eupatorin and Salvigenin Potentiate Doxorubicin-Induced Apoptosis and Cell Cycle
Arrest in HT-29 and SW948 Human Colon Cancer Cells
AB - Background: Cancer persists as one of the world's most pressing maladies. Notable
points about chemotherapy aredrug side effects which are almost universally
encountered. Emerging knowledge focusing on mechanisms of toxicitydue to
chemotherapy has led to characterization of novel methods, including the
exploitation of natural compounds,in combination therapies. Flavonoids are
natural polyphenolic compounds that play protective roles against tumor
celldevelopment. The focus of this study was apoptotic effects of two flavonoids,
eupatorin and salvigenin, in combinationwith doxorubicin on a cellular model of
colon cancer. Method: Upon establishing a non-effective dose of doxorubicin,and
effective doses of eupatorin (100MUM) and salvigenin (150MUM) via MTT,
morphological features of apoptosis weredistinguished using DAPI staining and
cell cycle blockage in the sub-G1 phase. Apoptosis was determined by annexin/PI
and western blotting. ROS levels and MMP were measured to show any role of
mitochondria in apoptosis. Results:Co-administration of flavonoids with
doxorubicin induced apoptosis via the mitochondrial pathway as
mitochondrialmembrane potential and ROS production were changed. Annexin/PI
analysis demonstrated that apoptosis frequencywas increased with the combination
treatments in colon cancer cells. Finally, the combination of these flavonoids
withdoxorubicin increased the Bax/Bcl-2 ratio, caspase-3 expression and PARP
cleavage. Conclusion: Combination offlavonoids with doxorubicin induces apoptosis
and enhances effect on cancer cells which might allow amelioration ofside effects
by dose lowering.
PMID- 29373905
TI - Significance of DNA Replication Licensing Proteins (MCM2, MCM5 and CDC6), p16 and
p63 as Markers of Premalignant Lesions of the Uterine Cervix: Its Usefulness to
Predict Malignant Potential
AB - Cervical cancer continues to be a leading cancer among women in many parts of the
world. Nation-wide screeningwith the Pap smear has not been implemented in India
due to the lack of adequately trained cytologists. Identificationof biomarkers to
predict malignant potential of the identified low risk lesions is essential to
avoid excessive retestingand follow up. The current study analyzed the expression
patterns of DNA replication licensing proteins, proliferationinhibitor protein
p16INK4A and tumor suppresser protein p63 in cervical tissues and smears to
assess the ability ofthese proteins to predict progression. Methods: Cervical
smears and corresponding tissues were immunostained usingmouse monoclonal
antibodies against MCM2, MCM5, CDC6, p16 and p63. Smears were treated with a non
ionicsurfactant sodium deoxycholate prior to immuno-cytochemistry. The standard
ABC method of immunohistochemistrywas performed using DAB as the chromogen. The
immunostained samples were scored on a 0-3+ scale and stainingpatterns of smears
were compared with those of tissue sections. Sensitivity and specificity for each
of these markers werecalculated taking histopathology as the gold standard.
Result: All the markers were positive in malignant and dysplasticcells. MCM
protein expression was found to be up-regulated in LSIL, HSIL and in malignancies
to a greater extentthan p16 as well as p63. CDC6 protein was preferentially
expressed in high grade lesions and in invasive squamouscell carcinomas. A
progressive increase in the expression of DNA replication licensing proteins in
accordance withthe grades of cervical intraepithelial lesion suggests these
markers as significant to predict malignant potential of lowgrade lesions in
cervical smears. Conclusion: MCMs and CDC6 can be applied as biomarkers to
predict malignantpotential of low grade lesions identified in screening
programmes and retesting / follow up might be confined to thosewith high risk
lesions alone so that overuse of resources can be safely avoided.
PMID- 29373906
TI - Thai Water Lily Extract Induces B16 Melanoma Cell Apoptosis and Inhibits Cellular
Invasion Through the Role of Cellular Oxidants
AB - Melanoma is a cancer that is associated with a high capacity of invasion.
Oxidative stress is recognized as cancergrowth and progression. The phytochemical
pigments of natural products show either anti-oxidant or pro-oxidantactivity from
the redox system. In addition, the phytophenolics also prevent cancer cell
proliferation and progression.Objective: This study aims to investigate the
effects of Thai water lily on cell apoptosis and cellular invasion throughthe
role of cellular oxidants in B16 melanoma cells. Methods: The cytotoxicity and
cell apoptosis of Thai water lilyextract treating B16 cells were performed by
using the MTT and Annexin V/PI-flow cytometry methods, respectively. Inaddition,
cellular oxidants and cancer cell invasion were also obtained by using DCFH-DA
and Boyden chamber assays,respectively. Results: Thai water lily, Nymphaea
stellate extract was shown to be markedly toxic to B16 melanomacells with IC50 =
814 MUg/ml. The extract at 800 and 1,000 MUg/ml demonstrated pro-oxidant activity
relating to the cellapoptosis. The low concentrations of the extract at 200 and
400 MUg/ml showed the anti-oxidant function associatedwith the inhibitory effect
of melanoma cell invasion. Conclusion: Thai water lily extract may play an
important rolein bioactive work as a chemo preventive agent on the modulation of
cellular oxidative stress-induced apoptosis andsuppressed cancer cell invasion.
PMID- 29373907
TI - Knowledge and Practices Related to Screening for Breast Cancer among Women in
Delhi, India
AB - Background: Breast cancer is a major public health problem globally. The ongoing
epidemiological, socio-culturaland demographic transition by accentuating the
associated risk factors has disproportionately increased the incidenceof breast
cancer cases and resulting mortality in developing countries like India. Early
diagnosis with rapid initiationof treatment reduces breast cancer mortality.
Therefore awareness of breast cancer risk and a willingness to undergoscreening
are essential. The objective of the present study was to assess the knowledge and
practices relating to screeningfor breast cancer among women in Delhi. Methods:
Data were obtained from 222 adult women using a pretested
selfadministeredquestionnaire. Results: Rates for knowledge of known risk factors
of breast cancer were: family historyof breast cancer, 59.5%; smoking, 57.7%; old
age, 56.3%; lack of physical exercise, 51.9%; lack of breastfeeding,48.2%; late
menopause, 37.4%; and early menarche, 34.7%. Women who were aged < 30 and those
who were unmarriedregistered significantly higher knowledge scores (p <= 0.01).
Breast self-examination (BSE) was regularly practicedat-least once a month by
41.4% of the participants. Some 48% knew mammography has a role in the early
detectionof breast cancer. Since almost three-fourths of the participants
believed BSE could help in early diagnosis of breastcancer, which is not
supported by evidence, future studies should explore the consequences of
promoting BSE at thepotential expense of screening mammography. Conclusion: Our
findings highlight the need for awareness generationamong adult women regarding
risk factors and methods for early detection of breast cancer.
PMID- 29373908
TI - Molecular Subtypes of Indonesian Breast Carcinomas - Lack of Association with
Patient Age and Tumor Size
AB - Objective: Breast carcinoma (BC) is a heterogeneous disease that exhibits
variation in biological behaviour,prognosis and response to therapy. Molecular
classification is generally into Luminal A, Luminal B, HER2+ and
triplenegative/basal-like, depending on receptor characteristics. Clinical
factors that determined the BC prognosis are age andtumor size. Since information
on molecular subtypes of Indonesian BCs is limited, the present study was
conducted,with attention to subtypes in relation to age and tumor size. Methods:
A retrospective cross-sectional study of 247paraffin-embedded samples of invasive
BC from Dr. Sardjito General Hospital Yogyakarta in the year 2012- 2015
wasperformed. Immunohistochemical staining using anti- ER, PR, HER2, Ki-67 and CK
5/6 antibodies was applied toclassify molecular subtypes. Associations with age
and tumor size were analyzed using the Chi Square Test. Results:The Luminal A was
the most common subtype of Indonesian BC (41.3%), followed by triple negative
(25.5%), HER2(19.4%) and luminal B (13.8%). Among the triple negative lesions,
the basal-like subtype was more frequent than thenon basal-like (58.8 % vs
41.2%). Luminal B accounted for the highest percentage of younger age cases (< 40
yearsold) while HER2+ was most common in older age (> 50 years old) patients.
Triple negative/basal-like were commonlylarge in size. Age (p = 0.080) and tumor
size (p = 0.462) were not significantly associated with molecular subtypes ofBC.
Conclusion: The most common molecular subtype of Indonesian BC is luminal A,
followed by triple-negative,HER2+ and luminal B. The majority of triple-negative
lesions are basal-like. There are no association between ageand tumor size with
molecular subtypes of Indonesian BCs.
PMID- 29373909
TI - Congenital Hypertrophy of Retinal Pigment Epithelium for Diagnosis of Familial
Adenomatous Polyposis - the First FAP registry in Iran
AB - Objective: Familial adenomatous polyposis (FAP), an autosomal dominant inherited
disorder is characterized by thepresence of multiple adenomatous colorectal
polyps, which can develop into cancer during early adulthood. Therefore,early
diagnosis is essential. Most FAP patients have several extracolonic
manifestations, including congenital hypertrophyof the retinal pigment epithelium
(CHRPE). Whereas genetic markers may provide the main route to detection of
''atrisk'' subjects , at present this approach is clearly limited and searches
for a noninvasive phenotypic marker continueto be high priority.The aim of this
study was to describe the pattern of distribution of CHRPE lesions and
evaluatetheir diagnostic value in FAP patients and their family members in a
local population. Methods: A total of 23 FAPpatients and 26 relatives belonging
to 12 families at high risk of developing FAP were subjected to colonoscopic
andophthalmological examination. Result: Retinal examinations demonstrated
prevalences of CHRPE in FAP patents andtheir siblings of 78% and 38%,
respectively. We were able to illustrate a significant correlation between FAP
diseaseand the presence of retinal lesions. Sensitivity and specificity of CHRPE
as a screening test to detect the presence ofFAP are 78.3% and 61.5%,
respectively, with a positive predictive value of 64.3% and a negative predictive
value of76.2 %. A "lesion form" significant difference was found between FAP and
normal participants.Spearman nonparametricanalysis revealed no correlation
between age and number or size of lesions. Conclusion: Multiple CHRPE lesions
area diagnostic feature of FAP patients They are specific and sensitive clinical
markers of this disease (specificity 60%and sensitivity 77%).
PMID- 29373910
TI - Expression of VEGF and Cox-2 in Patients with Esophageal Squamous Cell Carcinoma
AB - Esophageal cancer is a highly aggressive neoplasm. In Brazil, it is the sixth
most frequent among men and fifteenthamong women. The most common type is
squamous cell carcinoma (SCC), responsible for 96% of cases. Twenty
eightspecimens of Esophael squamous cell carcinoma (ESCC) were obtained by
surgery procedures.The tissues werefixed in formalin and embedded in paraffin. In
each case, all available hematoxylin and eosin stained sections wereexamined and
a representative block was selected. The ages of these patients ranged from 40 to
93 years, with a meanage of 60 years. Results: The histological grade of tumors
was 4 well-differentiated, 19 moderately differentiated and5 poorly
differentiated. Expression of Cox-2 and VEGF in ESCC was demonstrated in 23
(82,14%) and 13 (44,43%)cases, respectively. Adjacent normal mucosa was positive
in 11 (39,29%) samples and 9 (32,15%) samples for Cox-2and VEGF, respectively. No
relationship between the expression of Cox-2 and VEGF with the
clinicopathologicalparameters, including gender, age, surgical margin, lymph node
status and tumor differentiation. The median follow-upperiod was 60 months.
Survival analysis of patients with ESCC showed no relationship with the
expression of Cox-2and VEGF. Conclusion: VEGF and Cox-2 are expressed in ESCC.
Cox-2, VEGF, play a significant role in the originand development of ESCC and the
inhibitors of these proteins could prove to be an important therapeutic tool in
thecontrol of this disease.
PMID- 29373911
TI - Can Histological Grade and Mitotic Index Replace Ki67 to Determine Luminal Breast
Cancer Subtypes?
AB - Introduction: Breast cancer can be classified into subtypes based on
immunohistochemical markers, with Ki67expression levels being used to divide
luminal BC tumors in luminal A and B subtypes; however, Ki67 is not
routinelydetermined due to a lack of standardization. Objective: To evaluate
histological grade and Eliminate: the mitotic indexto determine if they can be
used as an alternative method to Ki67 staining for luminal subtype definition.
Methods:We evaluated estrogen receptor positive breast cancer tissue samples.
Pathological analysis included determinationof Ki67. A low level of Ki67 was
defined as <14% positive cells. Results: We evaluated 151 breast cancer
samples;24 (15,9%) were classified as I; 74 as HG II (49%), and 53 (35,1%) as HG
III. The median value for Ki67 was 13%(range: <1% - 82%) and for MI was 2 (0-12).
Histological grade I tumors exhibited Ki67 values significantly lower thanHG II
and III tumors (Anova, Tamhane test p=0,001). A higher Ki67 value was related to
a higher MI (Rho Spermanp=0,336; R2= 0,0273). ROC curve analysis determined that
a MI >= 3 had a sensibility of 61.9% and specificity of66.7% in predicting a high
Ki67 value (>=14%) (area under the curve: 0,691; p =0,0001). A HG I tumor or HG
II-IIIwith MI <=2, had a high probability of corresponding to a LA tumor (76,3%),
as defined using Ki67 expression, whilethe probability of a LB subtype was higher
with HG II-III and a MI >=3 (57.4%). Global discrimination was 68.1%.Conclusions:
For the LA subtype, our predictive model showed a good correlation of HG and MI
with the classificationbased on Ki67<14%. In the LB subtype, the model showed a
weak correlation; therefore Ki67 determination seems tobe needed for this group
of patients.
PMID- 29373912
TI - Molecular Subtypes, Apoptosis and Proliferation Status in Indonesian Diffuse
Large B-Cell Lymphoma Cases
AB - Objective: The diffuse large B-cell lymphoma (DLBCL) has two major molecular
subtypes, germinal centerB-cell-like (GCB) and non-GCB. These have differing
behavior which affects overall patient survival. However,immunohistochemistry
based molecular subtyping of Indonesian DLBCLs has been limited. This was the
focus of thepresent study, with a focus of attention on the apoptotic index (AI)
and the proliferation index (PI) of the two molecularsubtypes. Materials and
Methods: During the study period of 3.5 years, a total of 98 cases of DLBCL were
identified.Molecular subtypes and PI were determined by immunohistochemistry and
TUNEL method was used to determinethe AI. Result: GCB accounted for 31 cases
(31.6%) and non-GCB the remainder (68.4%). Gender showed a slightmale
predominance (54 cases, 55.1%), with a higher incidence in the extra-nodal region
(57 cases, 58.2%). The AIand PI were significantly higher in GCB (p<0.001 in the
Mann-Whitney test) and a Spearman correlation coefficienttest showed that PI was
positively correlated with AI (r=0.673, p<0.001). Conclusion: The findings
indicate that thenon-GCB subtype is more common than GCB in Indonesian DLBCL. GCB
features significantly higher PI and AI,which themselves appear linked.
PMID- 29373913
TI - Evaluation of HPV DNA positivity in colorectal cancer patients in Kerman,
Southeast Iran
AB - Background: The HPV virus is known to be oncogenic and associations with many
cancers has been proven.Although many studies have been conducted on the possible
relationship with colorectal cancer (CRC), a definitiverole of the virus has yet
to be identified. Method: In this cross-sectional study, the frequency of HPV
positivity in CRCsamples in Kerman was assessed in 84 cases with a mean age of
47.7 +/- 12.5 years over two years. Qualitative realtime PCR was performed using
general primers for the L1 region of HPV DNA. Results: Out of 84 CRC samples,
19(22.6%), proved positive for HPV DNA. Genotyping of positive samples showed all
of these to be of high risk HPVtype. Prevalence of HPV infection appears to
depend geographic region, life style, diet and other factors. Conclusion: Inour
location frequency of CRC is low, and this limited the sample size for evaluation
of HPV DNA. The most prevalenttypes were HPV types 51 and 56. While HPV infection
may play an important role in colorectal carcinogenesis, thisneeds to be assessed
in future studies.
PMID- 29373914
TI - Genetic Polymorphism of Thiopurine S-methyltransferase in Children with Acute
Lymphoblastic Leukemia in Jordan
AB - Background and Aims: It has been demonstrated that homozygote and heterozygote
mutant allele carriers forthiopurine S-methyltransferase (TPMT) are at high risk
of developing myelosuppression after receiving standard dosesof 6-mercaptopurine
(6-MP). The aim of this study was to determine the frequency of TPMT deficient
alleles in childrenwith acute lymphoblastic leukemia (ALL) in Jordan and to
compare it with other ethnic groups. Methods: We included52 ALL childhood cases
from King Hussein Cancer Research Center in Jordan. Genotyping of the rs1800460,
rs1800462,and rs1142345 SNPs was performed by polymerase chain reaction (PCR)
followed by sequencing. Comparisons weremade with historical data for controls
and for both volunteers and cases from other middle-eastern countries.
Results:Mutant TPMT alleles were present in 3.8% (2/52) of patients. Allelic
frequencies were 1.0% for both TPMT*B andTPMT*C. None of the patients were
heterozygous or homozygous for TPMT*3A or TPMT *2. We did not find
statisticallysignificant differences in the distribution of mutant alleles
between Jordan and other middle-eastern countries for bothhealthy volunteers or
ALL patients. Conclusions: The overall frequency of TPMT mutant alleles was low
and did notexhibit differences compared to other middle-eastern countries,
including Jordanian studies assessing TPMT mutantalleles in healthy volunteers.
The current results question the value of TPMT genotyping in the Jordanian
population.
PMID- 29373915
TI - RapidArc vs Conventional IMRT for Head and Neck Cancer Irradiation: Is Faster
Necessary Better?
AB - Purpose: The aim of this study was to dosimetrically evaluate and compare double
arc RapidArc (RA) withconventional IMRT (7 fields) plans for irradiation of
locally advanced head and neck cancers (LAHNC), focusing ontarget coverage and
doses received by organs at risk (OAR). Methods: Computed tomography scans of 20
patientswith LAHNC were obtained. Contouring of the target volumes and OAR was
done. Two plans were made for eachpatient, one using IMRT and the other double
arc RA, and calculated doses to planning target volume (PTV) and OARwere
compared. Monitor units for each technique were also calculated. Results: PTV
coverage was similar with bothtechniques. The homogeneity index (HI) was higher
for the IMRT plans with a value of 0.108 +/- 0.021 compared to0.0975 +/- 0.017
for double arc RA plans (p-value of 0.540). The double arc RA plans achieved a
better conformitywith a CI95%= 1.01 +/- 0.021 compared to 1.05 +/- 0.057 achieved
with the IMRT plans (p-value of 0.036). The averagemonitor units (MU) +/-SD were
930.5 +/- 142.42 for the IMRT plans as opposed to 484.25 +/- 69.47 for the double
arc RAplans (P-value of 0.002). Double arc plans provided better OAR sparing with
a significant p-value of 0.002 and 0.004for the right and left parotid glands,
respectively. Conclusions: RA is a rapid and accurate technique that uses
lowerMUs than conventional IMRT. Double arc plans provide better dose conformity,
OAR sparing and a more homogeneoustarget coverage compared to IMRT.
PMID- 29373916
TI - Intra-Operative Frozen Sections for Ovarian Tumors - A Tertiary Center Experience
AB - Background: Accuracy of diagnosis with intra-operative frozen sections is
extremely important in the evaluationof ovarian tumors so that appropriate
surgical procedures can be selected. Study design: All patients who withintra
operative frozen sections for ovarian masses in a tertiary center over nine years
from June 2008 until April 2017were reviewed. Frozen section diagnosis and final
histopathological reports were compared. Main outcome measures:Sensitivity,
specificity, positive and negative predictive values of intra-operative frozen
section as compared to finalhistopathological results for ovarian tumors.
Results: A total of 92 cases were recruited for final evaluation. The
frozensection diagnoses were comparable with the final histopathological reports
in 83.7% of cases. The sensitivity, specificity,positive predictive value and
negative predictive value for benign and malignant ovarian tumors were 95.6%,
85.1%,86.0% and 95.2% and 69.2%, 100%, 100% and 89.2% respectively. For
borderline ovarian tumors, the sensitivity andspecificity were 76.2% and 88.7%,
respectively; the positive predictive value was 66.7% and the negative
predictivevalue was 92.7%. Conclusion: The accuracy of intra-operative frozen
section diagnoses for ovarian tumors is highand this approach remains a reliable
option in assessing ovarian masses intra-operatively.
PMID- 29373917
TI - Immunohistochemical and Biochemical Expression Patterns of TTF-1, RAGE, GLUT-1
and SOX2 in HCV-Associated Hepatocellular Carcinomas
AB - Objective: To investigate the expression of TTF-1, RAGE, GLUT1 and SOX2 in HCV
associated HCCs and insurrounding non-tumorous liver tissue. Material and
Methods: Tissue material from partial hepatectomy cases forHCC along with
corresponding serum samples and 30 control serum samples from healthy volunteers
were studied.Biopsies were classified into: non-tumor hepatic tissue (36
sections); HCC (33 sections) and liver cell dysplasia(LCD) (15 sections). All
cases were positive for HCV. Immunohistochemistry (IHC), gene extraction and
quantitativereal-time reverse-transcription assays (qRT-PCR) were applied.
Results: By IHC, LCD and HCC showed significantlyhigh percentages of positive
cases with all markers. SOX2 showed significant increase with higher HCC grades,
whileRAGE demonstrated an inverse relation and GLUT-1 and TTF-1 lacked any
correlation. In nontumorous-HCV tissue,we found significantly high TTF-1, low
RAGE and negative SOX2 expression. RAGE, GLUT-1 and SOX2 shownon-significant
elevation positivity in high grade HCV compared to low grade lesions. TTF-1, RAGE
and SOX2exhibited low expression in cirrhosis compared to fibrosis. Biochemical
studies on serum and tissue extracts revealedsignificant down-regulation of RAGE,
GLUT-1 and SOX2 genes, as well as significant up-regulation of the TTF-1gene in
HCC cases compared to controls. All studied genes show significant correlation
with HCC grade. In non-tumortissue, only TTF-1 gene expression had a significant
correlation with the fibrosis score. Conclusion: Higher expressionof TTF-1, RAGE,
GLUT-1 and SOX2 in HCC and dysplasia compared to non-tumor tissues indicates up
regulation ofthese markers as early events during the development of HCV
associated HCC.
PMID- 29373918
TI - Carbon Footprint in Flexible Ureteroscopy: A Comparative Study on the
Environmental Impact of Reusable and Single-Use Ureteroscopes.
AB - PURPOSE: There are no comparative assessments on the environmental impact of
endourologic instruments. We evaluated and compared the environmental impact of
single-use flexible ureteroscopes with reusable flexible ureteroscopes. PATIENTS
AND METHODS: An analysis of the typical life cycle of the LithoVueTM (Boston
Scientific) single-use digital flexible ureteroscope and Olympus Flexible Video
Ureteroscope (URV-F) was performed. To measure the carbon footprint, data were
obtained on manufacturing of single-use and reusable flexible ureteroscopes and
from typical uses obtained with a reusable scope, including repairs, replacement
instruments, and ultimate disposal of both ureteroscopes. The solid waste
generated (kg) and energy consumed (kWh) during each case were quantified and
converted into their equivalent mass of carbon dioxide (kg of CO2) released.
RESULTS: Flexible ureteroscopic raw materials composed of plastic (90%), steel
(4%), electronics (4%), and rubber (2%). The manufacturing cost of a flexible
ureteroscope was 11.49 kg of CO2 per 1 kg of ureteroscope. The weight of the
single-use LithoVue and URV-F flexible ureteroscope was 0.3 and 1 kg,
respectively. The total carbon footprint of the lifecycle assessment of the
LithoVue was 4.43 kg of CO2 per endourologic case. The total carbon footprint of
the lifecycle of the reusable ureteroscope was 4.47 kg of CO2 per case.
CONCLUSION: The environmental impacts of the reusable flexible ureteroscope and
the single-use flexible ureteroscope are comparable. Urologists should be aware
that the typical life cycle of urologic instruments is a concerning source of
environmental emissions.
PMID- 29373919
TI - Communicating the unknown: descriptions of pictured scenes and events presented
on video by children and adolescents using aided communication and their peers
using natural speech.
AB - The facility to describe scenes and events is important in everyday
communication, but little is known about the description skills and strategies of
young people using aided communication. This article explores how 81 children and
adolescents using aided communication and 56 peers using natural speech, aged 5
15 years, described pictured scenes and events presented on video to a partner
who had no prior knowledge of the content. The group who used aided communication
took longer and included fewer elements in their descriptions than the reference
group; however, the groups did not differ in their use of irrelevant or incorrect
elements, suggesting that both groups stayed on topic. Measures related to aided
message efficiency correlated significantly with measures of spoken language
comprehension. There were no significant differences between groups for their
descriptions of pictured scenes and video events. Analyses showed both
unpredicted group similarities and predictable differences, suggesting key
components for future research consideration.
PMID- 29373920
TI - Contralateral lumbosacral plexopathy following lumbar microdiscectomy.
AB - We describe the unique case of a 51-year-old lady who developed a contralateral
lumbosacral plexopathy two days after a lumbar microdiscectomy. This is the first
report to date of this complication occurring following this procedure. We review
the literature regarding lumbosacral plexopathy and discuss the evidence base
behind investigating and managing this condition and the potential
pathophysiological mechanisms which underlie its development. We draw comparisons
with the more widely recognised post-operative brachial neuritis, characterised
by delayed onset brachial plexopathy developing after cervical decompression, and
propose an immune-mediated inflammatory mechanism linking the two conditions.
PMID- 29373921
TI - "Understanding my ALS". Experiences and reflections of persons with amyotrophic
lateral sclerosis and relatives on participation in peer group rehabilitation.
AB - PURPOSE: The aim of this study was to gain insight into experiences and
reflections of persons with amyotrophic lateral sclerosis and relatives
concerning the peer group rehabilitation programme "More Life - Less Illness".
METHODS: This qualitative study used the Interpretive Description methodology
with Symbolic Interactionism as the analytical framework. Eighteen programme
participants representing persons with amyotrophic lateral sclerosis (n = 8) and
relatives (n = 10) were included. Data consisted of individual interviews and
participant observation. RESULTS: The analysis revealed two categorical themes,
"Sense of Community Building" and "Understanding my ALS", which represented the
participants' experiences and reflections on peer group rehabilitation. Through
the analysis, it became apparent that "Sense of Community Building" gave rise to
an increased and personalised understanding of amyotrophic lateral sclerosis
among the participants. As a part of the continuous processing of the knowledge
gained, "Facing Facts" and "Retaining Normality" appeared as subthemes regarding
the participants' ability to live a less dependent and more meaningful life.
CONCLUSIONS: This study of peer group rehabilitation for persons with amyotrophic
lateral sclerosis and relatives indicates that programme participation leads to
positive experiences in terms of living a shared meaningful life despite severe
disability. The findings may guide practice to develop longitudinal peer group
rehabilitation programmes with joint inclusion of persons with amyotrophic
lateral sclerosis and relatives. Implications for Rehabilitation Peer group
rehabilitation may facilitate an increased and personalised understanding of what
it means to live with amyotrophic lateral sclerosis. A programme design with six
months of sequential sessions enables a continuous processing of shared
experiences and gained knowledge. Joint participation of persons with amyotrophic
lateral sclerosis and their relatives supports both their internal relationship
and social networking. Peer group rehabilitation in amyotrophic lateral sclerosis
should help overcome obstacles concerning the needs of participants,
accessibility, and geographical distance.
PMID- 29373922
TI - Development and biological evaluation of vesicles containing bile salt of
telmisartan for the treatment of diabetic nephropathy.
AB - The aim of present study was to develop and evaluate vesicles containing bile
salt formulation of telmisartan for the treatment of diabetic nephropathy.
Different vesicles containing bile salt formulations were developed by varying
ratios of soybean phosphatidylcholine and sodium deoxycholate. Prepared
formulations were characterized for their size, polydispersity index, zeta
potential, morphology and entrapment efficiency. Further, the renoprotective
outcome of optimized formulation was studied in streptozotocin-induced diabetic
nephropathy rat model. Results of the present study demonstrated that the average
vesicles size, polydispersity index, zeta potential and entrapment efficiency
were found to be in the range of 64.98 +/- 1.40 to 167.60 +/- 6.46 nm, 0.02 +/-
0.04 to 0.31 +/- 0.01, -24.30 +/- 1.39 to -42.60 +/- 6.67 mV and 29.68 +/- 1.08%
to 77.21 +/- 0.52%, respectively. Further, the best chosen formulation F4
presented vesicles size, polydispersity index, zeta potential and entrapment
efficiency of 64.98 +/- 1.40 nm, 0.24 +/- 0.02, -35.40 +/- 1.48 mV and 77.21 +/-
0.52%, respectively. In addition, formulation F4 improved the biological indices
in streptozotocin-induced diabetic nephropathy in rats. It was concluded that
prepared formulation exerts a valuable results on diabetic nephropathy and it may
be a potential pharmaceutical dosage form for the treatment of diabetic
nephropathy.
PMID- 29373923
TI - A Unique Case of Cutaneous Larva Migrans Acquired Within the Province of Quebec
and Successfully Treated With Topical Ivermectin.
AB - Cutaneous larva migrans (CLM) is a zoonotic helminthiasis frequently imported to
Canada by travellers to beach destinations in the tropics. The preferred
treatment is oral ivermectin. We present a case of CLM acquired within the
province of Quebec, a first in our provincial health records. Our case also puts
forward the use of topical ivermectin as a possibly effective CLM treatment
approach as our patient was successfully treated with 1 month of an ivermectin 1%
cream applied locally twice daily.
PMID- 29373924
TI - Efficacy and Safety of Apremilast Monotherapy for Moderate to Severe Psoriasis:
Retrospective Study.
AB - BACKGROUND: Apremilast is a new oral drug for the treatment of moderate to severe
plaque psoriasis that reduces inflammation by inhibiting phosphodiesterase 4. Its
efficacy and safety data are limited; hence, real-world outcomes are important
for elucidating the full spectrum of its adverse events (AEs) and expanding
generalizability of clinical trial findings. OBJECTIVE: Assess the efficacy and
safety of apremilast monotherapy in real-world practice. METHODS: A retrospective
chart review was conducted in 2 academic dermatology practices. Efficacy was
measured as the proportion of patients achieving a >=75% reduction from baseline
Psoriasis Area and Severity Index score (PASI-75) or a Psoriasis Global
Assessment (PGA) score of 0 (clear) or 1 (almost clear) at 16 weeks. Safety was
measured as the proportion of patients reporting >=1 AE at 16 weeks. RESULTS:
Thirty-four patients were included. EFFICACY: 19 patients (55.9%) achieved PASI
75 or PGA 0/1. SAFETY: 23 patients (67.6%) experienced >=1 AEs. Five patients
(14.7%) withdrew treatment prior to week 16 due to AEs. One patient withdrew
treatment due to mood lability and depression. Common AEs included headache
(32.4%), nausea (20.6%), diarrhoea (14.7%), weight loss (8.8%), and loose stool
(8.8%). CONCLUSION: Apremilast monotherapy had higher efficacy with similar
safety outcomes in the real world compared to clinical trials. There were higher
proportions of reported headaches compared to clinical trials. This study
supports the apremilast monotherapy clinical trial findings, suggesting that it
has an acceptable safety profile and significantly reduces the severity of
moderate to severe plaque psoriasis. Limitations include the retrospective nature
of the study.
PMID- 29373925
TI - Disseminated Eczema Following Radiotherapy: A Case Report.
AB - BACKGROUND: Radiotherapy-induced acute skin reactions are common and an expected
effect of radiotherapy. Eczematous eruptions, however, are rarely reported, with
disseminated eczema in particular being infrequently seen and likely
underrecognized. OBJECTIVE: We present a unique case of disseminated vesicular
eczema following radiotherapy for ductal carcinoma in situ. CONCLUSIONS: The
development of a localized vesicular eruption with subsequent dissemination can
occur following radiotherapy. The mechanism of autosensitization is poorly
understood but likely involves a cell-mediated immune response. Recognition is
important to prevent excessive and inappropriate investigation and treatment.
PMID- 29373926
TI - Treatment of Knee Osteoarthritis with Bone Marrow-Derived Mononuclear Cell
Injection: 12-Month Follow-up.
AB - Objectives To evaluate the main symptoms of knee osteoarthritis (OA) and tissue
structure changes after a single dose bone marrow-derived mononuclear cell (BM
MNC) intra articular injection. Case series study. Patients with knee OA Kellgren
Lawrence (K-L) grade II and III received 1 injection of BM MNC. The clinical
results were analyzed with the Knee injury and Osteoarthritis Outcome Score
(KOOS) and Knee Society Score (KSS) before, 3, 6, and 12 months after injection.
Radiological evaluation was performed with a calibrated x-ray and the magnetic
resonance (MR) imaging before and 6 to 7 months postinjection. Results A total of
34 knees were treated with BM MNC injections. Mean (+/-SD) age of patient group
was 53.96 +/- 14.15 years; there were 16 males, 16 females, KL grade II, 16; KL
grade III, 18. The average injected count of BM MNCs was 45.56 +/- 34.94 * 106
cells. At the endpoint of 12 months 65% of patients still had minimal perceptible
clinical improvement of the KOOS total score. The mean improvement of KOOS total
score was +15.3 and of the KSS knee score was +21.45 and the function subscale
+27.08 ( P < 0.05) points. The Whole Organ Magnetic Resonance Imaging Score
(WORMS) improved from 44.31 to 42.93 points ( P < 0.05). No adverse effects after
the BM-MNC injection were observed. Conclusions The single dose BM MNC partially
reduces clinical signs of the knee osteoarthritis stage II/III and in some cases,
decreases degenerative changes in the joint building tissue over 12-month period.
PMID- 29373927
TI - Comparative study of oral lipid nanoparticle formulations (LNFs) for chemical
stabilization of antitubercular drugs: physicochemical and cellular evaluation.
AB - Rifampicin (RIF) and Isoniazid (INH) are two major first-line antitubercular
drugs (ATDs) that are typically administered orally, in combination. However, INH
catalysed degradation of RIF under acidic pH environment of the stomach is a
major concern related to its oral delivery, and is dramatically accelerated upon
further exposure to and interaction with INH. This interaction, in turn, triggers
a direct decline in the available RIF dose below the sub-therapeutic level,
thereby diminishing its therapeutic efficacy. We hypothesized that encapsulation
of both these important ATDs into lipid nanoparticle formulations (LNFs) may help
mitigate the acid hydrolysis of RIF, its subsequent interaction with INH and its
eventual INH-mediated accelerated chemical degradation in the gastric
environment. We further hypothesized that these LNFs would be capable of enhanced
uptake and localization into intra-cellular compartments of lung macrophages,
thereby potentially targeting the Tb pathogen in its in vivo niche. For this
purpose, we evaluated two promising LNFs, viz., solid lipid nanoparticles (SLNs)
and nanostructured lipid carriers (NLCs) for encapsulating these ATDs. Here, we
report on the design, development and comparative evaluation of SLN and NLC-based
lipid formulations of both INH and RIF. Our strategy of nanoencapsulation
substantially prolonged encapsulated RIF release and improved its chemical
stability in presence of INH in a simulated gastric acidic environment. In vitro
cell culture studies showed a well-quantifiable uptake of LNFs in a human
alveolar macrophage cell line. Overall, these evaluations provided promising
results for establishing the potential of both formulations for TB therapy.
PMID- 29373928
TI - Three new Lycopodium alkaloids from Lycopodium japonicum.
AB - Three new Lycopodium alkaloids (1-3), together with 15 known alkaloids, were
isolated from club moss Lycopodium japonicum. Their structures were determined by
extensive spectroscopic analysis, including 1D and 2D NMR spectra. Compound 1 has
an unusual beta-oriented methyl group substituted at C-15 and an alpha-hydroxy
cyclopentenone moiety. All new alkaloids were evaluated for the inhibition of T
type calcium channel.
PMID- 29373929
TI - Third-Generation Human Epidermal Growth Factor Receptor 2 Chimeric Antigen
Receptor Expression on Human T Cells Improves with Two-Signal Activation.
AB - Patient derived T cells activated ex vivo with CD3/CD28 beads show superior
expansion. Therefore, CD3/CD28 beads have huge potential to be used in the clinic
for immunotherapy applications. Two protocols were devised to evaluate if the
expression of third-generation human epidermal growth factor receptor 2 chimeric
antigen receptor (CAR) can be improved on human T cells activated with CD3/CD28
beads. In protocol 1, unconcentrated human epidermal growth factor receptor 2 CAR
retroviral supernatants were used, and in protocol 2, concentrated virus was
used. The results demonstrate that compared to unconcentrated viral supernatants,
transduction with the concentrated virus improved the infection rate of bead
activated CD4 T cells from ~40% to ~70%, and the fluorescent intensity values
improved from ~12,000 to ~28,000 mean fluorescence intensity units. These results
demonstrate the utility of these protocols for CAR immunotherapies.
PMID- 29373930
TI - Does progesterone to oocyte index have a predictive value for IVF outcome? A
retrospective cohort and review of the literature.
AB - The potential adverse effect of Serum progesterone (SP) elevation on the day of
hCG administration is a matter of continued debate. Our study aimed to evaluate
the relative value of progesterone to a number of aspirated oocytes ratio (POI)
to predict clinical pregnancy (CP) and live birth (LB) in fresh IVF cycles and to
review the relevant literature. A retrospective analysis of GnRH Antagonist IVF
ET cycles. POI was calculated by dividing the SP on the day of hCG by the number
of aspirated mature oocytes. A multivariate logistic regression analysis was
performed to evaluate the predictive value of POI for CP and LB. Cycle outcome
parameters included clinical pregnancy, live-birth and miscarriage. A total of
2,693 IVF/ICSI cycles were analyzed. POI was inversely associated with CP
adjusted OR 0.063 (95% CI 0.016-0.249, p < .001) and with LB adjusted OR 0.036
(95% CI 0.007-0.199, p < .001). For prediction of LB, the area under the curve
(AUC) was 0.68 (95% CI 0.64-0.71, p < .001) for the POI model. POI above the 90th
percentile with a value of 0.36 ng/mL/oocyte results in CP and LB rates of 8.0
and 5.9%, respectively. POI is a simple index for the prediction of IVF-ET cycle
outcomes, it can advocate a limit above which embryo transfer should be
reconsidered.
PMID- 29373931
TI - Neurosurgical image: giant pituitary adenoma and multiple aneurysms.
AB - Pituitary adenomas are associated with intracranial aneurysms. Giant non
functioning pituitary adenomas with aneurysms in their vicinity pose technical
surgical challengesas aneurysm rupture can be catastrophic during surgery. We
present the case of a middle aged women who presented with progressive visual
loss in both eyes caused by a giant pituitary adenoma compressing the optic
chiasma. She also had associated mirror image carotid aneurysms embedded in the
tumour. They were successfully coiled preoperatively and the tumour was removed
safely with improvement of her symptoms.
PMID- 29373932
TI - Challenging predictions between affective temperaments, depression and anxiety in
a Kosovo student community sample.
AB - OBJECTIVE: The relationship between temperament and mental health problems is
seen very important as we hope to explain the trajectory of this interaction. The
aim of this study was to test the validity of affective temperaments for
predicting psychiatric morbidity, by explaining the relationships between
temperament, anxiety and depression in a community sample. METHODS: This was a
cross-sectional study. The present sample consisted of 960 participants (347
male, 622 female) with a mean age of M = 28.4 (SD = 10) randomly recruited.
Affective temperaments were measured by the brief version of TEMPS-A, depression
and anxiety measured with Beck Depression Inventory and Beck Anxiety Inventory.
RESULTS: The Cronbach alpha reliability coefficients for affective temperaments
were between .72 and .81, for BDI and BAI, were .88 and .90. The study found
significant gender differences on depressive [t(959) = -4.2, p<.001], cyclothymic
[t(957) = -4.6, p < .001] and anxious temperament [t(957) = -8.2, p < .001],
females having higher scores than males, and reverse results on hyperthermic
temperament [t(958) = 2.1, p < .045], males having higher scores than females. No
gender difference is found in irritable temperament [t(955) = -.581, p < .561].
Affective temperaments were found significant predictors for depression and
anxiety. The combination of the depressive temperament and cyclothymic
temperament explained the 32% variance of depression and the 25% variance of
anxiety. CONCLUSIONS: Study findings are consistent with some other studies and
stress the importance of screening for effective temperament in order to early
identify depression and anxiety. Further investigation is needed to understand
what are other factors that influence the relationship between affective
temperaments with depression and anxiety.
PMID- 29373934
TI - Investigating relations among stress, sleep and nail cortisol and DHEA.
AB - In the current study, we present data investigating the relationships among
stress, sleep disturbance, self-control, and levels of cortisol (CORT) and
dehydroepiandrosterone (DHEA) in fingernail clippings. Currently, hair CORT is
the only routinely used noninvasive, validated, biomarker of chronic exposure to
stress-related hormones. Nail clippings represent an important potential
alternative sample matrix for assessing chronic hormone exposure, as it offers a
different timeline of hormone incorporation than scalp hair, and may be
obtainable from populations in which hair either is lacking or is unavailable for
cultural reasons. Moreover, there is established precedent for using fingernail
clippings to attain biomarker data. However, the value of nail hormone assessment
for psychological research is currently unknown due to a paucity of information
on the relations between nail hormone concentrations and environmental or
psychological variables. In the present study, we collected data from a low
income, minority population (N = 47; 97% African American) to demonstrate
feasibility and acceptability of nail collection and analysis of the adrenal
steroids CORT and DHEA. Participants reported on perceived stress, sleep and self
control abilities. Correlational analyses suggest that exposure to stressful
events, disturbances in sleep and waking were associated with higher levels of
nail DHEA, while self-control was associated with higher levels of nail CORT. We
discuss the potential importance of this methodology for investigating
biological, behavioral, and subjective indices of stress and well-being.
PMID- 29373933
TI - Outcomes of clients in need of intensive team care in Flexible Assertive
Community Treatment in Sweden.
AB - BACKGROUND: Flexible Assertive Community Treatment (Flexible ACT) has been
implemented in Sweden during recent years due to increasing interest in
integrated services for people with severe mental illness. To date, few studies
have been done on Flexible ACT effectiveness. AIMS: The overall aim of this study
was to explore the extent to which clients assigned to the Flexible ACT board for
ACT intensive care were stabilized with improved everyday functioning, social
outcomes, and changes in healthcare use. METHODS: Ninety-three participants with
psychosis, in need of ACT from six newly started Flexible ACT teams, were
included. Data were collected using the Social Outcome Index scale (SIX),
Practical and Social Functioning Scale, and a healthcare usage questionnaire.
RESULTS: There was a significant positive change in everyday functioning and in
the SIX-item 'friendship' at 18-months follow-up. A positive correlation was also
found between everyday functioning and the SIX-item 'friendship' and a negative
correlation between duration of ACT and everyday functioning. A significant
increase in number of inpatient hospital days and psychiatric outpatient visits
also occurred. CONCLUSION: Clients with psychosis who need ACT may benefit from
Flexible ACT through improved social functioning. Being involved in meaningful
activities and supported by others are key aspects of recovering from mental
illness and are enhanced by Flexible ACT.
PMID- 29373935
TI - Pharmacokinetic drug evaluation of avibactam + ceftazidime for the treatment of
hospital-acquired pneumonia.
AB - INTRODUCTION: Ceftazidime-avibactam (CAZ-AVI) is a combination of a third
generation cephalosporin and a non-beta-lactam, beta-lactamase inhibitor,
recently approved for urinary tract infections and complicated abdominal
infections. Moreover, it represents a treatment option for patients with hospital
acquired pneumonia (HAP), especially when caused by multidrug-resistant (MDR)
bacteria. Areas covered: The review focuses on the pharmacokinetics (PK) of CAZ
AVI in HAP and on preclinical and clinical studies evaluating PK/pharmacodynamics
(PD) in this field. Expert opinion: In vitro and in vivo data about PK/PD of CAZ
AVI confirm that penetration of CAZ-AVI in the epithelial lining fluid (ELF)
represents approximately 30% of the plasma concentrations. Clinical studies
documented that CAZ-AVI 2000 mg/500 mg every 8 h is the optimal dose regimen to
achieve the PK/PD target attainment in patients with HAP. Thus, CAZ-AVI could
represent an option both to treat HAP caused by Gram-negative bacilli (GNB)
displaying resistance to most of the antibiotics and to reduce the use of
carbapenems, limiting the onset of resistance profiles among GNB. Additional
information about specific patients populations, such as critically-ill subjects
or pediatric patients, are needed for a more individualized use of CAZ-AVI.
PMID- 29373936
TI - The moderating role of meaning in life in the relationship between perceived
stress and diurnal cortisol.
AB - Previous studies have suggested that meaning in life may buffer the negative
effects of stress. This study is the first to investigate the moderating role of
meaning in life in the relationship between the perception of stress and diurnal
cortisol in two independent samples of healthy adults. In study 1 (n = 172, men =
82, women = 90, age range = 21-55 years, mean age = 37.58 years), the results of
moderated regression analyses revealed that there was a significant positive
relationship between overall perceived stress in the past month and both diurnal
cortisol levels (area-under-the-curve with respect to the ground; AUCg) and the
diurnal cortisol slope (DCS) only in individuals with low levels of meaning in
life conceptualized as the degree to which one engages in activities that are
personally valued and important. In study 2 (n = 259, men = 125, women = 134, age
range = 18-54 years, mean age = 29.06 years), we found a non-significant
interaction term between meaning in life conceptualized as having goals and a
sense of excitement regarding one's future and perception of stress in a model of
both adjusted AUCg and DCS. The results were independent of age, sex, body mass
index, education, and race. The results shed light on the importance and the
complexity of the construct of meaning in life and offer a possible explanation
for why some people who face stressors may be more vulnerable than others to
developing stress-related health problems.
PMID- 29373937
TI - Binaural model-based dynamic-range compression.
AB - OBJECTIVE: Binaural cues such as interaural level differences (ILDs) are used to
organise auditory perception and to segregate sound sources in complex acoustical
environments. In bilaterally fitted hearing aids, dynamic-range compression
operating independently at each ear potentially alters these ILDs, thus
distorting binaural perception and sound source segregation. DESIGN: A binaurally
linked model-based fast-acting dynamic compression algorithm designed to
approximate the normal-hearing basilar membrane (BM) input-output function in
hearing-impaired listeners is suggested. A multi-center evaluation in comparison
with an alternative binaural and two bilateral fittings was performed to assess
the effect of binaural synchronisation on (a) speech intelligibility and (b)
perceived quality in realistic conditions. STUDY SAMPLE: 30 and 12 hearing
impaired (HI) listeners were aided individually with the algorithms for both
experimental parts, respectively. RESULTS: A small preference towards the
proposed model-based algorithm in the direct quality comparison was found.
However, no benefit of binaural-synchronisation regarding speech intelligibility
was found, suggesting a dominant role of the better ear in all experimental
conditions. CONCLUSION: The suggested binaural synchronisation of compression
algorithms showed a limited effect on the tested outcome measures, however,
linking could be situationally beneficial to preserve a natural binaural
perception of the acoustical environment.
PMID- 29373938
TI - Spread of carbapenem resistant Enterobacteriaceae at tertiary care cancer
hospital in Egypt.
PMID- 29373939
TI - Fish DNA barcoding around large marine infrastructure for improved biodiversity
assessment and monitoring.
AB - Accurate species-level identification is pivotal for environmental assessments
and monitoring. The PERU LNG terminal is composed of large marine infrastructure
located on the central coast of Peru. Since construction, taxonomically
challenging species such as drum fishes (Sciaenidae) have been attracted to the
new hard-bottom habitat. We conducted a DNA barcoding study to investigate fish
diversity and constructed a DNA barcode reference library. We examined 56
vouchered specimens and identified 24 unique species. Intra- and interspecific
divergence estimates ranged between 0 and 0.64% and 11 and 35.5%, respectively.
We assessed the efficiency of the reference library to identify 29 non-vouchered
specimens. We had 82.5% efficiency by using both our reference library (n = 17)
and GenBank (n = 24). We highlight the importance of implementing molecular
barcoding for complementing biodiversity assessments in marine environments. This
study represents a first step towards generating a comprehensive DNA barcode
reference library for marine fishes in Peru.
PMID- 29373940
TI - Zein nanoparticle as a novel BMP6 derived peptide carrier for enhanced osteogenic
differentiation of C2C12 cells.
AB - Zein nanoparticles as a carrier system for BMP6-derived peptide were prepared by
liquid-liquid phase separation procedure and characterized with SEM, DLS, FTIR
and thermogravimetric methods. After peptide encapsulation, nanoparticle size
increased from 236.3 +/- 92.2 nm to 379.4 +/- 116.8 nm. The encapsulation
efficiency of peptide was 72.6% and the release of peptide from Zein
nanoparticles was partly sustained in trypsin containing phosphate buffered
saline (pH 7.4) for up to 14 days. Peptide-loaded nanoparticles showed similar
cell viability compared with blank ones. ALP activity of C2C12 cells treated with
peptide-loaded nanoparticles (500 ug/mL) was evaluated 7, 14, 21 and 28 days
after culture. In peptide-loaded nanoparticles, ALP activity was significantly
higher (p < .05) compared with other groups at day 14. Alizarin Red S staining
showed, C2C12 cells behind peptide-loaded nanoparticles had significantly (p <
.05) higher calcium deposition at day 21. The results of RT-qPCR show that the
BMP-6 peptide activated expression of RUNX2 as a transcription factor. In turn,
RUNX2 regulates SPP1 and BGLAP gene expression, as osteogenic marker genes. The
results confirm that the peptide-loaded Zein nanoparticles, as osteoinductive
material, may be used to repair small area of bone defects, with low load
bearing.
PMID- 29373941
TI - Nutritional status and nutritional risk in patients with neuroendocrine tumors.
AB - BACKGROUND: Malnutrition is frequent among patients with malignancies and
associated with impaired function, reduced quality of life and increased
mortality. Few data are available in patients with neuroendocrine tumors (NET) on
nutritional status, nutritional risk, and nutrition impact symptoms (NIS). We
aimed to assess nutritional status (NS) and risk, level of function and
associations with NIS in NET patients. METHODS: In a cross-sectional study of NET
patients, we measured body mass index (BMI) and handgrip strength (HGS) as
markers of NS and muscle function assessed by HGS. The nutritional risk score
(NRS) was determined by NRS-2002. NIS was assessed by the eating symptoms
questionnaire (ESQ), and disease-related appetite questionnaire (DRAQ). RESULTS:
We included 186 patients (51% women), median age 66 years. We observed low BMI
(<20.5 kg/m2) in 12%, low HGS in 25%, and impaired level of function in 43% of
the patients. About 38% were at nutritional risk, more frequent in patients with
residual disease (45% versus 29%, p < .05). Both low HGS, impaired level of
function and being at nutritional risk were associated with the NIS: Nausea,
vomiting, stomach ache and dry mouth (p < .05) whereas poor appetite and early
satiety were only associated with being at nutritional risk and having impaired
level of function (p < .05, all). CONCLUSIONS: Almost 40% of NET patients were at
nutritional risk; and 25% had impaired HGS associated with specific NIS that
preclude food intake. We recommend that NET outpatients are screened with NRS
2002 and that HGS and NIS are determined if NET patients need nutritional
therapy.
PMID- 29373942
TI - Determination of essential oils composition of blanket-leaf (Stachys byzantina C.
Koch.) by microwave assisted extraction coupled to headspace single-drop
microextraction.
AB - The composition of essential oils from Stachys byzantina was studied by simple
method based on gas chromatography-mass spectrophotometry (GC-MS) following
microwave assisted headspace single-drop microextraction (MA-HS-SDME) method.
Several parameters affecting MA-HS-SDME such as sample mass, solvent volume,
extraction time, microwave power and the nature of extracting solvent were
optimised. The MA-HS-SDME method was compared with traditional hydrodistillation
(HD) method. Within the study elaborated, thirty-eight components were extracted
and identified. Compared with HD, MA-HS-SDME is an easy, rapid and efficient
method for the analysis of essential oils in S. byzantina.
PMID- 29373943
TI - Twelve tips for constructing a multiple mini-interview.
AB - Health professions the world over value various competencies in their
practitioners that are not easily captured by academic measures of performance.
As a result, many programs have begun using multiple mini-interviews (MMIs) to
facilitate the selection of candidates who are most likely to demonstrate and
further develop such qualities. In this twelve-tips article, the authors offer
evidence- and experience-based advice regarding how to construct an MMI that is
fit for purpose. The tips are provided chronologically, offering guidance
regarding how one might conceptualize their goals for creating an MMI, how to
establish a database of stations that are context appropriate, and how to prepare
both candidates and examiners for their task. While MMIs have been shown to have
utility in many instances, the authors urge caution against over-generalization
by stressing the importance of post-MMI considerations including data monitoring
and integration between one's admissions philosophy and one's curricular efforts.
PMID- 29373944
TI - Using gold nanoparticles in diagnosis and treatment of melanoma cancer.
AB - Several studies have been devoted to clear functionalization of gold
nanoparticles (AuNPs) in different fields such as cellular and molecular biology,
microbiology, immunology and physiology. In line with the high diagnostic value
of AuNPs, its therapeutic application has been intensively developed in tumour
therapy, in recent years. One of the best clinical applications of AuNPs is its
use in targeted delivery of anti-cancer drugs. Recent studies have focused on the
application of AuNPs to treat melanoma - a malignant neoplasm sourced from
melanocytes skin cells - with poor prognosis in advanced stages. Furthermore,
early diagnosis can be successfully achieved through utilizing this technique
even at early stages with localized distribution. Herein, this study details the
previous researches focusing on the use of AuNPs as a novel diagnostic and
therapeutic option in management of melanoma.
PMID- 29373945
TI - Perfusion Enhances Hypertrophic Chondrocyte Matrix Deposition, But Not the Bone
Formation.
AB - Perfusion bioreactors have been an effective tool in bone tissue engineering.
Improved nutrient delivery and the application of shear forces have stimulated
osteoblast differentiation and matrix production, allowing for generation of
large, clinically sized constructs. Differentiation of hypertrophic chondrocytes
has been considered an alternative strategy for bone tissue engineering. We
studied the effects of perfusion on hypertrophic chondrocyte differentiation,
matrix production, and subsequent bone formation. Hypertrophic constructs were
created by differentiation in chondrogenic medium (2 weeks) and maturation in
hypertrophic medium (3 weeks). Bioreactors were customized to study a range of
flow rates (0-1200 MUm/s). During chondrogenic differentiation, increased flow
rates correlated with cartilage matrix deposition and the presence of collagen
type X. During induced hypertrophic maturation, increased flow rates correlated
with bone template deposition and the increased secretion of chondroprotective
cytokines. Following an 8-week implantation into the critical-size femoral defect
in nude rats, nonperfused constructs displayed larger bone volume, more compact
mineralized matrix, and better integration with the adjacent native bone.
Therefore, although medium perfusion stimulated the formation of bone template in
vitro, it failed to enhance bone regeneration in vivo. However, the promising
results of the less developed template in the critical-sized defect warrant
further investigation, beyond interstitial flow, into the specific environment
needed to optimize hypertrophic chondrocyte-based constructs for bone repair.
PMID- 29373946
TI - Safety and Efficacy of Rose Bengal Derivatives for Glial Scar Ablation in Chronic
Spinal Cord Injury.
AB - There are no effective therapies available currently to ameliorate loss of
function for patients with spinal cord injuries (SCIs). In addition, proposed
treatments that demonstrated functional recovery in animal models of acute SCI
have failed almost invariably when applied to chronic injury models. Glial scar
formation in chronic injury is a likely contributor to limitation on
regeneration. We have removed existing scar tissue in chronically contused rat
spinal cord using a rose Bengal-based photo ablation approach. In this study, we
compared two chemically modified rose bengal derivatives to unmodified rose
bengal, both confirming and expanding on our previously published report. Rats
were treated with unmodified rose bengal (RB1) or rose bengal modified with
hydrocarbon (RB2) or polyethylene glycol (RB3), to determine the effects on scar
components and spared tissue post-treatment. Our results showed that RB1 was more
efficacious than RB2, while still maintaining minimal collateral effects on
spared tissue. RB3 was not taken up by the cells, likely because of its size, and
therefore had no effect. Treatment with RB1 also resulted in an increase in
serotonin eight days post-treatment in chronically injured spinal cords. Thus, we
suggest that unmodified rose Bengal is a potent candidate agent for the
development of a therapeutic strategy for scar ablation in chronic SCI.
PMID- 29373948
TI - Traumatic Brain Injury Disrupts Pain Signaling in the Brainstem and Spinal Cord.
AB - Chronic pain is a common consequence of traumatic brain injury (TBI) that can
increase the suffering of a patient and pose a significant challenge to
rehabilitative efforts. Unfortunately, the mechanisms linking TBI to pain are
poorly understood, and specific treatments for TBI-related pain are still
lacking. Our laboratory has shown that TBI causes pain sensitization in areas
distant to the site of primary injury, and that changes in spinal gene expression
may underlie this sensitization. The aim of this study was to examine the roles
that pain modulatory pathways descending from the brainstem play in pain after
TBI. Deficiencies in one type of descending inhibition, diffuse noxious
inhibitory control (DNIC), have been suggested to be responsible for the
development of chronic pain by allowing excess and uncontrolled afferent
nociceptive inputs. Here we expand our knowledge of pain after TBI in two ways:
(1) by outlining the neuropathology in pain-related centers of the brain and
spinal cord involved in DNIC using the rat lateral fluid percussion (LFP) model
of TBI, and (2) by evaluating the effects of a potent histone acetyl transferase
inhibitor, anacardic acid (AA), on LFP-induced pain behaviors and neuropathology
when administered for several days after TBI. The results revealed that TBI
induces transient mechanical allodynia and a chronic persistent loss of DNIC.
Further, while short-term AA treatment can block acute nociceptive sensitization
and some early neuropathological changes, this treatment neither prevented the
loss of DNIC nor did it alter long-term neuropathological changes in the brain or
spinal cord.
PMID- 29373947
TI - Reduced Functional Connectivity in Adults with Persistent Post-Concussion
Symptoms: A Functional Near-Infrared Spectroscopy Study.
AB - Concussion, or mild traumatic brain injury (mTBI), accounts for ~80% of all TBIs
across North America. The majority of mTBI patients recover within days to weeks;
however, 14-36% of the time, acute mTBI symptoms persist for months or even years
and develop into persistent post-concussion symptoms (PPCS). There is a need to
find biomarkers in patients with PPCS, to improve prognostic ability and to
provide insight into the pathophysiology underlying chronic symptoms. Recent
research has pointed toward impaired network integrity and cortical communication
as a biomarker. In this study we investigated functional near-infrared
spectroscopy (fNIRS) as a technique to assess cortical communication deficits in
adults with PPCS. Specifically, we aimed to identify cortical communication
patterns in prefrontal and motor areas during rest and task, in adult patients
with persistent symptoms. We found that (1) the PPCS group showed reduced
connectivity compared with healthy controls, (2) increased symptom severity
correlated with reduced coherence, and (3) connectivity differences were best
distinguishable during task and in particular during the working memory task (n
back task) in the right and left dorsolateral prefrontal cortex (DLPFC). These
data show that reduced brain communication may be associated with the
pathophysiology of mTBI and that fNIRS, with a relatively simple acquisition
paradigm, may provide a useful biomarker of this injury.
PMID- 29373949
TI - Effect of Tumor Size on Risk of Metastatic Disease and Survival for Thyroid
Cancer: Implications for Biopsy Guidelines.
AB - BACKGROUND: In many risk-stratification systems, the decision to biopsy thyroid
nodules is determined by their sonographic features and size. Nevertheless, even
low-suspicion nodules are often biopsied at small size thresholds because it is
assumed that larger malignant nodules are associated with poorer outcomes. The
aim of this study was to quantify the effect of thyroid cancer tumor size on
survival and risk of T4 stage, nodal disease, and distant metastases. METHODS:
The Surveillance, Epidemiology, and End Results 18 database was queried to obtain
tumor size, staging information, and survival data for cases of differentiated
thyroid cancer (DTC) and non-DTC reported between 2004 and 2014. Observed
probabilities of tumor extent at diagnosis, including regional nodal disease and
distant metastases, as a function of size and tumor histology were estimated for
thyroid cancers measuring between 1 and 150 mm. A multivariate Cox regression
model was used to describe all-cause mortality as a function of patient and tumor
characteristics, and the functional dependence of mortality on size was computed.
RESULTS: A total of 112,128 patients were analyzed, with 67% having thyroid
cancers >=1 cm, and 29% >= 2.5 cm. For DTC tumors <4 cm, the risk of local
invasion, nodal metastases, or distant metastases was low, and there was no size
threshold associated with a sharp rise in adverse outcomes. For DTC tumors <4 cm,
the probability of distant metastases was <3%. Older age, male sex, non-DTC
histology, T4 stage, and regional and distant metastatic disease increased the
all-cause mortality rate. Tumor size did not increase the mortality rate above
baseline until tumors were >2.5 cm. CONCLUSION: Increasing tumor size does not
affect survival until a threshold of 2.5 cm. Since the dimension of nodules on
ultrasound has been shown to be larger than their size at gross pathology, these
findings suggest that recommended size thresholds to biopsy low-suspicion thyroid
nodules can be increased.
PMID- 29373950
TI - Compassion, medical humanities and medical education.
AB - Compassion is central to person centred clinical care. Medical Humanities have
developed both as an academic discipline and as a resource for education over the
past 30 years. The article will discuss what compassion is in a clinical context,
and explore whether compassionate clinical practice can be enhanced by using the
humanities in medical education in primary care.
PMID- 29373953
TI - Rice nucleosome patterns undergo remodeling coincident with stress-induced gene
expression.
AB - BACKGROUND: Formation of nucleosomes along eukaryotic DNA has an impact on
transcription. Major transcriptional changes occur in response to low external
phosphate (Pi) in plants, but the involvement of chromatin-level mechanisms in Pi
starvation responses have not been investigated. RESULTS: We mapped nucleosomes
along with transcriptional changes after 24-h of Pi starvation in rice (Oryza
sativa) by deep sequencing of micrococcal nuclease digested chromatin and
ribosome-depleted RNA. We demonstrated that nucleosome patterns at rice genes
were affected by both cis- and trans-determinants, including GC content and
transcription. Also, categorizing rice genes by nucleosome patterns across the
transcription start site (TSS) revealed nucleosome patterns that correlated with
distinct functional categories of genes. We further demonstrated that Pi
starvation resulted in numerous dynamic nucleosomes, which were enhanced at genes
differentially expressed in response to Pi starvation. CONCLUSIONS: We
demonstrate that rice nucleosome patterns are suggestive of gene functions, and
reveal a link between chromatin remodeling and transcriptional changes in
response to deficiency of a major macronutrient. Our findings help to enhance the
understanding towards eukaryotic gene regulation at the chromatin level.
PMID- 29373954
TI - Genomic selection models for directional dominance: an example for litter size in
pigs.
AB - BACKGROUND: The quantitative genetics theory argues that inbreeding depression
and heterosis are founded on the existence of directional dominance. However,
most procedures for genomic selection that have included dominance effects
assumed prior symmetrical distributions. To address this, two alternatives can be
considered: (1) assume the mean of dominance effects different from zero, and (2)
use skewed distributions for the regularization of dominance effects. The aim of
this study was to compare these approaches using two pig datasets and to confirm
the presence of directional dominance. RESULTS: Four alternative models were
implemented in two datasets of pig litter size that consisted of 13,449 and
11,581 records from 3631 and 2612 sows genotyped with the Illumina PorcineSNP60
BeadChip. The models evaluated included (1) a model that does not consider
directional dominance (Model SN), (2) a model with a covariate b for the average
individual homozygosity (Model SC), (3) a model with a parameter lambda that
reflects asymmetry in the context of skewed Gaussian distributions (Model AN),
and (4) a model that includes both b and lambda (Model Full). The results of the
analysis showed that posterior probabilities of a negative b or a positive lambda
under Models SC and AN were higher than 0.99, which indicate positive directional
dominance. This was confirmed with the predictions of inbreeding depression under
Models Full, SC and AN, that were higher than in the SN Model. In spite of
differences in posterior estimates of variance components between models,
comparison of models based on LogCPO and DIC indicated that Model SC provided the
best fit for the two datasets analyzed. CONCLUSIONS: Our results confirmed the
presence of positive directional dominance for pig litter size and suggested that
it should be taken into account when dominance effects are included in genomic
evaluation procedures. The consequences of ignoring directional dominance may
affect predictions of breeding values and can lead to biased prediction of
inbreeding depression and performance of potential mates. A model that assumes
Gaussian dominance effects that are centered on a non-zero mean is recommended,
at least for datasets with similar features to those analyzed here.
PMID- 29373955
TI - SLALOM, a flexible method for the identification and statistical analysis of
overlapping continuous sequence elements in sequence- and time-series data.
AB - BACKGROUND: Protein or nucleic acid sequences contain a multitude of associated
annotations representing continuous sequence elements (CSEs). Comparing these
CSEs is needed, whenever we want to match identical annotations or integrate
distinctive ones. Currently, there is no ready-to-use software available that
provides comprehensive statistical readout for comparing two annotations of the
same type with each other, which can be adapted to the application logic of the
scientific question. RESULTS: We have developed a method, SLALOM (for StatisticaL
Analysis of Locus Overlap Method), to perform comparative analysis of sequence
annotations in a highly flexible way. SLALOM implements six major operation modes
and a number of additional options that can answer a variety of statistical
questions about a pair of input annotations of a given sequence collection. We
demonstrate the results of SLALOM on three different examples from biology and
economics and compare our method to already existing software. We discuss the
importance of carefully choosing the application logic to address specific
scientific questions. CONCLUSION: SLALOM is a highly versatile, command-line
based method for comparing annotations in a collection of sequences, with a
statistical read-out for performance evaluation and benchmarking of predictors
and gene annotation pipelines. Abstraction from sequence content even allows
SLALOM to compare other kinds of positional data including, for example, data
coming from time series.
PMID- 29373956
TI - Age independency of mobility decrease assessed using the Locomotive Syndrome Risk
Test in elderly with disability: a cross-sectional study.
AB - BACKGROUND: Mobility decrease is reportedly age-dependent in community dwelling
elderly, and a major factor of disability in the geriatric population. The
purpose of this study is to examine whether mobility decrease, as assessed using
a set of tests, is similarly age-dependent in elderly adults who already have
disability. METHODS: One hundred thirty-five community-dwelling elderly (54 men,
81 women) with disability and 1469 independent community dwellers (1009 men, 460
women) were analyzed. Disability was defined having a certified need for care
under the long-term care insurance system in Japan. Lower extremity mobility
decrease was quantified using the Locomotive Syndrome Risk Test, which comprises
the two-step test, stand-up test, and 25-Question Geriatric Locomotive Function
Scale (GLFS-25). RESULTS: Multivariable regression analyses indicated no age
related decrease in the three test scores among elderly with disability, whereas
these scores all decreased with age among independent community dwellers. All the
test scores decreased as care level increased. CONCLUSIONS: Mobility decrease
among elderly adults with disability is unrelated to age. However, the severity
of care level is associated with mobility decrease.
PMID- 29373957
TI - Patterns of variation in cis-regulatory regions: examining evidence of purifying
selection.
AB - BACKGROUND: With only 2 % of the human genome consisting of protein coding genes,
functionality across the rest of the genome has been the subject of much debate.
This has gained further impetus in recent years due to a rapidly growing
catalogue of genomic elements, based primarily on biochemical signatures (e.g.
the ENCODE project). While the assessment of functionality is a complex task, the
presence of selection acting on a genomic region is a strong indicator of
importance. In this study, we apply population genetic methods to investigate
signals overlaying several classes of regulatory elements. RESULTS: We
disentangle signals of purifying selection acting directly on regulatory elements
from the confounding factors of demography and purifying selection linked to e.g.
nearby protein coding regions. We confirm the importance of regulatory regions
proximal to coding sequence, while also finding differential levels of selection
at distal regions. We note differences in purifying selection among transcription
factor families. Signals of constraint at some genomic classes were also strongly
dependent on their physical location relative to coding sequence. In addition,
levels of selection efficacy across genomic classes differed between African and
non-African populations. CONCLUSIONS: In order to assign a valid signal of
selection to a particular class of genomic sequence, we show that it is crucial
to isolate the signal by accounting for the effects of demography and linked
purifying selection. Our study highlights the intricate interplay of factors
affecting signals of selection on functional elements.
PMID- 29373958
TI - Determinants of HIV infection among adolescent girls and young women aged 15-24
years in South Africa: a 2012 population-based national household survey.
AB - BACKGROUND: South Africa is making tremendous progress in the fight against HIV,
however, adolescent girls and young women aged 15-24 years (AGYW) remain at
higher risk of new HIV infections. This paper investigates socio-demographic and
behavioural determinants of HIV infection among AGYW in South Africa. METHODS: A
secondary data analysis was undertaken based on the 2012 population-based
nationally representative multi-stage stratified cluster random household sample.
Multivariate stepwise backward and forward regression modelling was used to
determine factors independently associated with HIV prevalence. RESULTS: Out of
3092 interviewed and tested AGYW 11.4% were HIV positive. Overall HIV prevalence
was significantly higher among young women (17.4%) compared to adolescent girls
(5.6%). In the AGYW model increased risk of HIV infection was associated with
being young women aged 20-24 years (OR = 2.30, p = 0.006), and condom use at last
sex (OR = 1.91, p = 0.010), and decreased likelihood was associated with other
race groups (OR = 0.06, p < 0.001), sexual partner within 5 years of age (OR =
0.53, p = 0.012), tertiary level education (OR = 0.11, p = 0.002), low risk
alcohol use (OR = 0.19, p = 0.022) and having one sexual partner (OR = 0.43, p =
0.028). In the adolescent girls model decreased risk of HIV infection was
associated with other race groups (OR = 0.01, p < 0.001), being married (OR =
0.07), p = 0.016], and living in less poor household (OR = 0.08, p = 0.002). In
the young women's models increased risk of HIV infection was associated with
condom use at last sex (OR = 2.09, p = 0.013), and decreased likelihood was
associated with other race groups (OR = 0.17, p < 0.001), one sexual partner (OR
= 0.6, p = 0.014), low risk alcohol use (OR = 0.17, p < 0.001), having a sexual
partner within 5 years of age (OR = 0.29, p = 0.022), and having tertiary
education (OR = 0.29, p = 0.022). CONCLUSION: These findings support the need to
design combination prevention interventions which simultaneously address socio
economic drivers of the HIV epidemic, promote education, equity and access to
schooling, and target age-disparate partnerships, inconsistent condom use and
risky alcohol consumption.
PMID- 29373959
TI - Sequential decitabine and carboplatin treatment increases the DNA repair protein
XPC, increases apoptosis and decreases proliferation in melanoma.
AB - BACKGROUND: Melanoma has two key features, an over-representation of UV-induced
mutations and resistance to DNA damaging chemotherapy agents. Both of these
features may result from dysfunction of the nucleotide excision repair pathway,
in particular the DNA damage detection branch, global genome repair (GGR). The
key GGR component XPC does not respond to DNA damage in melanoma, the cause of
this lack of response has not been investigated. In this study, we investigated
the role of methylation in reduced XPC in melanoma. METHODS: To reduce
methylation and induce DNA-damage, melanoma cell lines were treated with
decitabine and carboplatin, individually and sequentially. Global DNA methylation
levels, XPC mRNA and protein expression and methylation of the XPC promoter were
examined. Apoptosis, cell proliferation and senescence were also quantified. XPC
siRNA was used to determine that the responses seen were reliant on XPC
induction. RESULTS: Treatment with high-dose decitabine resulted in global
demethylation, including the the shores of the XPC CpG island and significantly
increased XPC mRNA expression. Lower, clinically relevant dose of decitabine also
resulted in global demethylation including the CpG island shores and induced XPC
in 50% of cell lines. Decitabine followed by DNA-damaging carboplatin treatment
led to significantly higher XPC expression in 75% of melanoma cell lines tested.
Combined sequential treatment also resulted in a greater apoptotic response in
75% of cell lines compared to carboplatin alone, and significantly slowed cell
proliferation, with some melanoma cell lines going into senescence. Inhibiting
the increased XPC using siRNA had a small but significant negative effect,
indicating that XPC plays a partial role in the response to sequential decitabine
and carboplatin. CONCLUSIONS: Demethylation using decitabine increased XPC and
apoptosis after sequential carboplatin. These results confirm that sequential
decitabine and carboplatin requires further investigation as a combination
treatment for melanoma.
PMID- 29373960
TI - Gastric bacterial Flora in patients Harbouring Helicobacter pylori with or
without chronic dyspepsia: analysis with matrix-assisted laser desorption
ionization time-of-flight mass spectroscopy.
AB - BACKGROUND: The gastric microbiota has recently been implicated in the causation
of organic/structural gastroduodenal diseases (gastric and duodenal ulcers,
gastric cancer) in patients with Helicobacter pylori (H. pylori) infection. We
aimed to ascertain, in patients harbouring H. pylori, the role of the gastric
microbiota in the causation of symptoms (chronic dyspepsia) in the absence of
organic disease. METHODS: Seventy-four gastric biopsy samples obtained at
endoscopy from patients with (n = 21) or without (n = 53) chronic dyspepsia, and
that tested positive by the bedside rapid urease test for H. pylori infection,
were cultured for detection of H. pylori and non-H. pylori organisms. The
cultured organisms were identified by matrix-assisted laser desorption ionization
time-of-flight mass spectroscopy (MALDI-TOF MS). RESULTS: A total of 106 non-H.
pylori isolates were obtained from 74 patients' samples. This included 33
isolates (median 2, range 1-2 per patient) from dyspeptic and 73 (median 2, range
1-2 per patient) from non-dyspeptic patients. These were identified from the
Bruker Biotyper 2 database as Staphylococcus spp., Streptococcus spp.,
Lactobacillus spp., Micrococcus spp., Enterococcus spp., Pseudomonas spp.,
Escherichia spp., Klebsiella spp. and Bacillus spp., Staphylococcus and
Lactobacillus were identified significantly more commonly in dyspeptics and
Streptococcus, Pseudomonas, Escherichia coli and Klebsiella pneumoniae in non
dyspeptics. All identified organisms belonged to the phyla Firmicutes and
Proteobacteria. CONCLUSIONS: There is a qualitative difference in the gastric
microbial spectrum between patients harbouring H. pylori with and without chronic
dyspepsia. Whether these organisms have an independent role in the development or
prevention of dyspepsia or act in concurrence with H. pylori needs study.
PMID- 29373961
TI - Forehead or ear temperature measurement cannot replace rectal measurements,
except for screening purposes.
AB - BACKGROUND: Measuring rectal temperature in children is the gold standard, but
ear or forehead measures are less traumatic and faster. The quality of non
invasive devices has improved but concerns remain whether they are reliable
enough to substitute rectal thermometers. The aim was to evaluate in a real-life
children population whether the forehead or ear temperature measurements could be
used in screening to detect fever and if the agreement with the rectal
temperature for different age groups is acceptable for clinical use. METHODS:
Cross-sectional clinical study comparing temporal and tympanic temperatures to
rectal temperature in 0-18-year-old children. The ear thermometer was a Pro 4000
Thermoscan, the temporal Exergen TAT. Rectal temperature >= 38.0 degrees C was
defined as fever. RESULTS: Among 995 children, 39% had a fever. The ear
thermometer had a significantly greater ability to detect fever than the temporal
thermometer (AUC 0.972; 95% CI: 0.963-0.981 versus AUC 0.931; 95% CI: 0.915
0.947, p < 0.0001). Both devices had the lowest sensitivity in the youngest and
oldest children, and only the ear thermometer reached a sensitivity above 90% in
the 0.5-5-year age group. The Bland-Altman analysis showed that the 95% limits of
agreement for the temporal thermometer was between - 1.2 to + 1.5 degrees C and
for the ear thermometer between - 0.97 to + 1.07 degrees C. CONCLUSIONS: Based
on a large sample of children, the temporal measurement of temperature is not
currently recommendable, but with the technology used in this study the ear
measurement proved useful for screening purposes, especially among children aged
6 months to 5 years. For the exact measurement of temperature, the rectal method
is still recommended.
PMID- 29373962
TI - Process, structural, and outcome quality indicators of nutritional care in
nursing homes: a systematic review.
AB - BACKGROUND: The quality of nursing homes (NHs) has attracted a lot of interest in
recent years and is one of the most challenging issues for policy-makers.
Nutritional care should be considered an important variable to be measured from
the perspective of quality management. The aim of this systematic review is to
describe the use of structural, process, and outcome indicators of nutritional
care in NHs and the relationship among them. METHODS: The literature search was
carried out in Pubmed, Embase, Scopus, and Web of Science. A temporal filter was
applied in order to select papers published in the last 10 years. All types of
studies were included, with the exception of reviews, conference proceedings,
editorials, and letters to the editor. Papers published in languages other than
English, Italian, and Spanish were excluded. RESULTS: From the database search,
1063 potentially relevant studies were obtained. Of these, 19 full-text articles
were considered eligible for the final synthesis. Most of the studies adopted an
observational cross-sectional design. They generally assessed the quality of
nutritional care using several indicators, usually including a mixture of many
different structural, process, and outcome indicators. Only one of the 19 studies
described the quality of care by comparing the results with the threshold values.
Nine papers assessed the relationship between indicators and six of them
described some significant associations-in the NHs that have a policy related to
nutritional risk assessment or a suitable scale to weigh the residents, the
prevalence or risk of malnutrition is lower. Finally, only four papers of these
nine included risk adjustment. This could limit the comparability of the results.
CONCLUSION: Our findings show that a consensus must be reached for defining a set
of indicators and standards to improve quality in NHs. Establishing the
relationship between structural, process, and outcome indicators is a challenge.
There are grounds for investigating this theme by means of prospective
longitudinal studies that take the risk adjustment into account.
PMID- 29373963
TI - The presentation of a short adapted questionnaire to measure asthma knowledge of
parents.
AB - BACKGROUND: The aim of this study is to establish asthma knowledge of parents of
children (0-18 years) with asthma at the outpatient clinic. METHODS: A translated
and adapted a 21 item Likert type 5 point scale questionnaire (Cronbach's alpha
coefficient 0.73) was completed by 291 parents of children with asthma. Total
asthma knowledge scores were associated with demographic and psychosocial
variables. RESULTS: Factor analysis resulted in a new reduced 10 item
questionnaire (Cronbach's alpha-coefficient 0.72). Higher educational level of
parents was associated with better asthma knowledge (p < 0.008 and p < 0.003).
Parents showed more knowledge (p < 0.001) on non-medication questions. Asthma
knowledge of the parent did not correlate with child age, gender, duration of
airway problems, time since diagnosis or severity of asthma. CONCLUSIONS:
Education of parents concerning the working mechanism, indications and use of
asthma medications are an essential part of asthma education. Asthma education
should be repeated frequently to parents of children with long-term airway
problems or diagnosed asthma. Special attention must be paid to parents with only
high school education or less.
PMID- 29373964
TI - Palliative and end-of-life care research in Scotland 2006-2015: a systematic
scoping review.
AB - BACKGROUND: The Scottish Government set out its 5-year vision to improve
palliative care in its Strategic Framework for Action 2016-2021. This includes a
commitment to strengthening research and evidence based knowledge exchange across
Scotland. A comprehensive scoping review of Scottish palliative care research was
considered an important first step. The aim of the review was to quantify and map
palliative care research in Scotland over the ten-year period preceding the new
strategy (2006-15). METHODS: A systematic scoping review was undertaken.
Palliative care research involving at least one co-author from a Scottish
institution was eligible for inclusion. Five databases were searched with
relevant MeSH terms and keywords; additional papers authored by members of the
Scottish Palliative and End of Life Care Research Forum were added. RESULTS: In
total, 1919 papers were screened, 496 underwent full text review and 308 were
retained in the final set. 73% were descriptive studies and 10% were
interventions or feasibility studies. The top three areas of research focus were
services and settings; experiences and/or needs; and physical symptoms. 58 papers
were concerned with palliative care for people with conditions other than cancer
nearly one fifth of all papers published. Few studies focused on ehealth, health
economics, out-of-hours and public health. Nearly half of all papers described
unfunded research or did not acknowledge a funder (46%). CONCLUSIONS: There was a
steady increase in Scottish palliative care research during the decade under
review. Research output was strong compared with that reported in an earlier
Scottish review (1990-2005) and a similar review of Irish palliative care
research (2002-2012). A large amount of descriptive evidence exists on living and
dying with chronic progressive illness in Scotland; intervention studies now need
to be prioritised. Areas highlighted for future research include palliative
interventions for people with non-malignant illness and multi-morbidity; physical
and psychological symptom assessment and management; interventions to support
carers; and bereavement support. Knowledge exchange activities are required to
disseminate research findings to research users and a follow-up review to examine
future research progress is recommended.
PMID- 29373965
TI - Empiric antibiotic therapy in urinary tract infection in patients with risk
factors for antibiotic resistance in a German emergency department.
AB - BACKGROUND: The aim of this study was to identify clinical risk factors for
antimicrobial resistances and multidrug resistance (MDR) in urinary tract
infections (UTI) in an emergency department in order to improve empirical
therapy. METHODS: UTI cases from an emergency department (ED) during January 2013
and June 2015 were analyzed. Differences between patients with and without
resistances towards Ciprofloxacin, Piperacillin with Tazobactam (Pip/taz),
Gentamicin, Cefuroxime, Cefpodoxime and Ceftazidime were analyzed with Fisher's
exact tests. Results were used to identify risk factors with logistic regression
modelling. Susceptibility rates were analyzed in relation to risk factors.
RESULTS: One hundred thirty-seven of four hundred sixty-nine patients who met the
criteria of UTI had a positive urine culture. An MDR pathogen was found in 36.5%
of these. Overall susceptibility was less than 85% for standard antimicrobial
agents. Logistic regression identified residence in nursing homes, male gender,
hospitalization within the last 30 days, renal transplantation, antibiotic
treatment within the last 30 days, indwelling urinary catheter and recurrent UTI
as risk factors for MDR or any of these resistances. For patients with no risk
factors Ciprofloxacin had 90%, Pip/taz 88%, Gentamicin 95%, Cefuroxime 98%,
Cefpodoxime 98% and Ceftazidime 100% susceptibility. For patients with 1 risk
factor Ciprofloxacin had 80%, Pip/taz 80%, Gentamicin 88%, Cefuroxime 78%,
Cefpodoxime 78% and Ceftazidime 83% susceptibility. For 2 or more risk factors
Ciprofloxacin drops its susceptibility to 52%, Cefuroxime to 54% and Cefpodoxime
to 61%. Pip/taz, Gentamicin and Ceftazidime remain at 75% and 77%, respectively.
CONCLUSIONS: We identified several risk factors for resistances and MDR in UTI.
Susceptibility towards antimicrobials depends on these risk factors. With no risk
factor cephalosporins seem to be the best choice for empiric therapy, but in
patients with risk factors the beta-lactam penicillin Piperacillin with
Tazobactam is an equal or better choice compared to fluoroquinolones,
cephalosporins or gentamicin. This study highlights the importance of monitoring
local resistance rates and its risk factors in order to improve empiric therapy
in a local environment.
PMID- 29373966
TI - Microeconomic institutions and personnel economics for health care delivery: a
formal exploration of what matters to health workers in Rwanda.
AB - BACKGROUND: Most developing countries face important challenges regarding the
quality of health care, and there is a growing consensus that health workers play
a key role in this process. Our understanding as to what are the key
institutional challenges in human resources, and their underlying driving forces,
is more limited. A conceptual framework that structures existing insights and
provides concrete directions for policymaking is also missing. METHODS: To gain a
bottom-up perspective, we gather qualitative data through semi-structured
interviews with different levels of health workers and users of health services
in rural and urban Rwanda. We conducted discussions with 48 health workers and 25
users of health services in nine different groups in 2005. We maximized within
group heterogeneity by selecting participants using specific criteria that affect
health worker performance and career choice. The discussion were analysed
electronically, to identify key themes and insights, and are documented with a
descriptive quantitative analysis relating to the associations between
quotations. The findings from this research are then revisited 10 years later
making use of detailed follow-up studies that have been carried out since then.
RESULTS: The original discussions identified both key challenges in human
resources for health and driving forces of these challenges, as well as possible
solutions. Two sets of issues were highlighted: those related to the size and
distribution of the workforce and those related to health workers' on-the-job
performance. Among the latter, four categories were identified: health workers'
poor attitudes towards patients, absenteeism, corruption and embezzlement and
lack of medical skills among some categories of health workers. The discussion
suggest that four components constitute the deeper causal factors, which are,
ranked in order of ease of malleability, incentives, monitoring arrangements,
professional and workplace norms and intrinsic motivation. Three institutional
innovations are identified that aim at improving performance: performance pay,
community health workers and increased attention to training of health workers.
Revisiting the findings from this primary research making use of later in-depth
studies, the analysis demonstrates their continued relevance and usefulness. We
discuss how the different factors affect the quality of care by impacting on
health worker performance and labour market choices, making use of insights from
economics and development studies on the role of institutions. CONCLUSION: The
study results indicate that health care quality to an important degree depends on
four institutional factors at the microlevel that strongly impact on health
workers' performance and career choice, and which deserve more attention in
applied research and policy reform. The analysis also helps to identify ways
forwards, which fit well with the Ministry's most recent strategic plan.
PMID- 29373967
TI - Personality dimensions emerging during adolescence and young adulthood are
underpinned by a single latent trait indexing impairment in social functioning.
AB - BACKGROUND: Personality with stable behavioural traits emerges in the adolescent
and young adult years. Models of putatively distinct, but correlated, personality
traits have been developed to describe behavioural styles including schizotypal,
narcissistic, callous-unemotional, negative emotionality, antisocial and
impulsivity traits. These traits have influenced the classification of their
related personality disorders. We tested if a bifactor model fits the data better
than correlated-factor and orthogonal-factor models and subsequently validated
the obtained factors with mental health measures and treatment history. METHOD: A
set of self-report questionnaires measuring the above traits together with
measures of mental health and service use were collected from a volunteer
community sample of adolescents and young adults aged 14 to 25 years (N = 2443).
RESULTS: The bifactor model with one general and four specific factors emerged in
exploratory analysis, which fit data better than models with correlated or
orthogonal factors. The general factor showed high reliability and validity.
CONCLUSIONS: The findings suggest that a selected range of putatively distinct
personality traits is underpinned by a general latent personality trait that may
be interpreted as a severity factor, with higher scores indexing more impairment
in social functioning. The results are in line with ICD-11, which suggest an
explicit link between personality disorders and compromised interpersonal or
social function. The obtained general factor was akin to the overarching
dimension of personality functioning (describing one's relation to the self and
others) proposed by DSM-5 Section III.
PMID- 29373968
TI - Frail-VIG index: a concise frailty evaluation tool for rapid geriatric
assessment.
AB - BACKGROUND: Demographic changes have led to an increase in the number of elderly
frail persons and, consequently, systematic geriatric assessment is more
important than ever. Frailty Indexes (FI) may be particularly useful to
discriminate between various degrees of frailty but are not routinely assessed
due, at least in part, to the large number of deficits assessed (from 30 to 70).
Therefore, we have developed a new, more concise FI for rapid geriatric
assessment (RGA)-the Frail-VIG index ("VIG" is the Spanish/Catalan abbreviation
for Comprehensive Geriatric Assessment), which contains 22 simple questions that
assess 25 different deficits. Here we describe this FI and report its ability to
predict mortality at 24 months. METHODS: Prospective, observational, longitudinal
study of geriatric patients followed for 24 months or until death. The study
participants were patients (n = 590) admitted to the Acute Geriatric Unit at the
at the University Hospital of Vic (Barcelona) during the year 2014. Participants
were classified into one of seven groups based on their Frail-VIG score (0-0.15;
0.16-0.25; 0.26-0.35; 0.36-0.45; 0.46-0.55; 0.56-0.65; and 0.66-1). Survival
curves for these groups were compared using the log-rank test. ROC curves were
used to assess the index's capacity to predict mortality at 24 months. RESULTS:
Mean (standard deviation) patient age was 86.4 (5.6) years. The 24-month
mortality rate was 57.3% for the whole sample. Significant between-group
(deceased vs. living) differences (p < 0.05) were observed for most index
variables. Survival curves for the seven Frail-VIG groups differed significantly
(X2 = 433.4, p < 0.001), with an area under the ROC curve (confidence interval)
of 0.90 (0.88-0.92) at 12 months and 0.85 (0.82-0.88) at 24 months.
Administration time for the Frail-VIG index ranged from 5 to 10 min. CONCLUSIONS:
The Frail-VIG index, which requires less time to administer than previously
validated FIs, presents a good discriminative capacity for the degree of frailty
and a high predictive capacity for mortality in the present cohort. Although more
research is needed to confirm the validity of this instrument in other
populations and settings, the Frail-VIG may provide clinicians with a RGA method
and also a reliable tool to assess frailty in routine practice.
PMID- 29373969
TI - miR-34a is involved in CSE-induced apoptosis of human pulmonary microvascular
endothelial cells by targeting Notch-1 receptor protein.
AB - BACKGROUND: Abnormal apoptosis of lung endothelial cells has been observed in
emphysematous lung tissue and has been suggested to be an important upstream
event in the pathogenesis of chronic obstructive pulmonary disease (COPD).
Studies have shown that microRNAs (miRNAs) contribute to the pathogenesis of
pulmonary diseases by regulating cell apoptosis. The present study was designed
to investigate the expression of microRNA-34a (miR-34a) in human pulmonary
microvascular endothelial cells (HPMECs) exposed to cigarette smoke extract
(CSE), and the potential regulatory role of miR-34a in endothelial cell
apoptosis. RESULTS: Our results showed that the expression of miR-34a was
significantly increased in CSE-treated HPMECs, and inhibiting miR-34a attenuated
CSE-induced HPMEC apoptosis. Furthermore, expression of Notch-1, a receptor
protein in the Notch signalling pathway, was decreased and was inversely
correlated with miR-34a expression in HPMECs treated with CSE. Computational
miRNA target prediction confirmed that Notch-1 is a target of miR-34a. Luciferase
reporter assay further confirmed the direct interaction between miR-34a and the
3'-untranslated region (UTR) of Notch-1. Restoration of Notch-1 pathway was able
to partially block the effect of miR-34a on HPMEC apoptosis. These results
indicate that Notch-1 is a critical downstream target of miR-34a in regulating
the CSE-induced HPMEC apoptosis. CONCLUSIONS: Our results suggest that miR-34a
plays a key role in CSE-induced endothelial cell apoptosis by directly regulating
its target gene Notch-1 in endothelial cells.
PMID- 29373970
TI - Role of L-carnitine in female infertility.
AB - BACKGROUND: L-carnitine (LC), and its acetylated form, acetyl L-carnitine (ALC),
have immense functional capabilities to regulate the oxidative and metabolic
status of the female reproductive system. The vulnerability of this system to
free radicals demand for advanced strategies to combat them. For this purpose,
the 'quasi vitamins' LC and ALC can be used either individually, or in
combination with each other or with other antioxidants. MAIN BODY: This review
(a) summarizes the effects of carnitines on female fertility along with the
findings from various in vivo and in vitro studies involving human, animal and
assisted reproductive technology, and (b) proposes their mechanism of actions in
improving female fertility through their integrated actions on reducing cellular
stress, maintaining hormonal balance and enhancing energy production. They
reportedly aid beta-oxidation in oocytes, maintain its cell membrane stability by
acetylation of phospholipids and amphiphilic actions, prevent free radical
induced DNA damage and also stabilize acetyl Co-A/Co-A ratio for adequate acetyl
storage as energy supply to maintain the robustness of reproductive cells.
CONCLUSION: While both LC and ALC have their applications in improving female
fertility, ALC is preferred for its better antioxidant properties and LC for
amelioration of energy supply to the cells. These beneficial effects show great
promise in its application as a treatment option for women facing infertility
disorders.
PMID- 29373971
TI - Development and application of an indirect enzyme-linked immunosorbent assay
based on recombinant capsid protein for the detection of mink circovirus
infection.
AB - BACKGROUND: Mink circovirus (MiCV) is a newly discovered pathogen associated with
mink diarrhea. The prevalence and economic importance of this virus remain poorly
understood, and no specific serological assay has been developed for the
diagnosis of MiCV infection. RESULTS: In this study, a recombinant capsid protein
antigen expressed in Escherichia coli was utilized to establish an indirect
enzyme-linked immunosorbent assay (iELISA). Results revealed that the assay had
no cross-reactivity with other related pathogens, and the respective sensitivity
and specificity of the proposed iELISA were 92.31% and 91.67% compared with those
obtained of Western blot on 138 serum samples from minks. The correlation
coefficient between iELISA and Western blot was 0.838 (p > 0.05). iELISA was
applied to detect MiCV antibodies in 683 clinical serum samples from different
farms from the major mink industry province in China, and 21 of 24 farms with 163
of 683 (23.87%) individuals were tested positive for MiCV antibodies. The
positive rates of each of the 21 flocks ranged from 2.33% to 73.68%. CONCLUSIONS:
These results indicated that iELISA was a sensitive and specific method suitable
for the large-scale detection of MiCV infections in mink. This study provided an
effective method for the serological diagnosis and positive rate investigation of
MiCV infection.
PMID- 29373973
TI - Factors deterring dentistry, medical, pharmacy, and social science undergraduates
from pursuing nursing as a healthcare career: a cross-sectional study in an Asian
university.
AB - BACKGROUND: Globally more registered nurses need to be recruited to meet the
needs of aging populations and increased co-morbidity. Nursing recruitment
remains challenging when compared to other healthcare programs. Despite
healthcare students having similar motivation in joining the healthcare industry,
many did not consider nursing as a career choice. This study aims to identify the
deterrents to choosing nursing among healthcare undergraduates by examining the
differences in the factors influencing healthcare career choices and nursing as a
career choice. METHODS: A cross sectional study was conducted using a 35-parallel
items instrument known as Healthcare Career Choice and Nursing Career Choice
scale. Six hundred and four (n = 604) first year medical, pharmacy, dentistry and
social science students from a university in Singapore completed the survey.
RESULTS: Nursing as a career was perceived by healthcare students to be more
likely influenced by prior healthcare exposure, the nature of the work, job
prospects, and social influences. Lack of autonomous decision making, perceived
lower ability to make diagnosis, having to attend to patients' hygiene needs,
engendered stigma, and lack of parental support were identified as deterring
factors to choosing nursing as a career. CONCLUSION: An understanding of the
deterrents to choosing nursing as career allows policy makers and educational
leaders to focus on recruitment strategies. These include providing more exposure
to nurses' roles in early school years, helping young people to overcome the fear
of providing personal hygiene care, promoting nurses' autonomous nursing
practice, addressing gender stigma, and overcoming parental objection.
PMID- 29373972
TI - Differential gene expression in the evolution of sex pheromone communication in
New Zealand's endemic leafroller moths of the genera Ctenopseustis and
Planotortrix.
AB - BACKGROUND: Sex pheromone communication in moths has attracted the attention of
evolutionary biologists due to the vast array of pheromone compounds used,
addressing questions of how this diversity arose and how male reception has
evolved in step with the female signal. Here we examine the role of changing gene
expression in the evolution of mate recognition systems in leafroller moths,
particularly focusing on genes involved in the biosynthetic pathways of sex
pheromones in female pheromone glands and the peripheral reception repertoire in
the antennae of males. From tissue-specific transcriptomes we mined and compared
a database of genes expressed in the pheromone glands and antennae of males and
females of four closely related species of leafroller moths endemic to New
Zealand, Ctenopseutis herana and C. obliquana, and Planotortrix excessana and P.
octo. The peculiarity of this group, compared to other Lepidoptera, is the use of
(Z)-5-tetradecenyl acetate, (Z)-7-tetradecenyl acetate, and (Z)-8-tetradecenyl
acetate as sex pheromone components. RESULTS: We identify orthologues of
candidate genes from the pheromone biosynthesis pathway, degradation and
transport, as well as genes of the periphery olfactory repertoire, including
large families of binding proteins, receptors and odorant degrading enzymes. The
production of distinct pheromone blends in the sibling species is associated with
the differential expression of two desaturase genes, deast5 and desat7, in the
pheromone glands. In male antennae, three odorant receptors, OR74, OR76a and OR30
are over-expressed, but their expression could not be clearly associated with the
detection of species-specific pheromones components. In addition these species
contain duplications of all three pheromone binding proteins (PBPs) that are also
differentially expressed among species. CONCLUSIONS: While in females differences
in the expression of desaturases may be sufficient to explain pheromone blend
differences among these New Zealand leafroller species, in males differential
expression of several genes, including pheromone binding proteins, may underpin
differences in the response by males to changing pheromone components among the
species.
PMID- 29373974
TI - Degree of mosaicism in trophectoderm does not predict pregnancy potential: a
corrected analysis of pregnancy outcomes following transfer of mosaic embryos.
AB - BACKGROUND: Preimplantation genetic screening (PGS) is increasingly utilized as
an adjunct procedure to IVF. Recently healthy euploid live birth were reported
following transfer of mosaic embryos. Several recent publications have surmised
that the degree of trophectoderm (TE) mosaicism in transferred embryos is
predictive of ongoing pregnancy and miscarriage rates. METHODS: This is a
corrected analysis of previously published retrospective data on vitro
fertilization (IVF) cycle outcomes involving replacement of 143 mosaic and 1045
euploid embryos tested by PGS, utilizing high-resolution next-generation
sequencing (NGS) of TE and determination of percentages of mosaicism. Receiver
operating curves (ROCs) and measurement of area under the curve (AUC) were used
to evaluated the accuracy of the predictor variable, proportion of aneuploid
cells in a TE biopsy specimen, with IVF outcomes, ongoing pregnancy and
miscarriage rates. RESULTS: Confirming findings of the previously published
report we also found higher ongoing pregnancy rates (63.3% vs. 39.2%) and lower
miscarriage rates (10.2% vs. 24.3%) with euploid embryo transfers than with
mosaic embryo transfer. There, however, were no significant differences in
ongoing pregnancy or miscarriage rates among mosaic embryo transfers at any
threshold of aneuploidy. Based on AUC, TE biopsies predicted ongoing pregnancy
for euploid, as well as mosaic embryos, in a range of 0.50 to 0.59 and
miscarriage in a range from 0.50 to 0.66 CONCLUSIONS: Degree of TE mosaicism was
a poor predictor of ongoing pregnancy and miscarriage.
PMID- 29373975
TI - Evaluation of a community-based, family focused healthy weights initiative using
the RE-AIM framework.
AB - BACKGROUND: Childhood overweight and obesity is a major public health concern.
Community-based interventions have the potential to reach caregivers and
children. However, the overall health impact of these programs is rarely
comprehensively assessed. This study evaluated a physical activity and healthy
eating family program (Healthy Together; HT) using the RE-AIM framework. METHODS:
Ten sites implemented the 5-week program. Thirty-nine staff members and 277
program participants (126 caregivers [M age = 35.6] and 151 children [M age =
13]) participated in the evaluation. Each RE-AIM dimension was assessed
independently using a mixed-methods approach. Sources of data included archival
records, interviews and surveys. Effectiveness outcome variables were assessed at
pre- and post-intervention and 6-month follow-up. RESULTS: Reach: HT participants
were almost entirely recruited from existing programs within sites.
Effectiveness: Caregivers' nutrition related efficacy beliefs increased following
HT (ps < .03). Participation in HT was not associated with significant changes in
physical activity or nutrition behaviour or perceived social support (ps > .05).
Knowledge surrounding healthy diets and physical activity increased in children
and caregivers (ps < .05). Adoption: Thirty-five percent of sites approached to
implement HT expressed interest. The 10 sites selected recruited existing staff
members to implement HT. IMPLEMENTATION: Program objectives were met 72.8% of the
time and 71 adaptations were made. HT was finance- and time-dependent.
Maintenance: Two sites fully implemented HT in the follow-up year and 5 sites
incorporated aspects of HT into other programs. CONCLUSIONS: Working alongside
organizations that develop community programs to conduct comprehensive, arms
length evaluations can systematically highlight areas of success and challenges.
Overall HT represents a feasible community-based intervention; however further
support is required in order to ensure the program is effective at positively
targeting the desired outcomes. As a result of this evaluation, modifications are
currently being implemented to HT.
PMID- 29373976
TI - Calculating census tract-based life expectancy in New York state: a generalizable
approach.
AB - BACKGROUND: Life expectancy at birth (LE) has been calculated for states and
counties. LE estimates at these levels mask health disparities in local
communities. There are no nationwide estimates at the sub-county level. We
present a stepwise approach for calculating LE using census tracts in New York
state to identify health disparities. METHODS: Our study included 2751 census
tracts in New York state, but excluded New York City. We used population data
from the 2010 United States Census and 2008-2010 mortality data from the state
health department. Tracts were assigned to 99.97% of the deaths. We removed
tracts which had a majority of people living in group quarters. Deaths in these
tracts are often recorded elsewhere. Of the remaining 2679 tracts, 6.6% of the
tracts had standard errors >= 2 years. A geographic aggregation tool was used to
aggregate tracts with fewer than 60 deaths, and then aggregate areas that had
standard errors of >= 2 years. RESULTS: Aggregation resulted in a 9.9% reduction
in the number of areas. Tracts with < 2% of population living below the poverty
level had a LE of 82.8 years, while tracts with a poverty level >= 25% had a LE
of 75.5. We observed differences in LE in border areas, of up to 10.4 years, when
excluding or including deaths of study area residents that occurred outside the
study area. The range and standard deviation at the county level (77.5-82.8, SD =
1.2 years) were smaller than our final sub-county areas (64.7-92.0, SD = 3.3
years). The correlation between LE and poverty were similar and statistically
significant (p < 0.0001) at the county (r = - 0.58) and sub-county level (r = -
0.58). The correlations between LE and percent African-American at the county
level were (r = 0.11, p = 0.43) and at the sub-county level (r = - 0.25, p <
0.0001). CONCLUSION: The proposed approach for geocoding and aggregation of
mortality and population data provides a solution for health departments to
produce stable empirically-derived LE estimates using data coded to the tract.
Reliable estimates within sub-county areas are needed to aid public health
officials in focusing preventive health programs in areas where health
disparities would be masked by county level estimates.
PMID- 29373978
TI - Is ERAS effective and safe in laparoscopic gastrectomy for gastric carcinoma? A
meta-analysis.
AB - BACKGROUND: It is still unclear whether enhanced recovery after surgery is
effective and safe in laparoscopic gastrectomy for gastric carcinoma. METHODS:
Cochrane library databases, Medline, Embase, and Pubmed were searched from
January 1, 1986, to December 31, 2016. Randomized controlled trials (RCTs)
comparing fast-track recovery with conventional recovery strategies in
laparoscopic radical gastrectomy for gastric carcinoma were included. The main
outcomes measured were postoperative hospital stay, time to first flatus,
hospital charge, and overall complication rate. RESULTS: Six RCTs with 400
patients were included in this study. Fast-track surgery has shorter
postoperative hospital stays (weighted mean difference (WMD) - 2.65; 95% CI, -
4.01 to - 1.29, z = 3.82, P < 0.01) and less hospitalization expenditure (WMD -
523.43; 95% CI, - 799.79 to - 247.06, z = 3.71, P < 0.01) than conventional
recovery strategies. There was no significant difference with respect to duration
to first flatus (WMD - 17.72; 95% CI, - 39.46-4.02, z = 1.60, P = 0.11) and
complication rate (OR 1.57; 95% CI, 0.82-2.98, z = 1.37, P = 0.17). CONCLUSIONS:
Enhanced recovery after surgery is effective and safe and is thus recommended in
laparoscopic radical gastrectomy for gastric carcinoma.
PMID- 29373977
TI - Association of thrombocytosis with COPD morbidity: the SPIROMICS and COPDGene
cohorts.
AB - BACKGROUND: Thrombocytosis has been associated with COPD prevalence and increased
all-cause mortality in patients with acute exacerbation of COPD (AECOPD); but
whether it is associated with morbidity in stable COPD is unknown. This study
aims to determine the association of thrombocytosis with COPD morbidity including
reported AECOPD, respiratory symptoms and exercise capacity. METHODS:
Participants with COPD were included from two multi-center observational studies
(SPIROMICS and COPDGene). Cross-sectional associations of thrombocytosis
(platelet count >=350 * 109/L) with AECOPD during prior year (none vs. any),
exertional dyspnea (modified Medical Research Council (mMRC) score >= 2), COPD
Assessment Test (CAT) score >= 10, six-minute-walk distance (6MWD), and St.
George Respiratory questionnaire (SGRQ) were modeled using multivariable logistic
or linear regression. A pooled effect estimate for thrombocytosis was produced
using meta-analysis of data from both studies. RESULTS: Thrombocytosis was
present in 124/1820 (6.8%) SPIROMICS participants and 111/2185 (5.1%) COPDGene
participants. In meta-analysis thrombocytosis was associated with any AECOPD
(adjusted odds ratio [aOR] 1.5; 95% confidence interval [95% CI]: 1.1-2.0),
severe AECOPD (aOR 1.5; 95% CI: 1.1-2.2), dyspnea (mMRC >= 2 aOR 1.4; 95% CI: 1.0
1.9), respiratory symptoms (CAT >= 10 aOR 1.6; 95% CI: 1.1-2.4), and higher SGRQ
score (beta 2.7; 95% CI: 0.5, 5). Thrombocytosis was also associated with
classification into Global Initiative for Chronic Obstructive Lung Disease (GOLD)
group D (aOR 1.7 95% CI: 1.2-2.4). CONCLUSIONS: Thrombocytosis was associated
with higher likelihood of prior exacerbation and worse symptoms. Platelet count,
a commonly measured clinical assay, may be a biomarker for moderate-severe COPD
symptoms, guide disease classification and intensity of treatment. Future
longitudinal studies investigating the role of platelets in COPD progression may
be warranted. TRIAL REGISTRATION: ClinicalTrials.gov: NCT01969344 (SPIROMICS) and
NCT00608764 (COPDGene).
PMID- 29373979
TI - Identification and genome analysis of tomato chlorotic spot virus and dsRNA
viruses from coinfected vegetables in the Dominican Republic by high-throughput
sequencing.
AB - The Tomato chlorotic spot virus (TCSV) was first reported in the 1980s, having
its occurrence limited to Brazil and Argentina. Due to an apparent mild severity
in the past, molecular studies concerning TCSV were neglected. However, TCSV has
disseminated over the USA and Caribbean countries. In Dominican Republic TCSV has
been recently reported on important cultivated crops such as pepper and beans. In
this work, we provide the first complete genome of a TCSV isolate from
symptomatic plants in Dominican Republic, which was obtained by high-throughput
sequencing. In addition, three dsRNA viruses from different virus families were
identified coinfecting these plants Bell pepper endornavirus (BPEV), Southern
tomato virus (STV) and Pepper cryptic virus 2 (PCV-2). Phylogenetic analysis
showed that the Dominican Republic TCSV isolate has a close relationship with
other TCSV isolates and a reassortant isolate between TCSV and Groundnut ringspot
virus (GRSV), all found in USA. BPEV, STV and PCV-2 isolates from Dominican
Republic were close related to corresponding American isolates. The possible
biological implications of these virus-mixed infections are discussed.
PMID- 29373980
TI - Do we need new trials of procalcitonin-guided antibiotic therapy?
AB - Using biomarkers as a guide to tailor the duration of antibiotic treatment in
respiratory infections is an attractive hypothesis assessed in several studies.
Recent work aiming to summarize the evidence assessed the effect of a
procalcitonin (PCT)-guided antibiotic treatment on outcomes in acute lower
respiratory tract infections (LRTI), suggesting that significant reductions in
antibiotic duration occur when using a PCT-guided algorithm. However,
controversial evidence also suggested PCT-guided algorithms were associated with
increased antibiotic duration and increased incidence of Clostridium difficile,
without any impact on mortality, in real-world settings. So, although using PCT
guided antibiotic stewardship is promising, after more than a decade of
randomized controlled trials on this topic the evidence in its favor is still
less than compelling due to limitations in trial design, not taking into
consideration fundamental aspects of PCT biology, and the absence of evidence
based antimicrobial duration in intervention and control groups. In this
commentary we highlight some questions and limitations of primary PCT study data
that might impact interpretation and clinical use of PCT at the bedside.
PMID- 29373981
TI - Assessment of anxiety-depression levels and perceptions of quality of life in
adolescents with dysmenorrhea.
AB - BACKGROUND: This study aimed to assess the anxiety-depression levels and the
perceptions of quality of life, as well as the factors affecting these variables,
in adolescents with dysmenorrhea. METHODS: The participants included 60
adolescents with dysmenorrhea and 41 healthy adolescents between the ages of 12
and 18. This study used the Pediatric Quality of Life Inventory (PedsQL) for
assessing the perceptions of quality of life, the State-Trait Anxiety Inventory
(STAI) for measuring anxiety levels, and the Children's Depression Inventory
(CDI) for measuring depression levels. RESULTS: It was determined that compared
to healthy controls, the depression and anxiety scores were higher and the
quality of life was impaired in adolescents with dysmenorrhea. In addition, it
was shown that the depression and anxiety levels increased and the psychosocial
health subscale scores of quality of life decreased with increasing dysmenorrhea
severity. However, the likelihood of dysmenorrhea was found to be higher with
increasing depression scores, while the anxiety levels had no effect on
dysmenorrhea. CONCLUSION: In dysmenorrhea management, it is important to enhance
awareness among pediatric clinicians and gynecologists regarding the associations
between dysmenorrhea and mental problems.
PMID- 29373982
TI - Secoisolariciresinol diglucoside is a blood-brain barrier protective and anti
inflammatory agent: implications for neuroinflammation.
AB - BACKGROUND: Secoisolariciresinol diglucoside (SDG), the main lignan in flaxseed,
is known for its beneficial effects in inflammation, oxidative stress, heart
disease, tumor progression, atherosclerosis, and diabetes. SDG might be an
attractive natural compound that protects against neuroinflammation. Yet, there
are no comprehensive studies to date investigating the effects of SDG on brain
endothelium using relevant in vivo and in vitro models. METHODS: We evaluated the
effects of orally administered SDG on neuroinflammatory responses using in vivo
imaging of the brain microvasculature during systemic inflammation and aseptic
encephalitis. In parallel, the anti-inflammatory actions of SDG on brain
endothelium and monocytes were evaluated in vitro blood-brain barrier (BBB)
model. Multiple group comparisons were performed by one-way analysis of variance
with Dunnet's post hoc tests. RESULTS: We found that SDG diminished leukocyte
adhesion to and migration across the BBB in vivo in the setting of aseptic
encephalitis (intracerebral TNFalpha injection) and prevented enhanced BBB
permeability during systemic inflammatory response (LPS injection). In vitro SDG
pretreatment of primary human brain microvascular endothelial cells (BMVEC) or
human monocytes diminished adhesion and migration of monocytes across brain
endothelial monolayers in conditions mimicking CNS inflammatory responses.
Consistent with our in vivo observations, SDG decreased expression of the
adhesion molecule, VCAM1, induced by TNFalpha, or IL-1beta in BMVEC. SDG
diminished expression of the active form of VLA-4 integrin (promoting leukocyte
adhesion and migration) and prevented the cytoskeleton changes in primary human
monocytes activated by relevant inflammatory stimuli. CONCLUSION: This study
indicates that SDG directly inhibits BBB interactions with inflammatory cells and
reduces the inflammatory state of leukocytes. Though more work is needed to
determine the mechanism by which SDG mediates these effects, the ability of SDG
to exert a multi-functional response reducing oxidative stress, inflammation, and
BBB permeability makes it an exciting potential therapeutic for neuroinflammatory
diseases. SDG can serve as an anti-inflammatory and barrier-protective agent in
neuroinflammation.
PMID- 29373983
TI - Safety and efficacy of hematopoietic and mesanchymal stem cell therapy for
treatment of T1DM: a systematic review and meta-analysis protocol.
AB - INTRODUCTION: Insulin standard treatment of T1DM cannot cure the patients as
different chronic complications occurred subsequently. Investigations on a
curative treatment in T1DM propose cell replacement or maintenance instead of
exogenous insulin therapy, but different dimensions of this novel treatment are
not clarified. METHODS AND ANALYSIS: We will include all clinical trials which
have evaluated the efficacy MSC or HSC transplantation in T1DM treatment;
electronically search bibliographic databases, country registration data banks,
and gray literatures; and hand-search two key journals, two experts' article, and
references of the included articles with no language restriction. Primary outcome
is the extent of reduction in insulin requirement and secondary outcomes are
safety of MSC and HSC therapy, effect of this therapy on diabetic parameters,
effect of the rout of transplantation and origin of the MSC or HSC on efficacy of
treatment, studies heterogeneity and potential reasons of it. Heterogeneity and
its severity will be calculated with Q Cochrane test, P value, and I2 index.
STATA software version 12 will be used for meta-analysis. PROSPERO Registration
number: CRD42016047176. ETHICS AND DISSEMINATION: We will publish the systematic
review in a peer review journal; as it presents an analysis of published
literature, the study does not require ethical approval. STRENGTHS AND
LIMITATIONS OF THIS STUDY: This systematic review and meta-analysis will
investigate the efficacy of MSC and HSC transplantation in T1DM treatment with no
language restriction. Also we will evaluate gray literatures after hand
searching. This protocol is prepared according to Preferred Reporting Items for
Systematic Review and Meta-Analysis Protocols (PRISMA-P). Two reviewers will
evaluate screened full texts, extract data, and asses risk of bias of eligible
primary studies independently. As there is the possibility that we miss some
unpublished primary studies due to negative results, we will use funnel plot to
detect this and correct it with fill and trim method.
PMID- 29373984
TI - The effect of targeted exercise on knee-muscle function in patients with
persistent hamstring deficiency following ACL reconstruction - study protocol for
a randomized controlled trial.
AB - BACKGROUND: Anterior cruciate ligament (ACL) reconstruction, using hamstring auto
graft is a common surgical procedure, which often leads to persistent hamstring
muscle-strength deficiency and reduced function. The purpose of this randomized
controlled trial (RCT) is to investigate the effect of a combined, progressive,
strength and neuromuscular exercise intervention on knee muscle strength,
functional capacity and hamstring muscle-tendon morphology in ACL-reconstructed
patients with persistent hamstring muscle-strength deficiency compared with
controls. METHODS/DESIGN: The study is designed as a multicenter, parallel-group
RCT with balanced randomization (1:1) and blinded outcome assessments (level of
evidence: II) and will be reported in accordance with the CONSORT Statement.
Fifty ACL-reconstructed patients (hamstring auto-graft) with persistent limb-to
limb knee-flexor muscle-strength asymmetry at 12-24 months' post surgery, will be
recruited through outpatient clinics and advertisements. Patients will be
randomized to a 12-week progressive, strength and neuromuscular exercise group
(SNG) with supervised training twice weekly or a control intervention (CON)
consisting of a home-based, low-intensity exercise program. Outcome measures
include between-group change in maximal isometric knee-flexor strength (primary
outcome) and knee-extensor muscle strength, hamstring-to-quadriceps strength
ratios of the leg that has been operated on and Knee injury and Osteoarthritis
Outcome Score (KOOS) (secondary outcomes). In addition, several explorative
outcomes will be investigated: The International Knee Documentation Committee
Subjective Knee Form (IKDC), the Tegner Activity Score, rate of force development
(RFD) for the knee flexors and extensors, tendon regeneration and potential
muscle hypertrophy at graft harvest site evaluated by magnetic resonance imaging
(MRI), postural control, kinetic/kinematic gait characteristics and knee-related
functional capacity. DISCUSSION: This RCT is designed to investigate the effect
of combined, progressive-resistance and neuromuscular exercises on knee
flexor/extensor strength, in the late rehabilitation phase following ACL
reconstruction. Reduced hamstring strength represents a potential risk factor for
secondary ACL rupture and accelerated progression of osteoarthritis. If deemed
effective, the intervention paradigm introduced in this study may help to improve
current treatment strategies in ACL-reconstructed patients. TRIAL REGISTRATION:
ClinicalTrials.gov, ID: NCT02939677 (recruiting). Registered on 20 October 2016.
PMID- 29373985
TI - Reversal of end-stage heart failure in juvenile hemochromatosis with iron
chelation therapy: a case report.
AB - BACKGROUND: Juvenile hemochromatosis is the most severe form of iron overloading
phenotype. Although rare, it should be suspected in patients who present with
hypogonadotropic hypogonadism, diabetes mellitus, or cardiomyopathy without a
clear cause. CASE PRESENTATION: A young Serbian male presenting with end-stage
heart failure was referred for extracorporeal membrane oxygenation. An
endomyocardial biopsy revealed cytoplasmic iron deposits in myocytes. His
condition was stabilized with biventricular assist devices and he was listed for
heart transplantation. Iron chelation therapy was commenced and resulted in rapid
removal of iron burden. Serial outpatient echocardiograms demonstrated myocardial
recovery such that a successful biventricular assist device explant occurred 131
days after initial implant. Targeted gene sequencing revealed a loss-of-function
mutation within the HJV gene, which is consistent with juvenile hemochromatosis.
CONCLUSIONS: This rare case of a patient with juvenile hemochromatosis associated
with a HJV mutation provides histologic evidence documenting the reversal of
associated end-stage heart failure, requiring emergent mechanical circulatory
support, with iron chelation therapy.
PMID- 29373986
TI - Etiological heterogeneity and clinical variability in newborns with esophageal
atresia.
AB - BACKGROUND: The aim of this study was to define different characteristics of
infants with esophageal atresia and correlations with neonatal level of care,
morbidity and mortality occurring during hospital stay. METHODS: Charts of all
newborns with esophageal atresia (EA) admitted to our University NICU between
January 2003 and November 2016 were reviewed and subdivided in four groups
related to different clinical presentations; EA as an isolated form (A), with a
concomitant single malformation (B), as VACTERL association (C), and in the
context of a syndrome or an entity of multiple congenital anomalies (D). RESULTS:
We recruited 67 infants with EA (with or without tracheoesophageal fistula),
distributed in groups as follows: A 31.3%, B 16.4%, C 26.8% and D 25.3%. Type of
atresia was not statistically different among different groups. Mortality was
higher in groups C and D, especially if associated with congenital heart defects.
In survivors, we found different auxological evolution and prognostic profiles
considering duration in days of invasive mechanical ventilation and total
parenteral nutrition, as well as length of stay and corrected gestational age at
discharge. CONCLUSIONS: In the context of genetic and syndromic entities,
subjects with VACTERL association showed a lower mortality rate although a higher
and more complex level of intensive care was noted in comparison to infants
without VACTERL genetic and syndromic entities.
PMID- 29373987
TI - Hospital recruitment for a pragmatic cluster-randomized clinical trial: Lessons
learned from the COMPASS study.
AB - BACKGROUND: Pragmatic randomized clinical trials are essential to determine the
effectiveness of interventions in "real-world" clinical practice. These trials
frequently use a cluster-randomized methodology, with randomization at the site
level. Despite policymakers' increased interest in supporting pragmatic
randomized clinical trials, no studies to date have reported on the unique
recruitment challenges faced by cluster-randomized pragmatic trials. We
investigated key challenges and successful strategies for hospital recruitment in
the Comprehensive Post-Acute Stroke Services (COMPASS) study. METHODS: The
COMPASS study is designed to compare the effectiveness of the COMPASS model
versus usual care in improving functional outcomes, reducing the numbers of
hospital readmissions, and reducing caregiver strain for patients discharged home
after stroke or transient ischemic attack. This model integrates early supported
discharge planning with transitional care management, including nurse-led follow
up phone calls after 2, 30, and 60 days and an in-person clinic visit at 7-14
days involving a functional assessment and neurological examination. We present
descriptive statistics of the characteristics of successfully recruited hospitals
compared with all eligible hospitals, reasons for non-participation, and
effective recruitment strategies. RESULTS: We successfully recruited 41 (43%) of
95 eligible North Carolina hospitals. Leading, non-exclusive reasons for non
participation included: insufficient staff or financial resources (n = 33, 61%),
lack of health system support (n = 16, 30%), and lack of support of individual
decision-makers (n = 11, 20%). Successful recruitment strategies included:
building and nurturing relationships, engaging team members and community
partners with a diverse skill mix, identifying gatekeepers, finding mutually
beneficial solutions, having a central institutional review board, sharing
published pilot data, and integrating contracts and review board administrators.
CONCLUSIONS: Although we incorporated strategies based on the best available
evidence at the outset of the study, hospital recruitment required three times as
much time and considerably more staff than anticipated. To reach our goal, we
tailored strategies to individuals, hospitals, and health systems. Successful
recruitment of a sufficient number and representative mix of hospitals requires
considerable preparation, planning, and flexibility. Strategies presented here
may assist future trial organizers in implementing cluster-randomized pragmatic
trials. TRIAL REGISTRATION: Clinicaltrials.gov, NCT02588664 . Registered on 23
October 2015.
PMID- 29373988
TI - Who should conduct ethnobotanical studies? Effects of different interviewers in
the case of the Chacobo Ethnobotany project, Beni, Bolivia.
AB - BACKGROUND: That the answers elicited through interviews may be influenced by the
knowledge of the interviewer is accepted across disciplines. However, in
ethnobotany, there is little evidence to quantitatively assess what impact this
effect may have. We use the results of a large study of traditional ecological
knowledge (TEK) of plant use of the Chacobo and Pacahuara of Beni, Bolivia, to
explore the effects of interviewer identity and knowledge upon the elicited plant
species and uses. METHODS: The Chacobo are a Panoan speaking tribe of about 1000
members (300+ adults) in Beni, Bolivia. Researchers have collected
anthropological and ethnobotanical data from the Chacobo for more than a century.
Here, we present a complete ethnobotanical inventory of the entire adult Chacobo
population, with interviews and plant collection conducted directly by Chacobo
counterparts, with a focus on the effects caused by external interviewers.
RESULTS: Within this large study, with a unified training for interviewers, we
did find that different interviewers did elicit different knowledge sets, that
some interviewers were more likely to elicit knowledge similar to their own, and
that participants interviewed multiple times often gave information as different
as that from two randomly chosen participants. CONCLUSIONS: Despite this, we did
not find this effect to be overwhelming-the amount of knowledge an interviewer
reported on the research subject had comparatively little effect on the amount of
knowledge that interviewer recorded from others, and even those interviewers who
tended to elicit similar answers from participants also elicited a large
percentage of novel information.
PMID- 29373989
TI - Effectiveness and safety of bifidobacteria and berberine in people with
hyperglycemia: study protocol for a randomized controlled trial.
AB - BACKGROUND: Berberine is one of the most important examples of a Chinese
traditional medicine that has hypoglycemic effects but there have been no
randomized controlled trials of the drug in a larger sample. In addition, the use
of probiotic biotherapy to maintain an appropriate intestinal flora may represent
an effective early intervention for hyperglycemia. Unfortunately, there has been
a shortage of relevant research on this possibility at the population level. This
study was designed to determine the hypoglycemic effect and safety of both
bifidobacteria and berberine administration to newly diagnosed patients with pre
diabetes or diabetes mellitus. METHODS/DESIGN: This is a multicenter, double
blind, randomized, and parallel-controlled study that includes a run-in period of
2 weeks and a treatment period of 16 weeks, which will be conducted between June
2015 and October 2018. The 300 randomized patients will be assigned to the
following four groups for 16 weeks' treatment: Bifidobacterium, berberine,
Bifidobacterium combined berberine, and placebo control groups. The primary
outcome is the absolute value of fasting plasma glucose compared with baseline
after 16 weeks of treatment. DISCUSSION: This is the first randomized controlled
trial to determine the hypoglycemic effect and safety of both bifidobacteria and
berberine administration to newly diagnosed patients with pre-diabetes or
diabetes mellitus. It may provide support for the use of berberine and
bifidobacteria in the treatment of diabetes. TRIAL REGISTRATION:
ClinicalTrials.gov, ID: NCT03330184 . Retrospectively registered on 18 October
2017.
PMID- 29373990
TI - Targeted next-generation sequencing analysis in couples at increased risk for
autosomal recessive disorders.
AB - BACKGROUND: Many of the genetic childhood disorders leading to death in the pre-
or neonatal period or during early childhood follow autosomal recessive modes of
inheritance and bear specific challenges for genetic counseling and prenatal
diagnostics. Parents are carriers but clinically unaffected, and diseases are
rare but have recurrence risks of 25% in the same family. Often, affected
children (or fetuses) die before a genetic diagnosis can be established, post
mortem analysis and phenotypic descriptions are insufficient and DNA from
affected fetuses or children is not available for later analysis. A genetic
diagnosis showing biallelic causative mutations is, however, the requirement for
targeted carrier testing in parents and prenatal and preimplantation genetic
diagnosis in further pregnancies. METHODS: We undertook targeted next-generation
sequencing (NGS) for carrier screening of autosomal recessive lethal disorders in
8 consanguineous and 5 non-consanguineous couples with one or more affected
children. We searched for heterozygous variants (non-synonymous coding or splice
variants) in parents' DNA, using a set of 430 genes known to be causative for
rare autosomal recessive diseases with poor prognosis, and then filtering for
variants present in genes overlapping in both partners. Putative pathogenic
variants were tested for cosegregation in affected fetuses or children where
material was available. RESULTS: The diagnosis for the premature death in
children was established in 5 of the 13 couples. Out of the 8 couples in which no
causative diagnosis could be established 4 consented to undergo further analysis,
in two of those a potentially causative variant in a novel candidate gene was
identified. CONCLUSIONS: For the families in whom causative variants could be
identified, these may now be used for prenatal and preimplantation genetic
diagnostics. Our data show that NGS based gene panel sequencing of selected genes
involved in lethal autosomal recessive disorders is an effective tool for carrier
screening in parents and for the identification of recessive gene defects and
offers the possibility of prenatal and preimplantation genetic diagnosis in
further pregnancies in families that have experienced deaths in early childhood
and /or multiple abortions.
PMID- 29373991
TI - Ethnomycological knowledge in three communities in Amealco, Queretaro, Mexico.
AB - BACKGROUND: Fungi have multiple uses in temperate areas of Mexico, but an
important decrease in the traditional knowledge of uses and customs of mushrooms
becomes a fundamental issue for fungi conservation. However, only few studies
quantify the traditional ethnomycological knowledge in Mexico, and this study is
the first quantitative report for Queretaro, a central state with both Otomi and
Mestizo communities and a high fungi diversity. METHODS: The present study was
conducted registering traditional knowledge on the use and consumption of
mushrooms in three Hnahnu (Otomi) communities (Tesquedo, Xajay, and Tenasda) in
Amealco de Bonfil, Queretaro, Mexico, between August 2013 and November 2014. We
conducted a stratified sampling, where uses common Hnahnu and Spanish names, and
eight quantitative variables that conform the "Edible Mushrooms Cultural
Significant Index" (EMCI) were recorded from 100 informants. For the
classification and ordination analysis of species and uses, we used multivariate
techniques such as cluster, multidimensional scaling, and principal components
(PC). RESULTS: Thirty-three mushrooms species were registered, most of them used
for consumption by households, few aimed for commercial purposes, one species is
medicinal, another has veterinary, and other ludic uses (as a toy). The three
species with the highest EMCSI were Amanita basii, Fistulinella wolfeana, and
Lactarius indigo. Edibility was the main use detected in the survey, and people
harvested mushrooms provided by the forest mainly during the rainy season. We
observed that mushroom searching and collection are activities that strengthen
the family ties and are crucial for the transfer of this knowledge through
generations. Cluster analysis separates groups according to different values in
EMCSI variables, and principal components ordinate the species by frequencies
(PC1) and traditions (PC2). CONCLUSIONS: The current state of knowledge in the
studied communities is strong, especially among women, but with a tendency to
disappear due to migration and lack of interest among new generations. Future
quantitative studies are important to analyze tendencies of the traditional
ethnomycological knowledge transferred to new generations.
PMID- 29373993
TI - A hybrid type I trial to increase Veterans' access to insomnia care: study
protocol for a randomized controlled trial.
AB - BACKGROUND: Chronic insomnia is among the most reported complaints of Veterans
and military personnel referred for mental health services. It is highly comorbid
with medical and psychiatric disorders, and is associated with significantly
increased healthcare utilization and costs. Evidence-based psychotherapy, namely
Cognitive Behavioral Therapy for Insomnia (CBTI), is an effective treatment and
recommended over prescription sleep medications. While CBTI is part of a
nationwide rollout in the Veterans Health Administration to train hundreds of
providers, access to treatment is still limited for many Veterans due to limited
treatment availability, low patient and provider knowledge about treatment
options, and Veteran barriers such as distance and travel, work schedules, and
childcare. Uptake of a briefer, more primary-care-friendly treatment into routine
clinical care in Veterans Affairs (VA) primary care settings, where insomnia is
typically first recognized and diagnosed, may effectively and efficiently
increase access to effective insomnia interventions and help decrease the risks
and burdens related to chronic insomnia. METHODS: This hybrid type I trial is
composed of two aims. The first preliminarily tests the clinical non-inferiority
of Brief Behavioral Treatment for Insomnia (BBTI) versus the current "gold
standard" treatment, CBTI. The second is a qualitative needs assessment, guided
by the Consolidated Framework for Implementation Research (CFIR), to identify
potential factors that may affect successful implementation and integration of
behavioral treatments for insomnia in the primary care setting. To identify
potential implementation factors, individual interviews are conducted with the
Veterans who participate in the clinical trial, as well as VA primary care
providers and nursing staff. DISCUSSION: It is increasingly important to better
understand barriers to, and facilitators of, implementing insomnia interventions
in order to ensure that Veterans have the best access to care. Furthermore, it is
important to evaluate the potential for new avenues of treatment delivery, like
BBTI in the primary care setting, which can benefit Veterans who may not have
adequate access to specialty mental health providers trained in CBTI. TRIAL
REGISTRATION: ClinicalTrials.gov, ID: NCT02724800 . Registered on 31 March 2016.
PMID- 29373994
TI - Combined miglustat and enzyme replacement therapy in two patients with type 1
Gaucher disease: two case reports.
AB - BACKGROUND: Intravenous enzyme replacement therapy is a first-line therapy for
Gaucher disease type 1, and substrate reduction therapy represents an oral
treatment alternative. Both enzyme replacement therapy and substrate reduction
therapy are generally used as monotherapies in Gaucher disease. However, one
randomized study and several case reports have described combination therapy over
short time periods. CASE PRESENTATION: We report two female Gaucher disease type
1 patients of mainly Anglo-Saxon descent, where combined enzyme replacement
therapy and miglustat substrate reduction therapy were administered to overcome
refractory clinical symptoms. The first patient was diagnosed at age 17 and
developed Gaucher disease-related bone manifestations that worsened despite
starting imiglucerase enzyme replacement therapy. After switching to miglustat
substrate reduction therapy, her bone symptoms improved, but she developed
tremors and eventually switched back to enzyme replacement therapy. Miglustat was
later recommenced in combination with ongoing enzyme replacement therapy due to
continued bone pain, and her bone symptoms improved along with maintained
visceral manifestations. Enzyme replacement therapy was subsequently tapered off
and the patient has since been successfully maintained on miglustat. The second
patient was diagnosed aged 3, and commenced imiglucerase enzyme replacement
therapy aged 15. After 9 years on enzyme replacement therapy she switched to
miglustat substrate reduction therapy and her core symptoms were
maintained/stable for 3 years. Imiglucerase enzyme replacement therapy was later
added as a boost to therapy and her symptoms were subsequently maintained over a
2.3-year period. However, miglustat was discontinued due to her relocation,
necessitating an increase in enzyme replacement therapy dose. Overall, both
patients benefited from combination therapy. CONCLUSION: While the majority of
Gaucher disease type 1 patients will not need treatment with both substrate
reduction therapy and enzyme replacement therapy, the current case reports
demonstrate that judicious use of combination therapy may be of benefit in some
cases.
PMID- 29373992
TI - Beyond genomics: understanding exposotypes through metabolomics.
AB - BACKGROUND: Over the past 20 years, advances in genomic technology have enabled
unparalleled access to the information contained within the human genome.
However, the multiple genetic variants associated with various diseases typically
account for only a small fraction of the disease risk. This may be due to the
multifactorial nature of disease mechanisms, the strong impact of the
environment, and the complexity of gene-environment interactions. Metabolomics is
the quantification of small molecules produced by metabolic processes within a
biological sample. Metabolomics datasets contain a wealth of information that
reflect the disease state and are consequent to both genetic variation and
environment. Thus, metabolomics is being widely adopted for epidemiologic
research to identify disease risk traits. In this review, we discuss the
evolution and challenges of metabolomics in epidemiologic research, particularly
for assessing environmental exposures and providing insights into gene
environment interactions, and mechanism of biological impact. MAIN TEXT:
Metabolomics can be used to measure the complex global modulating effect that an
exposure event has on an individual phenotype. Combining information derived from
all levels of protein synthesis and subsequent enzymatic action on metabolite
production can reveal the individual exposotype. We discuss some of the
methodological and statistical challenges in dealing with this type of high
dimensional data, such as the impact of study design, analytical biases, and
biological variance. We show examples of disease risk inference from metabolic
traits using metabolome-wide association studies. We also evaluate how these
studies may drive precision medicine approaches, and pharmacogenomics, which have
up to now been inefficient. Finally, we discuss how to promote transparency and
open science to improve reproducibility and credibility in metabolomics.
CONCLUSIONS: Comparison of exposotypes at the human population level may help
understanding how environmental exposures affect biology at the systems level to
determine cause, effect, and susceptibilities. Juxtaposition and integration of
genomics and metabolomics information may offer additional insights. Clinical
utility of this information for single individuals and populations has yet to be
routinely demonstrated, but hopefully, recent advances to improve the robustness
of large-scale metabolomics will facilitate clinical translation.
PMID- 29373995
TI - Ecology, feeding and natural infection by Leishmania spp. of phlebotomine sand
flies in an area of high incidence of American tegumentary leishmaniasis in the
municipality of Rio Branco, Acre, Brazil.
AB - BACKGROUND: Phlebotomine sand flies (Diptera: Psychodidae) are insects of medical
importance due to their involvement in the zoonotic transmission of Leishmania
spp. to vertebrates. The aim of this work was to study the ecology of the sand
fly fauna of two types of environments, a rural environment (the Transacreana
Road) and an urban park (Horto Florestal Park), both located in the municipality
of Rio Branco in the state of Acre, Brazil. Additionally, this study intended to
investigate Leishmania infection and blood meal sources of these sand flies using
molecular techniques. METHODS: The sand fly fauna was studied in different
environments (i.e. forest and peridomestic environments in a rural area, and an
urban forest) using Shannon traps and HP light traps to collect sand fly
specimens over 13 consecutive months (December 2014 to January 2016). For
investigating natural infection by Leishmania and the source of sand fly blood
meals, DNA samples were extracted from female sand flies and subjected to
polymerase chain reaction targeting ITS1 and cytb genes. DNA sequencing was
subsequently used to identify species of Leishmania and the source of blood
meals. RESULTS: A total of 2515 individual sand flies of 43 species were
collected and identified, Trichophoromyia auraensis (839; 33.35%),
Trichophoromyia spp. (537; 21.35%) and Evandromyia saulensis (187; 7.43%) were
more abundant in the rural area (S = 41 species) than in the urban forest. No
significant differences were found in species richness between forest and
peridomestic environments in the rural area (H = 0.04; P > 0.05), but a larger
number of species was found in the forest. Leishmania DNA was sequenced in 13
samples, confirming the presence of L. (V.) braziliensis in Th. auraensis (n =
1), Ev. saulensis (n = 2), Ev. walkeri (n = 1), Ps. llanosmartinsi (n = 1), Pi.
nevesi (n = 2), Ps. davisi (n = 1), Ps. ayrozai (n = 1), Pa. aragaoi (n = 1), Ny.
antunesi (n = 1) and Ev. infraspinosa (n = 1). Only Ps. ayrozai possessed a
sequence similar to that of L. (V.) guyanensis (99%). Through microscopic
analysis, five specimens of Ev. saulensis were found to possess flagellate forms
in the hindgut, with an infection rate of 2.4%. Samples from 33 fed females were
submitted to cytb gene amplification, for which sequencing determined that all
were similar to the sequence deposited on GenBank for Gallus gallus (domestic
chicken). CONCLUSIONS: The high abundance of Trichophoromyia auraensis and Ev.
saulensis, and the detection of L. (V.) braziliensis DNA, suggests that both
species may be vectors of American tegumentary leishmaniasis. Psychodopygus
ayrozai was found to be infected by L. (V) braziliesnsis and L. (V.) guyanensis,
and although collected in low abundance, it may be a potential vector in the
region. The sand fly fauna was found to be rich and diverse with predominance of
the genus Psychodopygus. Identification of food sources of fed females showed
that 100% amplified a gene region compatible with the domestic chicken, which
although considered refractory in the disease transmission cycle, may have an
influence on the population dynamics of sand flies.
PMID- 29373997
TI - Indigenous uses of ethnomedicinal plants among forest-dependent communities of
Northern Bengal, India.
AB - BACKGROUND: Traditional knowledge on ethnomedicinal plant is slowly eroding. The
exploration, identification and documentation on utilization of ethnobotanic
resources are essential for restoration and preservation of ethnomedicinal
knowledge about the plants and conservation of these species for greater interest
of human society. METHODS: The study was conducted at fringe areas of Chilapatta
Reserve Forest in the foothills of the eastern sub-Himalayan mountain belts of
West Bengal, India, from December 2014 to May 2016. Purposive sampling method was
used for selection of area. From this area which is inhabited by aboriginal
community of Indo-Mongoloid origin, 400 respondents including traditional
medicinal practitioners were selected randomly for personal interview schedule
through open-ended questionnaire. The questionnaire covered aspects like plant
species used as ethnomedicines, plant parts used, procedure for dosage and
therapy. RESULTS: A total number of 140 ethnomedicinal species was documented, in
which the tree species (55) dominated the lists followed by herbs (39) and shrubs
(30). Among these total planted species used for ethnomedicinal purposes, 52
species were planted, 62 species growing wild or collected from the forest for
use and 26 species were both wild and planted. The present study documented 61
more planted species as compared to 17 planted species documented in an
ethnomedicinal study a decade ago. The documented species were used to treat 58
human diseases/ailments including nine species used to eight diseases/ailments of
domestic animals. Stomach-related problems were treated by maximum number of
plants (40 species) followed by cuts and wounds with 27 plant species and least
with one species each for 17 diseases or ailments. Maximum number of 12
diseases/ailments was cured by Melia azedarach followed by Centella asiatica and
Rauvolfia serpentina which were used to cure 11 diseases/ailments each.
CONCLUSIONS: The list of 140 plant species indicates that the Chilapatta Reserve
Forest and its fringe areas are rich in biodiversity of ethnobotanical plant
species. Rauvolfia serpentina were the most valuable species in terms of its
maximal use with higher use value. The documentation of 78 species maintained in
the home gardens indicates the community consciousness on the conservation values
of these ethnobotanical species. The communities should be encouraged with
improved cultivation techniques of commercially viable ethnobotanical species
through capacity building, timely policy intervention along with strong market
linkage. This will ensure income generation and livelihood improvement and
ultimate conservation of these species.
PMID- 29373996
TI - Performance of critical care prognostic scoring systems in low and middle-income
countries: a systematic review.
AB - BACKGROUND: Prognostic models-used in critical care medicine for mortality
predictions, for benchmarking and for illness stratification in clinical trials
have been validated predominantly in high-income countries. These results may not
be reproducible in low or middle-income countries (LMICs), not only because of
different case-mix characteristics but also because of missing predictor
variables. The study objective was to systematically review literature on the use
of critical care prognostic models in LMICs and assess their ability to
discriminate between survivors and non-survivors at hospital discharge of those
admitted to intensive care units (ICUs), their calibration, their accuracy, and
the manner in which missing values were handled. METHODS: The PubMed database was
searched in March 2017 to identify research articles reporting the use and
performance of prognostic models in the evaluation of mortality in ICUs in LMICs.
Studies carried out in ICUs in high-income countries or paediatric ICUs and
studies that evaluated disease-specific scoring systems, were limited to a
specific disease or single prognostic factor, were published only as abstracts,
editorials, letters and systematic and narrative reviews or were not in English
were excluded. RESULTS: Of the 2233 studies retrieved, 473 were searched and 50
articles reporting 119 models were included. Five articles described the
development and evaluation of new models, whereas 114 articles externally
validated Acute Physiology and Chronic Health Evaluation, the Simplified Acute
Physiology Score and Mortality Probability Models or versions thereof. Missing
values were only described in 34% of studies; exclusion and or imputation by
normal values were used. Discrimination, calibration and accuracy were reported
in 94.0%, 72.4% and 25% respectively. Good discrimination and calibration were
reported in 88.9% and 58.3% respectively. However, only 10 evaluations that
reported excellent discrimination also reported good calibration.
Generalisability of the findings was limited by variability of inclusion and
exclusion criteria, unavailability of post-ICU outcomes and missing value
handling. CONCLUSIONS: Robust interpretations regarding the applicability of
prognostic models are currently hampered by poor adherence to reporting
guidelines, especially when reporting missing value handling. Performance of
mortality risk prediction models in LMIC ICUs is at best moderate, especially
with limitations in calibration. This necessitates continued efforts to develop
and validate LMIC models with readily available prognostic variables, perhaps
aided by medical registries.
PMID- 29373998
TI - Photobiomodulation versus light-emitting diode (LED) therapy in the treatment of
temporomandibular disorder: study protocol for a randomized, controlled clinical
trial.
AB - BACKGROUND: Temporomandibular disorder (TMD) is described as a subgroup of
orofacial pain with a set of signs and symptoms that involve the
temporomandibular joint, masticatory muscles, ears, and neck. TMD can occur
unilaterally or bilaterally and approximately 70% of the population is affected
with at least one sign. The disorder progresses with orofacial pain, muscle pain
involving the masticatory and cervical muscles, joint noises (clicks and pops),
joint block, mandibular dysfunction, and headache. The etiology can be abnormal
occlusion and/or posture, trauma involving local tissues, repetitive microtrauma,
parafunctional habits, and an increase in emotional stress. Studies have
demonstrated that phototherapy is an efficient option for the treatment of TMD,
leading to improvements in pain and orofacial function. METHODS: The aim of the
proposed study is to compare the effects of two sources of photobiomodulation in
individuals with TMD. A randomized, controlled, double-blind, clinical trial is
proposed, which will involve 80 individuals aged 18-65 years allocated to either
a laser group or light-emitting diode (LED) group submitted to 12 sessions of
phototherapy. The Research Diagnostic Criteria for TMDs will be used to evaluate
all participants. Pain will be measured using the visual analog scale and maximum
vertical mandibular movement will be determined with the aid of digital calipers.
DISCUSSION: This study compares the effects of two modalities of laser therapy on
the pain and orofacial function of patients with TMD dysfunction.
Photobiomodulation and LED therapy are treatment options for reducing the
inflammatory process and pain as well as inducing the regeneration of the target
tissue. TRIAL REGISTRATION: ClinicalTrials.gov, NCT03257748 . Registered on 8
August 2017.
PMID- 29373999
TI - Combining 16S rRNA gene variable regions enables high-resolution microbial
community profiling.
AB - BACKGROUND: Most of our knowledge about the remarkable microbial diversity on
Earth comes from sequencing the 16S rRNA gene. The use of next-generation
sequencing methods has increased sample number and sequencing depth, but the read
length of the most widely used sequencing platforms today is quite short,
requiring the researcher to choose a subset of the gene to sequence (typically 16
33% of the total length). Thus, many bacteria may share the same amplified
region, and the resolution of profiling is inherently limited. Platforms that
offer ultra-long read lengths, whole genome shotgun sequencing approaches, and
computational frameworks formerly suggested by us and by others all allow
different ways to circumvent this problem yet suffer various shortcomings. There
is a need for a simple and low-cost 16S rRNA gene-based profiling approach that
harnesses the short read length to provide a much larger coverage of the gene to
allow for high resolution, even in harsh conditions of low bacterial biomass and
fragmented DNA. RESULTS: This manuscript suggests Short MUltiple Regions
Framework (SMURF), a method to combine sequencing results from different PCR
amplified regions to provide one coherent profiling. The de facto amplicon length
is the total length of all amplified regions, thus providing much higher
resolution compared to current techniques. Computationally, the method solves a
convex optimization problem that allows extremely fast reconstruction and
requires only moderate memory. We demonstrate the increase in resolution by in
silico simulations and by profiling two mock mixtures and real-world biological
samples. Reanalyzing a mock mixture from the Human Microbiome Project achieved
about twofold improvement in resolution when combing two independent regions.
Using a custom set of six primer pairs spanning about 1200 bp (80%) of the 16S
rRNA gene, we were able to achieve ~ 100-fold improvement in resolution compared
to a single region, over a mock mixture of common human gut bacterial isolates.
Finally, the profiling of a Drosophila melanogaster microbiome using the set of
six primer pairs provided a ~ 100-fold increase in resolution and thus enabling
efficient downstream analysis. CONCLUSIONS: SMURF enables the identification of
near full-length 16S rRNA gene sequences in microbial communities, having
resolution superior compared to current techniques. It may be applied to standard
sample preparation protocols with very little modifications. SMURF also paves the
way to high-resolution profiling of low-biomass and fragmented DNA, e.g., in the
case of formalin-fixed and paraffin-embedded samples, fossil-derived DNA, or DNA
exposed to other degrading conditions. The approach is not restricted to
combining amplicons of the 16S rRNA gene and may be applied to any set of
amplicons, e.g., in multilocus sequence typing (MLST).
PMID- 29374000
TI - A Breastfeed-Promoting Mobile App Intervention: Usability and Usefulness Study.
AB - BACKGROUND: Breastfeeding is proven to have lasting health benefits for both
mothers and infants; however, 6-month exclusive breastfeeding rate remains below
20% in Thailand. Although the number of research literature and commercial apps
for breastfeeding women is significantly growing, they are country-specific and
restricted to English-speaking users. There exists a major knowledge gap on how
mobile health apps could support breastfeeding in Thailand. To address these
gaps, MoomMae has been developed with the intention to support Thai women in
breastfeeding outside of their homes and in keeping their feeding records.
OBJECTIVE: The aim of this study was to evaluate the usability and usefulness of
MoomMae, a mobile phone app designed to support breastfeeding women. METHODS: Our
study was reviewed and approved by Thailand's National Science and Technology
Development Agency (NSTDA) ethics committee. A total of 21 breastfeeding women
with at least one Android phone or tablet were recruited via convenience and
snowball sampling. The study process for each participant was as follows: the
participant was requested to attend a preuse interview and given the app to use
for 4 weeks. Following this period, a postuse interview was conducted to examine
the usability and usefulness of the app. Both sessions were held individually and
audiorecorded for qualitative analysis. RESULTS: The mean scores of usability and
usefulness from the postuse survey were 4.33 (SD 0.87; range 1-5) and 4.60 (SD
0.74; range 2-5). Our qualitative analysis revealed a total of 137 feedbacks: 71
related to usability and 66 associated with usefulness. A further sentimental
analysis showed that comments on usability were generally negative (59 negative,
11 positive, and 1 neutral), and comments on usefulness were relatively positive
(56 positive, 9 negative, and 1 neutral). We discovered 26 unique design issues
and proposed recommendations for future improvement. CONCLUSIONS: Our usability
and usefulness assessment of MoomMae demonstrated that MoomMae has a great
potential to be a useful self-management tool for breastfeeding mothers in
Thailand. The qualitative analysis suggested that the app is supportive of
breastfeeding on demand, but the flow and inputs of the app should be redesigned
to be more intuitive. For future implementations, the most desirable feature is a
pump-reminding notification system.
PMID- 29374001
TI - Effect of a Titanium Tetrafluoride Varnish in the Prevention and Treatment of
Carious Lesions in the Permanent Teeth of Children Living in a Fluoridated
Region: Protocol for a Randomized Controlled Trial.
AB - BACKGROUND: Titanium tetrafluoride (TiF4) has regained interest due to new
formulations that have been shown to be more effective against tooth
demineralization than sodium fluoride (NaF) formulations in vitro and in situ.
OBJECTIVE: The aim of this study is to evaluate the effect of two types of
varnishes (4% TiF4 and a commercial 5% NaF) on the prevention of carious lesions
and the treatment of noncavitated enamel carious lesions in the permanent teeth
of children living in a fluoridated area. METHODS: This randomized, controlled,
parallel and single-blind clinical trial involves 63 children, 6-7 years old,
living in Bauru, Sao Paulo, Brazil. Children were selected according to their
caries activity (ie, presence of at least 1 tooth with a Nyvad score of 1) and
randomly divided into the following treatment categories: 4% TiF4 varnish (2.45 %
F-, pH 1, FGM); 5% NaF varnish (2.26% F-, pH 5, Duraphat, Colgate) and control
(placebo varnish, pH 5, FGM). The varnishes will be applied on all permanent
teeth, once a week for 4 weeks and they will be reapplied only once 6 and 12
months after the study begins. Two calibrated examiners will carry out the
clinical examination (International Caries Detection and Assessment System
[ICDAS] and Nyvad indexes, kappa>.8) at baseline, before the first application,
after the 1st, 6th, 12th, and 18th month of the study begins. Furthermore,
quantitative fluorescence changes will be measured using Quantitative Light
Induced Fluorescence (QLF). The degree of patient satisfaction with the treatment
will also be computed. The data will undergo statistical analysis (P<.05).
RESULTS: This ongoing study is funded by funding agencies from Brazil (Sao Paulo
Research Foundation, FAPESP-015/14149-1, and National Council for Scientific and
Technological Development, CNPq-401313/2016-6). We expect to confirm the efficacy
of TiF4 on the prevention and treatment of carious lesions by comparing it to NaF
varnish. The subjects are under 1 month evaluation and the dropout was about 8%.
No differences between the treatments have been detected at the first month so
far (P>.05). CONCLUSIONS: If our hypothesis is confirmed, TiF4 varnish can be
marketed and applied at the individual level and used in community programs to
control dental caries. TRIAL REGISTRATION: Brazilian Clinical Trials Registry:
RBR-5VWJ4Y; http://www.ensaiosclinicos.gov.br/rg/?q=RBR-5VWJ4Y (Archived by
WebCite at http://www.webcitation.org/6wUurEnm7).
PMID- 29374002
TI - Examining the Frequency and Contribution of Foods Eaten Away From Home in the
Diets of 18- to 30-Year-Old Australians Using Smartphone Dietary Assessment
(MYMeals): Protocol for a Cross-Sectional Study.
AB - BACKGROUND: Young Australians aged between 18 and 30 years have experienced the
largest increase in the body mass index and spend the largest proportion of their
food budget on fast food and eating out. Frequent consumption of foods purchased
and eaten away from home has been linked to poorer diet quality and weight gain.
There has been no Australian research regarding quantities, type, or the
frequency of consumption of food prepared outside the home by young adults and
its impact on their energy and nutrient intakes. OBJECTIVES: The objective of
this study was to determine the relative contributions of different food outlets
(eg, fast food chain, independent takeaway food store, coffee shop, etc) to the
overall food and beverage intake of young adults; to assess the extent to which
food and beverages consumed away from home contribute to young adults' total
energy and deleterious nutrient intakes; and to study social and physical
environmental interactions with consumption patterns of young adults. METHODS: A
cross-sectional study of 1008 young adults will be conducted. Individuals are
eligible to participate if they: (1) are aged between 18 and 30 years; (2) reside
in New South Wales, Australia; (3) own or have access to a smartphone; (4) are
English-literate; and (5) consume at least one meal, snack, or drink purchased
outside the home per week. An even spread of gender, age groups (18 to 24 years
and 25 to 30 years), metropolitan or regional geographical areas, and high and
low socioeconomic status areas will be included. Participants will record all
food and drink consumed over 3 consecutive days, together with location purchased
and consumed in our customized smartphone app named Eat and Track (EaT).
Participants will then complete an extensive demographics questionnaire. Mean
intakes of energy, nutrients, and food groups will be calculated along with the
relative contribution of foods purchased and eaten away from home. A subsample of
19.84% (200/1008) of the participants will complete three 24-hour recall
interviews to compare with the data collected using EaT. Data mining techniques
such as clustering, decision trees, neural networks, and support vector machines
will be used to build predictive models and identify important patterns. RESULTS:
Recruitment is underway, and results will be available in 2018. CONCLUSIONS: The
contribution of foods prepared away from home, in terms of energy, nutrients,
deleterious nutrients, and food groups to young people's diets will be
determined, as will the impact on meeting national recommendations. Foods and
consumption behaviors that should be targeted in future health promotion efforts
for young adults will be identified.
PMID- 29374003
TI - Mobile Health Technology Interventions for Suicide Prevention: Protocol for a
Systematic Review and Meta-Analysis.
AB - BACKGROUND: Previous research has reported that two of the major barriers to help
seeking for individuals at risk of suicide are stigma and geographical isolation.
Mobile technology offers a potential means of delivering evidence-based
interventions with greater specificity to the individual, and at the time that it
is needed. Despite documented motivation by at-risk individuals to use mobile
technology to track mental health and to support psychological interventions,
there is a shortfall of outcomes data on the efficacy of mobile health (mHealth)
technology on suicide-specific outcomes. OBJECTIVE: The objective of this study
is to develop a protocol for a systematic review and meta-analysis that aims to
evaluate the effectiveness of mobile technology-based interventions for suicide
prevention. METHODS: The search includes the Cochrane Central Register of
Controlled Trials (CENTRAL: The Cochrane Library), MEDLINE, Embase, PsycINFO,
CRESP and relevant sources of gray literature. Studies that have evaluated
psychological or nonpsychological interventions delivered via mobile computing
and communication technology, and have suicidality as an outcome measure will be
included. Two authors will independently extract data and assess the study
suitability in accordance with the Cochrane Collaboration Risk of Bias Tool.
Studies will be included if they measure at least one suicide outcome variable
(ie, suicidal ideation, suicidal intent, nonsuicidal self-injurious behavior,
suicidal behavior). Secondary outcomes will be measures of symptoms of
depression. Where studies are sufficiently homogenous and reported outcomes are
amenable for pooled synthesis, meta-analysis will be performed. A narrative
synthesis will be conducted if the data is unsuitable for a meta-analysis.
RESULTS: The review is in progress, with findings expected by summer 2018.
CONCLUSIONS: To date, evaluations of mobile technology-based interventions in
suicide prevention have focused on evaluating content as opposed to efficacy.
Indeed, previous research has identified mobile applications that appear to
present harmful content. The current review will address a gap in the literature
by evaluating the efficacy of stand-alone mobile technology tools in suicide
prevention. It is imperative that research identifies the evidence base for such
tools in suicide prevention in order to inform policy, guide clinical practice,
inform users and focus future research. TRIAL REGISTRATION: PROSPERO
International Prospective Register of Systematic Reviews CRD42017072899; https://
www.crd.york.ac.uk/prospero/display_record.asp?ID=CRD42017072899 (Archived by
WebCite at http://www.webcitation.org/ 6tZAj0yqJ).
PMID- 29374004
TI - eHealth Technologies, Multimorbidity, and the Office Visit: Qualitative Interview
Study on the Perspectives of Physicians and Nurses.
AB - BACKGROUND: eHealth is a broad term referring to the application of information
and communication technologies in the health sector, ranging from health records
to telemedicine and multiple forms of health education and digital tools. By
providing increased and anytime access to information, opportunities to exchange
experiences with others, and self-management support, eHealth has been heralded
as transformational. It has created a group of informed, engaged, and empowered
patients as partners, equipped to take part in shared decision making and
effectively self-manage chronic illness. Less attention has been given to health
care professionals' (HCPs) experiences of the role of eHealth in patient
encounters. OBJECTIVE: The objective of this study was to examine HCPs'
perspectives on how eHealth affects their relationships with patients living with
multiple chronic conditions, as well as its ethical and practical ramifications.
METHODS: We interviewed HCPs about their experiences with eHealth and its impact
on the office visit. Eligible participants needed to report a caseload of >=25%
of patients with multimorbidity to address issues of managing complex chronic
conditions and coordination of care. We used a semistructured discussion guide
for in-depth interviews, and follow-up interviews served to clarify and expand
upon initial discussions. Constant comparisons and a narrative approach guided
the analyses, and a relational ethics conceptual lens was applied to the data to
identify emergent themes. RESULTS: A total of 12 physicians and nurses (6 male, 6
female; median years of practice=13) participated. eHealth tools most frequently
described were Web-based educational resources for patients and Web-based
resources for HCPs such as curated scientific summaries on diagnostic criteria,
clinical therapies, and dosage calculators. Analysis centered on a grand theme of
the two-way conversation between HCPs and patients, which addresses a general
recentering of the ethical relationship between HCPs and patients around
engagement. Subthemes explain the evolution of the two-way conversation, and
having, using, and supporting the two-way conversation with patients, primarily
as this relates to achieving adherence and health outcomes. CONCLUSIONS: Emerging
ethical concerns were related to the ambiguity of the ideal of empowered patients
and the ways in which health professionals described enacting those ideals in
practice, showing how the cultural shift toward truly mutually respectful and
collaborative practice is in transition. HCPs aim to act in the best interests of
their patients; the challenge is to benefit from emergent technologies that may
enhance patient-HCP interactions and effective care, while abiding by
regulations, dealing with the strictures of the technology itself, and managing
changing demands on their time.
PMID- 29374005
TI - Intrapersonal Variation in Goal Setting and Achievement in Health Coaching: Cross
Sectional Retrospective Analysis.
AB - BACKGROUND: Chronic conditions in the United States are among the most costly and
preventable of all health problems. Research suggests health coaching is an
effective strategy for reducing health risks including decreases in weight, blood
pressure, lipids, and blood glucose. Much less is known about how and when
coaching works. OBJECTIVE: The aim of this study was to conduct an analysis of
intrapersonal variations in participants' progression in health coaching,
examining gender and age-related differences. METHODS: This was a cross
sectional, retrospective analysis of 35,333 health coaching participants between
2012 and 2016. Differences in number of goals and activities set and completed,
and number of interactions were assessed using negative binomial models.
Differences in goal type were assessed using logistic regression for gender and
using the Welch test for age to account for unequal variances. RESULTS:
Participants choosing online coaching were more likely to be younger and female
(P<.001). Gender and age differences were found for the types of goals set by
participants. Regarding program activity, women set and completed 12% more action
steps than men (P<.001), averaging 21% more interactions than men (P<.001); no
gender differences were found in number of goals completed (P=.12), although the
percentage of males and females completing goals was significantly different at
60 and 120 days postenrollment (P<.001). Results indicated significant age
related differences in all aspects of program activity: number of interactions,
goals set and completed, action steps set and completed (all P values <.01), as
well as significant differences in percentage of individuals completing initial
goals within 30 days, with older individuals completing more than younger
individuals did (all P values <.001). CONCLUSIONS: This study found significant
intrapersonal variation in how people participate in and progress through a
coaching program. Age-related variations were found in all aspects of coaching
activity, from modality preference and initial choice of goal type (eg, weight
management, tobacco cessation) to goal completion, whereas gender-related
differences were demonstrated for all program activities except number of goals
set and completed. These findings indicate that to maximize behavior change,
coaches need to personalize the coaching experience to the individual.
PMID- 29374006
TI - Web-Based Training Program Using Cognitive Behavioral Therapy to Enhance
Cognitive Flexibility and Alleviate Psychological Distress Among Schoolteachers:
Pilot Randomized Controlled Trial.
AB - BACKGROUND: Schoolteachers are known to be faced with various stresses in their
work. A simple, less onerous, and effective intervention technique that can
enhance the stress management skills, particularly, cognitive flexibility, of
schoolteachers is needed. OBJECTIVE: This study aimed to determine whether stress
management training using a Web-based cognitive behavioral therapy (CBT) program
is effective for enhancing the cognitive flexibility of schoolteachers and
alleviating their subjective distress. METHODS: This study was conducted in a
random controlled design covering public elementary schoolteachers. Teachers
allocated to the intervention group received 120 min of group education and
completed homework using a Web-based CBT program that lasted for 3 months. The
items of outcome evaluation were cognitive flexibility and subjective distress,
and the efficacy of intervention was evaluated at 3 months after intervention.
RESULTS: A total of 240 participants were randomly allocated to the intervention
group (120 individuals) and the control group (120 individuals). On the basis of
the principle of intention to treat, the intervention group and the control group
were compared regarding the amount of change from before intervention to after
intervention, using a general linear model. Scores of cognitive flexibility and
subjective distress were significantly more improved in the intervention group
than in the control group. CONCLUSIONS: The results of this study suggest that
simple stress management training using a Web-based CBT program in elementary
schoolteachers enhances cognitive flexibility and alleviates subjective distress.
PMID- 29374007
TI - A Web-Based Course on Public Health Principles in Disaster and Medical
Humanitarian Response: Survey Among Students and Faculty.
AB - BACKGROUND: Web-based public health courses are becoming increasingly popular.
"Public Health Principles in Disaster and Medical Humanitarian Response" is a
unique Web-based course in Hong Kong. This course aimed to fill a public health
training gap by reaching out to postgraduates who are unable to access face-to
face learning. OBJECTIVE: The aim of this paper was to use a structured framework
to objectively evaluate the effectiveness of a Web-based course according to
Greenhalgh et al's quality framework and the Donabedian model to make
recommendations for program improvement. METHODS: An interim evaluation of the
first cohort of students in 2014 was conducted according to the Donabedian model
and a quality framework by Greenhalgh et al using objective and self-reported
data. RESULTS: Students who registered for the first cohort (n=1152) from June
16, 2014 to December 15, 2014 (6 months) were surveyed. Two tutors and the course
director were interviewed. The Web-based course was effective in using technology
to deliver suitable course materials and assessment and to enhance student
communication, support, and learning. Of the total number of students registered,
59.00% (680/1152) were nonlocal, originating from 6 continents, and 72.50%
(835/1152) possessed a bachelor's or postgraduate degree. The completion rate was
20.00% (230/1152). The chi-square test comparing students who completed the
course with dropouts showed no significant difference in gender (P=.40), age
(P=.98), occupation (P=.43), or qualification (P=.17). The cost (HK $272 per
student) was lower than that of conducting a face-to-face course (HK $4000 per
student). CONCLUSIONS: The Web-based course was effective in using technology to
deliver a suitable course and reaching an intended audience. It had a higher
completion rate than other Web-based courses. However, sustainable sources of
funding may be needed to maintain the free Web-based course.
PMID- 29374008
TI - Helen McShane and colleagues reply to Deborah Cohen.
PMID- 29374009
TI - Response to: 'Switching from the bio-originators to biosimilar: is it premature
to recommend this procedure?' by Cantini and Benucci.
PMID- 29374010
TI - Response to: 'Simultaneous inhibition of alpha4/beta7 integrin and tumor necrosis
factor-alpha in concomitant spondyloarthritis and inflammatory bowel disease' by
Richard et al.
PMID- 29374011
TI - Consider Addison's disease in differential diagnosis of eating disorders in
children and young people.
PMID- 29374013
TI - Government postpones first ACOs to allow further consultation.
PMID- 29374014
TI - Surgeon whose manslaughter conviction was quashed faces GMC hearing.
PMID- 29374015
TI - Use paracetamol for most acute sore throats, says NICE.
PMID- 29374012
TI - miR-29 contributes to normal endothelial function and can restore it in
cardiometabolic disorders.
AB - We investigated the role of microRNAs (miRNA) in endothelial dysfunction in the
setting of cardiometabolic disorders represented by type 2 diabetes mellitus
(T2DM). miR-29 was dysregulated in resistance arterioles obtained by biopsy in
T2DM patients. Intraluminal delivery of miR-29a-3p or miR-29b-3p mimics restored
normal endothelium-dependent vasodilation (EDVD) in T2DM arterioles that
otherwise exhibited impaired EDVD Intraluminal delivery of anti-miR-29b-3p in
arterioles from non-DM human subjects or rats or targeted mutation of Mir29b-1/a
gene in rats led to impaired EDVD and exacerbation of hypertension in the rats.
miR-29b-3p mimic increased, while anti-miR-29b-3p or Mir29b-1/a gene mutation
decreased, nitric oxide levels in arterioles. The mutation of Mir29b-1/a gene led
to preferential differential expression of genes related to nitric oxide
including Lypla1. Lypla1 was a direct target of miR-29 and could abrogate the
effect of miR-29 in promoting nitric oxide production. Treatment with Lypla1
siRNA improved EDVD in arterioles obtained from T2DM patients or Mir29b-1/a
mutant rats or treated with anti-miR-29b-3p. These findings indicate miR-29 is
required for normal endothelial function in humans and animal models and has
therapeutic potential for cardiometabolic disorders.
PMID- 29374016
TI - Drug companies are unwilling to share information on trial protocols, study
finds.
PMID- 29374017
TI - Alcohol labels should include information on calorie content, public health
doctors say.
PMID- 29374018
TI - Enhancer-Driven Gene Expression Changes Facilitate Metastasis.
AB - Altered enhancer activity allows for dynamic gene expression to promote
osteosarcoma metastasis.
PMID- 29374019
TI - Dimerization Is Critical for the Functions of Wild-type and Mutant KRAS.
AB - Wild-type KRAS increases survival and resistance to MEK inhibitors in KRAS-mutant
lung cancer cells.
PMID- 29374020
TI - Blood Monocyte Frequency May Be a Biomarker for Response to Anti-PD-1.
AB - CD14+CD16-HLA-DRhi monocyte frequency was linked to response to anti-PD-1 in
patients with melanoma.
PMID- 29374021
TI - Chromosomal Instability Drives Metastasis Independent of Aneuploidy.
AB - Chromosomal instability (CIN) promotes metastasis with little effect on primary
tumor growth.
PMID- 29374022
TI - Molecular and functional identification of a novel photopigment in Pecten ciliary
photoreceptors.
AB - The two basic animal photoreceptor types, ciliary and microvillar, use different
light-transduction schemes: their photopigments couple to Gt versus Gq proteins,
respectively, to either mobilize cyclic nucleotides or trigger a lipid signaling
cascade. A third class of photoreceptors has been described in the dual retina of
some marine invertebrates; these present a ciliary morphology but operate via
radically divergent mechanisms, prompting the suggestion that they comprise a
novel lineage of light sensors. In one of these organisms, an uncommon putative
opsin was uncovered that was proposed to signal through Go Orthologues
subsequently emerged in diverse phyla, including mollusks, echinoderms, and
chordates, but the cells in which they express have not been identified, and no
studies corroborated their function as visual pigments or their suggested
signaling mode. Conversely, in only one invertebrate species, Pecten irradians,
have the ciliary photoreceptors been physiologically characterized, but their
photopigment has not been identified molecularly. We used the transcriptome of
Pecten retina to guide the cloning by polymerase chain reaction (PCR) and rapid
amplification of cDNA ends (RACE) extensions of a new member of this group of
putative opsins. In situ hybridization shows selective transcription in the
distal retina, and specific antibodies identify a single band of the expected
molecular mass in Western blots and distinctly label ciliary photoreceptors in
retina sections. RNA interference knockdown resulted in a reduction in the early
receptor current-the first manifestation of light transduction-and prevented the
prolonged aftercurrent, which requires a large buildup of activated rhodopsin. We
also obtained a full-length clone of the alpha-subunit of a Go from Pecten retina
complementary DNA and localized it by in situ hybridization to the distal
photoreceptors. Small interfering RNA targeting this Go caused a specific
depression of the photocurrent. These results establish this novel putative opsin
as a bona fide visual pigment that couples to Go to convey the light signal.
PMID- 29374023
TI - Miles W Carroll replies to Deborah Cohen.
PMID- 29374024
TI - Paediatrician convicted of manslaughter must be erased from register, rules High
Court.
PMID- 29374025
TI - A 8-year population-based cohort study of irritable bowel syndrome in childhood
with history of atopic dermatitis.
AB - Irritable bowel syndrome (IBS) is a chronic functional gastrointestinal disorder
affecting a large number of people worldwide. Based on the concept of central
sensitization, we conducted a population-based cohort analysis to investigate the
risk of IBS in children with atopic dermatitis (AD) as one of the first steps in
the atopic march. From 2000 to 2007, 1 20 014 children with newly diagnosed AD
and 1 20 014 randomly selected non-AD controls were included in the study. By the
end of 2008, incidences of IBS in both cohorts and the AD cohort to non-AD cohort
hazard ratios (HRs) and CIs were measured. The incidence of IBS during the study
period was 1.45-fold greater (95% CI: 1.32 to 1.59) in the AD cohort than in the
non-AD cohort (18.8 vs 12.9 per 10 000 person-years). The AD to non-AD HR of IBS
was greater for girls (1.60, 95% CI: 1.39 to 1.85) and children>=12 years (1.59,
95% CI: 1.23 to 2.05). The HR of IBS in AD children increased from 0.84 (95% CI:
0.75 to 0.94) for those with <=3 AD related visits to 16.7 (95% CI: 14.7 to 18.9)
for those with >5 visits (P<0.0001, by the trend test). AD children had a greater
risk of developing IBS. Further research is needed to clarify the role of allergy
in the pathogenesis of IBS.
PMID- 29374026
TI - A broad atlas of somatic hypermutation allows prediction of activation-induced
deaminase targets.
AB - Activation-induced deaminase (AID) initiates antibody diversification in germinal
center (GC) B cells through the deamination of cytosines on immunoglobulin genes.
AID can also target other regions in the genome, triggering mutations or
chromosome translocations, with major implications for oncogenic transformation.
However, understanding the specificity of AID has proved extremely challenging.
We have sequenced at very high depth >1,500 genomic regions from GC B cells and
identified 275 genes targeted by AID, including 30 of the previously known 35 AID
targets. We have also identified the most highly mutated hotspot for AID activity
described to date. Furthermore, integrative analysis of the molecular features of
mutated genes coupled to machine learning has produced a powerful predictive tool
for AID targets. We also have found that base excision repair and mismatch repair
back up each other to faithfully repair AID-induced lesions. Finally, our data
establish a novel link between AID mutagenic activity and lymphomagenesis.
PMID- 29374028
TI - Paediatric obesity appears to lower the risk of diabetes if selection bias is
ignored.
AB - BACKGROUND: Frustrated with the onslaught of articles reporting fascination with
results that appear paradoxical but are merely due to selection bias, we studied
the apparent effect of obesity on diabetes risk in youth who had a test for
diabetes. We hypothesised that obese subjects would have lower rates of diabetes
than non-obese subjects due to selection bias, and consequently, obesity would
appear to lower the risk of diabetes. METHODS: Retrospective cohort study of
children (4-9 years), pre-teens (10-12 years) and teenagers (13-19 years).
Participation was restricted to those who had a test of haemoglobin A1C along
with measured height and weight. Body mass index percentile via the Centers for
Disease Control and Prevention age and sex standards was calculated and
categorised. The main outcome was A1C%, subsequently categorised at the level for
diagnosis of diabetes mellitus (>=6.5%). RESULTS: The sample consisted of 134
(2%) underweight, 1718 (30%) healthy weight, 660 (12%) overweight and 3190 (56%)
obese individuals. 16% (n=936) had an A1C>=6.5%. Overall, healthy weight children
had 8.2 times the risk of A1C>=6.5% (95% CI 5.3 to 12.7) compared with those in
the obese category. The relative risk was 13 in pre-teens (95% CI 8.5 to 20.0)
and 3.9 in teenagers (95% CI 3.3 to 4.7). CONCLUSIONS: Healthy weight was
associated with a 4-13 times higher relative risk of diabetes mellitus compared
with being obese. While apparently shocking, the study's fatal flaw (selection
bias) explains the 'paradoxical' finding. Ignoring selection bias can delay
advances in medical science.
PMID- 29374027
TI - Initiation of inflammatory tumorigenesis by CTLA4 insufficiency due to type 2
cytokines.
AB - Genetically predisposed CTLA4 insufficiency in humans is associated with gastric
cancer development, which is paradoxical to the prototypical role of CTLA4 in
suppressing antitumor immunity. CTLA4 is a critical immune checkpoint against
autoimmune disorders. Autoimmunity has been implicated in protumor or antitumor
activities. Here, we show that CTLA4 insufficiency initiates de novo
tumorigenesis in the mouse stomach through inflammation triggered by host
intrinsic immune dysregulation rather than microbiota, with age-associated
progression to malignancy accompanied by epigenetic dysregulation. The
inflammatory tumorigenesis required CD4 T cells, but not the TH1 or TH17 subsets.
Deficiencies in IL-4 and IL-13 or IL-4 receptor alpha broke the link between
inflammation and initiation of tumorigenesis. This study establishes the
causality of CTLA4 insufficiency in gastric cancer and uncovers a role of type 2
inflammation in initiating gastric epithelial transformation. These findings
suggest possible improvement of immune therapies by blocking tumorigenic type 2
inflammation while preserving antitumor type 1 immunity.
PMID- 29374029
TI - Salt Stress-Induced Loss of Iron Oxidoreduction Activities and Reacquisition of
That Phenotype Depend on rus Operon Transcription in Acidithiobacillus
ferridurans.
AB - The type strain of the mineral-oxidizing acidophilic bacterium Acidithiobacillus
ferridurans was grown in liquid medium containing elevated concentrations of
sodium chloride with hydrogen as electron donor. While it became more tolerant to
chloride, after about 1 year, the salt-stressed acidophile was found to have lost
its ability to oxidize iron, though not sulfur or hydrogen. Detailed molecular
examination revealed that this was due to an insertion sequence, ISAfd1, which
belongs to the ISPepr1 subgroup of the IS4 family, having been inserted
downstream of the two promoters PI and PII of the rus operon (which codes for the
iron oxidation pathway in this acidophile), thereby preventing its transcription.
The ability to oxidize iron was regained on protracted incubation of the culture
inoculated onto salt-free solid medium containing ferrous iron and incubated
under hydrogen. Two revertant strains were obtained. In one, the insertion
sequence ISAfd1 had been excised, leaving an 11-bp signature, while in the other
an ~2,500-bp insertion sequence (belonging to the IS66 family) was detected in
the downstream inverted repeat of ISAfd1 The transcriptional start site of the
rus operon in the second revertant strain was downstream of the two ISs, due to
the creation of a new "hybrid" promoter. The loss and subsequent regaining of the
ability of A. ferriduransT to reduce ferric iron were concurrent with those
observed for ferrous iron oxidation, suggesting that these two traits are closely
linked in this acidophile.IMPORTANCE Iron-oxidizing acidophilic bacteria have
primary roles in the oxidative dissolution of sulfide minerals, a process that
underpins commercial mineral-processing biotechnologies ("biomining"). Most of
these prokaryotes have relatively low tolerance to chloride, which limits their
activities when only saline or brackish waters are available. The study showed
that it was possible to adapt a typical iron-oxidizing acidophile to grow in the
presence of salt concentrations similar to those in seawater, but in so doing
they lost their ability to oxidize iron, though not sulfur or hydrogen. The
bacterium regained its capacity for oxidizing iron when the salt stress was
removed but simultaneously reverted to tolerating lower concentrations of salt.
These results suggest that the bacteria that have the main roles in biomining
operations could survive but become ineffective in cases where saline or brackish
waters are used for irrigation.
PMID- 29374030
TI - Microbiome structure influences infection by the parasite Crithidia bombi in
bumble bees.
AB - Recent declines in bumble bee populations are of great concern, and have prompted
critical evaluations of the role of pathogen introductions and host resistance in
bee health. One factor that may influence host resilience when facing infection
is the gut microbiota. Previous experiments with Bombus terrestris, a European
bumble bee, showed that the gut microbiota can protect against Crithidia bombi, a
widespread trypanosomatid parasite of bumble bees. However, the particular
characteristics of the microbiome responsible for this protective effect have
thus far eluded identification. Using wild and commercially-sourced Bombus
impatiens, an important North American pollinator, we conducted cross-wise
microbiota transplants to naive hosts of both backgrounds, and challenged them
with Crithidia As with B. terrestris, we find that microbiota-dependent
protection against Crithidia operates in B. impatiens Lower Crithidia infection
loads were experimentally associated with high microbiome diversity, large gut
bacterial populations, and the presence of Apibacter, Lactobacillus Firm-5, and
Gilliamella in the gut community. These results indicate that even subtle
differences between gut community structures can have a significant impact on the
microbiome's ability to defend against parasite infections.Importance Many wild
bumble bee populations are under threat by human activity, including through
introductions of pathogens via commercially-raised bees. Recently, it was found
that the bumble bee gut microbiota can help defend against a common parasite,
Crithidia bombi, but the particular factors contributing to this protection are
unknown. Using both wild and commercially-raised bees, we conduct microbiota
transplants to show that microbiome diversity, total gut bacterial load, and the
presence of certain core members of the microbiota may all impact bee
susceptibility to Crithidia infection. Bee origin (genetic background) was also a
factor. Finally, by examining this phenomenon in a previously uninvestigated bee
species, our study demonstrates that microbiome-mediated resistance to Crithidia
is conserved across multiple bumble bee species. These findings highlight how
intricate interactions between hosts, microbiomes, and parasites can have wide
ranging consequences for the health of ecologically important species.
PMID- 29374031
TI - Bacterial Catabolism of beta-Hydroxypropiovanillone and beta
Hydroxypropiosyringone Produced in the Reductive Cleavage of Arylglycerol-beta
Aryl Ether in Lignin.
AB - Sphingobium sp. strain SYK-6 converts four stereoisomers of arylglycerol-beta
guaiacyl ether into achiral beta-hydroxypropiovanillone (HPV) via three
stereospecific reaction steps. Here, we determined the HPV catabolic pathway and
characterized the HPV catabolic genes involved in the first two steps of the
pathway. In SYK-6 cells, HPV was oxidized to vanilloyl acetic acid (VAA) via
vanilloyl acetaldehyde (VAL). The resulting VAA was further converted into
vanillate through the activation of VAA by coenzyme A. A syringyl-type HPV
analog, beta-hydroxypropiosyringone (HPS), was also catabolized via the same
pathway. SLG_12830 (hpvZ), which belongs to the glucose-methanol-choline
oxidoreductase family, was isolated as the HPV-converting enzyme gene. An hpvZ
mutant completely lost the ability to convert HPV and HPS, indicating that hpvZ
is essential for the conversion of both the substrates. HpvZ produced in
Escherichia coli oxidized both HPV and HPS and other 3-phenyl-1-propanol
derivatives. HpvZ localized to both the cytoplasm and membrane of SYK-6 and used
ubiquinone derivatives as electron acceptors. Thirteen gene products of the 23
aldehyde dehydrogenase (ALDH) genes in SYK-6 were able to oxidize VAL into VAA.
Mutant analyses suggested that multiple ALDH genes, including SLG_20400,
contribute to the conversion of VAL. We examined whether the genes encoding
feruloyl-CoA synthetase (ferA) and feruloyl-CoA hydratase/lyase (ferB and ferB2)
are involved in the conversion of VAA. Only FerA exhibited activity toward VAA;
however, disruption of ferA did not affect VAA conversion. These results indicate
that another enzyme system is involved in VAA conversion.IMPORTANCE Cleavage of
the beta-aryl ether linkage is the most essential process in lignin
biodegradation. Although the bacterial beta-aryl ether cleavage pathway and
catabolic genes have been well documented, there have been no reports regarding
the catabolism of HPV or HPS, the products of cleavage of beta-aryl ether
compounds. HPV and HPS have also been found to be obtained from lignin by
chemoselective catalytic oxidation by 2,3-dichloro-5,6-dicyano-1,4
benzoquinone/tert-butyl nitrite/O2, followed by cleavage of the beta-aryl ether
with zinc. Therefore, value-added chemicals are expected to be produced from
these compounds. In this study, we determined the SYK-6 catabolic pathways for
HPV and HPS and identified the catabolic genes involved in the first two steps of
the pathways. Since SYK-6 catabolizes HPV through 2-pyrone-4,6-dicarboxylate,
which is a building block for functional polymers, characterization of HPV
catabolism is important not only for understanding the bacterial lignin catabolic
system but also for lignin utilization.
PMID- 29374032
TI - Bioavailability of mineral-bound iron to a snow algae-bacteria co-culture and
implications for albedo-altering snow algae blooms.
AB - Snow algae can form large-scale blooms across the snowpack surface and near
surface environments. These pigmented blooms can decrease snow albedo, increase
local melt rates, and may impact the global heat budget and water cycle. Yet,
underlying causes for the geospatial occurrence of these blooms remain
unconstrained. One possible factor contributing to snow algae blooms is the
presence of mineral dust as a micronutrient source. We investigated the
bioavailability of iron (Fe) -bearing minerals, including forsterite (Fo90,
Mg1.8Fe0.2SiO4), goethite, smectite and pyrite as Fe sources for a Chloromonas
brevispina - bacteria co-culture through laboratory-based experimentation. Fo90
was capable of stimulating snow algal growth and increased the algal growth rate
in otherwise Fe-depleted co-cultures. Fo90-bearing systems also exhibited a
decrease in bacteria:algae ratios compared to Fe-depleted conditions, suggesting
a shift in microbial community structure. The C. brevispina co-culture also
increased the rate of Fo90 dissolution relative to an abiotic control. Analysis
of 16S rRNA genes in the co-culture identified Gammaproteobacteria,
Betaprotoeobacteria and Sphingobacteria, all of which are commonly found in snow
and ice environments. Archaea were not detected. Collimonas and Pseudomonas,
which are known to enhance mineral weathering rates, comprised two of the top
eight (> 1 %) OTUs. These data provide unequivocal evidence that mineral dust can
support elevated snow algae growth under otherwise Fe-depleted growth conditions,
and that snow algae can enhance mineral dissolution under these
conditions.IMPORTANCE Fe, a key micronutrient for photosynthetic growth, is
necessary to support the formation of high-density snow algae blooms. The
laboratory experiments described herein allow for a systematic investigation of
snow algae-bacteria-mineral interactions and their ability to mobilize and uptake
mineral-bound Fe. Results provide unequivocal and comprehensive evidence that
mineral-bound Fe in Fe-bearing Fo90 was bioavailable to Chloromonas brevispina
snow algae within an algae-bacteria co-culture. This evidence includes: 1) an
observed increase snow algae density and growth rate; 2) decreased bacteria:algae
ratios in Fo90-containing cultures relative to cultures grown under similarly Fe
depleted conditions with no mineral-bound Fe present; and 3) increased Fo90
dissolution rates in the presence of algae-bacteria co-cultures relative to
abiotic mineral controls. These results have important implications for the role
of mineral dust in supplying micronutrients to the snow microbiome, which may
help support dense snow algae blooms capable of lowering snow albedo, and
increase snow melt rates on regional, and possibly global, scales.
PMID- 29374033
TI - Functional Expression of the Clostridium ljungdahlii Acetyl-CoA Synthase in
Clostridium acetobutylicum as Demonstrated by a Novel In Vivo CO Exchange
Activity, on the Way to Heterologous Installation of a Functional Wood-Ljungdahl
Pathway.
AB - Engineering the Wood-Ljungdahl pathway (WLP) in the established industrial
organism Clostridium acetobutylicum would allow for the conversion of
carbohydrates into butanol, acetone, and other metabolites at higher yields than
is currently possible, while minimizing CO2 and H2 release. To this effect, we
expressed 11 C. ljungdahlii core genes coding for enzymes and accessory proteins
of the WLP in C. acetobutylicum The engineered WLP in C. acetobutylicum showed
functionality of the Eastern branch of the pathway based on the formation of
labeled 5,10-methylenetetrahydrofolate from 13C-labeled formate, as well as
functionality of the Western branch as evidenced by the formation of CO from CO2
However, the lack of labeling in acetate and butyrate pools indicated that the
connection between the two branches is not functional. The focus of our
investigation then centered on the functional expression of the acetyl-CoA
synthase (ACS), which forms a complex with the CO dehydrogenase (CODH) and serves
to link the two branches of the WLP. The CODH/ACS complex catalyzes the reduction
of CO2 to CO and the condensation of CO with a methyl-group to form acetyl-CoA,
respectively. Here we show the simultaneous activities of both recombinant
enzymes. We demonstrate in vivo, the classical in vitro ACS carbonyl-carbon
exchange assay, whereby the carbonyl carbon of acetyl-CoA is exchanged with the
CO carbon. Our data suggest that the low heterologous expression of ACS may limit
the functionality of the heterologous WLP in C. acetobutylicumIMPORTANCE The
bifunctional carbon monoxide dehydrogenase/acetyl-CoA synthase (CODH/ACS) from C.
ljungdahlii was heterologously expressed in the obligate heterotroph C.
acetobutylicum Functional activity of the CODH was confirmed through both the
oxidation and reduction of CO, as had previously been shown for the heterologous
CODH from C. carboxidivorans Significantly, a novel in vivo assay for ACS
exchange activity using 13C tracers was developed and used to confirm functional
ACS expression.
PMID- 29374034
TI - Novel method reveals a narrow phylogenetic distribution of bacterial dispersers
in environmental communities exposed to low hydration conditions.
AB - In this study, we developed a method that provides community-level surface
dispersal profiles under controlled hydration conditions from environmental
samples and enables us to isolate and uncover the diversity of the fastest
bacterial dispersers. The method expands on the Porous Surface Model (PSM),
previously used to monitor dispersal of individual bacterial strains in liquid
films at the surface of a porous ceramic disc. The novel procedure targets
complex communities and captures the dispersed bacteria on a solid medium for
growth and detection. The method was first validated by distinguishing motile
Pseudomonas putida and Flavobacterium johnsoniae strains from their non-motile
mutants. Applying the method to soil and lake water bacterial communities showed
that community-scale dispersal declined as conditions became drier. However, for
both communities, dispersal was detected even under low hydration conditions
(matric potential: -3.1 kPa), previously proven too dry for P. putida KT2440
motility. We were then able to specifically recover and characterize the fastest
dispersers from the inoculated communities. For both soil and lake samples, 16S
rRNA gene amplicon sequencing revealed that the fastest dispersers were
substantially less diverse than the total communities. The dispersing fraction of
the soil microbial community was dominated by Pseudomonas which increased in
abundance at low hydration conditions, while the dispersing fraction of the lake
community was dominated by Aeromonas and, under wet conditions (-0.5 kPa), also
by Exiguobacterium The results gained in this study bring us a step closer to
assessing the dispersal ability within complex communities under environmentally
relevant conditions.IMPORTANCE Dispersal is a key process of bacterial community
assembly. Yet, very few attempts have been made at assessing bacterial dispersal
at the community level as focus has previously been on pure culture studies. A
crucial factor for dispersal in habitats where hydration conditions vary, such as
soils, is the thickness of the liquid films surrounding solid surfaces, but
little is known on how the ability to disperse in such films varies within
bacterial communities. Therefore, we developed a method to profile community
dispersal and identify fast dispersers on a rough surface resembling soil
surfaces. Our results suggest that within the motile fraction of a bacterial
community only a minority of the bacterial types are able to disperse in the
thinnest liquid films. During dry periods, these efficient dispersers can gain a
significant fitness advantage through their ability to colonize new habitats
ahead of the rest of the community.
PMID- 29374035
TI - Functional Characterization of Three Specific Acyl-Coenzyme A Synthetases
Involved in Anaerobic Cholesterol Degradation in Sterolibacterium denitrificans
Chol1S.
AB - The denitrifying betaproteobacterium Sterolibacterium denitrificans Chol1S
catabolizes steroids such as cholesterol via an oxygen-independent pathway. It
involves enzyme reaction sequences described for aerobic cholesterol and bile
acid degradation as well as enzymes uniquely found in anaerobic steroid-degrading
bacteria. Recent studies provided evidence that in S. denitrificans, the cholest
4-en-3-one intermediate is oxygen-independently oxidized to Delta4-dafachronic
acid (C26-oic acid), which is subsequently activated by a substrate-specific acyl
coenzyme A (acyl-CoA) synthetase (ACS). Further degradation was suggested to
proceed via unconventional beta-oxidation, where aldolases, aldehyde
dehydrogenases, and additional ACSs substitute for classical beta-hydroxyacyl-CoA
dehydrogenases and thiolases. Here, we heterologously expressed three cholesterol
induced genes that putatively code for AMP-forming ACSs and characterized two of
the products as specific 3beta-hydroxy-Delta5-cholenoyl-CoA (C24-oic acid)- and
pregn-4-en-3-one-22-oyl-CoA (C22-oic acid)-forming ACSs, respectively. A third
heterologously produced ATP-dependent ACS was inactive with C26-, C24-, or C22
oic-acids but activated 3aalpha-H-4alpha-(3'propanoate)-7abeta-methylhexahydro
1,5-indanedione (HIP) to HIP-CoA, a rather late intermediate of aerobic
cholesterol degradation that still contains the CD rings of the sterane skeleton.
This work provides experimental evidence that anaerobic steroid degradation
proceeds via numerous alternate CoA-ester-dependent or -independent enzymatic
reaction sequences as a result of aldolytic side chain and hydrolytic sterane
ring C-C bond cleavages. The aldolytic side chain degradation pathway comprising
highly exergonic ACSs and aldehyde dehydrogenases is considered to be essential
for driving the unfavorable oxygen-independent C26 hydroxylation
forward.IMPORTANCE The biological degradation of ubiquitously abundant steroids
is hampered by their low solubility and the presence of two quaternary carbon
atoms. The degradation of cholesterol by aerobic Actinobacteria has been studied
in detail for more than 30 years and involves a number of oxygenase-dependent
reactions. In contrast, much less is known about the oxygen-independent
degradation of steroids in denitrifying bacteria. In the cholesterol-degrading
anaerobic model organism Sterolibacterium denitrificans Chol1S, initial evidence
has been obtained that steroid degradation proceeds via numerous alternate
coenzyme A (CoA)-ester-dependent/independent reaction sequences. Here, we
describe the heterologous expression of three highly specific and characteristic
acyl-CoA synthetases, two of which play key roles in the degradation of the side
chain, whereas a third one is specifically involved in the B ring degradation.
The results obtained shed light into oxygen-independent steroid degradation
comprising more than 40 enzymatic reactions.
PMID- 29374036
TI - Fate of the Urinary Tract Virus BK Human Polyomavirus in Source-Separated Urine.
AB - Human polyomaviruses are emerging pathogens that infect a large percentage of the
human population and are excreted in urine. Consequently, urine that is collected
for fertilizer production often has high concentrations of polyomavirus genes. We
studied the fate of infectious double-stranded DNA (dsDNA) BK human polyomavirus
(BKPyV) in hydrolyzed source-separated urine with infectivity assays and
quantitative PCR (qPCR). Although BKPyV genomes persisted in the hydrolyzed urine
for long periods of time (T90 [time required for 90% reduction in infectivity or
gene copies] of >3 weeks), the viruses were rapidly inactivated (T90 of 1.1 to 11
h) in most of the tested urine samples. Interestingly, the infectivity of dsDNA
bacteriophage surrogate T3 (T90 of 24 to 46 days) was much more persistent than
that of BKPyV, highlighting a major shortcoming of using bacteriophages as human
virus surrogates. Pasteurization and filtration experiments suggest that BKPyV
virus inactivation was due to microorganism activity in the source-separated
urine, and SDS-PAGE Western blots showed that BKPyV protein capsid disassembly is
concurrent with inactivation. Our results imply that stored urine does not pose a
substantial risk of BKPyV transmission, that qPCR and infectivity of the dsDNA
surrogate do not accurately depict BKPyV fate, and that microbial inactivation is
driven by structural elements of the BKPyV capsid.IMPORTANCE We demonstrate that
a common urinary tract virus has a high susceptibility to the conditions in
hydrolyzed urine and consequently would not be a substantial exposure route to
humans using urine-derived fertilizers. The results have significant implications
for understanding virus fate. First, by demonstrating that the dsDNA (double
stranded DNA) genome of the polyomavirus lasts for weeks despite infectivity
lasting for hours to days, our work highlights the shortcomings of using qPCR to
estimate risks from unculturable viruses. Second, commonly used dsDNA surrogate
viruses survived for weeks under the same conditions that BK polyomavirus
survived for only hours, highlighting issues with using virus surrogates to
predict how human viruses will behave in the environment. Finally, our
mechanistic inactivation analysis provides strong evidence that microbial
activity drives rapid virus inactivation, likely through capsid disassembly.
Overall, our work underlines how subtle structural differences between viruses
can greatly impact their environmental fate.
PMID- 29374037
TI - Whole-Genome Sequencing and Genetic Analysis Reveal Novel Stress Responses to
Individual Constituents of Essential Oils in Escherichia coli.
AB - Food preservation by the use of essential oils (EOs) is being extensively studied
because of the antimicrobial properties of their individual constituents (ICs).
Three resistant mutants (termed CAR, CIT, and LIM) of Escherichia coli MG1655
were selected by subculturing with the ICs carvacrol, citral, and (+)-limonene
oxide, respectively. These derivative strains showed increased MIC values of ICs
and concomitantly enhanced resistance to various antibiotics (ampicillin,
trimethoprim, chloramphenicol, tetracycline, kanamycin, novobiocin, norfloxacin,
cephalexin, and nalidixic acid) compared to those for the parental strain (wild
type [WT]). Whole-genome sequencing (WGS) of these hyperresistant strains
permitted the identification of single nucleotide polymorphisms (SNPs) and
deletions in comparison to the WT. In order to analyze the contribution of these
mutations to the increased antimicrobial resistance detected in hyperresistant
strains, derivative strains were constructed by allelic reversion. A role of the
SoxR D137Y missense mutation in CAR was confirmed by growth in the presence of
some ICs and antibiotics and by its tolerance to ICs but not to lethal heat
treatments. In CIT, increased resistance relied on contributions by several
detected SNPs, resulting in a frameshift in MarR and an in-frame GyrB DeltaG157
mutation. Finally, both the insertion resulting in an AcrR frameshift and large
chromosomal deletions found in LIM were correlated with the hyperresistant
phenotype of this strain. The nature of the obtained mutants suggests intriguing
links to cellular defense mechanisms previously implicated in antibiotic
resistance.IMPORTANCE The antimicrobial efficacy of ICs has been proven over the
years, together with their potential to improve traditional heat treatments by
reducing treatment intensity and, consequently, adverse effects on food quality.
However, the mechanisms of bacterial inactivation by ICs are still not well
understood, in contrast to antibiotics. We performed WGS of three E. coli strains
that are hyperresistant to ICs. The information provided detailed insight into
the mechanisms of bacterial resistance arising from exposure to carvacrol,
citral, and (+)-limonene oxide. Future experiments will undoubtedly yield
additional insights into genes and pathways contributing to the acquisition of
endogenous resistance to ICs.
PMID- 29374038
TI - Methanogens Are Major Contributors to Nitrogen Fixation in Soils of the Florida
Everglades.
AB - The objective of this study was to investigate the interaction of the nitrogen
(N) cycle with methane production in the Florida Everglades, a large freshwater
wetland. This study provides an initial analysis of the distribution and
expression of N-cycling genes in Water Conservation Area 2A (WCA-2A), a section
of the marsh that underwent phosphorus (P) loading for many years due to runoff
from upstream agricultural activities. The elevated P resulted in increased
primary productivity and an N limitation in P-enriched areas. Results from
quantitative real-time PCR (qPCR) analyses indicated that the N cycle in WCA-2A
was dominated by nifH and nirK/S, with an increasing trend in copy numbers in P
impacted sites. Many nifH sequences (6 to 44% of the total) and nifH transcript
sequences (2 to 49%) clustered with the methanogenic Euryarchaeota, in stark
contrast to the proportion of core gene sequences representing Archaea (<=0.27%
of SSU rRNA genes) for the WCA-2A microbiota. Notably, archaeal nifH gene
transcripts were detected at all sites and comprised a significant proportion of
total nifH transcripts obtained from the unimpacted site, indicating that
methanogens are actively fixing N2 Laboratory incubations with soils taken from
WCA-2A produced nifH transcripts with the production of methane from H2 plus CO2
and acetate as electron donors and carbon sources. Methanogenic N2 fixation is
likely to be an important, although largely unrecognized, route through which
fixed nitrogen enters the anoxic soils of the Everglades and may have significant
relevance regarding methane production in wetlands.IMPORTANCE Wetlands are the
most important natural sources of the greenhouse gas methane, and much of that
methane emanates from (sub)tropical peatlands. Primary productivity in these
peatlands is frequently limited by the availability of nitrogen or phosphorus;
however, the response to nutrient limitations of microbial communities that
control biogeochemical cycling critical to ecosystem function may be complex and
may be associated with a range of processes, including methane production. We
show that many, if not most, of the methanogens in the peatlands of the Florida
Everglades possess the nifH gene and actively express it for N2 fixation coupled
with methanogenesis. These findings indicate that archaeal N2 fixation would play
crucial role in methane emissions and overall N cycle in subtropical wetlands
suffering N limitation.
PMID- 29374039
TI - Novel Cell Wall Hydrolase CwlC from Bacillus thuringiensis Is Essential for
Mother Cell Lysis.
AB - In this study, a sporulation-specific gene (tentatively named cwlC) involved in
mother cell lysis in Bacillus thuringiensis was characterized. The encoded CwlC
protein consists of an N-terminal N-acetylmuramoyl-l-alanine amidase (MurNAc-LAA)
domain and a C-terminal amidase02 domain. The recombinant histidine-tagged CwlC
proteins purified from Escherichia coli were able to directly bind to and digest
the B. thuringiensis cell wall. The CwlC point mutations at the two conserved
glutamic acid residues (Glu-24 and Glu-140) shown to be critical for the
catalytic activity in homologous amidases resulted in a complete loss of cell
wall lytic activity, suggesting that CwlC is an N-acetylmuramoyl-l-alanine
amidase. Results of transcriptional analyses indicated that cwlC is transcribed
as a monocistronic unit and that its expression is dependent on sporulation sigma
factor K (sigmaK). Deletion of cwlC completely blocked mother cell lysis during
sporulation without impacting the sporulation frequency, Cry1Ac protein
production, and insecticidal activity. Taken together, our data suggest that CwlC
is an essential cell wall hydrolase for B. thuringiensis mother cell lysis during
sporulation. Engineered B. thuringiensis strains targeting cwlC, which allows the
crystal inclusion to remain encapsulated in the mother cell at the end of
sporulation, may have the potential to become more effective biological control
agents in agricultural applications since the crystal inclusion remains
encapsulated in the mother cell at the end of sporulation.IMPORTANCE Mother cell
lysis has been well studied in Bacillus subtilis, which involves three distinct
yet functionally complementary cell wall hydrolases. In this study, a novel cell
wall hydrolase, CwlC, was investigated and found to be essential for mother cell
lysis in Bacillus thuringiensis CwlC of B. thuringiensis only shows 9 and 21%
sequence identity with known B. subtilis mother cell hydrolases CwlB and CwlC,
respectively, suggesting that mechanisms of mother cell lysis may differ between
B. subtilis and B. thuringiensis The cwlC gene deletion completely blocked the
release of spores and crystals from the mother cell without affecting
insecticidal activity. This may provide a new effective strategy for crystal
encapsulation against UV light inactivation.
PMID- 29374040
TI - Deterioration-Associated Microbiome of Stone Monuments: Structure, Variation, and
Assembly.
AB - Research on the microbial communities that colonize stone monuments may provide a
new understanding of stone biodeterioration and microbe-induced carbonate
precipitation. This work investigated the seasonal variation of microbial
communities in 2016 and 2017, as well as its effects on stone monuments. We
determined the bacterial and fungal compositions of 12 samples from four well
separated geographic locations by using 16S rRNA and internal transcribed spacer
gene amplicon sequencing. Cyanobacteria and Ascomycota were the predominant
bacterial and fungal phyla, respectively, and differences in species abundance
among our 12 samples and 2 years showed no consistent temporal or spatial trends.
Alpha diversity, estimated by Shannon and Simpson indices, revealed that an
increase or decrease in bacterial diversity corresponded to a decrease or
increase in the fungal community from 2016 to 2017. Large-scale association
analysis identified potential bacteria and fungi correlated with stone
deterioration. Functional prediction revealed specific pathways and microbiota
associated with stone deterioration. Moreover, a culture-dependent technique was
used to identify microbial isolates involved in biodeterioration and
carbonatogenesis; 64% of 85 bacterial isolates caused precipitation of carbonates
in biomineralization assays. Imaging techniques including scanning electron
microscopy with energy-dispersive spectroscopy, X-ray diffraction, and
fluorescence imaging identified CaCO3 crystals as calcite and vaterite. Although
CaCO3 precipitation induced by bacteria often has esthetically deleterious
impacts on stone monuments, this process may potentially serve as a novel,
environmentally friendly bacterial self-inoculation approach to the conservation
of stone.IMPORTANCE Comprehensive analyses of the microbiomes associated with the
deterioration of stone monuments may contribute to the understanding of
mechanisms of deterioration, as well as to the identification of potentially
beneficial or undesirable microbial communities and their genomic pathways. In
our study, we demonstrated that Cyanobacteria was the predominant bacterial
phylum and exhibited an increase from 2016 to 2017, while Proteobacteria showed a
decreasing trend. Apart from esthetic deterioration caused by cyanobacteria and
fungi, white plaque, which is composed mainly of CaCO3 and is probably induced by
Crossiella and Cyanobacteria, was also considered to be another threat to stone
monuments. We showed that there was no significant correlation between microbial
population variation and geographic location. Specific functional genes and
pathways were also enriched in particular bacterial species. The CaCO3
precipitation induced by an indigenous community of carbonatogenic bacteria also
provides a self-inoculation approach for the conservation of stone.
PMID- 29374041
TI - The Babesia divergens Asia Lineage Is Maintained through Enzootic Cycles between
Ixodes persulcatus and Sika Deer in Hokkaido, Japan.
AB - Parasites of the Babesiadivergens Asia lineage, which are closely related to B.
divergens in Europe and Babesia sp. strain MO1 in the United States, were
recently reported in sika deer (Cervus nippon) in eastern Japan. To identify the
tick vector(s) for this parasite, we conducted a field survey in Hokkaido, Japan,
where the infection rate in sika deer is the highest in the country. A specific
PCR system which detects and discriminates between lineages within B. divergens
and between those lineages and Babesia venatorum showed that Ixodes persulcatus
(11/822), but not sympatric Ixodes ovatus (0/595) or Haemaphysalis sp. (0/163)
ticks, carried B. divergens Asia lineage. Genomic DNA was archived from salivary
glands of partially engorged I. persulcatus females and three isolates of B.
divergens Asia lineage were newly described. The 18S rRNA gene sequence of the
isolates formed the Asia lineage cluster with those previously described in sika
deer isolates. One salivary gland also contained parasites of Babesia microti
U.S. lineage, which were subsequently isolated in a hamster in vivoB. venatorum
(strain Etb5) was also detected in one I. persulcatus tick. The 18S rRNA sequence
of Etb5 was 99.7% identical to that of B. venatorum (AY046575) and was
phylogenetically positioned in a taxon composed of B. venatorum isolates from
Europe, China, and Russia. The geographical distribution of I. persulcatus is
consistent with that of B. divergens in sika deer in Japan. These results suggest
that I. persulcatus is a principal vector for B. divergens in Japan and Eurasia,
where I. persulcatus is predominantly distributed.IMPORTANCE The Babesiadivergens
Asia lineage of parasites closely related to B. divergens in Europe and Babesia
sp. MO1 in the United States was recently reported in Cervus nippon in eastern
Japan. In this study, specific PCR for the Asia lineage identified 11 positives
in 822 host-seeking Ixodes persulcatus ticks, a principal vector for many tick
borne disease agents. Gene sequences of three isolates obtained from DNA in
salivary glands of female ticks were identical to each other and to those in C.
nippon We also demonstrate the coinfection of B. divergens Asia lineage with
Babesia microti U.S. lineage in a tick salivary gland and, furthermore, isolated
the latter in a hamster. These results suggest that I. persulcatus is the
principal vector for B. divergens as well as for B. microti, and both parasites
may be occasionally cotransmitted by I. persulcatus This report will be important
for public health, since infection may occur through transfusion.
PMID- 29374042
TI - Genome Analysis of Fimbriiglobus ruber SP5T, a Planctomycete with Confirmed
Chitinolytic Capability.
AB - Members of the bacterial order Planctomycetales have often been observed in
associations with Crustacea. The ability to degrade chitin, however, has never
been reported for any of the cultured planctomycetes although utilization of N
acetylglucosamine (GlcNAc) as a sole carbon and nitrogen source is well
recognized for these bacteria. Here, we demonstrate the chitinolytic capability
of a member of the family Gemmataceae, Fimbriiglobus ruber SP5T, which was
isolated from a peat bog. As revealed by metatranscriptomic analysis of chitin
amended peat, the pool of 16S rRNA reads from F. ruber increased in response to
chitin availability. Strain SP5T displayed only weak growth on amorphous chitin
as a sole source of carbon but grew well with chitin as a source of nitrogen. The
genome of F. ruber SP5T is 12.364 Mb in size and is the largest among all
currently determined planctomycete genomes. It encodes several enzymes putatively
involved in chitin degradation, including two chitinases affiliated with the
glycoside hydrolase (GH) family GH18, GH20 family beta-N-acetylglucosaminidase,
and the complete set of enzymes required for utilization of GlcNAc. The gene
encoding one of the predicted chitinases was expressed in Escherichia coli, and
the endochitinase activity of the recombinant enzyme was confirmed. The genome
also contains genes required for the assembly of type IV pili, which may be used
to adhere to chitin and possibly other biopolymers. The ability to use chitin as
a source of nitrogen is of special importance for planctomycetes that inhabit N
depleted ombrotrophic wetlands.IMPORTANCE Planctomycetes represent an important
part of the microbial community in Sphagnum-dominated peatlands, but their
potential functions in these ecosystems remain poorly understood. This study
reports the presence of chitinolytic potential in one of the recently described
peat-inhabiting members of the family Gemmataceae, Fimbriiglobus ruber SP5T This
planctomycete uses chitin, a major constituent of fungal cell walls and
exoskeletons of peat-inhabiting arthropods, as a source of nitrogen in N-depleted
ombrotrophic Sphagnum-dominated peatlands. This study reports the chitin
degrading capability of representatives of the order Planctomycetales.
PMID- 29374043
TI - Targeting Bacteria and Methanogens To Understand the Role of Residual Slurry as
an Inoculant in Stored Liquid Dairy Manure.
AB - Microbial communities in residual slurry left after removal of stored liquid
dairy manure have been presumed to increase methane emission during new storage,
but these microbes have not been studied. While actual manure storage tanks are
filled gradually, pilot- and farm-scale studies on methane emissions from such
systems often use a batch approach. In this study, six pilot-scale outdoor
storage tanks with (10% and 20%) and without residual slurry were filled
(gradually or in batch) with fresh dairy manure, and methane and methanogenic and
bacterial communities were studied during 120 days of storage. Regardless of
filling type, increased residual slurry levels resulted in higher abundance of
methanogens and bacteria after 65 days of storage. However, stronger correlation
between methanogen abundance and methane flux was observed in gradually filled
tanks. Despite some variations in the diversity of methanogens or bacteria with
the presence of residual slurry, core phylotypes were not impacted. In all
samples, the phylum Firmicutes predominated (~57 to 70%) bacteria: >90% were
members of ClostridiaMethanocorpusculum dominated (~57 to 88%) archaeal
phylotypes, while Methanosarcina gradually increased with storage time. During
peak flux of methane, Methanosarcina was the major player in methane production.
The results suggest that increased levels of residual slurry have little impact
on the dominant methanogenic or bacterial phylotypes, but large population sizes
of these organisms may result in increased methane flux during the initial phases
of storage.IMPORTANCE Methane is the major greenhouse gas emitted from stored
liquid dairy manure. Residual slurry left after removal of stored manure from
tanks has been implicated in increasing methane emissions in new storages, and
well-adapted microbial communities in it are the drivers of the increase. Linking
methane flux to the abundance, diversity, and activity of microbial communities
in stored slurries with different levels of residual slurry can help to improve
the mitigation strategy. Mesoscale and lab-scale studies conducted so far on
methane flux from manure storage systems used batch-filled tanks, while the
actual condition in many farms involves gradual filling. Hence, this study
provides important information toward determining levels of residual slurry that
result in significant reduction of well-adapted microbial communities prior to
storage, thereby reducing methane emissions from manure storage tanks filled
under farm conditions.
PMID- 29374044
TI - Inhibitory Effect of Vascular Endothelial Growth Factor on the Slowly Activating
Delayed Rectifier Potassium Current in Guinea Pig Ventricular Myocytes.
AB - BACKGROUND: Vascular endothelial growth factor (VEGF) exerts a number of
beneficial effects on ischemic myocardium via its angiogenic properties. However,
little is known about whether VEGF has a direct effect on the electrical
properties of cardiomyocytes. In the present study, we investigated the effects
of different concentrations of VEGF on delayed rectifier potassium currents (IK)
in guinea pig ventricular myocytes and their effects on action potential (AP)
parameters. METHODS AND RESULTS: IK and AP were recorded by the whole-cell patch
clamp method in ventricular myocytes. Cells were superfused with control solution
or solution containing VEGF at different concentrations for 10 minutes before
recording. Some ventricular myocytes were pretreated with a phosphatidylinositol
3-kinase inhibitor for 1 hour before the addition of VEGF. We found that VEGF
inhibited the slowly activating delayed rectifier potassium current (IKs) in a
concentration-dependent manner (18.13+/-1.04 versus 12.73+/-0.34, n=5, P=0.001;
12.73+/-0.34 versus 9.05+/-1.20, n=5, P=0.036) and prolonged AP duration (894.5+/
36.92 versus 746.3+/-33.71, n=5, P=0.021). Wortmannin, a phosphatidylinositol 3
kinase inhibitor, eliminated these VEGF-induced effects. VEGF had no significant
effect on the rapidly activating delayed rectifier potassium current (IKr),
resting membrane potential, AP amplitude, or maximal velocity of depolarization.
CONCLUSIONS: VEGF inhibited IKs in a concentration-dependent manner through a
phosphatidylinositol 3-kinase-mediated signaling pathway, leading to AP
prolongation. The results indicate a promising therapeutic potential of VEGF in
prevention of ventricular tachyarrhythmias under conditions of high sympathetic
activity and ischemia.
PMID- 29374045
TI - More, More, More: Reducing Thrombosis in Acute Coronary Syndromes Beyond Dual
Antiplatelet Therapy-Current Data and Future Directions.
PMID- 29374046
TI - Comparison of Prevalence, Awareness, Treatment, and Control of Cardiovascular
Risk Factors in China and the United States.
AB - BACKGROUND: The reasons for China's high stroke prevalence are not well
understood. The cardiovascular risk factor profiles of China and the United
States have not been directly compared in nationally representative population
samples. METHODS AND RESULTS: Using data from the CHARLS (China Health and
Retirement Longitudinal Study) and the NHANES (US National Health and Nutrition
Examination Survey), we compared cardiovascular risk factors from 2011 to 2012
among people aged 45 to 75 years between the 2 countries (China, 12 654 people;
United States, 2607 people): blood pressure, cholesterol, body mass index, waist
circumference, fasting plasma glucose, hemoglobin A1c, and high-sensitivity C
reactive protein. Compared with the United States, China had a lower prevalence
of hypertension but a higher mean blood pressure and a higher proportion of
patients with severe hypertension (>=160/100 mm Hg) (10.5% versus 4.5%). China
had substantially lower rates of hypertension treatment (46.8% versus 77.9%) and
control (20.3% versus 54.7%). Dyslipidemia was less common in China, but lipid
levels were not significantly different because dyslipidemia awareness and
control rates in China were 3- and 7-fold lower than US rates, respectively. High
sensitivity C-reactive protein, body mass index, and waist circumference were
significantly lower in China than in the United States. Clustering of
hypertension with other cardiovascular risk factors was more common in China.
CONCLUSIONS: Hypertension is more common in the United States, but blood pressure
levels are higher in China, which may be responsible for China's high stroke
prevalence. The low rates of awareness, treatment, and control of hypertension
provide an exceptional opportunity for China to reduce risk in its population.
PMID- 29374047
TI - Use of Direct Oral Anticoagulants in Canadian Primary Care Practice 2010-2015: A
Cohort Study From the Canadian Primary Care Sentinel Surveillance Network.
AB - BACKGROUND: As questions have been raised about the appropriateness of direct
oral anticoagulant (DOAC) dosing among outpatients with atrial fibrillation, we
examined this issue in patients being managed by primary care providers. METHODS
AND RESULTS: This was a retrospective cohort new-user study using electronic
medical records from 744 Canadian primary care clinicians. Potentially
inappropriate DOAC prescribing was defined as prescribing lower or higher doses
than those recommended by guidelines for patients with nonvalvular atrial
fibrillation. Of the 6658 patients with nonvalvular atrial fibrillation who were
prescribed a DOAC (mean age: 74.8; 55% male), 626 (9.4%) had a CHADS2 score of 0,
and 168 (2.5%) had a CHADS-VASc score of 0. Of the DOAC prescriptions, 527 (7.7%)
were deemed potentially inappropriate: 496 (7.2%) were potentially underdosed,
and 31 (0.5%) were prescribed a dose that was higher than recommended. Patients
were more likely to be prescribed lower-than-recommended doses if they were
female (adjusted odds ratio [aOR]: 1.3 [95% confidence interval (CI), 1.0-1.5]),
had multiple comorbidities (aOR: 1.4 [95% CI, 1.1-1.8])-particularly heart
failure (aOR: 1.6 [95% CI, 1.2-2.0]) or dementia (aOR: 1.4 [95% CI, 1.1-1.8])-or
if they were also taking aspirin (aOR: 1.7 [95% CI, 1.3-2.1]) or nonsteroidal
anti-inflammatory drugs (aOR: 1.2 [95% CI, 1.02-1.5]). Potentially inappropriate
DOAC dosing was more common in rural practices (aOR: 2.1 [95% CI, 1.7-2.6]) or
smaller practices (aOR: 1.9 [95% CI, 1.6-2.4] for practices smaller than median).
CONCLUSIONS: The vast majority of DOAC prescriptions in our cohort of primary
care-managed patients appeared to be for appropriate doses, particularly since
prescribing a reduced dose of DOAC may be appropriate in frail patients or those
taking other medications that predispose to bleeding.
PMID- 29374048
TI - Arachidonic Acid, but Not Omega-3 Index, Relates to the Prevalence and
Progression of Abdominal Aortic Aneurysm in a Population-Based Study of Danish
Men.
AB - BACKGROUND: Animal models support dietary omega-3 fatty acids protection against
abdominal aortic aneurysm (AAA), but clinical data are scarce. The sum of red
blood cell proportions of the omega-3 eicosapentaenoic and docosahexaenoic acids,
known as omega-3 index, is a valid surrogate for long-term omega-3 intake. We
investigated the association between the omega-3 index and the prevalence and
progression of AAA. We also investigated associations between AAA and arachidonic
acid, an omega-6 fatty acid that is a substrate for proinflammatory lipid
mediators. METHODS AND RESULTS: We obtained blood samples from 498 AAA patients
(maximal aortic diameter >=30 mm) within a population-based ultrasound-screening
trial in men and from 199 age-matched controls who screened negative. We
determined the fatty acids of red blood cells by gas chromatography. During a
median follow-up of 4.85 years, 141 AAA patients reached criteria for vascular
surgical repair. Participants were high consumers of omega-3 (average omega-3
index: 7.6%). No significant associations were found for omega-3 index. In
contrast, arachidonic acid in AAA patients was higher than in controls (P<0.001),
and individuals in the upper tertile of arachidonic acid at baseline had higher
probability of having AAA (odds ratio: 1.309; 95% confidence interval, 1.021
1.678; P=0.033). AAA patients at the upper tertile of arachidonic acid at
baseline had a 54% higher risk of needing surgical repair during follow-up
(hazard ratio: 1.544; 95% confidence interval, 1.127-2.114; P=0.007).
CONCLUSIONS: Omega-3 index is unrelated to men with AAA from a country in which
fish consumption is customarily high. Arachidonic acid is associated with AAA
presence and progression. CLINICAL TRIAL REGISTRATION: URL:
https://www.clinicaltrials.gov. Unique identifier: NCT00662480.
PMID- 29374050
TI - Is THIS the way to better improvement?
PMID- 29374051
TI - Small-Cell Neuroendocrine Tumors: Cell State Trumps the Oncogenic Driver.
AB - Small-cell neuroendocrine cancers often originate in the lung but can also arise
in the bladder or prostate. Phenotypically, small-cell carcinoma of the bladder
(SCCB) shares many similarities with small-cell lung cancer (SCLC). It is unknown
whether SCCB and SCLC share common genetic driver mutations. Clin Cancer Res;
24(8); 1775-6. (c)2018 AACRSee related article by Chang et al., p. 1965.
PMID- 29374052
TI - The Strategy of PIKing a Target: What Is AKTually Most Effective?
AB - Breast and gynecologic cancers harboring PIK3CA mutations showed no significant
responses to AZD5363, a pan-AKT catalytic inhibitor, in contrast with previous in
vitro data showing activity of the drug in this subset of cancers. These results
raise the question of how to select the most accurate predictive biomarkers of
response. Clin Cancer Res; 24(9); 2029-31. (c)2018 AACRSee related article by
Banerji et al., p. 2050.
PMID- 29374049
TI - Evidence Gaps in the Era of Non-Vitamin K Oral Anticoagulants.
PMID- 29374053
TI - Wide Expression and Significance of Alternative Immune Checkpoint Molecules, B7x
and HHLA2, in PD-L1-Negative Human Lung Cancers.
AB - Purpose: Immunotherapy targeting the PD-1/PD-L1 pathway has changed the treatment
landscape of non-small cell lung carcinoma (NSCLC). We demonstrated that HHLA2, a
newly identified immune inhibitory molecule, was widely expressed in NSCLC. We
now compared the expression and function of PD-L1 with alternative immune
checkpoints, B7x and HHLA2.Experimental Design: Expression was examined in tissue
microarrays consisting of 392 resected NSCLC tumors. Effects of PD-L1, B7x, and
HHLA2 on human T-cell proliferation and cytokine production were
investigated.Results: PD-L1 expression was identified in 25% and 31% of tumors in
the discovery and validation cohorts and was associated with higher stage and
lymph node involvement. The multivariate analysis showed that stage, TIL status,
and lymph node involvement were independently associated with PD-L1 expression.
B7x was expressed in 69% and 68%, whereas HHLA2 was positive in 61% and 64% of
tumors in the two sets. The coexpression of PD-L1 with B7x or HHLA2 was
infrequent, 6% and 3%. The majority (78%) of PD-L1-negative cases expressed B7x,
HHLA2, or both. The triple-positive group had more TIL infiltration than the
triple-negative group. B7x-Ig and HHLA2-Ig inhibited TCR-mediated proliferation
of CD4 and CD8 T cells more robustly than PD-L1-Ig. All three significantly
suppressed cytokine productions by T cells.Conclusions: The majority of PD-L1
negative lung cancers express alternative immune checkpoints. The roles of the
B7x and HHLA2 pathway in mediating immune evasion in PD-L1-negative tumors
deserve to be explored to provide the rationale for an effective immunotherapy
strategy in these tumors. Clin Cancer Res; 24(8); 1954-64. (c)2018 AACR.
PMID- 29374054
TI - Immune Biomarkers Predictive for Disease-Free Survival with Adjuvant Sunitinib in
High-Risk Locoregional Renal Cell Carcinoma: From Randomized Phase III S-TRAC
Study.
AB - Purpose: Adjuvant sunitinib therapy compared with placebo prolonged disease-free
survival (DFS) in patients with locoregional high-risk renal cell carcinoma (RCC)
in the S-TRAC trial (ClinicalTrials.gov number NCT00375674). A prospectively
designed exploratory analysis of tissue biomarkers was conducted to identify
predictors of treatment benefit.Experimental Design: Tissue blocks were used for
immunohistochemistry (IHC) staining of programmed cell death ligand 1 (PD-L1),
CD4, CD8, and CD68. DFS was compared between < versus >= median IHC parameter
using the Kaplan-Meier method. For biomarkers with predictive potential, receiver
operating characteristics curves were generated.Results: Baseline characteristics
were similar in patients with (n = 191) and without (n = 419) IHC analysis. Among
patients with IHC, longer DFS was observed in patients with tumor CD8+ T-cell
density >= versus < median [median (95% CI), not reached (6.83-not reached)
versus 3.47 years (1.73-not reached); hazard ratio (HR) 0.40 (95% CI, 0.20-0.81);
P = 0.009] treated with sunitinib (n = 101), but not with placebo (n = 90). The
sensitivity and specificity for CD8+ T-cell density in predicting DFS were 0.604
and 0.658, respectively. Shorter DFS was observed in placebo-treated patients
with PD-L1+ versus PD-L1- tumors (HR 1.75; P = 0.103). Among all patients with PD
L1+ tumors, DFS was numerically longer with sunitinib versus placebo (HR 0.58; P
= 0.175).Conclusions: Greater CD8+ T-cell density in tumor tissue was associated
with longer DFS with sunitinib but not placebo, suggesting predictive treatment
effect utility. Further independent cohort validation studies are warranted. The
prognostic value of PD-L1 expression in primary tumors from patients with high
risk nonmetastatic RCC should also be further explored. Clin Cancer Res; 24(7);
1554-61. (c)2018 AACR.
PMID- 29374055
TI - Circulating Tumor Cells with Stem-Like Phenotypes for Diagnosis, Prognosis, and
Therapeutic Response Evaluation in Hepatocellular Carcinoma.
AB - Background: In the present study, we assessed the clinical value of circulating
tumor cells (CTC) with stem-like phenotypes for diagnosis, prognosis, and
surveillance in hepatitis B virus (HBV)-related hepatocellular carcinoma (HCC) by
an optimized qPCR-based detection platform.Methods: Differing subsets of CTCs
were investigated, and a multimarker diagnostic CTC panel was constructed in a
multicenter patient study with independent validation (total n = 1,006),
including healthy individuals and patients with chronic hepatitis B infection
(CHB), liver cirrhosis (LC), benign hepatic lesion (BHL), and HBV-related HCC,
with area under the receiver operating characteristic curve (AUC-ROC) reflecting
diagnostic accuracy. The role of the CTC panel in treatment response surveillance
and its prognostic significance were further investigated.Results: The AUC of the
CTC panel was 0.88 in the training set [sensitivity = 72.5%, specificity = 95.0%,
positive predictive value (PPV) = 92.4, negative predictive value (NPV) = 77.8]
and 0.93 in the validation set (sensitivity = 82.1%, specificity = 94.2%, PPV =
89.9, NPV = 89.3). This panel performed equally well in detecting early-stage and
alpha-fetoprotein-negative HCC, as well as differentiating HCC from CHB, LC, and
BHL. The CTC load was decreased significantly after tumor resection, and patients
with persistently high CTC load showed a propensity of tumor recurrence after
surgery. The prognostic significance of the CTC panel in predicting tumor
recurrence was further confirmed [training: HR = 2.692; 95% confidence interval
(CI), 1.617-4.483; P < 0.001; and validation: HR = 3.127; 95% CI, 1.360-7.190; P
= 0.007].Conclusions: Our CTC panel showed high sensitivity and specificity in
HCC diagnosis and could be a real-time parameter for risk prediction and
treatment monitoring, enabling early decision-making to tailor effective
antitumor strategies. Clin Cancer Res; 24(9); 2203-13. (c)2018 AACR.
PMID- 29374056
TI - A dual role of the extracellular domain of Drosophila Crumbs for morphogenesis of
the embryonic neuroectoderm.
AB - Epithelia are highly polarised tissues and several highly conserved polarity
protein complexes serve to establish and maintain polarity. The transmembrane
protein Crumbs (Crb), the central component of the Crb protein complex, is
required, among others, for the maintenance of polarity in most epithelia in the
Drosophila embryo. However, different epithelia exhibit different phenotypic
severity upon loss of crb Using a transgenomic approach allowed us to more
accurately define the role of crb in different epithelia. In particular, we
provide evidence that the loss of epithelial tissue integrity in the ventral
epidermis of crb mutant embryos is due to impaired actomyosin activity and an
excess number of neuroblasts. We demonstrate that the intracellular domain of Crb
could only partially rescue this phenotype, while it is able to completely
restore tissue integrity in other epithelia. Based on these results we suggest a
dual role of the extracellular domain of Crb in the ventral neuroectoderm. First,
it is required for apical enrichment of the Crb protein, which in turn regulates
actomyosin activity and thereby ensures tissue integrity; and second, the
extracellular domain of Crb stabilises the Notch receptor and thereby ensures
proper Notch signalling and specification of the correct number of neuroblasts.
PMID- 29374057
TI - Ring finger protein 145 (RNF145) is a ubiquitin ligase for sterol-induced
degradation of HMG-CoA reductase.
AB - Cholesterol biosynthesis is tightly regulated in the cell. For example, high
sterol concentrations can stimulate degradation of the rate-limiting cholesterol
biosynthetic enzyme 3-hydroxy-3-methylglutaryl-coenzyme A reductase (HMG-CoA
reductase, HMGCR). HMGCR is broken down by the endoplasmic reticulum membrane
associated protein complexes consisting of insulin-induced genes (Insigs) and the
E3 ubiquitin ligase gp78. Here we found that HMGCR degradation is partially
blunted in Chinese hamster ovary (CHO) cells lacking gp78 (gp78-KO). To identify
other ubiquitin ligase(s) that may function together with gp78 in triggering
HMGCR degradation, we performed a small-scale short hairpin RNA-based screening
targeting endoplasmic reticulum-localized E3s. We found that knockdown of both
ring finger protein 145 (Rnf145) and gp78 genes abrogates sterol-induced
degradation of HMGCR in CHO cells. We also observed that RNF145 interacts with
Insig-1 and -2 proteins and ubiquitinates HMGCR. Moreover, the tetrapeptide
sequence YLYF in the sterol-sensing domain and the Cys-537 residue in the RING
finger domain were essential for RNF145 binding to Insigs and RNF145 E3 activity,
respectively. Of note, amino acid substitutions in the YLYF or of Cys-537
completely abolished RNF145-mediated HMGCR degradation. In summary, our study
reveals that RNF145, along with gp78, promotes HMGCR degradation in response to
elevated sterol levels and identifies residues essential for RNF145 function.
PMID- 29374058
TI - Glioma tumor suppressor candidate region gene 1 (GLTSCR1) and its paralog GLTSCR1
like form SWI/SNF chromatin remodeling subcomplexes.
AB - The mammalian SWI/SNF chromatin remodeling complex is a heterogeneous collection
of related protein complexes required for gene regulation and genome integrity.
It contains a central ATPase (BRM or BRG1) and various combinations of 10-14
accessory subunits (BAFs for BRM/BRG1 Associated Factors). Two distinct complexes
differing in size, BAF and the slightly larger polybromo-BAF (PBAF), share many
of the same core subunits but are differentiated primarily by having either AT
rich interaction domain 1A/B (ARID1A/B in BAF) or ARID2 (in PBAF). Using density
gradient centrifugation and immunoprecipitation, we have identified and
characterized a third and smaller SWI/SNF subcomplex. We termed this complex GBAF
because it incorporates two mutually exclusive paralogs, GLTSCR1 (glioma tumor
suppressor candidate region gene 1) or GLTSCR1L (GLTSCR1-like), instead of an
ARID protein. In addition to GLTSCR1 or GLTSCR1L, the GBAF complex contains BRD9
(bromodomain-containing 9) and the BAF subunits BAF155, BAF60, SS18, BAF53a, and
BRG1/BRM. We observed that GBAF does not contain the core BAF subunits BAF45,
BAF47, or BAF57. Even without these subunits, GBAF displayed in vitro ATPase
activity and bulk chromatin affinity comparable to those of BAF. GBAF associated
with BRD4, but, unlike BRD4, the GBAF component GLTSCR1 was not required for the
viability of the LNCaP prostate cancer cell line. In contrast, GLTSCR1 or
GLTSCR1L knockouts in the metastatic prostate cancer cell line PC3 resulted in a
loss in proliferation and colony-forming ability. Taken together, our results
provide evidence for a compositionally novel SWI/SNF subcomplex with cell type
specific functions.
PMID- 29374059
TI - Thermodynamic characterization of the multivalent interactions underlying rapid
and selective translocation through the nuclear pore complex.
AB - Intrinsically disordered proteins (IDPs) play important roles in many biological
systems. Given the vast conformational space that IDPs can explore, the
thermodynamics of the interactions with their partners is closely linked to their
biological functions. Intrinsically disordered regions of Phe-Gly nucleoporins
(FG Nups) that contain multiple phenylalanine-glycine repeats are of particular
interest, as their interactions with transport factors (TFs) underlie the
paradoxically rapid yet also highly selective transport of macromolecules
mediated by the nuclear pore complex. Here, we used NMR and isothermal titration
calorimetry to thermodynamically characterize these multivalent interactions.
These analyses revealed that a combination of low per-FG motif affinity and the
enthalpy-entropy balance prevents high-avidity interaction between FG Nups and
TFs, whereas the large number of FG motifs promotes frequent FG-TF contacts,
resulting in enhanced selectivity. Our thermodynamic model underlines the
importance of functional disorder of FG Nups. It helps explain the rapid and
selective translocation of TFs through the nuclear pore complex and further
expands our understanding of the mechanisms of "fuzzy" interactions involving
IDPs.
PMID- 29374060
TI - S-Glutathionylation of estrogen receptor alpha affects dendritic cell function.
AB - Glutathione S-transferase Pi (GSTP) is a thiolase that catalyzes the addition of
glutathione (GSH) to receptive cysteines in target proteins, producing an S
glutathionylated residue. Accordingly, previous studies have reported that S
glutathionylation is constitutively decreased in cells from mice lacking GSTP
(Gstp1/p2-/-). Here, we found that bone marrow-derived dendritic cells (BMDDCs)
from Gstp1/p2-/- mice have proliferation rates that are greater than those in
their WT counterparts (Gstp1/p2+/+). Moreover, Gstp1/p2-/- BMDDCs had increased
reactive oxygen species (ROS) levels and decreased GSH:glutathione disulfide
(GSSG) ratios. Estrogen receptor alpha (ERalpha) is linked to myeloproliferation
and differentiation, and we observed that its steady-state levels are elevated in
Gstp1/p2-/- BMDDCs, indicating a link between GSTP and ERalpha activities. BMDDCs
differentiated by granulocyte-macrophage colony-stimulating factor had elevated
ERalpha levels, which were more pronounced in Gstp1/p2-/- than WT mice. When
stimulated with lipopolysaccharide for maturation, Gstp1/p2-/- BMDDCs exhibited
augmented endocytosis, maturation rate, cytokine secretion, and T-cell
activation; heightened glucose uptake and glycolysis; increased Akt signaling (in
the mTOR pathway); and decreased AMPK-mediated phosphorylation of proteins. Of
note, GSTP formed a complex with ERalpha, stimulating ERalpha S-glutathionylation
at cysteines 221, 245, 417, and 447; altering ERalpha's binding affinity for
estradiol; and reducing overall binding potential (receptor density and affinity)
3-fold. Moreover, in Gstp1/p2-/- BMDDCs, ERalpha S-glutathionylation was
constitutively decreased. Taken together, these findings suggest that GSTP
mediated S-glutathionylation of ERalpha controls BMDDC differentiation and
affects metabolic function in dendritic cells.
PMID- 29374061
TI - Leptin enhances hypothalamic lactate dehydrogenase A (LDHA)-dependent glucose
sensing to lower glucose production in high-fat-fed rats.
AB - The responsiveness of glucose sensing per se to regulate whole-body glucose
homeostasis is dependent on the ability of a rise in glucose to lower hepatic
glucose production and increase peripheral glucose uptake in vivo In both rodents
and humans, glucose sensing is lost in diabetes and obesity, but the site(s) of
impairment remains elusive. Here, we first report that short-term high-fat
feeding disrupts hypothalamic glucose sensing to lower glucose production in
rats. Second, leptin administration into the hypothalamus of high-fat-fed rats
restored hypothalamic glucose sensing to lower glucose production during a
pancreatic (basal insulin)-euglycemic clamp and increased whole-body glucose
tolerance during an intravenous glucose tolerance test. Finally, both chemical
inhibition of hypothalamic lactate dehydrogenase (LDH) (achieved via hypothalamic
LDH inhibitor oxamate infusion) and molecular knockdown of LDHA (achieved via
hypothalamic lentiviral LDHA shRNA injection) negated the ability of hypothalamic
leptin infusion to enhance glucose sensing to lower glucose production in high
fat-fed rats. In summary, our findings illustrate that leptin enhances LDHA
dependent glucose sensing in the hypothalamus to lower glucose production in high
fat-fed rodents in vivo.
PMID- 29374063
TI - Putting Canoe in place: a tale of two GEFs.
PMID- 29374062
TI - Protein binders and their applications in developmental biology.
AB - Developmental biology research would benefit greatly from tools that enable
protein function to be regulated, both systematically and in a precise spatial
and temporal manner, in vivo In recent years, functionalized protein binders have
emerged as versatile tools that can be used to target and manipulate proteins.
Such protein binders can be based on various scaffolds, such as nanobodies,
designed ankyrin repeat proteins (DARPins) and monobodies, and can be used to
block or perturb protein function in living cells. In this Primer, we provide an
overview of the protein binders that are currently available and highlight recent
progress made in applying protein binder-based tools in developmental and
synthetic biology.
PMID- 29374064
TI - Forkhead Box F2 Suppresses Gastric Cancer through a Novel FOXF2-IRF2BPL-beta
Catenin Signaling Axis.
AB - DNA methylation has been identified as a hallmark of gastric cancer (GC).
Identifying genes that are repressed by DNA promoter methylation is essential in
providing insights into the molecular pathogenesis of gastric cancer. Using
genome-wide methylation studies, we identified that transcription factor forkhead
box F2 (FOXF2) was preferentially methylated in gastric cancer. We then
investigated the functional significance and clinical implication of FOXF2 in
gastric cancer. FOXF2 was silenced in gastric cancer cell lines and cancer
tissues by promoter methylation, which was negatively associated with mRNA
expression. Ectopic expression of FOXF2 inhibited proliferation, colony
formation, G1-S cell-cycle transition, induced apoptosis of gastric cancer cell
lines, and suppressed growth of xenograft tumors in nude mice; knockdown of FOXF2
elicited opposing effects. FOXF2 inhibited Wnt signaling by inducing beta-catenin
protein ubiquitination and degradation independently of GSK-3beta. FOXF2 directly
bound the promoter of E3 ligase interferon regulatory factor 2-binding protein
like (IRF2BPL) and induced its transcriptional expression. IRF2BPL in turn
interacted with beta-catenin, increasing its ubiquitination and degradation.
Multivariate Cox regression analysis identified FOXF2 hypermethylation as an
independent prognostic factor of poor survival in early-stage gastric cancer
patients. In conclusion, FOXF2 is a critical tumor suppressor in gastric
carcinogenesis whose methylation status serves as an independent prognostic
factor for gastric cancer patients.Significance: FOXF2-mediated upregulation of
the E3 ligase IRF2BPL drives ubiquitylation and degradation of beta-catenin in
gastric cancer, blunting Wnt signaling and suppressing carcinogenesis. Cancer
Res; 78(7); 1643-56. (c)2018 AACR.
PMID- 29374066
TI - miR-508 Defines the Stem-like/Mesenchymal Subtype in Colorectal Cancer.
AB - Colorectal cancer includes an invasive stem-like/mesenchymal subtype, but its
genetic drivers, functional, and clinical relevance are uncharacterized. Here we
report the definition of an altered miRNA signature defining this subtype that
includes a major genomic loss of miR-508. Mechanistic investigations showed that
this miRNA affected the expression of cadherin CDH1 and the transcription factors
ZEB1, SALL4, and BMI1. Loss of miR-508 in colorectal cancer was associated with
upregulation of the novel hypoxia-induced long noncoding RNA AK000053. Ectopic
expression of miR-508 in colorectal cancer cells blunted epithelial-to
mesenchymal transition (EMT), stemness, migration, and invasive capacity in vitro
and in vivo In clinical colorectal cancer specimens, expression of miR-508
negatively correlated with stemness and EMT-associated gene expression and
positively correlated with patient survival. Overall, our results showed that miR
508 is a key functional determinant of the stem-like/mesenchymal colorectal
cancer subtype and a candidate therapeutic target for its treatment.Significance:
These results define a key functional determinant of a stem-like/mesenchymal
subtype of colorectal cancers and a candidate therapeutic target for its
treatment. Cancer Res; 78(7); 1751-65. (c)2018 AACR.
PMID- 29374067
TI - RNF6 Promotes Colorectal Cancer by Activating the Wnt/beta-Catenin Pathway via
Ubiquitination of TLE3.
AB - Gene amplification is a hallmark of cancer and is frequently observed in
colorectal cancer. Previous whole-genome sequencing of colorectal cancer clinical
specimens identified amplification of Ring finger protein 6 (RNF6), a RING-domain
E3 ubiquitin ligase. In this study, we showed that RNF6 is upregulated in 73.5%
(147/200) of patients with colorectal cancer and was positively associated with
RNF6 gene amplification. Furthermore, RNF6 expression and its gene amplification
were independent prognostic factors for poor outcome of patients with colorectal
cancer. RNF6 promoted cell growth, cell-cycle progression, and epithelial-to
mesenchymal transition in colorectal cancer cells; RNF6 also promoted colorectal
tumor growth and lung metastasis in mouse models. Mechanistic investigations
revealed that RNF6 bound and ubiquitylated transducin-like enhancer of split 3
(TLE3), a transcriptional repressor of the beta-catenin/TCF4 complex. RNF6
mediated degradation of TLE3 significantly suppressed the association of TLE3
with TCF4/LEF, which in turn led to recruitment of beta-catenin to TCF4/LEF,
triggering Wnt/beta-catenin activation. Restoration of TLE3 expression abolished
the oncogenic effects of RNF6. Taken together, these results demonstrate that
RNF6 plays a pivotal oncogenic role in colorectal tumorigenesis.Significance:
RNF6-mediated ubiquitination and degradation of TLE3 activates the Wnt/beta
catenin pathway in colorectal carcinogenesis. Cancer Res; 78(8); 1958-71. (c)2018
AACR.
PMID- 29374068
TI - Successful Treatment of Scleredema Diabeticorum With Tranilast: Three Case
Reports.
PMID- 29374065
TI - Metformin-Induced Reduction of CD39 and CD73 Blocks Myeloid-Derived Suppressor
Cell Activity in Patients with Ovarian Cancer.
AB - Metformin is a broadly prescribed drug for type 2 diabetes that exerts antitumor
activity, yet the mechanisms underlying this activity remain unclear. We show
here that metformin treatment blocks the suppressive function of myeloid-derived
suppressor cells (MDSC) in patients with ovarian cancer by downregulating the
expression and ectoenzymatic activity of CD39 and CD73 on monocytic and
polymononuclear MDSC subsets. Metformin triggered activation of AMP-activated
protein kinase alpha and subsequently suppressed hypoxia-inducible factor alpha,
which was critical for induction of CD39/CD73 expression in MDSC. Furthermore,
metformin treatment correlated with longer overall survival in diabetic patients
with ovarian cancer, which was accompanied by a metformin-induced reduction in
the frequency of circulating CD39+CD73+ MDSC and a concomitant increase in the
antitumor activities of circulating CD8+ T cells. Our results highlight a direct
effect of metformin on MDSC and suggest that metformin may yield clinical benefit
through improvement of antitumor T-cell immunity by dampening CD39/CD73-dependent
MDSC immunosuppression in ovarian cancer patients.Significance: The antitumor
activity of an antidiabetes drug is attributable to reduced immunosuppressive
activity of myeloid-derived tumor suppressor cells. Cancer Res; 78(7); 1779-91.
(c)2018 AACR.
PMID- 29374069
TI - Type 1 Diabetes in Parents and Risk of Attention Deficit/Hyperactivity Disorder
in Offspring: A Population-Based Study in Sweden.
AB - OBJECTIVE: To explore whether a family history of type 1 diabetes (T1D) is
associated with an increased incidence of attention deficit/hyperactivity
disorder (ADHD) in offspring. RESEARCH DESIGN AND METHODS: Individuals with T1D
were identified from the nationwide Swedish National Hospital Discharge Register
and Swedish Outpatient Register in Sweden and were linked to the Swedish Multi
Generation Register to identify their offspring. Cox regression was used to
calculate the hazard ratio (HR) of ADHD in offspring of patients with T1D
compared with the general population. RESULTS: A total of 15,615 individuals were
born after their parents were diagnosed with T1D. After a set of confounding
factors was controlled for, offspring of T1D patients had a significantly
increased risk of ADHD with an HR of 1.29 (95% CI 1.15-1.42). Maternal T1D was
associated with an enhanced risk of ADHD (HR 1.35 [95% CI 1.18-1.55]) compared
with paternal T1D (HR 1.20 [95% CI 1.03-1.41]), but the difference was not
statistically significant. CONCLUSIONS: In this retrospective cohort study, we
found that a parental history of T1D was associated with a 29% increased risk of
being diagnosed with ADHD. However, the underlying mechanisms need to be explored
in future studies.
PMID- 29374070
TI - An ancient Sec10-formin fusion provides insights into actin-mediated regulation
of exocytosis.
AB - Exocytosis, facilitated by the exocyst, is fundamentally important for remodeling
cell walls and membranes. Here, we analyzed For1F, a novel gene that encodes a
fusion of an exocyst subunit (Sec10) and an actin nucleation factor (formin). We
showed that the fusion occurred early in moss evolution and has been retained for
more than 170 million years. In Physcomitrella patens, For1F is essential, and
the expressed protein is a fusion of Sec10 and formin. Reduction of For1F or
actin filaments inhibits exocytosis, and For1F dynamically associates with Sec6,
another exocyst subunit, in an actin-dependent manner. Complementation
experiments demonstrate that constitutive expression of either half of the gene
or the paralogous Sec10b rescues loss of For1F, suggesting that fusion of the two
domains is not essential, consistent with findings in yeast, where formin and the
exocyst are linked noncovalently. Although not essential, the fusion may have had
selective advantages and provides a unique opportunity to probe actin regulation
of exocytosis.
PMID- 29374071
TI - Effects of active acromegaly on bone mRNA and microRNA expression patterns.
AB - OBJECTIVE: To evaluate the response of bone to chronic long-term growth hormone
(GH) and insulin-like growth factor-1 (IGF1) excess by measuring the expression
of selected mRNA and microRNA (miR) in bone tissue samples of patients with
active acromegaly. DESIGN: Case-control study. METHODS: Bone tissue samples were
obtained during transsphenoidal adenomectomy from the sphenoid bone (sella
turcica) from 14 patients with clinically and biochemically confirmed acromegaly
and 10 patients with clinically non-functioning pituitary adenoma (NFPA) matched
by sex and age. Expression of genes involved in the regulation of bone remodeling
was studied using quantitative polymerase chain reaction (qPCR). RESULTS: Of the
genes involved in osteoblast and osteoclast activity, only alkaline phosphatase
(ALP) mRNA was 50% downregulated in patients with acromegaly. GH excess caused
increased expression of the Wnt signaling antagonists (DKK1) and agonists
(WNT10B) and changes in the levels of miR involved in mesenchymal stem cell
commitment to chondrocytes (miR-199a-5p) or adipocytes (miR-27-5p, miR-125b-5p,
miR-34a-5p, miR-188-3p) P < 0.05; q < 0.1. Relevant compensatory mechanisms were
found through the changes in miR involved in osteoblastogenesis (miR-210-5p, miR
135a-5p, miR-211, miR-23a-3p, miR-204-5p), but the expression of TWIST1 was 50%
downregulated and RUNX2 was unchanged. CONCLUSIONS: Acromegaly had minimal
effects on tested mRNAs specific to osteoblast or osteoclast function except for
downregulated ALP expression. The expressions of miR known to be involved in
mesenchymal stem cell commitment and downregulated TWIST1 expression suggest
acromegaly has a negative effect on osteoblastogenesis.
PMID- 29374072
TI - Asb2alpha-Filamin A Axis Is Essential for Actin Cytoskeleton Remodeling During
Heart Development.
AB - RATIONALE: Heart development involves differentiation of cardiac progenitors and
assembly of the contractile sarcomere apparatus of cardiomyocytes. However,
little is known about the mechanisms that regulate actin cytoskeleton remodeling
during cardiac cell differentiation. OBJECTIVE: The Asb2alpha (Ankyrin repeat
containing protein with a suppressor of cytokine signaling box 2) CRL5 (cullin 5
RING E3 ubiquitin ligase) triggers polyubiquitylation and subsequent degradation
by the proteasome of FLNs (filamins). Here, we investigate the role of Asb2alpha
in heart development and its mechanisms of action. METHODS AND RESULTS: Using
Asb2 knockout embryos, we show that Asb2 is an essential gene, critical to heart
morphogenesis and function, although its loss does not interfere with the overall
patterning of the embryonic heart tube. We show that the Asb2alpha E3 ubiquitin
ligase controls Flna stability in immature cardiomyocytes. Importantly, Asb2alpha
mediated degradation of the actin-binding protein Flna marks a previously
unrecognized intermediate step in cardiac cell differentiation characterized by
cell shape changes and actin cytoskeleton remodeling. We further establish that
in the absence of Asb2alpha, myofibrils are disorganized and that heartbeats are
inefficient, leading to embryonic lethality in mice. CONCLUSIONS: These findings
identify Asb2alpha as an unsuspected key regulator of cardiac cell
differentiation and shed light on the molecular and cellular mechanisms
determining the onset of myocardial cell architecture and its link with early
cardiac function. Although Flna is known to play roles in cytoskeleton
organization and to be required for heart function, this study now reveals that
its degradation mediated by Asb2alpha ensures essential functions in
differentiating cardiac progenitors.
PMID- 29374073
TI - Genetic Fate Mapping Defines the Vascular Potential of Endocardial Cells in the
Adult Heart.
AB - RATIONALE: Endocardium is the major source of coronary endothelial cells (ECs) in
the fetal and neonatal hearts. It remains unclear whether endocardium in the
adult stage is also the main origin of neovascularization after cardiac injury.
OBJECTIVE: To define the vascular potential of adult endocardium in homeostasis
and after cardiac injuries by fate-mapping studies. METHODS AND RESULTS: We
generate an inducible adult endocardial Cre line (Npr3 [natriuretic peptide
receptor C]-CreER) and show that Npr3-CreER efficiently and specifically labels
endocardial cells but not coronary blood vessels in the adult heart. The adult
endocardial cells do not contribute to any vascular ECs during cardiac
homeostasis. To examine the formation of blood vessels from endocardium after
injury, we generate 4 cardiac injury models with Npr3-CreER mice: myocardial
infarction, myocardial ischemia-reperfusion, cryoinjury, and transverse aortic
constriction. Lineage tracing experiments show that adult endocardium minimally
contributes to coronary ECs after myocardial infarction. In the myocardial
ischemia-reperfusion, cryoinjury, or transverse aortic constriction models, adult
endocardial cells do not give rise to any vascular ECs, and they remain on the
inner surface of myocardium that connects with lumen circulation. In the
myocardial infarction model, very few endocardial cells are trapped in the
infarct zone of myocardium shortly after ligation of coronary artery, indicating
the involvement of endocardial entrapment during blood vessels formation. When
these adult endocardial cells are relocated and trapped in the infarcted
myocardium by transplantation or myocardial constriction model, very few
endocardial cells survive and gain vascular EC properties, and their contribution
to neovascularization in the injured myocardium remains minimal. CONCLUSIONS:
Unlike its fetal or neonatal counterpart, adult endocardium naturally generates
minimal, if any, coronary arteries or vascular ECs during cardiac homeostasis or
after injuries.
PMID- 29374074
TI - CD22 Promotes B-1b Cell Responses to T Cell-Independent Type 2 Antigens.
AB - CD22 (Siglec-2) is a critical regulator of B cell activation and survival. CD22-/
mice generate significantly impaired Ab responses to T cell-independent type 2
(TI-2) Ags, including haptenated Ficoll and pneumococcal polysaccharides, Ags
that elicit poor T cell help and activate BCR signaling via multivalent epitope
crosslinking. This has been proposed to be due to impaired marginal zone (MZ) B
cell development/maintenance in CD22-/- mice. However, mice expressing a mutant
form of CD22 unable to bind sialic acid ligands generated normal TI-2 Ab
responses, despite significantly reduced MZ B cells. Moreover, mice treated with
CD22 ligand-binding blocking mAbs, which deplete MZ B cells, had little effect on
TI-2 Ab responses. We therefore investigated the effects of CD22 deficiency on B
1b cells, an innate-like B cell population that plays a key role in TI-2 Ab
responses. B-1b cells from CD22-/- mice had impaired BCR-induced proliferation
and significantly increased intracellular Ca2+ concentration responses following
BCR crosslinking. Ag-specific B-1b cell expansion and plasmablast differentiation
following TI-2 Ag immunization was significantly impaired in CD22-/- mice,
consistent with reduced TI-2 Ab responses. We generated CD22-/- mice with reduced
CD19 levels (CD22-/-CD19+/-) to test the hypothesis that augmented B-1b cell BCR
signaling in CD22-/- mice contributes to impaired TI-2 Ab responses. BCR-induced
proliferation and intracellular Ca2+ concentration responses were normalized in
CD22-/-CD19+/- B-1b cells. Consistent with this, TI-2 Ag-specific B-1b cell
expansion, plasmablast differentiation, survival, and Ab responses were rescued
in CD22-/-CD19+/- mice. Thus, CD22 plays a critical role in regulating TI-2 Ab
responses through regulating B-1b cell signaling thresholds.
PMID- 29374075
TI - Effects of Cross-Presentation, Antigen Processing, and Peptide Binding in HIV
Evasion of T Cell Immunity.
AB - Unlike cytosolic processing and presentation of viral Ags by virus-infected
cells, Ags first expressed in infected nonprofessional APCs, such as CD4+ T cells
in the case of HIV, are taken up by dendritic cells and cross-presented. This
generally requires entry through the endocytic pathway, where endosomal proteases
have first access for processing. Thus, understanding virus escape during cross
presentation requires an understanding of resistance to endosomal proteases, such
as cathepsin S (CatS). We have modified HIV-1MN gp120 by mutating a key CatS
cleavage site (Thr322Thr323) in the V3 loop of the immunodominant epitope
IGPGRAFYTT to IGPGRAFYVV to prevent digestion. We found this mutation to
facilitate cross-presentation and provide evidence from MHC binding and X-ray
crystallographic structural studies that this results from preservation of the
epitope rather than an increased epitope affinity for the MHC class I molecule.
In contrast, when the protein is expressed by a vaccinia virus in the cytosol,
the wild-type protein is immunogenic without this mutation. These proof-of
concept results show that a virus like HIV, infecting predominantly
nonprofessional presenting cells, can escape T cell recognition by incorporating
a CatS cleavage site that leads to destruction of an immunodominant epitope when
the Ag undergoes endosomal cross-presentation.
PMID- 29374077
TI - CD11b+ Dendritic Cell-Mediated Anti-Mycobacterium tuberculosis Th1 Activation Is
Counterregulated by CD103+ Dendritic Cells via IL-10.
AB - Mycobacterium tuberculosis, the pathogen causing pulmonary tuberculosis (TB) in
humans, has evolved to delay Th1 immunity in the lung. Although conventional
dendritic cells (cDCs) are known to be critical to the initiation of T cell
immunity, the differential roles and molecular mechanisms of migratory CD11b+ and
CD103+ cDC subsets in anti-M. tuberculosis Th1 activation remain unclear. Using a
murine model of pulmonary M. tuberculosis infection, we found that slow arrival
of M. tuberculosis-bearing migratory CD11b+ and CD103+ cDCs at the draining lymph
nodes preceded the much-delayed Th1 immunity and protection in the lung. Contrary
to their previously described general roles in Th polarization, CD11b+ cDCs, but
not CD103+ cDCs, were critically required for Th1 activation in draining lymph
nodes following M. tuberculosis infection. CD103+ cDCs counterregulated CD11b+
cDC-mediated Th1 activation directly by producing the immune-suppressive cytokine
IL-10. Thus, our study provides new mechanistic insights into differential Th
immune regulation by migratory cDC subsets and helps to develop novel vaccines
and therapies.
PMID- 29374078
TI - Locus-Specific Reversible DNA Methylation Regulates Transient IL-10 Expression in
Th1 Cells.
AB - IL-10 is a pleiotropic cytokine with multifaceted functions in establishing
immune homeostasis. Although expressed by Th1 and Th2 cells, conventional Th1
cells produce marginal levels of IL-10 compared with their Th2 counterparts. In
this study, we investigated the epigenetic mechanisms of Il-10 gene expression in
Th1 cells. Bioinformatics EMBOSS CpG plot analysis and bisulfite pyrosequencing
revealed three CpG DNA methylation sites in the Il-10 gene locus. Progressive DNA
methylation at all of the CpG regions of interest (ROIs) established a repressive
program of Il-10 gene expression in Th1 cells. Interestingly, Th1 cells treated
with IL-12 and IL-27 cytokines, thereby mimicking a chronic inflammatory
condition in vivo, displayed a significant increase in IL-10 production that was
accompanied by selective DNA demethylation at ROI 3 located in intron 3. IL-10
producing T cells isolated from lymphocytic choriomeningitis virus-infected mice
also showed enhanced DNA demethylation at ROI 3. Binding of STAT1 and STAT3 to
demethylated ROI 3 enhanced IL-10 expression in an IL-12/IL-27-dependent manner.
Accordingly, CD4+ T cells isolated from STAT1- or STAT3-knockout mice were
significantly defective in IL-10 production. Our data suggest that, although
stably maintained DNA methylation at the promoter may repress IL-10 expression in
Th1 cells, locus-specific reversible DNA demethylation may serve as a threshold
platform to control transient Il-10 gene expression.
PMID- 29374076
TI - PAD4 Deficiency Leads to Decreased Organ Dysfunction and Improved Survival in a
Dual Insult Model of Hemorrhagic Shock and Sepsis.
AB - Indirect acute respiratory distress syndrome (iARDS) is caused by a nonpulmonary
inflammatory process resulting from insults such as nonpulmonary sepsis.
Neutrophils are thought to play a significant role in mediating ARDS, with the
development of iARDS being characterized by dysregulation and recruitment of
activated neutrophils into the lung. Recently, a novel mechanism of microbial
killing by neutrophils was identified through the formation of neutrophil
extracellular traps (NETs). NETs are composed of large webs of decondensed
chromatin released from activated neutrophils into the extracellular space; they
are regulated by the enzyme peptidylarginine deiminase 4 (PAD4) through mediation
of chromatin decondensation via citrullination of target histones. Components of
NETs have been implicated in ARDS. However, it is unknown whether there is any
pathological significance of NET formation in ARDS caused indirectly by
nonpulmonary insult. We subjected PAD4-/- mice and wild-type mice to a "two-hit"
model of hypovolemic shock (fixed-pressure hemorrhage [Hem]) followed by septic
cecal ligation and puncture (CLP) insult (Hem/CLP). Mice were hemorrhaged and
resuscitated; 24 h after Hem, mice were then subjected to CLP. Overall, PAD4
deletion led to an improved survival as compared with wild-type mice. PAD4-/-
mice displayed a marked decrease in neutrophil influx into the lung, as well
decreased presence of proinflammatory mediators. PAD4-/- mice were also able to
maintain baseline kidney function after Hem/CLP. These data taken together
suggest PAD4-mediated NET formation contributes to the mortality associated with
shock/sepsis and may play a role in the pathobiology of end organ injury in
response to combined hemorrhage plus sepsis.
PMID- 29374080
TI - Injury Prevention: where to from here?
PMID- 29374079
TI - TLR7 escapes X chromosome inactivation in immune cells.
AB - Toll-like receptor 7 (TLR7) is critical to the induction of antiviral immunity,
but TLR7 dosage is also a key pathogenic factor in systemic lupus erythematosus
(SLE), an autoimmune disease with strong female bias. SLE prevalence is also
elevated in individuals with Klinefelter syndrome, who carry one or more
supernumerary X chromosomes, suggesting that the X chromosome complement
contributes to SLE susceptibility. TLR7 is encoded by an X chromosome locus, and
we examined here whether the TLR7 gene evades silencing by X chromosome
inactivation in immune cells from women and Klinefelter syndrome males. Single
cell analyses of TLR7 allelic expression demonstrated that substantial fractions
of primary B lymphocytes, monocytes, and plasmacytoid dendritic cells not only in
women but also in Klinefelter syndrome males express TLR7 on both X chromosomes.
Biallelic B lymphocytes from women displayed greater TLR7 transcriptional
expression than the monoallelic cells, correlated with higher TLR7 protein
expression in female than in male leukocyte populations. Biallelic B cells were
preferentially enriched during the TLR7-driven proliferation of CD27+ plasma
cells. In addition, biallelic cells showed a greater than twofold increase over
monoallelic cells in the propensity to immunoglobulin G class switch during the
TLR7-driven, T cell-dependent differentiation of naive B lymphocytes into
immunoglobulin-secreting cells. TLR7 escape from X inactivation endows the B cell
compartment with added responsiveness to TLR7 ligands. This finding supports the
hypothesis that enhanced TLR7 expression owing to biallelism contributes to the
higher risk of developing SLE and other autoimmune disorders in women and in men
with Klinefelter syndrome.
PMID- 29374081
TI - Write to the editor.
PMID- 29374082
TI - Retraction: Car safety seats for children: rear facing for best protection.
PMID- 29374083
TI - A model for the conformational activation of the structurally quiescent
metalloprotease ADAMTS13 by von Willebrand factor.
PMID- 29374084
TI - Pleiotropic effects of O-glycosylation in colon cancer.
AB - Changes in the O-glycosylation of proteins have long been associated with the
development of cancer, but establishing causal relationships between altered
glycosylation and cancer progression remains incomplete. In this study, the
authors perform comparative analyses of the cellular phenotypes, transcriptional
changes, and alterations in the glycoproteome in colon cancer cells that
differentially express one glycosyltransferase. Their results provide a wealth of
data on which future studies can be based.
PMID- 29374085
TI - Comparing Three Data Mining Algorithms for Identifying the Associated Risk
Factors of Type 2 Diabetes
AB - Background: Increasing the prevalence of type 2 diabetes has given rise to a
global health burden and a concern among health service providers and health
administrators. The current study aimed at developing and comparing some
statistical models to identify the risk factors associated with type 2 diabetes.
In this light, artificial neural network (ANN), support vector machines (SVMs),
and multiple logistic regression (MLR) models were applied, using demographic,
anthropometric, and biochemical characteristics, on a sample of 9528 individuals
from Mashhad City in Iran. Methods: This study has randomly selected 6654 (70%)
cases for training and reserved the remaining 2874 (30%) cases for testing. The
three methods were compared with the help of ROC curve. Results: The prevalence
rate of type 2 diabetes was 14% in our population. The ANN model had 78.7%
accuracy, 63.1% sensitivity, and 81.2% specificity. Also, the values of these
three parameters were 76.8%, 64.5%, and 78.9%, for SVM and 77.7%, 60.1%, and
80.5% for MLR. The area under the ROC curve was 0.71 for ANN, 0.73 for SVM, and
0.70 for MLR. Conclusion: Our findings showed that ANN performs better than the
two models (SVM and MLR) and can be used effectively to identify the associated
risk factors of type 2 diabetes.
PMID- 29374086
TI - The role of tight junction proteins in ovarian follicular development and ovarian
cancer.
AB - Tight junctions (TJ) are protein structures that control the transport of water,
ions and macromolecules across cell layers. Functions of the transmembrane TJ
protein, occluding (OCLN) and the cytoplasmic TJ proteins, tight junction protein
1 (TJP1; also known as zona occludens protein-1), cingulin (CGN) and claudins
(CLDN) are reviewed, and current evidence of their role in the ovarian function
is reviewed. Abundance of OCLN, CLDNs and TJP1 mRNA changed during follicular
growth. In vitro treatment with various growth factors known to affect ovarian
folliculogenesis indicated that CGN, OCLN and TJP1 are hormonally regulated. The
summarized studies indicate that expression of TJ proteins (i.e., OCLN, CLDN,
TJP1 and CGN) changes with follicle size in a variety of vertebrate species but
whether these changes in TJ proteins are increased or decreased depends on
species and cell type. Evidence indicates that autocrine, paracrine and endocrine
regulators, such as fibroblast growth factor-9, epidermal growth factor,
androgens, tumor necrosis factor-alpha and glucocorticoids may modulate these TJ
proteins. Additional evidence presented indicates that TJ proteins may be
involved in ovarian cancer development in addition to normal follicular and
luteal development. A model is proposed suggesting that hormonal downregulation
of TJ proteins during ovarian follicular development could reduce barrier
function (i.e., selective permeability of molecules between theca and granulosa
cells) and allow for an increase in the volume of follicular fluid as well as
allow additional serum factors into the follicle that may directly impact
granulosa cell functions.
PMID- 29374087
TI - Food restriction but not fish oil increases fertility in hens: role of RARRES2?
AB - Overfed hens selected for their rapid growth become fatter and develop
reproductive disorders. Herein, we aimed to demonstrate that food restriction
leading to a weight reduction and/or a supplementation with fish oil may be
effective in preventing reproductive disorders through the regulation of
adipokine expression in broiler hens. This study included four groups of food
restricted (Rt) or ad libitum hens (Ad, feeding at a rate 1.7 times greater than
Rt hens) supplemented or unsupplemented with fish oil (1%). The Rt diet
significantly increased plasma chemerin (RARRES2) levels during the laying
period, delayed sexual maturity by one week and improved egg quality and
fertility. These effects were associated with higher progesterone production in
response to IGF1 (or LH) in cultured granulosa cells and in vivo egg yolk, as
compared with Ad hens. Fish oil supplementation had similar effects to the Rt
diet on progesterone (P < 0.05), but without any effect on fertility. Using RT
PCR, we found that RARRES2 levels were lower in theca cells of Rt hens and NAMPT
levels were increased by the fish oil supplementation. A significant positive
correlation between RARRES2 expression in granulosa cells and the weight of F1
preovulatory follicle was observed, as well as a negative correlation of plasma
RARRES2 levels with hatchability. Thus, food restriction but not fish oil
supplementation improved fertility, and this was associated with variations in
RARRES2 plasma and ovarian expression in hens.
PMID- 29374088
TI - Healthcare utilisation and costs of home mechanical ventilation.
AB - BACKGROUND: Individuals using home mechanical ventilation (HMV) frequently choose
to live at home for quality of life, despite financial burden. Previous studies
of healthcare utilisation and costs do not consider public and private
expenditures, including caregiver time. OBJECTIVES: To determine public and
private healthcare utilisation and costs for HMV users living at home in two
Canadian provinces, and examine factors associated with higher costs. METHODS:
Longitudinal, prospective observational cost analysis study (April 2012 to August
2015) collecting data on public and private (out-of-pocket, third-party
insurance, caregiving) costs every 2 weeks for 6 months using the Ambulatory and
Home Care Record. Functional Independence Measure (FIM) was used at baseline and
study completion. Regression models examined variables associated with total
monthly costs selected a priori using Andersen and Newman's framework for
healthcare utilisation, relevant literature, and clinical expertise. Data are
reported in 2015 Canadian dollars ($C1=US$0.78=?0.51=?0.71). RESULTS: We enrolled
134 HMV users; 95 with family caregivers. Overall median (IQR) monthly healthcare
cost was $5275 ($2291-$10 181) with $2410 (58%) publicly funded; $1609 (39%)
family caregiving; and $141 (3%) out-of-pocket (<1% third-party insurance).
Median healthcare costs were $8733 ($5868-$15 274) for those invasively
ventilated and $3925 ($1212-$7390) for non-invasive ventilation. Variables
associated with highest monthly costs were amyotrophic lateral sclerosis (1.88,
95% CI 1.09 to 3.26, P<0.03) and lower FIM quintiles (higher dependency) (up to
6.98, 95% CI 3.88 to 12.55, P<0.0001) adjusting for age, sex, tracheostomy and
ventilation duration. CONCLUSIONS: For HMV users, most healthcare costs were
publicly supported or associated with family caregiving. Highest costs were
incurred by the most dependent users. Understanding healthcare costs for HMV
users will inform policy decisions to optimise resource allocation, helping
individuals live at home while minimising caregiver burden.
PMID- 29374089
TI - Pulmonary melioidosis with laryngeal involvement: an unusual site of infection.
PMID- 29374090
TI - A runny nose.
PMID- 29374091
TI - Recent advances in the understanding of endothelial barrier function and fluid
therapy.
AB - Elucidation of the structural basis of endothelial barrier function and the study
of transcapillary fluid exchange dynamics are areas of active research. There has
been significant enhancement in our understanding of the ultrastructural basis of
endothelial barrier function. The role of glycocalyx has received special
attention. Experimental evidence has called for a revision in the classic
Starling principle of transcapillary exchange. The glycocalyx model provides a
potential structural mechanism for the revised Starling principle. This knowledge
can provide the framework for understanding the volume expansion effect of fluid
therapy and the physiological basis of fluid therapy.
PMID- 29374093
TI - Public smoking ban and socioeconomic inequalities in smoking prevalence and
cessation: a cross-sectional population-based study in Geneva, Switzerland (1995
2014).
AB - INTRODUCTION: Smoking bans were suggested to reduce smoking prevalence and
increase quit ratio but their equity impact remains unclear. We aimed to
characterise the socioeconomic status (SES)-related inequalities in smoking
prevalence and quit ratio before and after the implementation of a public smoking
ban. METHODS: We included data from 17 544 participants in the population-based
cross-sectional Bus Sante study in Geneva, Switzerland, between 1995 and 2014. We
considered educational attainment (primary, secondary and tertiary) as a SES
indicator. Outcomes were smoking prevalence (proportion of current smokers) and
quit ratio (ex-smokers to ever-smokers ratio). We used segmented linear
regression to assess the overall impact of smoking ban on outcome trends. We
calculated the relative (RII) and slope (SII, absolute difference) indexes of
inequality, quantifying disparities between educational groups in outcomes
overall (1995-2014), before and after ban implementation (November 2009).
RESULTS: Least educated participants displayed higher smoking prevalence
(RII=2.04, P<0.001; SII=0.15, P<0.001) and lower quit ratio (RII=0.73, P<0.001;
SII=-0.18, P<0.001). As in other studies, smoking ban implementation coincided
with a temporary reduction of smoking prevalence (P=0.003) and increase in quit
ratio (P=0.02), with a progressive return to preban levels. Inequalities
increased (P<0.05) in relative terms for smoking prevalence (RIIbefore=1.84,
P<0.001 and RIIafter=3.01, P<0.001) and absolute terms for both outcomes (smoking
prevalence: SIIbefore=0.14, P<0.001 and SIIafter=0.19, P<0.001; quit ratio:
SIIbefore=-0.15, P<0.001 and SIIafter=-0.27, P<0.001). CONCLUSIONS:
Implementation of a public smoking ban coincided with a short-lived decrease in
smoking prevalence and increase in quit ratio but also with a widening in SES
inequalities in smoking-related outcomes.
PMID- 29374094
TI - Heat-not-burn tobacco products: concerns from the Italian experience.
PMID- 29374092
TI - MYCN-amplified neuroblastoma maintains an aggressive and undifferentiated
phenotype by deregulation of estrogen and NGF signaling.
AB - Neuroblastoma (NB) is a remarkably heterogenic childhood tumor of the sympathetic
nervous system with clinical behavior ranging from spontaneous regression to
poorly differentiated tumors and metastasis. MYCN is amplified in 20% of cases
and correlates with an undifferentiated, aggressive phenotype and poor prognosis.
Estrogen receptor alpha (ERalpha) and the nerve growth factor (NGF) receptors
TrkA and p75NTR are involved in neuronal differentiation and survival. We have
previously shown that MYCN, via miR-18a, targets ERalpha in NB cells. Here, we
demonstrate that interference with miR-18a or overexpression of ERalpha is
sufficient to induce NGF signaling and to modulate both basal and NGF-induced
neuronal differentiation in MYCN-amplified NB cells. Proteomic analysis confirmed
an increase of neuronal features and showed that processes linked to tumor
initiation and progression were inhibited upon ERalpha overexpression. Indeed,
ectopic ERalpha expression was sufficient to inhibit metabolic activity and
tumorigenic processes, including glycolysis, oxidative phosphorylation, cell
viability, migration, and anchorage independent growth. Importantly, ERalpha
overexpression reduced tumor burden in NB mouse models and high ERalpha levels
were linked to improved survival in patients. In addition to ERalpha, several
other nuclear hormone receptors (NHRs), including the glucocorticoid and the
retinoic acid receptors, correlated with clinical markers for favorable and low
stage NB disease. Our data suggest that MYCN targets ERalpha and thereby NGF
signaling to maintain an undifferentiated and aggressive phenotype. Notably, we
identified the estrogen-NGF crosstalk, as well as a set of other NHRs, as
potential prognostic markers and targets for therapeutic strategies against NB.
PMID- 29374095
TI - Bladder cancer and occupational exposure to metalworking fluid mist: a counter
matched case-control study in French steel-producing factories.
AB - OBJECTIVES: To assess the relationship between occupational exposure to
metalworking fluids (MWFs) in the steel-producing industry and bladder cancer
incidence. METHODS: A nested case-control study on bladder cancer was set up in a
cohort of workers from six French steel-producing factories. Three controls were
randomly selected for each incident bladder cancer case diagnosed from 2006 to
2012. Controls were matched to cases on age at diagnosis and counter-matched on a
surrogate measure of exposure to MWFs derived from a job-exposure matrix. Cases
(n=84) and controls (n=251) were face-to-face interviewed. Experts assessed
occupational exposure to MWFs (straight, soluble and synthetic) using
questionnaires and reports from factory visits. Occupational exposures were based
on three metrics: duration, frequency-weighted duration and cumulative exposure
index. Conditional multiple logistic regressions were used to determine ORs and
95% CIs, taking non-occupational and occupational exposure into account. RESULTS:
In the 25 years before diagnosis, ORs increased significantly with duration of
exposure to straight MWFs (OR=1.13 (1.02-1.25)) and increased with frequency
weighted duration of exposure to straight MWFs (OR=1.44 (0.97-2.14)). These
results remained valid after adjusting for duration of smoking, average number of
cigarettes smoked per day, time since smoking cessation and exposure to
polycyclic aromatic hydrocarbons (PAHs). ORs also increased with soluble MWFs but
not significantly. No significant association was found with older exposures to
MWFs or with exposure to synthetic MWFs. CONCLUSION: The increased risk of
bladder cancer observed among workers exposed to straight MWFs and to a lesser
extent to soluble MWFs may be explained by the presence of carcinogens (such as
PAH) in mineral oils component of straight and soluble oils. Prevention therefore
remains necessary in sectors using MWFs.
PMID- 29374096
TI - Human service work, gender and antidepressant use: a nationwide register-based 19
year follow-up of 752 683 women and men.
AB - OBJECTIVES: To examine antidepressant use among male and female human service
professionals. METHODS: A random sample of individuals between 25 years and 54
years of age (n=752 683; 49.2% women; mean age 39.5 years). Information about
each individual's filled antidepressant prescriptions from 1995 to 2014 was
provided by the Social Insurance Institution. First, antidepressant use in five
broad human service categories was compared with that in all other occupations
grouped together, separately for men and women. Then, each of the 15 human
service professions were compared with all other occupations from the same
skill/education level (excluding other human services professions). Cox models
were applied and the results are presented as HRs for antidepressant use with 95%
CIs. RESULTS: The hazard of antidepressant use was higher among men working in
human service versus all other occupations with the same skill/occupational level
(1.22, 95% CI 1.18 to 1.27), but this was not the case for women (0.99, 95% CI
0.98 to 1.01). The risks differed between professions: male health and social
care professionals (including medical doctors, nurses, practical nurses and home
care assistants), social workers, childcare workers, teachers and psychologists
had a higher risk of antidepressant use than men in non-human service
occupations, whereas customer clerks had a lower risk. CONCLUSIONS: Male human
service professionals had a higher risk of antidepressant use than men working in
non-human service occupations. Gendered sociocultural norms and values related to
specific occupations as well as occupational selection may be the cause of the
elevated risk.
PMID- 29374097
TI - Incidence of cardiovascular disease in a historical cohort of Danish
firefighters.
AB - OBJECTIVES: Firefighters are exposed to multiple cardiovascular hazards, but few
epidemiological studies have addressed their cardiovascular morbidity. The
objective of this study was therefore to examine the incidence of cardiovascular
diseases (CVD) in Danish firefighters. METHODS: We used individual historical
employment records on 11.691 male Danish firefighters supplied by trade unions
and fire agencies. The Supplementary Pension Fund Register was used to establish
two occupational reference groups (a random sample from the male employed
population and military employees). Information on CVD incidence was retrieved
from the nationwide Danish National Patient Registry. SIRs and Poisson regression
analyses (incidence rate ratio) were used for estimation of risks, including 95%
CIs. RESULTS: In comparison with the population sample, the age-adjusted and
calendar time-adjusted SIR for all CVDs combined was increased in firefighters
(SIR=1.10, 95% CI 1.05 to 1.15). The risk was also elevated for the most frequent
outcomes, including angina pectoris (SIR=1.16, 95% CI 1.08 to 1.24), acute
myocardial infarction (SIR=1.16, 95% CI 1.06 to 1.26), chronic ischaemic heart
disease (SIR=1.15, 95% CI 1.06 to 1.24) and atrial fibrillation/flutter
(SIR=1.25, 95% CI 1.14 to 1.36). This analysis showed the most elevated SIRs for
CVD in full-time firefighters compared with part-time/volunteer firefighters.
Both types of firefighters employed <15 years had an increased risk of CVD.
Similar risk patterns appeared in comparisons with the military. Internal
analysis supported external findings. CONCLUSION: The risk of overall CVD,
including the most frequent subtypes was modestly increased in Danish
firefighters and was most elevated in full-time firefighters compared with other
male employees.
PMID- 29374098
TI - Social rank affects the haematologic profile in red deer hinds.
AB - We studied the effects of social rank on the haematologic profile in a herd of 24
female Iberian red deer hinds. Social rank hierarchy was determined and blood
samples were taken and analysed. After adjusting for age and body mass, dominance
ranking showed a significant negative effect (ie, lower values in dominant hinds)
on white blood cell (WBC) count, haemoglobin and haematocrit. Our results are
similar to those reported for stressed individuals due to physical
immobilisation, but do not support the predicted enhanced erythropoiesis due to
higher levels of androgens. The results for WBC numbers may also reflect that
subordinate hinds must allocate a higher amount of resources to immunity as a
result of injuries incurred from dominant hinds, while simultaneously facing
restricted access to food sources. For red blood cell (RBC) counts, the results
may be due to subordinate hinds likely needing increased haematocrit and
haemoglobin levels for fast flight responses. Our data show that social rank
influences haematologic profile, and thus it should be considered when correctly
interpreting blood analyses in social cervid species.
PMID- 29374099
TI - Comparative effectiveness of individualised homeopathy and antibiotics in the
treatment of bovine clinical mastitis: randomised controlled trial.
AB - : Based on the widespread use of homeopathy in dairy farm practice when treating
mastitis, a blind randomised controlled trial (RCT) was conducted to assess the
effectiveness of homeopathic treatment of clinical mastitis on four dairy farms.
The study considered specific guidelines for RCTs as well as the basic principles
of individualised homeopathy and involved 180 lactating dairy cows. Evaluation of
cure rates was based on clinical investigation of the udder and on laboratory
analysis of milk samples. In culture-positive cases, the antibiotic treatment
provided suboptimal bacteriological cures (60-81 per cent) but was more effective
than individualised homeopathy (33-43 per cent) whose effects appeared little
different to those of placebos (45-47 per cent) (P<=0.05). On the cytological
cure level, all three treatment methods were similarly ineffective: antibiotic
being 2-21 per cent, individualised homeopathy 0-8 per cent and placebo 3-13 per
cent (P<=0.05; P=0.13). Antibiotics, individualised homeopathy and placebo had
similar effects on bacteriological and cytological cure in cases of culture
negative milk samples (P>0.4) and Escherichia coli infections (P=1.0). The study
results implied that the effectiveness of individualised homeopathy does not go
beyond a placebo effect and successful treatment is highly dependent on the
specific mastitis pathogen. Thus, antimicrobial or alternative remedies used
should be based on the bacterial culture of the milk sample. TRIAL REGISTRATION
NUMBER: NTP-ID: 00008011-1-9, Pre-results.
PMID- 29374100
TI - Long-term complications following tibial plateau levelling osteotomy in small
dogs with tibial plateau angles > 30 degrees .
AB - Tibial plateau levelling osteotomy (TPLO) is commonly performed for surgical
management of cranial cruciate ligament (CCL) disease. It has been suggested that
small dogs may have steeper tibial plateau angles (TPAs) than large dogs, which
has been associated with increased complication rates after TPLO. A retrospective
study was performed to assess the rate and nature of long-term complications
following TPLO in small dogs with TPAs>30 degrees . Medical records were reviewed
for dogs with TPAs>30 degrees treated for CCL rupture by TPLO with a 2.0 mm
plate over a five-year period. Radiographs were assessed to determine TPA,
postoperative tibial tuberosity width and to identify any complication. Up-to
date medical records were obtained from the referring veterinary surgeon and any
complications in the year after surgery were recorded. The effects of different
variables on complication rate were assessed using logistic regression analysis.
Minor complications were reported in 22.7 per cent of cases. This is similar to
or lower than previously reported complication rates for osteotomy techniques in
small dogs and dogs with steep TPAs. A smaller postoperative TPA was the only
variable significantly associated with an increased complication rate. No major
complications were identified.
PMID- 29374101
TI - The effect of white matter hyperintensities on verbal memory: Mediation by
temporal lobe atrophy.
AB - OBJECTIVE: To determine the relationship between white matter hyperintensities
(WMH) presumed to indicate disease of the cerebral small vessels, temporal lobe
atrophy, and verbal memory deficits in Alzheimer disease (AD) and other
dementias. METHODS: We recruited groups of participants with and without AD,
including strata with extensive WMH and minimal WMH, into a cross-sectional proof
of-principle study (n = 118). A consecutive case series from a memory clinic was
used as an independent validation sample (n = 702; Sunnybrook Dementia Study;
NCT01800214). We assessed WMH volume and left temporal lobe atrophy (measured as
the brain parenchymal fraction) using structural MRI and verbal memory using the
California Verbal Learning Test. Using path modeling with an inferential
bootstrapping procedure, we tested an indirect effect of WMH on verbal recall
that depends sequentially on temporal lobe atrophy and verbal learning. RESULTS:
In both samples, WMH predicted poorer verbal recall, specifically due to temporal
lobe atrophy and poorer verbal learning (proof-of-principle -1.53, 95% bootstrap
confidence interval [CI] -2.45 to -0.88; and confirmation -0.66, 95% CI [-0.95 to
-0.41] words). This pathway was significant in subgroups with (-0.20, 95% CI [
0.38 to -0.07] words, n = 363) and without (-0.71, 95% CI [-1.12 to -0.37] words,
n = 339) AD. Via the identical pathway, WMH contributed to deficits in
recognition memory (-1.82%, 95% CI [-2.64% to -1.11%]), a sensitive and specific
sign of AD. CONCLUSIONS: Across dementia syndromes, WMH contribute indirectly to
verbal memory deficits considered pathognomonic of Alzheimer disease,
specifically by contributing to temporal lobe atrophy.
PMID- 29374102
TI - Early time course of major bleeding on antiplatelet therapy after TIA or ischemic
stroke.
AB - OBJECTIVE: To study the early time course of major bleeding and its subtypes in
patients with cerebral ischemia on dual and single antiplatelet therapy. METHODS:
We performed a post hoc analysis on individual patient data from 6 randomized
clinical trials (Clopidogrel Versus Aspirin in Patients at Risk of Ischaemic
Events [CAPRIE], Second European Stroke Prevention Study [ESPS-2], Management of
Atherothrombosis With Clopidogrel in High-Risk Patients [MATCH], Clopidogrel for
High Atherothrombotic Risk and Ischemic Stabilization, Management, and Avoidance
[CHARISMA], European/Australasian Stroke Prevention in Reversible Ischaemia Trial
[ESPRIT], and Prevention Regimen for Effectively Avoiding Second Strokes
[PRoFESS]) including 45,195 patients with a TIA or noncardioembolic ischemic
stroke. We studied incidence rates of bleeding per antiplatelet regimen
stratified by time from randomization (<=30, 31-90, 91-180, 181-365, >365 days).
We calculated incidence rates per trial and pooled estimates with random-effects
meta-analysis. We performed Poisson regression to assess differences between time
periods with adjustment for age and sex. RESULTS: The incidence of major bleeding
on aspirin plus clopidogrel and aspirin plus -dipyridamole was highest in the
first 30 days, 5.8 and 4.9 per 100 person-years, respectively, and was
significantly higher than at 31 to 90 days (rate ratio 1.98, 95% confidence
interval 1.16-3.40 for aspirin plus clopidogrel; rate ratio 1.94, 95% confidence
interval 1.24-3.03 for aspirin plus dipyridamole). Incidence rates on aspirin and
clopidogrel monotherapy were 2.8 and 2.5 per 100 person-years, respectively, in
the first 30 days, with no significant change over time. The time course was
similar for gastrointestinal bleeds. There was no early excess of intracranial
hemorrhage in patients on either dual or single antiplatelet therapy. CONCLUSION:
Dual antiplatelet therapy is associated with high early risks of major and
gastrointestinal bleeding that decline after the first month in trial cohorts.
PMID- 29374103
TI - Erythrocyte Fraction Within Retrieved Thrombi Contributes to Thrombolytic
Response in Acute Ischemic Stroke.
AB - BACKGROUND AND PURPOSE: Recent advent of endovascular thrombectomy (EVT) enables
us to provide a new perspective on the use of tPA (tissue-type plasminogen
activator) through histological analysis of retrieved thrombus. We investigated
the responsiveness of intravenous thrombolysis (IVT) according to the thrombus
composition in EVT-attempted patients with acute ischemic stroke. METHODS: We
reviewed 92 consecutive patients with anterior circulation stroke who received
combined IVT and EVT for 2 years. IVT responsiveness is defined as any decrease
in the clot burden from baseline computed tomographic angiography to digital
subtraction angiography during EVT. We histologically analyzed the relative
fractions of red blood cells (RBCs), congregated fibrin and platelets, and white
blood cells in the retrieved thrombi using semiautomated color-based segmentation
method. Clinical characteristics according to the RBC fraction were investigated,
and associated factors with IVT responsiveness were explored. RESULTS: Fifty-two
patients with histological analyses were stratified into lowest, middle, and
highest tertiles of RBC fraction. Toward higher RBC fraction, there was more
common susceptibility vessel signs on magnetic resonance imaging (50.0% versus
66.7% versus 91.7%; P=0.022) and prevalent IVT responsiveness (25.0% versus 41.7%
versus 75.0%; P=0.010). IVT-responsive group (n=23) had higher RBC fraction
(45.7+/-15.5% versus 35.9+/-12.2%; P=0.010), lower fibrin and platelet (50.4+/
14.0% versus 58.5+/-11.1%; P=0.027), and lower white blood cells fraction (3.9+/
2.1% versus 5.5+/-3.0%; P=0.027) than IVT-unresponsive group (n=29). After
adjusting for potential variables, RBC fraction (odds ratio, 1.05; 95% confidence
interval, 1.01-1.10) remained only independent determinant of IVT responsiveness.
CONCLUSIONS: In EVT-attempted patients with acute ischemic stroke, IVT
responsiveness would be closely associated with RBC fraction.
PMID- 29374104
TI - Functional Trajectories, Cognition, and Subclinical Cerebrovascular Disease.
AB - BACKGROUND AND PURPOSE: Cognition and education influence functional
trajectories, but whether associations differ with subclinical brain infarcts
(SBI) or white matter hyperintensity volume (WMHV) is unknown. We hypothesized
that SBI and WMHV moderated relationships between cognitive performance and
education and functional trajectories. METHODS: A total of 1290 stroke-free
individuals underwent brain magnetic resonance imaging and were followed for 7.3
years (mean) with annual functional assessments with the Barthel index (range, 0
100). Magnetic resonance imaging measurements included pathology-informed SBI (PI
SBI) and WMHV (% total cranial volume). Generalized estimating equation models
tested associations between magnetic resonance imaging variables and baseline
Barthel index and change in Barthel index, adjusting for demographic, vascular,
cognitive, and social risk factors, and stroke and myocardial infarction during
follow-up. We tested interactions among education level, baseline cognitive
performance (Mini-Mental State score), and functional trajectories and ran models
stratified by levels of magnetic resonance imaging variables. RESULTS: Mean age
was 70.6 (SD, 9.0) years; 19% had PI-SBI, and mean WMHV was 0.68%. Education did
not modify associations between cognition and functional trajectories. PI-SBI
modified associations between cognition and functional trajectories (P=0.04) with
a significant protective effect of better cognition on functional decline seen
only in those without PI-SBI. There was no significant interaction for WMHV
(P=0.8). PI-SBI, and greater WMHV, were associated with 2- to 3-fold steeper
functional decline, holding cognition constant. CONCLUSIONS: PI-SBI moderated the
association between cognition and functional trajectories, with 3-fold greater
decline among those with PI-SBI (compared with no PI-SBI) and normal baseline
cognition. This highlights the strong and independent association between
subclinical markers and patient-centered trajectories over time.
PMID- 29374105
TI - Validating the TeleStroke Mimic Score: A Prediction Rule for Identifying Stroke
Mimics Evaluated Over Telestroke Networks.
AB - BACKGROUND AND PURPOSE: Up to 30% of acute stroke evaluations are deemed stroke
mimics, and these are common in telestroke as well. We recently published a risk
prediction score for use during telestroke encounters to differentiate stroke
mimics from ischemic cerebrovascular disease derived and validated in the
Partners TeleStroke Network. Using data from 3 distinct US and European
telestroke networks, we sought to externally validate the TeleStroke Mimic (TM)
score in a broader population. METHODS: We evaluated the TM score in 1930
telestroke consults from the University of Utah, Georgia Regents University, and
the German TeleMedical Project for Integrative Stroke Care Network. We report the
area under the curve in receiver-operating characteristic curve analysis with 95%
confidence interval for our previously derived TM score in which lower TM scores
correspond with a higher likelihood of being a stroke mimic. RESULTS: Based on
final diagnosis at the end of the telestroke consultation, there were 630 of 1930
(32.6%) stroke mimics in the external validation cohort. All 6 variables included
in the score were significantly different between patients with ischemic
cerebrovascular disease versus stroke mimics. The TM score performed well (area
under curve, 0.72; 95% confidence interval, 0.70-0.73; P<0.001), similar to our
prior external validation in the Partners National Telestroke Network.
CONCLUSIONS: The TM score's ability to predict the presence of a stroke mimic
during telestroke consultation in these diverse cohorts was similar to its
performance in our original cohort. Predictive decision-support tools like the TM
score may help highlight key clinical differences between mimics and patients
with stroke during complex, time-critical telestroke evaluations.
PMID- 29374106
TI - Comorbid Psychiatric Disease Is Associated With Lower Rates of Thrombolysis in
Ischemic Stroke.
AB - BACKGROUND AND PURPOSE: Intravenous thrombolysis (IVT) improves outcomes after
acute ischemic stroke but is underused in certain patient populations. Mental
illness is pervasive in the United States, and patients with comorbid psychiatric
disease experience inequities in treatment for a range of conditions. We aimed to
determine whether comorbid psychiatric disease is associated with differences in
IVT use in acute ischemic stroke. METHODS: Acute ischemic stroke admissions
between 2007 and 2011 were identified in the Nationwide Inpatient Sample.
Psychiatric disease was defined by International Classification of Diseases,
Ninth Revision, Clinical Modification codes for secondary diagnoses of
schizophrenia or other psychoses, bipolar disorder, depression, or anxiety. Using
logistic regression, we tested the association between IVT and psychiatric
disease, controlling for demographic, clinical, and hospital factors. RESULTS: Of
the 325 009 ischemic stroke cases meeting inclusion criteria, 12.8% had any of
the specified psychiatric comorbidities. IVT was used in 3.6% of those with, and
4.4% of those without, psychiatric disease (P<0.001). Presence of any psychiatric
disease was associated with lower odds of receiving IVT (adjusted odds ratio,
0.80; 95% confidence interval, 0.76-0.85). When psychiatric diagnoses were
analyzed separately individuals with schizophrenia or other psychoses, anxiety,
or depression each had significantly lower odds of IVT compared to individuals
without psychiatric disease. CONCLUSIONS: Acute ischemic stroke patients with
comorbid psychiatric disease have significantly lower odds of IVT. Understanding
barriers to IVT use in such patients may help in developing interventions to
increase access to evidence-based stroke care.
PMID- 29374107
TI - Potato Mop-Top Virus Co-Opts the Stress Sensor HIPP26 for Long-Distance Movement.
AB - Virus movement proteins facilitate virus entry into the vascular system to
initiate systemic infection. The potato mop-top virus (PMTV) movement protein,
TGB1, is involved in long-distance movement of both viral ribonucleoprotein
complexes and virions. Here, our analysis of TGB1 interactions with host
Nicotiana benthamiana proteins revealed an interaction with a member of the heavy
metal-associated isoprenylated plant protein family, HIPP26, which acts as a
plasma membrane-to-nucleus signal during abiotic stress. We found that knockdown
of NbHIPP26 expression inhibited virus long-distance movement but did not affect
cell-to-cell movement. Drought and PMTV infection up-regulated NbHIPP26 gene
expression, and PMTV infection protected plants from drought. In addition,
NbHIPP26 promoter-reporter fusions revealed vascular tissue-specific expression.
Mutational and biochemical analyses indicated that NbHIPP26 subcellular
localization at the plasma membrane and plasmodesmata was mediated by lipidation
(S-acylation and prenylation), as nonlipidated NbHIPP26 was predominantly in the
nucleus. Notably, coexpression of NbHIPP26 with TGB1 resulted in a similar
nuclear accumulation of NbHIPP26. TGB1 interacted with the carboxyl-terminal CVVM
(prenyl) domain of NbHIPP26, and bimolecular fluorescence complementation
revealed that the TGB1-HIPP26 complex localized to microtubules and accumulated
in the nucleolus, with little signal at the plasma membrane or plasmodesmata.
These data support a mechanism where interaction with TGB1 negates or reverses
NbHIPP26 lipidation, thus releasing membrane-associated NbHIPP26 and redirecting
it via microtubules to the nucleus, thereby activating the drought stress
response and facilitating virus long-distance movement.
PMID- 29374108
TI - Fine-Tuning of Photosynthesis Requires CURVATURE THYLAKOID1-Mediated Thylakoid
Plasticity.
AB - The thylakoid membrane system of higher plant chloroplasts consists of
interconnected subdomains of appressed and nonappressed membrane bilayers, known
as grana and stroma lamellae, respectively. CURVATURE THYLAKOID1 (CURT1) protein
complexes mediate the shape of grana stacks in a dosage-dependent manner and
facilitate membrane curvature at the grana margins, the interface between grana
and stroma lamellae. Although grana stacks are highly conserved among land
plants, the functional relevance of grana stacking remains unclear. Here, we show
that inhibiting CURT1-mediated alteration of thylakoid ultrastructure in
Arabidopsis (Arabidopsis thaliana) reduces photosynthetic efficiency and plant
fitness under adverse, controlled, and natural light conditions. Plants that lack
CURT1 show less adjustment of grana diameter, which compromises regulatory
mechanisms like the photosystem II repair cycle and state transitions.
Interestingly, CURT1A suffices to induce thylakoid membrane curvature in planta
and thylakoid hyperbending in plants overexpressing CURT1A. We suggest that CURT1
oligomerization is regulated at the posttranslational level in a light-dependent
fashion and that CURT1-mediated thylakoid plasticity plays an important role in
fine-tuning photosynthesis and plant fitness during challenging growth
conditions.
PMID- 29374110
TI - If all vets are equal, why the pay gap?
PMID- 29374109
TI - Neurodevelopment in Young Children Born to HIV-Infected Mothers: A Meta-analysis.
AB - CONTEXT: HIV-infected (HIV+) children have worse neurodevelopmental outcomes
compared with HIV-uninfected children. However, little is known regarding the
differences in neurodevelopment between young HIV+ children, HIV-exposed but
uninfected (HEU) children, and HIV-unexposed and uninfected (HUU) children.
OBJECTIVE: To systematically review and meta-analyze data on neurodevelopmental
performance between young HIV+, HEU, and HUU children. DATA SOURCES: We
systematically searched the following electronic bibliographic databases: Ovid
Medline, Embase, PsycINFO, Education Resources Information Center, and the
Cochrane Database of Systematic Reviews. STUDY SELECTION: Studies were selected
on the basis of defined inclusion criteria. Titles, abstracts, and full texts
were assessed by 2 independent reviewers. DATA EXTRACTION: Data were extracted by
2 independent reviewers and cross-checked by 2 additional reviewers. RESULTS:
Forty-five studies were identified for inclusion in the systematic review, and of
these, 11 were included in the meta-analysis on the basis of availability of
Bayley Scales of Infant and Toddler Development scores. Within the meta-analysis,
when compared with their HUU peers, HIV+ and HEU children had lower cognitive and
motor scores. HIV+ and HEU children with antiretroviral (ARV) exposure had lower
cognitive and motor scores compared with those without ARV exposure. LIMITATIONS:
We were unable to control adequately for intravenous drug use, geographic
location, or quality of the assessment independently. CONCLUSIONS: Both HIV+ and
HEU children had worse developmental outcomes compared with HUU children. HIV+
and HEU children with ARV exposure also had worse developmental outcomes compared
with those without exposure; however, these results should be interpreted with
caution. More research is needed to identify the impact of ARV exposure on young
children.
PMID- 29374111
TI - Gender pay gap exists across the profession.
PMID- 29374112
TI - Avian influenza prevention zone extended.
PMID- 29374113
TI - Mystery solved for mass mortality event.
AB - It's been almost three years since over 200,000 saiga antelopes died in central
Kazakhstan, in a mass mortality event that shocked researchers and wildlife
experts alike. But now, as Georgina Mills explains, research has revealed that
there was more to the deaths than first thought.
PMID- 29374114
TI - Turn talk into action, say animal charities.
PMID- 29374115
TI - Medicines update.
PMID- 29374118
TI - Treatment of sheep scab in the UK: preventing the spread of resistant mites.
PMID- 29374119
TI - How to treat Pseudomonas otitis in dogs.
PMID- 29374121
TI - Linguatula serrata in an imported Romanian street dog.
PMID- 29374122
TI - Current status of feline lungworm in the UK.
PMID- 29374123
TI - Health hazards associated with feeding raw foods.
PMID- 29374124
TI - The value of good first-opinion practice.
PMID- 29374125
TI - The value of good first-opinion practice.
PMID- 29374127
TI - Correction.
PMID- 29374126
TI - Continuing the campaign on brachycephalic dogs.
PMID- 29374128
TI - Young scientist award in feline medicine.
PMID- 29374132
TI - Michael Pittaway.
AB - A widely respected veterinary surgeon and an innovator within the profession,
Michael Pittaway was also a man of great charm and huge energy, who applied his
skills across a wide range of interests.
PMID- 29374135
TI - Sulfenylation of Human Liver and Kidney Microsomal Cytochromes P450 and Other
Drug-Metabolizing Enzymes as a Response to Redox Alteration.
AB - The lumen of the endoplasmic reticulum (ER) provides an oxidizing environment to
aid in the formation of disulfide bonds, which is tightly regulated by both
antioxidant proteins and small molecules. On the cytoplasmic side of the ER,
cytochrome P450 (P450) proteins have been identified as a superfamily of enzymes
that are important in the formation of endogenous chemicals as well as in the
detoxication of xenobiotics. Our previous report described oxidative inhibition
of P450 Family 4 enzymes via oxidation of the heme-thiolate cysteine to a
sulfenic acid (-SOH) (Albertolle, M. E. et al. (2017) J. Biol. Chem. 292, 11230
11242). Further proteomic analyses of murine kidney and liver microsomes led to
the finding that a number of other drug-metabolizing enzymes located in the ER
are also redox-regulated in this manner. We expanded our analysis of sulfenylated
enzymes to human liver and kidney microsomes. Evaluation of the sulfenylation,
catalytic activity, and spectral properties of P450s 1A2, 2C8, 2D6, and 3A4 led
to the identification of two classes of redox sensitivity in P450 enzymes: heme
thiolate-sensitive and thiol-insensitive. These findings provide evidence for a
mammalian P450 regulatory mechanism, which may also be relevant to other drug
metabolizing enzymes. (Data are available via ProteomeXchange with identifier
PXD007913.).
PMID- 29374136
TI - Prepubertal Development of GABAergic Transmission to Gonadotropin-Releasing
Hormone (GnRH) Neurons and Postsynaptic Response Are Altered by Prenatal
Androgenization.
AB - Gonadotropin-releasing hormone (GnRH) neurons regulate reproduction through
pulsatile GnRH release. Women with polycystic ovary syndrome (PCOS) have
persistently elevated luteinizing hormone release frequency, reflecting GnRH
release; this exacerbates hyperandrogenemia and disrupted reproductive cycles
that are characteristic of this disorder. Clinical evidence suggests that
neuroendocrine features of PCOS may manifest peripubertally. Adult mice
prenatally exposed to androgens (PNA) mimic several reproductive features of
PCOS. GnRH neurons from these mice have increased firing activity and receive
increased GABAergic transmission, which is excitatory. When changes emerge during
development is unknown. To study the typical postnatal development of GABAergic
transmission and the effects of PNA treatment and sex, whole-cell voltage-clamp
recordings were made of GABAergic postsynaptic currents (PSCs) in GnRH neurons in
brain slices from prepubertal through adult control and PNA female and male mice.
GABAergic transmission was present by 1 week of age in females and males and
increased in frequency, reaching adult levels at 3 and 4 weeks, respectively.
GABAergic PSC frequency was elevated in 3-week-old PNA versus control females.
PSC frequency in both controls and PNA mice was activity independent, suggesting
that PNA induces changes in synapse organization. PNA also alters the functional
response of GnRH neurons to GABA. GABA induced firing in fewer neurons from 3
week-old PNA than control females; membrane potential depolarization induced by
GABA was also reduced in cells from PNA mice at this age. PNA thus induces
changes during development in the presynaptic organization of the GABAergic
network afferent to GnRH neurons as well as the postsynaptic GnRH neuron
response, both of which may contribute to adult reproductive
dysfunction.SIGNIFICANCE STATEMENT The central neuronal network that regulates
reproduction is overactive in polycystic ovary syndrome (PCOS), a leading cause
of infertility. Recent evidence of neuroendocrine dysfunction in midpubertal
girls suggests that the pathophysiological mechanisms underlying PCOS may arise
before pubertal maturation. Prenatal exposure to androgens (PNA) in mice mimics
several neuroendocrine features of PCOS. GABAergic transmission to gonadotropin
releasing hormone (GnRH) neurons is important for reproduction and is increased
in adult PNA mice. The typical development of this network and when changes with
PNA and sex arise relative to puberty are unknown. These studies provide evidence
that PNA alters prepubertal development of the GABAergic network afferent to GnRH
neurons, including both the presynaptic organization and postsynaptic response.
These changes may contribute to reproductive dysfunction in adults.
PMID- 29374137
TI - Inhalation Frequency Controls Reformatting of Mitral/Tufted Cell Odor
Representations in the Olfactory Bulb.
AB - In mammals, olfactory sensation depends on inhalation, which controls activation
of sensory neurons and temporal patterning of central activity. Odor
representations by mitral and tufted (MT) cells, the main output from the
olfactory bulb (OB), reflect sensory input as well as excitation and inhibition
from OB circuits, which may change as sniff frequency increases. To test the
impact of sampling frequency on MT cell odor responses, we obtained whole-cell
recordings from MT cells in anesthetized male and female mice while varying
inhalation frequency via tracheotomy, allowing comparison of inhalation-linked
responses across cells. We characterized frequency effects on MT cell responses
during inhalation of air and odorants using inhalation pulses and also "playback"
of sniffing recorded from awake mice. Inhalation-linked changes in membrane
potential were well predicted across frequency from linear convolution of 1 Hz
responses; and, as frequency increased, near-identical temporal responses could
emerge from depolarizing, hyperpolarizing, or multiphasic MT responses. However,
net excitation was not well predicted from 1 Hz responses and varied
substantially across MT cells, with some cells increasing and others decreasing
in spike rate. As a result, sustained odorant sampling at higher frequencies led
to increasing decorrelation of the MT cell population response pattern over time.
Bulk activation of sensory inputs by optogenetic stimulation affected MT cells
more uniformly across frequency, suggesting that frequency-dependent
decorrelation emerges from odor-specific patterns of activity in the OB network.
These results suggest that sampling behavior alone can reformat early sensory
representations, possibly to optimize sensory perception during repeated
sampling.SIGNIFICANCE STATEMENT Olfactory sensation in mammals depends on
inhalation, which increases in frequency during active sampling of olfactory
stimuli. We asked how inhalation frequency can shape the neural coding of odor
information by recording from projection neurons of the olfactory bulb while
artificially varying odor sampling frequency in the anesthetized mouse. We found
that sampling an odor at higher frequencies led to diverse changes in net
responsiveness, as measured by action potential output, that were not predicted
from low-frequency responses. These changes led to a reorganization of the
pattern of neural activity evoked by a given odorant that occurred preferentially
during sustained, high-frequency inhalation. These results point to a novel
mechanism for modulating early sensory representations solely as a function of
sampling behavior.
PMID- 29374138
TI - A Shared Vision for Machine Learning in Neuroscience.
AB - With ever-increasing advancements in technology, neuroscientists are able to
collect data in greater volumes and with finer resolution. The bottleneck in
understanding how the brain works is consequently shifting away from the amount
and type of data we can collect and toward what we actually do with the data.
There has been a growing interest in leveraging this vast volume of data across
levels of analysis, measurement techniques, and experimental paradigms to gain
more insight into brain function. Such efforts are visible at an international
scale, with the emergence of big data neuroscience initiatives, such as the BRAIN
initiative (Bargmann et al., 2014), the Human Brain Project, the Human Connectome
Project, and the National Institute of Mental Health's Research Domain Criteria
initiative. With these large-scale projects, much thought has been given to data
sharing across groups (Poldrack and Gorgolewski, 2014; Sejnowski et al., 2014);
however, even with such data-sharing initiatives, funding mechanisms, and
infrastructure, there still exists the challenge of how to cohesively integrate
all the data. At multiple stages and levels of neuroscience investigation,
machine learning holds great promise as an addition to the arsenal of analysis
tools for discovering how the brain works.
PMID- 29374139
TI - Predictors for Postoperative Loss of Lumbar Lordosis After Long Fusions
Arthrodesis in Patients with Adult Scoliosis.
AB - BACKGROUND Loss of lumbar lordosis (LL) is closely related to clinical symptoms
and operative complications, however, few studies have identified its predictors.
The purpose of our study was to identify the predictors for loss of LL in
patients with adult scoliosis and provided evidence for surgical decision-making.
MATERIAL AND METHODS There were 69 patients with adult scoliosis who underwent
long fusions arthrodesis from January 2006 to March 2015 included in this
retrospectively study. The patients were divided into two cohorts according the
average loss of LL: cohort LL (loss of LL below the average) and cohort GL (loss
of LL above the average). Multivariate logistic regression analysis and the
receiver operating characteristic curve were used to identify the predictors.
RESULTS There were statistically significant differences between the two cohorts
in preoperative LL (p=0.002), postoperative LL (p=0.036), last follow-up LL
(p<0.001), postoperative loss LL (p<0.001), preoperative SVA (p=0.007), last
follow-up SVA (p=0.018), and pelvic incidence (p=0.016). Preoperative LL <23.5
(OR=0.920, 95% CI=0.870-0.973, p=0.003) and preoperative sagittal vertical axis
>4.28 (OR=1.199, 95% CI=1.007-1.429, p=0.041) had good accuracy to predict
postoperative loss of LL. CONCLUSIONS Loss of LL commonly occurred after long
fusions arthrodesis in patients with adult scoliosis. Postoperative deteriorated
sagittal balance was more frequently than deteriorated coronal balance.
Preoperative LL <23.5 and preoperative SVA >4.28 were the predictors for
postoperative greater loss of LL in patients after long fusions arthrodesis. More
attention should be paid to how to maintain the LL in patients with preoperative
predictors, especially if both the identified predictors are present.
PMID- 29374140
TI - microRNA-874 suppresses tumor proliferation and metastasis in hepatocellular
carcinoma by targeting the DOR/EGFR/ERK pathway.
AB - The delta opioid receptor (DOR) is involved in the regulation of malignant
transformation and tumor progression of hepatocellular carcinoma (HCC). However,
regulation of the DOR in HCC remains poorly defined. We found that miR-874 was
identified as a negative regulator of the DOR, which is a direct and functional
target of miR-874 via its 3' untranslated region (UTR). Moreover, miR-874 was
downregulated in HCC and its expression was inversely correlated with DOR
expression. Downregulation of miR-874 was also associated with larger tumor size,
more vascular invasion, a poor TNM stage, poor tumor differentiation, and
inferior patient outcomes. Functionally, overexpression of miR-874 in the HCC
cell line SK-hep-1 inhibited cell growth, migration, in vitro invasion, and in
vivo tumorigenicity. Furthermore, miR-874 overexpression suppressed the DOR,
resulting in a downregulated epidermal growth factor receptor (EGFR) and
extracellular signal-regulated kinase (ERK) phosphorylation. The EGFR activator
epidermal growth factor (EGF)-can rescue the proliferation and migration
suppression induced by miR-874 overexpression, and the rescue effects of the EGF
were blocked by an ERK inhibitor. Our study results suggest that miRNA-874 is a
negative regulator of the DOR that can suppress tumor proliferation and
metastasis in HCC by targeting the DOR/EGFR/ERK pathway, which may be a potential
target for HCC treatment.
PMID- 29374141
TI - iPSC modeling of severe aplastic anemia reveals impaired differentiation and
telomere shortening in blood progenitors.
AB - Aplastic Anemia (AA) is a bone marrow failure (BMF) disorder, resulting in bone
marrow hypocellularity and peripheral pancytopenia. Severe aplastic anemia (SAA)
is a subset of AA defined by a more severe phenotype. Although the immunological
nature of SAA pathogenesis is widely accepted, there is an increasing recognition
of the role of dysfunctional hematopoietic stem cells in the disease phenotype.
While pediatric SAA can be attributable to genetic causes, evidence is evolving
on previously unrecognized genetic etiologies in a proportion of adults with SAA.
Thus, there is an urgent need to better understand the pathophysiology of SAA,
which will help to inform the course of disease progression and treatment
options. We have derived induced pluripotent stem cell (iPSC) from three
unaffected controls and three SAA patients and have shown that this in vitro
model mimics two key features of the disease: (1) the failure to maintain
telomere length during the reprogramming process and hematopoietic
differentiation resulting in SAA-iPSC and iPSC-derived-hematopoietic progenitors
with shorter telomeres than controls; (2) the impaired ability of SAA-iPSC
derived hematopoietic progenitors to give rise to erythroid and myeloid cells.
While apoptosis and DNA damage response to replicative stress is similar between
the control and SAA-iPSC-derived-hematopoietic progenitors, the latter show
impaired proliferation which was not restored by eltrombopag, a drug which has
been shown to restore hematopoiesis in SAA patients. Together, our data highlight
the utility of patient specific iPSC in providing a disease model for SAA and
predicting patient responses to various treatment modalities.
PMID- 29374142
TI - The BAX/BAK-like protein BOK is a prognostic marker in colorectal cancer.
AB - The intrinsic or mitochondrial apoptosis pathway is controlled by the interaction
of antiapoptotic and pro-apoptotic members of the BCL-2 protein family.
Activation of this death pathway plays a crucial role in cancer progression and
chemotherapy responses. The BCL-2-related ovarian killer (BOK) possesses three
BCL-2 homology domains and has been proposed to act in a similar pro-apoptotic
pathway as the pro-apoptotic proteins BAX and BAK. In this study, we showed that
stage II and III colorectal cancer patients possessed decreased levels of BOK
protein in their tumours compared to matched normal tissue. BOK protein levels in
tumours were also prognostic of clinical outcome but increased BOK protein levels
surprisingly associated with earlier disease recurrence and reduced overall
survival. We found no significant association of BOK protein tumour levels with
ER stress markers GRP78 or GRP94 or with cleaved caspase-3. In contrast, BOK
protein levels correlated with Calreticulin. These data indicate BOK as a
prognostic marker in colorectal cancer and suggest that different activities of
BOK may contribute to cancer progression and prognosis.
PMID- 29374143
TI - N6-methyladenosine links RNA metabolism to cancer progression.
AB - N6-methyladenosine (m6A) is the most abundant mRNA modification. With the
development of antibody-based sequencing technologies and the findings of m6A
related "writers", "erasers", and "readers", the relationships between m6A and
mRNA metabolism are emerging. The m6A modification influences almost every step
of RNA metabolism that comprises mRNA processing, mRNA exporting from nucleus to
cytoplasm, mRNA translation, mRNA decay, and the biogenesis of long-non-coding
RNA (lncRNA) and microRNA (miRNA). Recently, more and more studies have found m6A
is associated with cancer, contributing to the self-renewal of cancer stem cell,
promotion of cancer cell proliferation, and resistance to radiotherapy or
chemotherapy. Inhibitors of m6A-related factors have been explored, and some of
them were identified to inhibit cancer progression, indicating that m6A could be
a target for cancer therapy. In this review, we are trying to summarize the
regulation and function of m6A in human carcinogenesis.
PMID- 29374144
TI - Dual PI3K/mTOR inhibitor BEZ235 as a promising therapeutic strategy against
paclitaxel-resistant gastric cancer via targeting PI3K/Akt/mTOR pathway.
AB - Paclitaxel (PTX) is widely used in the front-line chemotherapy for gastric cancer
(GC), but resistance limits its use. Due to the lack of proper models, mechanisms
underlying PTX resistance in GC were not well studied. Using established PTX
resistant GC cell sublines HGC-27R, we for the first time integrated biological
traits and molecular mechanisms of PTX resistance in GC. Data revealed that PTX
resistant GC cells were characterized by microtubular disorders, an EMT
phenotype, reduced responses to antimitotic drugs, and resistance to apoptosis
(marked by upregulated beta-tubulin III, vimentin, attenuated changes in G2/M
molecules or pro-apoptotic factors in response to antimitotic drugs or apoptotic
inducers, respectively). Activation of the phosphoinositide 3-kinase, the
serine/threonine kinase Akt and mammalian target of rapamycin (PI3K/Akt/mTOR) and
mitogen-activated protein kinase (MAPK) pathways were also observed, which might
be the reason for above phenotypic alternations. In vitro data suggested that
targeting these pathways were sufficient to elicit antitumor responses in PTX
resistant GC, in which the dual PI3K/mTOR inhibitor BEZ235 displayed higher
therapeutic efficiency than the mTOR inhibitor everolimus or the MEK inhibitor
AZD6244. Antitumor effects of BEZ235 were also confirmed in mice bearing HGC-27R
tumors. Thus, these data suggest that PI3K/Akt/mTOR and MAPK pathway inhibition,
especially PI3K/mTOR dual blockade, might be a promising therapeutic strategy
against PTX-resistant GC.
PMID- 29374145
TI - Human papillomavirus E7 induces p63 expression to modulate DNA damage response.
AB - Cervical cancer is the third most common malignancy diagnosed in women worldwide.
The major aetiological factor underlying the malignant transformation of cervical
cells is the persistent infection with high-risk human papillomaviruses (HR-HPV),
with more than 99% of cases expressing viral sequences. Here, we report a
previously unknown mechanism driven by high-risk human papillomavirus E7 protein
to modulate response to DNA damage in cervical cancer cells. Our data shows that
HR-HPV E7 oncoprotein induces the transcription of the p53-family member p63,
which modulates DNA damage response pathways, to facilitate repair of DNA damage.
Based on our findings, we proposed a model, where HR-HPV could interfere with the
sensitivity of transformed cells to radiation therapy by modulating DNA damage
repair efficiency. Importantly, we have shown for the first time a critical role
for p63 in response to DNA damage in cervical cancer cells.
PMID- 29374146
TI - Neutralization of CD95 ligand protects the liver against ischemia-reperfusion
injury and prevents acute liver failure.
AB - Ischemia-reperfusion injury is a common pathological process in liver surgery and
transplantation, and has considerable impact on the patient outcome and survival.
Death receptors are important mediators of ischemia-reperfusion injury, notably
the signaling pathways of the death receptor CD95 (Apo-1/Fas) and its
corresponding ligand CD95L. This study investigates, for the first time, whether
the inhibition of CD95L protects the liver against ischemia-reperfusion injury.
Warm ischemia was induced in the median and left liver lobes of C57BL/6 mice for
45 min. CD95Fc, a specific inhibitor of CD95L, was applied prior to ischemia.
Hepatic injury was assessed via consecutive measurements of liver serum enzymes,
histopathological assessment of apoptosis and necrosis and caspase assays at 3,
6, 12, 18 and 24 h after reperfusion. Serum levels of liver enzymes, as well as
characteristic histopathological changes and caspase assays indicated pronounced
features of apoptotic and necrotic liver damage 12 and 24 h after ischemia
reperfusion injury. Animals treated with the CD95L-blocker CD95Fc, exhibited a
significant reduction in the level of serum liver enzymes and showed both
decreased histopathological signs of parenchymal damage and decreased caspase
activation. This study demonstrates that inhibition of CD95L with the CD95L
blocker CD95Fc, is effective in protecting mice from liver failure due to
ischemia-reperfusion injury of the liver. CD95Fc could therefore emerge as a new
pharmacological therapy for liver resection, transplantation surgery and acute
liver failure.
PMID- 29374147
TI - Involvement of both caspase-8 and Noxa-activated pathways in endoplasmic
reticulum stress-induced apoptosis in triple-negative breast tumor cells.
AB - Recent evidences indicate that triple-negative breast cancer (TNBC) cells with a
mesenchymal phenotype show a basal activation of the unfolded protein response
(UPR) that increases their sensitivity to endoplasmic reticulum (ER) stress
although the underlying cell death mechanism remains largely unexplored. Here we
show that both caspase-8-dependent and -independent apoptotic mechanisms are
activated in TNBC cells undergoing sustained ER stress. Activation of the
extrinsic apoptotic pathway by ER stress involves ATF4-dependent upregulation of
tumor necrosis factor-related apoptosis-inducing ligand receptor 2 (TRAIL
R2/DR5). In addition, accumulation of BH3-only protein Noxa at the mitochondria
further contributes to apoptosis following ER stress in TNBC cells. Accordingly,
simultaneous abrogation of both extrinsic and intrinsic apoptotic pathways is
required to inhibit ER stress-induced apoptosis in these cells. Importantly,
persistent FLICE-inhibitory protein (FLIP) expression plays an adaptive role to
prevent early activation of the extrinsic pathway of apoptosis upon ER stress.
Overall, our data show that ER stress induces cell death through a pleiotropic
mechanism in TNBC cells and suggest that targeting FLIP expression may be an
effective approach to sensitize these tumor cells to ER stress-inducing agents.
PMID- 29374148
TI - Downregulation of annexin A3 inhibits tumor metastasis and decreases drug
resistance in breast cancer.
AB - Annexin A3 (ANXA3) is dysregulated and plays an important role in various
cancers. However, the role of ANXA3 in breast cancer is still unclear. Here, we
observed that the expression level of ANXA3 was significantly upregulated in
breast cancer tissues. ANXA3 knockdown inhibited cell invasion but promoted cell
proliferation in both in vitro and in vivo assays. Furthermore, we found that
ANXA3 knockdown inhibited the NFkappaB pathway via upregulating IkappaBalpha,
resulting in mesenchymal-epithelial transition (MET) and a heterogeneity change
of breast cancer stem cells (BCSCs). In addition, we demonstrated that ANXA3
knockdown increased the sensitivity of breast cancer cells to doxorubicin by
increasing the drug uptake. The combination of ANXA3 knockdown and doxorubicin
treatment simultaneously inhibited tumor growth and metastasis in vivo. This
study described the role and mechanisms of ANXA3 in regulating BCSCs and breast
cancer growth and metastasis, indicating that downregulating ANXA3 together with
chemotherapy might be a novel therapeutic strategy for treating breast cancer.
PMID- 29374149
TI - FLI1 and PKC co-activation promote highly efficient differentiation of human
embryonic stem cells into endothelial-like cells.
AB - Rationale-endothelial cells (ECs) play important roles in various regeneration
processes and can be used in a variety of therapeutic applications, such as
cardiac regeneration, gene therapy, tissue-engineered vascular grafts and
prevascularized tissue transplants. ECs can be acquired from pluripotent and
adult stem cells. To acquire ECs from human embryonic stem cells (hESCs) in a
fast, efficient and economic manner. We established a conditional overexpression
system in hESCs based on 15 transcription factors reported to be responsible for
hematopoiesis lineage. Among them, only overexpression of FLI1 could induce hESCs
to a hematopoietic lineage. Moreover, simultaneous overexpression of FLI1 and
activation of PKC rapidly and efficiently induced differentiation of hESCs into
induced endothelial cells (iECs) within 3 days, while neither FLI1 overexpression
nor PKC activation alone could derive iECs from hESCs. During induction, hESCs
differentiated into spindle-like cells that were consistent in appearance with
ECs. Flow cytometric analysis revealed that 92.2-98.9% and 87.2-92.6% of these
cells were CD31+ and CD144+, respectively. Expression of vascular-specific genes
dramatically increased, while the expression of pluripotency genes gradually
decreased during induction. iECs incorporated acetylated low-density
lipoproteins, strongly expressed vWF and bound UEA-1. iECs also formed capillary
like structures both in vitro and in vivo. RNA-seq analysis verified that these
cells closely resembled their in vivo counterparts. Our results showed that co
activation of FLI1 and PKC could induce differentiation of hESCs into iECs in a
fast, efficient and economic manner.
PMID- 29374150
TI - Primed atypical ductal hyperplasia-associated fibroblasts promote cell growth and
polarity changes of transformed epithelium-like breast cancer MCF-7 cells via miR
200b/c-IKKbeta signaling.
AB - Cancer-associated fibroblasts (CAFs) support tumorigenesis by stimulating cancer
cell proliferation, and invasion, but how the premalignant stromal fibroblasts
trigger epithelial changes remain unclear. We demonstrate that atypical ductal
hyperplasia-associated fibroblasts (AHFs) are one kind of activated fibroblasts
and stimulate cell growth and polarity change of epithelium-like tumor cell MCF-7
as CAFs-like fibroblasts. Microarray shows miR-200b and miR-200c are
downregulated during AHFs and CAFs, and contribute to stromal fibroblast
activity. Additionally, miR-200b/c with target gene IKKbeta (inhibitor of nuclear
factor kappa-B kinase beta) control PAI-1 (plasminogen activator inhibitor-1)
expression to regulate growth and polarity changes of MCF-7 cells through NF
kappaB pathway. Exploring the difference of AHFs in premalignant transformation
is crucial for understanding the pathobiology of breast cancer progression.
PMID- 29374151
TI - Beyond a phenomenological description of magnetostriction.
AB - Magnetostriction, the strain induced by a change in magnetization, is a universal
effect in magnetic materials. Owing to the difficulty in unraveling its
microscopic origin, it has been largely treated phenomenologically. Here, we show
how the source of magnetostriction-the underlying magnetoelastic stress-can be
separated in the time domain, opening the door for an atomistic understanding. X
ray and electron diffraction are used to separate the sub-picosecond spin and
lattice responses of FePt nanoparticles. Following excitation with a 50-fs laser
pulse, time-resolved X-ray diffraction demonstrates that magnetic order is lost
within the nanoparticles with a time constant of 146 fs. Ultrafast electron
diffraction reveals that this demagnetization is followed by an anisotropic,
three-dimensional lattice motion. Analysis of the size, speed, and symmetry of
the lattice motion, together with ab initio calculations accounting for the
stresses due to electrons and phonons, allow us to reveal the magnetoelastic
stress generated by demagnetization.
PMID- 29374152
TI - Distinct epigenetic programs regulate cardiac myocyte development and disease in
the human heart in vivo.
AB - Epigenetic mechanisms and transcription factor networks essential for
differentiation of cardiac myocytes have been uncovered. However, reshaping of
the epigenome of these terminally differentiated cells during fetal development,
postnatal maturation, and in disease remains unknown. Here, we investigate the
dynamics of the cardiac myocyte epigenome during development and in chronic heart
failure. We find that prenatal development and postnatal maturation are
characterized by a cooperation of active CpG methylation and histone marks at cis
regulatory and genic regions to shape the cardiac myocyte transcriptome. In
contrast, pathological gene expression in terminal heart failure is accompanied
by changes in active histone marks without major alterations in CpG methylation
and repressive chromatin marks. Notably, cis-regulatory regions in cardiac
myocytes are significantly enriched for cardiovascular disease-associated
variants. This study uncovers distinct layers of epigenetic regulation not only
during prenatal development and postnatal maturation but also in diseased human
cardiac myocytes.
PMID- 29374153
TI - Gamma and beta bursts during working memory readout suggest roles in its
volitional control.
AB - Working memory (WM) activity is not as stationary or sustained as previously
thought. There are brief bursts of gamma (~50-120 Hz) and beta (~20-35 Hz)
oscillations, the former linked to stimulus information in spiking. We examined
these dynamics in relation to readout and control mechanisms of WM. Monkeys held
sequences of two objects in WM to match to subsequent sequences. Changes in beta
and gamma bursting suggested their distinct roles. In anticipation of having to
use an object for the match decision, there was an increase in gamma and spiking
information about that object and reduced beta bursting. This readout signal was
only seen before relevant test objects, and was related to premotor activity.
When the objects were no longer needed, beta increased and gamma decreased
together with object spiking information. Deviations from these dynamics
predicted behavioral errors. Thus, beta could regulate gamma and the information
in WM.
PMID- 29374154
TI - SIRT1 induces epithelial-mesenchymal transition by promoting autophagic
degradation of E-cadherin in melanoma cells.
AB - Melanoma is highly metastatic, and understanding of its molecular mechanism is
urgently needed for the development of therapeutic targets and prognostic
assessment for metastatic melanoma. SIRT1 is a nicotinamide adenine dinucleotide
(NAD+)-dependent protein deacetylase, belonging to the mammalian sirtuin family.
It has been reported that SIRT1 is associated with metastasis in various cancers.
However, the molecular mechanism of SIRT1 in melanoma metastasis remains to be
clarified. Here we report that SIRT1 induces the epithelial-mesenchymal
transition (EMT) by accelerating E-cadherin degradation via autophagy and
facilitates melanoma metastasis. Initially, we found that SIRT1 expression was
frequently elevated in metastatic melanoma compared with primary melanoma. In
addition, SIRT1 induced the EMT and promoted cell migration and invasion by
decreasing E-cadherin expression. Further work demonstrated that SIRT1
accelerated the autophagic degradation of E-cadherin through deacetylation of
Beclin 1. In addition, inhibition of autophagy recovered E-cadherin expression
and suppressed cell migration and invasion by delaying the degradation of E
cadherin in SIRT1-overexpressing cells. Overall, our findings reveal a novel
molecular mechanism for SIRT1 in melanoma metastasis, indicating that SIRT1 may
serve as a viable therapeutic target for metastatic melanoma.
PMID- 29374155
TI - Kruppel-like factor 4-dependent Staufen1-mediated mRNA decay regulates cortical
neurogenesis.
AB - Kruppel-like factor 4 (Klf4) is a zinc-finger-containing protein that plays a
critical role in diverse cellular physiology. While most of these functions
attribute to its role as a transcription factor, it is postulated that Klf4 may
play a role other than transcriptional regulation. Here we demonstrate that Klf4
loss in neural progenitor cells (NPCs) leads to increased neurogenesis and
reduced self-renewal in mice. In addition, Klf4 interacts with RNA-binding
protein Staufen1 (Stau1) and RNA helicase Ddx5/17. They function together as a
complex to maintain NPC self-renewal. We report that Klf4 promotes Stau1
recruitment to the 3'-untranslated region of neurogenesis-associated mRNAs,
increasing Stau1-mediated mRNA decay (SMD) of these transcripts. Stau1 depletion
abrogated SMD of target mRNAs and rescued neurogenesis defects in Klf4
overexpressing NPCs. Furthermore, Ddx5/17 knockdown significantly blocked Klf4
mediated mRNA degradation. Our results highlight a novel molecular mechanism
underlying stability of neurogenesis-associated mRNAs controlled by the
Klf4/Ddx5/17/Stau1 axis during mammalian corticogenesis.
PMID- 29374156
TI - Caging tin oxide in three-dimensional graphene networks for superior volumetric
lithium storage.
AB - Tin and its compounds hold promise for the development of high-capacity anode
materials that could replace graphitic carbon used in current lithium-ion
batteries. However, the introduced porosity in current electrode designs to
buffer the volume changes of active materials during cycling does not afford high
volumetric performance. Here, we show a strategy leveraging a sulfur sacrificial
agent for controlled utility of void space in a tin oxide/graphene composite
anode. In a typical synthesis using the capillary drying of graphene hydrogels,
sulfur is employed with hard tin oxide nanoparticles inside the contraction
hydrogels. The resultant graphene-caged tin oxide delivers an ultrahigh
volumetric capacity of 2123 mAh cm-3 together with good cycling stability. Our
results suggest not only a conversion-type composite anode that allows for good
electrochemical characteristics, but also a general synthetic means to
engineering the packing density of graphene nanosheets for high energy storage
capabilities in small volumes.
PMID- 29374157
TI - Targeting EHMT2 reverses EGFR-TKI resistance in NSCLC by epigenetically
regulating the PTEN/AKT signaling pathway.
AB - Epidermal growth factor receptor tyrosine kinase inhibitor (EGFR-TKI) resistance
is a major obstacle in the treatment of non-small cell lung cancer (NSCLC).
Epigenetic alterations have been shown to be involved in NSCLC oncogenesis;
however, their function in EGFR-TKI resistance remains uncharacterized. Here, we
found that an EHMT2 inhibitor, UNC0638, can significantly inhibit cell growth and
induce apoptosis in EGFR-TKI-resistant NSCLC cells. Additionally, we also found
that EHMT2 expression and enzymatic activity levels were elevated in EGFR-TKI
resistant NSCLC cells. Moreover, we determined that genetic or pharmacological
inhibition of EHMT2 expression enhanced TKI sensitivity and suppressed migration
and tumor sphere formation in EGFR-TKI-resistant NSCLC cells. Further
investigation revealed that EHMT2 contributed to PTEN transcriptional repression
and thus facilitated AKT pathway activation. The negative relationship between
EHMT2 and PTEN was confirmed by our clinical study. Furthermore, we determined
that combination treatment with the EHMT2 inhibitor and Erlotinib resulted in
enhanced antitumor effects in a preclinical EGFR-TKI-resistance model. We also
found that high EHMT2 expression along with low PTEN expression can predict poor
overall survival in patients with NSCLC. In summary, our findings showed that
EHMT2 facilitated EGFR-TKI resistance by regulating the PTEN/AKT pathway in NSCLC
cells, suggesting that EHMT2 may be a target in the clinical treatment of EGFR
TKI-resistant NSCLC.
PMID- 29374158
TI - Global observations of reflectors in the mid-mantle with implications for mantle
structure and dynamics.
AB - Seismic tomography indicates that flow is commonly deflected in the mid-mantle.
However, without a candidate mineral phase change, causative mechanisms remain
controversial. Deflection of flow has been linked to radial changes in viscosity
and/or composition, but a lack of global observations precludes comprehensive
tests by seismically detectable features. Here we perform a systematic global
scale interrogation of mid-mantle seismic reflectors with lateral size 500-2000
km and depths 800-1300 km. Reflectors are detected globally with variable depth,
lateral extent and seismic polarity and identify three distinct seismic domains
in the mid-mantle. Near-absence of reflectors in seismically fast regions may
relate to dominantly subvertical heterogeneous slab material or small impedance
contrasts. Seismically slow thermochemical piles beneath the Pacific generate
numerous reflections. Large reflectors at multiple depths within neutral regions
possibly signify a compositional or textural transition, potentially linked to
long-term slab stagnation. This variety of reflector properties indicates
widespread compositional heterogeneity at mid-mantle depths.
PMID- 29374159
TI - The responsively decreased PKM2 facilitates the survival of pancreatic cancer
cells in hypoglucose.
AB - Cancer cells predominantly produce energy at a high rate of glycolysis even in
aerobic environment. It is termed as Warburg effect and is necessary for the
tumorigenesis. Studies showed pyruvate kinase M2 (PKM2), a key regulator of the
Warburg effect, is overexpressed and involved in numerous cancers. However, the
expression and function of PKM2 in pancreatic cancer (PC) remain undefined. Our
results showed that PKM2 is overexpressed in the PC tissue compared to the
peritumoral tissue. Unexpected, the downregulation of PKM2 did not affect the
proliferation, invasion, and chemoresistance of PC cells. Since pancreatic cancer
is a hypovascular tumor with comparably insufficient energy supply, we further
investigate the relationship between PKM2 and hypoglucose. Interestingly, we
further discovered that decreased expression of PKM2 was detected in PC samples
with lower microvessel density as well as in PC cells treated with hypoglucose
condition (0.5 mM). Furthermore, the downregulation of PKM2 facilitated, while
the upregulation of PKM2 inhibited, PC cells survival during hypoglucose. We
further revealed that the repressed PKM2 induced autophagy, high NADPH/NADP
ratio, and biomacromolecule production, but reduced ROS accumulation. Moreover,
AMPKalpha1 knockdown repressed the autophagy and survival of PC cells during
hypoglucose, which were promoted by PKM2 knockdown. Collectively, our study
indicates that decreased PKM2 diverts glucose metabolism to biomacromolecule
accumulation and antioxidants generation during glucose deprivation. This
metabolism alteration elevates AMPKalpha1-dependent autophagy, which facilitates
PC cell survival during glucose deprivation. Therefore, functions of PKM2 are
complicated and cannot be defined as oversimplified promoter or inhibitor in PC.
PMID- 29374160
TI - Dendritic core-shell nickel-iron-copper metal/metal oxide electrode for efficient
electrocatalytic water oxidation.
AB - Electrochemical water splitting requires efficient water oxidation catalysts to
accelerate the sluggish kinetics of water oxidation reaction. Here, we report a
promisingly dendritic core-shell nickel-iron-copper metal/metal oxide electrode,
prepared via dealloying with an electrodeposited nickel-iron-copper alloy as a
precursor, as the catalyst for water oxidation. The as-prepared core-shell nickel
iron-copper electrode is characterized with porous oxide shells and metallic
cores. This tri-metal-based core-shell nickel-iron-copper electrode exhibits a
remarkable activity toward water oxidation in alkaline medium with an
overpotential of only 180 mV at a current density of 10 mA cm-2. The core-shell
NiFeCu electrode exhibits pH-dependent oxygen evolution reaction activity on the
reversible hydrogen electrode scale, suggesting that non-concerted proton
electron transfers participate in catalyzing the oxygen evolution reaction. To
the best of our knowledge, the as-fabricated core-shell nickel-iron-copper is one
of the most promising oxygen evolution catalysts.
PMID- 29374161
TI - Female sexual behavior in mice is controlled by kisspeptin neurons.
AB - Sexual behavior is essential for the survival of many species. In female rodents,
mate preference and copulatory behavior depend on pheromones and are synchronized
with ovulation to ensure reproductive success. The neural circuits driving this
orchestration in the brain have, however, remained elusive. Here, we demonstrate
that neurons controlling ovulation in the mammalian brain are at the core of a
branching neural circuit governing both mate preference and copulatory behavior.
We show that male odors detected in the vomeronasal organ activate kisspeptin
neurons in female mice. Classical kisspeptin/Kiss1R signaling subsequently
triggers olfactory-driven mate preference. In contrast, copulatory behavior is
elicited by kisspeptin neurons in a parallel circuit independent of Kiss1R
involving nitric oxide signaling. Consistent with this, we find that kisspeptin
neurons impinge onto nitric oxide-synthesizing neurons in the ventromedial
hypothalamus. Our data establish kisspeptin neurons as a central regulatory hub
orchestrating sexual behavior in the female mouse brain.
PMID- 29374162
TI - A dual role for the N-terminal domain of the IL-3 receptor in cell signalling.
AB - The interleukin-3 (IL-3) receptor is a cell-surface heterodimer that links the
haemopoietic, vascular and immune systems and is overexpressed in acute and
chronic myeloid leukaemia progenitor cells. It belongs to the type I cytokine
receptor family in which the alpha-subunits consist of two fibronectin III-like
domains that bind cytokine, and a third, evolutionarily unrelated and
topologically conserved, N-terminal domain (NTD) with unknown function. Here we
show by crystallography that, while the NTD of IL3Ralpha is highly mobile in the
presence of IL-3, it becomes surprisingly rigid in the presence of IL-3 K116W.
Mutagenesis, biochemical and functional studies show that the NTD of IL3Ralpha
regulates IL-3 binding and signalling and reveal an unexpected role in preventing
spontaneous receptor dimerisation. Our work identifies a dual role for the NTD in
this cytokine receptor family, protecting against inappropriate signalling and
dynamically regulating cytokine receptor binding and function.
PMID- 29374163
TI - Mitochondrial levels determine variability in cell death by modulating apoptotic
gene expression.
AB - Fractional killing is the main cause of tumour resistance to chemotherapy. This
phenomenon is observed even in genetically identical cancer cells in homogeneous
microenvironments. To understand this variable resistance, here we investigate
the individual responses to TRAIL in a clonal population of HeLa cells using live
cell microscopy and computational modelling. We show that the cellular
mitochondrial content determines the apoptotic fate and modulates the time to
death, cells with higher mitochondrial content are more prone to die. We find
that all apoptotic protein levels are modulated by the mitochondrial content.
Modelling the apoptotic network, we demonstrate that these correlations, and
especially the differential control of anti- and pro-apoptotic protein pairs,
confer mitochondria a powerful discriminatory capacity of apoptotic fate. We find
a similar correlation between the mitochondria and apoptotic proteins in colon
cancer biopsies. Our results reveal a different role of mitochondria in apoptosis
as the global regulator of apoptotic protein expression.
PMID- 29374164
TI - Molecular snapshots of APE1 proofreading mismatches and removing DNA damage.
AB - Human apurinic/apyrimidinic (AP) endonuclease 1 (APE1) is an essential DNA repair
enzyme which uses a single active site to process DNA damage via two distinct
activities: (1) AP-endonuclease and (2) 3' to 5' exonuclease. The AP-endonuclease
activity cleaves at AP-sites, while the exonuclease activity excises bulkier 3'
mismatches and DNA damage to generate clean DNA ends suitable for downstream
repair. Molecular details of the exonuclease reaction and how one active site can
accommodate various toxic DNA repair intermediates remains elusive despite being
biologically important. Here, we report multiple high-resolution APE1-DNA
structural snapshots revealing how APE1 removes 3' mismatches and DNA damage by
placing the 3' group within the intra-helical DNA cavity via a non-base flipping
mechanism. This process is facilitated by a DNA nick, instability of a
mismatched/damaged base, and bending of the DNA. These results illustrate how
APE1 cleanses DNA dirty-ends to generate suitable substrates for downstream
repair enzymes.
PMID- 29374166
TI - Pronounced centennial-scale Atlantic Ocean climate variability correlated with
Western Hemisphere hydroclimate.
AB - Surface-ocean circulation in the northern Atlantic Ocean influences Northern
Hemisphere climate. Century-scale circulation variability in the Atlantic Ocean,
however, is poorly constrained due to insufficiently-resolved paleoceanographic
records. Here we present a replicated reconstruction of sea-surface temperature
and salinity from a site sensitive to North Atlantic circulation in the Gulf of
Mexico which reveals pronounced centennial-scale variability over the late
Holocene. We find significant correlations on these timescales between salinity
changes in the Atlantic, a diagnostic parameter of circulation, and widespread
precipitation anomalies using three approaches: multiproxy synthesis,
observational datasets, and a transient simulation. Our results demonstrate links
between centennial changes in northern Atlantic surface-circulation and
hydroclimate changes in the adjacent continents over the late Holocene. Notably,
our findings reveal that weakened surface-circulation in the Atlantic Ocean was
concomitant with well-documented rainfall anomalies in the Western Hemisphere
during the Little Ice Age.
PMID- 29374165
TI - Automated NMR resonance assignments and structure determination using a minimal
set of 4D spectra.
AB - Automated methods for NMR structure determination of proteins are continuously
becoming more robust. However, current methods addressing larger, more complex
targets rely on analyzing 6-10 complementary spectra, suggesting the need for
alternative approaches. Here, we describe 4D-CHAINS/autoNOE-Rosetta, a complete
pipeline for NOE-driven structure determination of medium- to larger-sized
proteins. The 4D-CHAINS algorithm analyzes two 4D spectra recorded using a
single, fully protonated protein sample in an iterative ansatz where common NOEs
between different spin systems supplement conventional through-bond
connectivities to establish assignments of sidechain and backbone resonances at
high levels of completeness and with a minimum error rate. The 4D-CHAINS
assignments are then used to guide automated assignment of long-range NOEs and
structure refinement in autoNOE-Rosetta. Our results on four targets ranging in
size from 15.5 to 27.3 kDa illustrate that the structures of proteins can be
determined accurately and in an unsupervised manner in a matter of days.
PMID- 29374167
TI - Discovery of new molecular entities able to strongly interfere with Hsp90 C
terminal domain.
AB - Heat shock protein 90 (Hsp90) is an ATP dependent molecular chaperone deeply
involved in the complex network of cellular signaling governing some key
functions, such as cell proliferation and survival, invasion and angiogenesis.
Over the past years the N-terminal protein domain has been fully investigated as
attractive strategy against cancer, but despite the many efforts lavished in the
field, none of the N-terminal binders (termed "classical inhibitors"), currently
in clinical trials, have yet successfully reached the market, because of the
detrimental heat shock response (HSR) that showed to induce; thus, recently, the
selective inhibition of Hsp90 C-terminal domain has powerfully emerged as a more
promising alternative strategy for anti-cancer therapy, not eliciting this cell
rescue cascade. However, the structural complexity of the target protein and,
mostly, the lack of a co-crystal structure of C-terminal domain-ligand, essential
to drive the identification of new hits, represent the largest hurdles in the
development of new selective C-terminal inhibitors. Continuing our investigations
on the identification of new anticancer drug candidates, by using an orthogonal
screening approach, here we describe two new potent C-terminal inhibitors able to
induce cancer cell death and a considerable down-regulation of Hsp90 client
oncoproteins, without triggering the undesired heat shock response.
PMID- 29374168
TI - Downregulation of MCL-1 and upregulation of PUMA using mTOR inhibitors enhance
antitumor efficacy of BH3 mimetics in triple-negative breast cancer.
AB - Triple-negative breast cancer (TNBC) shows a higher malignant and poorer clinical
outcome compared with other breast cancer subtypes. Albeit that chemotherapy is
the first choice for TNBC treatment, rapid emergence of chemoresistance and
variability of chemotherapeutic responses in TNBC patients call for novel
therapeutic strategies. Here, we reported evidences highlighting that combination
of BH3 mimetics and mTOR inhibitors could be a promising therapeutic strategy to
improve TNBC treatment. Our results showed that combination of the BH3 mimetic
ABT263 and typical mTOR inhibitors, BEZ235 or AZD8055, leads to efficient
apoptosis in vitro. Tumor regression was significantly improved by combination
therapy compared with either drug alone in the xenograft model. Further
mechanistic investigations revealed that mTOR inhibitors induced the suppression
of MCL-1; concomitantly, the expression level of PUMA was significantly
upregulated in a FOXO3a-dependent manner. The specific changes of MCL-1 and PUMA
facilitated the release of the apoptotic regulators, such as BIM, BAX, and BAK,
to induce the activation of mitochondrial apoptotic pathway, thereby sensitizing
the ABT263 activity in TNBC. Therefore, our findings provided evidences that mTOR
inhibitors can enhance antitumor efficacy of BH3 mimetics via downregulating MCL
1 and upregulating PUMA in TNBC; it could be a promising therapeutic strategy to
treat TNBC.
PMID- 29374169
TI - Strong indirect coupling between graphene-based mechanical resonators via a
phonon cavity.
AB - Mechanical resonators are promising systems for storing and manipulating
information. To transfer information between mechanical modes, either direct
coupling or an interface between these modes is needed. In previous works, strong
coupling between different modes in a single mechanical resonator and direct
interaction between neighboring mechanical resonators have been demonstrated.
However, coupling between distant mechanical resonators, which is a crucial
request for long-distance classical and quantum information processing using
mechanical devices, remains an experimental challenge. Here, we report the
experimental observation of strong indirect coupling between separated mechanical
resonators in a graphene-based electromechanical system. The coupling is mediated
by a far-off-resonant phonon cavity through virtual excitations via a Raman-like
process. By controlling the resonant frequency of the phonon cavity, the indirect
coupling can be tuned in a wide range. Our results may lead to the development of
gate-controlled all-mechanical devices and open up the possibility of long
distance quantum mechanical experiments.
PMID- 29374170
TI - Room temperature magneto-optic effect in silicon light-emitting diodes.
AB - In weakly spin-orbit coupled materials, the spin-selective nature of
recombination can give rise to large magnetic-field effects, e.g. on the electro
luminescence of molecular semiconductors. Although silicon has weak spin-orbit
coupling, observing spin-dependent recombination through magneto
electroluminescence is challenging: silicon's indirect band-gap causes an
inefficient emission and it is difficult to separate spin-dependent phenomena
from classical magneto-resistance effects. Here we overcome these challenges and
measure magneto-electroluminescence in silicon light-emitting diodes fabricated
via gas immersion laser doping. These devices allow us to achieve efficient
emission while retaining a well-defined geometry, thus suppressing classical
magnetoresistance effects to a few percent. We find that electroluminescence can
be enhanced by up to 300% near room temperature in a seven Tesla magnetic field,
showing that the control of the spin degree of freedom can have a strong impact
on the efficiency of silicon LEDs.
PMID- 29374171
TI - Lipopolysaccharide O-antigen delays plant innate immune recognition of Xylella
fastidiosa.
AB - Lipopolysaccharides (LPS) are among the known pathogen-associated molecular
patterns (PAMPs). LPSs are potent elicitors of PAMP-triggered immunity (PTI), and
bacteria have evolved intricate mechanisms to dampen PTI. Here we demonstrate
that Xylella fastidiosa (Xf), a hemibiotrophic plant pathogenic bacterium,
possesses a long chain O-antigen that enables it to delay initial plant
recognition, thereby allowing it to effectively skirt initial elicitation of
innate immunity and establish itself in the host. Lack of the O-antigen modifies
plant perception of Xf and enables elicitation of hallmarks of PTI, such as ROS
production specifically in the plant xylem tissue compartment, a tissue not
traditionally considered a spatial location of PTI. To explore translational
applications of our findings, we demonstrate that pre-treatment of plants with Xf
LPS primes grapevine defenses to confer tolerance to Xf challenge.
PMID- 29374172
TI - Subcortical evidence for a contribution of arousal to fMRI studies of brain
activity.
AB - Cortical activity during periods of rest is punctuated by widespread, synchronous
events in both electrophysiological and hemodynamic signals, but their behavioral
relevance remains unclear. Here we report that these events correspond to
momentary drops in cortical arousal and are associated with activity changes in
the basal forebrain and thalamus. Combining fMRI and electrophysiology in
macaques, we first establish that fMRI transients co-occur with spectral shifts
in local field potentials (LFPs) toward low frequencies. Applying this knowledge
to fMRI data from the human connectome project, we find that the fMRI transients
are strongest in sensory cortices. Surprisingly, the positive cortical transients
occur together with negative transients in focal subcortical areas known to be
involved with arousal regulation, most notably the basal forebrain. This
subcortical involvement, combined with the prototypical pattern of LFP spectral
shifts, suggests that commonly observed widespread variations in fMRI cortical
activity are associated with momentary drops in arousal.
PMID- 29374174
TI - Generalized Fano lineshapes reveal exceptional points in photonic molecules.
AB - The optical behavior of coupled systems, in which the breaking of parity and time
reversal symmetry occurs, is drawing increasing attention to address the physics
of the exceptional point singularity, i.e., when the real and imaginary parts of
the normal-mode eigenfrequencies coincide. At this stage, fascinating phenomena
are predicted, including electromagnetic-induced transparency and phase
transitions. To experimentally observe the exceptional points, the near-field
coupling to waveguide proposed so far was proved to work only in peculiar cases.
Here, we extend the interference detection scheme, which lies at the heart of the
Fano lineshape, by introducing generalized Fano lineshapes as a signature of the
exceptional point occurrence in resonant-scattering experiments. We investigate
photonic molecules and necklace states in disordered media by means of a near
field hyperspectral mapping. Generalized Fano profiles in material science could
extend the characterization of composite nanoresonators, semiconductor
nanostructures, and plasmonic and metamaterial devices.
PMID- 29374173
TI - Differential coding of reward and movement information in the dorsomedial
striatal direct and indirect pathways.
AB - The direct and indirect pathways of the basal ganglia have long been thought to
mediate behavioral promotion and inhibition, respectively. However, this classic
dichotomous model has been recently challenged. To better understand neural
processes underlying reward-based learning and movement control, we recorded from
direct (dSPNs) and indirect (iSPNs) pathway spiny projection neurons in the
dorsomedial striatum of D1-Cre and D2-Cre mice performing a probabilistic
Pavlovian conditioning task. dSPNs tend to increase activity while iSPNs decrease
activity as a function of reward value, suggesting the striatum represents value
in the relative activity levels of dSPNs versus iSPNs. Lick offset-related
activity increase is largely dSPN selective, suggesting dSPN involvement in
suppressing ongoing licking behavior. Rapid responses to negative outcome and
previous reward-related responses are more frequent among iSPNs than dSPNs,
suggesting stronger contributions of iSPNs to outcome-dependent behavioral
adjustment. These findings provide new insights into striatal neural circuit
operations.
PMID- 29374176
TI - Decadal climate predictability in the southern Indian Ocean captured by SINTEX-F
using a simple SST-nudging scheme.
AB - Decadal climate variability in the southern Indian Ocean has great influences on
southern African climate through modulation of atmospheric circulation. Although
many efforts have been made to understanding physical mechanisms, predictability
of the decadal climate variability, in particular, the internally generated
variability independent from external atmospheric forcing, remains poorly
understood. This study investigates predictability of the decadal climate
variability in the southern Indian Ocean using a coupled general circulation
model, called SINTEX-F. The ensemble members of the decadal reforecast
experiments were initialized with a simple sea surface temperature (SST) nudging
scheme. The observed positive and negative peaks during late 1990s and late 2000s
are well reproduced in the reforecast experiments initiated from 1994 and 1999,
respectively. The experiments initiated from 1994 successfully capture warm SST
and high sea level pressure anomalies propagating from the South Atlantic to the
southern Indian Ocean. Also, the other experiments initiated from 1999 skillfully
predict phase change from a positive to negative peak. These results suggest that
the SST-nudging initialization has the essence to capture the predictability of
the internally generated decadal climate variability in the southern Indian
Ocean.
PMID- 29374175
TI - Three-dimensional reconstruction and NURBS-based structured meshing of coronary
arteries from the conventional X-ray angiography projection images.
AB - Despite its two-dimensional nature, X-ray angiography (XRA) has served as the
gold standard imaging technique in the interventional cardiology for over five
decades. Accordingly, demands for tools that could increase efficiency of the XRA
procedure for the quantitative analysis of coronary arteries (CA) are constantly
increasing. The aim of this study was to propose a novel procedure for three
dimensional modeling of CA from uncalibrated XRA projections. A comprehensive
mathematical model of the image formation was developed and used with a robust
genetic algorithm optimizer to determine the calibration parameters across XRA
views. The frames correspondences between XRA acquisitions were found using a
partial-matching approach. Using the same matching method, an efficient procedure
for vessel centerline reconstruction was developed. Finally, the problem of
meshing complex CA trees was simplified to independent reconstruction and meshing
of connected branches using the proposed nonuniform rational B-spline (NURBS)
based method. Because it enables structured quadrilateral and hexahedral meshing,
our method is suitable for the subsequent computational modelling of CA
physiology (i.e. coronary blood flow, fractional flow reverse, virtual stenting
and plaque progression). Extensive validations using digital, physical, and
clinical datasets showed competitive performances and potential for further
application on a wider scale.
PMID- 29374177
TI - Nanopillars with E-field accessible multi-state (N >= 4) magnetization having
giant magnetization changes in self-assembled BiFeO3-CoFe2O4/Pb(Mg1/3Nb2/3)
38at%PbTiO3 heterostructures.
AB - We have deposited self-assembled BiFeO3-CoFe2O4 (BFO-CFO) thin films on (100)
oriented SrRuO3-buffered Pb(Mg1/3Nb2/3)0.62Ti0.38O3 (PMN-38PT) single crystal
substrates. These heterostructures were used for the study of real-time changes
in the magnetization with applied DC electric field (E DC ). With increasing E DC
, a giant magnetization change was observed along the out-of-plane (easy) axis.
The induced magnetization changes of the CFO nanopillars in the BFO/CFO layer
were about DeltaM/M rDC = 93% at E DC = -3 kv/cm. A giant converse
magnetoelectric (CME) coefficient of 1.3 * 10-7 s/m was estimated from the data.
By changing E DC , we found multiple(N >= 4) unique possible values of a stable
magnetization with memory on the removal of the field.
PMID- 29374179
TI - High-resolution patterning of solution-processable materials via externally
engineered pinning of capillary bridges.
AB - Electronics based on solution-processable materials are promising for
applications in many fields which stimulated enormous research interest in liquid
drying and pattern formation. However, assembling of structure with
submicrometre/nanometre resolution through liquid process is very challenging. We
show a simple method to rapidly generate polymer structures with deep
submicrometre-sized features over large areas. In this method, a solution film is
dried on a substrate under a suspended flexible template with groove/ridge
surface topography. Upon solvent evaporation, the solution splits in the grooves
and forms capillary bridges between the template and substrate, which are firmly
pinned by the edges of the template grooves. This groove pinning stabilizes the
contact lines, thereby allowing the formation of fine patterned structures with
high aspect ratios which were used to fabricate various functional materials and
electronic devices. We also produced secondary self-assembled nano-stripe
patterns with resolutions of about 50 nm on the primary lines.
PMID- 29374180
TI - c-FLIP is a target of the E3 ligase deltex1 in gastric cancer.
AB - The ubiquitin E3 ligase DELTEX1 (DTX1) is specifically downregulated in gastric
cancer tissues, and expression of DTX1 is linked to better prognoses and survival
in gastric cancer. Cellular FLICE inhibitory protein (c-FLIP) is known for its
pivotal role in the resistance of cancer cells to death receptor-induced cell
death. Here, we show that DTX1 is an E3 ligase for c-FLIP in gastric cancer
cells. DTX1 promoted c-FLIP downregulation. Overexpression of DTX1 sensitized
gastric cancer cells to TRAIL-induced apoptosis, whereas DTX1-knockdown
attenuated apoptosis induction. DTX1 binds c-FLIPL and directs it into the
endosome-lysosomal pathway for proteasome-independent degradation. Moreover,
induction of DTX1 in AGS cells by geldanamycin conferred susceptibility of those
cells to TRAIL-induced apoptosis. Our results reveal a tumor-suppressive role for
DTX1 and suggest a new approach to increasing TRAIL efficacy by raising DTX1
levels in gastric cancer therapy. DTX1 also enhanced c-FLIP degradation and FasL
induced and TRAIL-induced apoptosis in T cells, suggesting that DTX1 constitutes
one of the physiological mechanisms regulating c-FLIP stability.
PMID- 29374178
TI - Characterizing hepatitis C virus epidemiology in Egypt: systematic reviews, meta
analyses, and meta-regressions.
AB - Egypt is the most affected nation by hepatitis C virus (HCV) and needs a
comprehensive characterization of HCV epidemiology to inform the scale-up of
treatment and prevention programs. Systematic reviews, meta-analyses, and meta
regressions were conducted. A total of 25 incidence, 259 prevalence, and 47
genotype studies were identified. Incidence and prevalence levels were high
across all populations. Genotype 4 accounted for 94.1% of infections with a
relative Shannon Diversity Index of only 14.4%. Pooled mean HCV prevalence was
estimated at 11.9% (95% CI = 11.1-12.6%) among the general population, 55.6% (95%
CI = 49.4-61.7%) among populations at high risk, 14.3% (95% CI = 10.3-18.8%)
among populations at intermediate risk, 56.0% (95% CI = 50.4-61.6%) among
populations with liver-related conditions, and 35.0% (95% CI = 27.3-43.1%) among
special clinical populations. Mean HCV viremic rate was estimated at 66.7% (95%
CI = 61.7-71.5%). Meta-regression indicated 6% lower odds for HCV prevalence for
each one-year increment in publication year (AOR = 0.94; 95% CI = 0.92-0.96). HCV
prevalence is high with evidence for ongoing transmission mainly through
healthcare. Genotype diversity is low with genotype 4 dominance. Two-thirds of
antibody-positive Egyptians are chronically infected and need treatment. Clinical
populations should be prioritized for screening. Despite the large-scale
epidemic, prevalence appears to be declining rapidly consistent with a
contracting epidemic.
PMID- 29374181
TI - Development and characterisation of a panel of phosphatidylinositide 3-kinase -
mammalian target of rapamycin inhibitor resistant lung cancer cell lines.
AB - The PI3K-mTOR pathway is involved in regulating all hallmarks of cancer, and is
often dysregulated in NSCLC, making it an attractive therapeutic target in this
setting. Acquired resistance to PI3K-mTOR inhibition is a major hurdle to
overcome in the success of PI3K-mTOR targeted agents. H460, A549, and H1975
resistant cells were generated by prolonged treatment in culture with Apitolisib
(GDC-0980), a dual PI3K-mTOR inhibitor over a period of several months, from age
matched parent cells. Resistance was deemed to have developed when a log fold
difference in IC50 had been achieved. Resistant cell lines also exhibited
resistance to another widely investigated PI3K-mTOR dual inhibitor; Dactolisib
(BEZ235). Cell lines were characterised at the level of mRNA (expression array
profiling expression of >150 genes), miRNA (expression array profiling of 2100
miRNAs), protein (bottoms-up label-free mass spectrometry) and phosphoprotein
(expression array profiling of 84 phospho/total proteins). Key alterations were
validated by qPCR and Western blot. H1975 cells were initially most sensitive to
Apitolisib (GDC-0980), but developed resistance more quickly than the other cell
lines, perhaps due to increased selective pressure from the impressive initial
effect. In-depth molecular profiling suggested epithelial-mesenchymal transition
(EMT) may play a role in resistance to PI3K-mTOR dual inhibition in NSCLC.
PMID- 29374182
TI - HIV-1 Infection in Cyprus, the Eastern Mediterranean European Frontier: A Densely
Sampled Transmission Dynamics Analysis from 1986 to 2012.
AB - Since HIV-1 treatment is increasingly considered an effective preventionstrategy,
it is important to study local HIV-1 epidemics to formulate tailored
preventionpolicies. The prevalence of HIV-1 in Cyprus was historically low until
2005. To investigatethe shift in epidemiological trends, we studied the
transmission dynamics of HIV-1 in Cyprususing a densely sampled Cypriot HIV-1
transmission cohort that included 85 percent ofHIV-1-infected individuals linked
to clinical care between 1986 and 2012 based on detailedclinical,
epidemiological, behavioral and HIV-1 genetic information. Subtyping
andtransmission cluster reconstruction were performed using maximum likelihood
and Bayesianmethods, and the transmission chain network was linked to the
clinical, epidemiological andbehavioral data. The results reveal that for the
main HIV-1 subtype A1 and B sub-epidemics,young and drug-naive HIV-1-infected
individuals in Cyprus are driving the dynamics of thelocal HIV-1 epidemic. The
results of this study provide a better understanding of thedynamics of the HIV-1
infection in Cyprus, which may impact the development of preventionstrategies.
Furthermore, this methodology for analyzing densely sampled transmissiondynamics
is applicable to other geographic regions to implement effective HIV-1
preventionstrategies in local settings.
PMID- 29374184
TI - Creating a mouse model resistant to induced ischemic stroke and cardiovascular
damage.
AB - Vascular prostanoids, isomerized from an intermediate prostaglandin (PG), H2,
produced by cyclooxygenase (COX), exert various effects on the vascular system,
both protective and destructive. During endothelial dysfunction, vascular
protector prostacyclin/prostaglandin I2 (PGI2) is decreased, while inflammatory
PGE2 and thrombotic TXA2 are increased. Therefore, our research aim was to
reverse the event by controlling PGH2 metabolism by generating an in vivo model
via enzymatic engineering of COX-1 and prostacyclin synthase (PGIS). The COX-1
and PGIS genes were linked to a 10-residue amino acid linker to form a Single
chain Enzyme Complex (SCHEC), COX-1-10aa-PGIS. Transgenic (CP-Tg) mice in a FVB/N
background were generated using the pronuclear microinjection method. We first
confirmed mRNA and protein expression of COX-1-10aa-PGIS in various CP-Tg mouse
tissues, as well as upregulation of circulating PGI2. We then examined the
cardiovascular function of these mice. Our CP-Tg mice exhibited marked resistance
to vascular assault through induced carotid arterial blockage, acute thrombotic
stroke and arterial arrest, angiotensin-induced peripheral vasoconstriction, and
hepatic lipid accumulation after receiving a high-fat diet. They also had a
longer lifespan compared with wild-type mice. This study raises the possibility
of fighting cardiovascular diseases by regulating cellular arachidonic acid
derived PGH2 metabolites using enzymatic engineering.
PMID- 29374183
TI - Structural insight into molecular mechanism of poly(ethylene terephthalate)
degradation.
AB - Plastics, including poly(ethylene terephthalate) (PET), possess many desirable
characteristics and thus are widely used in daily life. However, non
biodegradability, once thought to be an advantage offered by plastics, is causing
major environmental problem. Recently, a PET-degrading bacterium, Ideonella
sakaiensis, was identified and suggested for possible use in degradation and/or
recycling of PET. However, the molecular mechanism of PET degradation is not
known. Here we report the crystal structure of I. sakaiensis PETase (IsPETase) at
1.5 A resolution. IsPETase has a Ser-His-Asp catalytic triad at its active site
and contains an optimal substrate binding site to accommodate four
monohydroxyethyl terephthalate (MHET) moieties of PET. Based on structural and
site-directed mutagenesis experiments, the detailed process of PET degradation
into MHET, terephthalic acid, and ethylene glycol is suggested. Moreover, other
PETase candidates potentially having high PET-degrading activities are suggested
based on phylogenetic tree analysis of 69 PETase-like proteins.
PMID- 29374185
TI - Synergistic effect of a novel autophagy inhibitor and Quizartinib enhances cancer
cell death.
AB - Drug combinations have been increasingly applied in chemotherapy as a strategy to
enhance the efficacy of anti-cancer treatment. The appropriate drug combinations
may achieve synergistic effects beyond monotherapies alone. AC220 (Quizartinib),
an FLT3 receptor tyrosine kinase inhibitor, developed for the treatment of AML,
has been tested in phase II human clinical trials. However, AC220 as a
monotherapy is not efficacious enough. In this study, we performed a small
molecule screening of 12 640 compounds in order to find a compound that increase
the AC220 efficacy in chemotherapy. We identified that TAK-165, a HER2 inhibitor,
even when used at low nanomolar doses in combination with AC220, was able to
induce cell death in different cancer cells, but not in non-cancer cell lines. We
showed that TAK-165 and AC220 act synergistically to downregulate key signaling
pathways and potently induce cancer cell death. Furthermore, we demonstrated that
TAK-165 inhibited autophagy in a HER2-independent manner. Finally, we showed that
the combination of TAK-165 and AC220 induced cell death in cancer cells through
the activation of chaperone-mediated autophagy. Overall, these findings support
the strategy for using AC220 and an autophagy inhibitor such as TAK-165 in a
combinatorial treatment to enhance the efficacy of cancer therapies.
PMID- 29374186
TI - Three-dimensional histochemistry and imaging of human gingiva.
AB - In the present study, 3D histochemistry and imaging methodology is described for
human gingiva to analyze its vascular network. Fifteen human gingiva samples
without signs of inflammation were cleared using a mixture of 2-parts benzyl
benzoate and 1-part benzyl alcohol (BABB), after being immunofluorescently
stained for CD31, marker of endothelial cells to visualize blood vessels in
combination with fluorescent DNA dyes. Samples were imaged in 3D with the use of
confocal microscopy and light-sheet microscopy and image processing. BABB
clearing caused limited tissue shrinkage 13 +/- 7% as surface area and 24 +/- 1%
as volume. Fluorescence remained intact in BABB-cleared gingiva samples and light
sheet microscopy was an excellent tool to image gingivae whereas confocal
microscopy was not. Histochemistry on cryostat sections of gingiva samples after
3D imaging validated structures visualized in 3D. Three-dimensional images showed
the vascular network in the stroma of gingiva with one capillary loop in each
stromal papilla invading into the epithelium. The capillary loops were tortuous
with structural irregularities that were not apparent in 2D images. It is
concluded that 3D histochemistry and imaging methodology described here is a
promising novel approach to study structural aspects of human gingiva in health
and disease.
PMID- 29374187
TI - Downy mildew symptoms on grapevines can be reduced by volatile organic compounds
of resistant genotypes.
AB - Volatile organic compounds (VOCs) play a crucial role in the communication of
plants with other organisms and are possible mediators of plant defence against
phytopathogens. Although the role of non-volatile secondary metabolites has been
largely characterised in resistant genotypes, the contribution of VOCs to
grapevine defence mechanisms against downy mildew (caused by Plasmopara viticola)
has not yet been investigated. In this study, more than 50 VOCs from grapevine
leaves were annotated/identified by headspace-solid-phase microextraction gas
chromatography-mass spectrometry analysis. Following P. viticola inoculation, the
abundance of most of these VOCs was higher in resistant (BC4, Kober 5BB, SO4 and
Solaris) than in susceptible (Pinot noir) genotypes. The post-inoculation
mechanism included the accumulation of 2-ethylfuran, 2-phenylethanol, beta
caryophyllene, beta-cyclocitral, beta-selinene and trans-2-pentenal, which all
demonstrated inhibitory activities against downy mildew infections in water
suspensions. Moreover, the development of downy mildew symptoms was reduced on
leaf disks of susceptible grapevines exposed to air treated with 2-ethylfuran, 2
phenylethanol, beta-cyclocitral or trans-2-pentenal, indicating the efficacy of
these VOCs against P. viticola in receiver plant tissues. Our data suggest that
VOCs contribute to the defence mechanisms of resistant grapevines and that they
may inhibit the development of downy mildew symptoms on both emitting and
receiving tissues.
PMID- 29374188
TI - Impact of left atrial appendage morphology on thrombus formation after successful
left atrial appendage occlusion: Assessment with cardiac-computed-tomography.
AB - A standardized imaging algorithm by cardiac computed tomography angiography
(cCTA) (LOVE-view) was used in 30 patients to evaluate the influence of different
left atrial appendage (LAA) morphologies on development of thrombosis in the LAA
6 months after implantation of an occlusion device (Watchman or Amplatzer-Cardiac
Plug) in patients with non-valvular atrial fibrillation, CHA2DS2-VASc-Score >1
and a contraindication for oral anticoagulation. The distribution of different
LAA morphologies was 40% windsock, 17% broccoli and 43% chicken wing type. There
was no significant difference in the level of thrombosis regarding LAA morphology
or the type of chosen occlusion device. The rates of complete LAA thrombosis was
40% in broccoli type, 33% in windsock and 15% in chicken wing type. Independently
of LAA type, 13% had none and 60% incomplete thrombosis. The ratio of density
(LA/LAA) was 0.14 in patients with complete thrombosis and 0.67 in those with
none or incomplete thrombosis. cCTA and the LOVE-view-imaging-algorithm were
shown to be a valuable method for standardized imaging in clinical routine in a
greater set of patients. Surprisingly thrombosis of the occluded LAA was still in
progress in most cases at 6 months, whereas further studies are needed defining
its clinical consequences, especially for the selection of the optimal post
procedural antithrombotic treatment strategy.
PMID- 29374189
TI - Electronic Structure and Band Gap Engineering of Two-Dimensional Octagon
Nitrogene.
AB - A new phase of nitrogen with octagon structure has been predicted in our previous
study, which we referred to as octagon-nitrogene (ON). In this work, we make
further investigations of its stability and electronic structures. The phonon
dispersion has no imaginary phonon modes, which indicates that ON is dynamically
stable. Using ab initio molecular dynamic simulations, this structure is found to
be stable up to room temperature and possibly higher, and ripples that are
similar to that of graphene are formed on the ON sheet. Based on the density
functional theory calculation, we find that single layer ON is a two-dimension
wide gap semiconductor with an indirect band gap of 4.7 eV. This gap can be
decreased by stacking due to the interlayer interactions. Biaxial tensile strain
and perpendicular electric field can greatly influence the band structure of ON,
in which the gap decreases and eventually closes as the biaxial tensile strain or
the perpendicular electric field increases. In other words, both biaxial tensile
strain and a perpendicular electric field can drive the insulator-to-metal
transition, and thus can be used to engineer the band gap of ON. From our
results, we see that ON has potential applications in many fields, including
electronics, semiconductors, optics and spintronics.
PMID- 29374190
TI - Real-Time Characterization Using in situ RHEED Transmission Mode and TEM for
Investigation of the Growth Behaviour of Nanomaterials.
AB - A novel characterization technique using both in situ reflection high-energy
electron diffraction (RHEED) transmission mode and transmission electron
microscopy (TEM) has been developed to investigate the growth behaviour of
semiconductor nanostructures. RHEED employed in transmission mode enables the
acquisition of structural information during the growth of nanostructures such as
nanorods. Such real-time observation allows the investigation of growth
mechanisms of various nanomaterials that is not possible with conventional ex
situ analytical methods. Additionally, real-time monitoring by RHEED transmission
mode offers a complete range of information when coupled with TEM, providing
structural and chemical information with excellent spatial resolution, leading to
a better understanding of the growth behaviour of nanomaterials. Here, as a
representative study using the combined technique, the nucleation and
crystallization of InAs nanorods and the epitaxial growth of InxGa1-xAs(GaAs)
shell layers on InAs nanorods are explored. The structural changes in the InAs
nanorods at the early growth stage caused by the transition of the local growth
conditions and the strain relaxation processes that occur during epitaxial
coating of the shell layers are shown. This technique advances our understanding
of the growth behaviour of various nanomaterials, which allows the realization of
nanostructures with novel properties and their application in future electronics
and optoelectronics.
PMID- 29374191
TI - High-resolution seismic event detection using local similarity for Large-N
arrays.
AB - We develop a novel method for seismic event detection that can be applied to
large-N arrays. The method is based on a new detection function named local
similarity, which quantifies the signal consistency between the examined station
and its nearest neighbors. Using the 5200-station Long Beach nodal array, we
demonstrate that stacked local similarity functions can be used to detect seismic
events with amplitudes near or below noise levels. We apply the method to one
week continuous data around the 03/11/2011 Mw 9.1 Tohoku-Oki earthquake, to
detect local and distant events. In the 5-10 Hz range, we detect various events
of natural and anthropogenic origins, but without a clear increase in local
seismicity during and following the surface waves of the Tohoku-Oki mainshock. In
the 1-Hz low-pass-filtered range, we detect numerous events, likely representing
aftershocks from the Tohoku-Oki mainshock region. This high-resolution detection
technique can be applied to both ultra-dense and regular array recordings for
monitoring ultra-weak micro-seismicity and detecting unusual seismic events in
noisy environments.
PMID- 29374193
TI - A new bound on polymer quantization via an opto-mechanical setup.
AB - The existence of a minimal measurable length as a characteristic length in the
Planck scale is one of the main features of quantum gravity and has been widely
explored in the context. Various different deformations of spacetime have been
employed successfully for the purpose. However, polymer quantization approach is
a relatively new and dynamic field towards the quantum gravity phenomenology,
which emerges from the symmetric sector of the loop quantum gravity. In this
article, we extend the standard ideas of polymer quantization to find a new and
tighter bound on the polymer deformation parameter. Our protocol relies on an
opto-mechanical experimental setup that was originally proposed to explore some
interesting phenomena by embedding the minimal length into the standard canonical
commutation relation. We extend this scheme to probe the polymer length deformed
canonical commutation relation of the center of mass mode of a mechanical
oscillator with a mass around the Planck scale. The method utilizes the novelty
of exchanging the relevant mechanical information with a high intensity optical
pulse inside an optical cavity. We also demonstrate that our proposal is within
the reach of the current technologies and, thus, it could uncover a decent
realization of quantum gravitational phenomena thorough a simple table-top
experiment.
PMID- 29374192
TI - Novel mechanisms of Collagenase Santyl Ointment (CSO) in wound macrophage
polarization and resolution of wound inflammation.
AB - Collagenases are useful in enzymatic wound debridement. Clostridial collagenase,
marketed as Collagenase Santyl Ointment (CSO), is FDA approved for such use.
Building on the scientific premise that collagenases as well as collagen
degradation products may regulate immune cell function, we sought to investigate
the potential role of CSO in wound inflammation. We tested the hypothesis that in
addition to enacting debridement, CSO contributes to the resolution of persistent
wound inflammation. Wound macrophages were isolated from PVA sponges loaded with
CSO or petrolatum and implanted in mice. Significant increase in pro-reparative
and decrease in pro-inflammatory polarization was noted in macrophages of acute
as well as diabetic wounds. Wound macrophages from CSO-treated group displayed
increased production of anti-inflammatory cytokines IL-10 and TGF-beta, and
decreased levels of pro-inflammatory cytokines TNF-alpha and IL-1beta. The active
ingredient of CSO, CS-API, induced the expression of mphiheal /M(IL-4)
polarization markers ex vivo. CS-API treatment attenuated transactivation of NF
kappaB and significantly induced STAT6 phosphorylation. A significant role of a
novel PGE2-EP4 pathway in CS-API induced STAT6 activation and the mphiheal /M(IL
4) polarization was identified. Taken together, findings of this work reposition
CSO as a potential agent that may be effective in resolving wound inflammation,
including diabetic wounds.
PMID- 29374194
TI - 2-Phenylquinazolinones as dual-activity tankyrase-kinase inhibitors.
AB - Tankyrases (TNKSs) are enzymes specialized in catalyzing poly-ADP-ribosylation of
target proteins. Several studies have validated TNKSs as anti-cancer drug targets
due to their regulatory role in Wnt/beta-catenin pathway. Recently a lot of
effort has been put into developing more potent and selective TNKS inhibitors and
optimizing them towards anti-cancer agents. We noticed that some 2
phenylquinazolinones (2-PQs) reported as CDK9 inhibitors were similar to
previously published TNKS inhibitors. In this study, we profiled this series of 2
PQs against TNKS and selected kinases that are involved in the Wnt/beta-catenin
pathway. We found that they were much more potent TNKS inhibitors than they were
CDK9/kinase inhibitors. We evaluated the compound selectivity to tankyrases over
the ARTD enzyme family and solved co-crystal structures of the compounds with
TNKS2. Comparative structure-based studies of the catalytic domain of TNKS2 with
selected CDK9 inhibitors and docking studies of the inhibitors with two kinases
(CDK9 and Akt) revealed important structural features, which could explain the
selectivity of the compounds towards either tankyrases or kinases. We also
discovered a compound, which was able to inhibit tankyrases, CDK9 and Akt kinases
with equal uM potency.
PMID- 29374195
TI - Restrained management of copper level enhances the antineoplastic activity of
imatinib in vitro and in vivo.
AB - The present study was designed to investigate if elevated copper level can be
targeted to enhance the efficacy of a significant anticancer drug, imatinib
(ITB). The antineoplastic activity of this drug was assessed in the HepG2, HEK
293, MCF-7 and MDA-MD-231 cells targeting elevated copper level as their common
drug target. The cell lines were treated with the different doses of copper
chloride (Cu II) and disulfiram (DSF) alone as well as in their combinations with
the drug for 24 h in standard culture medium and conditions. The treated cells
were subjected to various assays including MTT, PARP, p-53, caspase-7, caspase-3,
LDH and single cell electrophoresis. The study shows that DSF and Cu (II)
synergizes the anticancer activity of ITB to a significant extent in a dose
specific way as evidenced by the combinations treated groups. Furthermore, the
same treatment strategy was employed in cancer-induced rats in which the
combinations of ITB-DSF and ITB-Cu II showed enhanced antineoplastic activity as
compared to ITB alone. However, DSF was more effective than Cu (II) as an
adjuvant to the drug. Hence, restrained manipulation of copper level in tumor
cells can orchestrate the redox and molecular dispositions inside the cells
favoring the induction of apoptosis.
PMID- 29374196
TI - Predicting non-melanoma skin cancer via a multi-parameterized artificial neural
network.
AB - Ultraviolet radiation (UVR) exposure and family history are major associated risk
factors for the development of non-melanoma skin cancer (NMSC). The objective of
this study was to develop and validate a multi-parameterized artificial neural
network based on available personal health information for early detection of
NMSC with high sensitivity and specificity, even in the absence of known UVR
exposure and family history. The 1997-2015 NHIS adult survey data used to train
and validate our neural network (NN) comprised of 2,056 NMSC and 460,574 non
cancer cases. We extracted 13 parameters for our NN: gender, age, BMI, diabetic
status, smoking status, emphysema, asthma, race, Hispanic ethnicity,
hypertension, heart diseases, vigorous exercise habits, and history of stroke.
This study yielded an area under the ROC curve of 0.81 and 0.81 for training and
validation, respectively. Our results (training sensitivity 88.5% and specificity
62.2%, validation sensitivity 86.2% and specificity 62.7%) were comparable to a
previous study of basal and squamous cell carcinoma prediction that also included
UVR exposure and family history information. These results indicate that our NN
is robust enough to make predictions, suggesting that we have identified novel
associations and potential predictive parameters of NMSC.
PMID- 29374197
TI - A comparative study of fruit and vegetable consumption and physical activity
among adolescents in 49 Low-and-Middle-Income Countries.
AB - Physical inactivity and low consumption of fruits and vegetables (FV) during
adolescence may persist through adulthood, putting adolescents at risk of
developing chronic diseases. Although studies from high-income countries have
reported differences in FV consumption and physical activity (PA) between
adolescent boys and girls, few exist from low-and-middle-income countries
(LMICs). In this study, we examined patterns of FV consumption and PA among
adolescent boys and girls in LMICs. Country selection was based on availability
of Global School-Based Student Health Survey (GSHS) data from 2004 to 2013. The
total analytic sample was 164,771 adolescents from 49 LMICs. Descriptive
statistics were generated to determine adolescents meeting the World Health
Organization (WHO) recommendations for FV and PA. A Rao-Scott adjusted chi-square
statistic was computed to assess gender differences. Less than 30% of adolescents
across all countries met the WHO guidelines for FV consumption or PA. Morocco
(29.5%) and India (29.5%) however had the highest percentage of adolescents
meeting recommendations for FV and PA, respectively. Adolescent boys were more
active than girls, and this difference was more notable in the Middle East and
North African region. Adolescents achieving the WHO recommendations for daily
consumption of FV and PA were consistently low in all countries.
PMID- 29374198
TI - Color and time perception: Evidence for temporal overestimation of blue stimuli.
AB - The perceived duration of a visual stimulus depends on various features, such as
its size, shape, and movement. Potential effects of stimulus color have not been
investigated in sufficient detail yet, but the well-known effects of arousal on
time perception suggest that arousing hues, such as red, might induce an
overestimation of duration. By means of a two-interval duration discrimination
task in the sub-second range, we investigated whether participants overestimate
the duration of red stimuli in comparison to blue stimuli, while controlling for
differences in brightness (individual adjustments by means of flicker photometry)
and saturation (colorimetric adjustment in terms of the CIELAB color space).
Surprisingly, our results show an overestimation of the duration of blue compared
to red stimuli (indicated by a shift of the point of subjective equality), even
though the red stimuli were rated as being more arousing. The precision
(variability) of duration judgments, i.e., the duration difference limen, did not
differ between red and blue stimuli, questioning an explanation in terms of
attentional processes.
PMID- 29374199
TI - AmPEP: Sequence-based prediction of antimicrobial peptides using distribution
patterns of amino acid properties and random forest.
AB - Antimicrobial peptides (AMPs) are promising candidates in the fight against
multidrug-resistant pathogens owing to AMPs' broad range of activities and low
toxicity. Nonetheless, identification of AMPs through wet-lab experiments is
still expensive and time consuming. Here, we propose an accurate computational
method for AMP prediction by the random forest algorithm. The prediction model is
based on the distribution patterns of amino acid properties along the sequence.
Using our collection of large and diverse sets of AMP and non-AMP data (3268 and
166791 sequences, respectively), we evaluated 19 random forest classifiers with
different positive:negative data ratios by 10-fold cross-validation. Our optimal
model, AmPEP with the 1:3 data ratio, showed high accuracy (96%), Matthew's
correlation coefficient (MCC) of 0.9, area under the receiver operating
characteristic curve (AUC-ROC) of 0.99, and the Kappa statistic of 0.9.
Descriptor analysis of AMP/non-AMP distributions by means of Pearson correlation
coefficients revealed that reduced feature sets (from a full-featured set of 105
to a minimal-feature set of 23) can result in comparable performance in all
respects except for some reductions in precision. Furthermore, AmPEP outperformed
existing methods in terms of accuracy, MCC, and AUC-ROC when tested on benchmark
datasets.
PMID- 29374200
TI - Interplay between TETs and microRNAs in the adult brain for memory formation.
AB - 5-hydroxymethylation (5-hmC) is an epigenetic modification on DNA that results
from the conversion of 5-methylcytosine by Ten-Eleven Translocation (TET)
proteins. 5-hmC is widely present in the brain and is subjected to dynamic
regulation during development and upon neuronal activity. It was recently shown
to be involved in memory processes but currently, little is known about how it is
controlled in the brain during memory formation. Here, we show that Tet3 is
selectively up-regulated by activity in hippocampal neurons in vitro, and after
formation of fear memory in the hippocampus. This is accompanied by a decrease in
miR-29b expression that, through complementary sequences, regulates the level of
Tet3 by preferential binding to its 3'UTR. We newly reveal that SAM68, a nuclear
RNA-binding protein known to regulate splicing, acts upstream of miR-29 by
modulating its biogenesis. Together, these findings identify novel players in the
adult brain necessary for the regulation of 5-hmC during memory formation.
PMID- 29374202
TI - Chirality recognition of winding vine-shaped heterobiaryls with molecular
asymmetry. Kinetic and dynamic kinetic resolution by Shi's asymmetric
epoxidation.
AB - The chirality of winding vine-shaped heterobiaryls with molecular asymmetry is
recognized by a sugar-based chiral oxidant. Kinetic resolution of (+/-)
bisbenzoimidazole bearing an olefin moiety takes place with Shi's asymmetric
epoxidation to observe krel value up to ca. 35 affording the corresponding
epoxide. The reaction of a (+/-)-bithiophene derivative also recognized the
chirality to give the corresponding epoxide with er of 96:4 at 39% conversion.
Dynamic kinetic resolution is found to take place when unsymmetrical biaryl
composed of benzoimidazole/thiophene is subjected to Shi's epoxidation, whose
conversion of the racemic substrate exceeds to 50%.
PMID- 29374201
TI - C-reactive protein and pentraxin-3 binding of factor H-like protein 1 differs
from complement factor H: implications for retinal inflammation.
AB - Retinal inflammation plays a key role in the progression of age-related macular
degeneration (AMD), a condition that leads to loss of central vision. The
deposition of the acute phase pentraxin C-reactive protein (CRP) in the macula
activates the complement system, thereby contributing to dysregulated
inflammation. The complement protein factor H (FH) can bind CRP and down-regulate
an inflammatory response. However, it is not known whether a truncated form of
FH, called factor H-like protein 1 (FHL-1), which plays a significant regulatory
role in the eye, also interacts with CRP. Here, we compare the binding properties
of FHL-1 and FH to both CRP and the related protein pentraxin-3 (PTX3). We find
that, unlike FH, FHL-1 can bind pro-inflammatory monomeric CRP (mCRP) as well as
the circulating pentameric form. Furthermore, the four-amino acid C-terminal tail
of FHL-1 (not present in FH) plays a role in mediating its binding to mCRP. PTX3
was found to be present in the macula of donor eyes and the AMD-associated Y402H
polymorphism altered the binding of FHL-1 to PTX3. Our findings reveal that the
binding characteristics of FHL-1 differ from those of FH, likely underpinning
independent immune regulatory functions in the context of the human retina.
PMID- 29374203
TI - Effect of secondary phase on the electromagnetic shielding effectiveness of
magnesium alloy.
AB - The microstructure, electrical conductivity, and electromagnetic interference
(EMI) shielding effectiveness (SE) of Mg-xZn and Mg-xSn (x = 3,5) alloys prepared
under different rolling and heat treatment conditions were systematically
investigated to understand the effect of secondary-phase orientation on the
electromagnetic-shielding property of magnesium alloys. Alloys were rolled to
form basal textures and then subjected to different durations of solid-solution
treatment and aging to induce the precipitation of secondary-phase particles
along a specific direction. Experimental results indicated that in Mg-Zn and Mg
Sn alloys, secondary phases precipitated along directions perpendicular and
parallel to the basal plane, respectively. When the direction of the incident
electromagnetic wave is perpendicular to the basal plane, precipitates in Mg-Sn
alloy parallel to the basal plane improve SE. The increment in SE is mainly
attributed to the improvement in the reflection and multiple reflection losses of
incident electromagnetic waves, which are caused by increasing the amounts of
precipitates with specific orientations. Mg-5Sn alloy subjected to 16 h of
solution treatment at 480 degrees C and 60 h of artificial aging at 170 degrees
C for 60 h exhibited the maximum value of 107-89 dB and maximum increment in SE
of 13 dB at 1200 MHz.
PMID- 29374204
TI - A retrospective clinical comparison of daptomycin vs daptomycin and a beta-lactam
antibiotic for treating vancomycin-resistant Enterococcus faecium bloodstream
infections.
AB - There is limited clinical evidence to support the combination of daptomycin and
beta-lactam antibiotics (DAP + BLA) for treatment of vancomycin-resistant
enterococci (VRE) bloodstream infections (BSI). We conducted a prospective
observational cohort study of VRE-BSI during 2010-2015. The primary endpoint was
mortality at the end of treatment. We included 114 patients who received DAP for
VRE-BSI. Of these 87 (76.3%) received DAP + BLA. There were no significant
differences in mortality between the DAP and DAP + BLA groups on univariable
analysis (10/27 vs. 34/87, P = 0.85). A subgroup analysis of patients with
enterococcal DAP minimum inhibitory concentrations (MICs) <=2 mg/L, revealed that
those treated with DAP + BLA had a lower mortality (adjusted hazard ratio [aHR],
0.23; 95% confidence interval [CI], 0.06-0.93; P = 0.04) after adjustment for
other significant predictors of mortality, including the DAP dose. In addition,
patients receiving high-dose (>=9 mg/kg) DAP + BLA independently had a better
survival than those receiving low-dose DAP alone (aHR = 5.16), low-dose DAP + BLA
(aHR = 5.39), and high-dose DAP alone (aHR = 19.01) (P < 0.05 for all
comparisons). For patients with VRE-BSIs, the DAP MIC of the isolate and the DAP
dose influence the effect of DAP + BLA on outcome. A high-dose DAP + BLA might
improve survival. These findings support the use of high-dose DAP + BLA for
treatment of VRE-BSI.
PMID- 29374205
TI - Vibrio cholerae embraces two major evolutionary traits as revealed by targeted
gene sequencing.
AB - Vibrio cholerae inhabits aquatic environments worldwide and has over 200
recognized serogroups classified by O-polysaccharide specificity. Here, we report
that V. cholerae selects either of two genetic traits during their evolution.
Sequencing of the specific gene locus MS6_A0927 revealed that 339 of 341 strains
of V. cholerae and closely related Vibrio species originating from 34 countries
over a century carried either metY (M) (~1,269 bp) or luxR-hchA (LH) (~1,600 bp)
genes, and consequently those vibrios were separated into two clusters, M (45.4%)
and LH (54.6%). Only two strains contained both M and LH in the same locus.
Moreover, extensive polymorphisms in those genes were detected in M and LH with
79 and 46 sequence variations, respectively. V. cholerae O1 strains isolated from
cholera outbreaks worldwide, and some non-O1 strains evolving from O1 via
exchange of genes encoding cell surface polysaccharides possessed LH alleles.
Analysis of polymorphisms in the gene locus implicated a high degree of genetic
diversity and identical subpopulations among the V. cholerae species.
PMID- 29374206
TI - Transcriptomic characterization and potential marker development of contrasting
sugarcane cultivars.
AB - Sugarcane (Saccharum officinarum L.) is an important crop for sugar production
and bioenergy worldwide. In this study, we performed transcriptome sequencing for
six contrasting sugarcane genotypes involved in leaf abscission, tolerance to
pokkah boeng disease and drought stress. More than 465 million high-quality reads
were generated, which were de novo assembled into 93,115 unigenes. Based on a
similarity search, 43,526 (46.74%) unigenes were annotated against at least one
of the public databases. Functional classification analyses showed that these
unigenes are involved in a wide range of metabolic pathways. Comparative
transcriptome analysis revealed that many unigenes involved in response to
abscisic acid and ethylene were up-regulated in the easy leaf abscission
genotype, and unigenes associated with response to jasmonic acid and salicylic
acid were up-regulated in response to the pokkah boeng disease in the tolerance
genotype. Moreover, unigenes related to peroxidase, antioxidant activity and
signal transduction were up-regulated in response to drought stress in the
tolerant genotype. Finally, we identified a number of putative markers, including
8,630 simple sequence repeats (SSRs) and 442,152 single-nucleotide polymorphisms
(SNPs). Our data will be important resources for future gene discovery, molecular
marker development, and genome studies in sugarcane.
PMID- 29374207
TI - Variability in phase and amplitude of diurnal rhythms is related to variation of
mood in bipolar and borderline personality disorder.
AB - Variable mood is an important feature of psychiatric disorders. However, its
measurement and relationship to objective measureas of physiology and behaviour
have rarely been studied. Smart-phones facilitate continuous personalized
prospective monitoring of subjective experience and behavioural and physiological
signals can be measured through wearable devices. Such passive data streams allow
novel estimates of diurnal variability. Phase and amplitude of diurnal rhythms
were quantified using new techniques that fitted sinusoids to heart rate (HR) and
acceleration signals. We investigated mood and diurnal variation for four days in
20 outpatients with bipolar disorder (BD), 14 with borderline personality
disorder (BPD) and 20 healthy controls (HC) using a smart-phone app, portable
electrocardiogram (ECG), and actigraphy. Variability in negative affect, positive
affect, and irritability was elevated in patient groups compared with HC. The
study demonstrated convincing associations between variability in subjective mood
and objective variability in diurnal physiology. For BPD there was a pattern of
positive correlations between mood variability and variation in activity, sleep
and HR. The findings suggest BPD is linked more than currently believed with a
disorder of diurnal rhythm; in both BPD and BD reducing the variability of sleep
phase may be a way to reduce variability of subjective mood.
PMID- 29374208
TI - Down-regulation of guanylate binding protein 1 causes mitochondrial dysfunction
and cellular senescence in macrophages.
AB - Macrophage polarization is tightly associated with its metabolic reprograming and
immune dysfunction. However, the intracellular molecules/pathways that connect
these alterations in inflammatory macrophages remain largely unidentified.
Herein, we explored the role of guanylate binding protein 1 (Gbp1), an
intracellular anti-microbial protein, in regulating polarization, metabolic
reprogramming, and cellular aging of macrophages. We showed that Gbp1 expression
in inguinal white adipose tissue is significantly decreased in high-fat diet -fed
and aged mice. Gbp1 expression is significantly induced by IFNgamma and LPS in
macrophages but not adipocytes. Downregulation of Gbp1 expression causes
macrophage polarization towards a pro-inflammatory phenotype. Gbp1 knockdown (Kd)
macrophages have impaired mitochondrial respiratory function, which is further
supported by down-regulation of genes encoding electron transport chain
components and genes involved in fatty acid oxidation and mitochondrial function.
Moreover, we observed Gbp1 is localized in both cytosol and mitochondrial
fraction, and Gbp1 Kd macrophages display decreased mitophagy activity. More
interestingly, Gbp1 Kd macrophages undergo senescence as evidenced by increased
activation of AMPK-p53 pathway and positive staining of beta-galactosidase. These
observations suggest that Gbp1 may play an important role in protecting against
mitochondrial dysfunction and preserving immune function of macrophages during
inflammatory stress and aging.
PMID- 29374209
TI - Mitonuclear interactions may contribute to fitness of fungal hybrids.
AB - Hybridization between species is being recognized as a major force in the rapid
adaptive evolution of fungal plant pathogens. The first stages of interspecific
hybridization necessarily involve nuclear-mitochondrial chimeras. In their 2001
publication, Olson and Stenlid reported that mitochondria control the virulence
of first generation hybrids between the North American fungal pathogen
Heterobasidion irregulare and its congeneric H. occidentale. By assessing
saprobic ability and gene expression of H. irregulare * H. annosum sensu stricto
hybrids and of their parental genotypes, we demonstrate that mitochondria also
influence saprobic growth of hybrids. Moreover, gene expression data suggest that
fungal fitness is modulated by an intimate interplay between nuclear genes and
mitochondrial type, and is dependent on the specific mitonuclear combination.
PMID- 29374210
TI - Characterization of Conformational Ensembles of Protonated N-glycans in the Gas
Phase.
AB - Ion mobility mass spectrometry (IM-MS) is a technique capable of investigating
structural changes of biomolecules based on their collision cross section (CCS).
Recent advances in IM-MS allow us to separate carbohydrate isomers with subtle
conformational differences, but the relationship between CCS and atomic structure
remains elusive. Here, we characterize conformational ensembles of gas-phase N
glycans under the electrospray ionization condition using molecular dynamics
simulations with enhanced sampling. We show that the separation of CCSs between
isomers reflects folding features of N-glycans, which are determined both by
chemical compositions and protonation states. Providing a physicochemical basis
of CCS for N-glycans helps not only to interpret IM-MS measurements but also to
estimate CCSs of complex glycans.
PMID- 29374211
TI - Low Altitude Solar Magnetic Reconnection, Type III Solar Radio Bursts, and X-ray
Emissions.
AB - Type III solar radio bursts are the Sun's most intense and frequent nonthermal
radio emissions. They involve two critical problems in astrophysics, plasma
physics, and space physics: how collective processes produce nonthermal radiation
and how magnetic reconnection occurs and changes magnetic energy into kinetic
energy. Here magnetic reconnection events are identified definitively in Solar
Dynamics Observatory UV-EUV data, with strong upward and downward pairs of jets,
current sheets, and cusp-like geometries on top of time-varying magnetic loops,
and strong outflows along pairs of open magnetic field lines. Type III bursts
imaged by the Murchison Widefield Array and detected by the Learmonth
radiospectrograph and STEREO B spacecraft are demonstrated to be in very good
temporal and spatial coincidence with specific reconnection events and with
bursts of X-rays detected by the RHESSI spacecraft. The reconnection sites are
low, near heights of 5-10 Mm. These images and event timings provide the long
desired direct evidence that semi-relativistic electrons energized in magnetic
reconnection regions produce type III radio bursts. Not all the observed
reconnection events produce X-ray events or coronal or interplanetary type III
bursts; thus different special conditions exist for electrons leaving
reconnection regions to produce observable radio, EUV, UV, and X-ray bursts.
PMID- 29374212
TI - Tissue Factor-bearing MPs and the risk of venous thrombosis in cancer patients: A
meta-analysis.
AB - Cancer patients with Tissue Factor (TF)-bearing MPs have been presented
association with increased risk of venous thromboembolism (VTE), but results of
these studies have not been consistent. We aimed to conduct a meta-analysis to
assess the relationship between TF-bearing MPs and risk of VTE in patients with
cancer. PubMed, Web of Science and EMBASE Databases were systematically retrieved
up to1th June 2017. Two case-control studies and four cohort studies met the
entry requirements in this analysis. The summary odd ratio (OR) were estimated by
a random effect model. The overall OR was 1.76 (95% CI: 1.21-2.56, I2 = 62.0%).
The OR of case-control studies was 3.41 (95% CI: 1.45-8.02, I2 = 0.0%) and that
of cohort studies was1.53 (95% CI: 1.05-2.24, I2 = 66.1%). The association
between TF-bearing MPs and the risk of VTE in cancer patients was found in this
meta-analysis. Publication bias testing and sensitivity subgroup analysis
suggested that results of this meta-analysis were robustness. In conclusion, TF
bearing MPs were associated with increased risk of VTE in patients with cancer.
Whereas, more well-designed studies and more comprehensive adjustments for
confounders in further studies are warranted to affirm the association.
PMID- 29374213
TI - Cerebrospinal fluid chemokine patterns in children with enterovirus 71-related
encephalitis.
AB - Enterovirus 71 (EV71) is a major pathogen that causes hand, foot and mouth
disease (HFMD) as well as neurological complications, such as encephalitis. The
chemokines involved in the migration of leukocytes have increasingly been
implicated in infectious diseases of the central nervous system. Few studies have
evaluated the levels of chemokines in HMFD children with EV71-related
encephalitis. In the present study, we evaluated the cerebrospinal fluid (CSF)
levels of the chemokines IL-8, RANTES, MIG, MCP-1 and IP-10 in 99 children with
EV71-related encephalitis and 22 children with febrile convulsion (FC). We found
that the concentrations of IL-8, RANTES, MIG and IP-10 were significantly higher
in HFMD children with encephalitis compared to patients with FC. Additionally,
these four chemokines were dramatically reduced during convalescence. Inversely,
the level of MCP-1 was lower in encephalitis patients than FC patients and was
not significantly reduced during convalescence. Additionally, MIG was strongly
correlated with IP-10 in encephalitis patients. Furthermore, the area under the
ROC curve (AUC) of CSF MIG and IP-10 in distinguishing encephalitis from FC were
0.869 and 0.876, and the corresponding sensitivities/specificities were
67.7%/100.0% and 67.7%/95.5%, respectively. In conclusion, our results indicate
that chemokines play important roles in the pathogenesis of EV71 infection.
PMID- 29374214
TI - Phylogenomic reclassification of the world's most venomous spiders
(Mygalomorphae, Atracinae), with implications for venom evolution.
AB - Here we show that the most venomous spiders in the world are phylogenetically
misplaced. Australian atracine spiders (family Hexathelidae), including the
notorious Sydney funnel-web spider Atrax robustus, produce venom peptides that
can kill people. Intriguingly, eastern Australian mouse spiders (family
Actinopodidae) are also medically dangerous, possessing venom peptides strikingly
similar to Atrax hexatoxins. Based on the standing morphology-based
classification, mouse spiders are hypothesized distant relatives of atracines,
having diverged over 200 million years ago. Using sequence-capture phylogenomics,
we instead show convincingly that hexathelids are non-monophyletic, and that
atracines are sister to actinopodids. Three new mygalomorph lineages are elevated
to the family level, and a revised circumscription of Hexathelidae is presented.
Re-writing this phylogenetic story has major implications for how we study venom
evolution in these spiders, and potentially genuine consequences for antivenom
development and bite treatment research. More generally, our research provides a
textbook example of the applied importance of modern phylogenomic research.
PMID- 29374215
TI - Geophysical investigations unravel the vestiges of ancient meandering channels
and their dynamics in tidal landscapes.
AB - Whether or not one can detect relict signatures of the past imprinted in current
landscapes is a question of the utmost theoretical and practical relevance for
meandering tidal channels, owing to their influence on the morphodynamic
evolution of tidal landscapes, a critically fragile environment, especially in
face of expected climatic changes. Unravelling the sedimentary patterns of
ancient channels is an expensive process that usually requires high resolution
sediment coring. Here we use a novel inversion process of multi-frequency
electromagnetic measurements to reveal the signature and characterize the
dynamics of a salt-marsh paleo-meander in the Venice Lagoon. We show that the
ancient meander migrated laterally while vertically aggrading, developing a
peculiar bar geometry which is less common in analogous fluvial meanders. The
observed point-bar dynamics and the associated architectural geometry are
consistent with remote sensing and borehole data and contrast with current
assessments of tidal meander morphodynamics mediated from classical fluvial
theories. In addition, the proposed technique, rapid and non-invasive, bears
important consequences for detecting buried stratal geometries and reconstructing
the spatial distribution of ancient sedimentary bodies, providing quantitative
data for the description of landscape evolution in time.
PMID- 29374216
TI - Warning signals of biodiversity collapse across gradients of tropical forest
loss.
AB - We evaluate potential warning signals that may aid in identifying the proximity
of ecological communities to biodiversity thresholds from habitat loss-often
termed "tipping points"-in tropical forests. We used datasets from studies of
Neotropical mammal, frog, bird, and insect communities. Our findings provide only
limited evidence that an increase in the variance (heteroskedasticity) of
biodiversity-related parameters can provide a general warning signal of impending
threshold changes in communities, as forest loss increases. However, such an
apparent effect was evident for amphibians in the Brazilian Atlantic Forest and
Amazonian mammal and bird communities, suggesting that impending changes in some
species assemblages might be predictable. We consider the potential of such
warning signs to help forecast drastic changes in biodiversity.
PMID- 29374217
TI - Increased Exposure of Tacrolimus by Co-administered Mycophenolate Mofetil:
Population Pharmacokinetic Analysis in Healthy Volunteers.
AB - The objective of the study was to investigate the pharmacokinetic drug-drug
interactions between tacrolimus (TAC) and mycophenolate mofetil (MMF) in healthy
Korean male volunteers. Seventeen volunteers participated in a three-period,
single-dose, and fixed sequence study. They sequentially received MMF, TAC, and
the combination. Concentrations of TAC, mycophenolic acid (MPA), and its
metabolites MPA 7-O-glucuronide and MPA acyl glucuronide were measured. The
variants of CYP3A4, CYP3A5, SLCO1B1, SLCO1B3, ABCC2, UGT1A9, and UGT2B7 were
genotyped. Drug interaction was evaluated with a non-compartmental analysis and
population pharmacokinetic modelling to quantify the interaction effect. A total
of 1,082 concentrations of those analytes were analysed. AUC0-inf of TAC
increased by 22.1% (322.4 +/- 174.1 to 393.6 +/- 121.7 ng.h/mL; P < 0.05) when co
administered with MMF, whereas the pharmacokinetic parameters of MPA and its
metabolites were not changed by TAC. Apparent clearance (CL/F) of TAC was 17.8
L/h [relative standard error (RSE) 11%] or 13.8 L/h (RSE 11%) without or with
MMF, respectively. Interaction was explained by the exponential model. The CYP3A5
genotype was the only significant covariate. The population estimate of CL/F of
TAC was 1.48-fold (RSE 16%) in CYP3A5 expressers when compared to nonexpressers.
CL/F of TAC was decreased when co-administered with MMF in these subjects.
PMID- 29374218
TI - Different milk diets have substantial effects on the jejunal mucosal immune
system of pre-weaning calves, as demonstrated by whole transcriptome sequencing.
AB - There is increasing evidence that nutrition during early mammalian life has a
strong influence on health and performance in later life. However, there are
conflicting data concerning the appropriate milk diet. This discrepancy
particularly applies to ruminants, a group of mammals that switch from
monogastric status to rumination during weaning. Little is known regarding how
the whole genome expression pattern in the juvenile ruminant gut is affected by
alternative milk diets. Thus, we performed a next-generation-sequencing-based
holistic whole transcriptome analysis of the jejunum in male pre-weaned German
Holstein calves fed diets with restricted or unlimited access to milk during the
first 8 weeks of life. Both groups were provided hay and concentrate ad libitum.
The analysis of jejunal mucosa samples collected 80 days after birth and four
weeks after the end of the feeding regimes revealed 275 differentially expressed
loci. While the differentially expressed loci comprised 67 genes encoding
proteins relevant to metabolism or metabolic adaptation, the most distinct
difference between the two groups was the consistently lower activation of the
immune system in calves that experienced restricted milk access compared to
calves fed milk ad libitum. In conclusion, different early life milk diets had
significant prolonged effects on the intestinal immune system.
PMID- 29374219
TI - Depletion of HDAC1, 7 and 8 by Histone Deacetylase Inhibition Confers Elimination
of Pancreatic Cancer Stem Cells in Combination with Gemcitabine.
AB - Trichostatin A (TSA) possess histone deacetylase (HDAC) inhibitory potential, can
reverse the deactivation of tumor suppressor genes and inhibit tumor cell
proliferation. We evaluated the effect of TSA on HDAC expression, tumor cell
proliferation, and cancer stem cells (CSCs) activities in pancreatic ductal
adenocarnoma (PDAC) cells. The PDAC cell lines MiaPaCa-2 and PANC-1 were
distinctly sensitive to TSA, with enhanced apoptosis, compared to SAHA. TSA or
SAHA inhibited vimentin, HDACs 1, 7 and 8, upregulated E-cadherin mRNA and
protein levels in the PDAC cells, and time-dependently downregulated Oct-4, Sox
2, and Nanog, as well as inhibited PDAC tumorsphere formation. TSA also induces
accumulation of acetylated histones, while increasing histone 3 lysine 4 or 9
dimethylation levels in PDAC cells and enhancing the epigenetic activity of SAHA.
The anti-CSCs effect of TSA was like that obtained by silencing HDAC-1 or 7 using
siRNA, and enhances Gemcitabine activity. Our study highlights the molecular
targetability of HDACs 1, 7, and 8, confirm their PDAC-CSCs maintaining role, and
demonstrate that compared to SAHA, TSA modulates the epigenetically- mediated
oncogenic activity of PDAC-CSCs, and potentiate Gemcitabine therapeutic activity,
making a case for further exploration of TSA activity alone or in combination
with Gemcitabine in PDAC therapy.
PMID- 29374220
TI - Silica Gel Coated Spherical Micro resonator for Ultra-High Sensitivity Detection
of Ammonia Gas Concentration in Air.
AB - A silica gel coated microsphere resonator is proposed and experimentally
demonstrated for measurements of ammonia (NH3) concentration in air with ultra
high sensitivity. The optical properties of the porous silica gel layer change
when it is exposed to low (parts per million (ppm)) and even ultra-low (parts per
billion (ppb)) concentrations of ammonia vapor, leading to a spectral shift of
the WGM resonances in the transmission spectrum of the fiber taper. The
experimentally demonstrated sensitivity of the proposed sensor to ammonia is
estimated as 34.46 pm/ppm in the low ammonia concentrations range from 4 ppm to
30 ppm using an optical spectrum analyser (OSA), and as 800 pm/ppm in the ultra
low range of ammonia concentrations from 2.5 ppb to 12 ppb using the frequency
detuning method, resulting in the lowest detection limit (by two orders of
magnitude) reported to date equal to 0.16 ppb of ammonia in air. In addition, the
sensor exhibits excellent selectivity to ammonia and very fast response and
recovery times measured at 1.5 and 3.6 seconds, respectively. Other attractive
features of the proposed sensor are its compact nature, simplicity of
fabrication.
PMID- 29374221
TI - Fingerprint analysis of Huolingshengji Formula and its neuroprotective effects in
SOD1G93A mouse model of amyotrophic lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurological disease characterized
by progressive loss of motor neurons. There are no definitive pathogenic
mechanisms and effective treatments for ALS now. Traditional Chinese medicine
(TCM) plays an important role in Chinese health care system. Huolingshengji
Formula (HLSJ) is a TCM formula which is applied for treating flaccid syndrome.
Our previous clinical study has indicated that HLSJ may have therapeutic effects
in ALS patients. In the present study, we analyzed the chemical profile of HLSJ
by the high-performance liquid chromatographic (HPLC) fingerprint analysis. And
we investigated the therapeutic effects and neuroprotective mechanisms of HLSJ
against ALS in SOD1G93A mouse model. Eleven typical peaks were identified by the
fingerprint analysis of HLSJ, and the HPLC method had good precision,
repeatability and stability. Consistent with our clinical studies, HLSJ
significantly prolonged the lifespan, extended the disease duration, and
prevented the motor neuron loss in the anterior horn of the lumbar spinal cords
in SOD1G93A ALS model mice. Additionally, HLSJ alleviated the atrophy of the
gastrocnemius muscles and ameliorated the apoptotic and inflammatory levels in
the spinal cords of SOD1G93A mice. Collectively, our study indicated that HLSJ
might be a novel candidate for the treatment of ALS.
PMID- 29374223
TI - Integrated Optical Modulator Based on Transition between Photonic Bands.
AB - An area efficient novel optical modulator with low operation voltage is designed
based on integrated Mach-Zehnder Interferometer with a photonic crystal slab
structure as the phase shifter. Plasma dispersion effect is utilized so that
photonic band-to-band transition occurs at the operating frequency leading to a
high index change (Deltan = ~4) for pi-phase shift on the modulator. This
approach reduces the phase shifter length to a few micrometers (~5 um) in a
silicon on insulator platform and operating voltage required is around 1 V. Low
voltage together with short optical interaction length decrease optical losses
and power consumption during modulation process providing a great opportunity for
size and system cost optimization.
PMID- 29374222
TI - Measuring dynamic social contacts in a rehabilitation hospital: effect of wards,
patient and staff characteristics.
AB - Understanding transmission routes of hospital-acquired infections (HAI) is key to
improve their control. In this context, describing and analyzing dynamic inter
individual contact patterns in hospitals is essential. In this study, we used
wearable sensors to detect Close Proximity Interactions (CPIs) among patients and
hospital staff in a 200-bed long-term care facility over 4 months. First, the
dynamic CPI data was described in terms of contact frequency and duration per
individual status or activity and per ward. Second, we investigated the
individual factors associated with high contact frequency or duration using
generalized linear mixed-effect models to account for inter-ward heterogeneity.
Hospital porters and physicians had the highest daily number of distinct
contacts, making them more likely to disseminate HAI among individuals.
Conversely, contact duration was highest between patients, with potential
implications in terms of HAI acquisition risk. Contact patterns differed among
hospital wards, reflecting varying care patterns depending on reason for
hospitalization, with more frequent contacts in neurologic wards and fewer,
longer contacts in geriatric wards. This study is the first to report proximity
sensing data informing on inter-individual contacts in long-term care settings.
Our results should help better understand HAI spread, parameterize future
mathematical models, and propose efficient control strategies.
PMID- 29374224
TI - New role of phenothiazine derivatives as peripherally acting CB1 receptor
antagonizing anti-obesity agents.
AB - Developing peripherally active cannabinoid 1 (CB1) receptor antagonists is a
novel therapeutic approach for the management of obesity. An unusual
phenothiazine scaffold containing CB1R antagonizing hit was identified by
adopting virtual screening work flow. The hit so identified was further modified
by introducing polar functional groups into it to enhance the polar surface area
and decrease the hydrophobicity of the resulting molecules. CB1 receptor
antagonistic activity for the designed compounds was computed by the previously
established pharmacophore and three dimensional quantitative structure-activity
relationship models. Docking studies of these designed compounds confirmed the
existence of favourable interactions within the active site of the CB1 receptor.
The designed compounds were synthesized and evaluated for their CB1 receptor
antagonistic activity. Parallel artificial membrane permeability assay was
performed to evaluate their potential to permeate into the central nervous system
wherein it was observed that the compounds did not possess the propensity to
cross the blood brain barrier and would be devoid of central nervous system side
effects. In pharmacological evaluation, the synthesized compounds (23, 25, 27 and
34) showed significant decrease in food intake suggesting their potential
application in the management of obesity through CB1 receptor antagonist
activity.
PMID- 29374225
TI - Long range haplotyping of paired-homologous chromosomes by single-chromosome
sequencing of a single cell.
AB - The longest possible haplotype is chromosome haplotype that is a set of co
inherited alleles occurred on a single strand chromosome inherited from one
parent. Standard whole-genome shotgun sequencing technologies are limited by the
inability to independently study the haplotype of homologous chromosomes due to
the short-reads sequencing strategy and disturbance of homologue chromosomes.
Here, we investigated several types of chromosomal abnormalities by a dilution
based method to separate an intact copy of homologous chromosome from human
metaphase cells, and then single chromosomes were independently amplified by
whole-genome amplification methods, converted into barcoded sequencing libraries,
and sequenced in multiplexed pools by Illumina sequencers. We analyzed single
chromosome derived from single metaphase cells of one patient with balanced
chromosomal translocation t(3;5)(q24;q13), one patient with (47, XXY) karyotype
and one with (47, XY, 21+) Down syndrome. We determined the translocation region
of chromosomes in patient with t(3;5)(q24;q13) balanced chromosomal translocation
by shallow whole-genome sequencing, which is helpful to pinpoint the chromosomal
break point. We showed that SCS can physically separate and independently
sequence three copies of chromosome 21 of Down syndrome patient. SCS has
potential applications in personal genomics, single-cell genomics, and clinical
diagnosis, particularly in revealing chromosomal level of genetic diseases.
PMID- 29374226
TI - Impact of the huge 2011 Tohoku-oki tsunami on the phenotypes and genotypes of
Japanese coastal threespine stickleback populations.
AB - On March 11, 2011, a large earthquake occurred, causing a tsunami which struck
the Pacific coast of northeast Japan. We investigated the ecological and genetic
effects of the large tsunami on the threespine stickleback (genus Gasterosteus)
populations in Otsuchi Town, which was one of the most severely damaged areas
after the tsunami. Our environmental surveys showed that spring water may have
contributed to the habitat recovery. Morphological analysis of the stickleback
before and after the tsunami showed morphological shifts in the gill raker
number, which is a foraging trait. Genetic analyses revealed that the allelic
richness of one population was maintained after the tsunami, whereas that of
another decreased in 2012 and then started to recover in 2013. Additionally, we
found that the large tsunami and ground subsidence created new spring water-fed
pools with sticklebacks, suggesting that the tsunami brought sticklebacks into
these pools. Genetic analysis of this population showed that this population
might be derived from hybridization between freshwater Gasterosteus aculeatus and
anadromous G. nipponicus. Overall, our data indicate that tsunamis can influence
morphologies and genetic structures of freshwater fishes. Furthermore, spring
water may play important roles in the maintenance and creation of fish habitats,
faced with environmental disturbance.
PMID- 29374227
TI - A 'NanoSuit' successfully protects petals of cherry blossoms in high vacuum:
examination of living plants in an FE-SEM.
AB - Land plants have evolved on dry land and developed surface barriers to protect
themselves from environmental stresses. We have previously reported that
polymerization of a natural extracellular substance (ECS) on the outer surface of
animals by electron beam or plasma irradiation, can give rise to a nano-scale
layer, termed the "NanoSuit", which can keep small animals alive under the high
vacuum of a scanning electron microscope (SEM). In the present research, we have
focused on plants, using petals of cherry blossoms, as experimental specimens and
examined their behavior under high vacuum conditions. Experiments on healthy
living petals have demonstrated that without any pre-treatment, the overall
morphology of specimens is well preserved and intact after imaging in an SEM,
suggesting that natural substances on the petal surface behave like animal ECS
and form a NanoSuit following irradiation with an electron beam. Furthermore, we
have shown that the surface material can be extracted with chloroform and
polymerized into a free-standing membrane by plasma irradiation. From our
results, we conclude that surface materials, which have the ability to prevent
water loss under natural conditions, increase the barrier ability and can protect
plants under high vacuum conditions.
PMID- 29374228
TI - The acute effects on duodenal gene expression in healthy men following
consumption of a low-fat meal enriched with theobromine or fat.
AB - Increasing apoA-I synthesis may improve HDL functionality and lower CVD risk. As
theobromine and fat increase fasting apoA-I concentrations, and the intestine is
involved in apoA-I production, the acute effects of both were studied on duodenal
gene transcription to better understand underlying mechanisms. In this crossover
study, 8 healthy men received once a low fat (LF) meal, a LF meal plus
theobromine (850 mg), or a high fat (HF) meal. Five hours after meal intake
duodenal biopsies were taken for microarray analysis. Theobromine and HF
consumption did not change duodenal apoA-I expression. Theobromine did not change
gene expression related to lipid and cholesterol metabolism, whereas those
related to glycogen/glucose breakdown were downregulated. HF consumption
increased gene expression related to lipid and cholesterol uptake and transport,
and to glucose storage, while it decreased those related to glucose uptake.
Furthermore, genes related to inflammation were upregulated, but inflammation
markers in plasma were not changed. In healthy men, acute theobromine and fat
consumption did not change duodenal apoA-I mRNA, but inhibited expression of
genes related to glucose metabolism. Furthermore, HF intake activated in the
duodenum expression of genes related to lipid and cholesterol metabolism and to
inflammation.
PMID- 29374229
TI - Pulsatile stretch as a novel modulator of amyloid precursor protein processing
and associated inflammatory markers in human cerebral endothelial cells.
AB - Amyloid beta (Abeta) deposition is a hallmark of Alzheimer's disease (AD).
Vascular modifications, including altered brain endothelial cell function and
structural viability of the blood-brain barrier due to vascular pulsatility, are
implicated in AD pathology. Pulsatility of phenomena in the cerebral vasculature
are often not considered in in vitro models of the blood-brain barrier. We
demonstrate, for the first time, that pulsatile stretch of brain vascular
endothelial cells modulates amyloid precursor protein (APP) expression and the
APP processing enzyme, beta-secretase 1, eventuating increased-Abeta generation
and secretion. Concurrent modulation of intercellular adhesion molecule 1 and
endothelial nitric oxide synthase (eNOS) signaling (expression and
phosphorylation of eNOS) in response to pulsatile stretch indicates parallel
activation of endothelial inflammatory pathways. These findings mechanistically
support vascular pulsatility contributing towards cerebral Abeta levels.
PMID- 29374230
TI - Targeted and Untargeted Metabolic Profiling of Wild Grassland Plants identifies
Antibiotic and Anthelmintic Compounds Targeting Pathogen Physiology, Metabolism
and Reproduction.
AB - Plants traditionally used by farmers to manage livestock ailments could reduce
reliance on synthetic antibiotics and anthelmintics but in many cases their
chemical composition is unknown. As a case study, we analyzed the metabolite
profiles of 17 plant species and 45 biomass samples from agricultural grasslands
in England using targeted and untargeted metabolite profiling by liquid
chromatography mass spectrometry. We identified a range of plant secondary
metabolites, including 32 compounds with known antimicrobial/anthelmintic
properties which varied considerably across the different plant samples. These
compounds have been shown previously to target multiple aspects of pathogen
physiology and metabolism in vitro and in vivo, including inhibition of quorum
sensing in bacteria and egg viability in nematodes. The most abundant bioactive
compounds were benzoic acid, myricetin, p-coumaric acid, rhamnetin, and
rosmarinic acid. Four wild plants (Filipendula ulmaria (L.) Maxim., Prunella
vulgaris L., Centuarea nigra L., and Rhinanthus minor L.) and two forage legumes
(Medicago sativa L., Trifolium hybridium L.) contained high levels of these
compounds. Forage samples from native high-diversity grasslands had a greater
abundance of medicinal compounds than samples from agriculturally improved
grasslands. Incorporating plants with antibiotic/anthelmintic compounds into
livestock feeds may reduce global drug-resistance and preserve the efficacy of
last-resort drugs.
PMID- 29374231
TI - Modulation of oncogenic miRNA biogenesis using functionalized polyamines.
AB - MicroRNAs are key factors in the regulation of gene expression and their
deregulation has been directly linked to various pathologies such as cancer. The
use of small molecules to tackle the overexpression of oncogenic miRNAs has
proved its efficacy and holds the promise for therapeutic applications. Here we
describe the screening of a 640-compound library and the identification of
polyamine derivatives interfering with in vitro Dicer-mediated processing of the
oncogenic miR-372 precursor (pre-miR-372). The most active inhibitor is a
spermine-amidine conjugate that binds to the pre-miR-372 with a KD of 0.15 uM,
and inhibits its in vitro processing with a IC50 of 1.06 uM. The inhibition of
miR-372 biogenesis was confirmed in gastric cancer cells overexpressing miR-372
and a specific inhibition of proliferation through de-repression of the tumor
suppressor LATS2 protein, a miR-372 target, was observed. This compound modifies
the expression of a small set of miRNAs and its selective biological activity has
been confirmed in patient-derived ex vivo cultures of gastric carcinoma.
Polyamine derivatives are promising starting materials for future studies about
the inhibition of oncogenic miRNAs and, to the best of our knowledge, this is the
first report about the application of functionalized polyamines as miRNAs
interfering agents.
PMID- 29374232
TI - A dynamic allosteric pathway underlies Rad50 ABC ATPase function in DNA repair.
AB - The Mre11-Rad50 protein complex is an initial responder to sites of DNA double
strand breaks. Many studies have shown that ATP binding to Rad50 causes global
changes to the Mre11-Rad50 structure, which are important for DNA repair
functions. Here we used methyl-based NMR spectroscopy on a series of mutants to
describe a dynamic allosteric pathway within Rad50. Mutations result in changes
in the side chain methyl group chemical environment that are correlated with
altered nanosecond timescale dynamics. We also observe striking relationships
between the magnitude of chemical shift perturbations and Rad50 and Mre11
activities. Together, these data suggest an equilibrium between a ground state
and an "active" dimerization competent state of Rad50 that has locally altered
structure and dynamics and is poised for ATP-induced dimerization and eventual
ATP hydrolysis. Thus, this sparsely populated intermediate is critical for Mre11
Rad50-directed DNA double strand break repair.
PMID- 29374234
TI - Prevention of Invasive Aspergillus Fungal Infections with the Suspension and
Delayed-Release Tablet Formulations of Posaconazole in Patients with Haematologic
Malignancies.
AB - Posaconazole is a triazole antifungal used to prevent invasive fungal infections
(IFIs) in patients receiving chemotherapy or haemotopoietic stem cell
transplantation. Due to highly variable bioavailability of the oral suspension
formulation, a delayed-release tablet was developed which showed improved
bioavailability. A minimal target posaconazole plasma concentration of 0.7 mg/L
is recommended for prophylaxis of IFIs. However, the relationship between plasma
concentration of posaconazole and its efficacy against IFIs remains unclear. We
analysed trough posaconazole concentrations and response against IFIs in 50 and
104 patients with haematologic malignancies receiving prophylactic posaconazole
as the tablet or suspension formulation, respectively. Mean plasma concentration
of posaconazole was 1.91 +/- 1.06 mg/L and 0.82 +/- 0.57 mg/L in the tablet and
the oral suspension group, respectively (p < 0.0001). The percentage of patients
reaching the minimal target concentration of 0.7 mg/L was 92.0% and 47.1% in the
tablet and oral suspension groups, respectively (p < 0.0001). Emergent
aspergillosis occurred in 9 (8.7%) patients in the suspension group and in none
of the patients taking the tablet formulation (p = 0.032). Our results show a
relationship between plasma concentrations of posaconazole and its prophylactic
efficacy in patients with haematologic malignancies. Target posaconazole
concentrations are reached more efficiently with the tablet than with the
suspension formulation.
PMID- 29374235
TI - The effect of environmental factors and migration dynamics on the prevalence of
antibiotic-resistant Escherichia coli in estuary environments.
AB - Understanding the antibiotic resistance transmission mechanisms and migration
dynamics of antibiotic-resistant bacteria (ARB) in the natural environment is
critical given the increasing prevalence of antibiotic resistance. The aim of
this study was to examine the fate of sulfonamide-resistant fecal bacteria (E.
coli) in an estuary ecosystem and to explore the role and contribution of
environmental factors in this process. The prevalence of sulfonamide-resistance
status of E. coli was analyzed over different seasons in two estuary systems.
Environmental factors and disturbance indices of anthropogenic activities were
evaluated by detecting antibiotic concentrations, heavy metal abundance and other
physicochemical parameters. The abundances of antibiotic-resistant E. coli were
significantly attenuated during land-sea migration suggesting that estuary
environments play a natural mitigation role in the contamination of freshwaters
by antibiotic-resistant E. coli. Additionally, environmental factors and
disturbance indices of anthropogenic activities significantly correlated with the
distribution and migration of antibiotic-resistant E. coli in the estuaries.
Lastly, simulation experiments suggested differential adaptability between
antibiotic-resistant and non-resistant E. coli towards environmental changes in
estuary environments. Meanwhile, our results indicate that low concentrations of
antibiotics will not increase the competitive advantage of resistant E. coli in
estuaries.
PMID- 29374237
TI - Role of fluorine in two-dimensional dichalcogenide of SnSe 2.
AB - Authors report an effect of F substitution on layered SnSe2 through the
successful synthesis of polycrystalline SnSe2-deltaF x (0.000 <= x <= 0.010) by
solid-state reaction. Accompanied with density functional theory calculations,
the blue shift of A1g peak in Raman spectra reveal that F- ions are substituted
at Se vacancy sites as decreasing the reduced mass of vibrational mode associated
with Sn-Se bonding. From the measurements of electrical parameters, conductivity
as well as carrier concentration are governed by thermally activated behavior,
while such behavior is suppressed in Hall mobility, which occurs as F ratio
increases. Based on Arrhenius relation, it is found that the potential barrier
height at the grain boundary is suppressed with increasing F amount, suggesting
that the F- ion is a promising candidate for the grain boundary passivation in
the two-dimensional dichalcogenide system.
PMID- 29374233
TI - GWAS of epigenetic aging rates in blood reveals a critical role for TERT.
AB - DNA methylation age is an accurate biomarker of chronological age and predicts
lifespan, but its underlying molecular mechanisms are unknown. In this genome
wide association study of 9907 individuals, we find gene variants mapping to five
loci associated with intrinsic epigenetic age acceleration (IEAA) and gene
variants in three loci associated with extrinsic epigenetic age acceleration
(EEAA). Mendelian randomization analysis suggests causal influences of menarche
and menopause on IEAA and lipoproteins on IEAA and EEAA. Variants associated with
longer leukocyte telomere length (LTL) in the telomerase reverse transcriptase
gene (TERT) paradoxically confer higher IEAA (P < 2.7 * 10-11). Causal modeling
indicates TERT-specific and independent effects on LTL and IEAA. Experimental
hTERT-expression in primary human fibroblasts engenders a linear increase in DNA
methylation age with cell population doubling number. Together, these findings
indicate a critical role for hTERT in regulating the epigenetic clock, in
addition to its established role of compensating for cell replication-dependent
telomere shortening.
PMID- 29374236
TI - SOX2 activation predicts prognosis in patients with head and neck squamous cell
carcinoma.
AB - SOX2 copy number and mRNA expression were analysed to examine the clinical
significance of SOX2 activation in HNSCC. Gene expression signatures reflecting
SOX2 activation were identified in an HNSCC cohort. Patients with HNSCC were
classified into two subgroups according to the gene expression signature: SOX2
high and SOX2-low. The clinical significance of SOX2 activation was further
validated in two independent cohorts. Moreover, clinical significance of SOX2
activation in response to radiotherapy was assessed in patients with HNSCC. The
relationship between SOX2 activation and radiotherapy was validated in an in
vitro experiment. Patients in the SOX2-high subgroup had a better prognosis than
patients in the SOX2-low subgroup in all three patient cohorts. Results of
multivariate regression analysis showed that SOX2 signature was an independent
predictor of the overall survival of patients with HNSCC (hazard ratio, 1.45; 95%
confidence interval, 1.09-1.92; P = 0.01). Interestingly, SOX2 activation was a
predictor of therapy outcomes in patients receiving radiotherapy. Moreover, SOX2
overexpression enhanced the effect of radiotherapy in HNSCC cell lines. SOX2
activation is associated with improved prognosis of patients with HNSCC and might
be used to predict which patients might benefit from radiotherapy.
PMID- 29374238
TI - Transcriptional Regulation on Aneuploid Chromosomes in Divers Candida albicans
Mutants.
AB - Candida albicans is a diploid fungus and a predominant opportunistic human
pathogen. Notably, C. albicans employs reversible chromosomal aneuploidies as a
means of survival in adverse environments. We previously characterized
transcription on the monosomic chromosome 5 (Ch5) that arises with adaptation to
growth on the toxic sugar sorbose in the mutant Sor125(55). We now extend this
analysis to the trisomic hybrid Ch4/7 within Sor125(55) and a diverse group of
three mutants harboring a single Ch5. We find a similar pattern of
transcriptional changes on either type of aneuploid chromosome within these
mutants wherein expression of many genes follows chromosome ploidy, consistent
with a direct mechanism to regulate genes important for adaptation to growth. In
contrast, a significant number of genes are expressed at the disomic level,
implying distinct mechanisms compensating for gene dose on monosomic or trisomic
chromosomes consistent with maintaining cell homeostasis. Finally, we find
evidence for an additional mechanism that elevates expression of genes on normal
disomic Ch4 and Ch7 in mutants to levels commensurate with that found on the
trisomic Ch4/7b in Sor125(55). Several of these genes are similarly
differentially regulated among mutants, suggesting they play key functions in
either maintaining aneuploidy or adaptation to growth conditions.
PMID- 29374239
TI - Optimizing OCT acquisition parameters for assessments of vitreous haze for
application in uveitis.
AB - Detection and evaluation of inflammatory activity in uveitis is essential to the
management of the condition, and yet continues to be largely dependent on
subjective clinical measures. Optical coherence tomography (OCT) measurement of
vitreous activity is an alternative to clinical vitreous haze scoring and has
passed a number of early validation studies. In this study we aimed to evaluate
the impact of 'operator factors' on the variability of the technique as part of
the validation process, and to help evaluate its suitability for 'real world'
use. Vitreous haze index was calculated as a ratio between the reflectivity of
the vitreous and of the outer retina in each scan. Different scanning conditions
were tested and their effect on the measurement is reported. Our results show
that the 'quantitative imaging' technique of OCT-measured vitreous activity had
good reliability in normal subjects under a range of 'real world' conditions,
such as when the operator changes the averaging value. The technique was however
vulnerable to highly inaccurate focussing or abnormal downward displacement of
the image. OCT-based quantification of vitreous activity is a promising
alternative to current subjective clinical estimates, with sufficient 'tolerance'
to be used in routine clinical practice as well as clinical trials.
PMID- 29374240
TI - Positive interactions of major-effect QTLs with genetic background that enhances
rice yield under drought.
AB - To improve the grain yield of the lowland-adapted popular rice variety Samba
Mahsuri under reproductive-stage drought (RS) and to understand the interactions
between drought QTLs, two mapping populations were developed using marker
assisted selection (MAS) and marker-assisted recurrent selection (MARS). The mean
grain yield of pyramided lines (PLs) with qDTY 2.2 + qDTY 4.1 in MAS is
significantly higher under RS and irrigated control than lines with single QTLs.
Among MARS PLs, lines with four qDTYs (qDTY 1.1 + qDTY 2.1 + qDTY 3.1 + qDTY
11.1 ) and two QTLs (qDTY 1.1 + qDTY 11.1 ) yielded higher than PLs with other
qDTY combinations. The selected PLs showed a yield advantage of 0.3-2.0 t ha-1
under RS. An allelic profile of MAS PLs having same qDTY combination but with
different yields under drought was studied. Hierarchical clustering grouped
together the selected lines with high yield under drought. Epistasis test showed
the interaction of qDTY 4.1 and qDTY 9.1 loci with qDTY 7.1 significantly
increased yield under drought and all the lines with higher yield under drought
possessed the conserved region of qDTY 7.1 on chromosome 7. The positive
interactions among QTLs, effectiveness of QTLs in different backgrounds,
introgression of DTY QTLs together with resistance to biotic stresses shall help
enhance grain yield under RS.
PMID- 29374241
TI - Dual regulation of activity and intracellular localization of the PASTA kinase
PrkC during Bacillus subtilis growth.
AB - The activity of the PrkC protein kinase is regulated in a sophisticated manner in
Bacillus subtilis cells. In spores, in the presence of muropeptides, PrkC
stimulates dormancy exit. The extracellular region containing PASTA domains binds
peptidoglycan fragments to probably enhance the intracellular kinase activity.
During exponential growth, the cell division protein GpsB interacts with the
intracellular domain of PrkC to stimulate its activity. In this paper, we have
reinvestigated the regulation of PrkC during exponential and stationary phases.
We observed that, during exponential growth, neither its septal localization nor
its activity are influenced by the addition of peptidoglycan fragments or by the
deletion of one or all PASTA domains. However, Dynamic Light Scattering
experiments suggest that peptidoglycan fragments bind specifically to PrkC and
induce its oligomerization. In addition, during stationary phase, PrkC appeared
evenly distributed in the cell wall and the deletion of one or all PASTA domains
led to a non-activated kinase. We conclude that PrkC activation is not as
straightforward as previously suggested and that regulation of its kinase
activity via the PASTA domains and peptidoglycan fragments binding occurs when
PrkC is not concentrated to the bacterial septum, but all over the cell wall in
non-dividing bacillus cells.
PMID- 29374242
TI - Population coding of grasp and laterality-related information in the macaque
fronto-parietal network.
AB - Preparing and executing grasping movements demands the coordination of sensory
information across multiple scales. The position of an object, required hand
shape, and which of our hands to extend must all be coordinated in parallel. The
network formed by the macaque anterior intraparietal area (AIP) and hand area
(F5) of the ventral premotor cortex is essential in the generation of grasping
movements. Yet, the role of this circuit in hand selection is unclear. We
recorded from 1342 single- and multi-units in AIP and F5 of two macaque monkeys
(Macaca mulatta) during a delayed grasping task in which monkeys were instructed
by a visual cue to perform power or precision grips on a handle presented in five
different orientations with either the left or right hand, as instructed by an
auditory tone. In AIP, intended hand use (left vs. right) was only weakly
represented during preparation, while hand use was robustly present in F5 during
preparation. Interestingly, visual-centric handle orientation information
dominated AIP, while F5 contained an additional body-centric frame during
preparation and movement. Together, our results implicate F5 as a site of visuo
motor transformation and advocate a strong transition between hand-independent
and hand-dependent representations in this parieto-frontal circuit.
PMID- 29374243
TI - Large Area Radial Junction Silicon Nanowire Solar Mini-Modules.
AB - In this work, we introduce the demonstration of 5 * 5 cm2 mini-modules based on
radial junction silicon nanowire (RJ SiNW) devices grown by plasma-assisted vapor
liquid-solid (VLS) technique. The mini-modules are obtained thanks to an
industrial laser scribing technique. The electrical parameters have been
highlighted to address the performance of these devices and perspectives towards
competitive RJ SiNW solar modules. Moreover, electroluminescence (EL)
measurements were also conducted to assess the uniformity of the fabricated mini
modules. In addition, the structural characterization of solar cells and laser
scribed lines has been assessed by scanning electron microscopy (SEM). The
challenges and perspectives are also discussed.
PMID- 29374244
TI - Ischemia Reperfusion Injury after Gradual versus Rapid Flow Restoration for
Middle Cerebral Artery Occlusion Rats.
AB - Ischemia-reperfusion injury (IRI) is an important cause of adverse prognosis
after recanalization in patients with acute occlusion of major intracranial
artery (AOMIA). Here, we provided data indicating that gradual flow restoration
(GFR) would be superior to rapid flow restoration (RFR) in alleviating cerebral
IRIs in middle cerebral artery occlusion (MCAO) rats. A total of 94 MCAO rats
with 15, 30 and 60-minute occlusion were randomly assigned to receive either GFR
or RFR intervention. There were significant differences between GFR and RFR group
in mean neurological severity score (1.02 versus 1.28; p < 0.05), median infarct
ratio (0.016 versus 0.12; p < 0.001), median neuronal apoptosis ratio (1.81
versus 14.46; p < 0.001), and mean histopathological abnormality score (0.92
versus 1.66; p < 0.001). In addition, these differences were mainly distributed
in 30-minute and 60-minute occlusion rats, not in 15-minute occlusion rats. These
results indicated that GFR rather than RFR could effectively alleviate cerebral
IRIs in MCAO rats, especially in rats with longer occlusion duration, suggesting
that GFR may be particularly applicable to AOMIA patients who are presented to
neurointerventionalists in the later-time of recanalization therapy window.
PMID- 29374245
TI - Identifying Hallmark Symptoms of Developmental Prosopagnosia for Non-Experts.
AB - Developmental prosopagnosia (DP) is characterised by a severe and relatively
selective deficit in face recognition, in the absence of neurological injury.
Because public and professional awareness of DP is low, many adults and children
are not identified for formal testing. This may partly result from the lack of
appropriate screening tools that can be used by non-experts in either
professional or personal settings. To address this issue, the current study
sought to (a) explore when DP can first be detected in oneself and another, and
(b) identify a list of the condition's everyday behavioural manifestations.
Questionnaires and interviews were administered to large samples of adult DPs,
their unaffected significant others, and parents of children with the condition;
and data were analysed using inductive content analysis. It was found that DPs
have limited insight into their difficulties, with most only achieving
realisation in adulthood. Nevertheless, the DPs' reflections on their childhood
experiences, together with the parental responses, revealed specific indicators
that can potentially be used to spot the condition in early childhood. These
everyday hallmark symptoms may aid the detection of individuals who would benefit
from objective testing, in oneself (in adults) or another person (for both adults
and children).
PMID- 29374247
TI - Author Correction: Plant spatial patterns identify alternative ecosystem
multifunctionality states in global drylands.
AB - In the version of this Article originally published, the values of two of the
functions used to calculate the multifunctionality index were incorrect, which
affected Figs 3,4 of the main article and Supplementary Figs 3,4,5,6,9. Please
see the correction notice for full details.
PMID- 29374246
TI - Lignin and cellulose dynamics with straw incorporation in two contrasting
cropping soils.
AB - Incorporation of crop residues is essential to enhance soil organic matter in
arable ecosystems. Here, we monitored the dynamics of cellulose and lignin, the
most abundant constituents of plant residues, and their relationships with enzyme
activities, microbial gene abundances and soil properties after 13-year long-term
and one-year short-term crop straw incorporation into upland and upland-paddy
soils in a field-based experiment. Lignin, rather than cellulose, accumulated in
both soils following straw incorporation. Cellulose was almost completely
converted into non-cellulose forms within 6 and 3 months after straw
incorporation into upland and upland-paddy rotation soils, respectively. Whereas,
lignin accumulated at the rate of 129 and 137 mg kg-1 yr-1 within 13 years' straw
incorporation in upland and upland-paddy rotation, respectively. The predominance
of recalcitrant vanillyl monomers in upland-paddy rotation indicated a high
stability of lignin. Structural equation models revealed that the key factor
driving cellulose and lignin dynamics was available nitrogen, followed by enzymes
activities (cellobiohydrolases and laccases) and functional genes abundances
(cbhI and laccase-like) as mediated by soil pH. Our findings highlighted that
upland might have higher carbon sequestration rate, whereas upland-paddy rotation
system was more beneficial for accumulation of recalcitrant organic fractions
under crop residue incorporation.
PMID- 29374249
TI - The optimal dosage regimen of vitamin D supplementation for correcting deficiency
in adolescents: a pilot randomized controlled trial.
AB - BACKGROUND/OBJECTIVES: Vitamin D deficiency is common in adolescents but the
optimal dosage regimen for correcting deficiency is unknown. To test the safety
and efficacy of two different vitamin D dosage regimens to correct vitamin D
deficiency in adolescents. SUBJECTS/METHODS: In this 12-month, double-blind,
randomized placebo-controlled trial, 28 adolescents (serum 25 hydroxyvitamin D
(25(OH)D) of 21 to 50 nmol/L) were randomly assigned to one of three groups:
monthly (n = 9; vitamin D3 50,000 IU orally monthly plus three placebo tablets 3
monthly), 3-monthly (n = 9; 150,000 IU (3 * 50,000 IU tablets) 3-monthly and
placebo orally monthly), or placebo (n = 10; placebo monthly and three placebo
tablets 3-monthly). Serum 25(OH)D was measured at baseline, 3, 6 and 12 months.
RESULTS: Two participants withdrew after their baseline measurement from the 3
monthly group. At 12 months, one participant was deficient (<=50 nmol/L) in both
the monthly and 3-monthly groups, whereas six out of ten in the placebo remained
deficient (P = 0.055). At 12 months, the average serum 25(OH)D levels for the
monthly, 3-monthly and placebo groups were 76.4, 64.7 and 49.7 nmol/L,
respectively (P < 0.001 and P = 0.04 for differences between monthly and placebo
groups and 3-monthly and placebo groups respectively, after adjustment for age,
sex and seasonal variation). Adherence was 100% and adverse events were minor.
CONCLUSIONS: Both 50,000 IU monthly and 150,000 IU 3-monthly of vitamin D3 safely
and effectively corrects vitamin D deficiency in adolescents. These data provide
treatment options which can be used by health practitioners to tailor vitamin D
dosage regiments according to patient preference and context.
PMID- 29374248
TI - Role of Quzhou Fructus Aurantii Extract in Preventing and Treating Acute Lung
Injury and Inflammation.
AB - Quzhou Fructus Aurantii (QFA) is an authentic herb of local varieties in
Zhejiang, China, which is usually used to treat gastrointestinal illnesses, but
its effects on respiratory inflammation have not been reported yet. In our study,
the anti-inflammatory activity of QFA extract (QFAE) was evaluated on copper
sulfate pentahydrate (CuSO4.5H2O)-induced transgenic neutrophil fluorescent
zebrafish model. QFAE showed a significant effect of anti-inflammation in
CuSO4.5H2O-induced zebrafish by reducing the neutrophil number in the
inflammatory site. We investigated the anti-inflammatory activity of QFAE on
lipopolysaccharide (LPS)-induced acute lung injury (ALI) mice models and RAW
264.7 cells. QFAE had an anti-inflammatory effect on reducing total cells,
neutrophils, and macrophages in BALF and attenuated alveolus collapse,
neutrophils infiltration, lung W/D ratio, myeloperoxidase (MPO) protein
expression and other pulmonary histological changes in lung tissues, as well as
hematological changes. Levels of pro-inflammatory cytokines, including TNF, IL-6,
IFN-gamma, MCP-1, and IL-12p70, were decreased, whereas anti-inflammatory
cytokine IL-10 was increased after treatment with QFAE both in vivo and in vitro.
In summary, our results suggested that QFAE had apparent anti-inflammatory
effects on CuSO4.5H2O-induced zebrafish, LPS-induced ALI mice, and RAW 264.7
cells. Furthermore, QFAE may be a therapeutic drug to treat ALI/ARDS and other
respiratory inflammations.
PMID- 29374250
TI - Activated forms of astrocytes with higher GLT-1 expression are associated with
cognitive normal subjects with Alzheimer pathology in human brain.
AB - Although the cognitive impairment in Alzheimer's disease (AD) is believed to be
caused by amyloid-beta (Abeta) plaques and neurofibrillary tangles (NFTs),
several postmortem studies have reported cognitive normal subjects with AD brain
pathology. As the mechanism underlying these discrepancies has not been
clarified, we focused the neuroprotective role of astrocytes. After examining 47
donated brains, we classified brains into 3 groups, no AD pathology with no
dementia (N-N), AD pathology with no dementia (AD-N), and AD pathology with
dementia (AD-D), which represented 41%, 21%, and 38% of brains, respectively. No
differences were found in the accumulation of Abeta plaques or NFTs in the
entorhinal cortex (EC) between AD-N and AD-D. Number of neurons and synaptic
density were increased in AD-N compared to those in AD-D. The astrocytes in AD-N
possessed longer or thicker processes, while those in AD-D possessed shorter or
thinner processes in layer I/II of the EC. Astrocytes in all layers of the EC in
AD-N showed enhanced GLT-1 expression in comparison to those in AD-D. Therefore
these activated forms of astrocytes with increased GLT-1 expression may exert
beneficial roles in preserving cognitive function, even in the presence of Abeta
and NFTs.
PMID- 29374252
TI - Pioneering the chromatin landscape.
PMID- 29374251
TI - A two-step synthesis of nanosheet-covered fibers based on alpha-Fe2O3/NiO
composites towards enhanced acetone sensing.
AB - A novel hierarchical heterostructures based on alpha-Fe2O3/NiO nanosheet-covered
fibers were synthesized using a simple two-step process named the electrospinning
and hydrothermal techniques. A high density of alpha-Fe2O3 nanosheets were
uniformly and epitaxially deposited on a NiO nanofibers. The crystallinity,
morphological structure and surface composition of nanostructured based on alpha
Fe2O3/NiO composites were investigated by XRD, SEM, TEM, EDX, XPS and BET
analysis. The extremely branched alpha-Fe2O3/NiO nanosheet-covered fibers
delivered an extremely porous atmosphere with huge specific surface area
essential for superior gas sensors. Different nanostructured based on alpha
Fe2O3/NiO composites were also explored by adjusting the volume ratio of the
precursors. The as-prepared samples based on alpha-Fe2O3/NiO nanocomposite
sensors display apparently enhanced sensing characteristics, including higher
sensing response, quick response with recovery speed and better selectivity
towards acetone gas at lower operating temperature as compared to bare NiO
nanofibers. The sensing response of S-2 based alpha-Fe2O3/NiO nanosheet-covered
fibers were 18.24 to 100 ppm acetone gas at 169 degrees C, which was about 6.9
times higher than that of bare NiO nanofibers. The upgraded gas sensing
performance of composites based on alpha-Fe2O3/NiO nanosheet-covered fibers might
be ascribed to the exclusive morphologies with large surface area, p-n
heterojunctions and the synergetic performance of alpha-Fe2O3 and NiO.
PMID- 29374253
TI - DNA.Land is a framework to collect genomes and phenomes in the era of abundant
genetic information.
PMID- 29374254
TI - ADCY3, neuronal primary cilia and obesity.
PMID- 29374255
TI - Our shared history.
PMID- 29374256
TI - Screening a small molecule library to identify inhibitors of NF-kappaB inducing
kinase and pro-labor genes in human placenta.
AB - The non-canonical NF-kappaB signaling (RelB/p52) pathway drives pro-labor genes
in the human placenta, including corticotropin-releasing hormone (CRH) and
cyclooxygenase-2 (COX-2), making this a potential therapeutic target to delay
onset of labor. Here we sought to identify small molecule compounds from a pre
existing chemical library of orally active drugs that can inhibit this NF-kappaB
signaling, and in turn, human placental CRH and COX-2 production. We used a cell
based assay coupled with a dual-luciferase reporter system to perform an in vitro
screening of a small molecule library of 1,120 compounds for inhibition of the
non-canonical NF-kappaB pathway. Cell toxicity studies and drug efflux transport
MRP1 assays were used to further characterize the lead compounds. We have found
that 14 drugs have selective inhibitory activity against lymphotoxin beta complex
induced activation of RelB/p52 in HEK293T cells, several of which also inhibited
expression of CRH and COX-2 in human term trophoblast. We identified
sulfapyridine and propranolol with activity against CRH and COX-2 that deserve
further study. These drugs could serve as the basis for development of orally
active drugs to affect length of gestation, first in an animal model, and then in
clinical trials to prevent preterm birth during human pregnancy.
PMID- 29374257
TI - Differential physiological responses and tolerance to potentially toxic elements
in biodiesel tree Jatropha curcas.
AB - Environmental pollution by potentially toxic elements (PTEs) has become a serious
problem with increasing industrialization and the disturbance of natural
biogeochemical cycles. Jatropha is an oilseed-bearing shrub with high potential
for biodiesel production in arid regions. In this study, we examined the
physiological responses of this plant to five representative PTEs (Cd, Cr, Cu,
Ni, and Zn) in a hydroponic culture. Application of higher concentrations of Cd
and Zn led to severe leaf chlorosis, and Cd, Cu, and Ni treatments resulted in
significant growth retardation. Higher enrichment of the applied PTEs in the
shoots was observed for Zn- and Cd-treated plants, with the latter reaching 24
fold enrichment in plants exposed to 10 MUM Cd, suggesting that Jatropha can cope
with relatively higher internal concentrations of toxic Cd. Although Cd stress
led to the disturbance of essential mineral homeostasis and photosynthesis, this
induced an increase in thiol compounds in the roots, suggesting defensive
responses of Jatropha to PTEs. This study showed that Jatropha exhibits distinct
sensitivities and physiological responses to different PTEs. This study also
provides basic knowledge for diagnosing the physiological status of Jatropha
trees for potential dual use in afforestation and as a sustainable energy supply.
PMID- 29374259
TI - Interplay of bimolecular and Auger recombination in photoexcited carrier dynamics
in silicon nanocrystal/silicon dioxide superlattices.
AB - We report results of investigating carrier recombination in silicon
nanocrystal/silicon dioxide superlattices. The superlattices prepared by nitrogen
free plasma enhanced chemical vapour deposition contained layers of silicon
nanocrystals. Femtosecond transient transmission optical spectroscopy was used to
monitor carrier mechanisms in the samples. The three-particle Auger recombination
was observed in accord with previous reports. However, under high pump
intensities (high photoexcited carrier densities) the bimolecular process
dominated the recombination. Detailed analysis of measured data and fitting
procedure made it possible to follow and quantify the interplay between the two
recombination processes. The bimolecular recombination was interpreted in terms
of the trap-assisted Auger recombination.
PMID- 29374258
TI - Identification of a Golgi GPI-N-acetylgalactosamine transferase with tandem
transmembrane regions in the catalytic domain.
AB - Many eukaryotic proteins are anchored to the cell surface via the glycolipid
glycosylphosphatidylinositol (GPI). Mammalian GPIs have a conserved core but
exhibit diverse N-acetylgalactosamine (GalNAc) modifications, which are added via
a yet unresolved process. Here we identify the Golgi-resident GPI-GalNAc
transferase PGAP4 and show by mass spectrometry that PGAP4 knockout cells lose
GPI-GalNAc structures. Furthermore, we demonstrate that PGAP4, in contrast to
known Golgi glycosyltransferases, is not a single-pass membrane protein but
contains three transmembrane domains, including a tandem transmembrane domain
insertion into its glycosyltransferase-A fold as indicated by comparative
modeling. Mutational analysis reveals a catalytic site, a DXD-like motif for UDP
GalNAc donor binding, and several residues potentially involved in acceptor
binding. We suggest that a juxtamembrane region of PGAP4 accommodates various GPI
anchored proteins, presenting their acceptor residue toward the catalytic center.
In summary, we present insights into the structure of PGAP4 and elucidate the
initial step of GPI-GalNAc biosynthesis.
PMID- 29374260
TI - Configurable topological textures in strain graded ferroelectric nanoplates.
AB - Topological defects in matter behave collectively to form highly non-trivial
structures called topological textures that are characterised by conserved
quantities such as the winding number. Here we show that an epitaxial
ferroelectric square nanoplate of bismuth ferrite subjected to a large strain
gradient (as much as 105 m-1) associated with misfit strain relaxation enables
five discrete levels for the ferroelectric topological invariant of the entire
system because of its peculiar radial quadrant domain texture and its inherent
domain wall chirality. The total winding number of the topological texture can be
configured from - 1 to 3 by selective non-local electric switching of the
quadrant domains. By using angle-resolved piezoresponse force microscopy in
conjunction with local winding number analysis, we directly identify the
existence of vortices and anti-vortices, observe pair creation and annihilation
and manipulate the net number of vortices. Our findings offer a useful concept
for multi-level topological defect memory.
PMID- 29374261
TI - HIV-1 with HBV-associated Q151M substitution in RT becomes highly susceptible to
entecavir: structural insights into HBV-RT inhibition by entecavir.
AB - Hepatitis B virus (HBV) reverse transcriptase (RT) is essential for viral
replication and is an important drug target. Nonetheless, the notorious
insolubility of HBV RT has hindered experimental structural studies and structure
based drug design. Here, we demonstrate that a Q151M substitution alone at the
nucleotide-binding site (N-site) of human immunodeficiency virus type-1 (HIV-1)
RT renders HIV-1 highly sensitive to entecavir (ETV), a potent nucleoside
analogue RT inhibitor (NRTI) against HBV. The results suggest that Met151 forms a
transient hydrophobic interaction with the cyclopentyl methylene of ETV, a
characteristic hydrophobic moiety of ETV. We thus solved the crystal structures
of HIV-1 RTQ151M:DNA complex with bound dGTP or ETV-triphosphate (ETV-TP). The
structures revealed that ETV-TP is accommodated at the N-site slightly apart from
the ribose ring of the 3'-end nucleotide, compared to the position of bound dGTP
and previously reported NRTI/dNTP. In addition, the protruding methylene group of
bound ETV-TP directly pushes the side-chain of Met184 backward. Met184 is a key
residue that confers ETV resistance upon substitution with smaller Ile/Val. These
results provide novel insights into NRTI binding to the N-site and further
provide important clues for the development of novel anti-HBV/HIV-1 RT inhibitors
to overcome critical drug resistance.
PMID- 29374262
TI - Measurements of growing surface tension of amorphous-amorphous interfaces on
approaching the colloidal glass transition.
AB - There is mounting evidence indicating that relaxation dynamics in liquids
approaching their glass transition not only become increasingly cooperative, but
the relaxing regions also become more compact in shape. Of the many theories of
the glass transition, only the random first-order theory-a thermodynamic
framework-anticipates the surface tension of relaxing regions to play a role in
deciding both their size and morphology. However, owing to the amorphous nature
of the relaxing regions, even the identification of their interfaces has not been
possible in experiments hitherto. Here, we devise a method to directly quantify
the dynamics of amorphous-amorphous interfaces in bulk supercooled colloidal
liquids. Our procedure also helped unveil a non-monotonic evolution in dynamical
correlations with supercooling in bulk liquids. We measure the surface tension of
the interfaces and show that it increases rapidly across the mode-coupling area
fraction. Our experiments support a thermodynamic origin of the glass transition.
PMID- 29374263
TI - Ceramide Synthase 6 Deficiency Enhances Inflammation in the DSS model of Colitis.
AB - Colitis, an inflammatory disease of the digestive tract, is increasing in
incidence and prevalence. Intestinal inflammation can occur as a consequence of
dysfunctions in sphingolipid metabolism. In this study we used ceramide synthase
6 (CerS6) deficient mice, which have a reduced ability to generate long chain C16
ceramide, to investigate the role of this enzyme in dextran sodium salt (DSS)
induced colitis. While CerS6-deficient mice are protected from T cell mediated
colitis, in the T cell independent DSS model lack of CerS6 resulted in a more
rapid onset of disease symptoms. CerS6-deficient mice maintained low levels of
C16-ceramide after DSS treatment, but the inflammatory lipid sphingosine-1
phosphate was significantly increased in colon tissue. In the absence of CerS6,
DSS induced more severe pathology in the colon including enhanced neutrophil
infiltration. In vivo analysis of myeloperoxidase activity, an enzyme released
from neutrophils, was approximately 2.5-fold higher in CerS6-deficient mice
compared to wild type. Differences in intestinal permeability did not account for
the increase in neutrophils. Our study suggests that lack of CerS6 expression
differentially impacts the development of colitis, depending on the model used.
PMID- 29374264
TI - Oxidative stress induced by Cu nutritional disorders in Citrus depends on
nitrogen and calcium availability.
AB - Nutritional stress caused by copper (Cu) deficiency or toxicity affects fruit
production of citrus orchards worldwide, but this could be minimised by fine
tuned fertilisation in the orchards. Two experiments were performed aiming to
evaluate the photosynthetic capacity and the antioxidant enzyme activities of
Swingle citrumelo seedlings, grown in nutrient solution (NS) with two levels of
nitrogen (N) in the first experiment (adequate-N and high-N) and two levels of
calcium (Ca) in the second (low-Ca and adequate-Ca). Plants were then exposed to
various Cu levels (low, medium and high) for 15 days. Plants under Cu-toxicity
exhibited specific effects on reactive oxygen species formation and root-to-shoot
plant signalling. Copper absorption was greater with increased Cu concentration
in the NS, which reduced plant biomass accumulation, gas exchange measurements,
the activity of nitrate reductase and affected Cu partitioning between roots and
shoots. Despite these effects, oxidative stress induced by excess-Cu was reduced
at the highest N dose when compared to control and, on the contrary, increased
with low-Ca supply. Therefore, a rational supply of N or Ca minimises Cu-induced
stress damages to roots and leaves of plants, by directly enhancing the
antioxidant system and protecting the associated antioxidative enzyme activities,
whilst maintaining photosynthesis.
PMID- 29374265
TI - Endoscopic non-ablative fractional laser therapy in an orthotopic colon tumour
model.
AB - Colorectal cancer is one of the leading causes of cancer-related deaths. Although
several therapeutic management strategies are available at the early colon cancer
stages, such as endoscopic mucosal or submucosal dissection, associated
complications often include bleeding or bowel perforations. As an alternative
approach, we investigated endoscopic non-ablative fractional laser (eNAFL)
irradiation as a minimally invasive therapeutic modality for the treatment of
early-stage colorectal cancer. By implanting SL4-DsRed colon cancer cells into
the colons of the C57BL/6 mice, we developed an orthotopic colon tumour mouse
model and demonstrated the early-stage tumour growth delay following the eNAFL
irradiation. Additionally, we evaluated the temperature changes in the eNAFL
irradiated area using numerical simulations, and induced inflammation using
histological analysis. Our results indicate a minimal thermal damage confined to
the irradiated spot, sparing the adjacent tissue and alteration in the tumour
microenvironment. eNAFL irradiation may be clinically useful as a minimally
invasive therapeutic intervention at the early stage of tumourigenesis. In
future, an optimal eNAFL therapeutic dose should be determined, in order to
increase the efficacy of this approach.
PMID- 29374266
TI - Monoclonal and oligoclonal TCR AV and BV gene usage in CD4+ T cells from pigs
immunised with C-strain CSFV vaccine.
AB - The classical swine fever virus C-strain vaccine (C-strain vaccine) plays a vital
role in preventing and controlling the spread of classical swine fever (CSF).
However, the protective mechanisms of C-strain vaccine and cellular immunity
conferred by T cell receptors (TCRs) are less well defined. We aimed to analyse
the association between the complementarity determining region 3 (CDR3)
spectratype of alphabetaTCR in CD4+ T cells and C-strain vaccine; and to find
conserved CDR3 amino acid motifs in specific TCR alpha- and beta-chains. We found
that the CDR3 spectratype showed dynamic changes correlating with C-strain
vaccine immunisation and that TCR AV5S/8-3S/8-4S/14/38 and BV4S/6S/7S/15S/30 gene
families showed clonal expansion in immunised pigs. The sequences of CDR3 from
these clonally expanded T cells indicated a high frequency of the 'KLX' motif in
the TCR alpha chain and the 'GGX' motif in beta chain, and Jalpha39, Jalpha43,
Jbeta2.5 and Jbeta2.3 genes were also found in high frequency. To the best of our
knowledge, this is the first report describing the dynamic changes of
alphabetaTCRs and conserved CDR3 amino acid motifs in CD4+ T cells from C-strain
vaccine-immunised pigs, which will provide a basis for the development of high
efficiency epitope vaccines.
PMID- 29374267
TI - Quorum-sensing control of antibiotic resistance stabilizes cooperation in
Chromobacterium violaceum.
AB - Many Proteobacteria use quorum sensing to regulate production of public goods,
such as antimicrobials and proteases, that are shared among members of a
community. Public goods are vulnerable to exploitation by cheaters, such as
quorum sensing-defective mutants. Quorum sensing- regulated private goods, goods
that benefit only producing cells, can prevent the emergence of cheaters under
certain growth conditions. Previously, we developed a laboratory co-culture model
to investigate the importance of quorum-regulated antimicrobials during
interspecies competition. In our model, Burkholderia thailandensis and
Chromobacterium violaceum each use quorum sensing-controlled antimicrobials to
inhibit the other species' growth. Here, we show that C. violaceum uses quorum
sensing to increase resistance to bactobolin, a B. thailandensis antibiotic, by
increasing transcription of a putative antibiotic efflux pump. We demonstrate
conditions where C. violaceum quorum-defective cheaters emerge and show that in
these conditions, bactobolin restrains cheaters. We also demonstrate that
bactobolin restrains quorum-defective mutants in our co-culture model, and the
increase in antimicrobial-producing cooperators drives the C. violaceum
population to become more competitive. Our results describe a mechanism of
cheater restraint involving quorum control of efflux pumps and demonstrate that
interspecies competition can reinforce cooperative behaviors by placing
constraints on quorum sensing-defective mutants.
PMID- 29374268
TI - The impact of failure: unsuccessful bacterial invasions steer the soil microbial
community away from the invader's niche.
AB - Although many environments like soils are constantly subjected to invasion by
alien microbes, invaders usually fail to succeed, succumbing to the robust
diversity often found in nature. So far, only successful invasions have been
explored, and it remains unknown to what extent an unsuccessful invasion can
impact resident communities. Here we hypothesized that unsuccessful invasions can
cause impacts to soil functioning by decreasing the diversity and niche breadth
of resident bacterial communities, which could cause shifts to community
composition and niche structure-an effect that is likely exacerbated when
diversity is compromised. To examine this question, diversity gradients of soil
microbial communities were subjected to invasion by the frequent, yet oft
unsuccessful soil invader, Escherichia coli, and evaluated for changes to
diversity, bacterial community composition, niche breadth, and niche structure.
Contrary to expectations, diversity and niche breadth increased across treatments
upon invasion. Community composition and niche structure were also altered, with
shifts of niche structure revealing an escape by the resident community away from
the invader's resources. Importantly, the extent of the escape varied in response
to the community's diversity, where less diverse communities experienced larger
shifts. Thus, although transient and unsuccessful, the invader competed for
resources with resident species and caused tangible impacts that modified both
the diversity and functioning of resident communities, which can likely generate
a legacy effect that influences future invasion attempts.
PMID- 29374269
TI - The widespread dissemination of integrons throughout bacterial communities in a
riverine system.
AB - Anthropogenic inputs increase levels of antimicrobial resistance (AMR) in the
environment, however, it is unknown how these inputs create this observed
increase, and if anthropogenic sources impact AMR in environmental bacteria. The
aim of this study was to characterise the role of waste water treatment plants
(WWTPs) in the dissemination of class 1 integrons (CL1s) in the riverine
environment. Using sample sites from upstream and downstream of a WWTP, we
demonstrate through isolation and culture-independent analysis that WWTP effluent
significantly increases both CL1 abundance and antibiotic resistance in the
riverine environment. Characterisation of CL1-bearing isolates revealed that CL1s
were distributed across a diverse range of bacteria, with identical complex
genetic resistance determinants isolated from both human-associated and common
environmental bacteria across connected sites. Over half of sequenced CL1s lacked
the 3'-conserved sequence ('atypical' CL1s); surprisingly, bacteria carrying
atypical CL1s were on average resistant to more antibiotics than bacteria
carrying 3'-CS CL1s. Quaternary ammonium compound (QAC) resistance genes were
observed across 75% of sequenced CL1 gene cassette arrays. Chemical data analysis
indicated high levels of boron (a detergent marker) downstream of the WWTP.
Subsequent phenotypic screening of CL1-bearing isolates demonstrated that ~90%
were resistant to QAC detergents, with in vitro experiments demonstrating that
QACs could solely select for the transfer of clinical antibiotic resistance genes
to a naive Escherichia coli recipient. In conclusion, this study highlights the
significant impact of WWTPs on environmental AMR, and demonstrates the widespread
carriage of clinically important resistance determinants by environmentally
associated bacteria.
PMID- 29374271
TI - Reduction but no shift in brain activation after arithmetic learning in children:
A simultaneous fNIRS-EEG study.
AB - Neurocognitive studies of arithmetic learning in adults have revealed decreasing
brain activation in the fronto-parietal network, along with increasing activation
of specific cortical and subcortical areas during learning. Both changes are
associated with a shift from procedural to retrieval strategies for problem
solving. Here we address the critical, open question of whether similar
neurocognitive changes are also evident in children. In this study, 20 typically
developing children were trained to solve simple and complex multiplication
problems. The one-session and two-week training effects were monitored using
simultaneous functional near-infrared spectroscopy (fNIRS) and
electroencephalography (EEG). FNIRS measurement after one session of training on
complex multiplication problems revealed decreased activation at the left angular
gyrus (AG), right superior parietal lobule, and right intraparietal sulcus. Two
weeks of training led to decreased activation at the left AG and right middle
frontal gyrus. For both simple and complex problems, we observed increased alpha
power in EEG measurements as children worked on trained versus untrained
problems. In line with previous multiplication training studies in adults,
reduced activation within the fronto-parietal network was observed after
training. Contrary to adults, we found that strategy shifts via arithmetic
learning were not contingent on the activation of the left AG in children.
PMID- 29374270
TI - A retrospective cohort study on the outcomes of ischemic stroke patients with
adjuvant Korean Medicine treatment.
AB - This study aims to examine the long-term effect of adjuvant treatment in Korean
Medicine (KM) clinics in ischemic stroke patients, using a national sample cohort
from the National Health Insurance Service in Korea between 2010 and 2013. The
National Health Insurance Service - National Sample Cohort database from 2002 to
2013 was used in this study. Ischemic stroke patients were defined and covariates
were included to account for socioeconomic variables, comorbidities and disease
severity. Propensity score matching was applied. Cox proportional hazards
modeling and Kaplan-Meier analysis were applied to determine the differences
between KM and non-KM treated groups. The results shows that KM-treated group had
a higher probability of survival than non-KM group patients. No significant
difference was observed between the risk of readmission between the treated and
non-treated patients. Kaplan-Meier analysis showed a weak effect of KM treatments
in the patients with 8 to 28 days of hospital stay for a lower risk of recurrence
than in non-treated patients. In conclusion, KM treatment of mild to moderate
ischemic stroke patients has a mild effect on the survival probability of stroke.
Its effect for improving long-term recurrence need to be explored in the future
studies.
PMID- 29374273
TI - Resource control of epidemic spreading through a multilayer network.
AB - While the amount of resource is an important factor in control of contagions,
outbreaks may occur when they reach a finite fraction of the population. An
unexplored issue is how much the resource amount is invested to control this
outbreak. Here we analyze a mechanic model of epidemic spreading, which considers
both resource factor and network layer. We find that there is a resource
threshold, such that a significant fraction of the total population may be
infected (i.e., an outbreak will occur) if the amount of resource is below this
threshold, but the outbreak may be effectively eradicated if it is beyond the
threshold. The threshold is dependent upon both the connection strength between
the layers and their internal structure. We also find that the layer-layer
connection strength can lead to the phase transition from the first-order phase
to the continuous one or vice versa, whereas the internal connection can result
in a different kind of phase transition (i.e., the so-called hybrid phase
transition) apart from first-order and continuous one. Our results could have
important implications for government decisions on public health resources
devoted to epidemic disease control.
PMID- 29374272
TI - Structural changes in alginate-based microspheres exposed to in vivo environment
as revealed by confocal Raman microscopy.
AB - A next-generation cure for type 1 diabetes relies on immunoprotection of insulin
producing cells, which can be achieved by their encapsulation in microspheres
made of non-covalently crosslinked hydrogels. Treatment success is directly
related to the microsphere structure that is characterized by the localization of
the polymers constituting the hydrogel material. However, due to the lack of a
suitable analytical method, it is presently unknown how the microsphere structure
changes in vivo, which complicates evaluation of different encapsulation
approaches. Here, confocal Raman microscopy (CRM) imaging was tailored to serve
as a powerful new tool for tracking structural changes in two major encapsulation
designs, alginate-based microbeads and multi-component microcapsules. CRM
analyses before implantation and after explantation from a mouse model revealed
complete loss of the original heterogeneous structure in the alginate microbeads,
making the intentionally high initial heterogeneity a questionable design choice.
On the other hand, the structural heterogeneity was conserved in the
microcapsules, which indicates that this design will better retain its
immunoprotective properties in vivo. In another application, CRM was used for
quantitative mapping of the alginate concentration throughout the microbead
volume. Such data provide invaluable information about the microenvironment cells
would encounter upon their encapsulation in alginate microbeads.
PMID- 29374274
TI - Membrane potential synchrony of neurons in anterior cingulate cortex plays a
pivotal role in generation of neuropathic pain.
AB - The pathophysiology of neuropathic pain generation has not been fully
investigated. Previous studies have primarily focused on changes in the
properties of single neurons in the brain after nerve injury; however, little is
known concerning the role of neuron-to-neuron connections in neuropathic pain
pathogenesis. Synaptic transmission potentiation in anterior cingulate cortex
(ACC) has been confirmed to be responsible for the formation of neuropathic pain.
Thus, analysis of interneuronal connections in the ACC is an important approach
for understanding the mechanism of neuropathic pain since it provides information
on the potency of synaptic transmission. Here, we recorded membrane potentials
from pairs of ACC neurons in anaesthetised rats and found that cross-correlations
between pairs of ACC neurons significantly increased after surgery for chronic
constriction injury (CCI). Moreover, CCI surgery could also enhance the power
spectrum density of lower and higher-frequency membrane oscillations while having
no effect on middle-frequency oscillations. The activation of membrane potential
synchrony and power spectrum was reversed by the electrical synapse blocker
mefloquine and pain behaviour was simultaneously alleviated. Our results may
indicate that activation of membrane potential synchrony contributes to
generation of neuropathic pain.
PMID- 29374275
TI - Usefulness of the genetic risk score to identify phenocopies in families with
familial hypercholesterolemia?
AB - Familial hypercholesterolemia (FH) is caused by mutations in LDLR (low-density
lipoprotein receptor), APOB (apolipoprotein B), PCSK9 (proprotein convertase
subtilisin/kexin type 9), or APOE (apolipoprotein E) genes in approximately 80%
of the cases. Polygenic forms of hypercholesterolemia may be present among
patients clinically diagnosed with FH but with no identified mutation (FH
mutation-negative (FH/M-)). To address whether polygenic forms may explain
phenocopies in FH families, we calculated a 6-single-nucleotide polymorphism
(SNP) genetic risk score (GRS) in all members from five French FH families where
a mutation was identified (FH/M+) as well as some phenocopies (FH/M-). In two
families, three FH/M- patients present a high GRS suggesting a polygenic
hypercholesterolemia for these phenocopies. However, a high GRS is also observed
in nine FH/M+ patients and in four unaffected relatives from three families.
These observations indicate that the GRS does not seem to be a good diagnostic
tool at the individual level. Nevertheless, the GRS seems to be a contributor of
the severity of hypercholesterolemia since patients who cumulate a mutation and a
high GRS exhibit higher low-density lipoprotein cholesterol levels when compared
to patients with only FH (p = 0.054) or only polygenic hypercholesterolemia (p =
0.0039). In conclusion, the GRS can be used as a marker of the severity of
hypercholesterolemia but does not seem to be a reliable tool to distinguish
phenocopies within FH families.
PMID- 29374276
TI - Patient experience and utility of genetic information: a cross-sectional study
among patients tested for cancer susceptibility and thrombophilia.
AB - We evaluated whether genetic tests with evidence of clinical and personal utility
(i.e. APC and BRCA1/2 tests) are associated with higher satisfaction and a more
positive perception of care experience than those with undefined utility (i.e.
tests for thrombophilia). A cross-sectional survey was performed through
telephone interviews to patients tested for deleterious variants in APC or
BRCA1/2 genes, or for inherited thrombophilia (FV Leiden and/or FIIG20210A)
during a 5-year period (2008-2012). Three aspects of patient experience were
assessed: effective communication through pre- and post-test genetic counselling;
collaboration between caregivers on the management of patient care; and impact of
genetic testing on quality of life. Overall 237 patients had telephone
interviews. Multivariate logistic regression analyses showed that patients tested
for APC or BRCA1/2 variants were more likely to be satisfied with both pre- and
post-test counselling than those tested for inherited thrombophilia (APC vs.
thrombophilia, p = 0.039 and 0.005; BRCA1/2 vs. thrombophilia, p = 0.030 and
<0.001). Patients tested for APC were more likely to report an improvement in
quality of life than those for thrombophilia (OR = 2.97, 95%CI 1.14, 7.72; p =
0.025). A positive association was observed between patients who underwent
BRCA1/2 testing, and self-perceived improvement in quality of life (OR = 1.41,
95%CI 0.74, 2.69; p = 0.294). Tests of undefined clinical and personal utility
are associated with a lower degree of patient satisfaction with genetic
counselling and no clear opinions on changes in quality of life compared with
those with well-defined utility.
PMID- 29374277
TI - CXorf56, a dendritic neuronal protein, identified as a new candidate gene for X
linked intellectual disability.
AB - Intellectual disability (ID) comprises a large group of heterogeneous disorders,
often without a known molecular cause. X-linked ID accounts for 5-10% of male ID
cases. We investigated a large, three-generation family with mild ID and behavior
problems in five males and one female, with a segregation suggestive for X-linked
inheritance. Linkage analysis mapped a disease locus to a 7.6 Mb candidate region
on the X-chromosome (LOD score 3.3). Whole-genome sequencing identified a 2 bp
insertion in exon 2 of the chromosome X open reading frame 56 gene (CXorf56),
resulting in a premature stop codon. This insertion was present in all
intellectually impaired individuals and carrier females. Additionally, X
inactivation status showed skewed methylation patterns favoring the inactivation
of the mutated allele in the unaffected carrier females. We demonstrate that the
insertion leads to nonsense-mediated decay and that CXorf56 mRNA expression is
reduced in the impaired males and female. In murine brain slices and primary
hippocampal neuronal cultures, CXorf56 protein was present and localized in the
nucleus, cell soma, dendrites, and dendritic spines. Although no other families
have been identified with pathogenic variants in CXorf56, these results suggest
that CXorf56 is the causative gene in this family, and thus a novel candidate
gene for X-linked ID with behavior problems.
PMID- 29374278
TI - Birth weight and body mass index z-score in childhood brain tumors: A cross
sectional study.
AB - Children with brain tumors (CBT) are at higher risk of cardiovascular disease and
type 2 diabetes compared to the general population, in which birth weight is a
risk factor for these diseases. However, this is not known in CBT. The primary
aim of this study was to explore the association between birth weight and body
mass measures in CBT, compared to non-cancer controls. This is a secondary data
analysis using cross-sectional data from the CanDECIDE study (n = 78 CBT and n =
133 non-cancer controls). Age, sex, and birth weight (grams) were self-reported,
and confirmed through examination of the medical records. Body mass index (BMI)
was calculated from height and weight measures and reported as kg/m2. BMI z
scores were obtained for subjects under the age of 20 years. Multivariable linear
regression was used to evaluate the relationship between birth weight and BMI and
BMI z-score, adjusted for age, sex, puberty, and fat mass percentage. Higher
birth weight was associated with higher BMI and BMI z-score among CBT and
controls. In conclusion, birth weight is a risk factor for higher body mass
during childhood in CBT, and this may help the identification of children at risk
of future obesity and cardiometabolic risk.
PMID- 29374280
TI - Formation, Microstructure, and Conductivity of a Novel Ga2S3-Sb2S3-AgI
Chalcogenide System.
AB - Novel glasses in a Ga2S3-Sb2S3-AgI system were prepared with a melt-quenching
method, and their glass-forming region was identified. The maximum dissolvable
AgI in glasses was 65 mol%. The thermal, optical, and structural properties of
glasses were investigated as a function of AgI and Ga2S3 contents. The Ga2S3
Sb2S3-AgI glasses possess a wide region of transmission window (0.65-14 MUm). An
ionic conductivity of approximately 1.01 * 10-3 S/cm can be obtained for a 40
(0.8Sb2S3-0.2Ga2S3)-60AgI glass at an ambient temperature, and the ionic
conductivity increased as temperature increased. The relative activation energy
of Ag+ conduction was also calculated. These novel glasses show potential for the
combined application of infrared optics and solid electrolytes.
PMID- 29374279
TI - Ribosome Incorporation into Somatic Cells Promotes Lineage Transdifferentiation
towards Multipotency.
AB - Recently, we reported that bacterial incorporation induces cellular
transdifferentiation of human fibroblasts. However, the bacterium-intrinsic
cellular- transdifferentiation factor remained unknown. Here, we found that
cellular transdifferentiation is caused by ribosomes. Ribosomes, isolated from
both prokaryotic and eukaryotic cells, induce the formation of embryoid body-like
cell clusters. Numerous ribosomes are incorporated into both the cytoplasm and
nucleus through trypsin-activated endocytosis, which leads to cell-cluster
formation. Although ribosome-induced cell clusters (RICs) express several
stemness markers and differentiate into derivatives of all three germ layers in
heterogeneous cell populations, RICs fail to proliferate, alter the methylation
states of pluripotent genes, or contribute to teratoma or chimera formation.
However, RICs express markers of epithelial-mesenchymal transition without
altering the cell cycle, despite their proliferation obstruction. These findings
demonstrate that incorporation of ribosomes into host cells induces cell
transdifferentiation and alters cellular plasticity.
PMID- 29374281
TI - Experimentally evoked same-sex sexual behaviour in pigeons: better to be in a
female-female pair than alone.
AB - Same-sex sexual behaviour has been noted among social animals. However, because
of the large number of observations necessary, data from controlled experiments
are lacking. In this study, we performed experiments to evaluate the effects of
male and female removal in colonies of the feral pigeon (Columba livia f.
urbana). After the experimental removal of males, five long-lasting female-female
pairs occurred. We found that those pairs could successfully raise offspring in a
manner comparable to female-male pairs. Same-sex sexual behaviour and pairing in
females is thus a better alternative to postponed breeding or breeding alone
without the help of a partner. In contrast, in the case of female-removal
experiments, same-sex pairing behaviour occurred in males as a temporary
phenomenon with characteristic mutual aggression. Additionally, under a male
biased sex ratio, we observed father-son and father-daughter copulations. To the
best of our knowledge, these results are the first obtained under controlled
experimental conditions which demonstrate that the sex ratio of a population can
shift the social structure and cause cooperative same-sex breeding behaviour to
arise in a monogamous species.
PMID- 29374282
TI - The Altered Supramolecular Structure of Dopamine D2 Receptors in Disc1-deficient
Mice.
AB - Disc1 is a susceptibility gene for psychiatric disorders including schizophrenia.
It has been suggested that excess transmission through dopamine type 2 receptors
(D2Rs) in the striatum is an underlying mechanism of pathogenesis. In this study,
we used super-resolution microscopy to study the distribution of D2Rs at the
nanoscale in mice lacking exons 2 and 3 of Disc1 (Disc1-deficient mice). We found
that D2Rs in the nucleus accumbens (NAc) of wild-type mice form nanoclusters (~
20,000 nm2), and that Disc1-deficient mice have larger and more D2R nanoclusters
than wild-type mice. Interestingly, administration of clozapine reduced the size
and spatial distribution of the nanoclusters only in Disc1-deficient mice.
Moreover, we observed that medium spiny neurons in the NAc of Disc1-deficient
mice had reduced spine density on their dendrites than did wild-type mice, and
this was also reversed by clozapine administration. The altered D2R nanoclusters
might be morphological representations of the altered dopaminergic transmission
in disease states such as schizophrenia.
PMID- 29374284
TI - Well-Being and Resilience in Children With Speech and Language Disorders.
AB - Purpose: Children with speech and language disorders are at risk in relation to
psychological and social well-being. The aim of this study was to understand the
experiences of these children from their own perspectives focusing on risks to
their well-being and protective indicators that may promote resilience. Method:
Eleven 9- to 12-year-old children (4 boys and 7 girls) were recruited using
purposeful sampling. One participant presented with a speech sound disorder, 1
presented with both a speech and language disorder, and 9 with language
disorders. All were receiving additional educational supports. Narrative inquiry,
a qualitative design, was employed. Data were generated in home and school
settings using multiple semi-structured interviews with each child over a 6-month
period. A total of 59 interviews were conducted. The data were analyzed to
identify themes in relation to potential risk factors to well-being and
protective strategies. Results: Potential risk factors in relation to well-being
were communication impairment and disability, difficulties with relationships,
and concern about academic achievement. Potential protective strategies were
hope, agency, and positive relationships. Conclusion: This study highlights the
importance of listening to children's narratives so that those at risk in
relation to well-being can be identified. Conceptualization of well-being and
resilience within an ecological framework may enable identification of protective
strategies at both individual and environmental levels that can be strengthened
to mitigate negative experiences.
PMID- 29374283
TI - Measurements of AMPs in stratum corneum of atopic dermatitis and healthy skin
tape stripping technique.
AB - Decreased levels of antimicrobial peptides (AMPs) in atopic dermatitis (AD) have
previously been reported and have been linked to the increased susceptibility to
skin infections found in AD patients. This study intents to identify AMPs: hBD-2,
hBD-3, RNase7, psoriasin and LL-37 in AD patients and healthy controls, and
determine concentrations in consecutive depths of the outer most skin layers.
Tape stripping was used on lesional and non-lesional skin. From each skin site,
35 consecutive tape strips were collected and pooled in groups of 5. Commercially
available ELISA kits were used to determine AMP concentration in stratum corneum
samples. hBD-2, hBD-3, RNase7 and psoriasin were identified in stratum corneum
samples. hBD-3-level was markedly higher in AD non-lesional skin compared to
healthy controls, and a similar trend was observed for RNase7. Most AMPs were
distributed evenly through 35 tape strips, implying a homogeneous distribution of
antimicrobial defense in the outer most skin layers. The findings indicate that
AD patients may not suffer from a general baseline deficiency in AMPs, and that
the innate immune defense is present throughout the stratum corneum, both
insights of importance for understanding the role of AMPs in AD.
PMID- 29374285
TI - A Narrative Evaluation of Mandarin-Speaking Children With Language Impairment.
AB - Purpose: We aimed to study narrative skills in Mandarin-speaking children with
language impairment (LI) to compare with children with LI speaking Indo-European
languages. Method: Eighteen Mandarin-speaking children with LI (mean age 6;2
[years;months]) and 18 typically developing (TD) age controls told 3 stories
elicited using the Mandarin Expressive Narrative Test (de Villiers & Liu, 2014).
We compared macrostructure-evaluating descriptions of characters, settings,
initiating events, internal responses,plans, actions, and consequences. We also
studied general microstructure, including productivity, lexical diversity,
syntactic complexity, and grammaticality. In addition, we compared the use of 6
fine-grained microstructure elements that evaluate particular Mandarin linguistic
features. Results: Children with LI exhibited weaknesses in 5 macrostructure
elements, lexical diversity, syntactic complexity, and 3 Mandarin-specific, fine
grained microstructure elements. Children with LI and TD controls demonstrated
comparable performance on 2 macrostructure elements, productivity,
grammaticality, and the remaining 3 fine-grained microstructure features.
Conclusions: Similarities and differences are noted in narrative profiles of
children with LI who speak Mandarin versus those who speak Indo-European
languages. The results are consistent with the view that profiles of linguistic
deficits are shaped by the ambient language. Clinical implications are discussed.
PMID- 29374287
TI - [Liquid ecstasy intoxication : GHB is more prevalent than assumed and is
potentially more harmful].
PMID- 29374286
TI - Evidence of Cross-Regulation in Two Closely Related Pyruvate-Sensing Systems in
Uropathogenic Escherichia coli.
AB - Two-component systems (TCSs) dictate many bacterial responses to environmental
change via the activation of a membrane-embedded sensor kinase, which has
molecular specificity for a cognate response regulator protein. However, although
the majority of TCSs operate through seemingly strict cognate protein-protein
interactions, there have been several reports of TCSs that violate this classical
model of signal transduction. Our group has recently demonstrated that some of
these cross-interacting TCSs function in a manner that imparts a fitness
advantage to bacterial pathogens. In this study, we describe interconnectivity
between the metabolite-sensing TCSs YpdA/YpdB and BtsS/BtsR in uropathogenic
Escherichia coli (UPEC). The YpdA/YpdB and BtsS/BtsR TCSs have been previously
reported to interact in K12 E. coli, where they alter the expression of putative
transporter genes yhjX and yjiY, respectively. These target genes are both
upregulated in UPEC during acute and chronic murine models of urinary tract
infection, as well as in response to pyruvate and serine added to growth media in
vitro. Here, we show that proper regulation of yhjX in UPEC requires the presence
of all components from both of these TCSs. By utilizing plasmid-encoded
luciferase reporters tracking the activity of the yhjX and yjiY promoters, we
demonstrate that deletions in one TCS substantially alter transcriptional
activity of the opposing system's target gene. However, unlike in K12 E. coli,
single gene deletions in the YpdA/YpdB system do not alter yjiY gene expression
in UPEC, suggesting that niche and lifestyle-specific pressures may be selecting
for differential cross-regulation of TCSs in pathogenic and non-pathogenic E.
coli.
PMID- 29374288
TI - [Quality of life and posttraumatic stress disorder after out-of-hospital cardiac
arrest].
AB - BACKGROUND: Survival rate after out-of-hospital cardiac arrest (OHCA) is
increasing. However, there is a lack of data concerning long-term quality of life
of affected patients. OBJECTIVES: This study aims to investigate the
psychological effects of out-of-hospital cardiopulmonary resuscitation. METHODS:
All patients who were admitted to our hospital after OHCA between 01 January 2008
and 30 June 2015 and could be discharged in good neurological condition were
asked to fill out the Impact of Event Scale-Revised (IES-R) and 36-Item Short
Form Health Survey (SF-36). For statistical analysis, the mean, standard
deviation and student's t-test were used (level of significance p < 0.05).
RESULTS: Of 280 OHCA survivors, 56 patients (20.0%) were discharged from the
hospital in good neurological condition. Of those, 20 patients (35.7%) were
willing to participate in this study, among them 11 women and 9 men. Compared to
the cohort of the German normative data, the results of the SF-36 questionnaire
of OHCA survivors showed significantly lower values in all SF-36 subscales and
also for the summary scores. There was no significant difference compared to
patients with myocardial infarction. Average values of the IES-R subscales for
intrusion and avoidance were 9.9 +/- 9.3 and 9.7 +/- 7.7, respectively, and 11.3
+/- 7.4 for hyperarousal. Calculations indicated the suspected diagnosis of
posttraumatic stress disorder in 2 of the 20 patients (10%). DISCUSSION: Even in
patients who could be discharged from the hospital after OHCA in good
neurological condition, the quality of life is significantly lower compared to
the standard population but not compared to patients with myocardial infarction.
The data also suggest that a relevant number of patients after OHCA is affected
by posttraumatic stress disorder. Further research efforts on optimization of
post-resuscitation care should not only focus on survival rates but also on
improving quality of life.
PMID- 29374289
TI - [Subcutaneous port site metastasis after da Vinci radical laparoscopic
prostatectomy].
PMID- 29374290
TI - [Potential of specialized outpatient palliative care].
AB - Palliative care patients with incurable advanced disease suffering from complex
symptoms can receive specialized outpatient palliative care in addition to the
existing ambulatory care system. Qualified physicians and nurses care for
patients and their dependents in cooperation with other professionals. In
addition to a 24/7 on-call service for emergencies or acute crises, patients and
their dependents are offered regular visits.
PMID- 29374291
TI - Intracardiac echocardiography for percutaneous patent foramen ovale and atrial
septal defect occlusion.
AB - BACKGROUND: Transesophageal echocardiography (TEE) plays a unique role in
transcatheter closure of atrial septal defects (ASD) and patent foramen ovale
(PFO). However, problems such as the need for general anesthesia, possible trauma
from endotracheal intubation, presence of "blind spots," and occasional
inadequate imaging of some cardiac structures have necessitated better imaging
techniques. Our study aimed to compare the findings of TEE during the initial
diagnostic examination with those from intracardiac echocardiography (ICE)
acquired during the interventional procedure. METHODS: A total of 65 patients in
whom TEE was used for the diagnosis of ASD or PFO were included. Of these, 40
patients (61.5%) had ASD with significant left to right shunt and 25 (38.5%)
patients had PFO associated with transient ischemic attack or stroke. ICE imaging
was performed under local anesthesia in all patients to guide interatrial
communication closure. RESULTS: ICE provided adequate views of the defects and
surrounding structures during the various stages of device deployment. In eight
patients (12.3%) an additional anatomical variation was detected. All patients
had successful device implantation and were discharged 1 day after the procedure.
CONCLUSION: ICE is a safe and high-quality imaging technique for guiding
transcatheter ASD and PFO occlusion. Additionally, ICE can both facilitate device
implantation and detect cardiac abnormalities that are not identified with TEE
during the initial diagnostic investigation.
PMID- 29374292
TI - Cardiac MRI for detecting left atrial/left atrial appendage thrombus in patients
with atrial fibrillation : Meta-analysis and systematic review.
AB - BACKGROUND: The aim of this meta-analysis was to evaluate the accuracy of cardiac
magnetic resonance (CMR) in detecting left atrial/left atrial appendage (LA/LAA)
thrombus and to analyze the difference between the diagnostic accuracy of various
imaging sequences. METHODS: PubMed, Web of Science, Embase, and the Cochrane
Library were systematically searched for studies from 2000 to 2017 that compared
CMR with transesophageal echocardiography (TEE) in detecting LA/LAA thrombus. The
CMR images were analyzed in four categories: (1) cine-CMR; (2) first-pass
contrast-enhanced 3D CMR angiography (CE-MRA); (3) delayed-enhancement CMR (DE
CMR); and (4) CMR, regardless of the magnetic resonance sequences used.
Descriptive and quantitative information was extracted and Meta-DiSc 1.4 was used
to perform the analysis. RESULTS: The analysis included 582 patients from seven
publications. The pooled sensitivity, specificity, diagnostic odds ratio,
positive likelihood ratio, negative likelihood ratio, and summary receiver
operating characteristic of cine-CMR were 91.00%, 93.00%, 50.43, 10.04, 0.24, and
93.93%, respectively; for CE-MRA, the values were 77.00%, 97.00%, 179.21, 51.77,
0.30, and 97.63%, respectively; for DE-CMR, 100.00%, 99.00%, 849.70, 77.62, 0.09,
and 99.38%, respectively; and for CMR, 80.00%, 99.00%, 187.54, 24.21, 0.17, and
97.71%, respectively. CONCLUSION: In patients with atrial fibrillation, CMR has
been proven to be a favorable diagnostic technique for the detection and
assessment of LA/LAA thrombus. Among the imaging sequences evaluated, DE-CMR had
the highest sensitivity, specificity, and diagnostic accuracy.
PMID- 29374294
TI - Imaging of Spontaneous and Traumatic Cervical Artery Dissection : Comparison of
Typical CT Angiographic Features.
AB - INTRODUCTION: Cervical artery dissection (CAD) is an important etiology of
ischemic stroke and early recognition is vital to protect patients from the major
complication of cerebral embolization by administration of anticoagulants. The
etiology of arterial dissections differ and can be either spontaneous or
traumatic. Even though the historical gold standard is still catheter
angiography, recent studies suggest a good performance of computed tomography
angiography (CTA) for detection of CAD. We conducted this research to evaluate
the variety and frequency of possible imaging signs of spontaneous and traumatic
CAD and to guide neuroradiologists' decision making. METHODS: Retrospective
review of the database of our multiple injured patients admitted to the
Department of Trauma, Hand, and Reconstructive Surgery of the University Hospital
Munster in Germany (a level 1 trauma center) for patients with traumatic CAD
(tCAD) and of our stroke database (2008-2015) for patients with spontaneous CAD
(sCAD) and CT/CTA on initial clinical work-up. All images were evaluated
concerning specific and sensitive radiological features for dissection by two
experienced neuroradiologists. Imaging features were compared between the two
etiologies. RESULTS: This study included 145 patients (99 male, 46 female; 45 +/-
18.8 years of age), consisting of 126 dissected arteries with a traumatic and 43
with spontaneous etiology. Intimal flaps were more frequently observed after
traumatic etiology (58.1% tCADs, 6.9% sCADs; p < 0.001); additionally,
multivessel dissections were much more frequent in trauma patients (3 sCADs, 21
tCADs) and only less than half (42%) of the patients with traumatic dissections
showed cervical spine fractures. CONCLUSION: Neuroradiologists should be aware
that intimal flaps and multivessel dissections are more common after a traumatic
etiology. In addition, it seems important to conduct a CTA in a trauma setting,
even if no cervical spine fracture is detected.
PMID- 29374293
TI - Effects of the SGLT2 inhibitor ipragliflozin on various diabetic symptoms and
progression of overt nephropathy in type 2 diabetic mice.
AB - Diabetic nephropathy is the leading cause of end-stage renal disease and is
associated with high-cardiovascular risk and significant morbidity and mortality.
The recent development of sodium-glucose cotransporter (SGLT) 2 inhibitors offers
a new antidiabetic therapy via enhanced glucose excretion; however, the
beneficial effect of these drugs on the development of type 2 diabetic overt
nephropathy is still largely unclear. We examined the therapeutic effects of the
SGLT2 inhibitor ipragliflozin on various diabetic symptoms and the progression of
nephropathy in uninephrectomized type 2 diabetic mice, which exhibit not only
typical diabetic symptoms, such as impaired insulin secretion, glucose
intolerance, hyperglycemia, and obesity, but also overt nephropathy with decline
in renal function. Diabetes was induced by intraperitoneal administration of
nicotinamide (1000 mg/kg) and streptozotocin (150 mg/kg) to uninephrectomized
high-fat diet-fed mice. Ipragliflozin (0.1-3 mg/kg) was orally administered to
diabetic mice once daily for 4 weeks. Repeated administration of ipragliflozin
improved diabetic symptoms, such as hyperglycemia and insulin resistance, via an
increase in urinary glucose excretion. In addition, ipragliflozin attenuated
albuminuria/proteinuria and the decline in renal function, and improved renal
injury, including glomerulosclerosis and interstitial fibrosis. Our results
demonstrate that ipragliflozin improves various diabetic symptoms and delays
development of diabetic nephropathy. Therefore, SGLT2 inhibitors could constitute
a novel therapeutic target for the treatment of type 2 diabetes with overt
nephropathy.
PMID- 29374295
TI - Correction to: Health status of Polish children and adolescents after cancer
treatment.
AB - The first and family names of the authors were interchanged. The correct author
names are now correctly presented in this article.
PMID- 29374296
TI - Population pharmacokinetics of Rilpivirine in HIV-1-infected patients treated
with the single-tablet regimen rilpivirine/tenofovir/emtricitabine.
AB - PURPOSE: Rilpivirine, prescribed for the treatment of HIV infection, presents an
important inter-individual pharmacokinetic variability. We aimed to determine
population pharmacokinetic parameters of rilpivirine in adult HIV-infected
patients and quantify their inter-individual variability. METHODS: We conducted a
multicenter, retrospective, and observational study in patients treated with the
once-daily rilpivirine/tenofovir disoproxil fumarate/emtricitabine regimen. As
part of routine therapeutic drug monitoring, rilpivirine concentrations were
measured by UPLC-MS/MS. Population pharmacokinetic analysis was performed using
NONMEM software. Once the compartmental and random effects models were selected,
covariates were tested to explain the inter-individual variability in
pharmacokinetic parameters. The final model qualification was performed by both
statistical and graphical methods. RESULTS: We included 379 patients, resulting
in the analysis of 779 rilpivirine plasma concentrations. Of the observed trough
individual plasma concentrations, 24.4% were below the 50 ng/ml minimal effective
concentration. A one-compartment model with first-order absorption best described
the data. The estimated fixed effect for plasma apparent clearance and
distribution volume were 9 L/h and 321 L, respectively, resulting in a half-life
of 25.2 h. The common inter-individual variability for both parameters was 34.1%
at both the first and the second occasions. The inter-individual variability of
clearance was 30.3%. CONCLUSIONS: Our results showed a terminal half-life lower
than reported and a high proportion of patients with suboptimal rilpivirine
concentrations, which highlights the interest of using therapeutic drug
monitoring in clinical practice. The population analysis performed with data from
"real-life" conditions resulted in reliable post hoc estimates of pharmacokinetic
parameters, suitable for individualization of dosing regimen.
PMID- 29374297
TI - [Chronic heart failure in older patients : Updated national healthcare guidelines
on chronic heart failure from a geriatric perspective].
AB - The incidence and prevalence of chronic heart failure (CHF) increase with age. In
the second edition of the National Disease Management Guidelines (NVL) on CHF,
published in August 2017, geriatric aspects are specifically addressed. The paper
provides an overview of the recommendations by the guidelines on drug therapy,
device therapy and operative therapy as well on the coordination of care focusing
on older and multimorbid patients.
PMID- 29374298
TI - [Regional differences in the development of hospitalizations : An effect of
different demographic trends?]
AB - Population aging and population decline in many regions of the Federal Republic
of Germany are key elements of demographic change. In the regions concerned there
is a rising number of older people and, simultaneously, a declining population.
So far, the consequences of regional shrinkage and growth for inpatient care
don't seem to have been analysed very well. This paper analyses the influence of
population aging and declining/increasing population (demographic factors) as
well as other, non-demographic factors on the number of hospitalizations in
Germany and the Federal States since 2000.One result of the analysis is that
there are major differences between the Federal States. The analysis shows, for
example, an increase of hospitalizations in Berlin while in Saxony-Anhalt the
number of hospitalizations declines. The increase in Berlin was the result of
population aging and, to a lower extent, an increase in population. In Saxony
Anhalt the declining population resulted in a decreasing number of
hospitalizations. Population aging and non-demographic factors were not able to
compensate this trend.Overall, the effect of demographic factors on the number of
hospitalizations remains constant over time. Short-term changes of
hospitalizations are due to non-demographic factors, such as epidemiological
trends, (for example trends of incidence or prevalence), or structural changes of
health care service (for example patients shifting between different sectors of
health care or the introduction of new reimbursement systems).
PMID- 29374299
TI - [Reimbursement of health apps by the German statutory health insurance].
AB - A reimbursement category for "apps" does not exist in German statutory health
insurance. Nevertheless different ways for reimbursement of digital health care
products or processes exist. This article provides an overview and a description
of the most relevant finance and reimbursement categories for apps in German
statutory health insurance. The legal qualifications and preconditions of
reimbursement in the context of single contracts with one health insurance fund
will be discussed as well as collective contracts with national statutory health
insurance funds. The benefit of a general outline appeals especially in respect
to the numerous new players and products in the health care market. The article
will highlight that health apps can challenge existing legal market access and
reimbursement criteria and paths. At the same time, these criteria and paths
exist. In terms of a learning system, they need to be met and followed.
PMID- 29374300
TI - Head and neck cancer patient experience of a new dietitian-delivered health
behaviour intervention: 'you know you have to eat to survive'.
AB - PURPOSE: The aim of this study was to explore head and neck cancer (HNC) patient
experiences of a novel dietitian delivered health behaviour intervention.
METHODS: This study is a qualitative study which employed semi-structured
individual interviews using open and axial coding and then final selective coding
to organise the data. Patients with HNC who had participated in a dietitian
delivered health behaviour intervention to reduce malnutrition were invited to
discuss their experience of this intervention. Individual interviews were
conducted, transcribed and analysed using grounded theory. RESULTS: Nine patients
participated in the interviews. Four dimensions were identified in the initial
coding process: 'information', which described patients' desire for tailored
advice during their treatment; 'challenges of treatment experience', which
described the difficulties related to treatment side effects; 'key messages:
importance of eating and maintaining weight', which covered perceived integral
messages delivered to patients by dietitians; and 'dietitian's approach'
describing patient experiences of empathic and compassionate dietitians. Two
overarching themes resulted from examining the connections and relationships
between these dimensions: 'survival', a connection between eating and living; and
'support', describing the valued working partnership between dietitian and
patient. CONCLUSIONS: Dimensions and themes overlapped with the qualitative
literature on HNC patient experience of treatment. However, some themes, such as
the empowerment of a message linking eating to survival, appeared unique to this
study. Patients found this message to be delivered in a supportive manner that
motivated change.
PMID- 29374301
TI - Correction to: Comparing Percutaneous Transluminal Angioplasty and Stent
Placement for Treatment of Subclavian Arterial Occlusive Disease: A Systematic
Review and Meta-Analysis.
AB - The original version of this paper contained an error.
PMID- 29374302
TI - [Durvalumab improves prognosis of stage III non-small-cell lung cancer after
definitive chemoradiotherapy].
PMID- 29374303
TI - Negative allosteric modulation of alpha 5-containing GABAA receptors engenders
antidepressant-like effects and selectively prevents age-associated hyperactivity
in tau-depositing mice.
AB - RATIONALE: Associated with frank neuropathology, patients with Alzheimer's
disease suffer from a host of neuropsychiatric symptoms that include depression,
apathy, agitation, and aggression. Negative allosteric modulators (NAMs) of
alpha5-containing GABAA receptors have been suggested to be a novel target for
antidepressant action. We hypothesized that pharmacological modulation of this
target would engender increased motivation in stressful environments. METHODS: We
utilized electrophysiological recordings from Xenopus oocytes and behavioral
measures in mice to address this hypothesis. RESULTS: In the forced-swim assay in
mice that detects antidepressant drugs, the alpha5beta3gamma2 GABAAlpha receptor
NAM, RY-080 produced a marked antidepressant phenotype. Another compound, PWZ
029, was characterized as an alpha5beta3gamma2 receptor NAM of lower intrinsic
efficacy in electrophysiological studies in Xenopus oocytes. In contrast to RY
080, PWZ-029 was only moderately active in the forced-swim assay and the
alpha5beta3gamma2 receptor antagonist, Xli-093, was not active at all. The
effects of RY-080 were prevented by the non-selective benzodiazepine receptor
antagonist flumazenil as well as by the selective ligands, PWZ-029 and Xli-093.
These findings demonstrate that this effect of RY-080 is driven by negative
allosteric modulation of alpha5betagamma2 GABAA receptors. RY-080 was not active
in the tail-suspension test. We also demonstrated a reduction in the age
dependent hyperactivity exhibited by transgenic mice that accumulate pathological
tau (rTg4510 mice) by RY-080. The decrease in hyperactivity by RY-080 was
selective for the hyperactivity of the rTg4510 mice since the locomotion of
control strains of mice were not significantly affected by RY-080. CONCLUSIONS:
alpha5betagamma2 GABAA receptor NAMs might function as a pharmacological
treatment for mood, amotivational syndromes, and psychomotor agitation in
patients with Alzheimer's and other neurodegenerative disorders.
PMID- 29374304
TI - Differences in trait impulsivity do not bias the response to pharmacological drug
challenge in the rat five-choice serial reaction time task.
AB - RATIONALE: Maladaptive impulsivity is symptomatic of several neuropsychiatric
disorders including schizophrenia, attention-deficit hyperactivity disorder
(ADHD), and substance abuse disorders; paradigms designed to assess the
underlying neurobiology of this behavior are essential for the discovery of novel
therapeutic agents. Various models may be used to assess impulsivity as measured
by the five-choice serial reaction time task (5-CSRTT), including variable inter
trial interval (ITI) sessions, the selection of extreme high and low impulsivity
phenotypes from a large outbred population of rats, as well as pharmacological
challenges. OBJECTIVES: The aim of this study is to evaluate if pharmacological
challenge models for impulsivity are biased by underlying differences in
impulsivity phenotype. METHODS: Extreme high and low impulsivity phenotypes were
selected in the 5-CSRTT, and dose-dependent effects of various pharmacological
challenges, namely MK-801, yohimbine, and cocaine, were evaluated on task
performance, specifically accuracy and premature responses. RESULTS: All three
compounds increased premature responding, while a decrease in attentional
performance occurred following MK-801 and yohimbine administration. No
differences in drug-induced impulsivity between rats selected for high or low
impulsivity or in parameters indicative of attentional performance could be
determined. CONCLUSIONS: Our findings indicate that different pharmacological
challenges increase impulsivity on the 5-CSRTT, with modest effects on attention.
These effects were not influenced by underlying differences in impulsivity
phenotype, which is an important prerequisite to reliably use these challenge
models to screen and profile compounds with putative anti-impulsive
characteristics.
PMID- 29374305
TI - Effects of nicotine self-administration on incentive salience in male Sprague
Dawley rats.
AB - RATIONALE: Prolonged use of nicotine appears to enhance incentive salience, a
motivational-cognitive process that transforms an otherwise neutral stimulus into
a "wanted" stimulus. It has been suggested that nicotinic enhancement of
incentive salience contributes to the potential of relapse in individuals with
tobacco addiction. However, there are two main limitations of prior research that
caution this claim: (a) the use of passive experimentally delivered nicotine and
(b) the use of sign-tracking as an index of incentive salience, without
acknowledging the competing nature of goal- and sign-tracking responses.
OBJECTIVES: To determine whether nicotinic enhancement of incentive salience
attributed to non-nicotinic stimuli occurs when rats self-administer nicotine,
and whether it is facilitated by a prior history of nicotine self-administration.
METHODS: Twenty-three male rats were trained daily, for 24 days, on a nicotine
self-administration (SA) paradigm in the morning, and on a four-conditioned
stimuli Pavlovian conditioned approach (4-CS PCA) task in the afternoon. Self
administration was followed by extinction and cue reinstatement. A subcutaneous
nicotine challenge was performed during the last 7 days of the study. RESULTS:
Nicotine self-administration selectively enhanced sign-tracking in the 4-CS PCA.
Upon extinction, sign-tracking quickly declined to control levels. Experimenter
administered nicotine enhanced sign-tracking similarly regardless of nicotine
history. CONCLUSIONS: The results suggest that nicotinic enhancement of incentive
salience is transient, and a previous history of nicotine use does not cause
further sensitization. Taken together, these results suggest that nicotine
enhances incentive salience, particularly-and perhaps exclusively-while onboard.
PMID- 29374306
TI - Intraoperative colonic pulse oximetry in left-sided colorectal surgery: can it
predict anastomotic leak?
AB - BACKGROUND: An anastomotic leak is a fairly common and a potentially lethal
complication in colorectal surgery. Objective methods to assess the viability and
blood circulation of the anastomosis could help in preventing leaks.
Intraoperative pulse oximetry is a cheap, easy to use, fast, and readily
available method to assess tissue viability. Our aim was to study whether
intraoperative pulse oximetry can predict the development of an anastomotic leak.
METHODS: The study was a prospective single-arm study conducted between the years
2005 and 2011 in Helsinki University Hospital. Patient material consisted of 422
patients undergoing elective left-sided colorectal surgery. The patients were
operated by one of the three surgeons. All of the operations were partial or
total resections of the left side of the colon with a colorectal anastomosis. The
intraoperative colonic oxygen saturation was measured with pulse oximetry from
the colonic wall, and the values were analyzed with respect to post-operative
complications. RESULTS: 2.3 times more operated anastomotic leaks occurred when
the colonic StO2 was <= 90% (11/129 vs 11/293). The mean colonic StO2 was 91.1 in
patients who developed an operated anastomotic leak and 93.0 in patients who did
not. With logistic regression analysis, the risk of operated anastomotic leak was
4.2 times higher with StO2 values <= 90%. CONCLUSIONS: Low intraoperative colonic
StO2 values are associated with the occurrence of anastomotic leak. Despite its
handicaps, the method seems to be useful in assessing anastomotic viability.
PMID- 29374308
TI - [Importance of laparoscopic liver resection compared to laparoscopic
radiofrequency ablation].
PMID- 29374309
TI - [Branch duct IPMN: resection or surveillance?]
PMID- 29374310
TI - [Laparoscopic ileocecal resection compared with infliximab for terminal ileitis].
PMID- 29374307
TI - Cellular populations and thermosensing mechanisms of the hypothalamic
thermoregulatory center.
AB - Temperature affects all aspects of life down to the diffusion rates of
biologically active molecules and reaction rates of enzymes. The reciprocal
argument holds true as well and every biological process down to enzymatic
reactions influences temperature. In order to assure biological stability,
mammalian organisms possess the remarkable ability to maintain internal body
temperature within a narrow range, which in humans and mice is close to 37
degrees C, despite wide environmental temperature variations and different rates
of internal heat production. Nevertheless, body temperature is not a static
property but adaptively regulated upon physiological demands and in the context
of pathological conditions. The brain region that has been primarily associated
with internal temperature regulation is the preoptic area and the anterior
portion of the hypothalamus. Similar to a thermostat, this brain area detects
deep brain temperature, integrates temperature information from peripheral body
sensors, and-based on these inputs--controls body temperature homeostasis.
Discovered more than a century ago, we still know comparatively little about the
molecular and cellular make-up of the hypothalamic thermoregulatory center. After
a brief historic outline that led to the discovery of the thermoregulatory
center, we here review recent studies that have considerably advanced our
understanding of hypothalamic thermoregulation. We touch upon proposed mechanisms
of intrinsic deep brain temperature detection and focus on newly identified
hypothalamic cell populations that mediate thermoregulatory responses and that
provide novel entry points not only to shed light on the mechanistic
underpinnings of the thermoregulatory center but also to probe its therapeutic
value.
PMID- 29374311
TI - Detection of painted-over traces of blood and seminal fluid.
AB - Dealing with a refurbished crime scene is a special challenge for forensic
investigators. In such cases, a crime scene may not have only been cleaned in
order to erase all traces but the walls of an indoor crime scene could also be
painted over in order to mask traces of the crime. So far, very few publications
have shown that painted-over traces of blood and seminal fluid can be detected
using a forensic light source or infrared photography. To date, there have been
no systematically executed research studies including guidelines on which
settings to use depending on the color of the wall. Moreover, no comparative
study has addressed the question of whether it is better to use infrared
photography or a forensic light source to visualize painted-over bloodstains. The
present study covers the aforementioned gaps and shows that painted-over
bloodstains are most easily visualized by infrared photography, while traces of
seminal fluid are most easily visualized at 440 nm in combination with a yellow
filter-both independent of the color of the wall paint.
PMID- 29374312
TI - [X-ray Phase Contrast : Principles, potential and advances in clinical
translation].
AB - More than 100 years ago Max von Laue in Munich discovered that X-rays can be
interpreted not only as X-ray quanta in a particle picture, but also show a wave
character. This property has been used for a long time in basic research (e.g. in
crystallography for determining the structure of proteins), but so far has had no
application in medical imaging. In the last 10 years, however, very impressive
technological progress could be made in preclinical research, which also makes
the utilization of the wave character of X-ray light possible for medical
imaging. These novel radiography procedures, so-called phase-contrast and dark
field imaging, have a great potential for a pronounced improvement in X-ray
imaging and therefore, also the diagnosis of important diseases. This article
describes the basic principles of these novel procedures, summarizes the
preclinical research results already achieved exemplified by various organs and
shows the potential for future clinical utilization in radiography and computed
tomography.
PMID- 29374313
TI - [Importance of Virchow-Robin spaces].
AB - Virchow-Robin spaces are perivascular fluid-filled cavities that surround
perforating arteries and veins in the brain parenchyma. As a rule in healthy
people they are approximately 5 mm in diameter. Typical localizations are
brainstem ganglia, mesencephalon and the white matter of the brain. Morphological
imaging characteristics of Virchow-Robin spaces are round or tubular, smoothly
bordered areas which are hyperintense in T2-weighted sequences. Virchow-Robin
spaces represent a physiological structure in normal brain parenchyma. It is
assumed that they contain interstitial fluid filled with macrophages and play an
important role in the drainage of interstitial fluid in the direction of the
cervical lymph system. In many diseases, such as Alzheimer's disease,
cerebrovascular diseases and traumatic brain injuries, an association with
Virchow-Robin spaces is assumed. In the differential diagnostics lacunar
infarcts, cystic space-occupying lesions, low-grade malignant tumors and
arachnoid cysts must be considered. In individual studies an association with
frequently occurring expanded perivascular spaces in patients with arterial
hypertension and patients with CADASIL disease was established. Rarely, Virchow
Robin spaces are so expanded that they lead to compression of the aqueduct or the
foramina of Monro with subsequent hydrocephalus.
PMID- 29374314
TI - [Advanced cartilage imaging for detection of cartilage injuries and osteochondral
lesions].
AB - BACKGROUND: Osteochondral defects represent a main risk factor for osteoarthritis
of the ankle. OBJECTIVES: The aim of this article is to provide an overview of
current optimal clinical cartilage imaging techniques of the foot and ankle and
to show typical osteochondral injuries on imaging. MATERIALS AND METHODS: A
thorough literature search was performed and was supported by personal
experience. RESULTS: Cartilage imaging of the foot and ankle remains challenging.
However, advanced morphological and quantitative magnetic resonance (MR) imaging
techniques may provide useful clinical information, for example, concerning
cartilage repair surgery. Compared to MRI, MR arthrography (MR-A) and CT
arthrography (CT-A) have higher sensitivity with respect to detection of
osteochondral defects. Regarding smaller joints of the foot, mainly advanced
osteoarthritic changes are detected on conventional radiography; only in rare
cases, MR and CT imaging of these smaller joints is of relevance. CONCLUSIONS:
While at the smaller joints of the foot cartilage imaging only plays a minor
role, at the ankle joint cross-sectional cartilage imaging using CT and MRI
becomes more and more important for clinicians due to emerging therapeutic
options, such as different osteochondral repair techniques.
PMID- 29374315
TI - Robotic-assisted Roux-en-Y hepaticojejunostomy after bile duct injury.
AB - BACKGROUND: The Da Vinci Robotic Surgical System has positioned itself as a tool
that improves the ergonomics of the surgeon, facilitating dissection in confined
spaces and enhancing the surgeon's skills. The technical aspects for successful
bile duct repair are well-vascularized ducts, tension-free anastomosis, and
complete drainage of hepatic segments, and all are achievable with robotic
assisted approach. METHODS: This was a retrospective study of our prospectively
collected database of patients with iatrogenic bile duct injury who underwent
robotic-assisted Roux-en-Y hepaticojejunostomy. Pre-, intra-, and short-term
postoperative data were analyzed. RESULTS: A total of 30 consecutive patients
were included. The median age was 46.5 years and 76.7% were female. Neo
confluences with section of hepatic segment IV were performed in 7 patients
(those classified as Strasberg E4). In the remaining 23, a Hepp-Couinaud
anastomosis was built. There were no intraoperative complications, the median
estimated blood loss was 100 mL, and the median operative time was 245 min. No
conversion was needed. The median length of stay was 6 days and the median length
of follow-up was 8 months. The overall morbidity rate was 23.3%. Two patients
presented hepaticojejunostomy leak. No mortality was registered. CONCLUSION:
Robotic surgery is feasible and can be safely performed, with acceptable short
term results, in bile duct injury repair providing the advantages of minimally
invasive surgery. Further studies with larger number of cases and longer follow
up are needed to establish the role of robotic assisted approaches in the
reconstruction of BDI.
PMID- 29374316
TI - Pediatric acute kidney injury and the subsequent risk for chronic kidney disease:
is there cause for alarm?
AB - Acute kidney injury (AKI) is characterized clinically as an abrupt decline in
renal function marked by reduced excretion of waste products, disordered
electrolytes, and disrupted fluid homeostasis. The recent development of a
standardized AKI definition has transformed our understanding of AKI epidemiology
and outcomes. We now know that in the short term, children with AKI experience
greater morbidity and mortality; additionally, observational studies have
established that chronic renal sequelae are far more common after AKI events than
previously realized. Many of these studies suggest that patients who develop AKI
are at greater risk for the subsequent development of chronic kidney disease
(CKD). The goal of this review is to critically evaluate the data regarding the
association between AKI and CKD in children. Additionally, we describe best
practice approaches for future studies, including the use of consensus AKI
criteria, the application of rigorous definitions for CKD and renal sequelae, and
the inclusion of non-AKI comparator groups. Finally, based upon existing data, we
suggest an archetypal approach to follow-up care for the AKI survivors who may be
at greater CKD risk, including children with more severe AKI, those who endure
repeated AKI episodes, patients who do not experience full recovery, and those
with pre-existing CKD.
PMID- 29374317
TI - Thymoma-associated multiorgan autoimmunity with exclusive gastrointestinal tract
involvement: case report and review of the literature.
AB - Thymoma-associated multiorgan autoimmunity (TAMA) is a recently delineated and
rare paraneoplastic syndrome reported in patients with thymoma. The disorder is
characterized by graft-versus-host disease-like pathology affecting the skin,
gastrointestinal tract (GIT), and liver, and is usually associated with a poor
outcome. We document a case of TAMA with exclusive GIT involvement which included
the stomach, small and large bowel, presenting in a 66-year-old male patient 5
years after complete resection of a type B2 thymoma. A brief review is provided
of this scarce syndrome, the GIT pathology described in the 21 TAMA cases
reported to date, and the unique characteristics of patients with exclusive GIT
involvement by this acquired autoimmune disorder.
PMID- 29374320
TI - Peritoneal and pleural fluids may appear hyperintense on hepatobiliary phase
using hepatobiliary MR contrast agents.
AB - AIM: To describe the effect of hepatobiliary-specific MR imaging contrast agent
(HBCA) administration on the signal intensity of peritoneal and pleural fluid
effusions on T1-weighted MR images. MATERIALS AND METHODS: From October 2015 to
May 2016 139 patients (mean 60+/-10 years old, 69 % males) with peritoneal or
pleural effusions without biliary leakage who underwent HBCA-MRI (Gd-BOPTA or Gd
EOB-DTPA) at 1.5T and 3T were included from two centres. The fluid signal
intensity was classified as hypo/iso/hyperintense before/after HBCA
administration. The relative signal enhancement (RE) was calculated. RESULTS: On
hepatobiliary phase (HBP), peritoneal fluids appeared hyper/isointense in 88-100
% and pleural effusions in 100 % of the patients following Gd-BOPTA
administration. All fluids remained hypointense following Gd-EOB-DTPA. The signal
intensity of fluids increased with both HBCA but RE was significantly higher
following Gd-BOPTA (p=0.002 to <0.001). RE was correlated with HBP acquisition
time-point (r=0.42, p<0.001 and r=0.50, p=0.033 for peritoneal and pleural
fluids). CONCLUSION: The signal intensity of pleural and peritoneal fluids
progressively increases following HBCA administration in the absence of biliary
leakage. Due to its later hepatobiliary phase, this is more pronounced after Gd
BOPTA injection, leading to fluid hyperintensity that is not observed after Gd
EOB-DTPA injection. KEY POINTS: * Fluids appear hyper/isointense on HBP in most
patients after Gd-BOPTA injection. * Fluids remain hypointense on HBP after Gd
EOB-DTPA injection. * RE of fluids increases with time after liver-specific Gd
injection. * RE of fluids is higher in patients with chronic liver disease.
PMID- 29374318
TI - Multicenter validation of cancer gene panel-based next-generation sequencing for
translational research and molecular diagnostics.
AB - The simultaneous detection of multiple somatic mutations in the context of
molecular diagnostics of cancer is frequently performed by means of amplicon
based targeted next-generation sequencing (NGS). However, only few studies are
available comparing multicenter testing of different NGS platforms and gene
panels. Therefore, seven partner sites of the German Cancer Consortium (DKTK)
performed a multicenter interlaboratory trial for targeted NGS using the same
formalin-fixed, paraffin-embedded (FFPE) specimen of molecularly pre
characterized tumors (n = 15; each n = 5 cases of Breast, Lung, and Colon
carcinoma) and a colorectal cancer cell line DNA dilution series. Detailed
information regarding pre-characterized mutations was not disclosed to the
partners. Commercially available and custom-designed cancer gene panels were used
for library preparation and subsequent sequencing on several devices of two NGS
different platforms. For every case, centrally extracted DNA and FFPE tissue
sections for local processing were delivered to each partner site to be sequenced
with the commercial gene panel and local bioinformatics. For cancer-specific
panel-based sequencing, only centrally extracted DNA was analyzed at seven
sequencing sites. Subsequently, local data were compiled and bioinformatics was
performed centrally. We were able to demonstrate that all pre-characterized
mutations were re-identified correctly, irrespective of NGS platform or gene
panel used. However, locally processed FFPE tissue sections disclosed that the
DNA extraction method can affect the detection of mutations with a trend in favor
of magnetic bead-based DNA extraction methods. In conclusion, targeted NGS is a
very robust method for simultaneous detection of various mutations in FFPE tissue
specimens if certain pre-analytical conditions are carefully considered.
PMID- 29374321
TI - Multiparametric MRI as a potential surrogate endpoint for decision-making in
early treatment response following concurrent chemoradiotherapy in patients with
newly diagnosed glioblastoma: a systematic review and meta-analysis.
AB - OBJECTIVE: To evaluate the value of multiparametric MRI for determination of
early treatment response following concurrent chemoradiotherapy in patients with
newly diagnosed glioblastoma. METHODS: A computerized search of Ovid-MEDLINE and
EMBASE up to 1 October 2017 was performed to find studies on the diagnostic
performance of multiparametric MRI for differentiating true progression from
pseudoprogression. The beginning search date was not specified. Pooled estimates
of sensitivity and specificity were obtained using hierarchical logistic
regression modeling. We performed meta-regression and sensitivity analyses to
explain the effects of the study heterogeneity. RESULTS: Nine studies including
456 patients were included. Pooled sensitivity and specificity were 84 % (95 % CI
74-91) and 95 % (95 % CI 83-99), respectively. Area under the hierarchical
summary receiver operating characteristic curve was 0.95 (95 % CI 0.92-0.96).
Meta-regression showed true progression in the study population, the mean age and
the reference standard were significant factors affecting heterogeneity.
CONCLUSION: Multiparametric MRI may be used as a potential surrogate endpoint for
assessment of early treatment response, especially in the differentiation of true
progression from pseudoprogression. However, based on the current evidence,
monoparametric and multiparametric MRI perform equally in the clinical context.
Further evaluation will be needed. KEY POINTS: * Multiparametric MRI shows high
diagnostic performance for early treatment response in glioblastoma. *
Multiparametric MRI could differentiate true progression from pseudoprogression
in newly diagnosed glioblastoma. * The normalized rCBV derived from DSC was the
most commonly used parameter.
PMID- 29374322
TI - Diagnosis of breast cancer based on microcalcifications using grating-based phase
contrast CT.
AB - OBJECTIVES: Microcalcifications are an important feature in the diagnosis of
breast cancer, especially in the early stages. In this paper, a CT-based method
is proposed to potentially distinguish benign and malignant breast diseases based
on the distributions of microcalcifications using grating-based phase-contrast
imaging on a conventional X-ray tube. METHODS: The method presented based on the
ratio of dark-field signals to attenuation signals in CT images is compared with
the existing method based on the ratio in projections, and the threshold for the
classification of microcalcifications in the two types of breast diseases is
obtained using our approach. The experiment was operated on paraffin-fixed
specimens that originated from 20 female patients ranging from 27-65 years old.
RESULTS: Compared with the method based on projection images (AUC = 0.87), the
proposed method is more effective (AUC = 0.95) to distinguish the two types of
diseases. The discrimination threshold of microcalcifications for the
classification of diseases in CT images is found to be 3.78 based on the Youden
index. CONCLUSIONS: The proposed method can be further developed to improve the
early diagnosis and diagnostic accuracy and reduce the clinical misdiagnosis rate
of breast cancer. KEY POINTS: * Microcalcifications are of special importance to
indicate early breast cancer. * Grating-based phase-contrast imaging can improve
the diagnosis of breast cancers. * The method described here can better classify
benign and malignant breast diseases.
PMID- 29374323
TI - Trans-suppression of defense DEFB1 gene in intestinal epithelial cells following
Cryptosporidium parvum infection is associated with host delivery of parasite
Cdg7_FLc_1000 RNA.
AB - To counteract host immunity, Cryptosporidium parvum has evolved multiple
strategies to suppress host antimicrobial defense. One such strategy is to reduce
the production of the antimicrobial peptide beta-defensin 1 (DEFB1) by host
epithelial cells but the underlying mechanisms remain unclear. Recent studies
demonstrate that a panel of parasite RNA transcripts of low protein-coding
potential are delivered into infected host cells and may modulate host gene
transcription. Using in vitro models of intestinal cryptosporidiosis, in this
study, we analyzed the expression profile of host beta-defensin genes in host
cells following infection. We found that C. parvum infection caused a significant
downregulation of the DEFB1 gene. Interestingly, downregulation of DEFB1 gene was
associated with host delivery of Cdg7_FLc_1000 RNA transcript, a C. parvum RNA
that has previously demonstrated to be delivered into the nuclei of infected host
cells. Knockdown of Cdg7_FLc_1000 in host cells could attenuate the trans
suppression of host DEFB1 gene and decreased the parasite burden. Therefore, our
data suggest that trans-suppression of DEFB1 gene in intestinal epithelial cells
following C. parvum infection involves host delivery of parasite Cdg7_FLc_1000
RNA, a process that may be relevant to the epithelial defense evasion by C.
parvum at the early stage of infection.
PMID- 29374324
TI - First report of a naturally patent infection with Dirofilaria immitis in an otter
(Lutra lutra).
AB - Dirofilaria immitis causes a severe and life-threatening cardio-pulmonary disease
in Europe, Asia, Africa, and the Americas; also, zoonotic infections have been
reported. This parasitic vector-borne disease is mostly common in domestic and
wild canids and felids. Here, we present a rare finding of this roundworm in the
heart of a Eurasian otter (Lutra lutra). Four mature specimens of D. immitis were
found in the right ventricle of the heart. Necropsy was performed after the
animal was found road-killed in Eastern Serbia, close to the Danube River. Two
heartworms were females, one was male and sex for one remained unidentified.
Microfilariae were present in the uterus of one of the female heartworms,
indicating that otters can act as reservoirs of dirofilariasis in the wild. This
is the first report of a patent infection in a free-ranging otter.
PMID- 29374326
TI - Investigating the bone mineral density in children with solid tumors in southern
Iran: a case-control study.
AB - : Along with increasing childhood cancer survival, there is increasing concern
about its chronic complications. We showed that 20.5 and 45.9% of children with
solid tumors in southern Iran had low bone mass for chronological age in lumbar
and femoral area, which was associated with serum ferritin and hemoglobin. 52.4%
of these children had vitamin D deficiency, as well. PURPOSE/INTRODUCTION: Along
with increasing the childhood cancer survival, there is increasing concern about
the chronic complications of the disease and the related therapies. This study
aims to compare the vitamin D status and bone mineral apparent density (BMAD) of
these children with healthy ones and assess some possible associated factors.
METHOD: This case-control study enrolled 50 children with solid tumors and their
age- and sex-matched controls. Dual-energy X-ray absorptiometry was used to
assess bone mineral density. Body mass index, puberty, physical activity, sun
exposure, and biochemical data were assessed. RESULTS: 52.4% of children with
solid tumors had vitamin D deficiency, and there was no significant difference
between the prevalence of vitamin D deficiency in patients and controls (P =
0.285). The prevalence of low bone mass for chronological age in lumbar area was
20.5 and 12.5% in patients and controls, respectively (P = 0.399). Lumbar spine
BMD was associated with hemoglobin level (r = 0.468, P = 0.049), while low bone
mass in femoral neck was associated with serum ferritin (859 +/- 1037 in low bone
mass vs. 178 +/- 264 in without low bone mass, P = 0.039). CONCLUSION: Vitamin D
deficiency and low bone mass are prevalent among Iranian children with solid
tumors. Future studies are warranted to investigate the best strategies to
prevent and treat vitamin D deficiency and low bone mass in children surviving
cancer.
PMID- 29374325
TI - Treating Hallucinations and Delusions Associated With Parkinson's Disease
Psychosis.
AB - PURPOSE OF REVIEW: We discuss features of Parkinson's disease psychosis (PDP)
including symptomology and pathophysiology. Treatment options, including non
pharmacologic strategies, dose reduction of offending agents, and the addition of
non-dopaminergic antipsychotics, are addressed. The efficacy of second-generation
antipsychotics and novel agents is examined. RECENT FINDINGS: Pimavanserin, a 5
HT2A/C receptor inverse agonist with no other receptor activity, has shown
efficacy and tolerability and is now FDA approved for PDP treatment. Research
into novel targets is ongoing. PDP is a morbid complication of Parkinson's
disease with complex incompletely understood mechanisms. Treatment is directed
towards mitigation of psychosis without worsening of motor features.
PMID- 29374327
TI - Tranexamic acid as a local hemostasis method after dental extraction in patients
on warfarin: a randomized controlled clinical study.
AB - OBJECTIVES: The present work is a controlled, blinded, and randomized clinical
trial comparing hemostatic measures for the control of post-tooth extraction
hemorrhage in patients on anticoagulation therapy with warfarin. MATERIALS AND
METHODS: The sample consisted of 37 patients (37.8% male and 62.2% female) with a
mean age of 45.5 years. After randomization, 20 patients were allocated to the
control group (conventional hemostasis measures) and 17 to the study group
(addition of local tranexamic acid). All variables that could influence the
outcome were similar between the groups and no significant difference was seen (p
> 0.05). RESULTS: In the assessment of immediate hemostasis, for the control
group, the time to achieve cessation of bleeding was 9.1 (+/- 3.6) minutes. For
the study group this was much lower, and this difference (6.018 / confidence
interval of 95%, 4.677 to 7.359) was statistically significant (p < 0.001). In
evaluating the control of intermediate hemorrhage, the use of tranexamic acid was
more significantly associated with the absence of bleeding, especially in the
first 24 h. CONCLUSIONS AND CLINICAL RELEVANCE: Thus, this measure of local
hemostasis in topical form with gauze compression and irrigation was shown to be
more effective in reducing the time to attain immediate hemostasis, and in
preventing intermediate hemorrhage.
PMID- 29374328
TI - Possible effect of SNAIL family transcriptional repressor 1 polymorphisms in non
syndromic cleft lip with or without cleft palate.
AB - OBJECTIVE: Orofacial development is a complex process subjected to failure
impairing. Indeed, the cleft of the lip and/or of the palate is among the most
frequent inborn malformations. The JARID2 gene has been suggested to be involved
in non-syndromic cleft lip with or without cleft palate (nsCL/P) etiology. JARID2
interacts with the polycomb repressive complex 2 (PRC2) in regulating the
expression patterns of developmental genes by modifying the chromatin state.
MATERIALS AND METHODS: Genes coding for the PRC2 components, as well as other
genes active in cell differentiation and embryonic development, were selected for
a family-based association study to verify their involvement in nsCL/P. A total
of 632 families from Italy and Asia participated to the study. RESULTS: Evidence
of allelic association was found with polymorphisms of SNAI1; in particular, the
rs16995010-G allele was undertransmitted to the nsCL/P cases [P = 0.004, odds
ratio = 0.69 (95% C.I. 0.54-0.89)]. However, the adjusted significance value
corrected for all the performed tests was P = 0.051. CONCLUSIONS: The findings
emerging by the present study suggest for the first time an involvement of SNAI1
in the nsCL/P onset. CLINICAL RELEVANCE: Interestingly, SNAI1 is known to promote
epithelial to mesenchymal transition by repressing E-cadherin expression, but it
needs an intact PRC2 to act this function. Alterations of this process could
contribute to the complex etiology of nsCL/P.
PMID- 29374329
TI - Using electrical resistivity tomography to assess the effectiveness of managed
aquifer recharge in a salinized coastal aquifer.
AB - Over 40 years, the detrital aquifer of the Plana de Castellon (Spanish
Mediterranean coast) has been subjected to seawater intrusion because of long dry
periods combined with intensive groundwater exploitation. Against this backdrop,
a managed artificial recharge (MAR) scheme was implemented to improve the
groundwater quality. The large difference between the electrical conductivity
(EC) of the ambient groundwater (brackish water due to marine intrusion) and the
recharge water (freshwater) meant that there was a strong contrast between the
resistivities of the brackish water saturated zone and the freshwater saturated
zone. Electrical resistivity tomography (ERT) can be used for surveying similar
settings to evaluate the effectiveness of artificial recharge schemes. By
integrating geophysical data with lithological information, EC logs from
boreholes, and hydrochemical data, we can interpret electrical resistivity (ER)
with groundwater EC values and so identify freshwater saturated zones. Using this
approach, ERT images provided a high-resolution spatial characterization and an
accurate picture of the shape and extent of the recharge plume of the MAR site.
After 5 months of injection, a freshwater plume with an EC of 400-600 MUS/cm had
formed that extended 400 m in the W-E direction, 250 m in the N-S direction, and
to a depth of 40 m below piezometric level. This study also provides correlations
between ER values with different lithologies and groundwater EC values that can
be used to support other studies.
PMID- 29374330
TI - Osmotic pressure between arbitrarily charged planar surfaces: A revisited
approach.
AB - The properties of ionic solutions between charged surfaces are often studied
within the Poisson-Boltzmann framework, by finding the electrostatic potential
profile. For example, the osmotic pressure between two charged planar surfaces
can be evaluated by solving coupled equations for the electrostatic potential and
osmotic pressure. Such a solution relies on symmetry arguments and is restricted
to either equally or oppositely charged surfaces. Here, we provide a different
and more efficient scheme to derive the osmotic pressure straightforwardly,
without the need to find the electrostatic potential profile. We derive
analytical expressions for the osmotic pressure in terms of the inter-surface
separation, salt concentration, and arbitrary boundary conditions. Such results
should be useful in force measurement setups, where the force is measured between
two differently prepared surfaces, or between two surfaces held at a fixed
potential difference. The proposed method can be systematically used for
generalized Poisson-Boltzmann theories in planar geometries, as is demonstrated
for the sterically modified Poisson-Boltzmann theory.
PMID- 29374331
TI - Pressure pain thresholds over the cranio-cervical region in headache: a
systematic review and meta-analysis.
AB - BACKGROUND: Sensitivity of tissues can be measured by algometry. Decreased
pressure pain thresholds over the cranio-cervical area are supposed to reflect
signs of sensitization of the trigemino-cervical nucleus caudalis. A systematic
review was conducted to assess the current scientific literature describing
pressure pain threshold (PPT) values over the cranio-cervical region in patients
with migraine, tension-type headache (TTH), and cervicogenic headache (CeH). A
literature search was executed in three databases. The search strategy included
the following keywords: migraine, TTH, CeH, PPT and algometry. A total of 624
papers was identified of which relevant papers were subsequently assessed for
methodological quality. Twenty-two selected papers were assessed by two
independent reviewers and the majority of studies scored low risk of bias on the
selected items. Mean PPT values of several sites measured in the cranio-cervical
region in patients with migraine, chronic TTH and CeH scored lower values
compared to controls. The trapezius muscle (midpoint between vertebrae C7 and
acromion) was the most frequently targeted site and showed significantly lower
PPT values in adults with migraine (pooled standardized mean difference kPa: 1.26
[95%CI -1.71, -0.81]) and chronic TTH (pooled standardized mean difference kPa:
2.00 [95%CI -2.93, -1.08]). Most studies found no association between PPT values
and headache characteristics such as frequency, duration or intensity. Further
standardization of PPT measurement in the cranio-cervical region is recommended.
PMID- 29374332
TI - Frequency and Impact of Adverse Events in Inpatients: A Nationwide Analysis of
Episodes between 2000 and 2015.
AB - Despite being a potential cause of morbidity and economic costs, adverse events
remain insufficiently studied. Therefore, we aimed to assess the frequency and
impact of adverse events among inpatients. We analysed an administrative database
containing a registration of all hospitalisations occurring in Portuguese public
hospitals between 2000 and 2015. We identified all episodes with a registration
of adverse events, and classified them into three categories, namely (1)
misadventures of surgical and medical care, (2) complications of surgical or
medical procedures, and (3) adverse drug events (including adverse drug
reactions, poisoning events, and late effects). These episodes were compared over
their length of stay, in-hospital mortality, and hospital costs with an equal
number of hospitalisations matched for patients' and episodes' characteristics.
Between 2000 and 2015, 5.8% (n = 861,372) of all Portuguese hospitalisations had
a registration of at least one adverse event. Hospitalisations with registration
of adverse events had a median length of stay of 8 days, median hospitalisation
costs of 3060.7 Euro, and an in-hospital mortality of 6.7%. Hospitalisations with
registration of misadventures of care, complications of procedures and adverse
drug reactions had significantly higher lengths of stay and hospitalisation costs
than their matched controls. In-hospital mortality was significantly higher for
episodes of misadventures of care and complications of procedures, but lower for
adverse drug events hospitalisations. Therefore, adverse events are common among
inpatients, and have an important clinical and economic impact. Administrative
databases may be useful in their epidemiological assessment.
PMID- 29374333
TI - Energy Spectrum CT Image Detection Based Dimensionality Reduction with Phase
Congruency.
AB - The image feature detection is widely used in image registration, image stitching
and object recognition. The feature detection algorithm can be applied to the
detection of artificial images, and can be used to detect the energy spectrum CT
image. A new algorithm of phase consistency detection based on dimensionality
reduction is proposed in this paper. We mainly focus on the phase congruency of
the spectral CT images in the paper and try to use dimensionality reduction to
integrate the information of phase congruency detected in the image. The
experimental results show that the algorithm can detect the energy spectrum CT
image with clear edge and contour, which is beneficial to the subsequent
processing. Meanwhile, the algorithm presented is more effective in diagnosis of
disease for medical professionals.
PMID- 29374334
TI - Correction to: Spatial and temporal distribution of carbon dioxide gas using
GOSAT data over IRAN.
AB - The original version of this article unfortunately contained an error in the
affiliation section.
PMID- 29374335
TI - Renal sympathetic nerve activity after catheter-based renal denervation.
AB - BACKGROUND: Catheter-based renal sympathetic denervation (RDN) has been
considered a potential treatment for therapy resistant hypertension (RHT).
However, in a randomized placebo-controlled trial, RDN did not lead to a
substantial blood pressure (BP) reduction. We hypothesized that variation in the
reported RDN efficacy might be explained by incomplete nerve disruption as
assessed by renal 123I-meta-iodobenzylguanidine (123I-mIBG) scintigraphy.
METHODS: In 21 RHT patients (median age 60 years), we performed 123I-mIBG
scintigraphy before and 6 weeks after RDN. Additionally, we assessed changes in
BP (24 h day, night, and average), plasma- and urinary-catecholamines and plasma
renin activity (PRA) before and after RDN. Planar scintigraphy was performed at
15 min and 4 h after 123I-mIBG administration. The ratio of the mean renal
(specific) counts vs. muscle (non-specific) counts represented 123I-mIBG uptake.
Renal 123I-mIBG washout was calculated between 15 min and 4 h. RESULTS: After RDN
office-based systolic BP decreased from 172 to 153 mmHg (p = 0.036), while
diastolic office BP (p = 0.531), mean 24 h systolic and diastolic BP (p = 0.602,
p = 0.369, respectively), PRA (p = 0.409) and plasma catecholamines (p = 0.324)
did not significantly change post-RDN. Following RDN, 123I-mIBG renal uptake at
15 min was 3.47 (IQR 2.26-5.53) compared to 3.08 (IQR 2.79-4.95) before RDN (p =
0.289). Renal 123I-mIBG washout did not change post-RDN (p = 0.230). In addition,
there was no significant correlation between the number of denervations and the
renal 123I-mIBG parameters. CONCLUSIONS: No changes were observed in renal 123I
mIBG uptake or washout at 6 weeks post-RDN. These observations support incomplete
renal denervation as a possible explanation for the lack of RDN efficacy.
PMID- 29374336
TI - Characterization of a Whole-Cell Biotransformation Using a Constitutive Lysine
Decarboxylase from Escherichia coli for the High-Level Production of Cadaverine
from Industrial Grade L-Lysine.
AB - Cadaverine is used for the synthesis of the novel bio-polyamides 54, 56, and 510.
Here, we examine the feasibility of using a lysine decarboxylase (LdcC) from
Escherichia coli for high-level production of cadaverine. After sequential
optimization of whole-cell biotransformation conditions, recombinant E. coli
overexpressing LdcC (EcLdcC) could produce 1.0 M cadaverine from 1.2 M crude L
lysine solution after 9 h. EcLdcC retained a higher cadaverine yield after being
reused 10 times at acidic and alkaline pH values than that of a recombinant E.
coli strain overexpressing an inducible lysine decarboxylase (CadA), a
conventional cadaverine producer (90 vs. 51% at pH 6 and 55 vs. 15% at pH 8).
This study reveals that EcLdcC is a promising whole-cell biocatalyst for the bio
based production of cadaverine from industrial grade L-lysine in comparison to
EcCadA.
PMID- 29374338
TI - Intraductal Papillary Neoplasm of the Bile Duct (IPNB): Case Report and
Literature Review of a Challenging Disease to Diagnose.
PMID- 29374339
TI - Differential expression of FOXO1 during development and myoblast differentiation
of Qinchuan cattle and its association analysis with growth traits.
AB - Our previous work reported a relationship between FOXO1 mutations and growth of
Qinchuan (QC) cattle. Here, we performed differential expression analysis of
FOXO1 and its association analysis with growth traits in QC cattle. First, we
measured the expression of the FOXO1 gene in nine tissues during three
developmental stages. The results showed that FOXO1 was abundantly expressed in
tissues of calves but was strongly repressed in adulthood, although there was
significant transcription in skeletal muscle. FOXO1 expression showed gradual up
regulation during differentiation of primary bovine skeletal muscle cells. We
also identified six SNPs of the bovine FOXO1 gene by sequencing DNA pools of
samples from 488 individuals, and association analysis indicated that five SNPs
were significantly associated with some growth traits in the QC population. We
further analyzed four haplotype combinations of the six SNPs and found
significant correlation with body length (P<0.01). In conclusion, FOXO1
participates in bovine myocyte differentiation and expression, and may be a
strong candidate as a gene that affects growth traits that could be exploited in
a QC cattle breeding program. More generally, our data provide a new theoretical
basis for QC beef breeding and beef quality improvement.
PMID- 29374337
TI - Lipidomics unveils the complexity of the lipidome in metabolic diseases.
AB - Dysregulation of lipid metabolism is responsible for pathologies of human
diseases including metabolic diseases. Recent advances in lipidomics analysis
allow for the targeted and untargeted identification of lipid species and for
their quantification in normal and diseased conditions. Herein, this review
provides a brief introduction to lipidomics, highlights its application to
characterize the lipidome at the cellular and physiological levels under
different biological conditions, and discusses the potential for the use of
lipidomics in the discovery of biomarkers.
PMID- 29374340
TI - Illness-death model: statistical perspective and differential equations.
AB - The aim of this work is to relate the theory of stochastic processes with the
differential equations associated with multistate (compartment) models. We show
that the Kolmogorov Forward Differential Equations can be used to derive a
relation between the prevalence and the transition rates in the illness-death
model. Then, we prove mathematical well-definedness and epidemiological
meaningfulness of the prevalence of the disease. As an application, we derive the
incidence of diabetes from a series of cross-sections.
PMID- 29374341
TI - Late-onset cobalamin C deficiency Chinese sibling patients with neuropsychiatric
presentations.
AB - The Cobalamin C deficiency (cblC), characterized with elevated methylmalonic
acidemia and homocystinuria in plasma, is an inborn error of cobalamin
metabolism. The late-onset cblC siblings patients were rarely reported. In this
study, we analyzed the clinical presentations and treatment outcomes of late
onset cblC in Chinese sibling patients with neuropsychiatric presentations. The
clinical data of four pairs of Chinese patients were retrospectively analyzed.
Serum homocysteine, urine organic acids measurements, neuroimaging exams and gene
analysis were carried out in all patents. Patients were reevaluated after
treatments with cobalamin, folate, betaine, L-carnitine and compound vitamin B.
The mean age at disease onset was 13.7 (range 2-19) years. The neuropsychiatric
disturbances including cognitive decline (3/8), psychiatric disturbances (4/8),
gait instability (2/8), lower extremity weakness and numbness (3/8) and
thromboembolic events (1/8). Two patients suffered nephropathy. The mean serum
homocysteine when patients were diagnosed was 109.4 (range 69.5-138) MUM/L. The
abnormal radioimaging included scoliosis by X-ray (5/6), cerebral atrophy (4/6)
and spinal cord atrophy (3/6) by MRI scan. Three pairs of siblings showed
heterozygous mutations of MMACHC gene including c.482G > A (4/6), c.354G > C
(2/6), c.570insT (2/6), c.445_446del (2/6) and c.656_4658del (2/6). The other two
siblings showed homozygous mutation with c.452A > G in MMACHC gene. After
treatments, the psychiatric symptoms were obviously relieved in all the patients.
In Chinese siblings with late-onset cblC, the main clinic manifestation and
abnormal radioimaging were cognitive decline and cerebral atrophy respectively.
The most common gene mutation was c.482G > A of MMACHC gene. The patients
responded well to the treatments.
PMID- 29374343
TI - Preliminary Results that Assess Metformin Treatment in a Preclinical Model of
Pancreatic Cancer Using Simultaneous [18F]FDG PET and acidoCEST MRI.
AB - PURPOSE: We sought to determine if the synergy between evaluations of glucose
uptake in tumors and extracellular tumor acidosis measured with simultaneous
positron emission tomography (PET)/magnetic resonance imaging (MRI) can improve
longitudinal evaluations of the response to metformin treatment. PROCEDURES: A
standard 2-deoxy-2-[18F]fluoro-D-glucose ([18F]FDG) PET protocol that evaluates
glucose uptake in tumors, and a standard acidoCEST MRI protocol that measures
extracellular pH (pHe) in tumors, were simultaneously performed to assess eight
vehicle-treated (control) mice and eight metformin-treated mice 1 day before
treatment, 1 day after initiating daily treatment with metformin, and 7 days
after initiating treatment. Longitudinal changes in SUVmax and extracellular pH
(pHe) were evaluated for each treatment group, and differences in SUVmax and pHe
between metformin-treated and control groups were also evaluated. RESULTS: MRI
acquisition protocols had little effect on the PET count rate, and the PET
instrumentation had little effect on image contrast during acidoCEST MRI,
verifying that [18F]FDG PET and acidoCEST MRI can be performed simultaneously.
The average SUVmax of the tumor model had a significant decrease after 7 days of
treatment with metformin, as expected. The average tumor pHe decreased after 7
days of metformin treatment, which reflected the inhibition of the consumption of
cytosolic lactic acid caused by metformin. However, the average SUVmax of the
tumor model was not significantly different between the metformin-treated and
control groups after 7 days of treatment, and average pHe was also not
significantly different between these groups. For comparison, the combination of
average SUVmax and pHe measurements significantly differed between the treatment
group and control group on Day 7. CONCLUSIONS: [18F]FDG PET and acidoCEST MRI
studies can be performed simultaneously. The synergistic combination of assessing
glucose uptake and tumor acidosis can improve differentiation of a drug-treated
group from a control group during drug treatment of a tumor model.
PMID- 29374342
TI - Multimodal MR imaging in hepatic encephalopathy: state of the art.
AB - Hepatic encephalopathy (HE) is a neurological or neuropsychological complication
due to liver failure or portosystemic shunting. The clinical manifestation is
highly variable, which can exhibit mild cognitive or motor impairment initially,
or gradually progress to a coma, even death, without treatment. Neuroimaging
plays a critical role in uncovering the neural mechanism of HE. In particular,
multimodality MR imaging is able to assess both structural and functional
derangements of the brain with HE in focal or neural network perspectives. In
recent years, there has been rapid development in novel MR technologies and
applications to investigate the pathophysiological mechanism of HE. Therefore, it
is necessary to update the latest MR findings regarding HE by use of
multimodality MRI to refine and deepen our understanding of the neural traits in
HE. Herein, this review highlights the latest MR imaging findings in HE to
refresh our understanding of MRI application in HE.
PMID- 29374344
TI - Assessment of antimicrobial drug administration and antimicrobial residues in
food animals in Enugu State, Nigeria.
AB - Imprudent administration of antimicrobial drugs in food-producing animals can
facilitate the development and spread of antimicrobial-resistant organisms and
also enhance the occurrence of antimicrobial residue in animal products. This
study was undertaken to assess antimicrobial drug administration to food animals
in livestock farms in Enugu State and determine livestock farmers' awareness on
the consequences of imprudent antimicrobial administration to food animals and
finally the prevalence of antimicrobial drug residues in edible tissues of cattle
and pigs in the state. Structured questionnaire was used to extract information
on antimicrobial drug administration and consequences of irresponsible use of
antimicrobials in food animals from 109 livestock farms/farmers randomly selected
using multi-stage sampling technique. Premi(r) test technology (R-Biopharm,
Germany) was used to screen for antimicrobial residues in edible tissues from 300
carcasses consisting of 165 cattle and 135 pigs slaughtered for human consumption
in two major slaughterhouses in Enugu State. Tetracyclines (90.8%), penicillins
and beta-lactams (89.9%), and aminoglycoside (57.8%) were the classes of
antimicrobials most frequently administered to food animals in the farms
surveyed. Withdrawal period was not observed in 65% of the farms. About 30% of
cattle and 23% of pig carcasses screened contained detectable amounts of
antimicrobial residues. There is widespread indiscriminate administration of
antimicrobial drugs in food animals in Enugu State. This underscores the need for
public enlightenment on prudent use of antimicrobial drugs in food-producing
animals in order to preserve the therapeutic efficacy for sustainable livestock
production and to safeguard human health.
PMID- 29374345
TI - Influence of green grass-based diets on growth and reproductive performance in
dairy heifers.
AB - The objective of this study was to monitor the changes in growth, dry matter
intake, and blood profiles (nutrition and reproductive hormones) of dairy heifers
in response to green grass-based diets. Twelve crossbred heifers were equally
divided into group 1: rice straw and concentrate; group 2: rice straw, green
grass, and concentrate; group 3: green grass and concentrate; group 4: green
grass, soybean hay, and concentrate. Dry matter intake in group 4 was found 6%
higher (P < 0.05) than group 1. Negative body weight gain was found in group 1
and group 2 showed 14% higher body weight gain per 30 days compared to groups 3
and 4 (P < 0.05). Heifers in group 4 had significantly (P < 0.05) higher heart
girth gain, serum albumin, urea, and blood urea nitrogen than the heifers in
other groups. Endocrine parameters were found similar among groups. Overall,
supply of green grass especially a mix of leguminous and non-leguminous was found
advantageous in heifer feeding.
PMID- 29374347
TI - Association between preoperative thyrotrophin and clinicopathological and
aggressive features of papillary thyroid cancer.
AB - PURPOSE: We aimed to investigate the relation between preoperative serum
thyrotrophin (TSH) and clinicopathological features in patients with papillary
thyroid carcinoma (PTC) and microcarcinoma (PTMC). METHODS: Patients who
underwent thyroidectomy and diagnosed to have benign nodular disease or PTC/PTMC
in our clinic were evaluated retrospectively. Patients with a previous history of
thyroid surgery, patients using antithyroid medications or thyroid hormone and
patients with tumors known to be unresponsive to TSH were excluded. RESULTS: Data
of 1632 patients were analyzed. Histopathological diagnosis was benign in 969
(59.4%) and malignant in 663 (40.6%) patients. Preoperative median serum TSH was
significantly higher in malignant compared to benign group (1.41 IU/dL vs. 0.98
IU/dL, p < 0.001). Malignancy risk increased gradually as going from
hyperthyroidism to euthyroidism and hypothyroidism (20, 40.6, and 59.1%,
respectively, p < 0.05). Serum TSH was lowest in benign nodular disease, higher
in PTMC and highest in PTC (p < 0.001). This was also true when patients with
positive antithyroid peroxidase/antithyroglobulin and with lymphocytic
thyroiditis were excluded from the analysis (p < 0.001). Serum TSH was higher in
patients with bilateral tumor, capsular invasion and lymph node metastasis (LNM)
compared to patients with unilateral tumor, without capsule invasion and without
LNM, respectively (p = 0.036, p = 0.002, and p = 0.001, respectively). Patients
with aggressive variant PTC had higher serum TSH than nonaggressive ones (p <
0.05). CONCLUSION: Preoperative serum TSH is associated with PTMC, PTC and LNM.
Serum TSH seems to be related with thyroid cancer regardless of autoimmunity.
With the present study, for the first time, we showed an association between
serum TSH and aggressive variants of PTC.
PMID- 29374348
TI - Driver electronics design and control for a total artificial heart linear motor.
AB - For any implantable device size and efficiency are critical properties. Thus, a
linear motor for a Total Artificial Heart was optimized with focus on driver
electronics and control strategies. Hardware requirements were defined from power
supply and motor setup. Four full bridges were chosen for the power electronics.
Shunt resistors were set up for current measurement. Unipolar and bipolar
switching for power electronics control were compared regarding current ripple
and power losses. Here, unipolar switching showed smaller current ripple and
required less power to create the necessary motor forces. Based on calculations
for minimal power losses Lorentz force was distributed to the actor's four coils.
The distribution was determined as ratio of effective magnetic flux through each
coil, which was captured by a force test rig. Static and dynamic measurements
under physiological conditions analyzed interaction of control and hardware and
all efficiencies were over 89%. In conclusion, the designed electronics,
optimized control strategy and applied current distribution create the required
motor force and perform optimal under physiological conditions. The developed
driver electronics and control offer optimized size and efficiency for any
implantable or portable device with multiple independent motor coils. Graphical
Abstract ?.
PMID- 29374346
TI - Accessing Gene Expression in Treatment-Resistant Schizophrenia.
AB - Schizophrenia (SCZ) is a mental disorder arising from a complex interaction of
genetic and environmental factors. It has been suggested that treatment-resistant
schizophrenia (TRS) is a distinct, more severe, and homogenous subgroup of
schizophrenia that could present specific biological markers. Our aim was to
characterize expression of target genes in blood of TRS patients compared with
non-TRS (NTRS) patients and healthy controls (HC). TRS has been defined using
failure to respond to two previous antipsychotic trials. We hypothesized that
genes involved in neurodevelopment, myelination, neuroplasticity,
neurotransmission, and miRNA processing could be involved in treatment
resistance; then, we investigated 13 genes related to those processes in 256
subjects, being 94 healthy controls and 162 schizophrenia patients treated with
antipsychotics. Of those, 78 were TRS patients and 84 were NTRS patients.
Peripheral blood samples were collected from all subjects and RNA was isolated.
Gene expression analysis was performed using the TaqMan low-density array (TLDA)
technology. To verify the influence of expression quantitative trait loci
(eQTLs), we evaluated single-nucleotide polymorphism (SNP) of all genes using
data from GTEx Project. SNP genotypes were obtained from HumanOmniExpress
BeadChip. We did not detect gene expression differences between TRS and NTRS
subjects, indicating candidate genes specific to treatment resistance. We
detected an upregulation of CNR1 and UFD1L gene expression in patients (TRS and
NTRS groups) when compared to controls, that may be associated with the release
of neurotransmitters, which can influence neuronal plasticity, or with a stress
response-activating protein degradation. DICER1 and AKT1 expression increased
slightly across the groups and could differentiate only the extreme opposite
groups, HC and TRS. Both genes act in heterogeneous pathways, such as cell
signaling and miRNA processing, and seem to have an increased demand in the TRS
group. We did not detect any eQTLs in our sample that could explain differences
in mRNA levels, suggesting a possible regulation by other mechanism, not driven
by genotypes. Our data strengthen the importance of several biological pathways
involved in the schizophrenia refractoriness and severity, adding knowledge to
develop more effective treatments in the future.
PMID- 29374349
TI - Pyogenic Granuloma of the Jejunum: an Unusual Cause of Anemia.
AB - Pyogenic granuloma is a benign vascular lesion, uncommon in the gastrointestinal
tract, and extremely rare in the small bowel. The diagnosis can be challenging
prior to surgery, because of its unusual endoscopic appearance.We present a case
of pyogenic granuloma of the jejunum diagnosed by capsule endoscopy and double
balloon enteroscopy and successfully managed by surgical resection.
PMID- 29374350
TI - Intraoperative Nefopam Reduces Acute Postoperative Pain after Laparoscopic
Gastrectomy: a Prospective, Randomized Study.
AB - BACKGROUND: We assessed whether intraoperative nefopam would reduce opioid
consumption and relieve postoperative pain in patients undergoing laparoscopic
gastrectomy. METHODS: The 60 enrolled patients were randomly assigned to the
control (n = 32) or nefopam (n = 28) group. All patients were blinded to their
group assignment. We administered 100 ml of normal saline only (control group) or
20 mg of nefopam mixed in 100 ml normal saline (nefopam group) after anesthesia
induction and at the end of surgery. The cumulative amount of fentanyl via
intravenous patient-controlled analgesia (PCA), incidence of rescue analgesic
medication, and numerical rating scale (NRS) for postoperative pain were
evaluated along with the total remifentanil consumption. RESULTS: The mean
infusion rate of remifentanil was significantly lower in the nefopam group (0.08
+/- 0.05 MUg/kg/min) than in the control group (0.13 +/- 0.06 MUg/kg/min) (P <
0.001). Patients in the nefopam group required less fentanyl via intravenous PCA
than those in the control group during the first 6 h after surgery (323.8 +/-
119.3 MUg vs. 421.2 +/- 151.6 MUg, P = 0.009). Additionally, fewer patients in
the nefopam group than in the control group received a rescue analgesic during
the initial 6 h postoperatively (78.6 vs. 96.9%, P = 0.028). The NRS measured
while patients were in the post-anesthetic care unit was significantly lower in
the nefopam group than in the control group (3.8 +/- 1.1 vs. 4.8 +/- 1.4, P =
0.012). The subsequent NRS obtained after patients had been transferred to the
general ward was comparable between the two groups during the following
postoperative period. CONCLUSIONS: Intraoperative nefopam decreased postoperative
pain and opioid consumption in the acute postoperative period after laparoscopic
gastrectomy. Hence, nefopam may be considered as a component of multimodal
analgesia after laparoscopic gastrectomy.
PMID- 29374351
TI - miR-328 mediates a metabolic shift in colon cancer cells by targeting
SLC2A1/GLUT1.
AB - PURPOSE: Increasing evidence shows that altered metabolism is a critical hallmark
in colon cancer. There is a strong need to explore the molecular mechanisms
underlying cancer metabolism. Whether the aberrant expression of microRNAs
contributes to cancer metabolism is not fully understood. miR-328 is a putative
potential target of SLC2A1, but the regulating mechanism between them remains
unknown. We have examined whether miR-328 directly regulates SLC2A1/GLUT1
expression in colon cancer cells. METHODS: We performed in silico bioinformatic
analyses to identify miR-328-mediated molecular pathways and targets. We also
performed luciferase assays and western blot analyses in LOVO and SW480 colon
cancer cell lines. In addition, we assessed miR-328 expression in 47 paired tumor
and normal tissue specimens from resected colon cancer patients. RESULTS:
Luciferase reporter assays showed that miR-328 directly targeted SLC2A1 3'
untranslated region (UTR), with a significant decrease in luciferase activity in
both LOVO and SW480 cell lines. These results were validated by western blot. miR
328 expression was significantly downregulated in tumor tissue compared with
paired normal tissue. CONCLUSIONS: Our results show that miR-328 targets
SLC2A1/GLUT1. We suggest that miR-328 may be involved in the orchestration of the
Warburg effect in colon cancer cells. Furthermore, miR-328 expression is reduced
in colon cancer patients and thus inversely correlates with the classically
reported upregulated SLC2A1/GLUT1 expression in tumors.
PMID- 29374352
TI - Image Guidance Technologies for Interventional Pain Procedures: Ultrasound,
Fluoroscopy, and CT.
AB - Chronic pain is a common medical condition. Patients who suffer uncontrolled
chronic pain may require interventions including spinal injections and various
nerve blocks. Interventional procedures have evolved and improved over time since
epidural injection was first introduced for low back pain and sciatica in 1901.
One of the major contributors in the improvement of these interventions is the
advancement of imaging guidance technologies. The utilization of image guidance
has dramatically improved the accuracy and safety of these interventions. The
first image guidance technology adopted by pain specialists was fluoroscopy. This
was followed by CT and ultrasound. Fluoroscopy can be used to visualize bony
structures of the spine. It is still the most commonly used guidance technology
in spinal injections. In the recent years, ultrasound guidance has been
increasingly adopted by interventionists to perform various injections. Because
its ability to visualize soft tissue, vessels, and nerves, this guidance
technology appears to be a better option than fluoroscopy for interventions
including SGB and celiac plexus blocks, when visualization of the vessels may
prevent intravascular injection. The current evidence indicates the efficacies of
these interventions are similar between ultrasound guidance and fluoroscopy
guidance for SGB and celiac plexus blocks. For facet injections and interlaminar
epidural steroid injections, it is important to visualize bony structures in
order to perform these procedures accurately and safely. It is worth noting that
facet joint injections can be done under ultrasound guidance with equivalent
efficacy to fluoroscopic guidance. However, obese patients may present challenge
for ultrasound guidance due to its poor visualization of deep anatomical
structures. Regarding transforaminal epidural steroid injections, there are
limited evidence to support that ultrasound guidance technology has equivalent
efficacy and less complications comparing to fluoroscopy. However, further
studies are required to prove the efficacy of ultrasound-guided transforaminal
epidural injections. SI joint is unique due to its multiplanar orientation,
irregular joint gap, partial ankylosis, and thick dorsal and interosseous
ligament. Therefore, it can be difficult to access the joint space with
fluoroscopic guidance and ultrasound guidance. CT scan, with its cross-sectional
images, can identify posterior joint gap, is most likely the best guidance
technology for this intervention. Intercostal nerves lie in the subcostal grove
close to the plural space. Significant risk of pneumothorax is associated with
intercostal blocks. Ultrasound can provide visualization of ribs and pleura.
Therefore, it may improve the accuracy of the injection and reduce the risk of
pneumothorax. At present time, most pain specialists are familiar with
fluoroscopic guidance techniques, and fluoroscopic machines are readily available
in the pain clinics. In the contrast, CT guidance can only be performed in
specially equipped facilities. Ultrasound machine is generally portable and
inexpensive in comparison to CT scanner and fluoroscopic machine. As pain
specialists continue to improve their patient care, ultrasound and CT guidance
will undoubtedly be incorporated more into the pain management practice. This
review is based on a paucity of clinical evidence to compare these guidance
technologies; clearly, more clinical studies is needed to further elucidate the
pro and cons of each guidance method for various pain management interventions.
PMID- 29374353
TI - Gouty arthritis: decision-making following dual-energy CT scan in clinical
practice, a retrospective analysis.
AB - To establish whether dual-energy CT (DECT) is a diagnostic tool, i.e., associated
with initiation or discontinuation of a urate lowering drug (ULD). Secondly, to
determine whether DECT results (gout deposition y/n) can be predicted by clinical
and laboratory variables. Digital medical records of 147 consecutive patients
with clinical suspicion of gout were analyzed retrospectively. Clinical data
including medication before and after DECT, lab results, and results from
diagnostic joint aspiration and DECT were collected. The relationship between
DECT results and clinical and laboratory results was evaluated by univariate
regression analyses; predictors showing a p < 0.10 were entered in a multivariate
logistic regression model with the DECT result as outcome variable. A backward
stepwise technique was applied. After the DECT, 104 of these patients had a
clinical diagnosis of gout based on the clinical judgment of the rheumatologist,
and in 84 of these patients, the diagnosis was confirmed by demonstration of
monosodium urate (MSU) crystals in synovial fluid (SF) or by positive DECT. After
DECT, the current ULD was modified in 33 (22.4%) of patients; in 29 of them, ULD
was started and in 1 it was intensified. Following DECT, the current ULD was
stopped in three patients. In the multivariable regression model, cardiovascular
disease (OR 3.07, 95% CI 1.26-7.47), disease duration (OR 1.008, 95% CI 1.001
1.016), frequency of attack (OR 1.23, 95% CI 1.07-1.42), and creatinine clearance
(OR 2.03, 95% CI 0.91-1.00) were independently associated with positive DECT
results. We found that the DECT result increases the confidence of the
prescribers in their decision to initiation or discontinuation of urate lowering
therapy regimen in of mono- or oligoarthritis. It may be a useful imaging tool
for patients who cannot undergo joint aspiration because of contraindications or
with difficult to aspirate joints, or those who refuse joint aspiration. We also
suggest the use of DECT in cases where a definitive diagnosis cannot be made from
signs, symptoms, and MSU analysis alone.
PMID- 29374354
TI - Missing motoric manipulations: rethinking the imaging of the ventral striatum and
dopamine in human reward.
AB - Human neuroimaging studies of natural rewards and drugs of abuse frequently assay
the brain's response to stimuli that, through Pavlovian learning, have come to be
associated with a drug's rewarding properties. This might be characterized as a
'sensorial' view of the brain's reward system, insofar as the paradigms are
designed to elicit responses to a reward's (drug's) sight, aroma, or flavor. A
different field of research nevertheless suggests that the mesolimbic dopamine
system may also be critically involved in the motor behaviors provoked by such
stimuli. This brief review and commentary surveys some of the preclinical data
supporting this more "efferent" (motoric) view of the brain's reward system, and
discusses what such findings might mean for how human brain imaging studies of
natural rewards and drugs of abuse are designed.
PMID- 29374355
TI - Physical activity mitigates adverse effect of metabolic syndrome on vessels and
brain.
AB - Metabolic syndrome (MetS) adversely affects the vasculature and cerebral white
matter (CWM) integrity. Arterial stiffening has been associated with diminished
CWM integrity. Physical activity (PA) can ameliorate components of MetS and
subsequently affect arterial stiffening and CWM integrity. Our aim was to
determine the role of PA on mitigating the adverse influence of MetS on arterial
stiffness and CWM integrity. In a cross-sectional study design, sixty-six middle
aged adults (40-62 years) composed of 18 sedentary MetS (Sed MetS), 21 physically
active MetS (Active MetS), and 27 healthy individuals absent of MetS risk factors
were studied. Carotid artery stiffness was assessed via simultaneous ultrasound
and tonometry. CWM integrity was measured using diffusion tensor imaging (DTI)
through metrics of fractional anisotropy (FA) and mean diffusivity (MD). Carotid
beta-stiffness index in Active MetS was lower than Sed MetS but was not different
from Healthy controls (6.6 +/- 1.5, 7.7 +/- 2.1, and 5.6 +/- 1.6 au, p = 0.001).
CWM integrity was significantly greater in Active MetS subjects compared to Sed
MetS subjects but statistically equal to Healthy controls in the anterior limb of
the internal capsule, and splenium of the corpus callosum, uncinate fasciculus,
and superior corona radiata (all p < 0.05). Middle-aged individuals with MetS who
habitually perform PA demonstrated lower arterial stiffness and more favorable
CWM integrity than their sedentary peers, indicating that PA may be effective in
mitigating the adverse effects of MetS on the vasculature and brain at midlife.
PMID- 29374356
TI - Altered intrinsic brain activity and memory performance improvement in patients
with end-stage renal disease during a single dialysis session.
AB - Memory deficits are considered to have a great influence on self-management,
dietary restriction and therapeutic regimen for end-stage renal disease (ESRD)
patients with dialysis treatment. This study was aim to investigate the
spontaneous brain activity and its relationship with memory performance in ESRD
patients before dialysis (T1) and after 24 h (T2) during a single dialysis
session. 23 ESRD patients and 25 matched healthy controls (HCs) were scanned
using functional magnetic resonance imaging (fMRI) at T1, and all patients were
also scanned at T2. Amplitude of low-frequency fluctuation (ALFF) and regional
homogeneity (ReHo) methods were used to evaluate the spontaneous brain activity
between two groups. The Auditory Verbal Learning Test-Huashan version (AVLT-H)
was performed to assess memory function. Compared with HCs, ESRD group showed a
significant decreases in the immediate recall total score (IR-S), short-term
delayed recall score (SR-S), and long-term delayed recall score (LR-S) at T1. IR
S, SR-S, LR-S and recognition score (REC-S) were significantly increased at T2.
Compared with HCs at T1, ESRD patients showed that the lower mean ALFF (mALFF)
values were mainly located in dorsolateral prefrontal cortex (DLPFC), medial
frontal gyrus, and precuneus. Higher ReHo in the bilateral inferior temporal
gyrus and left hippocampus and lower ReHo in the right precentral gyrus, anterior
cingulate cortex were found at T1 too. The mALFF values of the DLPFC and
precuneus were significantly increased during a dialysis session, while no
significantly difference of ReHo region was found. Furthermore, the increased
mALFF values of the DLPFC were significantly positively correlated with the
improvement in the IR-S. Our results indicated that increased regional
spontaneous activity of the DLPFC may reflect memory performance improvement
after a single dialysis treatment, which may provide insight into the effect of
hemodialysis on spontaneous brain function during a single dialysis session.
PMID- 29374357
TI - Do Words Matter? Stigmatizing Language and the Transmission of Bias in the
Medical Record.
AB - BACKGROUND: Clinician bias contributes to healthcare disparities, and the
language used to describe a patient may reflect that bias. Although medical
records are an integral method of communicating about patients, no studies have
evaluated patient records as a means of transmitting bias from one clinician to
another. OBJECTIVE: To assess whether stigmatizing language written in a patient
medical record is associated with a subsequent physician-in-training's attitudes
towards the patient and clinical decision-making. DESIGN: Randomized vignette
study of two chart notes employing stigmatizing versus neutral language to
describe the same hypothetical patient, a 28-year-old man with sickle cell
disease. PARTICIPANTS: A total of 413 physicians-in-training: medical students
and residents in internal and emergency medicine programs at an urban academic
medical center (54% response rate). MAIN MEASURES: Attitudes towards the
hypothetical patient using the previously validated Positive Attitudes towards
Sickle Cell Patients Scale (range 7-35) and pain management decisions (residents
only) using two multiple-choice questions (composite range 2-7 representing
intensity of pain treatment). KEY RESULTS: Exposure to the stigmatizing language
note was associated with more negative attitudes towards the patient (20.6
stigmatizing vs. 25.6 neutral, p < 0.001). Furthermore, reading the stigmatizing
language note was associated with less aggressive management of the patient's
pain (5.56 stigmatizing vs. 6.22 neutral, p = 0.003). CONCLUSIONS: Stigmatizing
language used in medical records to describe patients can influence subsequent
physicians-in-training in terms of their attitudes towards the patient and their
medication prescribing behavior. This is an important and overlooked pathway by
which bias can be propagated from one clinician to another. Attention to the
language used in medical records may help to promote patient-centered care and to
reduce healthcare disparities for stigmatized populations.
PMID- 29374359
TI - Long-Term Cognitive Impairment after Hospitalization for Community-Acquired
Pneumonia: a Prospective Cohort Study.
AB - BACKGROUND: Recent studies suggest older patients hospitalized for community
acquired pneumonia are at risk for new-onset cognitive impairment. The
characteristics of long-term cognitive impairment after pneumonia, however, have
not been elucidated. OBJECTIVE: To characterize long-term cognitive impairment
among adults of all ages hospitalized for community-acquired pneumonia. DESIGN:
Prospective cohort study. PARTICIPANTS: Adults without severe preexisting
cognitive impairment who were hospitalized with community-acquired pneumonia.
MAIN MEASURES: At enrollment, we estimated baseline cognitive function with the
Short Informant Questionnaire on Cognitive Decline in the Elderly (IQCODE). At 2-
and 12-month follow-up, we assessed cognition using the Repeatable Battery for
the Assessment of Neuropsychological Status (RBANS) and tests of executive
function, diagnosing cognitive impairment when results were >= 1.5 standard
deviations below published age-adjusted means for the general population. We also
identified subtypes of mild cognitive impairment using standard definitions. KEY
RESULTS: We assessed 58 (73%) of 80 patients who survived to 2-month follow-up
and 57 (77%) of 74 who survived to 12-month follow-up. The median [range] age of
survivors tested was 57 [19-97] years. Only 8 (12%) had evidence of mild
cognitive impairment at baseline according to the Short IQCODE, but 21 (38%) at 2
months and 17 (30%) at 12 months had mild cognitive impairment per the RBANS.
Moderate-to-severe cognitive impairment was common among adults >= 65 years [4/13
(31%) and 5/13 (38%) at 2 and 12 months, respectively] but also affected many of
those < 65 years [10/43 (23%) and 8/43 (19%) at 2 and 12 months, respectively].
Deficits were most often noted in visuospatial function, attention, and memory.
CONCLUSIONS: A year after hospitalization for community-acquired pneumonia,
moderate-to-severe impairment in multiple cognitive domains affected one-third of
patients >= 65 years old and 20% of younger patients, and another third of
survivors had mild cognitive impairment.
PMID- 29374358
TI - Identifying Older Adults at Risk of Delirium Following Elective Surgery: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: Postoperative delirium is a common preventable complication
experienced by older adults undergoing elective surgery. In this systematic
review and meta-analysis, we identified prognostic factors associated with the
risk of postoperative delirium among older adults undergoing elective surgery.
METHODS: Medline, EMBASE, CINAHL, Cochrane Central Register of Controlled Trials,
and AgeLine were searched for articles published between inception and April 21,
2016. A total of 5692 titles and abstracts were screened in duplicate for
possible inclusion. Studies using any method for diagnosing delirium were
eligible. Two reviewers independently completed all data extraction and quality
assessments using the Cochrane Risk-of-Bias Tool for randomized controlled trials
(RCTs) and the Newcastle-Ottawa Scale (NOS) for cohort studies. Random effects
meta-analysis models were used to derive pooled effect estimates. RESULTS: Forty
one studies (9384 patients) reported delirium-related prognostic factors. Among
our included studies, the pooled incidence of postoperative delirium was 18.4%
(95% confidence interval [CI] 14.3-23.3%, number needed to follow [NNF] = 6).
Geriatric syndromes were important predictors of delirium, namely history of
delirium (odds ratio [OR] 6.4, 95% CI 2.2-17.9), frailty (OR 4.1, 95% CI 1.4
11.7), cognitive impairment (OR 2.7, 95% CI 1.9-3.8), impairment in activities of
daily living (ADLs; OR 2.1, 95% CI 1.6-2.6), and impairment in instrumental
activities of daily living (IADLs; OR 1.9, 95% CI 1.3-2.8). Potentially
modifiable prognostic factors such as psychotropic medication use (OR 2.3, 95% CI
1.4-3.6) and smoking status (OR 1.8 95% CI 1.3-2.4) were also identified.
Caregiver support was associated with lower odds of postoperative delirium (OR
0.69, 95% CI 0.52-0.91). DISCUSSION: Though caution must be used in interpreting
meta-analyses of non-randomized studies due to the potential influence of
unmeasured confounding, we identified potentially modifiable prognostic factors
including frailty and psychotropic medication use that should be targeted to
optimize care.
PMID- 29374360
TI - How Primary Care Providers Talk to Patients about Genome Sequencing Results:
Risk, Rationale, and Recommendation.
AB - BACKGROUND: Genomics will play an increasingly prominent role in clinical
medicine. OBJECTIVE: To describe how primary care physicians (PCPs) discuss and
make clinical recommendations about genome sequencing results. DESIGN:
Qualitative analysis. PARTICIPANTS: PCPs and their generally healthy patients
undergoing genome sequencing. APPROACH: Patients received clinical genome reports
that included four categories of results: monogenic disease risk variants (if
present), carrier status, five pharmacogenetics results, and polygenic risk
estimates for eight cardiometabolic traits. Patients' office visits with their
PCPs were audio-recorded, and summative content analysis was used to describe how
PCPs discussed genomic results. KEY RESULTS: For each genomic result discussed in
48 PCP-patient visits, we identified a "take-home" message (recommendation),
categorized as continuing current management, further treatment, further
evaluation, behavior change, remembering for future care, or sharing with family
members. We analyzed how PCPs came to each recommendation by identifying 1) how
they described the risk or importance of the given result and 2) the rationale
they gave for translating that risk into a specific recommendation. Quantitative
analysis showed that continuing current management was the most commonly coded
recommendation across results overall (492/749, 66%) and for each individual
result type except monogenic disease risk results. Pharmacogenetics was the most
common result type to prompt a recommendation to remember for future care
(94/119, 79%); carrier status was the most common type prompting a recommendation
to share with family members (45/54, 83%); and polygenic results were the most
common type prompting a behavior change recommendation (55/58, 95%). One-fifth of
recommendation codes associated with monogenic results were for further
evaluation (6/24, 25%). Rationales for these recommendations included patient
context, family context, and scientific/clinical limitations of sequencing.
CONCLUSIONS: PCPs distinguish substantive differences among categories of genome
sequencing results and use clinical judgment to justify continuing current
management in generally healthy patients with genomic results.
PMID- 29374363
TI - Non-coding RNAs, epigenetics, and cancer: tying it all together.
AB - While only a small part of the human genome encodes for proteins, biological
functions for the so-called junk genome are increasingly being recognized through
high-throughput technologies and mechanistic experimental studies. Indeed, novel
mechanisms of gene regulation are being discovered that require coordinated
interaction between DNA, RNA, and proteins. Therefore, interdisciplinary efforts
are still needed to decipher these complex transcriptional networks. In this
review, we discuss how non-coding RNAs (ncRNAs) are epigenetically regulated in
cancer and metastases and consequently how ncRNAs participate in the sculpting of
the epigenetic profile of a cancer cell, thus modulating the expression of other
RNA molecules. In the latter case, ncRNAs not only affect the DNA methylation
status of certain genomic loci but also interact with histone-modifying
complexes, changing the structure of the chromatin itself. We present several
examples of epigenetic changes causing aberrant expression of ncRNAs in the
context of tumor progression. Interestingly, there are also important epigenetic
changes and transcriptional regulatory effects derived from their aberrant
expression. As ncRNAs can also be used as biomarkers for diagnosis and prognosis
or explored as potential targets, we present insights into the use of ncRNAs for
targeted cancer therapy.
PMID- 29374361
TI - Targeting Senescent Cells in Fibrosis: Pathology, Paradox, and Practical
Considerations.
AB - PURPOSE OF THE REVIEW: Senescent cells have the capacity to both effect and limit
fibrosis. Senotherapeutics target senescent cells to improve aging conditions.
Here, we review the contexts in which senescent cells mediate wound healing and
fibrotic pathology and the potential utility of senotherapeutic drugs for
treatment of fibrotic disease. RECENT FINDINGS: Multi-action and temporal
considerations influence deleterious versus beneficial actions of senescent
cells. Acutely generated senescent cells can limit proliferation, and the
senescence-associated secretory phenotype (SASP) contains factors that can
facilitate tissue repair. Long-lived senescent cells that evade clearance or are
generated outside of programmed remodeling can deplete the progenitor pool to
exhaust regenerative capacity and through the SASP, stimulate continual
activation, leading to disorganized tissue architecture, fibrotic damage, sterile
inflammation, and induction of bystander senescence. Senescent cells contribute
to fibrotic pathogenesis in multiple tissues, including the liver, kidney, and
lung. Senotherapeutics may be a viable strategy for treatment of a range of
fibrotic conditions.
PMID- 29374362
TI - The regulatory network analysis of long noncoding RNAs in human colorectal
cancer.
AB - Colorectal cancer (CRC) is among one of the most prevalent and lethiferous
diseases worldwide. Long noncoding RNAs (lncRNAs) are commonly accepted to
function as a key regulatory factor in human cancer, but the potential regulatory
mechanisms of CRC-associated lncRNA are largely obscure. Here, we integrated
several expression profiles to obtain 55 differentially expressed (DE) lncRNAs.
We first detected lncRNA interactions with transcription factors, microRNAs,
mRNAs, and RNA-binding proteins to construct a regulatory network and then create
functional enrichment analyses for them using bioinformatics approaches. We found
the upregulated genes in the regulatory network are enriched in cell cycle and
DNA damage response, while the downregulated genes are enriched in cell
differentiation, cellular response, and cell signaling. We then employed module
based methods to mine several intriguing modules from the overall network, which
helps to classify the functions of genes more specifically. Next, we confirmed
the validity of our network by comparisons with a randomized network using
computational method. Finally, we attempted to annotate lncRNA functions based on
the regulatory network, which indicated its potential application. Our study of
the lncRNA regulatory network provided significant clues to unveil lncRNAs
potential regulatory mechanisms in CRC and laid a foundation for further
experimental investigation.
PMID- 29374364
TI - Effectiveness of laser adjunctive therapy for surgical treatment of gingival
recession with flap graft techniques: a systematic review and meta-analysis.
AB - Various flap graft techniques in the treatment of gingival recession have already
been reported in the literatures for root coverage. Laser therapy has effects of
ablative, hemostatic, and decontamination. Therefore, we performed a meta
analysis of randomized controlled trials (RCTs) to compare the efficacy of flap
surgery combined with laser with surgery alone for treating gingival recession.
The studies were searched from PubMed, Embase, Web of science, and the Cochrane
Central Register of Controlled Trials by two reviewers up to August 2017. The
quality of RCTs was assessed by Cochrane Handbook. Data were extracted from
studies and analyzed by Review Manager 5.3. 95% confidence interval (CI) and risk
ratio (RR) were calculated for dichotomous data. Seven RCTs with 173 patients and
296 teeth were included in the meta-analysis. We found no statistically
significant differences between two groups in GRD (gingival recession depth) (P =
0.21), GRW (gingival recession width) (P = 0.92), RES (root esthetic score) (P =
0.21), and CRC (complete root coverage) (P = 0.09). Statistically significant
differences were found between two groups in the WKT (width of keratinized
tissue) (P < 0.0001) and 1-year follow-up of PD (probing depth) (P = 0.03) and
CAL (clinical attachment level) (P < 0.00001). The meta-analysis found that
surgery with laser therapy provided clinical advantages in terms of WKT and 1
year follow-up of PD and CAL. However, flap graft associated with laser did not
offer additional benefit to root coverage and esthetics in treating gingival
recession. More long-term studies are required to assess these parameters.
PMID- 29374366
TI - Conspecific and Heterogeneric Lacewings Respond to (Z)-4-Tridecene Identified
from Chrysopa formosa (Neuroptera: Chrysopidae).
AB - Green lacewings (Chrysopidae) are predators of soft-bodied pest insects and are
among the most important biological control agents in crop protection. Chrysopa
spp. are of special importance since, unlike most green lacewing species, adults
are also predatory. The current study was undertaken in search of Chrysopa
formosa compounds with semiochemical activity. Using coupled gas chromatography
electroantennography (GC-EAG), head and thorax extracts of C. formosa elicited
EAG responses to a compound subsequently identified by coupled GC/mass
spectrometry, microchemistry, chemical synthesis and GC peak enhancement as (Z)-4
tridecene. In field experiments, this compound decreased attraction of adult C.
formosa to (1R,4aS,7S,7aR)-nepetalactol and that of Chrysoperla carnea species
complex to a ternary floral lure, with the inhibitory effect found to be dose
dependent. Our results suggest that (Z)-4-tridecene may serve as a general
warning signal among multiple green lacewing species. Perspectives for potential
practical applications are discussed.
PMID- 29374365
TI - Liposomal Form of Tetra(Aryl)Tetracyanoporphyrazine: Physical Properties and
Photodynamic Activity In Vitro.
AB - Tetra(aryl)tetracyanoporphyrazines are the promising group of dyes for
photodynamic therapy of tumors with unique combination of photosensitizer
properties and sensitivity of fluorescence parameters to the environment
viscosity. However, in vivo application of such hydrophobic photosensitizers
requires using of drug carriers ensuring efficient delivery to the tumor site.
The present study is focused on obtaining liposomes loaded with tetrakis(4
benzyloxyphenyl)tetracyanoporphyrazine and examining their properties depending
on lipid composition. An efficient loading of the dye and a high long-term
stability were proved for the liposomes composed of phosphatidylcholine with
cholesterol and phosphatidylglycerol. This can be explained by the presence of
negatively charged lipids in the bilayer and, as a consequence, a high value of
the surface potential. A high rate of cellular uptake and a strong photoinduced
toxicity give the prerequisites for the further use of the liposomal form of the
photosensitizer for photodynamic therapy of tumors.
PMID- 29374367
TI - The Genetics of Food Allergy.
AB - PURPOSE OF REVIEW: Food allergy likely arises from a complex interplay between
environmental triggers and genetic susceptibility. Here, we review recent studies
that have investigated the genetic pathways and mechanisms that may contribute to
the pathogenesis of food allergy. RECENT FINDINGS: A heritability component of
food allergy has been observed in multiple studies. A number of monogenic
diseases characterized by food allergy have elucidated pathways that may be
important in pathogenesis. Several population-based genetic variants associated
with food allergy have also been identified. The genetic mechanisms that play a
role in the development of food allergy are heterogeneous and complex. Advances
in our understanding of the genetics of food allergy, and how this predisposition
interacts with environmental exposures to lead to disease, will improve our
understanding of the key pathways leading to food allergy and inform more
effective prevention and treatment strategies.
PMID- 29374368
TI - Physico-Chemical Properties, Aerosolization and Dissolution of Co-Spray Dried
Azithromycin Particles with L-Leucine for Inhalation.
AB - PURPOSE: Inhalation therapy is popular to treat lower respiratory tract
infections. Azithromycin is effective against some bacteria that cause
respiratory tract infections; but it has poor water solubility that may limit its
efficacy when administrated as inhalation therapy. In this study, dry powder
inhaler formulations were developed by co-spray drying azithromycin with L
leucine with a purpose to improve dissolution. METHODS: The produced powder
formulations were characterized regarding particle size, morphology, surface
composition and in-vitro aerosolization performance. Effects of L-leucine on the
solubility and in-vitro dissolution of azithromycin were also evaluated. RESULTS:
The spray dried azithromycin alone formulation exhibited a satisfactory aerosol
performance with a fine particle fraction (FPF) of 62.5 +/- 4.1%. Addition of L
leucine in the formulation resulted in no significant change in particle
morphology and FPF, which can be attributed to enrichment of azithromycin on the
surfaces of composite particles. Importantly, compared with the spray-dried
amorphous azithromycin alone powder, the co-spray dried powder formulations of
azithromycin and L-leucine demonstrated a substantially enhanced in-vitro
dissolution rate. Such enhanced dissolution of azithromycin could be attributed
to the formation of composite system and the acidic microenvironment around
azithromycin molecules created by the dissolution of acidic L-leucine in the co
spray dried powder. Fourier transform infrared spectroscopic data showed
intermolecular interactions between azithromycin and L-leucine in the co-spray
dried formulations. CONCLUSIONS: We developed the dry powder formulations with
satisfactory aerosol performance and enhanced dissolution for a poorly water
soluble weak base, azithromycin, by co-spray drying with an amino acid, L
leucine.
PMID- 29374369
TI - The effects of hydraulic works and wetlands function in the Salado-River basin
(Buenos Aires, Argentina).
AB - Man-made activities exert great influences on fluvial ecosystems, with lowland
rivers being substantially modified through agricultural land use and
populations. The recent construction of drainage canals in the upper stretch of
the Salado-River basin caused the mobilization of huge amounts of salts formerly
stored in the groundwater. The main aim of this work was to analyze the effect of
the discharges of those canals into the Salado-River water, under different
hydrologic conditions, and the role of the wetlands and shallow lakes placed
along the canals' system. Physicochemical variables were measured and water
samples were taken during times of high water, mean flows, drought, and extreme
drought. The environmental variables and the plankton development were related to
the hydrologic regime and reached minimum values during floods because of low
temperatures and dilution. Local effects on the water's ionic composition became
pronounced during droughts because of groundwater input. Nutrient concentrations
were mainly associated with point wastewater discharges. Conductivity, ion
concentrations, total plankton biomass, and species richness increased in the
Salado-River downstream site, after the canals' discharges. The artificial
drainage system definitely promotes the incorporation of salts into the Salado
River basin. In this scenario, a careful hydraulic management is needed to take
into account this issue of secondary salinization that threatens the economic
exploitation of the region. The wetlands present in this study acted as service
environments not only helping to reduce salt, nutrient, and suspended-solid
concentrations downstream but also contributing a plethora of species and
plankton biomass into the Salado-River main course.
PMID- 29374370
TI - Twitter Use in the Hematopoietic Cell Transplantation Community.
AB - PURPOSE OF REVIEW: Social media has revolutionized the access and exchange of
information in healthcare. The microblogging platform Twitter has been used by
blood and marrow transplant physicians over the last several years with
increasing enthusiasm. We review the adoption of Twitter in the transplant
community and its implications on clinical care, education, and research. RECENT
FINDINGS: Twitter allows instantaneous access to the latest research
publications, developments at national and international meetings, networking
with colleagues, participation in advocacy, and promoting available clinical
trials. Additionally, Twitter serves as a gateway for resources dedicated to
education and support for patients undergoing transplantation. We demonstrate the
utilization and various applications in using Twitter among hematopoietic cell
transplant healthcare professionals, patients, and other affiliated stakeholders.
Professionalism concerns with clinician use of such social media platforms,
however, also exist. Overall, Twitter has enhanced and increased the
opportunities for engagement in the transplant community.
PMID- 29374372
TI - Targeting the CACNA1A IRES as a Treatment for Spinocerebellar Ataxia Type 6.
AB - We have discovered that the P/Q-type voltage-gated Ca2+ channel (VGCC) gene,
CACNA1A, encodes both the alpha1A (Cav2.1) subunit and a newly recognized
transcription factor, alpha1ACT, by means of a novel internal ribosomal entry
site (IRES) within the alpha1A C-terminal coding region. alpha1ACT, when mutated
with an expansion of the polyglutamine tract in the C-terminus, gives rise to
spinocerebellar ataxia type 6 (SCA6). Because silencing of the entire CACNA1A
gene would result in the loss of the essential Cav2.1 channel, the IRES
controlling alpha1ACT expression is an excellent target for selective silencing
of alpha1ACT as a therapeutic intervention for SCA6. We performed a high
throughput screen of FDA-approved small molecules using a dual luciferase
reporter system and identified ten hits able to selectively inhibit the IRES. We
identified four main candidates that showed selective suppression of alpha1ACT
relative to alpha1A in HEK cells expressing a native CACNA1A vector. We
previously pursued another avenue of molecular intervention through miRNA
silencing. We studied three human miRNAs (miRNA-711, -3191-5p, -4786) that would
potentially bind to sequences within the CACNA1A IRES region, based on an miRNA
prediction program. Only miRNA-3191-5p was found to selectively inhibit the
translation of alpha1ACT in cells. We developed a hyperacute model of SCA6 in
mice by injecting a pathogenic form of the IRES-mediated alpha1ACT (AAV9
alpha1ACTQ33). Finally, we tested the effectiveness of the miRNA therapy by co
expressing either control miRNA or miRNA-3191-5p and found that miRNA-3191-5p
decreased the levels of alpha1ACTQ33 and prevented the hyperacute disease in
mice. These studies provide the proof of principle that a therapy directed at
selectively preventing alpha1ACT expression could be used to treat SCA6.
PMID- 29374371
TI - Rethinking Antimicrobial Prophylaxis in the Transplant Patient in the World of
Emerging Resistant Organisms-Where Are We Today?
AB - PURPOSE OF REVIEW: The use of prophylactic antibiotics during the neutropenic
period in hematopoietic stem cell transplantation has been the standard of care
at most institutions for the past 20 years. We sought to review the benefits and
risks of this practice. RECENT FINDINGS: Emerging data has highlighted the
potential costs of antibacterial prophylaxis, from selecting for antibiotic
resistance to perturbing the microbiome and contributing to increase risk for
Clostridium difficile and perhaps graft-versus-host-disease, conditions which may
lead to poorer outcomes. Though in many studies prophylactic antibiotics improved
morbidity and mortality outcomes, the potential harms including antibiotic
resistance, Clostridium difficile infection, and alterations of the gut
microbiome should be considered. Future studies aimed to better risk-stratify
patients and limit the use of broad-spectrum antibiotics are warranted.
PMID- 29374373
TI - Utilization of stress testing for low-risk patients with chest discomfort in the
emergency department.
AB - BACKGROUND: The management of patients presenting to an emergency department with
chest discomfort at low-risk for acute coronary syndrome represents a common
clinical challenge. Such patients are often triaged to chest pain units for
monitoring and cardiac stress testing for further risk stratification. METHODS:
We conducted a retrospective study of 292 low-risk patients who presented to an
emergency department with chest discomfort. We performed physician-adjudicated
chart reviews of all patients with positive stress tests to assess downstream
testing, subsequent coronary revascularization, and outcomes. RESULTS: Of the 292
patients, 33 (11.3%) had stress tests positive for ischemia, and 12 (4.1%)
underwent diagnostic cardiac catheterization. Of the 292 patients, 4 (1.4%)
underwent coronary revascularization that may have resulted in a mortality
benefit. CONCLUSION: These data suggest a very low yield of detecting clinically
significant coronary disease with stress testing low-risk patients with chest
discomfort in emergency department chest pain units.
PMID- 29374374
TI - Constant iodine intake through the diet could improve hypothyroidism treatment: a
case report.
AB - Currently, hypothyroidism is usually treated only with drugs; patients are never
told that they could regulate their levels of iodine with dietary recommendations
in a complementary way. The objective of this work was to explore the effect of a
constant iodine intake through the diet in a postmenopausal woman with
subclinical grade II hypothyroidism, who also had mild hypercholesterolemia and
obesity. Baseline anthropometric nutritional, pharmacological, and habit data
were obtained, then the woman was scheduled for 1 month a diet in which she was
provided food naturally containing iodine, so that the recommended requirements
(iodine 150 MUg/day) were met. All the information about which foods contain this
mineral was supplied and explained to the patient. This diet was also designed to
help her to gradually lose weight, and was more balanced and closer to the
nutritional recommendations. The results obtained in this work were satisfactory,
having achieved improved blood levels of thyroid-stimulating hormone (1.78
MUIU/mL) and reduced total cholesterol levels (198 mg/dL). Statement of
hypercholesterolemia was demoted. In addition, a significant improvement in
relation to weight and body volume was reached (body mass index fell from 30.13
to 28.5 kg/m2), an important fact since it has impacted the overall well-being of
the patient. In conclusion, it was demonstrated that a constant iodine intake
through the diet for this patient with grade II hypothyroidism was very
effective, and therefore, this aspect should be also considered during
hypothyroidism treatment.
PMID- 29374375
TI - Geochemical characteristics of n-alkanes and isoprenoids in coal seams from Zhuji
coal mine, Huainan coalfield, China, and their relationship with coal-forming
environment.
AB - Ten coal seams in Upper Shihezi Formation, Lower Shihezi Formation, and Shanxi
Formation from the Zhuji mine, Huainan coalfield, China, were analyzed for n
alkanes and isoprenoids (pristine and phytane) using gas chromatography-mass
spectrometry (GC-MS), with an aim of reconstructing the coal-forming plants and
depositional environments along with organic carbon isotope analyses. The total n
alkane concentrations ranged from 34.1 to 481 mg/kg. Values of organic carbon
isotope (delta13Corg) ranged from - 24.6 to - 23.70/00. The calorific value
(Qb,d), maximum vitrinite reflectance (Romax), proximate, and ultimate analysis
were also determined but showed no correlation with n-alkane concentrations.
Carbon Preference Index (CPI) values ranged from 0.945 to 1.30, suggesting no
obvious odd/even predominance of n-alkane. The predominance of C11 and C17 n
alkanes implied that the coal may be deposited in the fresh and mildly brackish
environment. According to the contrary changing trend of pristine/phytane (Pr/Ph)
ratio and boron concentrations, Pr/Ph can be used as an indicator to reconstruct
the marine transgression-regression in sedimentary environment of coal formation.
The influence of marine transgression may lead to the enrichment of pyrite sulfur
in the coal seam 4-2. C3 plants (- 32 to - 210/00) and marine algae (- 23 to -
160/00) were probably the main coal-forming plants in the studied coal seams. No
correlation of the n-alkane concentration and redox condition of the depositional
environment with organic carbon isotope composition were found.
PMID- 29374376
TI - Rhizospheric effects on the microbial community of e-waste-contaminated soils
using phospholipid fatty acid and isoprenoid glycerol dialkyl glycerol tetraether
analyses.
AB - We performed the study of rhizospheric effects on soil microbial community
structure, including bacteria, fungi, actinomycete, and archaea, at an electronic
waste (e-waste) recycling site by analyzing the phospholipid fatty acid (PLFA)
and isoprenoid glycerol dialkyl glycerol tetraether (GDGT) contents. By comparing
PLFA and isoprenoid GDGT profiles of rhizospheric and surrounding bulk soils of
11 crop species, we observed distinct microbial community structures. The total
PLFA concentration was significantly higher in rhizospheric soils than in non
rhizospheric soils, whereas no obvious difference was found in the total
isoprenoid GDGT concentrations. The microbial community structure was also
different, with higher ratios of fungal-to-bacterial PLFAs (F/B) and lower
relative abundance of Gram-positive bacteria in rhizospheric soils. The extent of
rhizospheric effects varied among plant species, and Colocasia esculenta L. had
the greatest positive effects on the total microbial biomass. Dissolved organic
carbon and pH were the main environmental factors affecting the microbial
community represented by PLFAs, while the archaeal community was influenced by
copper and zinc in all soils. These results offer a comprehensive view of
rhizospheric effects on microbes in heavy metal and persistent organic pollutant
co-contaminated soil, and provide fundamental knowledge regarding microbial
ecology in e-waste-contaminated soils.
PMID- 29374377
TI - Toxicity of aqueous mixture of phenol and chlorophenols upon photosensitized
oxidation initiated by sunlight or vis-lamp.
AB - It is well established that aquatic wildlife in marine and freshwater of the
European Union is exposed to natural and synthetic endocrine disruptor compounds
(EDCs) which are able to interfere with the hormonal system causing adverse
effects on the intact physiology of organisms. The traditional wastewater
treatment processes are inefficient on the removal of EDCs in low concentration.
Moreover, not only the efficiency of treatment must be considered but also
toxicological aspects. Taking into account all these aspects, the main goal of
the study was to investigate the photochemical decomposition of hazardous
phenolic compounds under simulated as well as natural sunlight from the toxicity
point of view. The studies were focused on photodegradation of 2,4-dichlorophenol
as well as mixture of phenol, 2-chlorophenol and 2,4-dichlorophenol.
Photosensitized oxidation process was carried out in homogeneous and
heterogeneous system. V. fischeri luminescence inhibition was used to determine
the changes of toxicity in mixture during simulated and natural irradiation. The
photodegradation was carried out in three kinds of water matrix; moreover, the
influence of presence of inorganic matter on the treatment process was
investigated. The experiments with natural sunlight proved applicability of
photosensitive chitosan for visible-light water pollutant degradation. The
results of toxicity investigation show that using photosensitive chitosan for
visible-light, the toxicity of reaction mixture towards V. fischeri has
significantly decreased. The EC50 was found to increase over the irradiation
time; this increase was not proportional to the transformation of the parent
compounds.
PMID- 29374378
TI - Protective effect of melatonin on cadmium-induced changes in some maturation and
reproductive parameters of female Prussian carp (Carassius gibelio B.).
AB - The aim of this study was to determine whether melatonin (Mel), which is a known
antioxidant and free radical scavenger, could perform the role of a preventive
agent against the toxic effects of cadmium (Cd2+) on mortality, fish growth,
gonadosomatic index (GSI), luteinizing hormone (LH) secretion, the response to
hormonal stimulation of spawning, and also tissue accumulation of Cd in Prussian
carp females. These females received melatonin implants and were exposed to 0.4
or 4.0 mg/L of Cd (as CdCl2.2.5H2O) over either a 5- or 3-month period, followed
by further 2 months of purification in clear water. Negative changes caused by
exposure to cadmium in the water were as follows: higher fish mortality, lower
body weight, increased accumulation of cadmium in the brain and ovary, lowered
GSI, impaired spontaneous LH secretion during exposure, and impaired LH secretion
during stimulation of spawning. All of these effects were observed in the group
of fish exposed to 0.4 and/or 4.0 mg Cd/L but did not occur or were less
pronounced in the groups exposed to cadmium in the presence of melatonin released
from the implants. During depuration, in the group of fish which had been exposed
to the highest Cd concentration, we observed a significant improvement in fish
survival rate, body growth, inhibition of further cadmium accumulation in
tissues, and gradual return of spontaneous LH secretion as well as normalization
of the GSI value to the control group levels. In conclusion, these findings
indicate that melatonin can be a preventive agent for some toxic effects on fish
reproduction induced by environmental cadmium contamination.
PMID- 29374379
TI - Effects of dissolved organic matter leaching from macrophyte litter on black
water events in shallow lakes.
AB - In recent years, the black water phenomenon has become an environmental event in
eutrophic shallow lakes in China, leading to deterioration of lake ecosystems and
potable water crises. Decomposition of macrophyte debris has been verified as a
key inducement for black water events. In this study, the effects of the
decomposition of dissolved organic matter (Kottelat et al., WASP 187:343-351,
2008) derived from macrophyte leachate on the occurrence of black water events
are investigated to clarify the detailed mechanisms involved. Results show that
dissolved organic matter (DOM) is composed of a trace of chromophoric DOM and
mostly non-chromophoric dissolved organic matter (CDOM). DOM decomposition is
accompanied by varied concentration of CDOM components, generation of organic
particles, and increased microbial concentrations. These processes increase water
chroma only during initial 48 h, so the intensified water color cannot be
maintained by DOM decomposition alone. During DOM decomposition, microorganisms
first consume non-CDOM, increasing the relative CDOM concentration and turning
the water color to black (or brown). Simultaneously, tryptophan and aromatic
proteins, which are major ingredients of CDOM, enhance UV light absorption,
further aggravating the macroscopic phenomenon of black color. Our results show
that DOM leached from decayed macrophytes promotes or even triggers the
occurrence of black water events and should be taken more seriously in the
future.
PMID- 29374380
TI - Cooking oil fume-derived PM2.5 induces apoptosis in A549 cells and MAPK/NF
kB/STAT1 pathway activation.
AB - Cooking oil fumes (COFs) are the major sources of indoor air pollution in Asia.
It is well known that alveolar cells are key participants in the development of
respiratory system; however, it still remains unknown whether alveolar cells are
affected by COFs. Therefore, the present study investigated the effects of COFs
on alveolar cells (A549 cells) and illuminated its apoptotic mechanism in
response to COF-PM2.5 exposure. When A549 cells were exposed to COF-PM2.5, cell
viability was substantially decreased, while the generation of ROS increased, and
LDH levels and CCK-8 levels gradually changed within a dose-dependent manner. The
nitrite concentration in the supernatants was augmented, while the SOD activity
and GSH recycling were decreased upon COF-PM2.5. Moreover, COF-PM2.5 treatment
increased mRNA levels of COX-2, inducible NO synthase, and TNF-alpha, and Elisa
assay suggested that secretory proteins IL-6 and TNF-alpha were also increased.
Furthermore, the Bax/Bcl-2 mRNA ratio was increased, and cleaved caspase-3
protein was activated in the A549 cells. Strikingly, COF-PM2.5 induced the
phosphorylation of STAT1 at Tyr701/Ser727 and activation of NF-kB and ERK1/2,
p38, and JNK of the MAPK pathway. In short, our study suggested that COF-PM2.5
resulted in inflammation, apoptosis, and cell damage in A549 cells, which might
be modulated via the activation of MAPK/NF-kB/STAT1 pathway.
PMID- 29374382
TI - Zinc Supplementation Does Not Affect Glucagon Response to Intravenous Glucose and
Insulin Infusion in Patients with Well-Controlled Type 2 Diabetes.
AB - Glucagon dysregulation is an essential component in the pathophysiology of type 2
diabetes. Studies in vitro and in animal models have shown that zinc co-secreted
with insulin suppresses glucagon secretion. Zinc supplementation improves blood
glucose control in patients with type 2 diabetes, although there is little
information about how zinc supplementation may affect glucagon secretion. The
objective of this study was to evaluate the effect of 1-year zinc supplementation
on fasting plasma glucagon concentration and in response to intravenous glucose
and insulin infusion in patients with type 2 diabetes. A cross-sectional study
was performed after 1-year of intervention with 30 mg/day zinc supplementation or
a placebo on 28 patients with type 2 diabetes. Demographic, anthropometric, and
biochemical parameters were determined. Fasting plasma glucagon and in response
to intravenous glucose and insulin infusion were evaluated. Patients of both
placebo and supplemented groups presented a well control of diabetes, with mean
values of fasting blood glucose and glycated hemoglobin within the therapeutic
goals established by ADA. No significant differences were observed in plasma
glucagon concentration, glucagon/glucose ratio or glucagon/insulin ratio fasting,
after glucose or after insulin infusions between placebo and supplemented groups.
No significant effects of glucose or insulin infusions were observed on plasma
glucagon concentration. One-year zinc supplementation did not affect fasting
plasma glucagon nor response to intravenous glucose or insulin infusion in well
controlled type 2 diabetes patients with an adequate zinc status.
PMID- 29374381
TI - Phonological and graphotactic influences on spellers' decisions about consonant
doubling.
AB - Even adults sometimes have difficulty choosing between single- and double-letter
spellings, as in spinet versus spinnet. The present study examined the
phonological and graphotactic factors that influence adults' use of single versus
double medial consonants in the spelling of nonwords. We tested 111 adults from a
community sample who varied widely in spelling ability. Better spellers were more
affected than less good spellers by phonological context in that they were more
likely to double consonants after short vowels and less likely to double
consonants after long vowels. Although descriptions of the English writing system
focus on the role of phonology in determining use of single versus double
consonants, participants were also influenced by graphotactic context. There was
an effect of preceding graphotactic context, such that spellers were less likely
to use a double consonant when they spelled the preceding vowel with more than
one letter than when they spelled it with one letter. There was also an effect of
following graphotactic context, such that doubling rate varied with the letters
that the participant used at the end of the nonword. These graphotactic
influences did not differ significantly in strength across the range of spelling
ability in our study. Discussion focuses on the role of statistical learning in
the learning of spelling patterns, especially those patterns that are not
explicitly taught.
PMID- 29374383
TI - Festina Lente-a curiously apt aphorism for Interventional Cardiac
Electrophysiology in 2018.
PMID- 29374384
TI - A phase II study of tipifarnib and gemcitabine in metastatic breast cancer.
AB - Background Tipifarnib is an orally active, competitive inhibitor of
farnesyltransferase which has shown encouraging signs of activity either alone or
when combined with other agents. Clinical studies of tipifarnib in combination
with anti-estrogen therapy have yielded disappointing results. In contrast,
tipifarnib appears to be synergistic in combination with anthracycline based
chemotherapy. Here we report the results of the first prospective phase II trial
evaluating the efficacy of the novel combination of tipifarnib and gemcitabine in
the treatment of metastatic breast cancer. Patients and Methods 30 postmenopausal
women with metastatic breast cancer were treated on a 21-day cycle with
tipifarnib 300 mg PO twice daily from days 1 through 14. Gemcitabine was
administered intravenously at a dose of 1000 mg/m2 on days 1 and 8. Patients were
treated until disease progression or unacceptable toxicity. Results There was one
complete response and four partial responses yielding an objective response rate
of 16.7%. Median progression-free survival and overall survival was 2.5 months
(95% confidence interval: 1.6-5.7 months) and 13.1 months (95% confidence
interval: 9.1-20.6 months), respectively. 40% of patients experienced grade 4
neutropenia in this study. Conclusion The combination of tipifarnib and
gemcitabine is not well tolerated with high rates of myelosuppression and is not
more effective than gemcitabine monotherapy in the treatment of metastatic breast
cancer.
PMID- 29374386
TI - Rearranging Deck Chairs on a Sinking Ship? : Some Reflections on Ethics and
Reproduction Looking Back at 2017 and Ahead at 2018.
PMID- 29374385
TI - Cyclospora cayetanensis infections among diarrheal outpatients in Shanghai: a
retrospective case study.
AB - Cyclospora cayetanensis is a foodborne and waterborne pathogen that causes
endemic and epidemic human diarrhea worldwide. A few epidemiological studies
regarding C. cayetanensis infections in China have been conducted. During 2013, a
total of 291 stool specimens were collected from patients with diarrhea at a
hospital in urban Shanghai. C. cayetanensis was not detected in any of the stool
specimens by traditional microscopy, whereas five stool specimens (1.72%, 5/291)
were positive by PCR. These positive cases confirmed by molecular technology were
all in the adult group (mean age 27.8 years; 2.94%, 5/170) with watery diarrhea.
Marked infection occurred in the rainy season of May and July. Sequence and
phylogenetic analyses of the partial 18S rRNA genes of C. cayetanensis isolated
showed intra-species diversity of this parasite. This study showed, for the first
time, that C. cayetanensis is a pathogen in outpatients with diarrhea in
Shanghai, albeit at a low level. However, the transmission dynamics of this
parasite in these patients remain uncertain.
PMID- 29374387
TI - Delineation of two intracranial areas and the perpendicular intracranial width is
sufficient for intracranial volume estimation.
AB - OBJECTIVES: The aim of the present study is to determine if the delineation of
one or two optimally chosen intracranial areas (ICA) is enough to achieve
adequate estimates of intracranial volume (ICV) in magnetic resonance imaging.
METHODS: The correlations of 62 fully delineated ICVs with four types of ICV
estimates were calculated. The estimate types were: (1) a single midsagittal ICA,
(2) single ICA multiplied by the intracranial width perpendicular to the ICA, (3)
sum of two ICAs multiplied by the perpendicular intracranial width and (4) shape
preserving piecewise cubic interpolation using two ICAs. For methods 2-4, the
fully delineated ICVs were randomly separated into an evaluation and a validation
set of equal size. Method 1 was validated against all of the fully delineated
ICVs. RESULTS: Estimates from method 1 had a Pearson correlation of 0.904 with
fully delineated ICV. For method 2, the correlation was 0.986 when delineating
the sagittal ICA at 31% of the sagittal intracranial width. For methods 3 and 4,
the correlations were both 0.997 when delineating the sagittal ICAs at 17.5 and
64% and at 12 and 64% respectively. CONCLUSIONS: Delineation of two specific
intracranial areas is sufficient for intracranial volume estimation. MAIN
MESSAGES: * Delineation of two specific intracranial areas is sufficient for
intracranial volume estimation. * The estimates had a Pearson correlation of
0.997 with intracranial volume. * The estimation should take no more than 5 min.
PMID- 29374388
TI - Morphological Description of the Immature Stages of Nyssomyia umbratilis (Ward &
Frahia) (Diptera: Psychodidae: Phlebotominae), the Main Vector of Leishmania
guyanensis Floch (Kinetoplastida: Trypanosomatidae) in the Brazilian Amazon
Region.
AB - Nyssomyia umbratilis (Ward & Frahia) is the main vector of Leishmania guyanensis
in the Brazilian Amazon region, where it is widely distributed. Studies have
hypothesized that this phlebotomine is part of a cryptic species complex,
spatially delimited by the Amazonian river systems, and with different
transmission potential of L. guyanensis. In the present study, the immature
stages of N. umbratilis are described from laboratory-reared specimens
originating from the states of Amazonas and Para, Brazil. Based on scanning
electron and optical microscopy examinations, fine morphological structures
(eggshell, setae, and mouthparts of larvae and pupae) are presented. A new form
of sandfly pupae sexing (non-invasive) is also provided. The correct
identification of insect is extremely important for the epidemiology of certain
diseases. However, only the morphological characters of adult can be insufficient
to separate accurately the closely related species. It is expected that the
present description may contribute to solve the taxonomic problem involving N.
umbratilis.
PMID- 29374389
TI - Community action research track: Community-based participatory research and
service-learning experiences for medical students.
AB - Community-based participatory research (CBPR) and service-learning are unique
experiential approaches designed to train medical students how to provide
individualized patient care from a population perspective. Medical schools in the
US are required to provide support for service-learning and community projects.
Despite this requirement, few medical schools offer structured service-learning.
We developed the Community Action Research Track (CART) to integrate population
medicine, health promotion/disease prevention and the social determinants of
health into the medical school curriculum through CBPR and service-learning
experiences. This article provides an overview of CART and reports the program
impact based on students' participation, preliminary evaluations and
accomplishments. CART is an optional 4-year service-learning experience for
medical students interested in community health. The curriculum includes a
coordinated longitudinal program of electives, community service-learning and
lecture-based instruction. From 2009-2015, 146 CART students participated.
Interests in public health (93%), community service (73%), primary care (73%),
CBPR (60%) and community medicine (60%) were the top reasons for enrolment.
Significant improvements in mean knowledge were found when measuring the
principles of CBPR, levels of prevention, determining health literacy and patient
communication strategies (all p's < 0.05). Most students (73%) were satisfied
with CART. Projects were disseminated by at least 65 posters and four oral
presentations at local, national and international professional meetings. Six
manuscripts were published in peer-reviewed journals. CART is an innovative
curriculum for training future physicians to be community-responsive physicians.
CART can be replicated by other medical schools interested in offering a
longitudinal CBPR and service-learning track in an urban metropolitan setting.
PMID- 29374390
TI - Multivariate normal maximum likelihood with both ordinal and continuous
variables, and data missing at random.
AB - A novel method for the maximum likelihood estimation of structural equation
models (SEM) with both ordinal and continuous indicators is introduced using a
flexible multivariate probit model for the ordinal indicators. A full information
approach ensures unbiased estimates for data missing at random. Exceeding the
capability of prior methods, up to 13 ordinal variables can be included before
integration time increases beyond 1 s per row. The method relies on the axiom of
conditional probability to split apart the distribution of continuous and ordinal
variables. Due to the symmetry of the axiom, two similar methods are available. A
simulation study provides evidence that the two similar approaches offer equal
accuracy. A further simulation is used to develop a heuristic to automatically
select the most computationally efficient approach. Joint ordinal continuous SEM
is implemented in OpenMx, free and open-source software.
PMID- 29374391
TI - Extracellular Vesicles As miRNA Nano-Shuttles: Dual Role in Tumor Progression.
AB - Tumor-derived extracellular vesicles (EVs) have a pleiotropic role in cancer,
interacting with target cells of the tumor microenvironment, such as fibroblasts,
immune and endothelial cells. EVs can modulate tumor progression, angiogenic
switch, metastasis, and immune escape. These vesicles are nano-shuttles
containing a wide spectrum of miRNAs that contribute to tumor progression. MiRNAs
contained in extracellular vesicles (EV-miRNAs) are disseminated in the
extracellular space and are able to influence the expression of target genes with
either tumor suppressor or oncogenic functions, depending on both parental and
target cells. Metastatic cancer cells can balance their oncogenic potential by
expressing miRNAs with oncogenic function, whilst exporting miRNAs with tumor
suppressor roles out of the cells. Importantly, treatment of cancer cells with
specific natural and chemical compounds could induce the elimination of miRNAs
with oncogenic function, thereby reducing their aggressiveness. In this review,
we discuss the mechanisms by which EV-miRNAs, acting as miRNAs with oncogenic or
tumor suppressor functions, could contribute to cancer progression.
PMID- 29374393
TI - Ultrasound follow-up of an unusual giant urinoma in a newborn.
AB - Urinoma, defined as an encapsulation of urine caused by urine extravasation into
the perirenal space either through rupture of a calyceal fornix or a tear in the
renal parenchyma, is an uncommon finding in prenatal diagnosis and the neonatal
period. Urinoma can be associated with any urinary tract obstruction, or, as
reported in several published cases, related to vesicoureteral reflux, kidney
dysplasia, or complication arising during amniocentesis. We report on a newborn
with a perinatal urinoma, with initial slight corpusculated fluid associated with
nonobstructive and nonrefluxing megaureter, and no signs of kidney dysplasia.
Close sonography follow-up of the urinoma allowed complex differential diagnoses,
including cystic, septated, and solid perirenal masses, due to dissimilar and
peculiar ultrasound images during urinoma evolution stages.
PMID- 29374392
TI - p53 expression and subcellular survivin localization improve the diagnosis and
prognosis of patients with diffuse astrocytic tumors.
AB - PURPOSE: Diffuse astrocytic tumors are the most frequently occurring primary
central nervous system (CNS) tumors. Their histological sub-classification into
diffuse astrocytoma (DA), anaplastic astrocytoma (AA) and glioblastoma (GB) is
challenging and the available prognostic factors are limited to age and tumor
subtype. Biomarkers that may improve the histological sub-classification and/or
serve as prognostic factors are, therefore, urgently needed. The relationship
between survivin and p53 in diffuse astrocytic tumor progression and survival is
currently unclear. Here, we aimed to assess the relevance of these proteins in
the accuracy of the histological sub-classification of these tumors and their
respective treatment responses. METHODS: One hundred and thirty-three formalin
fixed paraffin-embedded diffuse astrocytic tumor samples were included. The tumor
samples were histologically reviewed and subsequently assessed for p53 and
survivin expression and the presence of the IDH R132H mutation by
immunohistochemistry. p53 expression levels and survivin subcellular localization
patterns were correlated with histological classification and clinical outcome.
RESULTS: We found that age and histological subtype were the only features with a
prognostic impact. In addition, we found that high p53 expression levels and a
nuclear survivin localization correlated with the AA subtype, whereas cytoplasmic
survivin localization correlated with the GB subtype. We also found that patients
carrying tumors with a high cytoplasmic survivin expression, a high nuclear
survivin expression or a high p53 expression, and who did not receive
radiotherapy, exhibited poorer short-term and long-term overall survival rates.
CONCLUSIONS: Our data suggest that subcellular survivin localization and p53
expression may be employed as valuable tools to improve the accuracy of the
histological sub-classification of diffuse astrocytic tumors. Patients whose
tumors overexpress these proteins may benefit from radiotherapy, irrespective age
and/or histological classification.
PMID- 29374394
TI - Isolated fallopian tube metastasis from colorectal cancer: ultrasonographic
features.
AB - We present here the first-reported case of tubal metastasis from colorectal
cancer diagnosed by a preoperative pelvic ultrasound. A 53-year-old woman
suffering from vaginal discharge was referred to us 2 years after she underwent a
partial colectomy for adenocarcinoma. The pelvic ultrasound examination revealed
a right pelvic mass of 52 * 24 * 38 mm, independent of the right ovary, which was
apparently unaffected. A right salpingo-oophorectomy was performed and the
definitive histopathology examination showed a recurrence of the initial
adenocarcinoma with a right tubal metastasis. The eventuality of such an unusual
site of metastasis should be remembered.
PMID- 29374395
TI - Ultrasonographic findings of shoulder teno-muscular structures in symptomatic and
asymptomatic dogs.
AB - PURPOSE: B-mode sonographic evaluation of shoulder joint in dogs provides
qualitative information concerning mainly tendon and muscles structures. Although
the sonographic findings of tenomuscular lesions have been described previously,
their frequency in symptomatic and asymptomatic patients has not been reported
yet. Aim of the study was to describe and compare qualitative ultrasonographic
findings of shoulder joint in clinically symptomatic and asymptomatic dogs and to
speculate which lesions might be considered major responsible for lameness.
METHODS: Fifty-two dogs with shoulder lameness and 58 asymptomatic dogs (both
with unremarkable radiographic findings) underwent ultrasonographic B-mode
examination of the scapulohumeral joint. Lesions detected were recorded and
statistically compared between groups. RESULTS: Significant differences between
groups were observed for the number of ultrasonographic abnormalities detected
and for fluid accumulation, biceps brachii tendon (BT) lesions and bone surface
irregularities. Sonography was considered useful for the determination of lesions
absence and for the detection of BT lesions, fluid accumulation, muscle
lacerations and bone surface irregularities. The odds for symptomatic dogs were
greater than for asymptomatic patients to ultrasonographically diagnose BT
lesions, fluid accumulation and bone surface irregularities. CONCLUSIONS:
Ultrasound has proven to be a useful tool in the evaluation of
tenomusculoskeletal structures of shoulder in dogs with unremarkable radiographic
findings. Our results suggest that ultrasonography is useful in the diagnosis of
tendon abnormalities, fluid accumulation, muscle lacerations and bone surface
irregularities as potential contributors to shoulder lameness in dogs.
PMID- 29374396
TI - Thyroid adenolipoma: a case report.
AB - Generally, small quantities of adipose tissue is present in the thyroid gland.
The adenolipoma of the thyroid gland is considered a rare finding. It consists in
a benign, encapsulated neoplasm composed of mature adipose tissue and glandular
elements. We report a case of a 71 year-old female patient presenting with
swelling of the anterior neck and history of airway obstruction. Ultrasound (US)
examination showed a bulky multinodular goiter which caused dislocation and
compression of the trachea. The scans performed at the level of the isthmic
region showed the presence of a hyperechoic oval formation with a homogeneous
echostructure and regular contours; these characteristics suggested the
lipomatous nature of the nodule. The patient was subsequently subjected to a
Computer Tomography (CT) of the neck for a pre-operative balance of the goitre
and to exclude extra-thyroid pathologies. The CT scan confirmed the sonographic
findings, and the probable adipose nature of the isthmic formation. After the
patient has been subjected to total thyroidectomy and histological examination
confirmed the diagnosis of adenolipoma.
PMID- 29374397
TI - A novel method for creating custom shaped ballistic gelatin trainers using
plaster molds.
AB - Simulation based procedural training is an effective and frequently used method
for teaching vascular access techniques which often require commercial trainers.
These can be prohibitively expensive, which allows for homemade trainers made of
gelatin to be a more cost-effective and attractive option. Previously described
trainers are often rectangular with a flat surface that is dissimilar to human
anatomy. We describe a novel method to create a more anatomically realistic
trainer using ballistic gelatin, household items, and supplies commonly found in
an emergency department such as the plaster wrap typically used to make splints.
PMID- 29374398
TI - Iatrogenic intratendinous ganglion cyst of the extensor digitorum tendon
following intravenous cannulation.
AB - Peripheral venous cannulation is one of the most commonly performed medical
procedures in hospital medicine. The dorsal metacarpal veins are typically used
for cannulation as they are easily accessible. We present the first case of an
iatrogenic intratendinous ganglion cyst of the extensor digitorum tendon of the
middle finger following intravenous cannulation.
PMID- 29374399
TI - Sonographic evaluation of kidney echogenicity and morphology among HIV sero
positive adults at Lagos University Teaching Hospital.
AB - AIM: To evaluate the role of kidney echogenicity and morphology in the diagnosis
of human immunodeficiency virus-associated nephropathy (HIVAN). SUBJECTS AND
METHODS: In the cross-sectional study, a sample of 340 anti-retroviral therapy
(ART)-naive AIDS patients underwent laboratory CD4+ count, serum creatinine
determination and sonographic renal echogenicity grading and size measurement.
Rounded kidneys were described as bulbous while bean-shaped kidneys were
described as reniform; echogenicity was categorized into grades 0, 1, 2 and 3.
Kidney length, width, thickness and volume were measured in HIVAN and control
groups. RESULTS: Mean age of the population was 42.7 +/- 9.4 years; 87.4% had
HIVAN. Mean CD4+ count, serum creatinine and GFR for HIVAN patients were 153.1 +/
103.2 cells/mm3, 218.4 +/- 147.4 mmol/L and 50.1 +/- 23.6 mL/min/1.73 m2 for
males and 121.9 +/- 91.0 cells/mm3, and 222.0 +/- 150.4 mmol/L and 39.3 +/- 20.6
mL/min/1.73 m2 for females, respectively; control subjects and non-HIVAN patients
had grade 0 renal echogenicity; 56.9% of HIVAN patients had echogenicity grade 3;
5.3% had kidney length < 10 cm; 73.9% had bulbous kidneys; the kidney was
significantly wider and thicker in HIVAN (p < 0.05). CONCLUSION: Sonographic
evaluation of renal echogenicity and morphology can reliably predict HIVAN
diagnosis. Apathy to screening and late presentation were high while HIV/AIDS
remains an important public health problem in the city of Lagos. Unilateral
reduction in kidney size could be a major sequela of AIDS while sonographic
measurement of absolute kidney length appears inadequate in the evaluation of
AIDS patients with nephropathy.
PMID- 29374400
TI - Three-dimensional echocardiography for the assessment of left ventricular
geometry and papillary muscle morphology in hypertrophic cardiomyopathy.
AB - BACKGROUND: Hypertrophic cardiomyopathy (HC) is characterized by left ventricular
(LV) hypertrophy and associated with papillary muscle (PM) abnormalities. The aim
of this study was to evaluate the utility of three-dimensional echocardiography
(3DE) for the geometric assessment of LV hypertrophy and PM morphology. METHODS:
The study included 24 patients with an established diagnosis of HC and 31 healthy
controls. 3DE was performed using an iE33 or EPIQ 7C ultrasound system with an X5
1 transducer. QLAB software was used for the 3D analysis of LV wall thickness
(LVWT) and PM morphology and hypertrophy; the number and cross-sectional area
(CSA) of anterolateral and posteromedial PMs; and the presence of bifid or
accessory PMs. RESULTS: Patients with HC had a larger LVWT compared to controls
in all segments (p < 0.001), and LVWT was largest in the midventricular septal
segment (2.12 +/- 0.68 cm). The maximum LVWT followed a spiral pattern from the
LV base to the apex. The CSA of both anterolateral and posteromedial PMs was
larger in patients with HC than in controls (1.92 vs. 1.15 cm2; p = 0.001 and
1.46 vs. 1.08 cm2; p = 0.033, respectively). The CSA of the posteromedial PM was
larger in patients with LVOT obstruction than in those without (2.64 vs 1.16 cm2,
p = 0.021). CONCLUSIONS: 3DE allows the assessment of LV geometry and PM
abnormalities in patients with HC. 3DE demonstrated that the maximum hypertrophy
was variable and generally located in a spiral from the LV base to the apex.
PMID- 29374401
TI - Inter-rater and intra-rater reliability of the airway diameter measured by
sonography.
AB - INTRODUCTION: Sonography has gained a broad field of applications in current
anesthetic practice. During airway management, it could have an important role,
because it allows real-time measurement of anatomical aspects and a functional
evaluation with high safety profile. However, assessment of the reliability and
precision of these measurements is critical if sonography is used as a clinical
diagnostic tool. The aim of the study was to estimate inter-rater and intra-rater
reliability of the airway diameter measured by sonography in heathy volunteers.
METHODS: We conducted a cross-sectional study during 2015 on healthy adult
volunteers. Using sonography, transverse internal diameter of subglottic space
was measured twice by two blinded sonographers. RESULTS: Agreement was classified
as very good for intra-rater measurements (ICC = 0.84) and good for the inter
rater measurements (ICC = 0.71). The 95% CI of agreement limits for the intra
rater measurements were inferior to those for the inter-rater measurements.
CONCLUSIONS: Ultrasound measurement is a reliable and precise method to measure
the internal subglottic diameter of the airway. Ultimately, this method may
provide clinicians valuable information regarding airway diameter in adults and
may help to guide treatment options.
PMID- 29374402
TI - Urgent need to apply a common language in image-guided thermal ablations.
PMID- 29374403
TI - Detection of carious lesions utilizing depolarization imaging by polarization
sensitive optical coherence tomography.
AB - As dental caries is one of the most common diseases, the early and noninvasive
detection of carious lesions plays an important role in public health care.
Optical coherence tomography (OCT) with its ability of depth-resolved, high
resolution, noninvasive, fast imaging has been previously recognized as a
promising tool in dentistry. Additionally, polarization sensitive imaging
provides quantitative measures on the birefringent tissue properties and can be
utilized for imaging dental tissue, especially enamel and dentin. By imaging
three exemplary tooth samples ex vivo with proximal white spot, brown spot, and
cavity, we show that the combination of polarization sensitive OCT and the degree
of polarization uniformity (DOPU) algorithm is a promising approach for the
detection of proximal carious lesions due to the depolarization contrast of
demineralized tissue. Furthermore, we investigate different sizes of the DOPU
evaluation kernel on the resulting contrast and conclude a suitable value for
this application. We propose that DOPU provides an easy to interpret image
representation and appropriate contrast for possible future screening
applications in early caries diagnostics.
PMID- 29374404
TI - Scalable and massively parallel Monte Carlo photon transport simulations for
heterogeneous computing platforms.
AB - We present a highly scalable Monte Carlo (MC) three-dimensional photon transport
simulation platform designed for heterogeneous computing systems. Through the
development of a massively parallel MC algorithm using the Open Computing
Language framework, this research extends our existing graphics processing unit
(GPU)-accelerated MC technique to a highly scalable vendor-independent
heterogeneous computing environment, achieving significantly improved performance
and software portability. A number of parallel computing techniques are
investigated to achieve portable performance over a wide range of computing
hardware. Furthermore, multiple thread-level and device-level load-balancing
strategies are developed to obtain efficient simulations using multiple central
processing units and GPUs.
PMID- 29374405
TI - Shortwave-infrared Raman spectroscopic classification of water fractions in
articular cartilage ex vivo.
AB - Water loss is an early onset indicator of osteoarthritis. Although Raman
spectroscopy (RS) holds the potential for measurement of cartilage hydration, the
knowledge of Raman OH-stretch bands of biological tissue is very limited. We
assesed here the sensitivity of RS to identify and classify water types in the
cartilage. Raman spectrum measurements over the high wavenumber range were
employed to identify different water fractions in articular cartilage. Raman
spectra were collected from wet and sequentially dehydrated cartilage along with
pure collagen type II and chondroitin sulfate standards. OH-stretch band of
cartilage is dominated by mobile water, up to 95% of total intensities. We
identified six peaks in cartilage spectrum using second-derivative analysis:
peaks at 3200 and 3650 cm-1 are associated with organic matrix (both collagen
and proteglycan) and matrix-bound water molecules. Peaks at 3250, 3453, and 3630
cm-1 are associated with collagen and collagen-related water molecules, whereas
the peak at 3520 cm-1 is associated with proteoglycan (PG) and PG-related water
molecules. The current work is the first thorough analysis of the Raman OH
stretch band of the cartilage and with the knowledge generated by this study, it
may now be possible to study on cartilage hydration by RS.
PMID- 29374406
TI - Minimum Residual Disease in Patients Post Radical Prostatectomy for Prostate
Cancer: Theoretical Considerations, Clinical Implications and Treatment Outcome
AB - Introduction: Minimal residual disease (MRD) remaining after curative therapy for
prostate cancer has the potentialfor growth and can result in metastasis.
Circulating prostate cells (CPCs) and bone marrow micro-metastasis (mM)could
represent different types of MRD. We here determined; biochemical failure free
survival rates; time to BF after10 years follow-up; and the presence of CPCs and
mM in patients treated with radical prostatectomy (RP) for prostatecancer.
Methods and Patients: One month after RP, blood and bone marrow were sampled for
assessment of CPCsand mM. Cases were classified as: group A, CPC negative and mM
negative; group B, CPC negative and mM positive;Group C, CPC positive and mM
negative; and Group D, CPC positive and mM positive. Subjects were followed
withserial determination of PSA levels, recording the time at which BF occurred
defined as a serum PSA >0.2ng/ml. Afterten years of follow-up Kaplan-Meier
survival curves were generated and the restricted mean survival time (RMST)
foreach group calculated. Results: A total of 321 men participated, 140 in group
A with survival of 92.7% (86.3 to 96.2),39 in group B with 55.8% (37.2 to 70.9);
54 in group C with 6.41% (1.19 to 18.21) and 88 in group D with 4.96%(1.64to
11.13%. The RMST (in years) were: group A, 9.47 (9.24 to 9.69); group B, 9.23
(8.87 to 9.58); group C, 4.62 (4.46to 4.77); and group D, 3.57 (3.52 and 3.63) (p
value<0.001 between groups: A versus C and D, B versus C and D).Conclusions: CPC
positive men have more aggressive disease, with increased early failure; men who
are only positivefor mM are at greater risk of late failure. These two forms of
MRD represent different clinical entities with respect tobiochemical failure and
could be used to guide clinical treatment decisions.
PMID- 29374407
TI - Increased Risk of Penile Cancer among Men Working in Agriculture
AB - Background: Previous Brazilian studies have indicated that working in agriculture
could lead to an increased riskof penile cancer. The present descriptive study
aimed at establishing a possible association between penile cancer
andagricultural occupation. Materials and methods: Data on a total of 103 penile
cancer patients were obtained frommedical records of two reference centres for
cancer treatment in the state of Paraiba, Northeast Brazil. Informationabout
sexual behaviour was obtained in interviews for 48 cases. Results: Of 103
patients 38 and 52 were illiterateand had not completed graduation, respectively,
and 60 earned less than twice the minimum wage. All together, 72(70%) worked in
agriculture and 39 confirmed involvement in application of agrochemicals. A
history of phimosiswas noted for 42 (69%) out of 61 patients and 40 (59%) out of
68 ever smoked. Pathological signs of HPV infectionwere detected in 45 (70%) out
of 64 patients. Of the 48 interviewed patients, 27 (56%) confirmed sexual contact
withprostitutes and eight (19%) out of 43 had sex with animals. Conclusions: Data
confirmed the presence of several riskfactors for penile cancer, like phimosis,
smoking, HPV infection and promiscuous sexual behaviour. The high numberof
Brazilian agricultural workers with penile cancer was unexpected if compared with
other professional groups. Futurestudies should focus on this group of men and
elucidate possible reasons for their increased risk.
PMID- 29374408
TI - Cancer Diagnosis Epigenomics Scientific Workflow Scheduling in the Cloud
Computing Environment Using an Improved PSO Algorithm
AB - Objective: Epigenetic modifications involving DNA methylation and histone statud
are responsible for the stablemaintenance of cellular phenotypes. Abnormalities
may be causally involved in cancer development and thereforecould have diagnostic
potential. The field of epigenomics refers to all epigenetic modifications
implicated in controlof gene expression, with a focus on better understanding of
human biology in both normal and pathological states.Epigenomics scientific
workflow is essentially a data processing pipeline to automate the execution of
various genomesequencing operations or tasks. Cloud platform is a popular
computing platform for deploying large scale epigenomicsscientific workflow. Its
dynamic environment provides various resources to scientific users on a pay-per
use billingmodel. Scheduling epigenomics scientific workflow tasks is a
complicated problem in cloud platform. We here focusedon application of an
improved particle swam optimization (IPSO) algorithm for this purpose. Methods:
The IPSOalgorithm was applied to find suitable resources and allocate epigenomics
tasks so that the total cost was minimizedfor detection of epigenetic
abnormalities of potential application for cancer diagnosis. Result: The results
showed thatIPSO based task to resource mapping reduced total cost by 6.83 percent
as compared to the traditional PSO algorithm.Conclusion: The results for various
cancer diagnosis tasks showed that IPSO based task to resource mapping canachieve
better costs when compared to PSO based mapping for epigenomics scientific
application workflow.
PMID- 29374409
TI - Synthesis, Characterization, and Evaluation of Cancer Prevention Activity of
Novel Modified Heterocyclic Compounds
AB - Anticancer approaches may employ change of molecular structure to enhance
preventive influence of chemicalagents. The present examination concerned the
potential anticancer impact of modified heterocyclic compounds. Astrategy was
developed to combine tetrazole moieties from different diamines with 2
aminobenzoic and substitutedbenzoyl chloride compounds with attention to
synthesis, characterization and assessment of cancer preventive activity,applying
IR, 1HNMR, 13CNMR and Mass spectra.
PMID- 29374410
TI - Dose Calculation Accuracy of AAA and AcurosXB Algorithms for Small Central and
Interface Lung Lesions - Verification with Gafchromic Film Dosimetry
AB - Dose calculation for small field radiotherapy with heterogeneity often involves
discrepancies, so that algorithmsused by treatment planning systems (TPS) should
be evaluated with reference to achieving optimal treatment results.Accuracy of
two model based algorithms, AcurosXB (AcXB) and the analytical anisotropic
algorithm (AAA) fromEclipse TPS, were here tested. Measurements are made using
Gafchromic EBT3 films with indigenously generated lungphantoms irradiated with 6
MV photons. Lung phantoms contained two types of tumor plugs, one kept at an
interfaceattached to the chest wall in right lung (RIT) and the other at the
centre of the left lung (LCT). RIT and LCT werestudied with two different tumor
diameters, 1.5 cm and 2.5 cm. Scanned images were planned in TPS with 3D-CRT,IMRT
and VMAT and individual plans for each tumor were irradiated keeping the
Gafchromic film at the centre ofthe tumor to evaluate the dose distribution in
the central plane. Both algorithms, irrespective of delivery techniques,showed
more deviation with smaller than larger diameter tumors. Also, both demonstrated
maximum deviation at thejunction of tumor and lung in both RIT and LCT cases.
However, the deviation observed was higher with AAA and aminimal acceptable
deviation of within 4 % was achieved with AcurosXB.
PMID- 29374411
TI - Fast Foods, Sweets and Beverage Consumption and Risk of Colorectal Cancer: A Case
Control Study in Jordan
AB - Background: The effects of consuming fast foods, sweets and beverages on the
development of colorectal cancer(CRC) are unclear. The aim of this case-control
study was to assess possible associations between the consumptionof different
fast foods, sweets and beverages and CRC risk in a Jordanian population. Methods:
Two hundred andtwenty diagnosed CRC cases and 281 controls were enrolled. Diet
history was obtained using a validated quantitativequestionnaire. Results:
Consumption of some types of fast food, and particularly falafel, was associated
with an increasedrisk of developing CRC. Elevated risk was found for potato and
corn chips with an AOR of 4.36 (95%CI: 1.24-15.28)for daily consumption and 3.33
(95%CI: 1.00-11.11) for >=5 servings/week. Consuming 1-2 or >5 servings per week
offried potatoes or 2-3 servings per week of chicken in sandwiches also increased
the risk while exposure to fresh tomatojuice and hot pepper sauce on a monthly
basis appeared to exert a protective effect. Conclusions: Consumption of
friedfast food items was significantly linked with an increased risk of
developing CRC in Jordan.
PMID- 29374412
TI - Lack of Association between Red Meat Consumption and a Positive Fecal
Immunochemical Colorectal Cancer Screening Test in Khon Kaen, Thailand: a
Population- Based Randomized Controlled Trial
AB - Background: There is convincing evidence from epidemiological studies that meat
consumption increasescolorectal cancer (CRC) risk. However, assessment of any
association with a positive fecal immunochemical test(FIT) in CRC screening has
been limited. If a link could be shown this might be helpful for establishing a
risk groupfor colonoscopy. Objective: This study aimed to assess any association
between meat consumption and other lifestylefactors and a positive FIT result in
a Thai population. Methods: A cross-sectional analytical study was conducted
with1,167 participants in a population-based randomized controlled trial. CRC was
screened from May 2016 - February2017. Subjects aged 45-74 years who met the
eligibility criteria were randomly allocated to the study arm. A positiveFIT was
determined with cut-off 100 ng/mL. Multiple logistic regression was used to
analyze any relationship betweenlifestyle factors and a positive FIT. Result: The
total number of subjects was 1,060 (90.8% return rate of FIT). WithFIT100,
FIT150, and FIT200, positive tests were found in 92 (8.68%), 74 (6.98%), and 60
(5.66%), respectively. Nosignificant associations were noted with any of the
variables, except for being aged 60-74 years (ORadj = 1.62, 95%CI: 1.03-2.54)
Borderline significance was observed for high consumption of vegetables (ORadj =
0.62, 95% CI:0.36-1.07) and being male (ORadj = 1.39, 95% CI: 0.87-2.22).
Conclusion: Despite the evidence from the literature,no association was here
found between a positive FIT result and meat consumption or other well
established lifestyleparameters. Being aged 60-74 years was a risk factor which
should be taken into account in CRC screening strategyin countries like Thailand
with limited access to endoscopy.
PMID- 29374413
TI - Photodynamic Cancer Therapy Using Wavelet Based Monte Carlo Computation of Light
Absorption with a Genetic Algorithm
AB - Objective: The method to treating cancer that combines light and light-sensitive
drugs to selectively destroytumour cells without harming healthy tissue is called
photodynamic therapy (PDT). It requires accurate data for lightdose distribution,
generated with scalable algorithms. One of the benchmark approaches involves
Monte Carlo (MC)simulations. This gives an accurate assessment of light dose
distribution, but is very demanding in computation time,which prevents routine
application for treatment planning. Methods: In order to resolve this problem, a
design forMC simulation based on the gold standard software in biophotonics was
implemented with a large modern waveletbased genetic algorithm search (WGAS).
Result: The accuracy of the proposed method was compared to that with thestandard
optimization method using a realistic skin model. The maximum stop band
attenuation of the designed LP,HP, BP and BS filters was assessed using the
proposed WGAS algorithm as well as with other methods. Conclusion:In this paper,
the proposed methodology employs intermediate wavelets which improve the
diversification rate of thecharged genetic algorithm search and that leads to
significant improvement in design effort efficiency.
PMID- 29374414
TI - A Modified Epirubicin and Oxaliplatin Plus Capecitabine (EOX) Regimen as a Second
Line Therapy in Patients with Advanced Gastric Cancer
AB - Objective: We aimed to evaluate the effectiveness of an mEOX (modified
epirubicin, oxaliplatin plus capecitabine)regimen as second line therapy after
failure of mDCF (modified docetaxel, cisplatin plus fluorouracil). Methods:
Gasticcancer patients for whom first-line therapy was unsuccessful and who
subsequently received mEOX (epirubicin 50 mg/m2 on day 1, oxaliplatin 85 mg/m2
day 1 and capecitabine twice-daily dose of 625 mg/ m2, p.o. for 2 weeks) every
3weeks until disease progression or unacceptable toxicity, were retrospectively
analyzed. Results: The study populationcomprised 129 cases with a median age of
55 years (range= 27-78), the majority being male (76 %). Most (75.2%)had >= 2
sites of metastasis. The median number of chemotherapy courses was five (range= 2
9). Forty-nine achieveda partial response and 33 showed stable disease, resulting
in a ORR (overall response rate) of 38% and a DCR (diseasecontrol rate) of 63.6%.
The most frequent features of grade 3-4 hematological and non-hematological
toxicity wereneutropenia (8.5%) and nausea/vomiting (5.4%). None of the patients
suffered death due to toxicity. The median PFSwas 4.7 months (95% CI, 4.1-5.3)
and the OS was 7.4 months (95% CI, 6.3-8.5). On multivariate analysis, age >=
60years and ECOG performance status (0-1) were independent prognostic factors
affecting PFS and OS. Conslusions:In advanced gastric cancer patients, who
progress after first line chemotherapy and have an ECOG performance statusof 0-1,
mEOX is a well tolerated triple regimen associated with a promising OS and PFS.
PMID- 29374415
TI - [Inhibitors of cyclin-dependent kinases (CDK) - a new group of medicines in
therapy of advanced breast cancer].
AB - Cyclin-dependent kinases (CDKs) are a family of enzyme proteins present in cell
nuclei that regulate the various stages of the cell cycle. They act as proto
oncogens, and increased expression of some of these proteins (CDK4 and CDK6) is
observed in breast cancer cells and associated with decreased sensitivity to anti
estrogen therapy. CDK inhibitors are chemicals that inhibit the enzymatic
activity of specific CDKs. Currently three drugs in this group are available on
the market and are registered for the treatment of advanced HR-positive, HER2
negative breast cancer. Two drugs in this class (palbociclib and ribociclib) are
registered for first-line treatment in combination with letrozole, two
(palbociclib and abemaciclib) are approved for second line therapy in combination
with fulvestrant. In both indications, the addition of a CDK inhibitor to
standard hormone therapy doubled the median progression-free survival (PFS).
PMID- 29374416
TI - [Type and frequency of cardiac symptoms in patients with pulmonary sarcoidosis].
AB - AIM: The aim of the study was to assess the type and frequency of prevalence of
cardiac symptoms in patients with pulmonary sarcoidosis. MATERIALS AND METHODS:
The study group consisted of 54 patients (21 female, 33 male), with biopsy-proven
pulmonary sarcoidosis. Mean age was 45.85 +/-11.77 years. According to time
passed from diagnosis of sarcoidosis patients were divided into 2 subgroups.
Additionally, age, sex, left ventricular ejection fraction, cigarette smoking and
comorbidities were analyzed. Course and stage of sarcoidosis were also included
in the analysis. RESULTS: The frequency of cardiovascular symptoms in the study
group was 87.04%. 59.26% of patients reported chest pain, the same subjects
reported dyspnoea. 48.15% of respondents reported heart palpitations, 33.33% pre
syncope states, 12.96% syncope, and 37.04% edema of lower limbs. There were no
statistically significant differences in the incidence of analyzed symptoms,
depending on the duration of lung sarcoidosis. CONCLUSIONS: Patients with
pulmonary sarcoidosis who report cardiovascular symptoms require further
diagnostics.
PMID- 29374417
TI - [Thyroid dysfunction in patients with small intestinal bacterial overgrowth].
AB - : Small intestinal bacterial overgrowth (SIBO), similarly as thyroid diseases, is
characterized by chronic diarrhea or constipation, but it is not known whether
there is a causal relationship between these disorders. AIM: The aim of the study
was to assess thyroid function indices in different clinical forms of SIBO.
MATERIALS AND METHODS: The study was performed in 34 patients with diarrhea
predominant SIBO (group I), 30 with constipation predominant SIBO (group II) and
30 clinically healthy subjects (control group - K). Small intestinal bacterial
overgrowth was assessed with lactulose hydrogen breath test (LHBT) using the
breath-hydrogen analyzer (Gastrolyser, Bedfont). Serum thyrotropin (TSH), free
triiodothyronine (FT3) and free thyroxine (FT4) levels were determined by
immunochemical method (ELFA) and anti-thyroid peroxidase (ATPO) protein level by
immunoenzymatic method (ELISA). RESULTS: LHBT value was respectively in groups: K
- 8,5 +/- 2,9 ppm, I - 66,9 +/- 16,9 ppm, II- 62,0 +/- 15,5 ppm (p <0,001). The
levels of hormones were similar in group K and I, whereas in group II
differentiated: FSH 2,5 +/- 0,7 vs. 3,8 +/- 1,8 mU/L (p <0,05), FT3- 5,1 +/- 1,2
vs. 3,7 +/- 1,0 mU/L (p <0,05), FT4 - 14,1 +/- 2,5 vs. 9,4 mU/L (p <0,01). In
both groups of patients the levels of ATPO were statistically significantly
higher than in the control group - respectively: K - 6,3 +/- 3,1 IU/ml , I - 39,9
+/- 23,4 IU/ml (p < 0,001), II - 94,1 +/- 56,3 IU/ml (p <0,001). CONCLUSIONS:
Thyroid function may be impaired in patients with small intestinal bacterial
overgrowth which should be taken into account in the diagnostic and therapeutic
management of the diseases of these organs.
PMID- 29374418
TI - Fast apixaban-related resolution of left ventricular thrombi in a patient with
dilated cardiomyopathy.
AB - Left ventricular thrombi (LVTs) develop most often in patients with post
myocardial left ventricular dysfunction and in cardiomyopathies, particularly in
dilated cardiomyopathy (DCM). They constitute a danger of systemic embolization.
So far, direct-acting oral anticoagulants (DOACs), including apixaban - a
selective inhibitor of active Factor X, have not been systematically investigated
in patients with LVTs; study comparing the efficacy of apixaban and warfarin has
been undertaken only recently. A few case reports or case series presenting
patients with LVTs treated with DOACs are available in the literature. The
authors described a case of a 53-year-old male with DCM, hospitalized due to
heart failure exacerbation. In echocardiography, apart from typical features of
DCM, three apical LVTs connected with false tendons were revealed. Treatment with
apixaban was introduced, initially in a dose of 2.5 mg twice daily, as creatinine
concentration was 2.0 mg/dl, and after 2 days - when creatinine concentration
dropped, the dose was augmented to 5 mg twice a day. Gradual resolution of LVTs
was observed from day 3; they disappeared completely after one week. There were
no symptoms of systemic embolization. The patient was discharged with advice to
take apixaban permanently. To the best of the authors knowledge, the case
presented is the fastest resolution of LVTs during therapy with apixaban reported
in the literature and the first description of DOAC use for multiple LVTs.
PMID- 29374419
TI - Implantable cardioverter defibrillator does not cure the heart.
AB - A man with non-ischemic cardiomyopathy, EF 22%, permanent AF and ICD was admitted
for elective device replacement. The need for the optimization of the ventricular
rate and avoidance of right ventricular pacing made it necessary to up-grade the
existing pacing system using direct His bundle pacing and dual chamber ICD. This
enabled the regularization of ventricular rate, avoiding the RV pacing and
optimize the beta-blocker dose. The one month follow-up already showed reduction
in left ventricle diameter, improvement in ejection fraction, NYHA class decrease
to II. The His bundle pacing enabled the optimal treatment of the patient
resulting in excellent clinical improvement.
PMID- 29374420
TI - [The impact of electronic cigarettes usage on the endothelial function and the
progression of atherosclerosis].
AB - The exponetial growth in popularity of electronic cigarettes in the world markets
intensifies the debate about their health effects. The smoking of traditional
tabacoo products is a factor associated with the endothelium damage and
progression of atherosclerosis. The elimination of the combustion process in
electronic cigarettes allows to conclude that they are less harmful to a vascular
endothelium than traditional tobacco products. E-cigarette aerosol contains many
compounds that have an influence on initiation and progression of
atherosclerosis. Nicotine protherogenic action is not fully explained. On one
hand, nicotine modifies metabolic pathways leading to atherosclerosis, whereas
epidemiological studies do not show an increased risk of cardiovascular disease
in the population using nicotine replacement therapy or snuff. Acrolein,
formaldehyde and the ultrafine particles generated during e-liquid heating have
an impact on initiation and progression of atherosclerosis, but their level is
lower than that of tobacco smoke. In order to assess accurately the longterm
effects of e-cigarettes, it is necessary to conduct epidemiological studies
measuring the effects of using e-cigarettes. It is claimed that the use of
electronic cigarettes has a potential impact on the development of
atherosclerosis, but is significantly lower than that of traditional cigarettes.
PMID- 29374421
TI - [Celiac disease - disease of children and adults: symptoms, disease
complications, risk groups and comorbidities].
AB - About 1% of human population suffers from celiac disease (CD) and it is one of
the most commonly diagnosed autoimmune disorders. Until recently it was believed
that CD affects mainly children, but as the newest studies show, up to 60%
recently diagnosed patients are adults, often over the age of 60. CD's medical
signs are nonspecific. Atypical course of the disease with extraintestinal
symptoms is being increasingly observed. The disease may also be asymptomatic
over many years. The studies show that the average diagnosis of CD takes more
than 10 years since the first symptoms appear. Nonspecific medical signs cause
undiagnosed patients suffering from CD to visit gastroenterologists,
endocrinologists, allergists, gynaecologists and other medical specialists.
However, most frequently general practitioners have the first encounter with
patients suffering from CD, therefore they are able to recognize symptoms of the
disease at the earliest and refer the patient to a gastroenterologist. Early
diagnosis and beginning of the treatment reduce complications of untreated CD.
The aim of this paper is to show general practitioners symptoms, disease
complications, risk groups and comorbidities of CD.
PMID- 29374422
TI - [Fixed and temporary keratoprosthesis].
AB - Keratoprosthesis is a chance for all patients who have bilateral visual loss due
to corneal pathology so serious and extensive that it cannot be removed by graft
transplantation. This problem mainly affects less developed countries. The
eyesight of these patients could return to normal if corneal transplants were
practiced and keratoprosthesis were widely available. Keratoplasty makes great
progress and gets better and better results. The future will show whether there
will be more reliable and biologically integrated keratoprosthesis, with low risk
of complications.
PMID- 29374423
TI - Un siglo del Instituto Nacional de Salud y una proyeccion para los proximos anos.
PMID- 29374424
TI - On the tenth value distance of the photon field along the maze of high-energy
linear accelerator vaults.
AB - There is a wide range in the reported photon tenth value distance (TVD) in the
maze of high-energy linear accelerator vaults. In order to gain insight into the
appropriate use of the TVD value during door design, we performed measurements of
the photon dose in the maze of four vaults. In addition, our study represents the
first to describe a scenario where an inner borated polyethylene (BPE) door for
neutron shielding is installed in the maze downstream to Point A, the point on
the maze centerline that is just visible from the isocenter. The measurements
were made along the maze centerline at 1 m above the floor. In all cases, the
accelerator operated at a nominal energy of 15 MV. Of the four vaults, three were
equipped with an inner BPE door at a distance of 1.0-2.1 m downstream to Point A.
The door was made of 10.16 cm (4") BPE sandwiched between two 0.635 cm (1/4")
steel face plates. The photon dose in the maze without a BPE door decreases
exponentially with a characteristic TVD of 6 m beyond a distance of 2.5 m from
Point A. The presence of a BPE door in an identical vault not only reduces the
photon intensity in the maze by about an order of magnitude, but also softens the
energy spectrum with a shortened TVD of 4.7 m, significantly lessening the
shielding burden at the outer maze entrance. In contrast to the common use of
Point A as the reference point to specify distance, the photon dose in the maze
with a BPE door located downstream to Point A can be satisfactorily described as
exponential functions of the distance measured from the door, which shows good
consistency among the three vaults of different room parameters.
PMID- 29374425
TI - Complement system in lung transplantation.
AB - The complement system is a cascade of multiple proteins that have been known to
mediate inflammatory response. This tightly regulated system has been recognized
to play a role in adaptive immunity via humoral and cell-mediated processes.
There is evidence from animal and human studies that the complement system is
involved in various outcomes of solid organ transplantation. Most of the studies
have been done in the field of kidney transplantation. In this paper, we review
the studies looking at lung transplantation. The complement cascade appears to
have a prominent role in mediating lung allograft damage in the setting of
ischemia-reperfusion injury, humoral rejection, as well as chronic allograft
dysfunction. In this review, we look at the available data regarding the role of
complement in these outcomes and propose some ideas about future direction of
research in this field.
PMID- 29374426
TI - [Quorum sensing in Gram-negative bacteria: signal molecules, inhibitors and their
potential therapeutic application].
AB - Quorum Sensing (QS) is a phenomenon of chemical cell-to-cell communication
consisting in the synthesis and secretion of signal molecules called autoinducers
into the environment, which contribute in regulation of various physiological
processes. QS was identified in different bacterial species, including symbiotic
and pathogenic bacteria. QS systems play a crucial role in regulation of
expression of genes which control motility, biofilm formation, and synthesis of
virulence factors by pathogenic bacteria. These systems recognize signal
molecules of different specificity which belong to a few groups and enable intra-
and interspecific communication of bacterial cells as well as communication with
cells of eukaryotic organisms (hosts). Inhibition of QS called Quorum Quenching
(QQ) is now regarded to be a promising strategy to combat bacterial infections.
So far, a large group of substances of natural and synthetic origin with a
function of QS inhibitors, which can have potential therapeutic applications, has
been identified.
PMID- 29374427
TI - [Inhibitors of enzymes with potential medical applications].
AB - From the earliest times, medicine has focused on finding the most suitable and
effective treatment for every patient. At present, a dynamic development of
diagnostic methods and techniques for designing new drugs allows to create
therapies for many diseases at the molecular level. Among the many drugs
appearing on the medical market every year, special attention should be paid to
those whose action is based on the inhibition of proteolytic enzyme activity.
Protease inhibitors are a diverse group of biologically active molecules for
which antiviral, antimicrobial, antifungal, antiparasitic or anticancer effects
have been documented. Successes in the treatment of HIV infection, hepatitis C
and influenza diseases certainly encourage researchers to look for new inhibitors
that could be used in new therapies. This paper provides an overview of selected
information on enzyme inhibitors, especially protease inhibitors, which are
already registered medicines and substances that are promising candidates for
medical use.
PMID- 29374428
TI - [Metalloproteases and their inhibitors: role in pathogenesis of selected
examples].
AB - Proteolytic enzymes and their inhibitors are crucial in host-pathogen
interaction. Metalloproteases secreted by pathogenic microbes play an important
role in destroying not only host tissues but also their immune proteins.
Metalloproteinase inhibitors, in contrast, may serve as effective therapeutic
agents, which is especially important because of the increasing number of
microorganisms resistant to known antibiotics. The role of metalloproteases
produced by the bacterium Pseudomonas aeruginosa in the colonization of the host
organism is described. Attention has also been paid to the role of inhibitors of
these enzymes in defense responses and underlined their potential role in
inhibiting the development of infection.
PMID- 29374429
TI - [The involvement of matrix metalloproteinases in the development and progression
of neoplasm diseases].
AB - Neoplasm diseases are one of the main causes of death in Poland and worldwide.
Forming and progression of tumour are regulated by the number of factors, among
which one of the most important are matrix metalloproteinases (MMPs), zinc
dependant proteases, responsible for remodeling of extracellular matrix (ECM).
They may induce cancer progression directly by modifying the ECM, enabling cancer
growth and migrating of cells released from tumour, as well as invading adjacent
tissue and blood or lymphatic vessels. MMPs may also induce carcinogenesis in
indirect way by modifying tumour microenvironment and secreting factors promoting
or inhibiting particular processes. There is number of factors secreted by cancer
cells, stromal components and ECM elements regulating activation and
functionality of matrix metalloproteinases. Understanding the mechanisms and
pathways underlying regulation and activation of MMPs is crucial for
comprehension of carcinogenesis and metastasis, and may contribute to developing
of new therapeutic strategies.
PMID- 29374430
TI - [Ubiquitin-proteasome pathway as a target for therapeutic strategies].
AB - In Eukaryota, the majority of intracellular proteins are degraded by the
ubiquitin-26S proteasome pathway. Through degradation of proteins tagged with
polyubiquitin chains, the 26S proteasomes, multicatalytic proteolytic complexes,
participate in regulation of key cellular processes such as cell cycle,
proliferation and cell differentiation, apoptosis, transcription, signal
transduction, morphogenesis, immune response, response to stress and to
extracellular effectors, modulation of cell-surface receptors, antigen
presentation, proteolysis of enzymes and regulatory proteins, and protein quality
control in endoplasmic reticulum. Dysfunction of the ubiquitin-proteasome pathway
is associated with many diseases, including cancer, neurodegeneration, autoimmune
and inflammatory response, as well as infectious diseases. In recent years,
besides proteasomes, the enzymes that drive ubiquitination and deubiquitination
have entered clinical trials as potential therapeutic targets. Small molecular
inhibitors against proteasomes have been discovered, as well as inhibitors of the
ubiquitin cascade enzymes and deubiquitinating enzymes. Second generation
inhibitors of proteasomes have been successfully approved for clinical
application.
PMID- 29374431
TI - [Biologically active compounds and methods of their removal through
biocatalysis].
AB - Pharmaceuticals and other biologically active substances are produced in
increasing numbers. Because of increased usage and improper storage, they pass
into surface water, ground water and drinking water directly or through
wastewaters. This is a threat to many living organisms, including humans, because
of hormonal imbalances primarily related to reproductive processes or the problem
of microbial drug resistance. Due to the scale of the emission and limited
possibilities of decomposition of these pollutants by physico-chemical methods it
is necessary to develop new efficient processes. One of the proposed solutions is
the use of tools offered by biocatalysis. Thanks to the biocatalysis process, a
wide range of biologically active compounds can be removed, by using of enzymes
with low substrate specificity and operating in environmentally friendly
conditions. Recent studies indicate the effectiveness of those methods used in
the removal of pollutants of different chemical structure, with the formation of
non-toxic metabolites.
PMID- 29374432
TI - [Different faces of phenoloxidase in animals].
AB - Phenoloxidases are oxidoreducting enzymes whose main function is the oxidation of
phenols. The term phenoloxidase is often used interchangeably to describe three
different enzymes: tyrosinase (EC 1.14.18.1), catechol oxidase, and laccase. Of
these, only tyrosinase has two activities: (1) oxygenase activity to hydroxylate
monophenols to ortho-diphenols and (2) oxidase activity responsible for further
oxidation of ortho-diphenols to ortho-quinones. Tyrosinase is a key enzyme
involved in the melanogenesis process, resulting in the formation of black-brown
eumelanin and yellow-red feomelanin. In addition to the pigmentary role, human
melanin protects against harmful ultraviolet radiation, while in invertebrate
animals melanin is involved in the process of cuticle hardening, wound healing,
clot formation, maintenance of intestinal homeostasis and defense reactions. In
invertebrates, the tyrosinase is synthesized as a proenzyme that is activated by
a serine proteases' cascade known as the phenoloxidase system. This system is
considered as one of the innate immunity mechanisms.
PMID- 29374433
TI - [Biological significance of edible mushrooms in mycoremediation].
AB - The importance of fungi in environmental remediation is due both to their ability
to biotransformation of xenobiotics and to accumulate heavy metals. These
processes depend primarily on the species, while the role of the species or
systematic affiliation is less important, as is the strategy of symbiosis, for
example: mycorrhiza, parasitism or saprophytism. The main factors controlling the
absorption of metals by mushrooms are bioavailability and soil type, while
xenobiotics are dependent on soil factors such as cation exchange capacity, pH,
or organic matter content. The composition of the substrate is an important
factor as there are large differences in the intake of individual substances. The
composition, the amount of impurities present, but also the age of the mycelium
that may be present in nature for many years or (compared) only for several
months under culture conditions. It is a well-known fact that the content of
mushroom fruiting bodies is correlated with the emission of pollutants.
PMID- 29374434
TI - [Industrial application of lipases].
AB - The ability of lipases to perform specific reactions of transformation
(biotransformation) makes these enzymes a useful tool used in many syntheses, for
example: in the production of detergents, cosmetics, biosurfactants, in the oil
chemical, paper, dairy, food or pharmaceutical industries. Lipases are ubiquitous
enzymes but only lipases produced by microorganisms are important for industrial
applications due to their wide variety of properties such as stability in organic
solvents, action under mild conditions, high substrate specificity and region-
and enantioselectivity, as well as the relatively simple methods of their
production in fermentors and recovery from the culture medium. This paper reviews
the latest achievements in the production of lipases in the submerged
fermentation and solid state fermentation using waste products from the
agricultural industry. In addition, new applications of lipases were described,
including those for the synthesis of biopolymers and biodiesel and for the
production of enantiomeric pharmaceuticals, agrochemicals and flavoring
compounds.
PMID- 29374436
TI - Rebooting the generally recognized as safe (GRAS) approach for food additive
safety in the US.
AB - The US Food and Drug Administration (FDA) has premarket review authority over
food additives, but a food manufacturer may, according to the legislation,
intentionally add a substance to human food or animal food without their
premarket review or approval if the substance is generally recognized, among
qualified experts, to be safe under the conditions of its intended use. Generally
recognized as safe (GRAS) implies that the current scientific community agrees on
the adequacy of how data is generated. This system has come under public pressure
because of doubts as to its efficiency and the FDA's recent GRAS rule is part of
the response. The FDA guidance for testing food additives, known as the
"Redbook", is about two decades old. Work toward a new "Redbook" is on the way,
but the US Grocery Manufacturer Association (GMA) also has initiated the
development of an independent standard on how to perform GRAS determinations.
This review of the current guidance shows a very rigorous system for higher
concern levels, but also many waiving options. Opportunities and challenges for
safety evaluations of food additives are discussed. Where scientific progress has
allowed improving existing and adapting new methods, these should be adopted to
improve product safety and animal welfare. The continuous adaptation of such
improved methods is therefore needed. Especially, there are opportunities to
embrace developments within the toxicity testing for the 21st century movement
and evidence-based toxicology approaches. Also, the growing understanding of the
limitations of traditional tests needs to be considered.
PMID- 29374435
TI - A Human Chondrocyte-Derived In Vitro Model of Alcohol-Induced and Steroid-Induced
Femoral Head Necrosis.
AB - BACKGROUND Worldwide, femoral head necrosis (FHN), which is also known as
avascular necrosis of the femoral head or osteonecrosis of the femoral head,
affects millions of people. Excess alcohol intake and steroid use are two common
associations with FHN, but their pathogenesis remains unknown. The aim of this
study was to develop an in vitro model using human chondrocytes to study alcohol
induced and steroid-induced FHN. MATERIAL AND METHODS In this study, the in vitro
model used a monolayer culture of articular chondrocytes derived from patients
with non-traumatic FHN (Ficat and Arlet, Stage III). Normal chondrocytes were
obtained from patients with femoral neck fracture resulting from road traffic
accident (Garden, Stage IV). Alcohol-stimulated and steroid-stimulated articular
chondrocytes were evaluated by a cell proliferation assay, measurement of calcium
levels (alizarin red), measurement of alkaline phosphatase (ALP) levels,
detection of glycosaminoglycan (GAG) secretion using safranin O histochemical
staining, and analysis of cartilage-specific genes, ACAN, SOX9, OPG, TGF-beta,
RANKL, and RUNX2, using quantitative real-time polymerase chain reaction (qRT
PCR). RESULTS Both alcohol and steroids, but especially steroids, accelerated the
degradation of cartilage by suppression of chondrogenesis while promoting
chondrocyte hypertrophy and activating osteogenic differentiation, as assessed by
cell proliferation assay, detection of glycosaminoglycan (GAG) secretion, and
analysis of cartilage-specific genes. CONCLUSIONS A human chondrocyte-derived in
vitro model of alcohol-induced and steroid-induced FHN demonstrated chondrocyte
hypertrophy and activated osteogenic differentiation.
PMID- 29374437
TI - Comment on "Alternative acute oral toxicity assessment under REACH based on sub
acute toxicity values".
PMID- 29374438
TI - Reply to Comment on "Alternative acute oral toxicity assessment under REACH based
on sub-acute toxicity values".
PMID- 29374439
TI - 1st INEXO Symposium: Alternative models in vitro, ex ovo and organisms: From
research to applications in pathologies and aging.
PMID- 29374440
TI - In silico methods - Computational alternatives to animal testing.
PMID- 29374441
TI - A New Burgess Shale Polychaete and the Origin of the Annelid Head Revisited.
AB - Annelida is one of the most speciose (~17,000 species) and ecologically
successful phyla. Key to this success is their flexible body plan with metameric
trunk segments and bipartite heads consisting of a prostomium bearing sensory
structures and a peristomium containing the mouth. The flexibility of this body
plan has traditionally proven problematic for reconstructing the evolutionary
relationships within the Annelida. Although recent phylogenies have focused on
resolving the interrelationships of the crown group [1-3], many questions remain
regarding the early evolution of the annelid body plan itself, including the
origin of the head [4]. Here we describe an abundant and exceptionally well
preserved polychaete with traces of putative neural and vascular tissues for the
first time in a fossilized annelid. Up to three centimeters in length,
Kootenayscolex barbarensis gen. et sp. nov. is described based on more than 500
specimens from Marble Canyon [5] and several specimens from the original Burgess
Shale site (both in British Columbia, Canada). K. barbarensis possesses biramous
parapodia along the trunk, bearing similar elongate and thin notochaetae and
neurochaetae. A pair of large palps and one median antenna project from the
anteriormost dorsal margin of the prostomium. The mouth-bearing peristomium bears
neuropodial chaetae, a condition that is also inferred in Canadia and
Burgessochaeta from the Burgess Shale, suggesting a chaetigorous origin for the
peristomial portion of the head and a secondary loss of peristomial parapodia and
chaetae in modern polychaetes.
PMID- 29374442
TI - Coconut crabs.
AB - Mark Laidre introduces the coconut crab (Birgus latro), the world's largest
terrestrial invertebrate.
PMID- 29374443
TI - Cavefishes.
AB - Life in caves means life in perpetual darkness. This has two dramatic effects on
animals: it eliminates the need to see and reduces the availability of food as
there is no local photosynthesis. Food availability for cave dwellers is often
seasonal, episodic or unscheduled. Like other cave animals, fish species adapted
for cave life exhibit a suite of sensory, morphological, physiological and
behavioral traits that are shared among species from phylogenetically distant
families. Most cave fishes are entirely or partially blind. The most extreme of
them, the obligatory cave-dwelling fish that spend their entire life in caves,
are the subject of this primer. At present, over 200 such cavefish species have
been described, and all of them have evolved independently from surface
ancestors. Thus, each cavefish species is a replicate of the same natural
experiment, testing the evolutionary response of a sighted surface fish to the
absence of light and the limitations on food in a subterranean environment. The
evolutionary responses converge on loss of eyes and pigmentation and the
augmentation of other senses, such as taste, smell or mechanosensation, as well
as a more efficient metabolism, changes in feeding behavior, altered activity
levels, loss of circadian rhythmicity and increased wakefulness. However, not all
of these troglomorphic traits are present in every cavefish species.
PMID- 29374444
TI - Wild Sri Lankan elephants retreat from the sound of disturbed Asian honey bees.
AB - Asian elephants (Elephas maximus) are threatened primarily by habitat loss and
human-elephant conflict. In addition to establishing protected areas and
corridors for wildlife, empowering farmers to protect their crops is crucial for
Asian elephant conservation [1,2]. Elephants can habituate to artificial
deterrents, hence natural biological alternatives are of great interest [2,3].
African elephants (Loxodonta africana) avoid African honey bees (Apis mellifera
scutellata), inspiring 'beehive fences' as a successful means of small-scale crop
protection [4,5]. Here, we used a recording of a disturbed hive of cavity
dwelling Asian honey bees (Apis cerana indica) and conducted sound playbacks to
120 wild elephants in 28 different groups resting under trees in Uda Walawe
National Park in Sri Lanka. Elephants responded by moving significantly further
away from their resting site in bee playback trials compared to controls.
Elephants also increased vocalization rates, as well as investigative and
reassurance behaviours in response to bee sounds, but did not display dusting or
headshaking behaviour.
PMID- 29374445
TI - Mitophagy: Vps13D Couples Mitochondrial Fission and Autophagic Clearance.
AB - Mitophagy maintains mitochondrial homeostasis and cell health. A new study
demonstrates that the ubiquitin-binding protein Vps13D functions downstream of
the fission factor Drp1 to control mitochondrial size and autophagic clearance in
Drosophila midgut cells.
PMID- 29374446
TI - Speech Comprehension: Stimulating Discussions at a Cocktail Party.
AB - When humans listen to speech, ongoing cortical oscillations entrain to the
acoustic signal. New research demonstrates that electrically stimulating the
brain in time with speech rhythm can improve intelligibility for speech in noise.
PMID- 29374447
TI - Brain Rhythms: Higher-Frequency Theta Oscillations Make Sense in Moving Humans.
AB - The hippocampal theta rhythm is critical for learning and memory. New research
demonstrates that theta oscillations in freely moving humans are similar in
frequency and function to those observed in rodents and are modulated by movement
speed and exploratory behavior.
PMID- 29374448
TI - Organelles: The Emerging Signalling Chart of Mitochondrial Dynamics.
AB - Many molecular and functional details of single events in mitochondrial dynamics
have been reported, but little is known about their coordination. A recent study
describes how cellular Ca2+ signals, via remodelling the actin cytoskeleton,
synchronise the formation of endoplasmic reticulum-mitochondria contacts with
inner and outer mitochondrial membrane fission.
PMID- 29374449
TI - Evo-Devo: The Double Identity of Insect Wings.
AB - Sometime in the Devonian, perhaps about 400 million years ago, insects became the
first clade to conquer the sky. Recent evo-devo studies have begun to unravel the
mysterious origin of the flight structure that made insects into extraordinary
six-legged fliers.
PMID- 29374450
TI - Chromosome Biology: The Sight of DNA, at Last!
AB - Chromosomes are shaped by the combined function of the condensin and cohesin Smc
kleisin complexes. After more than two decades of research in this field, a new
study finally sheds light on how these machines might interact with their DNA
substrates.
PMID- 29374451
TI - Sex Determination: Sterility Genes out of Sequence.
AB - The canonical model for the evolution of separate sexes in plants invokes
sterility mutations at two linked loci. A new study claims to have found them in
asparagus, but the order of their origin does not conform to expectation.
PMID- 29374452
TI - Antigen Presentation: Visualizing the MHC Class I Peptide-Loading Bottleneck.
AB - The peptide-loading complex is a bottleneck in antigen presentation by major
histocompatibility complex (MHC) class I molecules. While the structures of its
individual components were known, the recent report of the 7.2 A structure of the
entire complex now fits them into their functional context, explaining this
monumental step in antigen acquisition by MHC class I molecules.
PMID- 29374453
TI - Topographic Maps: Motor Axons Wait Their Turn.
AB - Topographic maps are a basic organizational feature of nervous systems, and their
construction involves both spatial and temporal cues. A recent study reports a
novel mechanism of topographic map formation which relies on the timing of axon
initiation.
PMID- 29374454
TI - Resistance to Plum Pox Virus (PPV) in apricot (Prunus armeniaca L.) is associated
with down-regulation of two MATHd genes.
AB - BACKGROUND: Plum pox virus (PPV), causing Sharka disease, is one of the main
limiting factors for Prunus production worldwide. In apricot (Prunus armeniaca
L.) the major PPV resistance locus (PPVres), comprising ~ 196 kb, has been mapped
to the upper part of linkage group 1. Within the PPVres, 68 genomic variants
linked in coupling to PPV resistance were identified within 23 predicted
transcripts according to peach genome annotation. Taking into account the
predicted functions inferred from sequence homology, some members of a cluster of
meprin and TRAF-C homology domain (MATHd)-containing genes were pointed as PPV
resistance candidate genes. RESULTS: Here, we have characterized the global
apricot transcriptome response to PPV-D infection identifying six PPVres locus
genes (ParP-1 to ParP-6) differentially expressed in resistant/susceptible
cultivars. Two of them (ParP-3 and ParP-4), that encode MATHd proteins, appear
clearly down-regulated in resistant cultivars, as confirmed by qRT-PCR.
Concurrently, variant calling was performed using whole-genome sequencing data of
24 apricot cultivars (10 PPV-resistant and 14 PPV-susceptible) and 2 wild
relatives (PPV-susceptible). ParP-3 and ParP-4, named as Prunus armeniaca PPVres
MATHd-containing genes (ParPMC), are the only 2 genes having allelic variants
linked in coupling to PPV resistance. ParPMC1 has 1 nsSNP, while ParPMC2 has 15
variants, including a 5-bp deletion within the second exon that produces a
frameshift mutation. ParPMC1 and ParPMC2 are adjacent and highly homologous
(87.5% identity) suggesting they are paralogs originated from a tandem
duplication. Cultivars carrying the ParPMC2 resistant (mutated) allele show lack
of expression in both ParPMC2 and especially ParPMC1. CONCLUSIONS: Accordingly,
we hypothesize that ParPMC2 is a pseudogene that mediates down-regulation of its
functional paralog ParPMC1 by silencing. As a whole, results strongly support
ParPMC1 and/or ParPMC2 as host susceptibility genes required for PPV infection
which silencing may confer PPV resistance trait. This finding may facilitate
resistance breeding by marker-assisted selection and pave the way for gene
edition approaches in Prunus.
PMID- 29374455
TI - How patient participation was used to develop a questionnaire that is fit for
purpose for assessing quality of life in severe asthma.
AB - BACKGROUND: Previous research shows that existing asthma quality of life
questionnaires fail to measure the burden of oral corticosteroids that can be
used to treat severe asthma, and are therefore not fit for purpose for severe
asthma according to the USA's Federal Drug Authority's (FDA) criteria for content
validity. Patient input and documentation of that input is key to achieving
content validity according to FDA guidelines. This paper describes the process of
constructing a new questionnaire to measure the burden of asthma symptoms and
burden of treatment in severe asthma, using criteria specified by the FDA.
METHODS: A draft severe asthma questionnaire (SAQ) was constructed using
qualitative input from severe asthma patients who took part in an earlier study.
The aim of this study was to improve that draft questionnaire using a further
group of patients. In four iterative focus groups, 16 people with severe asthma
completed the draft questionnaire, discussed the wording and structure and
suggested changes that were incorporated into the final version. RESULTS: The
original intention to ask patients to identify whether problems were caused by
asthma symptoms or side effects of medication was abandoned as the attribution of
cause was found to be difficult and inconsistent. The recall period of 2 weeks
was acceptable but fails to reflect the patients' desire to express the
variability of severe asthma. Patients suggested improvements to the wording of
the draft questionnaire, including splitting some items in two, combining two
items in one, and changes to some of the words in individual items and the
response scale. CONCLUSIONS: The final version of the questionnaire was
substantially different from one constructed using only qualitative reports from
patients about the quality of life deficits of severe asthma. Patients make a
valuable contribution to the questionnaire if they are asked to comment and
improve an initial draft and where patients are treated as partners in the
process of questionnaire construction, rather than only as a source of
information to experts who construct the questionnaire.
PMID- 29374456
TI - Inbreeding and runs of homozygosity before and after genomic selection in North
American Holstein cattle.
AB - BACKGROUND: While autozygosity as a consequence of selection is well understood,
there is limited information on the ability of different methods to measure true
inbreeding. In the present study, a gene dropping simulation was performed and
inbreeding estimates based on runs of homozygosity (ROH), pedigree, and the
genomic relationship matrix were compared to true inbreeding. Inbreeding based on
ROH was estimated using SNP1101, PLINK, and BCFtools software with different
threshold parameters. The effects of different selection methods on ROH patterns
were also compared. Furthermore, inbreeding coefficients were estimated in a
sample of genotyped North American Holstein animals born from 1990 to 2016 using
50 k chip data and ROH patterns were assessed before and after genomic selection.
RESULTS: Using ROH with a minimum window size of 20 to 50 using SNP1101 provided
the closest estimates to true inbreeding in simulation study. Pedigree inbreeding
tended to underestimate true inbreeding, and results for genomic inbreeding
varied depending on assumptions about base allele frequencies. Using an ROH
approach also made it possible to assess the effect of population structure and
selection on distribution of runs of autozygosity across the genome. In the
simulation, the longest individual ROH and the largest average length of ROH were
observed when selection was based on best linear unbiased prediction (BLUP),
whereas genomic selection showed the largest number of small ROH compared to BLUP
estimated breeding values (BLUP-EBV). In North American Holsteins, the average
number of ROH segments of 1 Mb or more per individual increased from 57 in 1990
to 82 in 2016. The rate of increase in the last 5 years was almost double that of
previous 5 year periods. Genomic selection results in less autozygosity per
generation, but more per year given the reduced generation interval. CONCLUSIONS:
This study shows that existing software based on the measurement of ROH can
accurately identify autozygosity across the genome, provided appropriate
threshold parameters are used. Our results show how different selection
strategies affect the distribution of ROH, and how the distribution of ROH has
changed in the North American dairy cattle population over the last 25 years.
PMID- 29374457
TI - Association between diabetes and pesticides: a case-control study among Thai
farmers.
AB - BACKGROUND: Pesticides are an agricultural chemical suspected to be a significant
contributor to a global diabetes pandemic. The purpose of this study was to
confirm previous findings of the link between diabetes and some agricultural
pesticides and to identify the particular pesticides that are most likely to pose
a risk of diabetes in the community. METHODS: A population-based case-controlled
study was conducted among residents in the Bang Rakam district of Phitsanulok
Province in Thailand. Lifetime pesticide exposure and other relevant data were
collected from 866 participating cases with diabetes mellitus and 1021 healthy
controls. RESULTS: After adjusting for gender, age, BMI, cigarette smoking,
alcohol consumption, family history of diabetes, and occupation, it was found
that the prevalence of diabetes was positively associated with exposure to all
types of pesticides, including insecticides, herbicides, fungicides,
rodenticides, and molluscicides, with exposure to rodenticides being
statistically significant (OR = 1.35; 95%CI 1.04-1.76). Among 35 individual brand
named pesticides investigated, we found statistically significant ORs with three
insecticides, including one organochlorine [endosulfan (OR = 1.40; 95%CI 1.01
1.95)], one organophosphate [mevinphos (OR = 2.22; 95%CI 1.17-4.19)], and one
carbamate [carbaryl/Sevin (OR = 1.50; 95%CI 1.02-2.19)]; and one fungicides
[benlate (OR = 2.08; 95%CI 1.03-4.20)]. CONCLUSIONS: Our results suggest that the
occurrence of diabetes among Thai farmer was associated with pesticide exposure.
This finding is in line with previous epidemiological and animal studies. Further
study using a larger sample size is needed to confirm the relationship and to
identify the more toxic compounds.
PMID- 29374458
TI - Unique depot formed by an oil based vaccine facilitates active antigen uptake and
provides effective tumour control.
AB - BACKGROUND: Oil emulsions are commonly used as vaccine delivery platforms to
facilitate slow release of antigen by forming a depot at the injection site.
Antigen is trapped in the aqueous phase and as the emulsion degrades in vivo the
antigen is passively released. DepoVaxTM is a unique oil based delivery system
that directly suspends the vaccine components in the oil diluent that forces
immune cells to actively take up components from the formulation in the absence
of passive release. The aim of this study was to use magnetic resonance imaging
(MRI) with additional biological markers to evaluate and understand differences
in clearance between several different delivery systems used in peptide-based
cancer vaccines. METHODS: C57BL/6 mice were implanted with a cervical cancer
model and vaccinated 5 days post-implant with either DepoVax (DPX), a water-in
oil emulsion (w/o), a squalene oil-in-water emulsion (squal o/w) or a
saponin/liposome emulsion (sap/lip) containing iron oxide-labeled targeted
antigen. MRI was then used to monitor antigen clearance, the site of injection,
tumour and inguinal lymph node volumes and other gross anatomical changes. HLA-A2
transgenic mice were also vaccinated to evaluate immune responses of human
directed peptides. RESULTS: We demonstrated differences in antigen clearance
between DPX and w/o both in regard to how quickly the antigen was cleared and the
pattern in which it was cleared. We also found differences in lymph node
responses between DPX and both squal o/w and sap/lip. CONCLUSIONS: These studies
underline the unique mechanism of action of this clinical stage vaccine delivery
system.
PMID- 29374459
TI - Systemic air embolism after percutaneous computed tomography-guided lung biopsy
due to a kink in the coaxial biopsy system: a case report.
AB - BACKGROUND: Systemic air embolism is a rare but potentially life-threatening
complication of percutaneous computed tomography (CT)-guided lung biopsy. The
incidence might be underestimated because of failure to diagnose this adverse
event in asymptomatic patients; early recognition is difficult. CASE
PRESENTATION: We report the case of a 73-year-old man with systemic air embolism,
a complication of percutaneous CT-guided lung biopsy, due to a kink in the
coaxial biopsy system. Serial post-procedure CT scans demonstrated the causal
relationship. CONCLUSIONS: Sequential post-biopsy CT scans demonstrated a causal
relationship between this systemic air embolism and percutaneous biopsy, and
allowed the radiologist to track the course of the emboli and their resolution.
Awareness of air entry via the introducer needle and an early post-biopsy CT scan
are crucial for early detection of systemic air embolism. If air embolism occurs
in an asymptomatic patient, we recommend performing a delayed chest CT scan to
follow the air's course.
PMID- 29374460
TI - Precision and agreement of higher order aberrations measured with ray tracing and
Hartmann-Shack aberrometers.
AB - BACKGROUND: To assess the precision and agreement of measurements of higher order
aberrations (HOAs) obtained with a ray tracing aberrometer (iTrace) and a
Hartmann-Shack aberrometer (Topcon KR-1 W). METHODS: Prospective evaluation of
the diagnostic test. Data from the right eyes of 92 normal subjects obtained
using the two devices were included in this study. Two observers performed 3
consecutive scans to determine the intraobserver repeatability and interobserver
reproducibility. About one week later, one observer performed an additional 3
consecutive scans to obtain the intersession reproducibility. The within-subject
standard deviation (Sw), test-retest repeatability (TRT) and intraclass
correlation coefficient (ICC) were used to assess the precision, while Bland
Altman plots were performed to assess the agreement. RESULTS: For intraobserver
repeatability of the ocular, corneal and internal HOAs, Topcon KR-1 W showed a
2.77Sw of 0.079 MUm or less and ICCs of 0.761 or more; and iTrace showed a 2.77Sw
of 0.105 MUm or less and ICCs of 0.805 or more. The ICCs of the internal HOAs of
interobserver reproducibility were less than 0.75 except for spherical aberration
(SA) (0.862), and interobserver reproducibility of the counterpart showed similar
but lower results. For the ocular, corneal and internal HOA measurements,
statistically significant differences existed between the Topcon KR-1 W and
iTrace (all P < 0.05). No significant differences were observed in the ocular SA
and internal coma. CONCLUSIONS: The ray tracing and Hartmann-Shack method
aberrometers provided excellent repeatability but less reliable reproducibility
in the measurement of HOAs (except for SA). The two aberrometers should not be
interchangeable in clinical application because of the significant differences in
HOA measurements between them.
PMID- 29374462
TI - Community participation during two mass anti-malarial administrations in
Cambodia: lessons from a joint workshop.
AB - Two mass drug administrations (MDA) against falciparum malaria were conducted in
2015-16, one as operational research in northern Cambodia, and the other as a
clinical trial in western Cambodia. During an April 2017 workshop in Phnom Penh
the field teams from Medecins Sans Frontieres and the Mahidol-Oxford Tropical
Medicine Research Unit discussed lessons for future MDAs.
PMID- 29374461
TI - Allele phasing is critical to revealing a shared allopolyploid origin of Medicago
arborea and M. strasseri (Fabaceae).
AB - BACKGROUND: Whole genome duplication plays a central role in plant evolution.
There are two main classes of polyploid formation: autopolyploids which arise
within one species by doubling of similar homologous genomes; in contrast,
allopolyploidy (hybrid polyploidy) arise via hybridization and subsequent
doubling of nonhomologous (homoeologous) genomes. The distinction between
polyploid origins can be made using gene phylogenies, if alleles from each genome
can be correctly retrieved. We examined whether two closely related tetraploid
Mediterranean shrubs (Medicago arborea and M. strasseri) have an allopolyploid
origin - a question that has remained unsolved despite substantial previous
research. We sequenced and analyzed ten low-copy nuclear genes from these and
related species, phasing all alleles. To test the efficacy of allele phasing on
the ability to recover the evolutionary origin of polyploids, we compared these
results to analyses using unphased sequences. RESULTS: In eight of the gene trees
the alleles inferred from the tetraploids formed two clades, in a non-sister
relationship. Each of these clades was more closely related to alleles sampled
from other species of Medicago, a pattern typical of allopolyploids. However, we
also observed that alleles from one of the remaining genes formed two clades that
were sister to one another, as is expected for autopolyploids. Trees inferred
from unphased sequences were very different, with the tetraploids often placed in
poorly supported and different positions compared to results obtained using
phased alleles. CONCLUSIONS: The complex phylogenetic history of M. arborea and
M. strasseri is explained predominantly by shared allotetraploidy. We also
observed that an increase in woodiness is correlated with polyploidy in this
group of species and present a new possibility that woodiness could be a
transgressive phenotype. Correctly phased homoeologues are likely to be critical
for inferring the hybrid origin of allopolyploid species, when most genes retain
more than one homoeologue. Ignoring homoeologous variation by merging the
homoeologues can obscure the signal of hybrid polyploid origins and produce
inaccurate results.
PMID- 29374463
TI - Rapid conjugative mobilization of a 100 kb segment of Bacillus subtilis
chromosomal DNA is mediated by a helper plasmid with no ability for self
transfer.
AB - BACKGROUND: The conjugative plasmid, pLS20, isolated from Bacillus subtilis
natto, has an outstanding capacity for rapid self-transfer. In addition, it can
function as a helper plasmid, mediating the mobilization of an independently
replicating co-resident plasmid. RESULTS: In this study, the oriT sequence of
pLS20cat (oriTLS20) was eliminated to obtain the plasmid, pLS20catDeltaoriT. This
resulted in the complete loss of the conjugative transfer of the plasmid but
still allowed it to mobilize a co-resident mobilizable plasmid. Moreover,
pLS20catDeltaoriT was able to mobilize longer DNA segments, up to 113 kb of
chromosomal DNA containing oriTLS20, after mixing the liquid cultures of the
donor and recipient for only 15 min. CONCLUSIONS: The chromosomal DNA
mobilization mediated by pLS20catDeltaoriT will allow us to develop a novel
genetic tool for the rapid, easy, and repetitive mobilization of longer DNA
segments into a recipient chromosome.
PMID- 29374464
TI - Disseminated cryptococcosis presenting initially as lower limb cellulitis in a
renal transplant recipient - a case report.
AB - BACKGROUND: Cellulitis is an unusual presentation of disseminated cryptococcosis,
a serious infection seen predominantly in immunocompromised hosts. Disseminated
cryptococcosis carries significant morbidity for transplant recipients,
especially of the pulmonary and central nervous systems, and carries a high
mortality risk. CASE PRESENTATION: We report a 59-year-old renal transplant
recipient who presented with bilateral lower leg cellulitis without other
symptoms or signs. Failure of conventional therapy for cellulitis prompted a skin
biopsy confirming cryptococcal cellulitis. Additional evaluation to exclude
disseminated disease revealed Cryptococcus neoformans in blood cultures and
cerebrospinal fluid (CSF). Treatment included reduction in immunosuppression
regimen and targeted treatment for cryptococcal disease with liposomal
amphotericin B and flucytosine followed by fluconazole consolidation and
maintenance therapy. Treatment with liposomal amphotericin B and flucytosine
followed by fluconazole consolidation and maintenance therapy achieved a good
clinical response. Our patient achieved significant reduction in leg cellulitis
and recovered without serious complication. CONCLUSIONS: This case suggests that
cutaneous cryptococcosis in immunosuppressed patients warrants a low threshold
for investigation for disseminated disease even in the absence of other symptoms
or signs.
PMID- 29374465
TI - Penetrating aortic injury left untreated for 20 days: a case report.
AB - BACKGROUND: Penetrating aortic trauma remains one of the most challenging
injuries with a high mortality rate if left untreated, or if the surgical
treatment is delayed. We present an uncommon case of a late diagnosed abdominal
firearm injury, in which the bullet partially penetrated the wall of the aorta,
creating a plug that prevented immediate death due to massive bleeding. CASE
PRESENTATION: A 26-year-old Libyan man was a victim of a firearm wound, with a
bullet penetrating his abdominal wall from the left to right side. After the
assault, the victim, spent up to 20 days crossing the Mediterranean Sea to leave
his country of origin. Abdominal radiography revealed the presence of a bullet
located anteriorly to the second lumbar vertebra, while computed tomography
angiography, unexpectedly, demonstrated that the bullet penetrated partially into
the aortic wall at the level of the left renal artery. The bullet penetrated the
aortic wall for half of its length, creating a plug that avoided immediate life
threatening bleeding at the time of the gunshot injury. The bullet was removed
and the aortic lesion was repaired. The patient was discharged 6 days after the
surgical procedure, in good health. CONCLUSIONS: We presented a very rare case of
late diagnosis of aortic injury caused by a gunshot lesion, in which the
particular trajectory of the bullet helped avoid immediate life-threatening
bleeding and, probably, saved the patient's life.
PMID- 29374466
TI - Case report: a fatal case of disseminated adenovirus infection in a non
transplant adult haematology patient.
AB - BACKGROUND: We report a fatal case of disseminated adenovirus infection in a non
transplant haematology adult patient with chronic lymphocytic leukaemia who had
completed combination chemoimmunotherapy a few months before developing
respiratory symptoms. In such non-transplant patients, monitoring for adenovirus
in the blood is not routine. However, with adenoviruses, when there is a more
peripheral (i.e. non-blood) site of infection such as the chest, serial
adenovirus monitoring in blood for the duration of that illness may be warranted.
CASE PRESENTATION: This case started with an initial bacterial chest infection
that responded to treatment, followed by an adenovirus pneumonitis that
disseminated to his blood a week later with levels of up to 92 million adenovirus
DNA copies/ml. Despite prompt treatment with cidofovir, his respiratory function
continued to deteriorate over the next two weeks and he was moved to intensive
care. Intravenous immunoglobulin and ribavirin were subsequently added to his
treatment. However, he died soon after this with a final adenovirus load of 20
million copies/ml in his blood. CONCLUSIONS: We recommend that even in non
transplant haematology patients, where such patients present with an acute
respiratory adenovirus infection, teams should consider checking the blood for
adenovirus to check for signs of disseminated infection. The earlier this can be
tested, the earlier treatment can be initiated (if adenovirus positive), which
may produce more successful clinical outcomes.
PMID- 29374467
TI - The global switch from trivalent oral polio vaccine (tOPV) to bivalent oral polio
vaccine (bOPV): facts, experiences and lessons learned from the south-south zone;
Nigeria, April 2016.
AB - BACKGROUND: The globally synchronized switch from trivalent Oral Polio Vaccine
(tOPV) to bivalent Oral Polio Vaccine (bOPV) took place in Nigeria on April 18th
2016. The country is divided into six geopolitical zones. This study reports the
experiences and lessons learned from the switch process in the six states that
make up Nigeria's south-south geopolitical zone. METHODS: This was a descriptive
retrospective review of Nigeria's switch plan and structures used for
implementing the tOPV-bOPV switch in the south-south zone. Nigeria's National
Polio Emergency Operation Centre (NPEOC) protocols, global guidelines and reports
from switch supervisors during the switch were used to provide background
information for this study. Quantitative data were derived from reviewing switch
monitoring and validation documents as submitted to the NPEOC RESULTS: The switch
process took place in all 3078 Health Facilities (HFs) and 123 Local Government
Areas (LGAs) that make up the six states in the zone. A total of $139,430 was
used for this process. The 'healthcare personnel' component received the highest
budgetary allocation (59%) followed by the 'logistics' component (18%). Akwa Ibom
state was allocated the highest number of healthcare personnel and hence received
the most budgetary allocation compared to the six states (total healthcare
personnel = 458, total budgetary allocation = $17,428). Validation of the switch
process revealed that eight HFs in Bayelsa, Cross-River, Edo and Rivers states
still possessed tOPV in cold-chain while six HFs in Cross-River and Rivers states
had tOPV out of cold-chain but without the 'do not use' sticker. Akwa-Ibom was
the only state in the zone to have bOPV and Inactivated Polio Vaccine (IPV)
available in all its HFs monitored. CONCLUSION: The Nigerian tOPV-bOPV switch was
successful. For future Oral Polio Vaccine (OPV) withdrawals, implementation of
the switch plan would be more feasible with an earlier dissemination of funds
from global donor organizations, which would greatly aid timely planning and
preparations. Increased budgetary allocation to the 'logistics' component to
accommodate unexpected hikes in transportation prices and the general
inefficiencies with power supply in the country is also advised.
PMID- 29374468
TI - Chronic kidney disease alters lipid trafficking and inflammatory responses in
macrophages: effects of liver X receptor agonism.
AB - BACKGROUND: Our aim was to evaluate lipid trafficking and inflammatory response
of macrophages exposed to lipoproteins from subjects with moderate to severe
chronic kidney disease (CKD), and to investigate the potential benefits of
activating cellular cholesterol transporters via liver X receptor (LXR) agonism.
METHODS: LDL and HDL were isolated by sequential density gradient
ultracentrifugation of plasma from patients with stage 3-4 CKD and individuals
without kidney disease (HDLCKD and HDLCont, respectively). Uptake of LDL,
cholesterol efflux to HDL, and cellular inflammatory responses were assessed in
human THP-1 cells. HDL effects on inflammatory markers (MCP-1, TNF-alpha, IL
1beta), Toll-like receptors-2 (TLR-2) and - 4 (TLR-4), ATP-binding cassette class
A transporter (ABCA1), NF-kappaB, extracellular signal regulated protein kinases
1/2 (ERK1/2) were assessed by RT-PCR and western blot before and after in vitro
treatment with an LXR agonist. RESULTS: There was no difference in macrophage
uptake of LDL isolated from CKD versus controls. By contrast, HDCKD was
significantly less effective than HDLCont in accepting cholesterol from
cholesterol-enriched macrophages (median 20.8% [IQR 16.1-23.7] vs control (26.5%
[IQR 19.6-28.5]; p = 0.008). LXR agonist upregulated ABCA1 expression and
increased cholesterol efflux to HDL of both normal and CKD subjects, although the
latter continued to show lower efflux capacity. HDLCKD increased macrophage
cytokine response (TNF-alpha, MCP-1, IL-1beta, and NF-kappaB) versus HDLCont. The
heightened cytokine response to HDLCKD was further amplified in cells treated
with LXR agonist. The LXR-augmentation of inflammation was associated with
increased TLR-2 and TLR-4 and ERK1/2. CONCLUSIONS: Moderate to severe impairment
in kidney function promotes foam cell formation that reflects impairment in
cholesterol acceptor function of HDLCKD. Activation of cellular cholesterol
transporters by LXR agonism improves but does not normalize efflux to HDLCKD.
However, LXR agonism actually increases the pro-inflammatory effects of HDLCKD
through activation of TLRs and ERK1/2 pathways.
PMID- 29374469
TI - Perioperative incidence of airway obstructive and hypoxemic events in patients
with confirmed or suspected sleep apnea - a prospective, randomized pilot study
comparing propofol/remifentanil and sevoflurane/remifentanil anesthesia.
AB - BACKGROUND: Obstructive sleep apnea (OSA) is a risk factor for perioperative
complications but data on anesthesia regimen are scarce. METHODS: In patients
with established or strongly suspected OSA, we assessed in a prospective,
randomized design the effects on nocturnal apnea-hypopnea-index (AHI) and oxygen
saturation (SpO2) of propofol/remifentanil or sevoflurane/remifentanil based
anesthesia. Patients were selected by a history for OSA and / or a positive STOP
questionnaire and received general anesthesia using remifentanil (12 MUg/kg/h)
combined either with propofol (4-6 mg/kg/h, n = 27) or sevoflurane (approx. 2.2
vol% endtidal, n = 27). AHI and SpO2 were measured during the nights before and
after anesthesia. RESULTS: There were no differences in AHI between anesthetic
regimens nor between the pre- and postoperative nights (propofol: 8.6 h- 1
(median, CI: 3.6-21.9) vs. 7.9 h- 1 (1.8-28.8); p = 0.97; sevoflurane: 3.8 h- 1
(1.8-7.3) vs. 2.9 h- 1 (1.2-9.5); p = 0.85). Postoperative minimum SpO2
(propofol: 80.7% +/- 4.6, sevoflurane: 81.6 +/- 4.6) did not differ from their
respective preoperative baselines (propofol: 79.6% +/- 6.5; p = 0.26,
sevoflurane: 80.8% +/- 5.2; p = 0.39). Even in patients with a preanesthetic AHI
> 15, nocturnal AHI remained unchanged postoperatively. CONCLUSION: Thus, in a
cohort of patients with suspected or confirmed OSA undergoing surgery of moderate
duration and severity neither the volatile agent sevoflurane nor the intravenous
anesthetic propofol altered nocturnal AHI or oxygen saturation, when combined
with the short acting opioid remifentanil. TRIAL REGISTRATION: German Clinical
Trials Register, DRKS00005824 retrospectively registered on 03/12/2014.
PMID- 29374470
TI - Association of thyroid nodules with adiposity: a community-based cross-sectional
study in China.
AB - BACKGROUND: The association between thyroid nodules and adiposity remains
controversial. We performed a cross-sectional, community-based study to examine
whether thyroid nodules are associated with overweight and obesity, as defined
with body mass index (BMI) and waist circumference. METHODS: The study included
1482 subjects (>=20 years of age; residing in Nanjing, China) receiving
questionnaire interview, anthropometric measurements, laboratory tests and
thyroid ultrasonography in 2009-2010. Overweight and obesity were defined as BMI
>=24 and >=28 kg/m2, respectively. Central obesity was defined as waist
circumference at >=90 cm in men and >=80 cm in women. A sensitivity analysis was
conducted using the American Diabetes Association (ADA) criteria for overweight
and obesity (BMI >= 23 and >=25 kg/m2). RESULTS: Thyroid nodules were identified
in 12.6% of the subjects. A greater proportion of the subjects with thyroid
nodules had a BMI at >=24 kg/m2 (51.9% vs. 40.5% in those without thyroid
nodules, P = 0.003) and central obesity (43.3% vs. 24.2%, P < 0.001). After
adjustment for other confounders, central obesity was still associated with
significantly elevated risk of thyroid nodules (OR 1.62, 95%CI 1.14-2.28),
whereas obesity/overweight based on BMI was not in both the main analysis and
sensitivity analysis with the alternative criteria. In the subgroup analysis, BMI
>=24 kg/m2 (OR 1.61, 95%CI 1.01-2.54), as well as BMI >=25 kg/m2 (OR 1.95, 95%CI
1.14-3.34), was significantly associated with higher risk of thyroid nodules
among women. Using the ADA criteria, overweight and obesity were associated with
thyroid nodules (OR 5.59, 95%CI 1.39-22.51 and 5.15, 95%CI 1.30-20.37) in thyroid
stimulating hormone (TSH) > 4.2 mIU/L subgroup. Central obesity correlated with
higher risk of thyroid nodules regardless of age (< 50 years: OR 1.87, 95%CI 1.05
3.32: >=50 years: OR 1.54, 95%CI 1.00-2.37) and in the following subgroups: men
(OR 1.91, 95%CI 1.14-3.20), TSH > 4.2 mIU/L (OR 3.05, 95%CI 1.01-9.22), and urine
iodine >=200 ug/L (OR 1.79, 95%CI 1.14-2.81). CONCLUSION: Waist circumference is
superior to BMI for assessing risk of thyroid nodules in Chinese subjects.
PMID- 29374471
TI - Subchronic toxicity, immunoregulation and anti-breast tumor effect of
Nordamnacantal, an anthraquinone extracted from the stems of Morinda citrifolia
L.
AB - BACKGROUND: Morinda citrifolia L. that was reported with immunomodulating and
cytotoxic effects has been traditionally used to treat multiple illnesses
including cancer. An anthraquinone derived from fruits of Morinda citrifolia L.,
nordamnacanthal, is a promising agent possessing several in vitro biological
activities. However, the in vivo anti-tumor effects and the safety profile of
nordamnacanthal are yet to be evaluated. METHODS: In vitro cytotoxicity of
nordamnacanthal was tested using MTT, cell cycle and Annexin V/PI assays on human
MCF-7 and MDA-MB231 breast cancer cells. Mice were orally fed with
nordamnacanthal daily for 28 days for oral subchronic toxicity study. Then, the
in vivo anti-tumor effect was evaluated on 4T1 murine cancer cells-challenged
mice. Changes of tumor size and immune parameters were evaluated on the untreated
and nordamnacanthal treated mice. RESULTS: Nordamnacanthal was found to possess
cytotoxic effects on MDA-MB231, MCF-7 and 4T1 cells in vitro. Moreover, based on
the cell cycle and Annexin V results, nordamnacanthal managed to induce cell
death in both MDA-MB231 and MCF-7 cells. Additionally, no mortality, signs of
toxicity and changes of serum liver profile were observed in nordamnacanthal
treated mice in the subchronic toxicity study. Furthermore, 50 mg/kg body weight
of nordamncanthal successfully delayed the progression of 4T1 tumors in Balb/C
mice after 28 days of treatment. Treatment with nordamnacanthal was also able to
increase tumor immunity as evidenced by the immunophenotyping of the spleen and
YAC-1 cytotoxicity assays. CONCLUSION: Nordamnacanthal managed to inhibit the
growth and induce cell death in MDA-MB231 and MCF-7 cell lines in vitro and cease
the tumor progression of 4T1 cells in vivo. Overall, nordamnacanthal holds
interesting anti-cancer properties that can be further explored.
PMID- 29374472
TI - Exploring health care seeking knowledge, perceptions and practices for childhood
diarrhea and pneumonia and their context in a rural Pakistani community.
AB - BACKGROUND: Where access to facilities for childhood diarrhea and pneumonia is
inadequate, community case management (CCM) is an effective way of improving
access to care. In Pakistan, utilization of CCM for these diseases through the
Lady Health Worker Program remains low. Challenges of access to facilities
persist leading to delayed care and poor outcomes. Estimating caregiver
knowledge, understanding their perceptions and practices, and recognizing how
these are related to care seeking decisions about childhood diarrhea and
pneumonia is crucial to bring about coherence between supply and demand-side
practices. METHODS: Data was collected from family caregivers to explore their
knowledge, perceptions and practices regarding childhood diarrhea and pneumonia.
Data from a household survey with 7025 caregivers, seven focus group discussion
(FGDs), seven in-depth interviews (IDIs), and 20 detailed narrative interviews
are used to explore caregiver knowledge, perceptions and practices. RESULTS:
Household survey shows that most family caregivers recognize main signs and
symptoms of diarrhea such as loose stools (76%). Fewer recognize signs and
symptoms of pneumonia such as breathing problems (21%). Few caregivers (18%) have
confidence in lady health workers' (LHWs) ability to treat childhood diarrhea and
pneumonia. Care seeking from LHWs remains negligible (< 1%). Caregivers
overwhelmingly prefer to seek care from doctors (97%). Seventy-five percent
caregivers sought care from private providers and 45% from public providers.
FGDs, IDIs, and narrative interviews show that care mostly begins with home
remedies and sometimes self-prescribed medicines. Treatment delays occur because
of caregiver inability to recognize disease, use of home remedies, financial
constraints, and low utilization of community based LHW services. Caregivers do
not seek care from LHWs because of lack of trust and LHWs' inability to provide
medicines. If finances allow, private doctors, who caregivers perceive as more
responsive, are preferred over public sector doctors. Financial resources,
availability of time, support for household chores by family and community
determine whether, when, and from whom caregivers seek care. CONCLUSIONS: Many
children do not receive recommended diarrhea and pneumonia treatment on time.
Taking into consideration caregiver concerns, adequate supply of medicines to
LHWs, improved facility level care could improve care seeking practices and child
health outcomes. TRIAL REGISTRATION: The trial is registered with 'Australian New
Zealand Clinical Trials Registry'. Registration Number: ACTRN12613001261707 .
Registered 18 November 2013.
PMID- 29374473
TI - Epidemiology of gastrointestinal symptoms in young and middle-aged Swiss adults:
prevalences and comorbidities in a longitudinal population cohort over 28 years.
AB - BACKGROUND: Although subacute and chronic gastrointestinal symptoms are very
common in primary care, epidemiological date are sparse. The aim of the study was
to examine and quantify the prevalence of subacute and chronic gastrointestinal
symptoms and their associations with somatic and mental disorders in the general
population. METHODS: Data were collected prospectively between 1981 (age m = 22,
f = 23) and 2008 (age 49/50) from the Zurich Cohort Study (n = 292 men, 299
women), a representative general population survey. The participants were
assessed using a semi-structured interview, the "Structured Psychopathological
Interview and Rating of the Social Consequences of Psychological Disturbances for
Epidemiology" (SPIKE). Prevalence rates were computed to be representative of the
general population aged 22-50. Associations were quantified by odds ratios (ORs)
and their 99% confidence intervals (CI). RESULTS: The prevalences of intestinal
and of gastric symptoms were significantly higher among women in all categories
examined. For example, any gastric symptoms: f. 26.4% vs m.15.2%; any intestinal
symptoms: 27.6% vs 14.6%; nausea/vomitus: 19.1% vs 4.5%; constipation: 15.8% vs
6.5% (all p < 0.001). Strong associations (all p < 0.0001) were found between
fatigue (1 month) and chronic stomach (OR = 9.96, 99%-CI: 5.53-17.94) and chronic
intestinal symptoms (OR = 9.02, 99%-CI: 4.92-16.54). Panic attacks were
associated with subacute intestinal symptoms (OR = 4.00, 99%-CI: 2.43-6.59).
Anxiety was more strongly associated with subacute intestinal symptoms (OR =
3.37, 99%-CI: 2.23-5.08) than with subacute stomach symptoms (OR = 1.85, 1.20
2.86). Bipolar disorders were associated with subacute stomach symptoms (OR =
1.83, 1.18-2.17) and unipolar depression with subacute intestinal symptoms (OR =
2.05, 1.34-3.15). CONCLUSIONS: Remarkably high prevalence rates of gastric and
intestinal complaints were observed in women (over 1/4; men 1/7).
Fatigue/neurasthenia was the strongest co-factor in both conditions. Various
syndromes related to anxiety, phobia, and panic disorders showed further
significant associations. The integration of psychiatric and/or psychological
treatment could help address the functional part of gastric and intestinal
syndromes.
PMID- 29374474
TI - GenIO: a phenotype-genotype analysis web server for clinical genomics of rare
diseases.
AB - BACKGROUND: GenIO is a novel web-server, designed to assist clinical genomics
researchers and medical doctors in the diagnostic process of rare genetic
diseases. The tool identifies the most probable variants causing a rare disease,
using the genomic and clinical information provided by a medical practitioner.
Variants identified in a whole-genome, whole-exome or target sequencing studies
are annotated, classified and filtered by clinical significance. Candidate genes
associated with the patient's symptoms, suspected disease and complementary
findings are identified to obtain a small manageable number of the most probable
recessive and dominant candidate gene variants associated with the rare disease
case. Additionally, following the American College of Medical Genetics and
Genomics and the Association of Molecular Pathology (ACMG-AMP) guidelines and
recommendations, all potentially pathogenic variants that might be contributing
to disease and secondary findings are identified. RESULTS: A retrospective study
was performed on 40 patients with a diagnostic rate of 40%. All the known genes
that were previously considered as disease causing were correctly identified in
the final inherit model output lists. In previously undiagnosed cases, we had no
additional yield. CONCLUSION: This unique, intuitive and user-friendly tool to
assists medical doctors in the clinical genomics diagnostic process is openly
available at https://bioinformatics.ibioba-mpsp-conicet.gov.ar/GenIO/ .
PMID- 29374475
TI - Prediction of HIV-associated neurocognitive disorder (HAND) from three genetic
features of envelope gp120 glycoprotein.
AB - BACKGROUND: HIV-associated neurocognitive disorder (HAND) remains an important
and yet potentially underdiagnosed manifestation despite the fact that the modern
combination antiretroviral therapy (cART) has achieved effective viral
suppression and greatly reduced the incidence of life-threatening events.
Although HIV neurotoxicity is thought to play a central role, the potential of
viral genetic signature as diagnostic and/or prognostic biomarker has yet to be
fully explored. RESULTS: Using a manually curated sequence metadataset (80
specimens, 2349 sequences), we demonstrated that only three genetic features are
sufficient to predict HAND status regardless of sampling tissues; the accuracy
reached 100 and 94% in the hold-out testing subdataset and the entire dataset,
respectively. The three genetic features stratified HAND into four distinct
clusters. Extrapolating the classification to the 1619 specimens registered in
the Los Alamos HIV Sequence Database, the global HAND prevalence was estimated to
be 46%, with significant regional variations (30-71%). The R package
HANDPrediction was implemented to ensure public availability of key codes.
CONCLUSIONS: Our analysis revealed three amino acid positions in gp120
glycoprotein, providing the basis of the development of novel cART regimens
specifically optimized for HAND-associated quasispecies. Moreover, the classifier
can readily be translated into a diagnostic biomarker, warranting prospective
validation.
PMID- 29374476
TI - Extracellular vesicle-derived DNA for performing EGFR genotyping of NSCLC
patients.
AB - Tumor cells shed an abundance of extracellular vesicles (EVs) to body fluids
containing bioactive molecules including DNA, RNA, and protein. Investigations in
the field of tumor-derived EVs open a new horizon in understanding cancer biology
and its potential as cancer biomarkers as well as platforms for personalized
medicine. This study demonstrates that successfully isolated EVs from plasma and
bronchoalveolar lavage fluid (BALF) of non-small cell lung cancer (NSCLC)
patients contain DNA that can be used for EGFR genotyping through liquid biopsy.
In both plasma and BALF samples, liquid biopsy results using EV DNA show higher
accordance with conventional tissue biopsy compared to the liquid biopsy of
cfDNA. Especially, liquid biopsy with BALF EV DNA is tissue-specific and
extremely sensitive compared to using cfDNA. Furthermore, use of BALF EV DNA also
demonstrates higher efficiency in comparison to tissue rebiopsy for detecting
p.T790 M mutation in the patients who developed resistance to EGFR-TKIs. These
finding demonstrate possibility of liquid biopsy using EV DNA potentially
replacing the current diagnostic methods for more accurate, cheaper, and faster
results.
PMID- 29374477
TI - Epoetin beta pegol for treatment of anemia ameliorates deterioration of
erythrocyte quality associated with chronic kidney disease.
AB - BACKGROUND: Epoetin beta pegol (continuous erythropoietin receptor activator;
C.E.R.A.) is currently widely used for the treatment of anemia associated with
chronic kidney disease (CKD). Therapeutic control of anemia is assessed by
monitoring haemoglobin (Hb) levels. However, certain qualitative aspects of
erythrocytes are also impaired in CKD, including loss of deformability and
shortened life-span. Therefore, monitoring Hb alone could potentially fail to
reveal pathological changes in erythrocytes. Focusing on erythrocyte quality in
CKD may lead to more effective anemia therapy with C.E.R.A. METHODS: A CKD rat
model was induced by uninephrectomy followed by anti-Thy1.1 antibody injection.
From 5 weeks after the operation, C.E.R.A. (0.6 MUg/kg) or vehicle was
administered every 2 weeks. Erythrocyte deformability was quantified with
ektacytometry and erythrocyte turnover was estimated by biotin labeling.
Intracellular calcium level was assessed by Fluo-3/AM. RESULTS: Erythrocyte
deformability progressively declined in CKD rats. Furthermore, erythrocyte
turnover in the circulation drastically accelerated in CKD rats. With
administration of C.E.R.A. at a dose sufficient to adequately control Hb,
deterioration of erythrocyte deformability and turnover in CKD rats were
significantly improved. Intracellular calcium, which plays a pivotal role in the
mediation of erythrocyte quality, was significantly increased in CKD and was
normalized by C.E.R.A. TREATMENT: CONCLUSION: C.E.R.A. treatment exerted a
favorable effect not only on anemia but also on the improvement of erythrocyte
quality. C.E.R.A. administered for the treatment of CKD-associated anemia may
confer therapeutic benefits on erythrocytes.
PMID- 29374478
TI - Operation and challenges of home-based medical practices in the US: findings from
six aggregated case studies.
AB - BACKGROUND: Home-based primary care (HBPC) is a multidisciplinary, ongoing care
strategy that can provide cost-effective, in-home treatment to meet the needs of
the approximately four million homebound, medically complex seniors in the U.S.
Because there is no single model of HBPC that can be adopted across all types of
health organizations and U.S. geographic regions, we conducted a six-site HBPC
practice assessment to better understand different operation structures, common
challenges, and approaches to delivering HBPC. METHODS: Six practices varying in
size, care team composition and location agreed to participate. At each site we
conducted unstructured interviews with key informants and directly observed
practices and procedures in the field and back office. RESULTS: The aggregated
case studies revealed important issues focused on team composition, patient
characteristics, use of technology and urgent care delivery. Common challenges
across the practices included provider retention and unmet community demand for
home-based care services. Most practices, regardless of size, faced challenges
around using electronic medical records (EMRs) and scheduling systems not
designed for use in a mobile practice. Although many practices offered urgent
care, practices varied in the methods used to provide care including the use of
community paramedics and telehealth technology. CONCLUSIONS: Learnings compiled
from these observations can inform other HBPC practices as to potential best
practices that can be implemented in an effort to improve efficiency and
scalability of HBPC so that seniors with multiple chronic conditions can receive
comprehensive primary care services in their homes.
PMID- 29374479
TI - Determinants of adolescent pregnancy in sub-Saharan Africa: a systematic review.
AB - BACKGROUND: Adolescent pregnancy has been persistently high in sub-Saharan
Africa. The objective of this review is to identify factors influencing
adolescent pregnancies in sub-Saharan Africa in order to design appropriate
intervention program. METHODS: A search in MEDLINE, Scopus, Web of science, and
Google Scholar databases with the following keywords: determinants, factors,
reasons, sociocultural factors, adolescent pregnancy, unintended pregnancies, and
sub- Saharan Africa. Qualitative and cross-sectional studies intended to assess
factors influencing adolescent pregnancies as the primary outcome variable in sub
Saharan Africa were included. Our search was limited to, articles published from
the year 2000 to 2017 in English. Twenty-four (24) original articles met the
inclusion criteria. RESULTS: The study identified Sociocultural, environmental
and Economic factors (Peer influence, unwanted sexual advances from adult males,
coercive sexual relations, unequal gender power relations, poverty, religion,
early marriage, lack of parental counseling and guidance, parental neglect,
absence of affordable or free education, lack of comprehensive sexuality
education, non-use of contraceptives, male's responsibility to buy condoms, early
sexual debut and inappropriate forms of recreation). Individual factors
(excessive use of alcohol, substance abuse, educational status, low self-esteem,
and inability to resist sexual temptation, curiosity, and cell phone usage).
Health service-related factors (cost of contraceptives, Inadequate and unskilled
health workers, long waiting time and lack of privacy at clinics, lack of
comprehensive sexuality education, misconceptions about contraceptives, and non
friendly adolescent reproductive services,) as influencing adolescent pregnancies
in Sub-Saharan Africa CONCLUSION: High levels of adolescent pregnancies in Sub
Saharan Africa is attributable to multiple factors. Our study, however,
categorized these factors into three major themes; sociocultural and economic,
individual, and health service related factors as influencing adolescent
pregnancies. Community sensitization, comprehensive sexuality education and
ensuring girls enroll and stay in schools could reduce adolescent pregnancy
rates. Also, provision of adolescent-friendly health services in schools and
healthcare centers and initiating adolescent empowerment programs could have a
positive impact.
PMID- 29374480
TI - The challenges of interventions to promote healthier food in independent
takeaways in England: qualitative study of intervention deliverers' views.
AB - BACKGROUND: Much of the food available from takeaways, pubs and restaurants
particularly that sold by independent outlets, is unhealthy and its consumption
is increasing. These food outlets are therefore important potential targets for
interventions to improve diet and thus prevent diet related chronic diseases.
Local authorities in England have been charged with delivering interventions to
increase the provision of healthy food choices in independent outlets, but prior
research shows that few such interventions have been rigorously developed or
evaluated. We aimed to learn from the experiences of professionals delivering
interventions in independent food outlets in England to identify the operational
challenges and their suggestions for best practice. METHODS: We used one-to-one
semi-structured qualitative interviews to explore the views and experiences of
professionals who were either employees of, or contracted by, a local authority
to deliver interventions to increase the provision of healthier food choices in
independent food outlets. Purposive sampling was used to recruit a sample which
included men and women, from a range of professional roles, across different
areas of England. Interviews were informed by a topic guide, and proceeded until
no new themes emerged. Interviews were recorded, transcribed verbatim and
analysed using the Framework method. RESULTS: We conducted 11 individual
interviews. Participants focussed on independent takeaways and their unhealthy
food offerings, and highlighted the advantages and disadvantages of intervention
delivery methods, their evaluation and impact. The main barriers to
implementation of interventions in independent takeaways were identified as
limited funding and the difficulties of engaging the food outlet owner/manager.
Engagement was thought to be facilitated by delivering intensive, interactive and
tailored interventions, clear and specific information, and incentives, whilst
accounting for practical, primarily financial, constraints of food businesses.
Alternative intervention approaches, targeting suppliers or customers, were
suggested. CONCLUSIONS: Participants emphasised independent takeaways as
particularly challenging, but worthwhile intervention targets. Participants
perceived that interventions need to take account of the potentially challenging
operating environment, particularly the primacy of the profit motive. Upstream
interventions, engaging suppliers, as well as those that drive consumer demand,
may be worth exploring. Rigorous, evidence-informed development and evaluation of
such interventions is needed.
PMID- 29374481
TI - Use of health services according to income before and after elimination of
copayment in Germany and restriction of universal health coverage in Spain.
AB - BACKGROUND: In Germany copayment for medical consultation was eliminated in 2013,
and in Spain universal health coverage was partly restricted in 2012. This study
shows the relationship between income and the use of health services before and
after these measures in each country. METHODS: Data were taken from the 2009 and
2014 Socio-Economic Panel conducted in Germany, and from the 2009 and 2014
European Health Surveys in Spain. The health services investigated were physician
consultations and hospital admissions, and the measure of socioeconomic position
used was household income. The magnitude of the relationship between
socioeconomic position and the use of each health service in people from 16 to 74
years old was estimated by calculating the percentage ratio using binary
regression. RESULTS: In Germany, after adjusting for age, sex, and need for care,
in the model comparing the two lower income categories to the two higher
categories, the percentage ratio for physician consultation was 0.97 (95% CI 0.96
0.99) in 2009 and 0.98 (95% CI 0.97-0.99) in 2014, and the percentage ratio for
hospitalization was 1.01 (95% CI 0.93-1.10) in 2009 and 1.16 (95% CI 1.08-1.25)
in 2014. In Spain, after adjusting for age, sex, and self-rated health, the
percentage ratio for physician consultation was 0.99 (95% CI 0.94-1.05) in 2009
and 1.08 (95% CI 1.03-1.14) in 2014, and the percentage ratio for hospitalization
was 1.04 (95% CI 0.92-1.18) in 2009 and 0.99 (95% CI 0.87-1.14) in 2014.
CONCLUSION: The results suggest that elimination of the copayment in Germany did
not change the frequency of physician consultations, whereas after the
restriction of universal health coverage in Spain, subjects with lower incomes
had a higher frequency of physician consultations.
PMID- 29374482
TI - What is known about pathways to mental health care for Australian Aboriginal
young people?: a narrative review.
AB - OBJECTIVES: To (1) gain an understanding of current trajectories of Aboriginal
young people through the mental health care system in Australia; (2) summarize
what mental health care pathways have been developed or evaluated to guide mental
health care delivery for Aboriginal young people; and (3) identify barriers and
facilitators to the adoption of effective mental health care pathways for
Aboriginal young people. METHODS: Databases, including, AMED, Embase, Global
Health, Health and Psychosocial Instruments, Healthstar, MEDLINE, PsychINFO via
Ovid, CINAHL via EBSCO, The Cochrane Library, Indigenous Collections, Informit
and Health Systems Evidence, were searched to identify evidence concerning mental
health service delivery for Aboriginal young people in a primary care setting.
RESULTS: We did not identify any reports or publications explicitly describing
the current trajectories of Aboriginal young people through the mental health
care system in Australia. Furthermore, we were unable to locate any mental health
related treatment pathways which had been explicitly developed or modified to
meet the needs of Aboriginal young people. The use of appropriate assessment
tools, engagement of family and community, flexibility, and central coordination
have been identified in the literature as potential facilitators of culturally
appropriate mental health service delivery for Aboriginal children and
adolescents. CONCLUSIONS: Aboriginal children and adolescents may face additional
difficulties navigating the mental health care system in Australia due to complex
socio-cultural factors and the dearth of culturally appropriate and effective
mental-health related treatment pathways. Additional research regarding (1)
practice trends in Aboriginal settings and (2) how Aboriginal child and
adolescent mental health can be improved is urgently needed to inform clinical
practice and improve mental health service access and outcomes for Aboriginal
young people in Australia.
PMID- 29374483
TI - Engineering E. coli for simultaneous glucose-xylose utilization during methyl
ketone production.
AB - BACKGROUND: We previously developed an E. coli strain that overproduces medium
chain methyl ketones for potential use as diesel fuel blending agents or as
flavors and fragrances. To date, the strain's performance has been optimized
during growth with glucose. However, lignocellulosic biomass hydrolysates also
contain a substantial portion of hemicellulose-derived xylose, which is typically
the second most abundant sugar after glucose. Commercialization of the methyl
ketone-producing technology would benefit from the increased efficiency resulting
from simultaneous, rather than the native sequential (diauxic), utilization of
glucose and xylose. RESULTS: In this study, genetic manipulations were performed
to alleviate carbon catabolite repression in our most efficient methyl ketone
producing strain. A strain engineered for constitutive expression of xylF and
xylA (involved in xylose transport and metabolism) showed synchronized glucose
and xylose consumption rates. However, this newly acquired capability came at the
expense of methyl ketone titer, which decreased fivefold. Further efforts were
made to improve methyl ketone production in this strain, and we found that two
strategies were effective at enhancing methyl ketone titer: (1) chromosomal
deletion of pgi (glucose-6-phosphate isomerase) to increase intracellular NADPH
supply and (2) downregulation of CRP (cAMP receptor protein) expression by
replacement of the native RBS with an RBS chosen based upon mutant library
screening results. Combining these strategies resulted in the most favorable
overall phenotypes for simultaneous glucose-xylose consumption without
compromising methyl ketone titer at both 1 and 2% total sugar concentrations in
shake flasks. CONCLUSIONS: This work demonstrated a strategy for engineering
simultaneous utilization of C6 and C5 sugars in E. coli without sacrificing
production of fatty acid-derived compounds.
PMID- 29374484
TI - Distant and proximate factors associated with maternal near-miss: a nested case
control study in selected public hospitals of Addis Ababa, Ethiopia.
AB - BACKGROUND: Ethiopia is one of the sub-Saharan Africa countries with the highest
maternal mortality. Maternal near-misses are more common than deaths and
statistically stronger for a comprehensive analysis of the determinants. The
study aimed to identify the factors associated with maternal near-miss in
selected public hospitals of Addis Ababa, Ethiopia. METHODS: We conducted a
nested case-control study in five selected public hospitals of Addis Ababa,
Ethiopia from May 1, 2015 to April 30, 2016. Participants were interviewed by
well-trained data collectors using pre-tested questionnaire. Medical records were
also reviewed to gather relevant information. World Health Organization criteria
were used to identify maternal near-miss cases. A total of three controls matched
for age and study area was selected for each maternal near-miss case. Bivariate
and multivariable conditional logistic regressions were performed using Stata
version 13.0. RESULTS: A total of 216 maternal near-miss cases and 648 controls
were included in the study. The main factors associated with maternal near-miss
were: history of chronic hypertension (AOR = 10.80,95% CI; 5.16-22.60), rural
residency (AOR = 10.60,95% CI;4.59-24.46), history of stillbirth (AOR = 6.03,95%
CI;2.09-17.41), no antenatal care attendance (AOR = 5.58,95% CI;1.94-16.07) and
history of anemia (AOR = 5.26,95% CI;2.89-9.57). CONCLUSIONS: There is a need for
appropriate interventions in order to improve the identified factors. The factors
can be modified through a better access to medical and maternity care, scaling up
of antenatal care in rural areas, improve in infrastructure to fulfill referral
chain from primary level to secondary and tertiary health care levels, and health
education to pregnant women.
PMID- 29374485
TI - Fake facts and alternative truths in medical research.
AB - BACKGROUND: Fake news and alternative facts have become commonplace in these so
called "post-factual times." What about medical research - are scientific facts
fake as well? Many recent disclosures have fueled the claim that scientific facts
are suspect and that science is in crisis. Scientists appear to engage in facting
interests instead of revealing interesting facts. This can be observed in terms
of what has been called polarised research, where some researchers continuously
publish positive results while others publish negative results on the same issue
even when based on the same data. In order to identify and address this
challenge, the objective of this study is to investigate how polarised research
produce "polarised facts." Mammography screening for breast cancer is applied as
an example. MAIN BODY: The main benefit with mammography screening is the reduced
breast cancer mortality, while the main harm is overdiagnosis and subsequent
overtreatment. Accordingly, the Overdiagnosis to Mortality Reduction Ratio (OMRR)
is an estimate of the risk-benefit-ratio for mammography screening. As there are
intense interests involved as well as strong opinions in debates on mammography
screening, one could expect polarisation in published results on OMRR. A
literature search identifies 8 studies publishing results for OMRR and reveals
that OMRR varies 25-fold, from 0.4 to 10. Two experts in polarised research were
asked to rank the attitudes of the corresponding authors to mammography screening
of the identified publications. The results show a strong correlation between the
OMRR and the authors' attitudes to screening (R = 0.9). CONCLUSION: Mammography
screening for breast cancer appears as an exemplary field of strongly polarised
research. This is but one example of how scientists' strong professional
interests can polarise research. Instead of revealing interesting facts
researchers may come to fact interests. In order to avoid this and sustain trust
in science, researchers should disclose professional and not only financial
interests when submitting and publishing research.
PMID- 29374486
TI - Quality of care during childbirth in Tanzania: identification of areas that need
improvement.
AB - BACKGROUND: Making use of good, evidence based routines, for management of normal
childbirth is essential to ensure quality of care and prevent, identify and
manage complications if they occur. Two essential routine care interventions as
defined by the World Health Organization are the use of the Partograph and Active
Management of the Third Stage of Labour. Both interventions have been evaluated
for their ability to assist health providers to detect and deal with
complications. There is however little research about the quality of such
interventions for routine care. Qualitative studies can help to understand how
such complex interventions are implemented. This paper reports on findings from
an observation study on maternity wards in Tanzania. METHODS: The study took
place in the Lake Zone in Tanzania. Between 2014 and 2016 the first author
observed and participated in the care for women on maternity wards in four rural
and semi-urban health facilities. The data is a result of approximately 1300
hours of observations, systematically recorded primarily in observation notes and
notes of informal conversations with health providers, women and their families.
Detailed description of care processes were analysed using an ethnographic
analysis approach focused on the sequential relationship of the 'stages of
labour'. Themes were identified through identification of recurrent patterns.
RESULTS: Three themes were identified: 1) Women's movement between rooms during
birth, 2) health providers' assumptions and hope for a 'normal' birth, 3) fear of
poor outcomes that stimulates intervention during birth. Women move between
different rooms during childbirth which influences the care they receive. Few
women were monitored during their first stage of labour. Routine birth monitoring
appeared absent due to health providers 'assumptions and hope for good outcomes.
This was rooted in a general belief that most women eventually give birth without
problems and the partograph did not correspond with health providers' experience
of the birth process. Contextual circumstances also limited health worker ability
to act in case of complications. At the same time, fear for being held personally
responsible for outcomes triggered active intervention in second stage of labour,
even if there was no indication to intervene. CONCLUSIONS: Insufficient
monitoring leads to poor preparedness of health providers both for normal birth
and in case of complications. As a result both underuse and overuse of
interventions contribute to poor quality of care. Risk and complication
management have for many years been prioritized at the expense of routine care
for all women. Complex evaluations are needed to understand the current
implementation gaps and find ways for improving quality of care for all women.
PMID- 29374487
TI - Current trials for frontline therapy of mantle cell lymphoma.
AB - Mantle cell lymphoma (MCL) is a rare and incurable subtype of non-Hodgkin's
lymphoma that generally affects older individuals. However, the use of high-dose
therapy and autologous stem cell transplant has improved significantly the
prognosis of this hematological malignancy, but at the cost of increased
toxicities, such as acute toxic death and secondary malignancies. But thanks to a
rising understanding of the biology of MCL, the explosion of specifically
targeted new efficacious agents, immunotherapy agents, and cellular therapies in
the frontline setting, the prognosis of MCL is expected to improve
dramatically.The initial treatment of MCL is currently not standardized and the
therapeutic landscape of MCL is rapidly evolving. This review provides an
extensive overview of the current frontline therapy trials for MCL and presents
the results of innovative regimen, including some integrating novel agents and
desintensified chemotherapy.
PMID- 29374488
TI - Effects of dance on cognitive function among older adults: a protocol for
systematic review and meta-analysis.
AB - BACKGROUND: Cognitive impairment is characterized by problems in thinking,
memory, language, and judgment that are greater than cognitive changes in normal
aging. Considering the unprecedented growth of the older adult population and the
projected increase in the prevalence of cognitive impairment, it is imperative to
find effective strategies to improve or maintain cognitive function in older
adults. The objective of this review is to summarize the effects of dance versus
any other control group on cognitive function, physical function, adverse events,
and quality of life in older adults. METHOD: We will search the following
databases MEDLINE, EMBASE, and Cochrane Central Register of Controlled Trials
(CENTRAL) to identify the randomized controlled trials (RCTs) evaluating the
effects of dance on cognitive function among older adults. Also, we will search
http://apps.who.int/trialsearch , clinicaltrials.gov and conference abstracts to
identify ongoing and unpublished studies. There will be no restrictions on
language, date, or journal of publication. Reviewers will independently and in
duplicate screen for eligible studies using pre-defined criteria. Data extraction
from eligible studies will be performed independently and in duplicate. The
Cochrane risk of bias tool will be used to assess the risk of bias of studies.
Our primary outcome of interest is cognitive function, more specifically the
executive function domain. We will include other domains as well such as
processing speed and reaction time. Secondary outcomes of interest are physical
function. The secondary outcomes also include adverse events including falls and
quality of life. We will use Review Manager (RevMan 5.3) to pool the effect of
dance for each outcome where possible. Results will be presented as relative
risks along with 95% confidence intervals for dichotomous outcomes and as mean
differences, or standardized mean differences along with 95% confidence
intervals, for continuous outcomes. We will assess the certainty of the evidence
using the GRADE approach and present findings in a Summary of Findings table.
DISCUSSION: This systematic review, to our best knowledge the first-ever, will
synthesize the available evidence on the effects of dance on cognitive function
among older people. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42017057138.
PMID- 29374489
TI - Stress ulcer prophylaxis in intensive care unit patients receiving enteral
nutrition: a systematic review and meta-analysis.
AB - BACKGROUND: Pharmacologic stress ulcer prophylaxis (SUP) is recommended in
critically ill patients with high risk of stress-related gastrointestinal (GI)
bleeding. However, as to patients receiving enteral feeding, the preventive
effect of SUP is not well-known. Therefore, we performed a meta-analysis of
randomized controlled trials (RCTs) to evaluate the effect of pharmacologic SUP
in enterally fed patients on stress-related GI bleeding and other clinical
outcomes. METHODS: We searched PubMed, Embase, and the Cochrane database from
inception through 30 Sep 2017. Eligible trials were RCTs comparing pharmacologic
SUP to either placebo or no prophylaxis in enterally fed patients in the ICU.
Results were expressed as risk ratio (RR) and mean difference (MD) with
accompanying 95% confidence interval (CI). Heterogeneity, subgroup analysis,
sensitivity analysis and publication bias were explored. RESULTS: Seven studies
(n = 889 patients) were included. There was no statistically significant
difference in GI bleeding (RR 0.80; 95% CI, 0.49 to 1.31, p = 0.37) between
groups. This finding was confirmed by further subgroup analyses and sensitivity
analysis. In addition, SUP had no effect on overall mortality (RR 1.21; 95% CI,
0.94 to 1.56, p = 0.14), Clostridium difficile infection (RR 0.89; 95% CI, 0.25
to 3.19, p = 0.86), length of stay in the ICU (MD 0.04 days; 95% CI, -0.79 to
0.87, p = 0.92), duration of mechanical ventilation (MD -0.38 days; 95% CI, -1.48
to 0.72, p = 0.50), but was associated with an increased risk of hospital
acquired pneumonia (RR 1.53; 95% CI, 1.04 to 2.27; p = 0.03). CONCLUSIONS: Our
results suggested that in patients receiving enteral feeding, pharmacologic SUP
is not beneficial and combined interventions may even increase the risk of
nosocomial pneumonia.
PMID- 29374490
TI - Apple endophytic microbiota of different rootstock/scion combinations suggests a
genotype-specific influence.
AB - BACKGROUND: High-throughput amplicon sequencing spanning conserved portions of
microbial genomes (16s rRNA and ITS) was used in the present study to describe
the endophytic microbiota associated with three apple varieties, "Royal Gala,"
"Golden Delicious," and "Honey Crisp," and two rootstocks, M.9 and M.M.111. The
objectives were to (1) determine if the microbiota differs in different
rootstocks and apple varieties and (2) determine if specific rootstock-scion
combinations influence the microbiota composition of either component. RESULTS:
Results indicated that Ascomycota (47.8%), Zygomycota (31.1%), and Basidiomycota
(11.6%) were the dominant fungal phyla across all samples. The majority of
bacterial sequences were assigned to Proteobacteria (58.4%), Firmicutes (23.8%),
Actinobacteria (7.7%), Bacteroidetes (2%), and Fusobacteria (0.4%). Rootstocks
appeared to influence the microbiota of associated grafted scion, but the effect
was not statistically significant. Pedigree also had an impact on the composition
of the endophytic microbiota, where closely-related cultivars had a microbial
community that was more similar to each other than it was to a scion cultivar
that was more distantly-related by pedigree. The more vigorous rootstock
(M.M.111) was observed to possess a greater number of growth-promoting bacterial
taxa, relative to the dwarfing rootstock (M.9). CONCLUSIONS: The mechanism by
which an apple genotype, either rootstock or scion, has a determinant effect on
the composition of a microbial community is not known. The similarity of the
microbiota in samples with a similar pedigree suggests the possibility of some
level of co-evolution or selection as proposed by the "holobiont" concept in
which metaorganisms have co-evolved. Clearly, however, the present information is
only suggestive, and a more comprehensive analysis is needed.
PMID- 29374491
TI - Prosthetic energy return during walking increases after 3 weeks of adaptation to
a new device.
AB - BACKGROUND: There are many studies that have investigated biomechanical
differences among prosthetic feet, but not changes due to adaptation over time.
There is a need for objective measures to quantify the process of adaptation for
individuals with a transtibial amputation. Mechanical power and work profiles are
a primary focus for modern energy-storage-and-return type prostheses, which
strive to increase energy return from the prosthesis. The amount of energy a
prosthesis stores and returns (i.e., negative and positive work) during stance is
directly influenced by the user's loading strategy, which may be sensitive to
alterations during the course of an adaptation period. The purpose of this study
was to examine changes in lower limb mechanical work profiles during walking
following a three-week adaptation to a new prosthesis. METHODS: A retrospective
analysis was performed on 22 individuals with a unilateral transtibial
amputation. Individuals were given a new prosthesis at their current mobility
level (K3 or above) and wore it for three weeks. Kinematic and kinetic measures
were recorded from overground walking at 0, 1.5, and 3 weeks into the adaptation
period at a self-selected pace. Positive and negative work done by the prosthesis
and sound ankle-foot were calculated using a unified deformable segment model and
a six-degrees-of-freedom model for the knee and hip. RESULTS: Positive work from
the prosthesis ankle-foot increased by 6.1% and sound ankle-foot by 5.7% after 3
weeks (p = 0.041, 0.036). No significant changes were seen in negative work from
prosthesis or sound ankle-foot (p = 0.115, 0.192). There was also a 4.1% increase
in self-selected walking speed after 3 weeks (p = 0.038). Our data exhibited
large inter-subject variations, in which some individuals followed group trends
in work profiles while others had opposite trends in outcome variables.
CONCLUSIONS: After a 3-week adaptation, 14 out of 22 individuals with a
transtibial amputation increased energy return from the prosthesis. Such findings
could indicate that individuals may better utilize the spring-like function of
the prosthesis after an adaptation period.
PMID- 29374492
TI - Prediction of opioid dose in cancer pain patients using genetic profiling: not
yet an option with support vector machine learning.
AB - OBJECTIVE: Use of opioids for pain management has increased over the past decade;
however, inadequate analgesic response is common. Genetic variability may be
related to opioid efficacy, but due to the many possible combinations and
variables, statistical computations may be difficult. This study investigated
whether data processing with support vector machine learning could predict
required opioid dose in cancer pain patients, using genetic profiling. Eighteen
single nucleotide polymorphisms (SNPs) within the u and delta opioid receptor
genes and the catechol-O-methyltransferase gene were selected for analysis.
RESULTS: Data from 1237 cancer pain patients were included in the analysis.
Support vector machine learning did not find any associations between the
assessed SNPs and opioid dose in cancer pain patients, and hence, did not provide
additional information regarding prediction of required opioid dose using genetic
profiling.
PMID- 29374493
TI - Over-expression of oncigenic pesudogene DUXAP10 promotes cell proliferation and
invasion by regulating LATS1 and beta-catenin in gastric cancer.
AB - BACKGROUND: Recently, the pesudogenes have emerged as critical regulators in
human cancers tumorigenesis and progression, and been identified as a key
revelation in post-genomic biology. However, the expression pattern, biological
function and mechanisms responsible for these molecules in human gastric cancer
(GC) are not fully understood. METHODS: In this study, we globally assessed the
transcriptomic differences of pesudogenes in gastric cancer using publicly
available microarray data. DUXAP10 expression levels in GC tissues and cells was
detected using quantitative real-time PCR (qPCR). DUXAP10 siRNAs and over
expression vector were transfected into GC cells to down-regulate or up-regulate
DUXAP10 expression. Loss- and gain-of function assays were performed to
investigate the role of DUXAP10 in GC cells cell proliferation, and invasion.
RIP, RNA pulldown, and ChIP assays were used to determine the mechanism of
DUXAP10's regulation of underlying targets. RESULTS: The pesudogene DUXAP10 is
the only pseudogene that significantly over-expressed in all four GEO datasets,
and frequently over-expressed in many other cancers including Liver
Hepatocellular carcinoma, Bladder cancer, and Esophageal Cancer. High DUXAP10
expression is associated with GC patients poor prognosis, and knockdown of
DUXAP10 significantly inhibits cells proliferation, migration and invasion in GC.
Mechanistic investigation shows that DUXAP10 can interact with PRC2 and LSD1 to
repress LATS1 expression at transcriptional level, and bind with HuR to maintain
the stability of beta-catenin mRNA and increase its protein levels at post
transcriptional level. CONCLUSIONS: Overall, our findings illuminate how
increased DUXAP10 confers an oncogenic function in GC development and progression
that may serve as a candidate prognostic biomarker and target for clinical
management of GC.
PMID- 29374494
TI - Inconsistencies in the drawing and interpretation of smiley faces: an
observational study.
AB - OBJECTIVES: Pre-prepared smiley face symbols are used widely to gather
information on, for example, satisfaction with services or health and well-being.
We investigated how women and men of different ages respond when asked to draw a
smiley face for themselves. Our objectives were to investigate how they differ by
generating a unique set of data to explore this simple human behaviour and to
illustrate the importance of considering gender and age mix in any study.
RESULTS: We collected 723 drawings, in a variety of settings. Gender and age were
provided for 676 drawings (women: 511; men: 165; <= 30 years: 335; > 30 years:
341). Although similar proportions of women and men drew some features, such as
closed mouths; women and those aged <= 30 were less likely to draw noses and
outlines around the faces, and more likely to draw a classic smiley face. Our
analyses provide a novel way to highlight that whenever self-reported outcomes
are compared between groups, the group composition for characteristics such as
gender and age may need to be considered carefully to explore whether differences
in outcomes might simply arise from imbalances in those characteristics.
PMID- 29374495
TI - Long term substrate reduction therapy with ezetimibe alone or associated with
statins in three adult patients with lysosomal acid lipase deficiency.
AB - BACKGROUND: Lysosomal acid lipase deficiency is an autosomal recessive metabolic
disease with a wide range of severity from Wolman Disease to Cholesterol Ester
Storage Disease. Recently enzyme replacement therapy with sebelipase alpha has
been approved by drug agencies for treatment of this lysosomal disease. Ezetimibe
is an azetidine derivative which blocks Niemann Pick C1-Like 1 Protein; as its
consequence, plasmatic concentration of low density lipoproteins and other apoB
containing lipoproteins, that are the substrate of lysosomal acid lipase, are
decreased. Furthermore, ezetimibe acts by blocking inflammasome activation which
is the cause of liver fibrosis in steatohepatitis and in lysosomal storage
diseases. RESULTS: Two patients with Cholesterol Ester Storage Disease were
treated with ezetimibe for 9 years and a third patients for 10 years. Treatment
was supplemented with low dose of atorvastatin in the first two patients during
the last 6 years. All patients showed a significant reduction of alanine
aminotransferase, cholesterol and triglyceride. Furthermore, no progression of
liver fibrosis was demonstrated. CONCLUSION: In this observational case series,
ezetimibe is effective, safe, and sustainable treatment for lysosomal acid lipase
deficiency. Further studies are warranted to demonstrate that ezetimibe is an
alternative therapy to enzyme replacement therapy.
PMID- 29374496
TI - Metagenomic investigation of vestimentiferan tubeworm endosymbionts from Mid
Cayman Rise reveals new insights into metabolism and diversity.
AB - BACKGROUND: The microbial endosymbionts of two species of vestimentiferan
tubeworms (Escarpia sp. and Lamellibrachia sp.2) collected from an area of low
temperature hydrothermal diffuse vent flow at the Mid-Cayman Rise (MCR) in the
Caribbean Sea were characterized using microscopy, phylogenetic analyses, and a
metagenomic approach. RESULTS: Bacteria, with a typical Gram negative cell
envelope contained within membrane-bound vacuoles, were observed within the
trophosome of both tubeworm species. Phylogenetic analysis of the 16S rRNA gene
and ITS region suggested MCR individuals harbored highly similar endosymbionts
that were > 98% identical, with the exception of two symbionts that showed a 60
bp insertion within the ITS region. All sequences from MCR endosymbionts formed a
separate well-supported clade that diverged from those of symbionts of seep and
vent vestimentiferans from the Pacific, Gulf of Mexico, and Mediterranean Sea.
The metagenomes of the symbionts of two specimens of each tubeworm species were
sequenced, and two distinct Gammaproteobacteria metagenome-assembled genomes
(MAGs) of more than 4 Mbp assembled. An Average Nucleotide Identity (ANI) of
86.5% between these MAGs, together with distinct 16S rRNA gene and ITS sequences,
indicate the presence of multiple endosymbiont phylotypes at the MCR, with one
MAG shared between one Escarpia and two Lamellibrachia individuals, indicating
these endosymbionts are not specific to either host species. Genes for sulfur and
hydrogen oxidation, nitrate reduction (assimilatory and dissimilatory),
glycolysis and the Krebs cycle, peptide, sugar, and lipid transporters, and both
rTCA and CBB carbon fixation cycles were detected in the MAGs, highlighting key
and shared functions with symbiont metagenomes of the vestimentiferans Riftia,
Tevnia, and Ridgeia from the Pacific. The potential for a second hydrogen
oxidation pathway (via a bidirectional hydrogenase), formate dehydrogenase, a
catalase, and several additional peptide transporters were found exclusively in
the MCR endosymbiont MAGs. CONCLUSIONS: The present study adds new evidence that
tubeworm endosymbionts can potentially switch from autotrophic to heterotrophic
metabolism, or may be mixotrophic, presumably while free-living, and also
suggests their versatile metabolic potential may enable both the host and
symbionts to exploit a wide range of environmental conditions. Together, the
marked gene content and sequence dissimilarity at the rRNA operon and whole
genome level between vent and seep symbionts suggest these newly described
endosymbionts from the MCR belong to a novel tubeworm endosymbiont genera,
introduced as Candidatus Vondammii.
PMID- 29374497
TI - Implementing measurement based care in community mental health: a description of
tailored and standardized methods.
AB - OBJECTIVE: Although tailored implementation methods are touted as superior to
standardized, few researchers have directly compared the two and little guidance
regarding the specific details of each method exist. Our study compares these
methods in a dynamic cluster randomized trial seeking to optimize implementation
of measurement based care (MBC) for depression in community behavioral health.
This specific manuscript provides a detailed, replicable account of the
components of each multi-faceted implementation method. RESULTS: The standardized
best practice method includes training, consultation, a clinical guideline, and
electronic health record enhancements with the goal to optimize the delivery of
MBC with fidelity. Conversely, the tailored, customized and collaborative method
is informed by recent implementation science advancements and begins with a needs
assessment, followed by tailored training that feeds back barriers data to
clinicians, the formation of an implementation team, a clinician-driven clinic
specific guideline, and the use of fidelity data to inform implementation team
activities; the goal of the tailored condition is to ensure the intervention and
implementation strategies address unique factors of the context. The description
of these methods will inform others seeking to implement MBC, as well as those
planning to use standardized or tailored implementation methods for interventions
beyond behavioral health.
PMID- 29374499
TI - Long-term efficacy of standardised specific subcutaneous immunotherapy in
children with persistent allergic rhinitis due to multiple allergens including
house dust mites.
AB - OBJECTIVES: To observe the five-year efficacy of standardised specific
subcutaneous immunotherapy for house dust mite allergy in monosensitised and
polysensitised children with persistent allergic rhinitis. METHODS: From January
2007 to August 2009, 236 children with persistent allergic rhinitis were divided
into 2 groups: 1 group received standardised specific subcutaneous immunotherapy
using house dust mite extract; the other received pharmacotherapy with intranasal
corticosteroids and oral antihistamines. A total of 193 patients (106 in the
immunotherapy group and 87 in the pharmacotherapy group) completed treatment.
Scores for symptoms, total medication and quality of life were evaluated.
RESULTS: The subcutaneous immunotherapy group demonstrated a significant
reduction in visual analogue scale scores, Rhinoconjunctivitis Quality of Life
Questionnaire scores and total medication scores (p 0.05). No serious adverse
events occurred. CONCLUSION: Standardised subcutaneous immunotherapy has long
term efficacy for children with persistent allergic rhinitis. Single-allergen
subcutaneous immunotherapy was appropriate for allergic rhinitis caused by
multiple allergens, including house dust mites, in the paediatric population.
PMID- 29374500
TI - Headache and Papilledema in Guillain-Barre Syndrome.
PMID- 29374498
TI - Transfers from intensive care unit to hospital ward: a multicentre textual
analysis of physician progress notes.
AB - BACKGROUND: Little is known about documentation during transitions of patient
care between clinical specialties. Therefore, we examined the focus, structure
and purpose of physician progress notes for patients transferred from the
intensive care unit (ICU) to hospital ward to identify opportunities to improve
communication breaks. METHODS: This was a prospective cohort study in ten
Canadian hospitals. We analyzed physician progress notes for consenting adult
patients transferred from a medical-surgical ICU to hospital ward. The number,
length, legibility and content of notes was counted and compared across care
settings using mixed-effects linear regression models accounting for clustering
within hospitals. Qualitative content analyses were conducted on a stratified
random sample of 32 patients. RESULTS: A total of 447 patient medical records
that included 7052 progress notes (mean 2.1 notes/patient/day 95% CI 1.9-2.3)
were analyzed. Notes written by the ICU team were significantly longer than notes
written by the ward team (mean lines of text 21 vs. 15, p < 0.001). There was a
discrepancy between documentation of patient issues in the last ICU and first
ward notes; mean agreement of patient issues was 42% [95% CI 31-53%]. Qualitative
analyses identified eight themes related to focus (central point - e.g., problem
list), structure (organization, - e.g., note-taking style), and purpose
(intention - e.g., documentation of patient course) of the notes that varied
across clinical specialties and physician seniority. CONCLUSIONS: Important gaps
and variations in written documentation during transitions of patient care
between ICU and hospital ward physicians are common, and include discrepancies in
documentation of patient information.
PMID- 29374501
TI - Pulmonary Embolism in Ischemic Stroke.
AB - Silent pulmonary embolism (PE) may be associated with acute ischemic stroke
(AIS). We identified 10 patients from 3,132 unique patients (3,431 CT scans). We
retrospectively examined CT angiogram of patients with AIS to determine the
frequency of concurrent PE in AIS. The period prevalence of PE was 0.32. Seven
patients had concurrent PE, whereas three had PE diagnosed 2 days after their AIS
presentation. We suspected paradoxical embolism via patent foramen ovale as the
cause of stroke in three patients and thrombophilia in four patients. Seven
patients had poor outcome including four deaths. CT angiogram stroke protocol
images from aortic arch to vertex allows visualization of upper pulmonary
arteries and PE detection in AIS.
PMID- 29374502
TI - Changes in renal hemodynamics of undernourished fetuses appear earlier than IUGR
evidences.
AB - The present study used a sheep model of intrauterine growth restriction,
combining maternal undernutrition and twinning, to determine possible markers of
early damage to the fetal kidney. The occurrence of early deviations in fetal
hemodynamics which may be indicative of changes in blood perfusion was assessed
by Doppler ultrasonography. A total of 24 sheep divided in two groups were fed
with the same standard grain-based diet but fulfilling either their daily
maintenance requirements for pregnancy (control group; n=12, six singleton and
six twin pregnancies) or only the 50% of such quantity (food-restricted group;
n=12; four singleton and eight twin pregnancies). All the fetuses were assessed
by both B-mode and Doppler ultrasonography at Day 115 of pregnancy. Fetal blood
supply was affected by maternal undernutrition, although there were still no
evidences of brain-sparing excepting in fetuses at greatest challenge (twins in
underfed pregnancies). However, there were early changes in the blood supply to
the kidneys of underfed fetuses and underfed twins evidenced decreases in kidney
size.
PMID- 29374503
TI - Alcohols as Surface Disinfectants in Healthcare Settings.
AB - Isopropyl alcohol and ethyl alcohol have been used as low-level disinfectants in
healthcare settings for many years. Recent studies have found that ethyl alcohol
inhibits protein synthesis in Escherichia coli by direct effects on ribosomes and
RNA polymerase and that 60%-70% solutions have in vitro efficacy against murine
norovirus, Ebola virus, and several coronaviruses. Alcohol prep pads or
towelettes containing isopropyl or ethyl alcohol and water have been used
primarily for disinfection of small noncritical items due to a concern regarding
their rapid evaporation rates and associated short contact times. Sterile alcohol
solutions are used mostly for disinfection of compounding pharmacies and
controlled areas. One new Environmental Protection Agency (EPA)-registered
cleaner/disinfectant formulation differs from other alcohol-based disinfectants
by virtue of having a 30-second contact time for multiple pathogens and a
toxicity rating of category IV. Multiple disinfectants containing ethyl alcohol
and/or isopropyl alcohol combined with other active agents such as quaternary
ammonium or phenolic compounds are widely used for disinfecting environmental
surfaces in healthcare facilities. Infect Control Hosp Epidemiol 2018;39:323-328.
PMID- 29374504
TI - The Role of Negative Methicillin-Resistant Staphylococcus aureus Nasal
Surveillance Swabs in Predicting the Need for Empiric Vancomycin Therapy in
Intensive Care Unit Patients.
AB - OBJECTIVES The role of methicillin-resistant Staphylococcus aureus (MRSA) nasal
surveillance swabs (nasal swabs) in guiding decisions about prescribing
vancomycin is unclear. We aimed to determine the likelihood that patients with
negative MRSA nasal swabs develop subsequent MRSA infections; to assess avoidable
vancomycin days for patients with negative nasal swabs; and to identify risk
factors for having a negative nasal swab and developing a MRSA infection during
the intensive care unit (ICU) stay. METHODS This retrospective cohort study was
conducted in 6 ICUs at a tertiary-care hospital from December 2013 through June
2015. The negative predictive value (NPV), defined as the ability of a negative
nasal swab to predict no subsequent MRSA infection, was calculated. Days of
vancomycin continued or restarted after 3 days from the collection time of the
first negative nasal swab were determined. A matched case-control study
identified risk factors for having a negative nasal swab and developing MRSA
infection. RESULTS Of 11,441 patients with MRSA-negative nasal swabs, the rate of
subsequent MRSA infection was 0.22%. A negative nasal swab had a NPV of 99.4%
(95% confidence interval [CI], 99.1%-99.6%). Vancomycin was continued or started
after nasal swab results were available in 1,431 patients, translating to 7,364
vancomycin days. No risk factors associated with MRSA infection were identified.
CONCLUSIONS In our hospital with a low prevalence of MRSA transmission, a
negative MRSA nasal swab was helpful in identifying patients with low risk of
MRSA infection in whom empiric vancomycin therapy could be stopped and in whom
the subsequent initiation of vancomycin therapy during an ICU admission could be
avoided. Infect Control Hosp Epidemiol 2018;39:290-296.
PMID- 29374505
TI - An environmental scan of academic pediatric emergency medicine at Canadian
medical schools: Identifying variability across Canada.
AB - OBJECTIVE: To complement our environmental scan of academic emergency medicine
departments, we conducted a similar environmental scan of the academic pediatric
emergency medicine programs offered by the Canadian medical schools. METHODS: We
developed an 88-question form, which was distributed to pediatric academic
leaders at each medical school. The responses were validated via email to ensure
that the questions were answered completely and consistently. RESULTS: Fourteen
of the 17 Canadian medical schools have some type of pediatric emergency medicine
academic program. None of the pediatric emergency medicine units have full
departmental status, while nine are divisions, two are sections, and three have
no status. Canadian academic pediatric emergency medicine is practised at 13
major teaching hospitals and one specialized pediatric emergency department.
There are 394 pediatric emergency medicine faculty members, including 13 full
professors and 64 associate professors. Eight sites regularly take pediatric
undergraduate clinical clerks, and all 14 provide resident education. Fellowship
training is offered at 10 sites, with five offering advanced pediatric emergency
medicine fellowship training. Half of the sites have at least one physician with
a Master's degree in education, totalling 18 faculty members across Canada. There
are 31 clinical researchers with salary support at nine universities. Eleven
sites have published peer-reviewed papers (n=423) in the past five years, ranging
from two to 102 per site. Annual academic budgets range from $10,000 to
$2,607,515. CONCLUSIONS: This comprehensive review of academic activities in
pediatric emergency medicine across Canada identifies the variability across the
country, including the recognition of sites above and below the national average,
which may prompt change at individual sites. Sharing these academic practices may
inspire sites to provide more support to teachers, educators, and researchers.
PMID- 29374506
TI - Nepal's Health Facility Operation and Management Committees: exploring community
participation and influence in the Dang district's primary care clinics.
AB - : AimTo describe community representation in Nepal's Health Facility Operation
and Management Committees (HFMCs) and the degree of influence of community
representatives in the HFMC decision-making processes. BACKGROUND: Community
participation has been recognised as one of the key components for the successful
implementation of primary health care (PHC) strategies, following the 1978
Declaration of Alma-Ata. In low- and middle-income countries (LMICs), HFMCs are
now widely considered as a mechanism to increase community participation in
health through community representation. There is some research examining the
implementation process, impact and factors affecting the effectiveness of HFMCs.
Despite the documented evidence of the importance of factors such as adequate
representation, links with wider community, and decision-making power, there is
limited evidence about the nature of community representation and degree of
decision making within HFMCs in the PHC setting, particularly in LMICs. METHODS:
Qualitative interviews with 39 key informants were held to explore different
aspects of community representation in HFMCs, and the influence of the HFMC on
health facility decision-making processes. In addition, a facility audit at 22
facilities and review of HFMC meeting minutes at six health facilities were
conducted.FindingsThere were Dalit (a marginalised caste) and Janajati (an ethnic
group) representations in 77% and 100% of the committees, respectively. Likewise,
there were at least two female members in each committee. However, the HFMC
member selection process and decision making within the committees were
influenced by powerful elites. The degree of participation through HFMCs appeared
to be at the 'Manipulation' and 'Informing' stage of Arnstein's ladder of
participation. In conclusion, despite representation of the community on HFMCs,
the depth of participation seems low. There is a need to ensure a democratic
selection process of committee members; and to expand the depth of participation.
PMID- 29374507
TI - EBI2 regulates pro-inflammatory signalling and cytokine release in astrocytes.
AB - The endogenous oxysterol 7alpha, 25-dihydroxycholesterol (7alpha25HC) ligand
activates the G protein-coupled receptor EBI2 to regulate T cell-dependant
antibody response and B cell migration. We have demonstrated that EBI2 is
expressed in human and mouse astrocytes, that 7alpha25HC induces intracellular
signalling and astrocyte migration, and that EBI2 plays a role in the crosstalk
between astrocytes and macrophages. Recently, we demonstrate that EBI2 regulates
myelin development and inhibits LPC-induced demyelination. Here, we show that
7alpha25HC inhibits LPS- and IL17/TNF-induced pro-inflammatory cytokine release
in astrocytes. We observe the following: 1. Human astrocytes treated with
IL17/TNF increases the nuclear translocation of NFkappaB, which is attenuated by
pre-treatment with 7alpha25HC; 2. IL17/TNF increases cell impedance in human
astrocytes, which is also attenuated by pre-treatment with 7alpha25HC; 3. The
EBI2 antagonist NIBR189 inhibits these effects of 7alpha25HC, supporting the role
of EBI2; 4. in vivo data corroborate these in vitro findings, showing that EBI2
knock-out (KO) animals display enhanced pro-inflammatory cytokine in response to
LPS challenge, in the brain. These results demonstrate a role for oxysterol/EBI2
signalling in attenuating the response of astrocytes to pro-inflammatory signals
as well as limiting the levels of pro-inflammatory cytokines in the brain.
PMID- 29374508
TI - Re-engineering and evaluation of anti-DNA autoantibody 3E10 for therapeutic
applications.
AB - A key challenge in the development of novel chemotherapeutics is the design of
molecules capable of selective toxicity to cancer cells. Antibodies have greater
target specificity compared to small molecule drugs, but most are unable to
penetrate cells, and predominantly target extracellular antigens. A nuclear
penetrating anti-DNA autoantibody isolated from the MRL/lpr lupus mouse model,
3E10, preferentially localizes to tumors, inhibits DNA repair, and selectively
kills cancer cells with defects in DNA repair. A murine divalent single chain
variable fragment of 3E10 with mutations for improved DNA binding affinity, 3E10
(D31N) di-scFv, has previously been produced in P. pastoris and yielded promising
pre-clinical findings, but is unsuitable for clinical testing. The present study
reports the design, expression and testing of a panel of humanized 3E10 (D31N) di
scFvs, some of which contain CDR substitution. These variants were expressed in a
modified CHO system and evaluated for their physicochemical attributes and
ability to penetrate nuclei to selectively cause DNA damage accumulation in and
kill cancer cells with DNA repair defects. Secondary structure was conserved and
most variants retained the key characteristics of the murine 3E10 (D31N) di-scFv
produced in P. pastoris. Moreover, several variants with CDR substitutions
outperformed the murine prototype. In conclusion, we have designed several
humanized variants of 3E10 (D31N) di-scFv that have potential for application as
monotherapy or conjugates for targeted nuclear drug delivery.
PMID- 29374509
TI - The level of decoy epitope in PCV2 vaccine affects the neutralizing activity of
sera in the immunized animals.
AB - Viral pathogens have evolved a wide range of tactics to evade host immune
responses and thus propagate effectively. One efficient tactic is to divert host
immune responses toward an immunodominant decoy epitope and to induce non
neutralizing antibodies toward this epitope. Therefore, it is expected that the
amount of decoy epitope in a subunit vaccine can affect the level of neutralizing
antibody in an immunized animal. In this study, we tested this hypothesis by
generating an antibody specific to the decoy epitope on the capsid protein of
porcine circovirus type 2 (PCV2). Using this antibody, we found that two
commercial vaccines contained statistically different amounts of the decoy
epitope. The vaccine with lower levels of decoy epitope induced a significantly
higher level of neutralizing antibody after immunization. This antibody can be
used as an analytical tool to monitor the quality of a vaccine from batch to
batch.
PMID- 29374510
TI - Plasma fatty acyl-carnitines during 8 weeks of overfeeding: relation to diet
energy expenditure and body composition: the PROOF study.
AB - OBJECTIVE: Overfeeding is a strategy for evaluating the effects of excess energy
intake. In this secondary analysis we tested the possibility that different
levels of dietary protein might differentially modify the response of fatty acyl
carnitines to overfeeding. METHODS: Twenty-three healthy adult men and women were
overfed by 40% for 8 weeks while in-patients with diets containing 5% (LPD), 15%
(NPD) or 25% (HPD) protein. Plasma fatty acyl-carnitines were measured by gas
chromatography/mass spectrometry (GC/MS) at baseline and after 8 weeks of
overfeeding. Measurements included: body composition by DXA, energy expenditure
by ventilated hood and doubly-labeled water, fat cell size from subcutaneous fat
biopsies, and fat distribution by CT scan. RESULTS: Analysis was done on 5 groups
of fatty acyl-carnitines identified by principal components analysis and 6
individual short-chain fatty acyl carnitines. Higher protein intake was
associated with significantly lower 8 week levels of medium chain fatty acids and
C2, C4-OH and C 6:1, but higher values of C3 and C5:1 acyl-carnitines derived
from essential amino acids. In contrast energy and fat intake were only weakly
related to changes in fatty acyl-carnitines. A decease or smaller rise in 8 week
medium chain acyl-carnitines was associated with an increase in sleeping energy
expenditure (P = 0.0004), and fat free mass (P < 0.0001) and a decrease in free
fatty acid concentrations (FFA) (P = 0.0067). In contrast changes in short-chain
fatty acyl-carnitines were related to changes in resting energy expenditure (P =
0.0026), and fat free mass (P = 0.0007), and C4-OH was positively related to FFA
(P = 0006). CONCLUSION: Protein intake was the major factor influencing changes
in fatty acyl carnitines during overfeeding with higher values of most acyl-fatty
acids on the low protein diet. The association of dietary protein and fat intake
may explain the changes in energy expenditure and metabolic variables resulting
in the observed patterns of fatty acyl carnitines.
PMID- 29374512
TI - Replacement of a Stenotic Quadricuspid Aortic Valve With a Sutureless Prosthesis.
AB - Quadricuspid aortic valve is a rare occurrence, usually presenting with
insufficiency rather than stenosis. We report an aortic valve replacement in a
septuagenarian with a stenotic quadricuspid aortic valve, achieving a good result
with a Perceval (Sorin Biomedica Cardio Srl, Sallugia, Italy) sutureless
bioprosthesis. We emphasize the particular technique of implantation and possible
pitfalls. Sutureless bioprosthetic valves present a feasible option for aortic
valve replacement in patients with uncommon valve morphologies, aiming to reduce
operative time.
PMID- 29374511
TI - Amiodarone Protocol Provides Cost-Effective Reduction in Postoperative Atrial
Fibrillation.
AB - BACKGROUND: Postoperative atrial fibrillation (POAF) after cardiac operations
results in a significant increase in morbidity, mortality, and health care costs.
Prophylactic amiodarone has been shown to reduce the incidence of POAF; however,
the cost-effectiveness of a protocol-driven approach remains unknown. METHODS:
All patients with a Society of Thoracic Surgeons risk score enrolled in a
prophylactic amiodarone protocol (n = 153) were propensity score matched 1:3 with
patients before protocol implementation (n = 3,574). Multivariate logistic and
linear regressions assessed the relative risks (POAF reduction and adverse
medication effects) in the matched cohort of amiodarone therapy and costs,
respectively. TreeAge cost-effectiveness software (TreeAge Software, Inc,
Williamstown, MA) modeled the effects of prophylactic amiodarone costs,
complication rates, and quality of life. RESULTS: Of patients eligible for the
prophylactic amiodarone protocol, 94.3% (281 of 298) were enrolled. Prophylactic
amiodarone significantly reduced the rate of POAF (25.7% vs 16.8%, p < 0.0001). A
total of 600 matched patients demonstrate no baseline differences in
demographics, comorbidities, disease state, or operative factors, with a
significant reduction in POAF without an increase in other associated
complications. With the use these adjusted estimates, the prophylactic amiodarone
protocol demonstrated a cost savings of $458 per patient. Sensitivity analysis
confirmed the protocol is cost-effective for all protocol-related POAF risk
reductions below an odds ratio of 0.726. CONCLUSIONS: Implementation of a
prophylactic amiodarone protocol significantly reduced risk-adjusted rates of
POAF, with a cost savings of $458 per patient. This analysis demonstrates how
rigorous quantitative analysis can evaluate the benefits of quality improvement
projects.
PMID- 29374513
TI - Screening for Lung Cancer: CHEST Guideline and Expert Panel Report.
AB - BACKGROUND: Low-dose chest CT screening for lung cancer has become a standard of
care in the United States in the past few years, in large part due to the results
of the National Lung Screening Trial. The benefit and harms of low-dose chest CT
screening differ in both frequency and magnitude. The translation of a favorable
balance of benefit and harms into practice can be difficult. Here, we update the
evidence base for the benefit, harms, and implementation of low radiation dose
chest CT screening. We use the updated evidence base to provide recommendations
where the evidence allows, and statements based on experience and expert
consensus where it does not. METHODS: Approved panelists developed key questions
using the PICO (population, intervention, comparator, and outcome) format to
address the benefit and harms of low-dose CT screening, as well as key areas of
program implementation. A systematic literature review was conducted by using
MEDLINE via PubMed, Embase, and the Cochrane Library. Reference lists from
relevant retrievals were searched, and additional papers were added. The quality
of the evidence was assessed for each critical or important outcome of interest
using the GRADE (Grading of Recommendations, Assessment, Development, and
Evaluation) approach. Important clinical questions were addressed based on the
evidence developed from the systematic literature review. Graded recommendations
and ungraded statements were drafted, voted on, and revised until consensus was
reached. RESULTS: The systematic literature review identified 59 studies that
informed the response to the 12 PICO questions that were developed. Key clinical
questions were addressed resulting in six graded recommendations and nine
ungraded consensus based statements. CONCLUSIONS: Evidence suggests that low-dose
CT screening for lung cancer results in a favorable but tenuous balance of
benefit and harms. The selection of screen-eligible patients, the quality of
imaging and image interpretation, the management of screen-detected findings, and
the effectiveness of smoking cessation interventions can affect this balance.
Additional research is needed to optimize the approach to low-dose CT screening.
PMID- 29374514
TI - Antioxidative properties and structural features of atypical 2-Cys peroxiredoxin
from Sebastes schlegelii.
AB - Atypical 2-Cys peroxiredoxin (Prx5) is an antioxidant protein that exerts its
antioxidant function by detoxifying different reactive oxygen species (ROS).
Here, we identified mitochondrial Prx5 from rockfish (SsPrx5) and described its
specific structural and functional characteristics. The open reading frame (ORF)
of SsPrx5 (570 bp) was translated into a 190-amino acid polypeptide that
contained a mitochondrial targeting sequence (MTS), thioredoxin 2 domain, two Prx
specific signature motifs, and three conserved cysteine residues. Sequence
comparison indicated that the SsPrx5 protein sequence shared greatest identity
with teleost orthologs, where the phylogenetic results showed an evolutionary
position within the fish Prx5. The coding sequence of SsPrx5 was scattered in six
exons as found in other vertebrates. Additionally, the potent antioxidant
functions of recombinantly expressed SsPrx5 protein was demonstrated by insulin
reduction and extracellular H2O2 scavenging both in vitro and in vivo.
Quantitative real time PCR (qPCR) detected ubiquitous mRNA expression of SsPrx5
in healthy rockfish tissues, with remarkable expression observed in gill, liver,
and reproductive tissues. Prompt transcription of SsPrx5 was shown in the immune
stimulated gill and liver tissues against Streptococcus iniae and
lipopolysaccharide injection. Taken together, present results suggest the
indispensable role of SsPrx5 in the rockfish antioxidant defense system against
oxidative stresses and its role in maintaining redox balance upon pathogen
invasion.
PMID- 29374515
TI - Effect of ganoderic acid D on colon cancer Warburg effect: Role of
SIRT3/cyclophilin D.
AB - Ganoderic acid D (GAD) is a highly oxygenated tetracyclic triterpenoid. This
study aims to assess the effects of GAD on the energy metabolism of colon cancer
through the regulation of SIRT3 expression and whether this effect is related to
acetylated cyclophilin D. The results demonstrated that GAD inhibits the energy
reprogramming of colon cancer cells including glucose uptake, lactate production,
pyruvate and acetyl-coenzyme production in colon cancer cells. Meanwhile, GAD
upregulated the protein expression of SIRT3. Furthermore, the interruption of
SIRT3 expression significantly reversed all the effects of SIRT3 on the energy
reprogramming of colon cancer. In addition, GAD induced the deacetylated
cyclophilin D (CypD) by SIRT3, whereas SIRT3-shRNA inhibited its combining effect
on CypD. The energy reprogramming effects of GAD on colon cancer seem to be
mediated by SIRT3 upregulation via acetylated CypD inhibition.
PMID- 29374517
TI - Association analysis of norepinephrine transporter polymorphisms and
methylphenidate response in ADHD patients.
AB - AIMS: Methylphenidate (MPH) is the most frequently prescribed drug in Attention
Deficit Hyperactivity Disorder (ADHD). Hitherto mostly the dopamine transporter
gene has been studied in MPH-response and only a few studies analyzed the
norepinephrine transporter (NET, SLC6A2) gene, although MPH is a potent inhibitor
of both dopamine and norepinephrine transporters. We aimed to analyze this
monoamine transporter gene in relation to ADHD per se and MPH-response in
particular to gain further knowledge in ADHD pharmacogenetics using a Caucasian
sample. METHODS: Six single nucleotide polymorphisms (rs28386840, rs2242446,
rs3785143, rs3785157, rs5569, rs7194256 SNP) were studied across the NET gene in
163 ADHD children (age: 9.3+/-2.6; 86.5% male) using ADHD-RS hyperactivity
impulsivity and inattention scales. For case-control analysis 486 control
subjects were also genotyped. At the MPH-response analysis responders had minimum
25% decrease of ADHD-RS total score after 2months of treatment, and chi-square
test compared 90 responders and 32 non-responders, whereas ANOVA was used to
assess symptom improvement after the first month among the 122 ADHD patients.
RESULTS: The classical case-control analysis did not yield any association with
ADHD diagnosis, which was supported by meta-analysis conducted on the available
genetic data (combining previously published and the present studies). On the
other hand, the intronic rs3785143 showed nominal association with inattention
symptoms (p=0.01). The haplotype analysis supported this association, and
indicated the importance of the first haploblock encompassing the intronic and 2
promoter SNPs. With MPH-response only the promoter rs28386840 showed nominal
association: Those with at least one T-allele were overrepresented in the
responder group (42% vs 19%, p=0.08), and they had better improvement on the
hyperactivity-impulsivity scale compared to the AA genotype (p=0.04). CONCLUSION:
Although none of our single SNP findings remained significant after correcting
for multiple testing, our results from the MPH-response analysis indicate the
potential importance of promoter variants in the NET gene.
PMID- 29374519
TI - Ribosomal protein L18 is an essential factor that promote rice stripe virus
accumulation in small brown planthopper.
AB - Rice stripe virus (RSV) transmitted by the vector, small brown planthopper
(SBPH), can cause a severe rice disease. The nucleocapsid (N) protein is the
major component of RSV ribonucleoprotein particles (RNPs), and it plays important
roles in viral persistent-propagative transmission by SBPH. To gain further
insights into the vector components enabling RSV transmission, a GAL4-based yeast
two-hybrid system was utilized to find unknown vector factors that interact with
the N protein. Thirteen different proteins were identified as factors that
interact with the N protein. The interaction between 60S ribosomal protein L18
(RPL18) and the N protein was further studied. Although the expression of RPL18
was not altered in insects during RSV infection, RPL18 was validated to bind
directly to RSV RNPs and interact with RSV N protein. Knockdown of RPL18
dramatically reduced viral RNA and protein levels, especially viral protein
expression, indicating a requirement for RPL18 in RSV translation and
replication. Our results provide evidence that RPL18 is a critical factor
required for RSV accumulation in SBPH, which suggests that the vector factor
RPL18 may be as a potential target to develop for controlling the transmission of
rice virus.
PMID- 29374518
TI - In vitro stabilization and in vivo improvement of ocular pharmacokinetics of the
multi-therapeutic agent baicalin: Delineating the most suitable vesicular
systems.
AB - Baicalin is a multi-purpose flavonoid used in the treatment of different ocular
diseases. Owing to its poor stability in basic pH and its poor solubility, a
suitable carrier system is needed to enhance its ocular therapeutic potential.
Therefore, the objective of this work was to prepare and contrast different
baicalin vesicular systems; namely liposomes, penetration enhancer vesicles PEVs
and transfersomes. Results revealed that baicalin vesicles exhibited suitable
particle size and zeta potential, high entrapment efficiency and controlled
release. Depending on the vesicular composition, selected formulations were able
to resist physical changes of particle size, zeta potential, entrapment
efficiency and in vitro release after storage for 3 months, while retarding the
degradation of baicalin. Selected vesicular formulations displayed equivalent or
superior antioxidant potential compared to baicalin solution, with absolute
superiority over ascorbic acid reference, while demonstrating sterilization
endurance and safety on ocular tissues. Pharmacokinetic studies revealed that
transfersomes displayed the fastest onset of action, while liposomes displayed
the highest extent of absorption as concluded from the Tmax, Cmax, and AUC0
infinity values with 4-5 folds increase in bioavailability compared to baicalin
control solution. This delineates baicalin vesicular systems as a promising
platform for treatment of ocular diseases such as inflammation, cataract and
diabetic retinopathy.
PMID- 29374520
TI - Evaluation of CpG-SNPs in miRNA promoters and risk of breast cancer.
AB - CpG-SNPs in gene promoter regions are proposed to be associated with multiple
diseases. To date, few studies have focused on the associations between CpG-SNPs
in miRNA promoters and the risk of breast cancer. In this study, 138 miRNAs
differentially expressed between breast cancer and non-cancer tissues (fold
change >2, P < 0.05) were identified using The Cancer Genome Atlas (TCGA)
Research database. In total, 13 SNPs were selected in the promoters of the miRNAs
and were evaluated in a case-control study of Chinese women including 1486 cases
and 1519 controls. After multivariate logistic regression analysis, we found that
three CpG-SNPs: rs1190983, rs155247, and rs62382272, were significantly
associated with breast-cancer susceptibility in the population (Additive model:
rs1190983: adjusted OR = 0.88, 95% CI: 0.79-0.99, P = 0.034; rs155247: adjusted
OR = 0.83, 95% CI: 0.74-0.93, P = 0.002; rs62382272: adjusted OR = 1.24, 95% CI:
1.04-1.47, P = 0.016). eQTL analysis showed that these three SNPs were correlated
with the expression of the related miRNAs in TCGA breast cancer tissues (P =
0.006,0.009,0.001 for rs1190983, rs155247, and rs62382272). Furthermore,
rs1190983 was found to be associated with CpG site (cg20488673) methylation
(meQTL) (P = 0.004), which was in turn correlated with miR-342 expression (P =
0.016). These findings indicated that the three CpG-SNPs in the promoters of
miRNAs were likely to possess important biological functions to breast cancer in
the Han Chinese population.
PMID- 29374521
TI - MicroRNA-200a regulates adipocyte differentiation in the domestic yak Bos
grunniens.
AB - The domestic yak (Bos grunniens) is a culturally important animal that lives at
high altitude and is farmed by Tibetan herders for its meat, milk, and other
animal by-products. Within the animal, adipose tissue is an important store and
source of energy and is used to maintain adequate body temperature during the
extended cold seasons. Exploring the biomolecular role of microRNAs (miRNAs) in
the regulation of growth, development, and metabolism of yak adipocytes may
provide valuable insights into the physiology of adipogenesis in the yak. This
study investigated whether and how miR-200a (a miRNA recently reported to promote
adipogenesis in ST2 bone marrow stromal cells) regulates adipocyte
differentiation in the yak. Expression levels of miR-200a gradually increased
during day 0 to day 8 of adipocyte differentiation, and transfection of
adipocytes with miR-200a enhanced lipid accumulation and triglyceride content
compared to control (un-transfected) adipocytes. We additionally verified (using
qRT-PCR analysis) that miR-200a increased the expression of adipocyte-specific
genes involved in lipogenic transcription (PPARgamma, ELVOL, and C/EBPalpha),
fatty acid synthesis (ACC, ACS, SCD, and FAS), and fatty acid transport (DGAT,
LPL, and FABP4). We also found that transfection of adipocytes with miR-200a
resulted in suppression of the levels of noncanonical Wnt signaling transcription
factors (Wnt5a, TAK1, and NLK). These results indicate that miRNA-200a plays an
important role in promoting yak adipocyte differentiation that may operate via
the suppression of noncanonical Wnt signaling.
PMID- 29374522
TI - Inequity aversion is observed in common marmosets but not in marmoset models of
autism induced by prenatal exposure to valproic acid.
AB - Humans and various nonhuman primates respond negatively to inequity not in their
favor (i.e., inequity aversion), when inequity between two individuals is
introduced. Common marmosets, a highly prosocial species, further discriminated
between human actors who reciprocated in social exchanges, and those who did not.
Conversely, marmoset models of autism, induced via prenatal exposure to valproic
acid (VPA marmosets), did not discriminate. Interestingly, previous studies of
inequity aversion in marmosets have produced negative results, or were limited to
males. Recent studies suggest that inequity aversion is highly influenced by the
tasks employed. Here we show inequity aversion in both male and female marmosets
using a novel task which required a relatively long duration of response.
Marmosets were required to hold a spoon for 2 s to receive a reward. Marmosets
successfully performed the task when they observed an unfamiliar conspecific
partner obtaining the same reward (equity test). However, when they witnessed the
partner receiving a more attractive reward for equal effort (inequity test),
unexposed marmosets, which were not exposed to either valproic acid or saline
during the fetal period refused to respond. This inequity aversion was not
observed in unexposed marmosets when the partner was absent. In contrast,
marmosets with fetal exposure to valproic acid (VPA marmosets) successfully
executed the task irrespective of their partners' reward conditions. As prenatal
exposure to valproic acid is a well-known procedure to induce autism spectrum
disorder (ASD)-like behaviors in rodents, we propose that VPA marmosets failed to
show inequity aversion due to weak social motivation or interest towards others.
PMID- 29374516
TI - The vicious cycle of itch and anxiety.
AB - Chronic itch is associated with increased stress, anxiety, and other mood
disorders. In turn, stress and anxiety exacerbate itch, leading to a vicious
cycle that affects patient behavior (scratching) and worsens disease prognosis
and quality of life. This cycle persists across chronic itch conditions of
different etiologies and even to some extent in healthy individuals, suggesting
that the final common pathway for itch processing (the central nervous system)
plays a major role in the relationship between itch and anxiety. Pharmacological
and nonpharmacological treatments that reduce anxiety have shown promising anti
itch effects. Further research is needed to establish specific central mechanisms
of the itch-anxiety cycle and provide new targets for treatment.
PMID- 29374523
TI - Antigen 5-spiked Vespula and Polistes venom extracts for Vespid allergy
diagnostics: A French multicenter study.
PMID- 29374524
TI - Do we need to keep playing this tune?
PMID- 29374525
TI - Periprosthetic joint infection caused by anaerobes. Retrospective analysis
reveals no need for prolonged cultivation time if sensitive supplemented growth
media are used.
AB - BACKGROUND: In microbiological diagnosis of periprosthetic joint infection (PJI)
culture media and incubation time are controversially discussed, especially if
anaerobic bacteria are the causative agent. This study was conducted to
demonstrate the influence of sensitive supplemented growth media on the duration
of culturing anaerobes. METHODS: Twenty-five consecutive cases were included in
this retrospective study. For definition of PJI, the criteria of the
Musculoskeletal Infection Society (MSIS) were considered. Histopathological
analysis was interpreted according to the classification by Krenn et al. The
quantity and time to positivity of detected anaerobes were monitored.
Furthermore, antimicrobial activity within the tissue and sonicate fluid was
phenotypically tested. RESULTS: In all cases, even if the patients had received
antibiotics before recovery, culture of anaerobes (Propionibacterium species,
Finegoldia magna, Parvimonas micra and Robinsoniella peoriensis), both from
tissue samples and prosthetic components, first became detectable in supplemented
liver thioglycollate broth within six days (median: four days). CONCLUSION:
Recommendations for prolonged cultivation for up to 14 days mostly aim at
detection of anaerobes. Here we present a laboratory procedure that can shorten
cultivation time considerably.
PMID- 29374526
TI - Small sustainable monetary incentives versus charitable donations to promote
exercise: Rationale, design, and baseline data from a randomized pilot study.
AB - Regular physical activity (PA) enhances weight-loss and reduces risk of chronic
disease. However, as few as 10% of U.S. adults engage in regular PA. Incentive
programs to promote PA have shown some promise, but have typically used
incentives that are too large to sustain over time and have not demonstrated
habit formation or been tested in community settings. This report presents the
rationale and design of a randomized pilot study testing the feasibility and
preliminary efficacy of small monetary incentives for PA (n=25) versus charitable
donations in the same amount (n=25) versus control (n=25) over 12months among 75
low-active but otherwise healthy adults at a local YMCA. Incentives are based on
YMCA attendance, which is verified by electronic swipe card data and is the
primary study outcome, with self-reported minutes/week of PA assessed as a
secondary outcome. Incentives are intentionally small enough-$1/session, maximum
of $5/week-such that they could be indefinitely sustained by community
organizations, privately-owned health clubs, healthcare organizations, or
employers (e.g., employer fitness facilities). Costs of the incentive program for
the sponsoring organization may be partially offset by increases in membership
resulting from the appeal of the program. Moreover, if efficacious, the
charitable donation incentive program may have the added benefit of building
social capital for the sponsoring organization and potentially serving as a tax
write-off, thus further offsetting the cost of the incentives. Findings will also
have implications for the use of financially sustainable community-based
incentive programs for other health-related behaviors (e.g., weight loss,
smoking).
PMID- 29374527
TI - Autologous Hematopoietic Stem Cell Transplantation for Systemic Sclerosis: A
Systematic Review and Meta-Analysis.
AB - Autologous hematopoietic stem cell transplantation (AHSCT) has been proposed as a
therapeutic modality for severe systemic sclerosis (SSc). We set out to
systematically review and meta-analyze the efficacy and safety of AHSCT in SSc.
Randomized controlled trials (RCTs) and retrospective studies comparing AHSCT
with standard immunosuppressive therapy were included. Of 363 titles screened
from multiple databases, 15 were extracted for further investigation, and 4 met
inclusion criteria (3 RCTs and 1 retrospective analysis). The control arm was
monthly cyclophosphamide in all the RCTs and the majority of patients in the
retrospective analysis (69%). Compared with the control, AHSCT reduced all-cause
mortality (risk ratio [RR], .5 [95% confidence interval, .33 to .75]) and
improved skin thickness (modified Rodnan skin score mean difference [MD], 10.62
[95% CI, -14.21 to 7.03]), forced vital capacity (MD, 9.58 [95% CI, 3.89 to
15.18]), total lung capacity (MD, 6.36 [95% CI, 1.23 to 11.49]), and quality of
life (physical 36-Item Short Form Health Survey [MD, 6.99 (95% CI, 2.79 to
11.18)]). Treatment-related mortality considerably varied between trials but was
overall higher with AHSCT (RR, 9.00 [95% CI, 1.57 to 51.69]). The risk of bias
for studies included in the analysis was low. Overall, AHSCT reduces the risk of
all-cause mortality and has properties of a disease-modifying antirheumatic
treatment in SSc. Further investigation is warranted for refining patient
selection and timing of transplantation.
PMID- 29374528
TI - Breakage and drying behaviour of granules in a continuous fluid bed dryer:
Influence of process parameters and wet granule transfer.
AB - Although twin screw granulation has already been widely studied in recent years,
only few studies addressed the subsequent continuous drying which is required
after wet granulation and still suffers from a lack of detailed understanding.
The latter is important for optimisation and control and, hence, a cost-effective
practical implementation. Therefore, the aim of the current study is to increase
understanding of the drying kinetics and the breakage and attrition phenomena
during fluid bed drying after continuous twin screw granulation. Experiments were
performed on a continuous manufacturing line consisting of a twin-screw
granulator, a six-segmented fluid bed dryer, a mill, a lubricant blender and a
tablet press. Granulation parameters were fixed in order to only examine the
effect of drying parameters (filling time, drying time, air flow, drying air
temperature) on the size distribution and moisture content of granules (both of
the entire granulate and of size fractions). The wet granules were transferred
either gravimetrically or pneumatically from the granulator exit to the fluid bed
dryer. After a certain drying time, the moisture content reached an equilibrium.
This drying time was found to depend on the applied airflow, drying air
temperature and filling time. The moisture content of the granules decreased with
an increasing drying time, airflow and drying temperature. Although smaller
granules dried faster, the multimodal particle size distribution of the granules
did not compromise uniform drying of the granules when the target moisture
content was achieved. Extensive breakage of granules was observed during drying.
Especially wet granules were prone to breakage and attrition during pneumatic
transport, either in the wet transfer line or in the dry transfer line. Breakage
and attrition of granules during transport and drying should be anticipated early
on during process and formulation development by performing integrated
experiments on the granulator, dryer and mill.
PMID- 29374529
TI - Raman-markers of X-ray radiation damage of proteins.
AB - Despite their high relevance, the mechanisms of X-ray radiation damage on protein
structure yet have to be completely established. Here, we used Raman
microspectrophotometry to follow X-ray-induced chemical modifications on the
structure of the model protein bovine pancreatic ribonuclease (RNase A). The
combination of dose-dependent Raman spectra and ultrahigh resolution (eight
structures solved using data collected between 0.85 and 1.17 A resolution on the
same single crystal) allowed direct observation of several radiation damage
events, including covalent bond breakages and formation of radicals. Our results
are relevant for analytical photodamage detection and provide implications for a
detailed understanding of the mechanisms of photoproduct formation.
PMID- 29374530
TI - ESI-MS measurements for the equilibrium constants of copper(II)-insulin
complexes.
AB - Trace elements regulate many biological reactions in the body. Copper(II) is
known as one of trace elements and capable of binding to proteins. Insulin is a
blood glucose-lowering peptide hormone and it is secreted by the pancreatic beta
cells. In this study, Cu(II)-insulin complexes were investigated by using ESI-MS
method. Insulin molecule gives ESI-MS peaks at +4, +5, +6 and +7 charged states.
Cu(II)-insulin complexes can be monitored and quantified on the ESI-MS spectra as
the shifted peaks according to insulin peaks. The solutions of Cu(II)-insulin
complexes at different pHs and mole ratios of Cu(II) ions to insulin molecule
were measured on the ESI-MS. The highest complex formation ratio for Cu(II)
insulin were found at pH 7. The multiple bindings of Cu(II) ions to insulin
molecule was observed. The formation equilibrium constants of Cu(II)-insulin
complexes were calculated as Kf1: 3.34 * 104, Kf2: 2.99 * 104, Kf3: 7.00 * 103
and Kf4:2.86 * 103. The specific binding property of Cu(II) ions was controlled
by using different spray ion sources including electrospray and nano
electrospray. The binding property of Cu(II) also investigated by MS/MS
fragmentation. It was concluded from the ESI-MS measurements that Cu(II) ion has
a high affinity to insulin molecules to form stable complexes.
PMID- 29374531
TI - An antioxidant alpha-glucan from Cladina rangiferina (L.) Nyl. and its protective
effect on alveolar epithelial cells from Pb2+-induced oxidative damage.
AB - Air pollution is a serious global health problem nowadays. So, it is an emergency
to pay sufficient attention to treat and prevent the diseases caused by air
pollution, especially respiratory disease and lung damage. Cladina rangiferina
(L.) Nyl. is an edible lichen that has been used in medicinal diets to treat
respiratory and other diseases for over 500 years. In this study, a water-soluble
polysaccharide, CRWP-P, was obtained from C. rangiferina by hot-water extraction,
freeze-thawing separation, and Fehling reagent purification. Structural analysis
showed that CRWP-P is a linear alpha-(1 -> 3),(1 -> 4)-d-glucan without branches.
Its Mw was determined to be 1.05 * 105 Da. Its (1,3)-alpha-d-glucopyranosyl:
(1,4)-alpha-d-glucopyranosyl ratio is approximately 1:2. Antioxidant activity
assay showed that C. rangiferina polysaccharides, especially CRWP-P, had
appreciable DPPH radical-scavenging activity and reducing power. Notably, they
could effectively decrease cell breakdown and ROS generation, inhibit lipid
peroxidation, increase key antioxidase activity, and promote glutathione redox
cycling in Pb2+-oxidative injured A549 alveolar epithelium cells. Overall, the
results of this study indicated that C. rangiferina polysaccharides, especially
CRWP-P, have the potential to be natural antioxidants for the treatment of lung
oxidative damage induced by lead of air pollutants.
PMID- 29374532
TI - Protein folding, misfolding and aggregation: A tale of constructive to
destructive assembly.
AB - The newly synthesized unfolded polypeptide attains its functional and unique
three-dimensional conformation through the process of protein folding for which
several models have been proposed. The protein misfolding diseases include
Alzheimer's, Parkinson's and Cataract which are result of formation of amyloid or
amorphous aggregates, respectively. The distinction in morphology shows relation
with the melting temperature (Tm). The temperatures near or slightly higher than
Tm induces amyloids while much higher or low temperature mediate amorphous
aggregation. The aggregation is not always deleterious rather it also performs
several important cellular functions essential for survival wide range of
organisms called as functional amyloids. Protein gets modulated by several
modulators which mediate the aggregation, acceleration, delay, transformations,
inhibition and disaggregation of protein aggregates. The exclusive properties of
inhibition and disaggregation displayed by various molecules can be employed to
treat the life threatening disorders.
PMID- 29374533
TI - Circadian control of p75 neurotrophin receptor leads to alternate activation of
Nrf2 and c-Rel to reset energy metabolism in astrocytes via brain-derived
neurotrophic factor.
AB - Circadian clock genes regulate energy metabolism partly through neurotrophins in
the body. The low affinity neurotrophin receptor p75NTR is a clock component
directly regulated by the transcriptional factor Clock:Bmal1 complex. Brain
derived neurotrophic factor (BDNF) is expressed in the brain and plays a key role
in coordinating metabolic interactions between neurons and astrocytes. BDNF
transduces signals through TrkB and p75NTR receptors. This review highlights a
novel molecular mechanism by which BDNF via circadian control of p75NTR leads to
daily resetting of glucose and glycogen metabolism in brain astrocytes to
accommodate their functional interaction with neurons. Astrocytes store glycogen
as an energy reservoir to provide active neurons with the glycolytic metabolite
lactate. Astrocytes predominantly express the truncated receptor TrkB.T1 which
lacks an intracellular receptor tyrosine kinase domain. TrkB.T1 retains the
capacity to regulate cell morphology through regulation of Rho GTPases. In
contrast, p75NTR mediates generation of the bioactive lipid ceramide upon
stimulation with BDNF and inhibits PKA activation. As ceramide directly activates
PKCzeta, we discuss the importance of the TrkB.T1-p75NTR-ceramide-PKCzeta
signaling axis in the stimulation of glycogen and lipid synthesis and activation
of RhoA. Ceramide-PKCzeta-casein kinase 2 signaling activates Nrf2 to support
oxidative phosphorylation via upregulation of antioxidant enzymes. In the absence
of p75NTR, TrkB.T1 functionally interacts with adenosine A2AR and dopamine D1R
receptors to enhance cAMP-PKA signaling and activate Rac1 and NF-kappaB c-Rel,
favoring glycogen hydrolysis, gluconeogenesis and aerobic glycolysis. Thus,
diurnal changes in p75NTR levels in astrocytes resets energy metabolism via BDNF
to accommodate their metabolic interaction with neurons.
PMID- 29374534
TI - Thymoquinone-based nanotechnology for cancer therapy: promises and challenges.
AB - Thymoquinone (TQ), the active ingredient of black seed, is a promising anticancer
molecule that inhibits cancer cell growth and progression in vitro and in vivo.
Despite the promising anticancer activities of TQ, its translation to the clinic
is limited by its poor bioavailability and hydrophobicity. As such, we and others
encapsulated TQ in nanoparticles to improve its delivery and limit undesirable
cytotoxicity. These TQ-nanoparticle formulations showed improved anticancer and
anti-inflammatory activities when compared with free TQ. Here, we provide an
overview of the various TQ-nanoparticle formulations, highlight their superior
efficacy and discuss up-to-date solutions to further enhance TQ bioavailability
and anticancer activity, thus improving potential for clinical translation.
PMID- 29374535
TI - Cognitive Biases in Children and Adolescents With Chronic Pain: A Review of
Findings and a Call for Developmental Research.
AB - : Cognitive biases that emphasize bodily harm, injury, and illness could play a
role in the maintenance of chronic pain by facilitating fear and avoidance.
Whereas extensive research has established attention, interpretation, and memory
biases in adults with chronic pain, far less is known about these same biases in
children and adolescents with pain. Studying cognitive biases in attention,
interpretation, and memory in relation to pain occurring in youth is important
because youth is a time when pain can first become chronic, and when
relationships between cognitive biases and pain outcomes emerge and stabilize.
Thus, youth potentially offers a time window for the prevention of chronic pain
problems. In this article, we summarize the growing corpus of data that have
measured cognitive biases in relation to pediatric pain. We conclude that
although biases in attention, interpretation, and memory characterize children
and adolescents with varying pain experiences, questions regarding the direction,
magnitude, nature, and role of these biases remain. We call for independent
extension of cognitive bias research in children and adolescents, using well
powered longitudinal studies with wide age ranges and psychometrically sound
experimental measures to clarify these findings and any developmental trends in
the links between cognitive biases and pain outcomes. PERSPECTIVE: This article
provides a rationale for the theoretical and practical importance of studying the
role of cognitive biases in children and adolescents with chronic pain, which has
to date, been relatively understudied. Existing findings are reviewed critically,
and recommendations for future research are offered.
PMID- 29374536
TI - Cognitive Flexibility Deficits Following 6-OHDA Lesions of the Rat Dorsomedial
Striatum.
AB - Parkinson's disease (PD) is a neurodegenerative disorder marked by severe motor
deficits and reduced striatal dopamine levels. PD patients also commonly exhibit
cognitive flexibility impairments, e.g., probabilistic reversal learning deficits
that limit daily living. However, less is known about how decreased striatal
dopamine signaling affects cognitive flexibility. Past studies indicate that the
rat dorsomedial striatum is a striatal subregion that supports cognitive
flexibility. Because PD patients exhibit probabilistic reversal learning
deficits, the present experiment investigated whether the neurotoxin 6
hydroxydopamine (6-OHDA) injected into the dorsomedial striatum of male Long
Evans rats affects the acquisition and/or reversal learning of a spatial
discrimination using a probabilistic learning procedure (80/20). Behavioral
testing was conducted in a cross maze that occurred across two consecutive days.
Rats with 6-OHDA lesions were not impaired on acquisition, but were impaired in
reversal learning compared to that of sham controls. In reversal learning,
dorsomedial striatal dopamine depletion led to initial perseveration of the
previously correct choice pattern, as well as an impairment in maintaining the
new choice pattern after initially selected (regressive errors). A 6-OHDA lesion
in the dorsomedial striatum also significantly increased 'lose-shift'
probabilities in reversal learning suggesting that reduced dopamine signaling in
this striatal area increased sensitivity to negative feedback ultimately
impairing the maintenance of a new response pattern. Overall, the findings
suggest that dopamine reduction in this striatal subregion can serve as a useful
model to test novel treatments for ameliorating cognitive flexibility deficits in
PD.
PMID- 29374537
TI - HSP70 Facilitates Memory Consolidation of Fear Conditioning through MAPK Pathway
in the Hippocampus.
AB - Heat shock proteins of the 70-kDa (HSP70) family are cytoprotective molecular
chaperones that are present in neuronal cells and can be induced by a variety of
homeostatically stressful situations (not only proteostatic insults), but also by
synaptic activity, including learning tasks. Physiological stimuli that induce
long-term memory formation are also capable of stimulating the synthesis of HSP70
through the activation of heat shock transcription factor-1 (HSF1). In this
study, we investigated the influence of HSP70 on fear memory consolidation and
MAPK activity. Male rats were trained in contextual fear conditioning task and
HSP70 content was analyzed by western blot in the hippocampus at different time
points. We observed rapid and transient elevations in HSP70 60 min following
training. Double immunofluorescence with GFAP and HSP72 revealed that astrocytes
were not the site for HSP72 induction by CFC training. HSP72 distribution
markedly surrounded synapses between Shaffer collateral and CA1 pyramidal cells.
Infusion of recombinant HSP70 (hspa1a) into the dorsal hippocampus immediately
after training facilitated memory consolidation and enhanced ERK activity while
decreasing the activated forms of JNK and p38 in the hippocampus. Blocking
endogenous extracellular HSP70 through the administration of specific antibody
did not produce any further effect on memory consolidation when applied
immediately after training, suggesting that it is indeed acting intracellularly.
Induction of HSP70 after fear conditioning is fast and can act as a signaling
molecule, modulating MAPK downstream signaling during memory consolidation in the
hippocampus, which is crucial for fear memory formation.
PMID- 29374538
TI - Histamine H3 Receptors Decrease Dopamine Release in the Ventral Striatum by
Reducing the Activity of Striatal Cholinergic Interneurons.
AB - Histamine H3 receptors are widely distributed Gi-coupled receptors whose
activation reduces neuronal activity and inhibits release of numerous
neurotransmitters. Although these receptors are abundantly expressed in the
striatum, their modulatory role on activity-dependent dopamine release is not
well understood. Here, we observed that histamine H3 receptor activation
indirectly diminishes dopamine overflow in the ventral striatum by reducing
cholinergic interneuron activity. Acute brain slices from C57BL/6 or
channelrhodopsin-2-transfected DAT-cre mice were obtained, and dopamine
transients evoked either electrically or optogenetically were measured by fast
scan cyclic voltammetry. The H3 agonist alpha-methylhistamine significantly
reduced electrically- evoked dopamine overflow, an effect blocked by the
nicotinic acetylcholine receptor antagonist dihydro-beta-erythroidine, suggesting
involvement of cholinergic interneurons. None of the drug treatments targeting H3
receptors affected optogenetically evoked dopamine overflow, indicating that
direct H3-modulation of dopaminergic axons is unlikely. Next, we used qPCR and
confirmed the expression of histamine H3 receptor mRNA in cholinergic
interneurons, both in ventral and dorsal striatum. Activation of H3 receptors by
alpha-methylhistamine reduced spontaneous firing of cholinergic interneurons in
the ventral, but not in the dorsal striatum. Resting membrane potential and
number of spontaneous action potentials in ventral-striatal cholinergic
interneurons were significantly reduced by alpha-methylhistamine. Acetylcholine
release from isolated striatal synaptosomes, however, was not altered by alpha
methylhistamine. Together, these results indicate that histamine H3 receptors are
important modulators of dopamine release, specifically in the ventral striatum,
and that they do so by decreasing the firing rate of cholinergic neurons and,
consequently, reducing cholinergic tone on dopaminergic axons.
PMID- 29374539
TI - Differential Processing by Two Olfactory Subsystems in the Honeybee Brain.
AB - Among insects, Hymenoptera present a striking olfactory system with a clear
neural dichotomy from the periphery to higher order centers, based on two main
tracts of second-order (projection) neurons: the medial and lateral antennal lobe
tracts (m-ALT and l-ALT). Despite substantial work on this dual pathway, its
exact function is yet unclear. Here, we ask how attributes of odor quality and
odor quantity are represented in the projection neurons (PNs) of the two
pathways. Using in vivo calcium imaging, we compared the responses of m-ALT and l
ALT PNs of the honey bee Apis mellifera to a panel of 16 aliphatic odorants, and
to three chosen odorants at eight concentrations. The results show that each
pathway conveys differential information about odorants' chemical features or
concentration to higher order centers. While the l-ALT primarily conveys
information about odorants' chain length, the m-ALT informs about odorants'
functional group. Furthermore, each tract can only predict chemical distances or
bees' behavioral responses for odorants that differ according to its main
feature, chain length or functional group. Generally l-ALT neurons displayed more
graded dose-response relationships than m-ALT neurons, with a correspondingly
smoother progression of inter-odor distances with increasing concentration.
Comparison of these results with previous data recorded at AL input reveals
differential processing by local networks within the two pathways. These results
support the existence of parallel processing of odorant features in the insect
brain.
PMID- 29374540
TI - Evaluation of oxidative stress and brain-derived neurotrophic factor levels
related to crack-use detoxification.
AB - Crack is a central nervous system stimulant extracted from the Erythroxylum coca
plant. It is considered the most potent and addictive form of cocaine, and its
euphoric effects are attained within a few seconds after consumption. Alteration
of biological markers of oxidative stress and brain-derived neurotrophic factor
(BDNF) could be related to the severity of crack withdrawal symptoms in patients
undergoing rehabilitation. Thus, the objective of this study was to evaluate if
the crack consumption and the drug detoxification process during 14 days in
hospitalization regime was able to modify the oxidative status and BDNF levels,
in male crack-abstinent patients. The crack detoxification process increased the
glutathione (GSH), total thiol content (GST), nitric oxide (NO), and superoxide
dismutase (SOD) levels, and reduced the mean BDNF levels. Moreover, a positive
correlation was found between the number of hospital admission days and SOD
values and between the GST levels and crack-use time after 14 days of
detoxification. Furthermore, a negative correlation between the frequency of
crack use and NO levels on the first day of hospitalization was also found. In
conclusion, the results of this study indicated that crack consumption causes
increased oxidative stress in drug users and that the detoxification process
during 14 days was sufficient to improve oxidative parameters and antioxidant
defenses of the patients, which could positively contribute to rehabilitation
process. In addition, we also observed a great variability in the BDNF levels of
the patients during the detoxification process, resulting in a reduction in the
mean values of this neurotrophin.
PMID- 29374541
TI - Healthy full-term infants' brain responses to emotionally and linguistically
relevant sounds using a multi-feature mismatch negativity (MMN) paradigm.
AB - We evaluated the feasibility of a multi-feature mismatch negativity (MMN)
paradigm in studying auditory processing of healthy newborns. The aim was to
examine the automatic change-detection and processing of semantic and emotional
information in speech in newborns. Brain responses of 202 healthy newborns were
recorded with a multi-feature paradigm including a Finnish bi-syllabic pseudo
word/ta-ta/as a standard stimulus, six linguistically relevant deviant stimuli
and three emotionally relevant stimuli (happy, sad, angry). Clear responses to
emotional sounds were found already at the early latency window 100-200 ms,
whereas responses to linguistically relevant minor changes and emotional stimuli
at the later latency window 300-500 ms did not reach significance. Moreover,
significant interaction between gender and emotional stimuli was found in the
early latency window. Further studies on using multi-feature paradigms with
linguistic and emotional stimuli in newborns are needed, especially those
containing of follow-ups, enabling the assessment of the predictive value of
early variations between subjects.
PMID- 29374542
TI - BAFfling pathologies: Alterations of BAF complexes in cancer.
AB - To activate or repress specific genes, chromatin is constantly modified by
chromatin-remodeling complexes. Among these complexes, the SWItch/Sucrose Non
Fermenting (SWI/SNF) complex, also referred to as BRG1-Associated Factor (BAF)
complex, moves the nucleosome along chromatin using energy provided by ATP
hydrolysis. In mammalian organisms, the SWI/SNF complex is composed of 10-15
subunits, depending on cell type, and a defect in one of these subunits can have
dramatic consequences. In this review we will focus on the alterations identified
in the SWI/SNF (BAF) complex subunits that lead to cancerous pathologies. While
SMARCB1 was the first mutated subunit to be reported in a majority of malignant
rhabdoid tumors, the advent of next-generation sequencing allowed the discovery
of mutations in various SWI/SNF subunits within a broad spectrum of cancers. In
most cases, the mutation leads to a loss of expression or to a truncated subunit
unable to perform its function. Even though it is now commonly acknowledged that
approximately 20% of all cancers present a mutation in a SWI/SNF subunit, some
cancers are associated to a specific alteration of a SWI/SNF subunit, which acts
either as tumor suppressor genes or as oncogenes, and therefore constitute
diagnostic or prognostic biomarkers. Consistently, therapeutic strategies
targeting SWI/SNF subunits or the genes affected downstream have been revealed to
treat cancers.
PMID- 29374544
TI - Phosphorylation of the outer membrane mitochondrial protein OM64 influences
protein import into mitochondria.
AB - Mitochondrial localized proteins are mostly synthesized in the cytosol and
translocated across the outer mitochondrial membrane via the translocase of the
outer membrane (TOM) complex. Although the channel protein is conserved among
eukaryotes, the receptor proteins are more divergent and show features specific
to the plant lineage. OM64, which is a paralogue of the chloroplast docking
protein Toc64, is unique to plants. However, due to the presence of a cytosolic
exposed TPR domain it might functionally replace yeast/mammalian Tom70, which is
not found in plant mitochondria, by interacting with the C-terminal (M)EEVD motif
of the heat shock proteins Hsp90 and Hsp70. In this study, we show that OM64 is
phosphorylated within its TPR domain. Using isothermal titration calorimetry it
could be demonstrated that phosphorylation reduces the binding affinity of OM64
to Hsp90. Moreover, in vivo expression of genes encoding different OM64 variants
in planta revealed that phosphorylation of OM64 impairs the import efficiency of
the mitochondrial preprotein pFAD, a subunits of the mitochondrial ATP synthase.
In summary, our data provide significant insight into the fine-tuning mechanisms
of mitochondrial protein import mediated by phosphorylation of the cytosolic
exposed receptor protein OM64.
PMID- 29374545
TI - Surgical Drains in Chronic Subdural Hematoma Surgery: From the Cheapest to the
Most Expensive Drains.
AB - OBJECTIVE: Among the various neurosurgical techniques currently being used in
chronic subdural hematoma (CSDH) surgery, bur hole craniostomy is the most
popular worldwide. Recent studies have strongly recommended that a drain be
placed after surgical evacuation of a hematoma, and a broad spectrum of preformed
surgical drains is widely available for this purpose. If preformed drains are
unavailable, a surgeon-made drain can be used and various methods are available
to develop a drain in the operating room. METHODS: Using a case report, we
demonstrate how to develop a surgeon-made drain. Next, we retrospectively
screened for subdural drain usage in consecutive patients undergoing bur hole
drainage for CSDH at Adiyaman University Hospital between January 2017 and April
2017, and data from only those patients in whom a surgeon-made drain was used
were included for analyses. RESULTS: A 74-year-old male was operated with the
diagnosis of CSDH. Assembly of a surgeon-made drain was explained step by step.
Our review identified 6 unilateral and 2 bilateral cases in which 10 surgeon-made
drains were used. Mean age of the patients was 72, and mean follow-up period was
7 months, 23 days. No instances of infection or drain-related complications had
been recorded. The recurrence rate was 0%, and the average drainage period was
3.4 days. CONCLUSIONS: In case of unavailability of a preformed drain, a surgeon
made drain can be used during CSDH surgery. Different methods are available and
can be further diversified using various combinations of simple medical
materials.
PMID- 29374543
TI - Lysosomal acid lipase regulates fatty acid channeling in brown adipose tissue to
maintain thermogenesis.
AB - Lysosomal acid lipase (LAL) is the only known enzyme, which hydrolyzes
cholesteryl esters and triacylglycerols in lysosomes of multiple cells and
tissues. Here, we explored the role of LAL in brown adipose tissue (BAT). LAL
deficient (Lal-/-) mice exhibit markedly reduced UCP1 expression in BAT, modified
BAT morphology with accumulation of lysosomes, and mitochondrial dysfunction,
consequently leading to regular hypothermic events in mice kept at room
temperature. Cold exposure resulted in reduced lipid uptake into BAT, thereby
aggravating dyslipidemia and causing life threatening hypothermia in Lal-/- mice.
Linking LAL as a potential regulator of lipoprotein lipase activity, we found
Angptl4 mRNA expression upregulated in BAT. Our data demonstrate that LAL is
critical for shuttling fatty acids derived from circulating lipoproteins to BAT
during cold exposure. We conclude that inhibited lysosomal lipid hydrolysis in
BAT leads to impaired thermogenesis in Lal-/- mice.
PMID- 29374547
TI - A Web Effect: Plummer-Vinson Syndrome.
PMID- 29374546
TI - Cortical Reorganizations for Recovery from Depressive State After Spinal
Decompression Surgery.
AB - BACKGROUND: Depressed mood following neuronal damage not only impedes functional
recovery but also negatively affects quality of life for many patients. Depressed
patients with cervical myelopathy often show improvement in both mood and motor
function after spinal decompression surgery; however, the neural mechanism
underlying this psychological benefit from surgery remains unclear. The aim of
this study was to clarify the brain sites that relate to alleviation of
depression after spinal decompression surgery. METHODS: We compared brain
activity of patients with cervical myelopathy (n = 6) with healthy participants
(n = 5) using functional magnetic resonance imaging. We then analyzed functional
magnetic resonance imaging data to find the brain regions that correlated with
depression severity (n = 12; 6 preoperative patients and 6 postoperative
patients) and compared preoperative imaging data with postoperative imaging data
from patients. RESULTS: Spinal decompression surgery alleviated depression and
diminished anterior cingulate cortex activity. Simultaneously, supplementary
motor area activity, which was increased in patients with myelopathy compared
with control subjects, was diminished after surgery. CONCLUSIONS: Traditionally,
surgical indications for myelopathy are determined by the severity of
sensorimotor symptoms without considering psychological symptoms. We anticipate
our results will lead to more informed surgical decisions for cervical
spondylosis myelopathy.
PMID- 29374548
TI - Pirfenidone prevents radiation-induced intestinal fibrosis in rats by inhibiting
fibroblast proliferation and differentiation and suppressing the TGF
beta1/Smad/CTGF signaling pathway.
AB - Radiation-induced intestinal fibrosis (RIF) is a chronic toxicity following
radiation, and can be very difficult to treat. Pirfenidone is a promising anti
fibrotic agent that inhibits fibrosis progression in various clinical and
experimental studies. This study was aimed to explore whether pirfenidone could
protect against RIF, and to evaluate the underlying mechanism. An animal model of
RIF was induced by exposure of a single dose of 20 Gy to the pelvis. Rats were
orally administered with pirfenidone (200, 400 md/kg/d) for 12 weeks. Primary rat
intestinal fibroblasts were cultured to determine the effects of pirfenidone on
TGF-beta1-induced (5 ng/ml) proliferation and transdifferentiation of
fibroblasts. The expression of collagen I, alpha-SMA, and TGF-beta1/Smad/CTGF
pathway proteins were analyzed by qRT-PCR and/or western blot analysis. The cell
proliferation rate was determined by CCK-8 assay. The results indicated that
pirfenidone significantly attenuated fibrotic lesion in irradiated intestines and
reduced collagen deposition by inhibiting TGF-beta1/Smad/CTGF pathway in rat
models. Moreover, in primary rat intestinal fibroblasts, pirfenidone decreased
the up-regulation of TGF-beta1-induced collagen I and alpha-SMA by suppressing
TGF-beta1/Smad/CTGF signaling pathway. Altogether, our findings suggested that
pirfenidone attenuated RIF by inhibiting the proliferation and differentiation of
intestinal fibroblasts and suppressing the TGF-beta1/Smad/CTGF signaling pathway.
PMID- 29374549
TI - Matrine suppresses AGE-induced HAEC injury by inhibiting ROS-mediated NRLP3
inflammasome activation.
AB - Diabetes is characterized by a high level of advanced glycation end products
(AGEs), which induce damage in the arterial endothelium. Matrine has been shown
to have cardioprotective effects. This study's aim was to investigate the
protective effects and possible molecular mechanisms of matrine in AGE-induced
human aortic endothelial cell (HAEC) injury. Cultured HAECs were treated with
AGEs and/or serially diluted matrine. Cell viability was evaluated by MTT assay.
Intracellular reactive oxygen species production was determined by flow
cytometry. The expression levels of nucleotide-binding, leucine-rich repeat, and
pyrin-domain-containing 3 (NLRP3), adaptor molecule apoptosis-associated speck
like protein, cleaved caspase-1 and interleukin-1beta (IL-1beta) were assessed by
Western blotting; the concentration of IL-1beta in culture supernatants was
determined by enzyme-linked immunosorbent assay (ELISA). In a concentration
dependent manner, matrine co-treatment with AGEs substantially inhibited the
reduction in cell viability and the increase in intracellular reactive oxygen
species induced by AGEs. Co-treatment with matrine significantly inhibited the
AGE-induced increase in NLRP3, ASC, caspase-1, p20 and IL-1beta expression in
HAECs in a concentration-dependent manner. Moreover, the AGE-mediated increase in
IL-1beta expression in cell culture supernatants was also reduced by co-treatment
with matrine in a concentration-dependent manner. AGEs induced HAEC injury by
inducing reactive oxygen species -mediated NLRP3 inflammasome activation. Matrine
recovered HAEC viability by inhibiting reactive oxygen species -mediated NLRP3
inflammasome activation.
PMID- 29374550
TI - A new open-source tool for measuring 3D osteocyte lacunar geometries from
confocal laser scanning microscopy reveals age-related changes to lacunar size
and shape in cortical mouse bone.
AB - Osteocytes can participate in systemic mineral homeostasis through perilacunar
maintenance and remodeling, where changes to osteocyte lacunar morphology may
affect bone structural integrity, tissue strains, and osteocyte
mechanosensitivity. Though aging is associated with both decreased bone quality
and altered mineral metabolism, it is not known if osteocyte lacunae undergo age
related changes in geometry. In order to survey lacunar changes with age, we
developed an open-source program whereby 3D osteocyte lacunae are automatically
segmented and then subsequently reconstructed from confocal laser scanning
microscopy (CLSM) depth stacks for quantitative analysis of geometry and
orientation. This approach takes advantage of the availability and speed of CLSM
while avoiding time-consuming and bias-prone manual segmentation. Unlike
conventional approaches used to quantify osteocyte lacunar morphology, CLSM
enables facile analysis in three-dimensions with clear identification of
osteocyte lacunae. We report that 3D osteocyte lacunae measured by CLSM become
smaller, more spherical, more oblate, more spatially disorganized, and more
sparsely populated with increased age in C57Bl/6 mouse cortical bone in groups
spanning 6-24 months old. Critically, these age-related changes are in large part
not observed in 2D analyses from the same samples. These results (1) demonstrate
proof-of-concept of an efficient method to quantitatively assess osteocyte
lacunae in 3D for application to a wide range of studies and (2) motivate further
inquiry into how changes to osteocyte lacunar geometries and perilacunar material
contribute to diminished bone quality in aging.
PMID- 29374551
TI - Retinal conduction speed analysis reveals different origins of the P50 and N95
components of the (multifocal) pattern electroretinogram.
AB - The pattern electroretinogram (PERG), an indicator of retinal ganglion cell (RGC)
function, comprises a P50 and an N95 component. We addressed the question of
whether the N95 originates, like the P50, from the RGC bodies or from the change
of axon orientation at the optic nerve head (ONH). Thus, we recorded multifocal
PERGs for 36 retinal locations in 21 participants. Second-order kernel responses
were analyzed for the dependence of peak time topography on retinal fiber lengths
to the ONH separately for the positive and negative excursions. We found that
peak times were longer for macular [P1 (P50-like): 50 ms; N2 (N95-like): 76)]
than for peripheral responses [P1: 43; N2: 66]. For the N2 another factor was
necessary to explain the variability: The time difference (deltaT: N2 minus P1)
was found to be proportional to fiber length from ganglion cell body to the ONH.
We calculated retinal fiber length using an analytical function by Jansonius et
al. (2009, 2012) and found that a linear model with factors eccentricity and
fiber length explained 82% of the total N2 time variance (p"0.001). The
conduction speeds of the retinal axons were estimated from deltaT to range from
0.5 to 3.0 m/s for parafovea and periphery, respectively. The dependence of
deltaT on the distance from ganglion cell body to the ONH suggests that the N2
originates at the ONH rather than at the ganglion cell body. While the multifocal
N2 peaks earlier (~76 ms) than the non-multifocal PERG-N95 (~95 ms),
considerations of high-pass filtering and frequency dependence of the mfPERG-N2
suggest that the source separation (P50 = ganglion cell body vs. N95 = ONH) also
holds for the non-multifocal PERG.
PMID- 29374552
TI - Spatial attention modulates the temporal sequence of hemispheric asymmetry in
configural and featural face processing.
AB - Face recognition requires both configural and featural processing. Configural
face processing is more dependent on the right hemisphere, whereas featural face
processing is more dependent on the left hemisphere. The ERP components sensitive
to configural and featural face processing were found on P1 and P2, respectively.
However, whether lateralized processing is independent of or interacts with the
temporal sequence of configural and featural face processing is unclear. To
prevent potentially confounding physical stimuli differences between configural
and featural face processing from affecting the ERP components, a spatial
attention paradigm was employed in which the participants were instructed to
attend to the face location (the attended face condition) or the house location
(the unattended face condition). The interaction effect of attention, face
processing type and hemisphere on the P1 and P2 components indicates that the
different mechanisms of configural and featural face processing are a function of
spatial attention. Specifically, under the attended face condition, the posterior
P1 (approximately 100 ms) for configural face processing was larger than that for
featural face processing in the right hemisphere, whereas the P2 (approximately
220 ms) for featural face processing was larger than that for configural face
processing in the left hemisphere. In contrast, under the unattended face
condition, the P1 for featural face processing was larger than that for
configural face processing in the left hemisphere, whereas the P2 for configural
face processing was larger than that for featural face processing in the right
hemisphere. Therefore, configural and featural processing involve different
neural mechanisms, and more importantly, the time course of hemispheric asymmetry
in configural and featural face processing is differentially modulated by spatial
attention.
PMID- 29374553
TI - Optimal visuo-vestibular integration for self-motion perception in patients with
unilateral vestibular loss.
AB - Unilateral vestibular loss (UVL) is accompanied by deficits in processing of
visual and vestibular self-motion cues. The present study examined whether
multisensory integration of these two types of information is, nevertheless,
intact in such patients. Patients were seated on a rotating platform with a
screen simulating 3D rotation in front of them and asked to judge the relative
magnitude of two successive rotations in the yaw plane in three conditions:
vestibular stimulation, visual stimulation and bimodal stimulation (congruent
stimuli from both modalities together). Similar to findings in healthy controls,
UVL patients exhibited optimal multisensory integration during both ipsi- and
contralesional rotations. The benefit of multisensory integration was more
pronounced on the ipsilesional side. These results show that visuo-vestibular
integration for passive self-motion is automatic and suggests that it functions
without additional cognitive mechanisms, unlike more complex multisensory tasks
such as postural control and spatial navigation, previously shown to be impaired
in UVL patients.
PMID- 29374554
TI - Individual variation in ACTH-induced cortisol levels in females of a livebearing
fish at different gestational stages.
AB - Individuals vary in their baseline levels of stress hormones (predictive
homeostasis) and in their stress responses (reactive homeostasis). Variation in
normal reactive scope, both predictive and reactive homeostasis, may be important
for understanding how endocrine traits respond to selection. Reactive homeostasis
is the increase in glucocorticoid (GCs) hormones above baseline. Individuals at
different life history stages, such as gestation in females, may show variation
in normal reactive scope. We performed an adrenocorticotropic hormone (ACTH)
challenge and measured changes in circulating GCs to estimate the reactive scope
of female sailfin mollies (Poecilia latipinna) at different gestational states.
We measured cortisol, primary GC in teleost fishes, to obtain baseline release
rates prior to injection with either ACTH or saline control. Using water-borne
hormones, we measured cortisol release rates at four time intervals post
injection. Females were then sacrificed to determine the developmental stage of
embryos, if present, and the number of developing embryos or mature ova. We found
that ACTH-injected females had significant increases in cortisol releases rates,
whereas cortisol release rates of control females did not change during the 4 h
post-injection period. We found high repeatability in predictive homeostasis of
cortisol and moderate repeatability in reactive homeostasis and a phenotypic
correlation between predictive and reactive homeostasis. Gestational state did
not affect female predictive or reactive homeostasis. We applied the reactive
scope model to P. latipinna and gained a further understanding of how among- and
within-individual variation in both predictive and reactive homeostasis are
partitioned and how these traits vary under certain life-history conditions.
PMID- 29374555
TI - Performance evaluation of the new measurement channels on the automated Sysmex XN
9000 hematology analyzer.
AB - BACKGROUND: The automated Sysmex XN-9000 hematology system has been designed to
meet the throughput and efficiency requirements of high volume laboratories with
predominantly abnormal samples. New measurement channels have been introduced
namely the white cell nucleated (WNR), white cell differential (WDF), white cell
precursor (WPC) and fluorescent platelet (PLT-F) channels. METHODS: The
performance of the new measurement channels was evaluated with regards to
precision, accuracy, linearity, carryover, throughput and stability. 275 slides
were assessed for morphology flagging. Adult and pediatric samples with normal
and abnormal hematology profiles were included. RESULTS: The XN-9000 demonstrated
acceptable imprecision, good linearity for high and low ranges and no carryover.
The full blood count and reticulocyte on the XN-9000 correlated well with the
reference ADVIA(2)120. The PLT-F (127+/-84*109/l) compared with the optical
platelet count (131+/-76*109/l) (r=0.97) and the imprecision was <4% on
thrombocytopenic samples. The low white blood cell (WBC) mode reported accurate
differentials for samples with a WBC count<0.5*109/l (r=0.93). The nucleated red
blood cell count from the WNR (1.22+/-3.96%) showed an excellent correlation with
the manual method (1.12+/-4.79%) (r=0.99). The WPC channel showed 100%
sensitivity for the detection of blasts and abnormal lymphocytes. Further, the
WPC correctly suppressed the initial blast/abnormal lymphocyte flag in 34% of the
reflexed samples. CONCLUSION: The XN-9000 showed enhanced analytical performance
and workflow efficiency for a wide range of patient samples which can be
attributed to the incorporation of new measurement channels.
PMID- 29374556
TI - CCL5 deficiency rescues pulmonary vascular dysfunction, and reverses pulmonary
hypertension via caveolin-1-dependent BMPR2 activation.
AB - Pulmonary arterial hypertension (PAH) is a devastating cardiopulmonary disorder
characterized by pulmonary arterial remodeling mainly due to excess cellular
proliferation and apoptosis resistance of pulmonary arterial smooth muscle cells
(PASMCs). Reduced bone morphogenetic protein receptor 2 (BMPR2) expression in
patients with PAH impairs pulmonary arterial endothelial cells (PAECs) function.
This can adversely affect PAEC survival and promote PASMCs proliferation. We
hypothesized that interventions to normalize the expression of genes that are
targets of the BMPR2 signaling could restore PAECs function and prevent or
reverse PAH. Here we characterized for the first time, in human PAECs, chemokine
(C-C motif) ligand 5 (CCL5/RANTES) deficiency restore BMP-mediated PAECs
function. In the cell culture experiments, we found that CCL5 deficiency
increased apoptosis and tube formation of PAECs, but suppressed proliferation and
migration of PASMCs. Silencing CCL5 expression in PAH PAECs restored bone
morphogenetic protein (BMP) signaling responses and promoted phosphorylation of
SMADs and transcription of ID genes. Moreover, CCL5 deficiency inhibited
angiogenesis by increasing pSMAD-dependent and-independent BMPR2 signaling. This
was linked mechanistically to enhanced interaction of BMPR2 with caveolin-1 via
CCL5 deficiency-mediated stabilization of endothelial surface caveolin-1.
Consistent with these functions, deletion of CCL5 significantly attenuated
development of Sugen5416/hypoxia-induced PAH by restoring BMPR2 signaling in
mice. Taken together, our findings suggest that CCL5 deficiency could reverse
obliterative changes in pulmonary arteries via caveolin-1-dependent amplification
of BMPR2 signaling. Our results shed light on better understanding of the disease
pathobiology and provide a possible novel target for the treatment of PAH.
PMID- 29374557
TI - Molecular Paths Linking Metabolic Diseases, Gut Microbiota Dysbiosis and
Enterobacteria Infections.
AB - Alterations of both ecology and functions of gut microbiota are conspicuous
traits of several inflammatory pathologies, notably metabolic diseases such as
obesity and type 2 diabetes. Moreover, the proliferation of enterobacteria,
subdominant members of the intestinal microbial ecosystem, has been shown to be
favored by Western diet, the strongest inducer of both metabolic diseases and gut
microbiota dysbiosis. The inner interdependence between the host and the gut
microbiota is based on a plethora of molecular mechanisms by which host and
intestinal microbes modify each other. Among these mechanisms are as follows: (i)
the well-known metabolic impact of short chain fatty acids, produced by microbial
fermentation of complex carbohydrates from plants; (ii) a mutual modulation of
miRNAs expression, both on the eukaryotic (host) and prokaryotic (gut microbes)
side; (iii) the production by enterobacteria of virulence factors such as the
genotoxin colibactin, shown to alter the integrity of host genome and induce a
senescence-like phenotype in vitro; (iv) the microbial excretion of outer
membrane vesicles, which, in addition to other functions, may act as a carrier
for multiple molecules such as toxins to be delivered to target cells. In this
review, I describe the major molecular mechanisms by which gut microbes exert
their metabolic impact at a multi-organ level (the gut barrier being in the front
line) and support the emerging triad of metabolic diseases, gut microbiota
dysbiosis and enterobacteria infections.
PMID- 29374558
TI - Cortactin recruits FMNL2 to promote actin polymerization and endosome motility in
invadopodia formation.
AB - Recently, invadopodia have been increasingly recognized as important drivers of
local invasion and metastasis. Cortactin, as an actin-binding protein, is closely
associated with invadopodia through interacting with proteins. Formin-like 2
(FMNL2), a member of diaphanous-related formins which act as nucleation factors,
plays an important role in tumor progression. But whether cortactin can interact
with FMNL2 to promote invadopodia formation and the role of FMNL2 in invadopodia
formation are still unknown. Here we found that cortactin directly bound to FMNL2
and elevated the activities of actin polymerization and recycling endosome
motility. FMNL2 was necessary for invadopodia formation and function in CRC
cells. The interaction of cortactin and FMNL2 could further promote the
invadopodia formation and matrix degradation. The stimulation of EGF/cdc42
enhanced the combination of cortactin and FMNL2 to intensify the numbers of
invadopodia and the degrees of matrix degradation. In vivo, induction of
invadopodia formation via cortactin is essential for the ability of FMNL2 to
promote CRC metastasis. Furthermore, up-regulations of FMNL2 and cortactin were
highly linked in CRC tissues. Collectively, our work demonstrates a brand-new
mechanism of cortacin and FMNL2 at invadopodia in CRC.
PMID- 29374559
TI - FNDC5 attenuates adipose tissue inflammation and insulin resistance via AMPK
mediated macrophage polarization in obesity.
AB - BACKGROUND: Obesity-induced chronic inflammation is critical in the pathogenesis
of insulin resistance, and the recruitment and proinflammatory activation of
adipose tissue macrophages (ATMs) is important for the development of this
process. Here, we examined the effects of fibronectin type III domain-containing
5 (FNDC5) on inflammation and insulin resistance in high-fat diet-induced obese
mice. MATERIALS AND METHODS: Male wild-type (WT) and FNDC5-/- mice were fed with
standard chow (Ctrl) or high fat diet (HFD) for 20 weeks to induce obesity and
insulin resistance. Firstly, effects of FNDC5 gene deletion on obesity, insulin
resistance, macrophage accumulation and polarization and adipose tissue
inflammation were determined in mice. Secondly, the macrophage polarity shift was
further examined with flow cytometry in isolated stromal vascular fraction (SVF).
Thirdly, the effects of exogenous FNDC5 on lipopolysaccharide (LPS)-induced
macrophage polarization, inflammation and the underlying signaling mechanism were
investigated in RAW264.7 macrophages and primary mouse peritoneal cavity
macrophages (PMs). Finally, the therapeutic effects of FNDC5 overexpression were
examined in HFD-induced obese WT and FNDC5-/- mice. RESULTS: FNDC5 gene deletion
aggravated obesity, insulin resistance, fat accumulation and inflammation
accompanied with enhanced AMPK inhibition, macrophages recruitment and M1
polarization in mice fed with HFD. Exogenous FNDC5 inhibited LPS-induced M1
macrophage polarization and inflammatory cytokine production via AMPK
phosphorylation in both RAW264.7 macrophages and PMs. FNDC5 overexpression
attenuated insulin resistance, AMPK inhibition, M1 macrophage polarization and
inflammatory cytokine production in adipose tissue of obese WT and FNDC5-/- mice.
CONCLUSIONS: FNDC5 attenuates adipose tissue inflammation and insulin resistance
via AMPK-mediated macrophage polarization in HFD-induced obesity. FNDC5 plays
several beneficial roles in obesity and may be used as a therapeutic regimen for
preventing inflammation and insulin resistance in obesity and diabetes.
PMID- 29374560
TI - Cellular and functional biomarkers of clinical transplant tolerance.
AB - Development of tolerance protocols requires assays or biomarkers that distinguish
tolerant recipients from non-tolerant ones to be established. In addition, a
thorough understanding of the plausible mechanisms associated with clinical
transplant tolerance is necessary to take the field forward. Unlike the majority
of molecular signature analyses utilized by others, the emphasis of this article
is on the cellular and functional biomarkers of induced transplant tolerance.
Immunity to an organ transplant is very complex, comprised of two broad
categories - innate and acquired or adaptive immune responses. Innate immunity
can be avoided by eliminating or preventing ischemic injuries to the donor organ
and tolerance at the level of adaptive immunity can be induced by infusions of a
number of cellular products. Since adaptive immune response consists of
inflammatory hypersensitivity, cellular (cytotoxic and helper) and humoral
aspects, all these need to be measured, and the recipients who demonstrate donor
specific unresponsiveness in all can be considered tolerant or candidates for
immunosuppression minimization and/or withdrawal. The mechanisms by which these
agents bring about transplant tolerance include regulation, anergy, exhaustion,
senescence and deletion of the recipient immune cells. Another proven mechanism
of tolerance is full or mixed donor chimerism. However, it should be cautioned
that non-deletional tolerance can be reversed.
PMID- 29374561
TI - Current status of muscarinic M1 and M4 receptors as drug targets for
neurodegenerative diseases.
AB - The cholinergic signalling system has been an attractive pathway to seek targets
for modulation of arousal, cognition, and attention which are compromised in
neurodegenerative and neuropsychiatric diseases. The acetylcholine muscarinic
receptor M1 and M4 subtypes which are highly expressed in the central nervous
system, in cortex, hippocampus and striatum, key areas of cognitive and
neuropsychiatric control, have received particular attention. Historical
muscarinic drug development yielded first generation agonists with modest
selectivity for these two receptor targets over M2 and M3 receptors, the major
peripheral sub-types hypothesised to underlie the dose-limiting clinical side
effects. More recent compound screening and medicinal chemistry optimization of
orthosteric and allosteric agonists, and positive allosteric modulators binding
to sites distinct from the highly homologous acetylcholine binding pocket have
yielded a collection of highly selective tool compounds for preclinical
validation studies. Several M1 selective ligands have progressed to early
clinical development and in time will hopefully lead to useful therapeutics for
treating symptoms of Alzheimer's disease and related disorders. This article is
part of the Special Issue entitled 'Neuropharmacology on Muscarinic Receptors'.
PMID- 29374563
TI - Does the use of melatonin overcome drug resistance in cancer chemotherapy?
AB - Our knowledge regarding the implications of melatonin in the therapy of numerous
medical conditions, including cancer is constantly expanding. Melatonin can
variably affect cancer pathology via targeting several key aspects of any
neoplastic condition, including the very onset of carcinogenesis as well as tumor
growth, differentiation, and dissemination. Numerous studies have examined the
effects of melatonin in the context of various cancers reporting the enhanced
efficacy of chemo/radiotherapy in combination with this compound. Reduced
sensitivity and also resistance of cancer cells to antineoplastic agents are
common events which might arise as a result of genomic instability of the
malignant cells. Genetic mutations provide numerous mechanisms for these cells to
resist cytotoxic therapies. Melatonin, due to its pleitropic effects, is able to
correct these alterations in favour of sensitization to antineoplastic agents as
evident by increased response to treatment via modulating the expression and
phosphorylation status of drug targets, the reduced clearance of drugs by
affecting their metabolism and transport within the body, decreased survival of
malignant cells via altering DNA repair and telomerase activity, and enhanced
responsiveness to cell death-associated mechanisms such as apoptosis and
autophagy. These effects are presumably governed by melatonin's interventions in
the main signal transduction pathways such as Akt and MAPK, independent of its
antioxidant properties. Possessing such a signaling altering nature, melatonin
can considerably affect the drug-resistance mechanisms employed by the malignant
cells in breast, lung, hepatic, and colon cancers as well as different types of
leukemia which are the subject of the current review.
PMID- 29374562
TI - Food-induced reinforcement is abrogated by the genetic deletion of the MT1 or MT2
melatonin receptor in C3H/HeN mice.
AB - Palatable food is known for its ability to enhance reinforcing responses. Studies
have suggested a circadian variation in both drug and natural reinforcement, with
each following its own time course. The goal of this study was to determine the
role of the MT1 and MT2 melatonin receptors in palatable snack food-induced
reinforcement, as measured by the conditioned place preference (CPP) paradigm
during the light and dark phases. C3H/HeN wild-type mice were trained for snack
food-induced CPP at either ZT 6 - 8 (ZT: Zeitgeber time; ZT 0 = lights on), when
endogenous melatonin levels are low, or ZT 19 - 21, when melatonin levels are
high. These time points also correspond to the high and low points for expression
of the circadian gene Period1, respectively. The amount of snack food (chow,
Cheetos(r), Froot Loops(r) and Oreos(r)) consumed was of similar magnitude at
both times, however only C3H/HeN mice conditioned to snack food at ZT 6 - 8
developed a place preference. C3H/HeN mice with a genetic deletion of either the
MT1 (MT1KO) or MT2 (MT2KO) receptor tested at ZT 6 - 8 did not develop a place
preference for snack food. Although the MT2KO mice showed a similar amount of
snack food consumed when compared to wild-type mice, the MT1KO mice consumed
significantly less than either genotype. We conclude that in our mouse model
snack food-induced CPP is dependent on time of day and the presence of the MT1 or
MT2 receptors, suggesting a role for melatonin and its receptors in snack food
induced reinforcement.
PMID- 29374564
TI - Structural investigation of cellobiose dehydrogenase IIA: Insights from small
angle scattering into intra- and intermolecular electron transfer mechanisms.
AB - BACKGROUND: Cellobiose dehydrogenases have gained interest due to their potential
applications in sectors from biofuel production to biomedical devices. The CDHIIA
variant is comprised of a cytochrome domain (CYT), a dehydrogenase domain (DH),
and a carbohydrate-binding module (CBM) that are connected by two flexible
linkers. Upon cellobiose oxidation at the DH, intramolecular electron transfer
(IaET) occurs from the DH to the CYT. In vivo, CDHIIA CYT subsequently performs
intermolecular electron transfer (IeET) to a lytic polysaccharide monooxygenase
(LPMO). The relevant solution-state CDH domain conformations for IaET and IeET
have not been fully characterized. METHODS: Small-angle X-ray and neutron
scattering measurements of oxidized CDHIIA from Myriococcum thermophilum and
Neurospora crassa were performed to investigate the structural landscape explored
in solution by MtCDHIIA and NcCDHIIA in response to cations, pH, and the presence
of an electron acceptor, LPMO9D from N. crassa. RESULTS: The scattering data
complemented by modeling show that, under oxidizing conditions, MtCDHIIA
undergoes global conformational rearrangement in the presence of Ca2+. Oxidized
NcCDHIIA exhibits conformational changes upon pH variation and, in the presence
of NcLPMO9D, primarily adopts a compact conformation. CONCLUSIONS: These results
demonstrate different conformational responses of oxidized MtCDHIIA and NcCDHIIA
to changes in environment. The results also reveal a shift in the oxidized
NcCDHIIA conformational landscape toward interdomain compaction upon co
incubation with NcLPMO9D. GENERAL SIGNIFICANCE: The present study is the first
report on the structural landscapes explored in solution by oxidized cellobiose
dehydrogenases under various cation concentrations, pH conditions and in the
presence of an electron-accepting LPMO.
PMID- 29374565
TI - Effects of extraction methods on the rheological properties of polysaccharides
from onion (Allium cepa L.).
AB - In this work we described the rheological properties of polysaccharides (HBSS,
CHSS, DASS, CASS) sequentially extracted from onion (Allium cepa L.). Four onion
polysaccharides (ACLPs) solutions resulted into significant differences on their
rheological properties. ACLPs solutions showed non-Newtonian shear-thinning
behavior over the range of 0.5-2.5%. At concentration of 1%, the apparent
viscosity of CHSS was observed to be the highest. The apparent viscosity of ACLPs
solutions decreased with the acidic pH (4.0) or alkaline pH (10.0) which was
further declined at higher temperature (90 degrees C). After the addition of
various salts, ACLPs had apparent differences on apparent viscosity. The G'
(storage modulus) and G" (loss modulus) of ACLP solutions were increased with
increasing oscillation frequency. Moreover, the crossover value of oscillation
frequency gradually decreased with increasing concentration of ACLPs. Our results
exhibited that among the ACLPs, CHSS can be used as supplements in the food
industry as thickening agent, gelling agent and stabilizer.
PMID- 29374566
TI - High-performance biodegradable polylactide composites fabricated using a novel
plasticizer and functionalized eggshell powder.
AB - A novel polyester poly(diethylene glycol succinate) (PDEGS) was synthesized and
evaluated as a plasticizer for polylactide (PLA) in this study. Meanwhile, an
effective sustainable filler, functionalized eggshell powder (FES) with a surface
layer of calcium phenyphosphonate was also prepared. Then, PLA biocomposites were
prepared from FES and PDEGS using a facile melt blending process. The addition of
15 wt% PDEGS as plasticizer showed good miscibility with PLA macromolecules and
increased the chain mobility of PLA. The crystallization kinetics of PLA
composites revealed that the highly effective nucleating FES significantly
improved the crystallization ability of PLA at both of non-isothermal and
isothermal conditions. In addition, the effective plasticizer and well-dispersed
FES increased the elongation at break from 6% of pure PLA to over 200% for all of
the plasticized PLA composites. These biodegradable PLA biocomposites, coupled
with excellent crystallization ability and tunable mechanical properties,
demonstrate their potential as alternatives to traditional commodity plastics.
PMID- 29374567
TI - Cannabis Use, Lung Cancer, and Related Issues.
AB - The cannabis plant and its derivatives have been exploited for centuries for
recreational and medicinal purposes, with millions of regular users around the
world. The recreational use of cannabis is reflective of its neuropsychiatric
effects, such as anxiolysis and euphoria. However, cannabis appears to have an
emerging therapeutic role, especially in chronic disease and as an adjunct to
cancer treatment. Increasing evidence supports cannabis in the management of
chemotherapy-induced nausea and vomiting (CINV) and for pain management; however,
studies are limited, particularly by difficulties associated with standardized
dosing estimates and inability to accurately assess biologic activities of
compounds in cannabis and derivative products. Smoking cannabis has not been
proved to be a risk factor in the development of lung cancer, but the data are
limited by small studies, misclassification due to self-reporting of use, small
numbers of heavy cannabis smokers, and confounding of the risk associated with
known causative agents for lung cancer (such as parallel chronic tobacco use).
Cannabis and its biologically effective derivatives warrant additional research,
ideally, controlled trials in which the cannabidiol and the delta-9
tetrahydrocabinol strength and use are controlled and documented.
PMID- 29374569
TI - Diketopiperazines and 2H-pyran-2-ones with antioxidant activity from the rice
fermented with Aspergillus luchuensis.
AB - Aspergillus luchuensis is widely used as a starter of saccharification in the
koji industry, but no secondary metabolites have been reported from this fungus.
Herein, we report the isolation and identification of four new diketopiperazine
derivatives (1-4), one new methyl 4-(3-acetyl-2, 6-dihydroxyphenyl)-2
methoxybutanoate (5), and six known compounds (6-11) from the rice koji of A.
luchuensis. The structures of 1-5 were determined by extensive spectral analysis
including 1D and 2D NMR, HRESIMS, and CD, and ECD calculation. In antioxidant
assays, compound 10 displayed moderate DPPH scavenging activity with an EC50
value of 60.8MUM; compounds 1-4, 10 and 11 showed reducing ability with EC50
values ranging from 8.73 to 176.39MUM. Compounds 1-11 showed no cytotoxicity
against cell lines A549, K562, ASPC, and H460 at 200MUM. Our current reports
support the safety of A. luchuensis in food chemistry and confirm this fungus to
be a new source of natural antioxidants.
PMID- 29374568
TI - Diverse functions of protein tyrosine phosphatase sigma in the nervous and immune
systems.
AB - Tyrosine phosphorylation is a common means of regulating protein functions and
signal transduction in multiple cells. Protein tyrosine phosphatases (PTPs) are a
large family of signaling enzymes that remove phosphate groups from tyrosine
residues of target proteins and change their functions. Among them, receptor-type
PTPs (RPTPs) exhibit a distinct spatial pattern of expression and play essential
roles in regulating neurite outgrowth, axon guidance, and synaptic organization
in developmental nervous system. Some RPTPs function as essential receptors for
chondroitin sulfate proteoglycans that inhibit axon regeneration following CNS
injury. Interestingly, certain RPTPs are also important to regulate functions of
immune cells and development of autoimmune diseases. PTPsigma, a RPTP in the LAR
subfamily, is expressed in various immune cells and regulates their
differentiation, production of various cytokines and immune responses. In this
review, we highlight the physiological and pathological significance of PTPsigma
and related molecules in both nervous and immune systems.
PMID- 29374570
TI - Ethylnaphthoquinone derivatives as inhibitors of indoleamine-2, 3-dioxygenase
from the mangrove endophytic fungus Neofusicoccum austral SYSU-SKS024.
AB - Bioassay-guided fractionation of the dichloromethane extract of the fungus
Neofusicoccum austral SYSU-SKS024 led to the isolation of three new
ethylnaphthoquinone derivatives, neofusnaphthoquinone A (1), 6-(1-methoxylethy1)
2,7-dimethoxyjuglone (2), (3R,4R)-3-methoxyl-botryosphaerone D (6), together with
six known analogs (3-5 and 7-9). Their structures were elucidated by
spectroscopic analysis and single crystal X-ray diffraction analysis.
Neofusnaphthoquinone A (1) is the third example of the unsymmetrical
naphthoquinone dimer, which is rarely found in natural source. All of the
isolates were evaluated for their indoleamine 2, 3-dioxygenase (IDO) inhibitory
activity, compounds 1-6 showed in vitro inhibitory effects against IDO with IC50
values ranging from 0.11 to 10.92MUM. This is the first time naphthoquinone dimer
(1), as a novel carbon skeleton possessing IDO inhibitory activity, was reported.
PMID- 29374571
TI - Predicting skin sensitizers with confidence - Using conformal prediction to
determine applicability domain of GARD.
AB - GARD - Genomic Allergen Rapid Detection is a cell based alternative to animal
testing for identification of skin sensitizers. The assay is based on a biomarker
signature comprising 200 genes measured in an in vitro model of dendritic cells
following chemical stimulations, and consistently reports predictive performances
~90% for classification of external test sets. Within the field of in vitro skin
sensitization testing, definition of applicability domain is often neglected by
test developers, and assays are often considered applicable across the entire
chemical space. This study complements previous assessments of model performance
with an estimate of confidence in individual classifications, as well as a
statistically valid determination of the applicability domain for the GARD assay.
Conformal prediction was implemented into current GARD protocols, and a large
external test dataset (n = 70) was classified at a confidence level of 85%, to
generate a valid model with a balanced accuracy of 88%, with none of the tested
chemical reactivity domains identified as outside the applicability domain of the
assay. In conclusion, results presented in this study complement previously
reported predictive performances of GARD with a statistically valid assessment of
uncertainty in each individual prediction, thus allowing for classification of
skin sensitizers with confidence.
PMID- 29374572
TI - Increased GATA-3 and T-bet expression in eosinophilic esophagitis versus
gastroesophageal reflux disease.
PMID- 29374573
TI - Role of local CpG DNA methylation in mediating the 17q21 asthma susceptibility
gasdermin B (GSDMB)/ORMDL sphingolipid biosynthesis regulator 3 (ORMDL3)
expression quantitative trait locus.
PMID- 29374574
TI - Gabapentin reduces haloperidol-induced vacuous chewing movements in mice.
AB - Tardive dyskinesia (TD) is a common adverse effect observed in patients with long
term use of typical antipsychotic medications. A vacuous chewing movement (VCM)
model induced by haloperidol has been used to study these abnormalities in
experimental animals. The cause of TD and its treatment remain unknown, but
several lines of evidence suggest that dopamine receptor supersensitivity and
gamma-aminobutyric acid (GABA) insufficiency play important roles in the
development of TD. This study investigated the effects of treatment with the GABA
mimetic drug gabapentin on the development of haloperidol-induced VCMs. Male mice
received vehicle, haloperidol (1.5 mg/kg), or gabapentin (GBP, 100 mg/kg)
intraperitoneally during 28 consecutive days. Quantification of VCMs was
performed before treatment (baseline) and on day 28, and an open-field test was
also conducted on the 28th day of treatment. The administration of gabapentin
prevented the manifestation of haloperidol-induced VCMs. Treatment with
haloperidol alone reduced the locomotor activity in the open-field test that was
prevented by co-treatment with gabapentin. We did not find any differences among
the groups nor in the tyrosine hydroxylase (TH) or glutamic acid decarboxylase
(GAD) immunoreactivity or monoamine levels in the striatum of mice. These results
suggest that treatment with gabapentin, an analog of GABA, can attenuate the VCMs
induced by acute haloperidol treatment in mice without alterations in monoamine
levels, TH, or GAD67 immunoreactivity in the striatum.
PMID- 29374575
TI - The effects of hypoxia on hunger perceptions, appetite-related hormone
concentrations and energy intake: A systematic review and meta-analysis.
AB - Exposure to hypoxia appears to depress appetite and energy intake, however the
mechanisms are not fully understood. The aim of this review was to determine the
magnitude of changes in hunger and energy intake in hypoxic compared with
normoxic environments, and establish any alterations in appetite-related hormone
concentrations. PubMed and The Cochrane Library as well as MEDLINE, SPORTDiscus,
PsycINFO and CINAHL, via EBSCOhost, were searched through 1st April 2017 for
studies that evaluated hunger, energy intake and/or appetite-related hormones in
normoxia and during hypoxic exposure in a within-measures design. A total of 28
studies (comprising 54 fasted and 22 postprandial comparisons) were included. A
random-effects meta-analysis was performed to establish standardised mean
difference (SMD) with 95% confidence intervals. Hypoxic exposure resulted in a
trivial but significant decrease in postprandial hunger scores (SMD: -0.15, 95%
CI: -0.29 to -0.01; n = 14; p = 0.043) and a moderate decrease in energy intake
(SMD: -0.50, 95% CI: -0.85 to -0.15; n = 8; p = 0.006). Hypoxic exposure resulted
in a decrease (albeit trivial) in postprandial acylated ghrelin concentrations
(SMD: -0.16, 95% CI: -0.25 to -0.08; n = 7; p < 0.0005), and a moderate increase
in fasted insulin concentrations (SMD: 0.41, 95% CI: 0.17 to 0.65; n = 34; p =
0.001). Meta-regression revealed a decrease in postprandial acylated ghrelin
concentrations (p = 0.010) and an increase in fasted insulin concentrations (p =
0.020) as hypoxic severity increased. Hypoxic exposure reduces hunger and energy
intake, which may be mediated by decreased circulating concentrations of acylated
ghrelin and elevated insulin concentrations. PROSPERO registration number:
CRD42015017231.
PMID- 29374576
TI - Regulation of hyaluronan biosynthesis and clinical impact of excessive hyaluronan
production.
AB - The tightly regulated biosynthesis and catabolism of the glycosaminoglycan
hyaluronan, as well as its role in organizing tissues and cell signaling, is
crucial for the homeostasis of tissues. Overexpression of hyaluronan plays
pivotal roles in inflammation and cancer, and markedly high serum and tissue
levels of hyaluronan are noted under such pathological conditions. This review
focuses on the complexity of the regulation at transcriptional and
posttranslational level of hyaluronan synthetic enzymes, and the outcome of their
aberrant expression and accumulation of hyaluronan in clinical conditions, such
as systemic B-cell cancers, aggressive breast carcinomas, metabolic diseases and
virus infection.
PMID- 29374577
TI - Evaluation of linezolid or trimethoprim/sulfamethoxazole in combination with
rifampicin as alternative oral treatments based on an in vitro pharmacodynamic
model of staphylococcal biofilm.
AB - Combinations of linezolid (LZD) or trimethoprim/sulfamethoxazole (SXT) plus
rifampicin (RIF) are alternative oral treatments for staphylococcal prosthetic
joint infections (PJIs) when fluoroquinolones are not possible to use, but there
is limited evidence regarding their activity. This study evaluated the efficacy
of LZD and SXT, alone and in combination with RIF, against Staphylococcus aureus
in an in vitro pharmacokinetic/pharmacodynamic biofilm model. Using the CDC
Biofilm Reactor(r) system, simulated regimens of LZD (600 mg every 12 h), SXT
(160/800 mg every 8 h) and levofloxacin (LVX) (750 mg/day), alone and in
combination with RIF (600 mg/day), were evaluated against one methicillin
susceptible S. aureus (MSSA) and one methicillin-resistant S. aureus (MRSA)
strain. Antibiotic efficacy was evaluated by the decrease in planktonic bacterial
counts from medium and biofilm-embedded bacteria from coupons over 56 h.
Resistant strains were screened. In both strains, SXT alone was ineffective and
LZD presented low activity, but no resistance emerged. Combinations with RIF
significantly increased the antibiofilm efficacy against MSSA (Deltalog CFU/mL
56h-0h: SXT + RIF, -2.9 and LZD + RIF, -3.1), but RIF-resistant strains appeared
with SXT + RIF. Against MRSA, LZD + RIF (-3.1) protected against the emergence of
resistance and was more effective than SXT + RIF (-0.6; P <0.05), in which RIF
resistant strains were again detected. LVX + RIF confirmed its high efficacy
against biofilm-embedded bacteria, this being the most effective therapy (-5.1
against MSSA). The emergence of RIF-resistant strains with SXT + RIF poses
serious concerns for its use in clinical practice. Interestingly, LZD + RIF
appears to be an appropriate alternative for PJI caused by LVX-resistant S.
aureus.
PMID- 29374578
TI - Mean Aortic pressure gradient and global longitudinal strain recovery after
transcatheter aortic valve replacement - A retrospective analysis.
AB - BACKGROUND: Global longitudinal strain (GLS) has incremental value in assessing
left ventricular (LV) function in severe aortic stenosis and is related to
clinical outcome after transcatheter aortic valve replacement (TAVR). We sought
to identify relevant echocardiographic predictors of GLS improvement and
myocardial function recovery after TAVR. METHODS: We analyzed baseline and 12
month follow-up echocardiograms for LV strain analysis from 123 patients who
underwent at Emory University Hospital with the Edwards SAPIEN valve between
7/2007 and 7/2013. RESULTS: At baseline, 61 had reduced LV ejection fraction
(LVEF) <=50% (rEF), and 80 had preserved LVEF >50% (pEF). Higher baseline mean
pressure gradient (MPG) and aortic peak velocity (AV Vmax) predicted myocardial
function recovery defined as >=20% improvement in global longitudinal strain (r =
0.29, p < .001; r = 0.26, p = .002). When analyzing subjects with discordant
changes in GLS and LVEF at follow-up, subjects with improved GLS, although
reduced LVEF after TAVR, experienced a greater reduction in MPG and AV Vmax (-40
vs. -30, p = 0.015; -2.3 vs. -1.9, p = .021) after the procedure. CONCLUSIONS: In
high-risk patients undergoing TAVR for severe aortic stenosis, GLS is impaired
and more impaired in patients with reduced EF. Higher baseline MPG predicts
myocardial function recovery. GLS improvement after TAVR is related to relief of
pressure overload.
PMID- 29374579
TI - Radial artery as a graft for coronary artery bypass surgery in the era of
transradial catheterization.
AB - Radial artery use as a bypass conduit is well established during the past
decades, in terms of both patency and safety. On the other hand, transradial
catheterization causes a series of structural and functional changes to the
vessel itself. Impairment of nitric oxide-dependent vasodilation and notable
decrease in radial artery diameter due to intima thickening and hyperplasia,
especially during the first 3 months after its cannulation, constitute some of
the most important alterations on the radial artery wall and its function after a
transradial coronary catheterization procedure. Given the constantly increasing
numbers of these transradial catheterization procedures, the authors of this
article focus on the current knowledge regarding the potential use of the radial
artery as a bypass conduit, after its catheterization, also considering several
possible mechanisms on its subsequent structural and functional changes.
PMID- 29374580
TI - Rapid and sensitive multiplex single-tube nested PCR for the identification of
five human Plasmodium species.
AB - Malaria is caused by five species of Plasmodium in humans. Microscopy is
currently used for pathogen detection, requiring considerable training and
technical expertise as the parasites are often difficult to differentiate
morphologically. Rapid diagnostic tests are as reliable as microscopy and offer
faster diagnoses but possess lower detection limits and are incapable of
distinguishing among the parasitic species. To improve global health efforts
towards malaria control, a rapid, sensitive, species-specific, and economically
viable diagnostic method is needed. In this study, we designed a malaria
diagnostic method involving a multiplex single-tube nested PCR targeting
Plasmodium mitochondrial cytochrome c oxidase III and single-stranded tag
hybridization chromatographic printed-array strip. The detection sensitivity was
found to be at least 40 times higher than that of agarose gel electrophoresis
with ethidium bromide. This system also enables the identification of both single
and mixed-species malaria infections. The assay was validated with 152 Kenyan
samples; using nested PCR as the standard, the assay's sensitivity and
specificity were 88.7% and 100.0%, respectively. The turnaround time required,
from PCR preparation to signal detection, is 90min. Our method should improve the
diagnostic speed, treatment efficacy, and control of malaria, in addition to
facilitating surveillance within global malaria eradication programs.
PMID- 29374581
TI - Self-confidence and affect responses to short-term sprint interval training.
AB - The study aimed to investigate the effects of repeated sprint (RS) training on
somatic anxiety (SA), cognitive anxiety (CA), self-confidence (SC), rating of
perceived exertion (RPE) and repeated sprint ability (RSA) indicators in elite
young soccer players. Thirty elite soccer players in the first football league
(age: 17.8+/-0.9years) volunteered to participate in this study. They were
randomly assigned to one of two groups: a repeated sprint training group (RST-G;
n=15) and a control group (CON-G; n=15). RST-G participated in 6weeks of
intensive training based on RS (6*(20+20m) runs, with 20s passive recovery
interval between sprints, 3 times/week). Before and after the 6-week
intervention, all participants performed a RSA test and completed a Competitive
Scale Anxiety Inventory (CSAI-2) and the RPE. After training RST-G showed a very
significant (p<0.000) increase in RSA total time performance relative to
controls. Despite the faster sprint pace, the RPE also decreased significantly
(p<0.005) in RST-G, and their self confidence was significantly greater (p<0.01),
while the cognitive (p<0.01) and somatic (p<0.000) components of their anxiety
state decreased. When practiced regularly, short bouts of sprint exercises
improve anaerobic performance associated with a reduction in anxiety state and an
increase in SC which may probably boost competitive performance.
PMID- 29374583
TI - A Right Insight in Cardiogenic Shock to Get It Right.
PMID- 29374582
TI - Spontaneous activity forms a foundation for odor-evoked activation maps in the
rat olfactory bulb.
AB - Fluctuations in spontaneous activity have been observed by many neuroimaging
techniques, but because these resting-state changes are not evoked by stimuli, it
is difficult to determine how they relate to task-evoked activations. We
conducted multi-modal neuroimaging scans of the rat olfactory bulb, both with and
without odor, to examine interaction between spontaneous and evoked activities.
Independent component analysis of spontaneous fluctuations revealed resting-state
networks, and odor-evoked changes revealed activation maps. We constructed
simulated activation maps using resting-state networks that were highly
correlated to evoked activation maps. Simulated activation maps derived by
intrinsic optical signal (IOS), which covers the dorsal portion of the glomerular
sheet, significantly differentiated one odor's evoked activation map from the
other two. To test the hypothesis that spontaneous activity of the entire
glomerular sheet is relevant for representing odor-evoked activations, we used
functional magnetic resonance imaging (fMRI) to map the entire glomerular sheet.
In contrast to the IOS results, the fMRI-derived simulated activation maps
significantly differentiated all three odors' evoked activation maps.
Importantly, no evoked activation maps could be significantly differentiated
using simulated activation maps produced using phase-randomized resting-state
networks. Given that some highly organized resting-state networks did not
correlate with any odors' evoked activation maps, we posit that these resting
state networks may characterize evoked activation maps associated with odors not
studied. These results emphasize that fluctuations in spontaneous activity form a
foundation for active processing, signifying the relevance of resting-state
mapping to functional neuroimaging.
PMID- 29374584
TI - Cataract, phacoemulsification and intraocular pressure: Is the anterior segment
anatomy the missing piece of the puzzle?
AB - Cataract extraction is a safe and effective surgery that has a lowering effect on
the intraocular pressure. The specific mechanisms for this effect are still
unclear. A direct inflammatory effect on the trabecular meshwork, alteration of
the blood aqueous barrier, changes in the ciliary body and mechanical changes of
the anterior segment anatomy are the key to understand cataract surgery and it's
effects on aqueous humor dynamics. Additionally, with the advent of AS OCT,
changes in the anterior segment of the eye have been studied and several
parameters (such as lens vault, angle opening distance and anterior chamber
depth) have been identified as predictors of intraocular pressure change. In eyes
with narrow angles there is a greater drop in intraocular pressure after cataract
surgery and it is correlated with parameters related to anterior chamber space.
It is safe to affirm that cataract surgery is an important part of the modern
glaucoma treatment and evidence should be analyzed as part of a bigger picture in
order to more accurately understand its clinical relevance.
PMID- 29374587
TI - Clinical outcome of multidrug-resistant tuberculosis patients receiving
standardized second-line treatment regimen in China.
AB - OBJECTIVES: The aim of this study was to retrospectively analyze the clinical
outcome and the risk factors associated with poor outcome of MDR-TB patients
receiving standardized second-line treatment regimen in China. METHODS: Between
January 2008 and December 2010, a total of 12,100 clinical diagnosed TB cases at
high risk of drug-resistant TB (DR-TB) were enrolled in this study. Routine
follow-up tests were conducted every month during the 6-month intensive phase,
and every two months during the 18-month continuation phase. RESULTS: On the
basis of phenotypical drug susceptibility test (DST) results, 2322 MDR-TB
patients were confirmed, of which 1542 further received standardized second-line
anti-TB regimen. The treatment success rate was 47.6% (734/1542): 688 patients
(44.6%) were cured and 46 (3.0%) completed treatment. The percentage of cases
with favorable outcome in previously untreated patients (57.6%) was significantly
higher than that in treatment-experienced patients (46.1%, OR: 1.58, 95% CI: 1.17
2.14). In addition, a significant lower percentage of male MDR-TB cases with
favorable outcome (45.8%) was observed using female MDR-TB cases as a reference
(52.0%, OR: 1.31, 95% CI: 1.03-1.60). The proportion of MDR-TB cases with
favorable outcome was significantly decreased in older age groups. CONCLUSIONS:
In conclusion, our data demonstrate that less than half of these patients
receiving standardized second-line treatment regimen meet the definition of
successful treatment during a 3-year period in China. More attention should be
paid to the MDR-TB population at high-risk of poor clinical outcome, including
male, elderly age, and those who have received prior treatment.
PMID- 29374585
TI - Unrelated Donor Transplantation in Children with Thalassemia using Reduced
Intensity Conditioning: The URTH Trial.
AB - Allogeneic hematopoietic stem cell transplantation (HSCT) can cure transfusion
dependent thalassemia (TDT). In a multicenter trial we investigated the efficacy
of reduced-intensity conditioning (RIC) before unrelated donor (URD) HSCT in
children with TDT. Thirty-three children, ages 1 to 17 years, received bone
marrow (BM) or umbilical cord blood (UCB) allografts. Median time to neutrophil
engraftment was 13 days (range, 10 to 25) and 24 days (range, 18 to 49) and
platelet engraftment 23 days (range, 12 to 46) and 50 days (range, 31 to 234)
after BM and UCB allografts, respectively. With a median follow-up of 58 months
(range, 7 to 79), overall and thalassemia-free survival was 82% (95% CI, .64% to
.92%) and 79% (95% CI, .6% to .9%), respectively. The cumulative incidence of
grades II to IV acute graft-versus-host disease (GVHD) after BM and UCB
allografts was 24% and 44%; the 2-year cumulative incidence of chronic extensive
GVHD was 29% and 21%, respectively; 71% of BM and 91% of UCB recipients
discontinued systemic immunosuppression by 2 years. Six patients who had Pesaro
risk class 2 (n = 5) and class 3 (n = 1) died of GVHD (n = 3), viral pneumonitis
(n = 2) and pulmonary hemorrhage (n = 1). Outcomes after this RIC compared
favorably with URD HSCT outcomes for TDT and supported engraftment in 32 of 33
patients. Efforts to reduce GVHD and infectious complications are being pursued
further.
PMID- 29374586
TI - A tRNA's fate is decided at its 3' end: Collaborative actions of CCA-adding
enzyme and RNases involved in tRNA processing and degradation.
AB - tRNAs are key players in translation and are additionally involved in a wide
range of distinct cellular processes. The vital importance of tRNAs becomes
evident in numerous diseases that are linked to defective tRNA molecules. It is
therefore not surprising that the structural intactness of tRNAs is continuously
scrutinized and defective tRNAs are eliminated. In this process, erroneous tRNAs
are tagged with single-stranded RNA sequences that are recognized by degrading
exonucleases. Recent discoveries have revealed that the CCA-adding enzyme -
actually responsible for the de novo synthesis of the 3'-CCA end - plays an
indispensable role in tRNA quality control by incorporating a second CCA triplet
that is recognized as a degradation tag. In this review, we give an update on the
latest findings regarding tRNA quality control that turns out to represent an
interplay of the CCA-adding enzyme and RNases involved in tRNA degradation and
maturation. In particular, the RNase-induced turnover of the CCA end is now
recognized as a trigger for the CCA-adding enzyme to repeatedly scrutinize the
structural intactness of a tRNA. This article is part of a Special Issue
entitled: SI: Regulation of tRNA synthesis and modification in physiological
conditions and disease edited by Dr. Boguta Magdalena.
PMID- 29374588
TI - Acute kidney injury in patients using low dose (3 mg/kg/day) of gentamicin under
therapeutic dose monitoring.
PMID- 29374589
TI - Functional activity of maternal and cord antibodies elicited by an
investigational group B Streptococcus trivalent glycoconjugate vaccine in
pregnant women.
AB - OBJECTIVES: The main aim of this exploratory study was to evaluate functional
activity of antibodies elicited by a maternal Group B Streptococcus (GBS)
investigational vaccine composed of capsular polysaccharides Ia, Ib, and III
conjugated to genetically detoxified Diphtheria toxin CRM197. The second
objective was to investigate the relationship between serotype-specific IgG
concentrations and functional activity in maternal and cord sera. METHODS:
Maternal and cord sera collected at baseline and at delivery from vaccine and
placebo recipients during a double-blind placebo-controlled Phase II study
(www.clinicaltrials.gov, NCT01446289) were tested in an opsono-phagocytic
bacterial killing assay. Cord sera from vaccine recipients were also passively
transferred to newborn mice to investigate conferred protection against bacterial
challenge. RESULTS: Antibody-mediated GBS phagocytic killing was significantly
increased in maternal serum at delivery and in cord sera from the investigational
vaccine group as compared to the placebo group. Anti-capsular IgG concentrations
above 1 ug/mL mediated in vitro killing against GBS strains belonging to all
three serotypes and IgG levels correlated with functional titers. Passively
administered cord sera elicited a dose-dependent protective response against all
GBS serotypes in the in vivo model. CONCLUSIONS: The maternal vaccine elicited
functional antibodies that were placentally transferred. Anti-capsular IgG
concentrations in maternal and cord sera were predictive of functional activity
and in vivo protection in the mouse model.
PMID- 29374590
TI - Influence of Bacillus subtilis ANSB060 on growth, digestive enzyme and aflatoxin
residue in Yellow River carp fed diets contaminated with aflatoxin B1.
AB - Aflatoxin B1 (AFB1) elicits serious threats to public health due to its
widespread occurrence, as well as its teratogenic, carcinogenic and mutagenic
effects. This study aimed to evaluate the toxicity of AFB1 and assess the
ameliorative efficacy of Bacillus subtilis ANSB060 on aflatoxicosis in Yellow
River carp. A total of 750 juvenile Yellow River carp were allocated into five
dietary treatments for 60 days. Diet C0 represented for the negative control,
diet M0 containing about 50 MUg AFB1/kg diet represented for the positive
control, and diet M0.25, M0.5 and M1.0 was diet M0 supplemented with B. subtilis
ANSB060 at a dose of 0.25 * 109, 0.5 * 109 and 1.0 * 109 CFU/kg diet,
respectively. The results showed that supplementation of strain ANSB060 restored
the reduced body weight and enhanced feed conversion ratio of carp induced by
AFB1 towards normal. ANSB060 could also relieve the alterations in hepatic
morphology, improve digestive enzyme activities of hepatopancreas and intestine,
as well as decrease AFB1 residues in carp's hepatopancreas and gonad. It is
concluded that ANSB060 has a protective effect in carp with aflatoxicosis, with a
promising potential in feed industrial applications.
PMID- 29374591
TI - In vitro genotoxicity assessment of dinitroaniline herbicides pendimethalin and
trifluralin.
AB - Pendimethalin and trifluralin are widely used dinitroaniline herbicides. Both
compounds can be found as residue levels in agricultural products. This study was
conducted in order to provide necessary information for the risk assessment of
pendimethalin and trifluralin. In this study, reactive oxygen species (ROS)
levels were measured to examine the potential of both compounds to induce
oxidative damage in Chinese hamster lung fibroblast (V79) cells. Also, the
genotoxic effects of pendimethalin and trifluralin at the concentration range of
1-500 MUM was determined. Single cell gel electrophoresis (comet) and
micronucleus assays were used on human peripheral lymphocytes and V79 cells for
the genotoxicity assessment. The cell viability of two dinitroaniline herbicides
were determined by the use of neutral red uptake assay on V79 cells. IC50 values
were determined as 66 MUM and 128 MUM for pendimethalin and trifluralin,
respectively. They significantly increased ROS levels on V79 cells for 1-24 h.
Both herbicides significantly induced the DNA damage and showed genotoxicity on
lymphocytes and V79 cells. Micronucleus frequency increased significantly after
pendimethalin and trifluralin treatment of the lymphocytes and V79 cells.
Therefore, we concluded that both of the herbicides induced the genotoxicity
through the activation of oxidative stress pathway and chromosomal damage.
PMID- 29374592
TI - Risk assessment of aflatoxin B1 exposure from maize and peanut consumption in
Indonesia using the margin of exposure and liver cancer risk estimation
approaches.
AB - Aflatoxin B1 (AfB1) is a secondary fungal metabolite product widely found in many
foodstuffs and considered a public health concern worldwide due to its
genotoxicity and carcinogenicity. Tropical climate and inappropriate food safety
practices in Indonesia are the favorable conditions for AfB1 contamination of
foodstuffs. Despite these challenges, there has been a limited number of risk
assessment of AfB1 conducted in Indonesia. Therefore, this paper aimed to gather
all available occurrence data of AfB1 in maize and peanut originating from
Indonesia and used the occurrence data to evaluate the risk of exposure to AfB1
using the Margin of Exposure (MOE) and the quantitative liver cancer risk
approaches established by EFSA and FAO/WHO respectively. Risk assessment using
both the MOE and quantitative liver cancer risk approaches revealed that AfB1
exposure from maize and peanut consumption in Indonesia is of concern. The MOE
values derived from consumption of maize and peanut originating from Indonesia
were generally below 10,000, and for several occurrence data were even below
1000. The estimated number of liver cancer cases associated with AfB1 exposure
generally was above the 0.1 cancer cases/100,000 individuals/75 years. Altogether
the evaluation reveals the urgency for risk management of AfB1 in Indonesia.
PMID- 29374593
TI - Relationship between LINE-1 methylation pattern and pesticide exposure in urban
sprayers.
AB - Recently a relationship has been reported between pesticide exposure and changes
in global DNA methylation patterns. Urban sprayers are a particularly vulnerable
population because of the high risk of pesticide exposure that their work
implies. Therefore, the aim of this study was to estimate the changes in the Long
Interspersed Nucleotide Element (LINE-1) in urban sprayers and its relationship
with pesticide exposure. The study population consisted of 190 individuals
stratified into three study groups: no occupational pesticide exposure; moderate
exposure, and high exposure. Pesticide exposure and other external factors such
as diet, lifestyle, and others were evaluated through a validated questionnaire,
and the butyrylcholinesterase enzyme activity was evaluated
spectrophotometrically and used as exposure biomarker. DNA methylation was
evaluated by pyrosequencing on bisulfite-treated DNA. The results showed a
significant decrease of %5mC in both the moderate- and high-exposure groups with
respect to the non-exposed group (p < 0.05). In addition, alcohol intake was
associated with a higher percentage of LINE- 1 methylation. In conclusion, our
results suggest that occupational pesticide exposure and external factors appears
to modify the DNA methylation pattern measured through LINE-1.
PMID- 29374594
TI - High resolution UPLC-MS/MS profiling of polyphenolics in the methanol extract of
Syzygium samarangense leaves and its hepatoprotective activity in rats with CCl4
induced hepatic damage.
AB - Oxidative stress plays a crucial role in the development of several liver
diseases. Many natural polyphenols can attenuate oxidative stress and liver
injury. In this study, a phytochemical profiling of a methanol extract from
leaves of Syzygium samarangense revealed 92 compounds belonging to flavonoids,
phenolic acids, condensed tannins, and ellagitannins. The S. samarangense extract
exhibited a noticeable antioxidant activity with an EC50 of 5.80 MUg/mL measured
by DPPH scavenging capacity assay, 2632 Trolox equivalents, 10 mM Fe2+
equivalents/mg of samples by TEAC and FRAP assays, respectively. The total
phenolic content was 419 mg gallic acid equivalent GAE/g extract. In a cell-based
model (HaCaT cells), the extract completely inhibited ROS production induced by
UVA, and prevented GSH-depletion and p38 phosphorylation. In addition, the
extract exhibited a substantial antioxidant and hepatoprotective activities in
CCl4-treated rats, with an increase in GSH (reduced glutathione) and SOD
(superoxide dismutase) activities by 84.75 and 26.27%, respectively, and a
decrease of 19.08, 63.05, 52.21, 37.00, 13.26, and 15.15% in MDA, ALT, AST, TB
(total bilirubin), TC (total cholesterol), and TG (total glycerides),
respectively. These results were confirmed by histopathological analyses. We
believe that Syzygium samarangense is a good candidate for further evaluation as
an antioxidant and liver protecting drug.
PMID- 29374595
TI - Multigene delivery in mammalian cells: Recent advances and applications.
AB - Systems for multigene delivery in mammalian cells, particularly in the context of
genome engineering, have gained a lot of attention in biomolecular research and
medicine. Initially these methods were based on RNA polymerase II promoters and
were used for the production of protein complexes and for applications in cell
biology such as reprogramming of somatic cells to stem cells. Emerging
technologies such as CRISPR/Cas9-based genome engineering, which enable any
alteration at the genomic level of an organism, require additional elements
including U6-driven expression cassettes for RNA expression and homology
constructs for designed genome modifications. For these applications, systems
with high DNA capacity, flexibility and transfer rates are needed. In this
article, we briefly give an update on some of recent strategies that facilitate
multigene assembly and delivery into mammalian cells. Also, we review
applications in various fields of biology that rely on multigene delivery
systems.
PMID- 29374596
TI - Biomolecule-embedded metal-organic frameworks as an innovative sensing platform.
AB - Technological advancements combined with materials research have led to the
generation of enormous types of novel substrates and materials for use in various
biological/medical, energy, and environmental applications. Lately, the embedding
of biomolecules in novel and/or advanced materials (e.g., metal-organic
frameworks (MOFs), nanoparticles, hydrogels, graphene, and their hybrid
composites) has become a vital research area in the construction of an innovative
platform for various applications including sensors (or biosensors), biofuel
cells, and bioelectronic devices. Due to the intriguing properties of MOFs (e.g.,
framework architecture, topology, and optical properties), they have contributed
considerably to recent progresses in enzymatic catalysis, antibody-antigen
interactions, or many other related approaches. Here, we aim to describe the
different strategies for the design and synthesis of diverse biomolecule-embedded
MOFs for various sensing (e.g., optical, electrochemical, biological, and
miscellaneous) techniques. Additionally, the benefits and future prospective of
MOFs-based biomolecular immobilization as an innovative sensing platform are
discussed along with the evaluation on their performance to seek for further
development in this emerging research area.
PMID- 29374597
TI - Ghrelin gene polymorphism as a genetic biomarker for prediction of therapy
induced clearance in Egyptian chronic HCV patients.
AB - : Ghrelin (GHRL) has important implications for liver disease. It has anti
inflammatory effects, regulates cell proliferation, modulates the fibrogenic
response and protects liver tissue. Genetic variations in the GHRL gene may play
a crucial role in the development of chronic hepatitis (CH), liver cirrhosis (LC)
and hepatocellular carcinoma (HCC). Therefore, we examined the association of
GHRL gene polymorphisms (rs26312 and rs27647), and its serum level to virologic
responses to combined sofosbuvir and Simeprevir therapy for a course of 12
successive weeks in Egyptian chronic hepatitis C (CHC) patients. METHODS: Human
genomic and clinical data were collected from 100 Egyptian participants in this
study, 90 HCV patients who received sofosbuvir and Simeprevir and 10 non-HCV
healthy subjects. Genotyping of GHRL rs26312 and rs27647, were determined with
the TaqMan qRT-PCR allele detection assay. The serum GHRL concentrations were
determined using enzyme-linked immunosorbent assay (ELISA). RESULTS: GHRL
polymorphisms (rs26312 and rs27647) genotype distributions and allele frequencies
did not differ between HCV patients and normal healthy subjects or between
patient groups when compared according to the therapeutic response. In addition,
we found significant lower serum GHRL levels in CHC patients compared with the
healthy controls. However, there was no significant association of the GHRL
rs26312 and rs27647 polymorphisms with GHRL levels in CHC patients. We conclude
that GHRL SNPs (rs26312 and rs27647) do not affect response to combined
sofosbuvir and Simeprevir treatment in chronic Egyptian HCV patients.
PMID- 29374598
TI - Transcriptome analysis of starch and sucrose metabolism across bulb development
in Sagittaria sagittifolia.
AB - Sagittaria sagittifolia L is an important bulb vegetable that has high
nutritional and medical value. Bulb formation and development are crucial to
Sagittaria sagittifolia; however, its sucrose metabolism is poorly understood and
there are a lack of sufficient transcriptomic and genomic data available to fully
understand the molecular mechanisms underlying bulb formation and development as
well as the bulb transcriptome. Five cDNA libraries were constructed at different
developmental stages and sequenced using high-throughput Illumina RNA sequencing.
From approximately 63.53 Gb clean reads, a total of 60,884 unigenes, with an
average length of 897.34 bp and N50 of 1.368 kb, were obtained. A total of 36,590
unigenes were successfully annotated using five public databases. Across
different developmental stages, 4195, 827, 832, 851, and 1494 were differentially
expressed in T02, T03, T04, T05, and T06 libraries, respectively. Gene ontology
(GO) analysis revealed several differentially-expressed genes (DEGs) associated
with catalytic activity, binding, and transporter activity. The Kyoto
encyclopedia of genes and genomes (KEGG) revealed that these DEGs are involved in
physiological and biochemical processes. RT-qPCR was used to profile the
expression of these unigenes and revealed that the expression patterns of the
DEGs were consistent with the transcriptome data. In this study, we conducted a
comparative gene expression analysis at the transcriptional level using RNA-seq
across the different developmental stages of Sagittaria sagittifolia. We
identified a set of genes that might contribute to starch and sucrose metabolism,
and the genetic mechanisms related to bulblet development were also explored.
This study provides important data for future studies of the genetic and
molecular mechanisms underlying bulb formation and development in Sagittaria
sagittifolia.
PMID- 29374599
TI - pH-triggered charge-reversible of glycol chitosan conjugated carboxyl graphene
for enhancing photothermal ablation of focal infection.
AB - : Subcutaneous abscesses infected by multidrug-resistant bacteria are becoming an
increasing challenge to human health. To address this challenge, a surface
adaptive and biocompatible glycol chitosan conjugated carboxyl graphene (GCS-CG)
is developed, which exhibits unique self-adaptive target to the acidic
microenvironment of abscess (~pH 6.3) and no damage to the healthy tissue (pH
7.4) around the abscess. Originally, following conjugated with GCS, the
absorbance of CG obviously increases in the near-infrared (NIR) region, enabling
GCS-CG to generate an increment amount of heat. GCS-CG shows fast pH-responsive
surface charge transition from negative to positive, which presents strong
adherence to negatively charged bacteria surface in abscess, while exhibits poor
affinity to host cells in healthy tissues. The local temperature of NIR
irradiated GCS-CG is estimated to be higher than their ambient temperature,
ensuring targeted heating and eradicating the bacteria to reduce the damage to
tissue; hence, wound healing is accelerated. Moreover, the in vitro and in vivo
biosafety results demonstrate that GCS-CG presents greatly biocompatible even at
a high concentration of 1 mg.mL-1. Given the above advantages as well as the
simple preparation, graphene developed here may provide a new potential
application as a useful antibacterial agent in the areas of healthcare. STATEMENT
OF SIGNIFICANCE: A surface-adaptive nanomaterial, glycol chitosan conjugated
carboxyl graphene (GCS-CG) is developed, which realizes the acidity-triggered
bacteria targeting. GCS-CG can result in direct thermal ablation of bacteria and
enhancement of the infected wound healing, but exhibit no damage to healthy
tissues. The pH-responsive GCS-CG described here, containing no antibiotics, has
great potentials in treating bacterial infection and even multidrug-resistant
bacteria.
PMID- 29374600
TI - Corneal regeneration: A review of stromal replacements.
AB - : Corneal blindness is traditionally treated by transplantation of a donor
cornea, or in severe cases by implantation of an artificial cornea or
keratoprosthesis. Due to severe donor shortages and the risks of complications
that come with artificial corneas, tissue engineering in ophthalmology has become
more focused on regenerative strategies using biocompatible materials either with
or without cells. The stroma makes up the bulk of the corneal thickness and
mainly consists of a tightly interwoven network of collagen type I, making it
notoriously difficult to recreate in a laboratory setting. Despite the challenges
that come with corneal stromal tissue engineering, there has recently been
enormous progress in this field. A large number of research groups are working
towards developing the ideal biomimetic, cytocompatible and transplantable
stromal replacement. Here we provide an overview of the approaches directed
towards tissue engineering the corneal stroma, from classical collagen gels,
films and sponges to less traditional components such as silk, fish scales,
gelatin and polymers. The perfect stromal replacement has yet to be identified
and future research should be directed at combined approaches, in order to not
only host native stromal cells but also restore functionality. STATEMENT OF
SIGNIFICANCE: In the field of tissue engineering and regenerative medicine in
ophthalmology the focus has shifted towards a common goal: to restore the corneal
stroma and thereby provide a new treatment option for patients who are currently
blind due to corneal opacification. Currently the waiting lists for corneal
transplantation include more than 10 million patients, due to severe donor
shortages. Alternatives to the transplantation of a donor cornea include the use
of artificial cornea, but these are by no means biomimetic and therefore do not
provide good outcomes. In recent years a lot of work has gone into the
development of tissue engineered scaffolds and other biomaterials suitable to
replace the native stromal tissue. Looking at all the different approaches
separately is a daunting task and up until now there was no review article in
which every approach is discussed. This review does include all approaches, from
classical tissue engineering with collagen to the use of various alternative
biomaterials and even fish scales. Therefore, this review can serve as a
reference work for those starting in the field and but also to stimulate
collaborative efforts in the future.
PMID- 29374601
TI - AKT2 contributes to increase ovarian cancer cell migration and invasion through
the AKT2-PKM2-STAT3/NF-kappaB axis.
AB - Multiple studies have shown that protein kinase Bbeta (AKT2) is involved in the
development and progression of ovarian cancer, however, its precise role remains
unclear. Here we explored the underlying molecular mechanisms how AKT2 promotes
ovarian cancer progression. We examined the effects of AKT2 in vitro in two
ovarian cancer cell lines (SKOV3 and HEY), and in vivo by metastasis assay in
nude mice. The migration and invasion ability of SKOV3 and HEY cells was
determined by transwell assay. Overexpression and knockdown (with shRNA)
experiments were carried out to unravel the underlying signaling mechanisms
induced by AKT2. Overexpression of AKT2 led to increased expression of pyruvate
kinase (PKM2) in ovarian cancer cells and in lung metastatic foci from nude mice.
Elevated AKT2/PKM2 expression induced cell migration and invasion in vitro, as
well as lung metastasis in vivo; silencing AKT2 blocked these effects. Meanwhile,
PKM2 overexpression was unable to increase AKT2 expression. The expressions of p
PI3K, p-AKT2, and PKM2 were increased when stimulated by epidermal growth factor
(EGF); however, these expressions were blocked when inhibited the PI3K by
LY294002. STAT3 expression was elevated and NF-kappaB p65 nuclear translocation
was activated both in vitro and in vivo when either AKT2 or PKM2 was
overexpressed; and these effects were inhibited when silencing AKT2 expression.
Taken together, AKT2 increases the migration and invasion of ovarian cancer cells
in vitro and promotes lung metastasis in nude mice in vivo through PKM2-mediated
elevation of STAT3 expression and NF-kappaB activation. In conclusion, we
highlight a novel mechanism of the AKT2-PKM2-STAT3/NF-kappaB axis in the
regulation of ovarian cancer progression, and our work suggested that both AKT2
and PKM2 may be potential targets for the treatment of ovarian cancer.
PMID- 29374602
TI - Reduction in endogenous cardiac steroids protects the brain from oxidative stress
in a mouse model of mania induced by amphetamine.
AB - OBJECTIVES: Bipolar disorder (BD) is a severe mental illness characterized by
episodes of mania and depression. Numerous studies have implicated the
involvement of endogenous cardiac steroids (CS), and their receptor, Na+, K+
ATPase, in BD. The aim of the present study was to examine the role of brain
oxidative stress in the CS-induced behavioral effects in mice. METHODS:
Amphetamine (AMPH)-induced hyperactivity, assessed in the open-field test, served
as a model for manic-like behavior in mice. A reduction in brain CS was obtained
by specific and sensitive anti-ouabain antibodies. The level of oxidative stress
was tested in the hippocampus and frontal cortex by measuring the activity of
antioxidant enzymes superoxide dismutase (SOD), catalase (CAT) and glutathione
peroxidase (GPx), as well as the levels of antioxidant non-protein thiols (NPSH)
and oxidative damage biomarkers thiobarbituric acid reactive substances (TBARS)
and protein carbonyl (PC). RESULTS: AMPH administration resulted in a marked
hyperactivity and increased oxidative stress, as manifested by increased SOD
activity, decreased activities of CAT and GPx, reduced levels of NPSH and
increased levels of TBARS and PC. The administration of anti-ouabain antibodies,
which reduced the AMPH-induced hyperactivity, protected against the concomitant
oxidative stress in the brain. CONCLUSIONS: Our results demonstrate that
oxidative stress participates in the effects of endogenous CS on manic-like
behavior induced by AMPH. These finding support the notion that CS and oxidative
stress may be associated with the pathophysiology of mania and BD.
PMID- 29374603
TI - Novel insights into mitochondrial molecular targets of iron-induced
neurodegeneration: Reversal by cannabidiol.
AB - Evidence has demonstrated iron accumulation in specific brain regions of patients
suffering from neurodegenerative disorders, and this metal has been recognized as
a contributing factor for neurodegeneration. Using an experimental model of brain
iron accumulation, we have shown that iron induces severe memory deficits that
are accompanied by oxidative stress, increased apoptotic markers, and decreased
synaptophysin in the hippocampus of rats. The present study aims to characterize
iron loading effects as well as to determine the molecular targets of cannabidiol
(CBD), the main non-psychomimetic compound of Cannabis sativa, on mitochondria.
Rats received iron in the neonatal period and CBD for 14 days in adulthood. Iron
induced mitochondrial DNA (mtDNA) deletions, decreased epigenetic modulation of
mtDNA, mitochondrial ferritin levels, and succinate dehydrogenase activity. CBD
rescued mitochondrial ferritin and epigenetic modulation of mtDNA, and restored
succinate dehydrogenase activity in iron-treated rats. These findings provide new
insights into molecular targets of iron neurotoxicity and give support for the
use of CBD as a disease modifying agent in the treatment of neurodegenerative
diseases.
PMID- 29374604
TI - Confocal calcium imaging analysis of respiratory-related burst activity in the
parafacial region.
AB - The parafacial respiratory group (pFRG) surrounding the ventrolateral part of the
facial motor nucleus is one of respiratory rhythm generators that consists of pre
inspiratory (Pre-I) neurons. Previous studies showed that most of the Pre-I
neurons locating in the Phox2b cluster of the rostral ventral medulla were also
Phox2b positive and intrinsically CO2 sensitive. However, it is not clear what
percentage of Phox2b-expressing cells in the pFRG of the ventral medulla are Pre
I neurons. To address this issue, we analyzed the activity of Phox2b-positive
cells by calcium imaging using a confocal laser microscope in transgenic rats in
which Phox2b-positive cells expressed EYFP. We found that more than 60% of the
EYFP/Phox2b-positive cells showed Pre-I neuron-like rhythmic burst activity in
the parafacial region of newborn rat.
PMID- 29374605
TI - An Experimental Study on Repeated Brief Ischemia in Promoting Sciatic Nerve
Repair and Regeneration in Rats.
AB - BACKGROUND: Research has shown that ischemic preconditioning reduced the severity
of ischemia-reperfusion injury in brain in rats, we have a hypothesis that
repeated brief ischemia has positive effects on peripheral nerve damage. This
study was conducted to investigate the potential protective effects of repeated
brief ischemia on peripheral nerve regeneration using a rat model of experimental
sciatic nerve transection injury. METHODS: Treatment groups (groups A-D) received
repeated, brief ischemia every 1 day/2 days/3 days/7 days. After surgery for 4,
8, 12 weeks, we evaluated sciatic functional index test, gastrocnemius muscle wet
mass, axon and nerve fiber diameter, density, G-ratio, immunohistochemistry of S
100, vascular endothelial growth factor (VEGF), and the ultrastructure of the
nerves. RESULTS: Sciatic functional index test and muscle wet mass were improved
on the repeated brief ischemia groups. Ischemia treatment resulted in a
significant increase in axon and nerve fiber density as well as S-100 and VEGF
positive cell, which indicated that repeated brief ischemia promotes Schwann cell
proliferation and reconstruction. CONCLUSIONS: This study exhibits the positive
effects of repeated brief ischemia in sciatic nerve transection injury, possibly
in part because it can improve VEGF and the physiologic state of Schwann cells in
the ischemic environment and then accelerate the ability of neurite outgrow.
PMID- 29374606
TI - Factors Affecting Long-Term Postoperative Narcotic Use in Discectomy Patients.
AB - BACKGROUND: Long-term narcotic use has risks and potentially life-threatening
opioid-related side effects. Extended narcotic use in patients undergoing
discectomy raises concerns of other underlying causes of pain or overprescription
and/or abuse. The goal of this study was to determine which factors have an
effect on active narcotic prescription >3 months after discectomy. METHODS: The
PearlDiver Database was used in this study. Patients 30-55 years old undergoing
discectomy without fusions were queried for active narcotic drug prescription
occurring >30 days and >3 months after original surgery. Medical co-diagnoses
were independently analyzed for effects on long-term active narcotic
prescriptions. Prior narcotic use was defined by use within 4 months before
surgery. RESULTS: Of 1321 patients undergoing discectomy, 621 had actively
prescribed narcotics >3 months after surgery. Preoperative narcotic use had the
largest effect on odds of postoperative prescription (odds ratio [OR] = 3.4).
Medical comorbidities increasing odds of long-term narcotic prescriptions
included migraines (OR = 1.4), diabetes mellitus (OR = 1.4), depression (OR =
1.6), and smoking (OR = 1.9). CONCLUSIONS: Narcotic abuse is a serious problem
rooted in overprescription of these drugs, which has ultimately led to much more
caution in prescribing among physicians. Because pain management and drug
prescription must be balanced, identifying patients who may be susceptible to
narcotic overprescription is important. Patients with co-diagnoses increasing
odds of long-term narcotic prescriptions would benefit from early and continual
postsurgical follow-up to ensure accurate pain management and to determine if
narcotic prescriptions are justly warranted in the later postoperative period.
PMID- 29374607
TI - Incidence, Comorbidities, and Mortality in Idiopathic Normal Pressure
Hydrocephalus.
AB - OBJECT: To investigate the incidence, comorbidities, mortality, and causes of
death in idiopathic normal pressure hydrocephalus (iNPH). METHODS: A cohort of
536 patients with possible NPH from a defined population with a median follow-up
time of 5.1 years, (range 0.04-19.9 years) was included in the study. Patients
were evaluated by brain imaging and intraventricular pressure monitoring, with a
brain biopsy specimen immunostained against amyloid-beta and hyperphosphorylated
tau. Hospital records were reviewed for vascular diseases and type 2 diabetes
mellitus (T2DM). Death certificates and yearly population of the catchment area
were obtained from national registries. RESULTS: A total of 283 patients had a
clinical diagnosis of iNPH, leading to a median annual incidence of 1.58 iNPH
patients per 100,000 inhabitants (range, 0.8-4.5). Alzeimer disease-related brain
biopsy findings were less frequent in iNPH patients than in non-iNPH patients (P
< 0.05). An overrepresentation of hypertension (52% vs. 33%, P < 0.001) and T2DM
(23% vs. 13%, P = 0.002) was noted in iNPH patients. Age (hazard ratio [HR]
1.04/year, 95% confidence interval [CI] 1.03-1.06, P < 0.001) and T2DM (HR 1.63,
95% CI 1.23-2.16, P < 0.001) increased the risk of death in the iNPH patients and
in the total population. iNPH was associated with decreased risk of death (HR
0.63, 95% CI 0.50-0.78, P < 0.001). The most frequent causes of death were
cardiovascular and cerebrovascular disease. Dementia as a cause of death was more
common in non-iNPH patients (27% vs. 10%, P < 0.001). CONCLUSIONS: Hypertension
and T2DM are common in iNPH and the latter causes excess mortality in the
affected patients.
PMID- 29374608
TI - Single-Center Clinical Characteristics and Treatment Experience of Foramen Magnum
Neurenteric Cyst: Report of 6 Cases and Brief Review of the Literature.
AB - OBJECTIVE: To retrospectively analyze the clinical data of 6 patients with
foramen magnum (FM) neurenteric (NE) cysts, and summarize the clinical
characteristics and treatment experience for this rare disease in our single
center. METHODS: Between January 2011 and December 2015, 6 patients with FM NE
cyst were surgically treated at Xuan Wu Hospital of Capital Medical University.
We summarize the treatment experience of these patients through a retrospective
review of the clinical information, imaging features, surgical details, and
follow-up outcomes. RESULTS: All 6 patients were female, ranging in age from 15
to 54 years (mean age, 36.8 +/- 12.9 years). Occipital headache along with
cranial nerve injury were the most common symptoms. Preoperative brain magnetic
resonance imaging identified all lesions in the FM region, with an oblong or
lobulated shape. The surgical approach was far lateral in 4 patients and
suboccipital midline in 2 patients. Total lesion removal was completed in 4
patients, and subtotal excision was performed in the other 2 patients, in whom
the cyst wall was intensely adherent to surrounding structures. In all 6
patients, the preoperative symptoms were significantly relieved after surgery. No
recurrence was seen after a mean follow-up of 27.3 months (range, 3-70 months).
CONCLUSIONS: Our present study identified a female predominance among patients
with intracranial FM NE cyst. Surgical excision is the optimum treatment strategy
for this rare disease. Our findings indicate that subtotal removal of an FM NE
cyst may be associated with favorable outcomes, but strict long-term follow up is
needed.
PMID- 29374609
TI - Dural Repair in Cranial Surgery Is Associated with Moderate Rates of
Complications with Both Autologous and Nonautologous Dural Substitutes.
AB - OBJECTIVE: Duraplasty, a common neurosurgical intervention, involves synthetic or
biological graft placement to ensure dural closure. The objective of this study
is to advance our understanding of the use of dural substitutes in cranial
surgery. METHODS: The PubMed database was systematically searched to identify
studies published over the past decade (2007-2017) that described duraplasty
procedures. Clinical data were disaggregated and analyzed for the comparisons of
biological versus synthetic grafts. RESULTS: A total of 462 cases were included
in the quantitative synthesis. Overall, the most common indication for duraplasty
was tumor resection (53%). Allografts were more frequently used in decompression
for Chiari malformations compared with xenografts and synthetic grafts (P <
0.001). Xenografts were more frequently used in decompressive hemicraniectomy
procedures for evacuation of acute subdural hematomas over allografts and
synthetics (P < 0.001). Synthetic grafts were more frequently used in tumor cases
than biological grafts (P = 0.002). The cumulative complication rate for dural
substitutes of all types was 11%. There were no significant differences in
complication rates among the 3 types of dural substitutes. CONCLUSIONS: Dural
substitutes are commonly used to ensure dural closure in a variety of cranial
procedures. This study provides greater insight into duraplasty practices and
highlights the moderate complication rate associated with the procedure. Future
studies are needed to determine the safety and efficacy of such procedures in
larger prospective cohorts.
PMID- 29374610
TI - Anatomical Study of the Posterior Ascending Artery: Application to C2 Pedicle
Screw Placement.
AB - OBJECTIVE: Anatomy of the posterior ascending artery (PAA) has been well
documented. The PAA forms an apical arcade, which supplies the small branches to
the tip of the dens. However, morphometrics of this artery such as diameter and
distance from the midline, which could be applied to the diagnosis and surgical
procedure, are scant. We aimed to investigate the anatomy of the PAA in order to
apply our findings to surgical procedures such as C2 pedicle screw placement.
METHODS: Ten sides from 5 Caucasian cadaveric heads in which red latex was
injected were used. The origin of the PAA, diameter of the PAA and apical arcade,
and the distance from midline were recorded. RESULTS: Diameters of the PAA and
apical arcade were approximately 1 mm and 0.7 mm, respectively. Distance from the
midline to the PAA was approximately 7 mm. In 1 specimen, the os odontoideum was
observed on top of the dens. CONCLUSIONS: The result of this study could provide
anatomic knowledge, which is important for C2 pedicle screw placement to the
spine surgeon.
PMID- 29374611
TI - Frugal Malleable Microdissectors and Arachnoid Knives for Microneurosurgery.
AB - BACKGROUND: Similar to most of the other medical and surgical fields,
neurosurgery has changed drastically in recent decades, especially in
instrumentation and equipment. Safety, scope of treating initially considered
nontreatable neurosurgical conditions, and prognosis of most neurosurgical
procedures have improved significantly. Newer instruments and equipments are
being introduced exponentially, leading to tremendous cost escalation of
neurosurgical treatment. However, equitable distribution of neurosurgical
services in society is far from reality. We need to look back and learn from our
teachers and forefathers of neurosurgery their innovative ways to accomplish
difficult procedures without advanced tools and techniques. Microneurosurgery is
considered a highly skilled technique, and instruments used for it are delicate,
precise, and costlier than similar instruments for macroneurosurgery. TECHNIQUE
AND CONCLUSION: Frugal innovative techniques and tools are presented for making
stainless steel wire and plate microdissectors that are effective for
microneurosurgical procedures and can be helpful for neurosurgeons working in
resource-poor settings.
PMID- 29374612
TI - A Large Multicenter Retrospective Research on Embedded Cranioplasty and Covered
Cranioplasty.
AB - OBJECTIVE: Cranioplasty (CP) can be either embedded or covered, according to the
implants used. However, determining which one is better in treating cranial
defects is difficult. This study aimed to compare the clinical outcomes and
complications of embedded CP and covered CP. METHODS: A multicenter retrospective
study was undertaken with patients who underwent CP with polyetheretherketone
(PEEK) implants and titanium implants between January 2014 and March 2017. The
medical records of these patients were reviewed retrospectively and analyzed
statistically. RESULTS: There were 185 patients, including 75 patients (40.5%)
who underwent embedded CP with PEEK implants (PEEK group) and 110 patients
(59.5%) who underwent covered CP using titanium mesh (titanium group), in this
study. Compared with the titanium group, the incidence of overall complications
(P = 0.03), postoperative new seizures (P = 0.01), postoperative implant exposure
(P = 0.03), and reoperation (P = 0.01) was significantly lower in PEEK group. The
rate of brain function improvement (P = 0.01) after CP and satisfaction with CP
(P = 0.01) in patients in the PEEK group were higher than that in the titanium
group. CONCLUSIONS: Our results show that embedded CP with PEEK has a distinct
advantage in brain function improvement and fewer postoperative complications
compared with covered CP with titanium mesh. However, the high cost of PEEK is an
obvious drawback. This study can help both neurosurgeons and patients in choosing
a better therapeutic method to achieve the most satisfactory outcome in treating
cranial defects.
PMID- 29374613
TI - Default Mode Network Changes in Moyamoya Disease Before and After Bypass Surgery:
Preliminary Report.
AB - OBJECTIVE: Neurocognitive impairment is often reported in moyamoya disease. We
aimed to detect default mode network (DMN) alterations using resting-state
functional magnetic resonance imaging and their association with neurocognitive
impairments. In addition, the influence of surgical treatment was individually
evaluated. METHODS: Seven patients with moyamoya disease underwent preoperative
resting-state functional magnetic resonance imaging and neuropsychologic tests.
We compared the resting-state networks (RSNs) of our patients with those obtained
from relatively large cohort datasets (127 healthy controls) using group
independent component analysis with dual regression analysis. We also explored
correlations between RSN alterations and neuropsychologic scores. We evaluated
individuals again 6 months after surgery to identify changes. RESULTS: Patients
had statistically significant differences in DMN connectivity compared with
healthy controls. There were marked changes in functional connectivity of the
ventral DMN of patients with low working memory and performance speed scores.
These changes were characterized by increases and decreases in various locations.
In contrast, patients with average or high neuropsychologic scores showed similar
connectivity to the controls. In 5 patients who underwent vascular reconstruction
surgery, DMN functional connectivity changed to resemble that of healthy
controls. CONCLUSIONS: In moyamoya disease, working memory and performance speed
scores were inversely correlated to the degree of disruption of the DMN,
suggesting a possible relationship between higher cognitive function and
orderliness of fundamental brain networks. Vascular reconstruction surgery may
contribute to normalization of brain networks. Analysis of RSNs may produce
potential biomarkers for cognition in moyamoya disease.
PMID- 29374614
TI - Diagnostic Performance of Measurement of Fecal Elastase-1 in Detection of
Exocrine Pancreatic Insufficiency: Systematic Review and Meta-analysis.
AB - BACKGROUND & AIMS: Tests to quantify fecal levels of chymotrypsin like elastase
family member 3 (CELA3 or elastase-1) in feces are widely used to identify
patients with exocrine pancreatic insufficiency (EPI). However, the diagnostic
accuracy of this test, an ELISA, is not clear. We performed a systematic review
and meta-analysis to determine the accuracy of measurement of fecal elastase-1 in
detection of EPI. METHODS: We searched PubMed, Embase, and reference lists for
articles through November 2016 describing studies that compared fecal level of
elastase-1 with results from a reference standard, direct method (secretin
stimulation test), or indirect method (measurement of fecal fat) for detection of
EPI. Sensitivity and specificity values were pooled statistically using bivariate
diagnostic meta-analysis. RESULTS: We included total of 428 cases of EPI and 673
individuals without EPI (controls), from 14 studies, in the meta-analysis. The
assay for elastase-1, compared to secretin stimulation test, identified patients
with pancreatic insufficiency with a pooled sensitivity value of 0.77 (95% CI,
0.58-0.89) and specificity value of 0.88 (95% CI, 0.78-0.93). In an analysis of
345 cases of EPI and 312 controls, from 6 studies, the fecal elastase-1 assay
identified patients with EPI with a pooled sensitivity value of 0.96 (95% CI,
0.79-0.99) and specificity value of 0.88 (95% CI, 0.59-0.97), compared to
quantitative fecal fat estimation. In patients with low pre-test probability of
EPI (5%), the fecal elastase-1 assay would have a false-negative rate of 1.1% and
a false-positive rate of 11%, indicating a high yield in ruling out EPI but not
in detection of EPI. In contrast, in patients with high pre-test probability of
EPI (40%), approximately 10% of patients with EPI would be missed (false
negatives). CONCLUSIONS: In a systematic review and meta-analysis of studies that
compared fecal level of elastase-1 for detection of EPI, we found that normal
level of elastase-1 (above 200 mcg/g) can rule out EPI in patients with a low
probability of this disorder (such as those with irritable bowel syndrome with
diarrhea). However, in these patients, an abnormal level of elastase-1 (below 200
mcg/g) has a high false-positive rate.
PMID- 29374615
TI - Prolonged Time to Diagnosis of Eosinophilic Esophagitis Despite Increasing
Knowledge of the Disease.
AB - Eosinophilic esophagitis (EoE) is a chronic condition characterized by
eosinophilic-predominant inflammation and esophageal dysfunction.1,2 EoE
represents a rapidly increasing cause of morbidity and a growing health problem.
PMID- 29374616
TI - Efficacy of Treatments for Opioid-Induced Constipation: Systematic Review and
Meta-analysis.
AB - BACKGROUND & AIMS: Opioid-induced constipation (OIC) is a common problem in
patients on chronic opioid therapy for cancer-related and non-cancer-related
pain. Approved treatments for OIC are methylnaltrexone, naloxone, naloxegol,
alvimopan, naldemedine, and lubiprostone. Since a meta-analysis performed in
2014, 2 new agents have been approved by the Food and Drug Administration for
treatment of OIC (naloxegol and naldemedine). METHODS: We conducted a search of
the medical literature following the protocol outlined in the Cochrane Handbook
for systematic review. We searched MEDLINE, EMBASE, EMBASE Classic, Web of
Science, and the Cochrane Central Register of Controlled Trials until March 2017
to identify randomized controlled trials of peripheral MU-opioid-receptor
antagonists (methylnaltrexone, naloxone, naloxegol, alvimopan, axelopran, or
naldemedine), lubiprostone, or prucalopride. Response to therapy was extracted in
a dichotomous assessment as an overall response to therapy. The effect of
pharmacologic therapies was pooled and reported as a relative risk (RR) of
failure to respond to the treatment drug, with 95% CIs. RESULTS: We included 27
placebo-controlled trials in our meta-analysis (23 trials evaluated MU-opioid
receptor antagonists, 3 trials evaluated lubiprostone, and 1 trial evaluated
prucalopride). In these trials, 5390 patients received a drug and 3491 received a
placebo. Overall, MU-opioid-receptor antagonists, lubiprostone, and prucalopride
were superior to placebo for the treatment of OIC, with a RR of failure to
respond to therapy of 0.70 (95% CI, 0.64-0.75) and an overall number needed to
treat of 5 (95% CI, 4-7). When restricted to only Food and Drug Administration
approved medications for OIC, the RR of failure to respond to therapy was 0.69
(95% CI, 0.62-0.77), with a number needed to treat of 5 (95% CI, 4-7).
Sensitivity analyses and meta-regression performed to account for heterogeneity
showed that treatment was more likely to be effective in study populations taking
higher doses of opiates at baseline or refractory to laxatives. Study duration
and prespecified primary outcome did not affect the RR of failure. Participants
who received MU-opioid-receptor antagonists were significantly more likely to
have diarrhea, abdominal pain, nausea, or vomiting than patients who received
placebo. CONCLUSIONS: In a systematic review and meta-analysis, we found MU
opioid-receptor antagonists to be safe and effective for the treatment of OIC.
Prescription-strength laxatives (prucalopride, lubiprostone) are slightly better
than placebo in reducing OIC.
PMID- 29374617
TI - Efficacy of Medical Therapies for Fistulizing Crohn's Disease: Systematic Review
and Meta-analysis.
AB - BACKGROUND & AIMS: Fistulas are debilitating complications of Crohn's disease
(CD) that affect up to 50% of patients. We conducted a systematic review and meta
analysis of randomized controlled trials to assess the efficacy of treatments for
fistulizing CD. METHODS: We searched publication databases from inception through
December 13, 2016 for trials comparing the efficacy of a therapeutic agent
(single or combination) with placebo or another active therapy in adult patients
with any form of fistulizing CD. The Cochrane risk of bias tool was used to
assess the methodological quality of trials; the overall quality of evidence was
evaluated using GRADE. Primary outcomes included induction and maintenance of
fistula response and remission. Pooled risk ratios (RRs) and 95% CIs were
calculated for each outcome. RESULTS: We analyzed data from 27 trials; most
studies (21/27) focused on patients with perianal fistulizing CD. We found
moderate-quality evidence to support the efficacy of tumor necrosis factor (TNF)
antagonists (RR, 2.01; 95% CI, 1.36-2.97), particularly infliximab, ustekinumab
(RR, 1.77; 95% CI, 0.93-3.37), and mesenchymal stem cell therapy (RR, 1.31; 95%
CI, 0.98-1.73) for induction of fistula remission. We found low-quality evidence
for the efficacy of vedolizumab and immunosuppressives. There was also low
quality evidence to support the efficacy of combination therapy with TNF
antagonists and antibiotics vs a TNF antagonist alone. CONCLUSION: In a
systematic review and meta-analysis of 27 controlled trials, we found TNF
antagonists to be effective for induction and maintenance of perianal fistula
response and remission. There are few data on the effects on internal fistulae.
Further studies are needed, particularly for ustekinumab, vedolizumab, and stem
cell therapies, in patients with fistulizing CD.
PMID- 29374618
TI - Does Computed Tomography-Based Virtual Colonoscopy Improve the Accuracy of
Preoperative Assessment Based on Magnetic Resonance Imaging in Women Managed for
Colorectal Endometriosis?
AB - STUDY OBJECTIVE: To evaluate whether combining computed tomography-based virtual
colonoscopy (CTC) with magnetic resonance imaging (MRI) improves preoperative
assessment of colorectal endometriosis. DESIGN: Retrospective study using
prospectively recorded data (Canadian Task Force classification II-2). SETTING:
University tertiary referral center. PATIENTS: Seventy-one women treated for
colorectal endometriosis managed between June 2015 and May 2016. INTERVENTIONS:
Patients included in our study underwent colorectal surgery for deep
endometriosis infiltrating the rectum or the sigmoid colon and had preoperative
assessment using MRI and CTC. To establish the correlation between preoperative
and intraoperative findings, the concordance kappa index was used. MEASUREMENTS
AND MAIN RESULTS: Preoperative data provided by MRI, CTC, and a combination of
both were compared with intraoperative findings. All 71 patients had a total of
105 endometriotic intestinal lesions intraoperatively confirmed. Some 71.2% of
rectal nodules and 60.0% of sigmoid nodules infiltrated the muscularis propria of
the intestinal wall, with most infiltrating between 25% and 50% of the rectal
circumference; 73% of rectal nodules and 96% of sigmoid nodules led to varying
degrees of stenosis. The concordance between intraoperative and preoperative
findings concerning the presence of rectal nodules was high, at .88 when
associating CTC with MRI, whereas each imaging technique taken individually
provided lower concordance coefficients. In our study 80.3% of patients underwent
the procedure that had been preoperatively planned. CONCLUSION: Our study
suggests that associating MRI with CTC leads to improved accuracy in preoperative
assessment of colorectal endometriosis and in subsequent preoperative choice of
surgical procedures on the digestive tract.
PMID- 29374619
TI - Incisional Outcomes of Umbilical vs Suprapubic Mini-laparotomy for Tissue
Extraction: A Retrospective Cohort Study.
AB - STUDY OBJECTIVE: To compare outcomes following umbilical minilaparotomy and
suprapubic minilaparotomy for tissue extraction. DESIGN CLASSIFICATION:
Retrospective cohort study (Canadian Task Force classification II-2). SETTING:
Two large academic medical centers. PATIENTS: Women who underwent a
minilaparotomy for tissue extraction following a laparoscopic hysterectomy or
myomectomy between 2014 and 2016. INTERVENTIONS: Umbilical or suprapubic
minilaparotomy for tissue extraction. MEASUREMENTS AND MAIN RESULTS: A total of
374 women underwent laparoscopic hysterectomy or myomectomy with minilaparotomy,
including 289 (77.3%) with an umbilical minilaparotomy and 85 (22.7%) with a
suprapubic minilaparotomy. The 2 groups were similar in terms of age, body mass
index, parity, surgical history, procedure type, surgical approach, and surgical
indication. The size of the minilaparotomy incision and the specimen weight were
significantly smaller in the umbilical minilaparotomy group (mean, 3.3 +/- 0.8 cm
vs 4.2 +/- 0.6 cm [p < .001] and 472.6 +/- 357.1 g vs 683.0 +/- 475.7 g [p <
.001], respectively). Two women in the suprapubic minilaparotomy group sustained
a bladder injury during creation of the incision. There were no other
complications related to the minilaparotomy in either group. Postoperative
outcomes related to the minilaparotomy incision were compiled using the medical
record and a follow-up survey. Of the 374 women in this cohort, 163 responded to
a detailed survey about their minilaparotomy incision (response rate, 43.5%).
With regard to the minilaparotomy, 52.7% of women reported incisional symptoms;
25.9% had increased pain at the incision, 8.3% had an incisional infection, and
2.7% reported an incisional hernia. There was no significant between-group
difference in incisional outcomes; however nearly 3 times as many women in the
umbilical minilaparotomy group reported concerns about incisional hernia (3.1% vs
1.2%; p = .833). These findings were maintained in a multivariable logistic
regression analysis. No patient or procedure characteristics were significantly
associated with the development of hernia. CONCLUSION: There were no significant
difference in incisional symptoms, pain, or infection following umbilical
minilaparotomy vs a suprapubic minilaparotomy for tissue extraction. Although not
statistically significant, the rate of incisional hernia was higher at the
umbilical site compared with the suprapubic site.
PMID- 29374620
TI - Pain is an Independent Risk Factor for Failed Global Endometrial Ablation.
AB - STUDY OBJECTIVE: To determine whether pain, as part of an indication for global
endometrial ablation, is an independent risk factor for failure. DESIGN:
Retrospective cohort study (Canadian Task Force classification II-2). SETTING:
Academic-affiliated community hospital. PATIENTS: Women undergoing global
endometrial ablation with radiofrequency ablation (RFA), hydrothermablation
(HTA), or uterine balloon ablation (UBA) between January 2003 and December 2015.
INTERVENTIONS: Procedure failure was defined as subsequent hysterectomy after the
index ablation. MEASUREMENTS AND MAIN RESULTS: A total of 5818 women who
underwent an endometrial ablation were identified, including 3706 with RFA
(63.7%), 1786 with HTA (30.7%), and 326 with UBA (5.6%). Of the 5818 ablations,
437 (7.5%) involved pain (i.e., pelvic pain, dysmenorrhea, dyspareunia, lower
abdominal pain, endometriosis, or adenomyosis) before ablation, along with
abnormal uterine bleeding. Pain as part of the preoperative diagnoses before
endometrial ablation was a significant risk factor for subsequent hysterectomy
compared with all other diagnoses (19.2% vs 13.5%; p = .001). Consistent with
previous studies, women who underwent ablation at an older age were less likely
to fail, which held true even when one of the indications for ablation was
related to pain (odds ratio, 0.96/year; 95% confidence interval, 0.95-0.97). When
the pathology reports of women who underwent a hysterectomy were examined, women
in the pain group had lower rates of adenomyosis than women without pain (38.1%
vs 50.1%; p = .04). However, there was a trend toward a higher rate of
endometriosis on pathology reports (14.3% vs 8.7%; p = .09) and even higher rates
of visualized endometriosis identified by operative reports in women who had pain
before their ablation (42.9% vs 15.8%; p < .001). Patients who had pain before
their ablation were less likely to have myomas/polyps (p = .01). CONCLUSION:
Pelvic pain before global endometrial ablation is an independent risk factor for
failure.
PMID- 29374621
TI - Response to Sheehan et al's 'In defence of governance: ethics review and social
research'.
AB - This response welcomes Sheehan et al's discussion of the criticisms that have
been made of mandatory, pre-emptive ethics regulation and their outline of a
philosophical rationale for it. However, it is argued that they misrepresent some
of the key criticisms and fail to provide any effective response to them.
PMID- 29374622
TI - Prescribing in paediatric obesity: methods to improve dosing safety in weight
based dose calculations.
PMID- 29374623
TI - GMC confidentiality guidance 2017.
PMID- 29374624
TI - What factors affect adherence to medicines?
PMID- 29374625
TI - Authors' reply: 'Response to: 'Psychosocial job stressors and suicidality: a meta
analysis and systematic review' by Milneret al'.
PMID- 29374626
TI - 4CMenB and post-immunisation fever: an emerging hot topic.
PMID- 29374627
TI - Morbidity and mortality with early pulmonary haemorrhage in preterm neonates.
AB - OBJECTIVE: There are no large studies evaluating pulmonary haemorrhage (PH) in
premature infants. We sought to quantify the clinical characteristics,
morbidities and mortality associated with early PH. DESIGN: Data were abstracted
from the Pediatrix Clinical Data Warehouse, a large de-identified data set. For
incidence calculations, we included infants from 340 Pediatrix United States
Neonatal Intensive Care Units from 2005 to 2014 without congenital anomalies.
Infants <28 weeks' gestation with PH within 7 days of birth were then matched
with two controls for birth weight, gestational age, gender, antenatal steroid
exposure, day of life 0 or 1 intubation and multiple gestation. RESULTS: From 596
411 total infants, we identified 2799 with a diagnosis of PH. Peak incidence was
86.9 cases per 1000 admissions for neonates born at 24 weeks' gestation. We then
identified 1476 infants <28 weeks' gestation with an early PH diagnosis at <=7
days of age of which 1363 (92.3%) were successfully matched. Patients with early
PH had significantly higher exposure to poractant alfa (35.4% vs 28%), diagnosis
of shock (63.7% vs 51%) and grade IV intraventricular haemorrhage (20.8% vs 6%).
Patients with PH also had significantly higher mortality rates at 7 days of age
(40.6% vs 18.9%), 30 days of age (54% vs 28.8%) and prior to discharge (56.9% vs
33.7). CONCLUSION: In this large cohort of premature infants, we found PH to be
common among the most premature babies. Early PH was associated with significant
morbidity and mortality in excess of 50%. A renewed focus on the underlying
pathophysiology and prevention of PH is warranted.
PMID- 29374628
TI - Radiological feature of colonic atresia.
PMID- 29374630
TI - Non-parenchymal TREM-2 protects the liver from immune-mediated hepatocellular
damage.
AB - OBJECTIVE: Liver injury impacts hepatic inflammation in part via Toll-like
receptor (TLR) signalling. Triggering receptor expressed on myeloid cells 2 (TREM
2) modulates TLR4-mediated inflammation in bone marrow (BM)-derived macrophages
but its function in liver injury is unknown. Here we hypothesised that the anti
inflammatory effects of TREM-2 on TLR signalling may limit hepatic injury.
DESIGN: TREM-2 expression was analysed in livers of humans with various forms of
liver injury compared with control individuals. Acute and chronic liver injury
models were performed in wild type and Trem-2-/- mice. Primary liver cells from
both genotypes of mice were isolated for in vitro experiments. RESULTS: TREM-2
was expressed on non-parenchymal hepatic cells and induced during liver injury in
mice and man. Mice lacking TREM-2 exhibited heightened liver damage and
inflammation during acute and repetitive carbon tetrachloride and acetaminophen
(APAP) intoxication, the latter of which TREM-2 deficiency was remarkably
associated with worsened survival. Liver damage in Trem-2-/- mice following
chronic injury and APAP challenge was associated with elevated hepatic lipid
peroxidation and macrophage content. BM transplantation experiments and cellular
reactive oxygen species assays revealed effects of TREM-2 in the context of
chronic injury depended on both immune and resident TREM-2 expression. Consistent
with effects of TREM-2 on inflammation-associated injury, primary hepatic
macrophages and hepatic stellate cells lacking TREM-2 exhibited augmented TLR4
driven proinflammatory responses. CONCLUSION: Our data indicate that by acting as
a natural brake on inflammation during hepatocellular injury, TREM-2 is a
critical regulator of diverse types of hepatotoxic injury.
PMID- 29374631
TI - Radial nerve injury following dry needling.
AB - A 27-year-old secretary presented to an orthopaedic outpatients department with a
1-month history of left wrist drop following dry needling. On insertion of a
needle, she reported spasms in her left hand followed by a wrist drop. An MRI
scan was normal. Electromyogram and nerve conduction studies showed a neuropraxia
of the left radial nerve. She was referred to a hand therapist for splinting and
intensive hand therapy; however, her symptoms remain unchanged.
PMID- 29374632
TI - Cryptococcal meningitis in a daily cannabis smoker without evidence of
immunodeficiency.
AB - Cryptococcal meningitis is a life-threatening condition most commonly observed in
immunocompromised individuals. We describe a daily cannabis smoker without
evidence of immunodeficiency presenting with confirmed Cryptococcus neoformans
meningitis. An investigation of cannabis samples from the patient's preferred
dispensary demonstrated contamination with several varieties of Cryptococcus,
including C. neoformans, and other opportunistic fungi. These findings raise
concern regarding the safety of dispensary-grade cannabis, even in
immunocompetent users.
PMID- 29374629
TI - Cross-phenotype analysis of Immunochip data identifies KDM4C as a relevant locus
for the development of systemic vasculitis.
AB - OBJETIVE: Systemic vasculitides represent a heterogeneous group of rare complex
diseases of the blood vessels with a poorly understood aetiology. To investigate
the shared genetic component underlying their predisposition, we performed the
first cross-phenotype meta-analysis of genetic data from different clinically
distinct patterns of vasculitis. METHODS: Immunochip genotyping data from 2465
patients diagnosed with giant cell arteritis, Takayasu's arteritis,
antineutrophil cytoplasmic antibody-associated vasculitis or IgA vasculitis as
well as 4632 unaffected controls were analysed to identify common susceptibility
loci for vasculitis development. The possible functional consequences of the
associated variants were interrogated using publicly available annotation data.
RESULTS: The strongest association signal corresponded with an intergenic
polymorphism located between HLA-DQB1 and HLA-DQA2 (rs6932517, P=4.16E-14,
OR=0.74). This single nucleotide polymorphism is in moderate linkage
disequilibrium with the disease-specific human leucocyte antigen (HLA) class II
associations of each type of vasculitis and could mark them. Outside the HLA
region, we identified the KDM4C gene as a common risk locus for vasculitides
(highest peak rs16925200, P=6.23E-07, OR=1.75). This gene encodes a histone
demethylase involved in the epigenetic control of gene expression. CONCLUSIONS:
Through a combined analysis of Immunochip data, we have identified KDM4C as a new
risk gene shared between systemic vasculitides, consistent with the increasing
evidences of the crucial role that the epigenetic mechanisms have in the
development of complex immune-mediated conditions.
PMID- 29374633
TI - Desmoplastic fibroblastoma of the left upper arm.
AB - An elderly female patient presented to the clinic with a several-week history of
a mass in her left upper arm that was tender to the touch. The mass was initially
thought to be a schwannoma of the left radial nerve based on imaging and was
surgically removed. The pathology report revealed an uncommon diagnosis of
desmoplastic fibroblastoma.
PMID- 29374634
TI - Neurogenic pulmonary oedema secondary to vertebral artery dissection while
playing tennis.
AB - We present a case of a patient who developed vertebral artery dissection (VAD)
while playing tennis and presented with neurogenic pulmonary oedema. The case
highlights two important points: acute pulmonary oedema as an unusual presenting
feature of VAD and VAD, an important cause of stroke in young people, as being
associated with playing low-impact sports such as tennis. These associations,
independent of each other, are under-recognised and can lead to a delay in
diagnosis.
PMID- 29374635
TI - Rare occurrence of eight-and-a-half syndrome as a clinically isolated syndrome.
AB - Eight-and-a-half syndrome is a rare condition that is described as a combination
of one-and-a-half syndrome and an ipsilateral facial nucleus lesion. We present a
clinical case of occurrence of eight-and-a-half syndrome that was caused by a
demyelinating lesion in the dorsal pontine tegmentum. A 44-year-old man presented
to the hospital with a subacute onset of horizontal diplopia and left-sided
facial weakness. MRI revealed a T2 hyperintense lesion in his dorsal pons, which
was consistent with a demyelinating pathology. Treatment with intravenous
steroids showed significant improvement in his symptoms. In our case, it occurred
due to a suspected demyelinating lesion that was this patient's first and only
demyelinating event, leaving him with a diagnosis of clinically isolated
syndrome. His responsiveness to steroids represents the first case report of an
adult patient presenting with an eight-and-a-half syndrome secondary to a
suspected demyelinating pathology.
PMID- 29374636
TI - Clostridium difficile enteritis: diffuse small bowel radiological changes in a
patient with abdominal sepsis.
AB - While imaging appearances of pseudomembranous colitis are commonly recognised,
radiological manifestations of Clostridium difficile-associated enteritis are
poorly understood which, combined with the rarity of this infection involving
small bowel, makes establishing the correct diagnosis challenging. Therefore, in
order to encourage awareness of readers, we present a case of C. difficile
enteritis that manifested as abdominal sepsis complicating the postoperative
period in a middle-aged woman with fistulating Crohn's disease and defunctioning
ileostomy. Radiological appearances are described based on three consecutive CT
studies performed 5 days prior to onset of symptoms, during the peak of
enteritis, corresponding with the patient's clinical deterioration, and also 35
days later following treatment and resolution.
PMID- 29374637
TI - Emergency percutaneous transtracheal jet ventilation in a hypoxic cardiopulmonary
resuscitation setting: a life-saving rescue technique.
AB - (Un)anticipated difficult airway remains a challenge in anaesthesia. Percutaneous
transtracheal jet ventilation has been shown to be an adequate technique for
temporary oxygenation and ventilation and has been described as an acknowledged
method in emergency settings of an unanticipated difficult airway. These
emergency settings can be considered as low incidence high-risk situations. Both
technical and non-technical skills should be trained regularly as education and
simulation continues to play an important factor in patient safety. Furthermore,
postoperative laryngeal oedema due to altered lymphatic drainage patterns must be
considered as a possible mechanism of an upper airway obstruction in combination
with a history of neck dissection and radiotherapy.
PMID- 29374638
TI - Fluctuating hypercalcaemia caused by cavitary Mycobacterium bovis pulmonary
infection.
AB - Hypercalcaemia occurs in many granulomatous diseases. Among them, sarcoidosis and
tuberculosis are the most common causes. Other causes include berylliosis,
coccidioidomycosis, histoplasmosis, Crohn's disease, silicone-induced granulomas,
cat-scratch disease, Wegener's granulomatosis and Pneumocystis carinii pneumonia.
Hypercalcaemia in granulomatous disease occurs as a consequence of dysregulated
production of 1,25-(OH)2 D3 (calcitriol) by activated macrophages in granulomas.
Hypercalcaemia in patients with Mycobacterium tuberculosis infection has been
reported in 0%-28% of cases. Uncultured bronchoalveolar lavage cells from
patients with M. tuberculosis produce greater amounts of calcitriol compared with
controls. Although Nayar et al described hypercalcaemia in a case of sepsis
associated with intravesical Bacille Calmette Guerin therapy, there are no
published reports describing hypercalcaemia in patients with pulmonary M. bovis
infection. We describe a patient with M. bovis cavitary pulmonary infection with
sustained hypercalcaemia that fluctuated and recurred repeatedly over the course
of therapy, ultimately culminating in normalisation of serum calcium when therapy
had led to cure. Treatment consisted of antituberculous therapy, oral
corticosteroids and intravenous bisphosphonates with a favourable outcome.
PMID- 29374639
TI - Sepsis Secondary to Bacteroides Fragilis Tubo-Ovarian Abscess Requiring
Hysterectomy and Bilateral Salpingo-Oophorectomy.
AB - A 45-year-old, G0P0 premenopausal woman was admitted for investigation of right
lower quadrant pain, fever, leucocytosis and right adnexal abscess on CT. She was
started on intravenous antibiotics and underwent CT-guided percutaneous drainage
from which Bacteroides fragilis was cultured. A few days later, she had an
exploratory laparotomy with incision and drainage. Once stabilised, she was
discharged on intravenous antibiotics. She was followed outpatient and subsequent
imaging demonstrated significant improvement of the abscess. After being
asymptomatic for 3 months, she again presented to the emergency department with
right lower quadrant abdominal pain, fever and leucocytosis. Two days later, she
underwent total abdominal hysterectomy with bilateral salpingo-oophorectomy. She
made a full recovery and began treatment with a herbal oestrogen derivative to
prevent early menopause.
PMID- 29374640
TI - Delayed diagnosis of dermal leiomyosarcoma mimicking keloid scar.
AB - A 43-year-old man developed an abnormal scar 6 months following excision of a
leiomyoma from his left shoulder. The scar was elevated, irregular in shape, pink
red in colour, hard in consistency and it was extending beyond the margins of the
original wound. A diagnosis of a keloid scar was considered and the patient was
managed as such. He underwent a planned procedure for intralesional excision of
the keloid scar. The histopathological examination showed a diagnosis of
leiomyosarcoma. This case report presents a delayed diagnosis of dermal
leiomyosarcoma mimicking a keloid scar. The patient subsequently underwent wider
excision of the tumour with curative intention.
PMID- 29374641
TI - Successful percutaneous drainage of pneumatoceles in an extremely low-birthweight
infant.
AB - Pneumatoceles are thin-walled, air-filled cystic lesions developing within the
lung parenchyma. It used to be a relatively common entity in the presurfactant
era when preterm babies were ventilated at an unacceptably high positive pressure
for respiratory distress syndrome. Pneumatocele formation is a very rare
complication of pneumonia in neonates. We here report a case of extremely low
birthweight (ELBW) neonate who developed large bilateral pneumatoceles after
staphylococcal pneumonia. Hereby, we present a case of an ELBW infant with
bilateral massive pneumatoceles who underwent successful percutaneous catheter
drainage to decompress these pneumatoceles.
PMID- 29374642
TI - Hemiparesis in spontaneous spinal epidural haematoma: a potential stroke
imitator.
AB - Spontaneous spinal epidural haematoma (SSEH) is a rare condition that requires
urgent surgical intervention in order to prevent permanent neurological deficit.
SSEH commonly presents as a paraparesis or tetraparesis. SSEH presenting as a
hemiparesis is less common and in such situations, it can be mistaken for a
cerebrovascular accident (CVA). Thrombolytic or anticoagulant treatment for CVA
can potentially worsen the neurological deficit. We report one such case of SSEH
misdiagnosed as a CVA. Treatment with tissue plasminogen activator led to
worsening of his condition. On a subsequent cervical spine MRI, an epidural
haematoma extending from C3 to C5 was detected and treated with laminectomy and
evacuation. Surgical intervention led to significant improvement from American
Spinal Injury Association Scale (ASIA) B to ASIA E. Presence of clinical features
such as Horner's syndrome, Brown-Sequard syndrome and the absence of cranial
nerve palsies in acute hemiparesis are indicative of SSEH rather than CVA.
PMID- 29374643
TI - Perimesencephalic and sulcal subarachnoid haemorrhage: an interesting
presentation of posterior reversible encephalopathy syndrome.
PMID- 29374644
TI - Don't put your foot in it: a case of talonavicular septic arthritis.
AB - We present the second documented case of primary septic arthritis of the
talonavicular joint. This patient had a number of medical comorbidities,
including chronic widespread pain including the ipsilateral limb, which made
diagnosis an even greater challenge. Although a clinical diagnosis, joint fluid
aspiration remains the gold standard. Prompt surgical drainage with adjuvant
antibiotic treatment is recommended, and management requires a multidisciplinary
team approach. The aim of treatment is to avoid the sequelae of joint
destruction, pain and foot deformity.
PMID- 29374645
TI - Spontaneous calf haematoma in severe dengue.
AB - We report a case of spontaneous calf muscle haematoma, formed during the recovery
phase of dengue haemorrhagic fever, which, to the best of our knowledge, has
never been encountered before. A 45-year-old man presented with features of
severe dengue and got admitted to our intensive care unit. He was treated with
intravenous fluid therapy and supportive measures, and gradually improved,
initially. However, during the recovery phase, he suddenly developed painful left
calf, which was found tender, hot and swollen on physical examination. Colour
Doppler ultrasound revealed left calf haematoma. As the patient rapidly developed
local compartmental syndrome, surgical evacuation of the haematoma followed by
urgent fasciotomy was performed. He recovered without further complication and
was discharged home. At follow-up after 2 months, he remained well.
PMID- 29374646
TI - Simple surgical solution: scaphoid type congenital megalourethra.
PMID- 29374647
TI - Acute retinal detachment induced by the Valsalva manoeuvre in morning glory disc
anomaly.
AB - We present a case in which a large, bullous, predominantly inferior, serous
retinal detachment developed acutely after the Valsalva manoeuvre (from a
coughing fit) in an eye with morning glory disc anomaly. We postulate that a
rapid alteration in intracranial pressure was transmitted through the cavitary
disc defect. This allowed a sudden influx of cerebrospinal fluid and/or liquefied
vitreous into the subretinal space. This previously unreported case provides
important evidence for the role of intracranial pressure fluctuations in the
pathogenesis of macular schisis and neurosensory detachment secondary to optic
disc cavitations.
PMID- 29374648
TI - Secondary Omental Infarction in a Patient with a Hypercoagulable State.
AB - Omental infarction is a rare cause of acute and non-specific abdominal pain. We
report a case of a 46-year-old man who presented to the emergency room with right
upper quadrant cramping pain that was of sudden onset. The patient's presentation
was later diagnosed as an omental infarction, by an abdominal CT. After extensive
work-up, it was revealed that the cause of the patient's omental infarction was
secondary to a hypercoagulable state caused by antiphospholipid syndrome, based
on his thrombophilia work-up. The patient was successfully managed conservatively
and was started on lifelong anticoagulation. The patient was followed up with an
abdominal CT after 2 months into therapy, which showed a decrease in the size of
the omental infarction and a significant improvement in his state.
PMID- 29374649
TI - Strangulated Spiegel's hernia mimicking ischaemic colitis: endoscopic diagnosis
of a rare surgical emergency.
PMID- 29374650
TI - Concurrent Pneumocystis jirovecii and pulmonary histoplasmosis in an undiagnosed
HIV patient.
AB - Concurrent Pneumocystis jirovecii (PJ) and pulmonary histoplasmosis (PHP) are
rare in a single HIV individual. We present a challenging case of concomitant PJ
and PHP in a young HIV individual. A 44-year-old man presented to the emergency
department with progressive pulmonary symptoms. He was hypoxic with bilateral
pulmonary opacities on chest radiograph. CT of the chest showed a geographical
pattern of ground-glass attenuation. He started receiving intravenous antibiotics
in addition to oral Bactrim for suspected PJ. He also began receiving
itraconazole, given suspected PHP with recent bat-droppings exposure. HIV test
was positive, though history was negative; the CD4 count was 5 cells/mm3 Later,
he developed respiratory failure without clinical improvement. First
bronchoalveolar lavage (BAL) failed to confirm opportunistic pathogens. Repeat
BAL revealed PJ but no Histoplasma. Histoplasma antigens were positive,
confirming histoplasmosis. The patient died despite aggressive treatment with
intravenous Bactrim and amphotericin B.
PMID- 29374651
TI - Clarithromycin as a steroid sparing agent for the management of infantile bullous
pemphigoid.
PMID- 29374652
TI - Chronic reactive arthritis associated with prostatitis caused by Neisseria
meningitidis.
PMID- 29374653
TI - Necrosis of the gastrocnemius muscle in microscopic polyangiitis.
PMID- 29374654
TI - Oculocutaneous albinism with iridofundal coloboma.
PMID- 29374655
TI - Symptomatic lower limb large vessel vasculitis presenting as fever of unknown
origin diagnosed on FDG-PET/CT.
PMID- 29374656
TI - A pneumothorax? When to look twice and treat once.
PMID- 29374657
TI - Thread embedding acupuncture for musculoskeletal pain: a systematic review and
meta-analysis protocol.
AB - INTRODUCTION: Thread embedding acupuncture (TEA) is a special type of acupuncture
that inserts certain medical threads (eg, catgut or polydioxanone) into
subcutaneous tissue or muscles at specific points. Although TEA has been widely
used for the treatment of musculoskeletal pain in Korea, China and Taiwan,
evidence regarding its efficacy is lacking. The aim of this protocol is to
evaluate the effectiveness and safety of TEA in the treatment of musculoskeletal
pain, by conducting a systematic review and meta-analysis. METHODS AND ANALYSIS:
The following 16 databases will be searched from their inception to 14 May 2017:
MEDLINE, the Cochrane Central Register of Controlled Trials, EMBASE, the
Cumulative Index to Nursing and Allied Health Literature, the Allied and
Complementary Medicine Database, three Chinese database (China National Knowledge
Infrastructure, the Chongqing VIP Chinese Science and Technology Periodical
Database and the Wanfang database) and eight Korean databases (Korean Medical
Database, Korean Association of Medical Journal Editors, Korean Studies
Information Service System, Korean National Assembly Digital Library, National
Digital Science Library, Oriental Medicine Advanced Searching Integrated System,
'Database Periodical Information Academic and Korean Traditional Knowledge
Portal'). The WHO International Clinical Trials Registry Platform will also be
searched to retrieve the recently completed studies.All randomised controlled
studies in which TEA was used on specific points for the treatment of
musculoskeletal pain will be included and no restrictions on language will be
applied. The risk of bias of each study will be evaluated by the Cochrane risk of
bias tool.Mean difference or standardised mean difference for continuous data and
risk ratio for dichotomous data will be calculated with 95% CIs using a random
effects model or a fixed effects model. Additional subgroup and sensitivity
analyses will be conducted according to a predefined protocol. ETHICS AND
DISSEMINATION: No ethical issues are predicted. The systematic review will be
published in a peer-reviewed journal or conference presentation. These findings
will summarise the current evidence of TEA for the treatment of musculoskeletal
pain and may provide guidance for clinicians and patients to select TEA for
musculoskeletal pain. PROSPERO REGISTRATION NUMBER: CRD42015019046.
PMID- 29374658
TI - Protocol for Compass: a randomised controlled trial of primary HPV testing versus
cytology screening for cervical cancer in HPV-unvaccinated and vaccinated women
aged 25-69 years living in Australia.
AB - INTRODUCTION: Australia's National Cervical Screening Program (NCSP) currently
recommends 2-year cytology in women aged 18-69 years. Following a review of the
NCSP prompted by the implementation of human papillomavirus (HPV) vaccination,
the programme will transition in 2017 to 5-year primary HPV screening with
partial genotyping for HPV16/18 in women aged 25-74 years. Compass is a sentinel
experience for the renewed NCSP and the first prospectively randomised trial of
primary HPV screening compared with cytology to be conducted in a population with
high uptake of HPV vaccination. This protocol describes the main Compass trial,
which commenced after a pilot study of ~5000 women completed recruitment. METHODS
AND ANALYSIS: Women aged 25-69 years will be randomised at a 1:2 allocation to
(1) 2.5-year image-read, liquid-based cytology (LBC) screening with HPV triage of
low-grade smears (active control Arm A) or (2) 5-year HPV screening with partial
genotyping and referral of HPV16/18-positive women to colposcopy (intervention
Arm B). Women in Arm B positive for other oncogenic HPV (not 16/18) will undergo
secondary randomisation at a 1:1 allocation to either LBC or dual-stained
(p16INK4a and Ki-67) cytology testing (dual-stained cytology). The primary
outcome is cumulative CIN3+ (CIN3, adenocarcinoma in situ and invasive cervical
cancer) following a 5-year HPV exit testing round in both arms, in women
randomised to the HPV arm versus women randomised to the LBC arm, based on an
intention-to-treat analysis. The primary outcome will first be tested for non
inferiority and if declared, the primary outcome will be tested for superiority.
A total of 36 300 women in birth cohorts not offered vaccination and 84 700 women
in cohorts offered vaccination will be recruited, bringing the final sample size
to 121 000. The trial is powered for the secondary outcome of cumulative CIN3+ in
screen-negative women, adjusted for censoring after CIN2+ treatment and
hysterectomy. ETHICS AND DISSEMINATION: Approved by the Bellberry Ethics
Committee (2014-11-592). Findings will be reported in peer-reviewed journals and
presented at scientific meetings. TRIAL REGISTRATION NUMBER: NCT02328872; Pre
results.
PMID- 29374659
TI - Impact of hepatitis C virus infection on long-term mortality after acute
myocardial infarction: a nationwide population-based, propensity-matched cohort
study in Taiwan.
AB - INTRODUCTION: The influence of hepatitis C virus (HCV) infection on long-term
outcomes of patients with acute myocardial infarction (AMI) is unclear.
Therefore, this study aimed to analyse the impact of HCV infection on 12-year
mortality rates after AMI using data from the Taiwan National Health Insurance
Research Database (NHIRD). METHODS: NHIRD data for approximately 23 000 000
patients between January 2000 and December 2012 were analysed. A total of 186 112
cases of first AMI admission were identified. A total of 4659 patients with HCV
infection not receiving interferon therapy were enrolled and divided into those
with (n=107) or without (n=4552) cirrhosis. Using one-to-one matching, 4552
matched controls were included in the final analysis. RESULTS: The 12-year
mortality rate was significantly higher in patients with AMI with HCV infection
and cirrhosis than in those with HCV infection but without cirrhosis (P<0.0001)
or controls (P<0.0001). Patients with HCV infection but without cirrhosis had
significantly higher long-term mortality rates than the matched controls
(P<0.0001). The HR for mortality was higher in patients with HCV infection (HR
1.12; 95% CI 1.06 to 1.18). HCV influenced outcomes among the subgroups of
patients who were male (HR 1.15) and those who had hypertension (HR 1.14).
CONCLUSIONS: HCV infection influenced the 12-year mortality rates of patients
with AMI, especially those who were male and those who had hypertension.
Cirrhosis further increased the long-term mortality rates of patients with AMI
with HCV infection.
PMID- 29374660
TI - Blood-based biomarkers of cancer-related cognitive impairment in non-central
nervous system cancer: protocol for a scoping review.
AB - INTRODUCTION: Cancer-related cognitive impairment (CRCI) can have detrimental
effects on quality of life, even among patients with non-central nervous system
(CNS) cancers. Several studies have been conducted to explore different markers
associated with CRCI to understand its pathobiology. It is proposed that the
underlying mechanisms of CRCI are related to a cascade of physiological adaptive
events in response to cancer and/or treatment. Hence, peripheral blood would be a
logical source to observe and identify these physiological events. This paper
outlines the protocol for a scoping review being conducted to summarise the
extant literature regarding blood-based biomarkers of CRCI among patients with
non-CNS cancer. METHODS/ANALYSIS: Methods will be informed by the updated
guidelines of Arksey and O'Malley. The systematic search for literature will
include electronic databases, handsearching of key journals and reference lists,
forward citation tracking and consultation with content experts. Study selection
will be confirmed by duplicate review and calculation of inter-rater reliability.
Data to be charted will include study design, sample size, cancer and treatment
characteristics, demographic characteristics, cognitive variable/s and biomarkers
assessed, associations between cognitive functioning and biomarkers (including
statistics used), and rigour in biomarker sample collection and processing.
Results will be presented through: (1) a descriptive numerical summary of
studies, including a flow diagram based on the Preferred Reporting Items for
Systematic Reviews and Meta-analyses statement, (2) a list of blood-based
biomarkers associated with CRCI and (3) a narrative overview developed through
collaboration among the research team and consultation with content experts.
DISSEMINATION: The findings of this review will highlight current directions and
gaps in the current body of evidence that may lead to improved rigour in future
CRCI investigations. The dissemination of this work will be facilitated through
the involvement of clinicians and researchers on the research team, an external
consultation process and the presentation of the results through scholarly
publication and presentation.
PMID- 29374661
TI - Multicentre validation of a sepsis prediction algorithm using only vital sign
data in the emergency department, general ward and ICU.
AB - OBJECTIVES: We validate a machine learning-based sepsis-prediction algorithm
(InSight) for the detection and prediction of three sepsis-related gold
standards, using only six vital signs. We evaluate robustness to missing data,
customisation to site-specific data using transfer learning and generalisability
to new settings. DESIGN: A machine-learning algorithm with gradient tree
boosting. Features for prediction were created from combinations of six vital
sign measurements and their changes over time. SETTING: A mixed-ward
retrospective dataset from the University of California, San Francisco (UCSF)
Medical Center (San Francisco, California, USA) as the primary source, an
intensive care unit dataset from the Beth Israel Deaconess Medical Center
(Boston, Massachusetts, USA) as a transfer-learning source and four additional
institutions' datasets to evaluate generalisability. PARTICIPANTS: 684 443 total
encounters, with 90 353 encounters from June 2011 to March 2016 at UCSF.
INTERVENTIONS: None. PRIMARY AND SECONDARY OUTCOME MEASURES: Area under the
receiver operating characteristic (AUROC) curve for detection and prediction of
sepsis, severe sepsis and septic shock. RESULTS: For detection of sepsis and
severe sepsis, InSight achieves an AUROC curve of 0.92 (95% CI 0.90 to 0.93) and
0.87 (95% CI 0.86 to 0.88), respectively. Four hours before onset, InSight
predicts septic shock with an AUROC of 0.96 (95% CI 0.94 to 0.98) and severe
sepsis with an AUROC of 0.85 (95% CI 0.79 to 0.91). CONCLUSIONS: InSight
outperforms existing sepsis scoring systems in identifying and predicting sepsis,
severe sepsis and septic shock. This is the first sepsis screening system to
exceed an AUROC of 0.90 using only vital sign inputs. InSight is robust to
missing data, can be customised to novel hospital data using a small fraction of
site data and retains strong discrimination across all institutions.
PMID- 29374662
TI - Evaluating sickness absence duration by musculoskeletal and mental health issues:
a retrospective cohort study of Scottish healthcare workers.
AB - OBJECTIVES: Sickness absence (SA) among healthcare workers is associated with
occupational and non-occupational risk factors and impacts employee health,
healthcare delivery and patient health. At the same time, healthcare is one of
the employment sectors with the highest rates of work-related ill health in the
UK. Musculoskeletal (MSK) and mental health (MH) issues are leading causes of SA,
but there is a lack of research on how certain MSK/MH conditions impact on SA
duration. The study aim is to determine differences in SA duration by MH and MSK
disorders in healthcare employees. METHODS: Survival analyses were used to
estimate SA duration due to MSK and MH problems over 6 years, and Cox's
proportional hazards models to determine the HRs of returning to work, using a
bespoke Scottish health board database with over 53 000 SA events. SA duration
and time to return-to-work (RTW) were estimated for employees by age, gender, job
and health conditions. RESULTS: MSK and MH conditions accounted for 27% and 6% of
all SA events and 23.7% and 19.5% of all days lost, respectively. Average SA
duration was 43.5 days for MSK and 53.9 days for MH conditions. For MSK
conditions, employees with low back or neck pain had the fastest RTW (median P50:
7 days), whereas employees absent due to depression took the longest (P50: 54
days). The most influential sociodemographic variables affecting RTW were age,
gender and job category. CONCLUSIONS: Using a unique and rich database, we found
significant differences in SA duration by presenting condition in healthcare
workers. MH conditions, and depression specifically, accounted for the most
working days' absence. Significant variations in duration were also observed for
MSK conditions. Our findings can inform public health practitioners and
healthcare managers of the most significant factors impacting MSK-related and MH
related SA to develop and implement tailored and targeted workplace
interventions.
PMID- 29374663
TI - Cross-sectional study assessing the addition of contrast sensitivity to visual
acuity when testing for fitness to drive.
AB - OBJECTIVES: The aim of this study is to quantify the importance of loss of
contrast sensitivity (CS) and its relationship to loss of visual acuity (VA),
driving restrictions and daytime, on-road driving evaluations in drivers aged
70+. DESIGN: A predictive cross-sectional study. SETTING: Volunteer participants
to a drivers' refresher course for adults aged 70+ delivered by the Swiss
Automobile Club in western Switzerland from 2011 to 2013. PARTICIPANTS: 162
drivers, male and female, aged 70 years or older. CLINICAL PREDICTORS: We used a
vision screener to estimate VA and the The Mars Letter Contrast Sensitivity Test
to test CS. OUTCOMES: We asked drivers to report whether they found five driving
restrictions useful for their condition; restrict driving to known roads, avoid
driving on highways, avoid driving in the dark, avoid driving in dense traffic
and avoid driving in fog. All participants also underwent a standardised on-road
evaluation carried out by a driving instructor. RESULTS: Moderate to severe loss
of CS for at least one eye was frequent (21.0% (95% CI 15.0% to 28.1%)) and often
isolated from a loss of VA (11/162 cases had a VA >=0.8 decimal and a CS of <=1.5
log(CS); 6.8% (95% CI 3.4% to 11.8%)). Drivers were more likely (R2=0.116,
P=0.004) to report a belief that self-imposed driving restrictions would be
useful if they had reduced CS in at least one eye. Daytime evaluation of driving
performance seems limited in its ability to correctly identify difficulties
related to CS loss (VA: R2=0.004, P=0.454; CS: R2=0.006, P=0.332). CONCLUSION: CS
loss is common for older drivers. Screening CS and referring for cataract surgery
even in the absence of VA loss could help maintain mobility. Reduced CS and
moderate reduction of VA were both poor predictors of daytime on-road driving
performances in this research study.
PMID- 29374664
TI - Students' satisfaction and perceived impact on knowledge, attitudes and skills
after a 2-day course in scientific writing: a prospective longitudinal study in
Spain.
AB - OBJECTIVES: This study aimed to determine students' satisfaction with a 2-day
course on scientific writing in health sciences and to assess their perceptions
of the long-term impact on their knowledge, attitudes and skills. SETTING: 27
iterations of a 2-day course on writing and publishing scientific articles in
health sciences. PARTICIPANTS: 741 students attending the 27 courses. DESIGN:
Prospective longitudinal study. PRIMARY AND SECONDARY OUTCOME MEASURES:
Immediately after each course, students completed a first questionnaire, rating
their satisfaction with different aspects of the classroom sessions on a Likert
scale (0-5). Approximately 2 years after the course, students completed a follow
up questionnaire, using a Likert scale (0-4) to rate their knowledge, skills and
attitudes in relation to scientific writing before and after attending the
course. RESULTS: 741 students (70% women) participated in the 27 iterations of
the course; 568 (76.8%) completed the first questionnaire and 182 (24.6%)
completed the follow-up questionnaire. The first questionnaire reflected high
overall satisfaction (mean score, 4.6). In the second questionnaire, students
reported that the course had improved their knowledge (mean improvement: 1.6; 95%
CI 1.6 to 1.7), attitudes (mean improvement: 1.3; 95% CI 1.2 to 1.4) and skills
(mean improvement: 1.4; 95% CI 1.3 to 1.4) related to writing and publishing
scientific papers. Most respondents (n=145, 79.7%) had participated in drafting a
scientific paper after the course; in this subgroup, all the specific writing
skills assessed in the second questionnaire significantly improved. CONCLUSIONS:
Students were satisfied with the format and the contents of the course, and those
who responded to the follow-up survey considered that the course had improved
their knowledge, attitudes and skills in relation to scientific writing and
publishing. Courses are particularly important in countries without strong
traditions in scientific publication.
PMID- 29374665
TI - Needs of informal caregivers across the caregiving course in amyotrophic lateral
sclerosis: a qualitative analysis.
AB - OBJECTIVES: Amyotrophic lateral sclerosis (ALS), also known as motor neuron
disease (MND), is a debilitating terminal condition. Informal caregivers are key
figures in ALS care provision. The physical, psychological and emotional impact
of providing care in the home requires appropriate assistance and support. The
objective of this analysis is to explore the needs of informal ALS caregivers
across the caregiving course. DESIGN: In an open-ended question as part of a
semistructured interview, caregivers were asked what would help them in their
role. Interviews took place on three occasions at 4-month to 6-month intervals.
Demographic, burden and quality of life data were collected, in addition to the
open-ended responses. We carried out descriptive statistical analysis and
thematic analysis of qualitative data. SETTING AND PARTICIPANTS: Home interviews
at baseline (n=81) and on two further occasions (n=56, n=41) with informal
caregivers of people with ALS attending the National ALS/MND Clinic at Beaumont
Hospital, Dublin, Ireland. RESULTS: The majority of caregivers were family
members. Hours of care provided and caregiver burden increased across the
interview series. Thematic analysis identified what would help them in their
role, and needs related to external support and services, psychological-emotional
factors, patient-related behaviours, a cure and 'nothing'. Themes were
interconnected and their prevalence varied across the interview time points.
CONCLUSION: This study has shown the consistency and adaptation in what
caregivers identified as helpful in their role, across 12-18 months of a
caregiving journey. Support needs are clearly defined, and change with time and
the course of caregiving. Caregivers need support from family, friends and
healthcare professionals in managing their tasks and the emotional demands of
caregiving. Identifying the specific needs of informal caregivers should enable
health professionals to provide tailored supportive interventions.
PMID- 29374666
TI - Quality of life, sleep and rheumatoid arthritis (QUASAR): a protocol for a
prospective UK mHealth study to investigate the relationship between sleep and
quality of life in adults with rheumatoid arthritis.
AB - INTRODUCTION: People with rheumatoid arthritis (RA) frequently report reduced
health-related quality of life (HRQoL), the impact one's health has on physical,
emotional and social well-being. There are likely numerous causes for poor HRQoL,
but people with RA have identified sleep disturbances as a key contributor to
their well-being. This study will identify sleep/wake rhythm-associated
parameters that predict HRQoL in patients with RA. METHODS AND ANALYSIS: This
prospective cohort study will recruit 350 people with RA, aged 18 years or older.
Following completion of a paper-based baseline questionnaire, participants will
record data on 10 symptoms including pain, fatigue and mood two times a day for
30 days using a study-specific mobile application (app). A triaxial accelerometer
will continuously record daytime activity and estimate evening sleep parameters
over the 30 days. Every 10 days following study initiation, participants will
complete a questionnaire that measures disease specific (Arthritis Impact
Measurement Scale 2-Short Form (AIMS2-SF)) and generic (WHOQOL-BREF) quality of
life. A final questionnaire will be completed at 60 days after entering the
study. The primary outcomes are the AIMS2-SF and WHOQOL-BREF. Structural equation
modelling and latent trajectory models will be used to examine the relationship
between sleep/wake rhythm-associated parameters and HRQoL, over time. ETHICS AND
DISSEMINATION: Results from this study will be disseminated at regional and
international conferences, in peer-reviewed journals and Patient and Public
Engagement events, as appropriate.
PMID- 29374667
TI - Epidurals in Pancreatic Resection Outcomes (E-PRO) study: protocol for a
randomised controlled trial.
AB - INTRODUCTION: Epidural analgesia provides an important synergistic method of pain
control. In addition to reducing perioperative opioid consumption, the
deliverance of analgesia into the epidural space, effectively creating a
sympathetic blockade, has a multitude of additional potential benefits, from
decreasing the incidence of postoperative delirium to reducing the development of
persistent postsurgical pain (PPSP). Prior studies have also identified a
correlation between the use of epidural analgesia and improved oncological
outcomes and survival. The aim of this study is to evaluate the effect of
epidural analgesia in pancreatic operations on immediate postoperative outcomes,
the development of PPSP and oncological outcomes in a prospective, single-blind,
randomised controlled trial. METHODS: The Epidurals in Pancreatic Resection
Outcomes (E-PRO) study is a prospective, single-centre, randomised controlled
trial. 150 patients undergoing either pancreaticoduodenectomy or distal
pancreatectomy will be randomised to receive an epidural bupivacaine infusion
following anaesthetic induction followed by continued epidural bupivacaine
infusion postoperatively in addition to the institutional standardised pain
regimen of hydromorphone patient-controlled analgesia (PCA), acetaminophen and
ketorolac (intervention group) or no epidural infusion and only the standardised
postoperative pain regimen (control group). The primary outcome was the
postoperative opioid consumption, measured in morphine or morphine-equivalents.
Secondary outcomes include patient-reported postoperative pain numerical rating
scores, trend and relative ratios of serum inflammatory markers (interleukin (IL)
1beta, IL-6, tumour necrosis factor-alpha, IL-10), occurrence of postoperative
delirium, development of PPSP as determined by quantitative sensory testing, and
disease-free and overall survival. ETHICS AND DISSEMINATION: The E-PRO trial has
been approved by the institutional review board. Recruitment began in May 2016
and will continue until the end of May 2018. Dissemination plans include
presentations at scientific conferences and scientific publications. TRIAL
REGISTRATION NUMBER: NCT02681796.
PMID- 29374669
TI - Trends and determinants of length of stay and hospital reimbursement following
knee and hip replacement: evidence from linked primary care and NHS hospital
records from 1997 to 2014.
AB - OBJECTIVES: To measure changes in length of stay following total knee and hip
replacement (TKR and THR) between 1997 and 2014 and estimate the impact on
hospital reimbursement, all else being equal. Further, to assess the degree to
which observed trends can be explained by improved efficiency or changes in
patient profiles. DESIGN: Cross-sectional study using routinely collected data.
SETTING: National Health Service primary care records from 1995 to 2014 in the
Clinical Practice Research Datalink were linked to hospital inpatient data from
1997 to 2014 in Hospital Episode Statistics Admitted Patient Care. PARTICIPANTS:
Study participants had a diagnosis of osteoarthritis or rheumatoid arthritis.
INTERVENTIONS: Primary TKR, primary THR, revision TKR and revision THR. PRIMARY
OUTCOME MEASURES: Length of stay and hospital reimbursement. RESULTS: 10 260
primary TKR, 10 961 primary THR, 505 revision TKR and 633 revision THR were
included. Expected length of stay fell from 16.0 days (95% CI 14.9 to 17.2) in
1997 to 5.4 (5.2 to 5.6) in 2014 for primary TKR and from 14.4 (13.7 to 15.0) to
5.6 (5.4 to 5.8) for primary THR, leading to savings of L1537 and L1412,
respectively. Length of stay fell from 29.8 (17.5 to 50.5) to 11.0 (8.3 to 14.6)
for revision TKR and from 18.3 (11.6 to 28.9) to 12.5 (9.3 to 16.8) for revision
THR, but no significant reduction in reimbursement was estimated. The estimated
effect of year of surgery remained similar when patient characteristics were
included. CONCLUSIONS: Length of stay for joint replacement fell substantially
from 1997 to 2014. These reductions have translated into substantial savings.
While patient characteristics affect length of stay and reimbursement, patient
profiles have remained broadly stable over time. The observed reductions appear
to be mostly explained by improved efficiency.
PMID- 29374671
TI - Measurement properties of instruments assessing permanent functional impairment
of the spine: a systematic review protocol.
AB - INTRODUCTION: Permanent functional impairment (PFI) of the spine is a rating
system used by compensation authorities, such as workers compensation boards, to
establish an appropriate level of financial compensation for persistent loss of
function. Determination of PFI of the spine is commonly based on the assessment
of spinal movement combined with other measures of physical and functional
impairments; however, the reliability and validity of the measurement instruments
used for these evaluations have yet to be established. The aim of this study is
to systematically review and synthesise the literature concerning measurement
properties of the various and different instruments used for assessing PFI of the
spine. METHODS: Three conceptual groups of terms (1) PFI, (2) spinal disorder and
(3) measurement properties will be combined to search Medline, EMBASE, CINAHL,
Web of Science, Scopus, PEDro, OTSeeker and Health and Safety Science Abstracts.
We will examine peer-reviewed, full-text articles over the full available date
range. Two reviewers will independently screen citations (title, abstract and
full text) and perform data extraction. Included studies will be appraised as to
their methodological quality using the COnsensus-based Standards for the
selection of health Measurement INstruments criteria. Findings will be summarised
and presented descriptively, with meta-analysis pursued as appropriate. ETHICS
AND DISSEMINATION: This review will summarise the current level of evidence of
measurement properties of instruments used for assessing PFI of the spine.
Findings of this review may be applicable to clinicians, policy-makers, workers'
compensation boards, other insurers and health and safety organisations. The
findings will likely provide a foundation and direction for future research
priorities for assessing spinal PFI. PROSPERO REGISTRATION NUMBER:
CRD42017060390.
PMID- 29374668
TI - Healthy Foundations Study: a randomised controlled trial to evaluate biological
embedding of early-life experiences.
AB - INTRODUCTION: Adverse early experiences are associated with long-lasting
disruptions in physiology, development and health. These experiences may be
'biologically embedded' into molecular and genomic systems that determine later
expressions of vulnerability. Most studies to date have not examined whether
preventive interventions can potentially reverse biological embedding. The Nurse
Family Partnership (NFP) is an evidence-based intervention with demonstrated
efficacy in improving prenatal health, parenting and child functioning. The
Healthy Foundations Study is an innovative birth cohort which will evaluate the
impact of the NFP on biological outcomes of mothers and their infants. METHODS
AND ANALYSIS: Starting in 2013, up to 400 pregnant mothers and their newborns
were recruited from the British Columbia Healthy Connections Project-a randomised
controlled trial of the NFP, and will be followed to child aged 2 years. Women
were recruited prior to 28 weeks' gestation and then individually randomised to
receive existing services (comparison group) or NFP plus existing services
(intervention group). Hair samples are collected from mothers at baseline and 2
months post partum to measure physiological stress. Saliva samples are collected
from infants during all visits for analyses of stress and immune function. Buccal
swabs are collected from infants at 2 and 24 months to assess DNA methylation.
Biological samples will be related to child outcome measures at age 2 years.
ETHICS AND DISSEMINATION: The study received ethical approval from seven research
ethics boards. Findings from this study will be shared broadly with the research
community through peer-reviewed publications, and conference presentations, as
well as seminars with our policy partners and relevant healthcare providers. The
outcomes of this study will provide all stakeholders with important information
regarding how early adversity may lead to health and behavioural disparities and
how these may be altered through early interventions. TRIAL REGISTRATION NUMBER:
NCT01672060; Pre-results.
PMID- 29374670
TI - CARE CR-Cardiovascular and cardiorespiratory Adaptations to Routine Exercise
based Cardiac Rehabilitation: a study protocol for a community-based controlled
study with criterion methods.
AB - INTRODUCTION: Cardiac rehabilitation (CR) reduces all-cause and cardiovascular
mortality in patients with coronary heart disease (CHD). Much of this improvement
has been attributed to the beneficial effects of structured exercise training.
However, UK-based studies have not confirmed this. Improvements in survival and
cardiovascular health are associated with concurrent improvements in
cardiorespiratory fitness (CRF). It is therefore concerning that estimated CRF
improvements resulting from UK-based CR are approximately one-third of those
reported in international literature. Modest improvements in CRF suggest that UK
CR exercise training programmes may require optimisation if long-term survival is
to be improved. However, contemporary UK studies lack control data or use
estimates of CRF change. Cardiovascular and cardiorespiratory Adaptations to
Routine Exercise-based CR is a longitudinal, observational, controlled study
designed to assess the short-term and long-term effect of CR on CRF, as well
cardiovascular and cardiometabolic health. METHODS AND ANALYSIS: Patients will be
recruited following referral to their local CR programme and will either
participate in a routine, low-to-moderate intensity, 8-week (16 sessions)
exercise-based CR programme or freely abstain from supervised exercise. Initial
assessment will be conducted prior to exercise training, or approximately 2 weeks
after referral to CR if exercise training is declined. Reassessment will coincide
with completion of exercise training or 10 weeks after initial assessment for
control participants. Participants will receive a final follow-up 12 months after
recruitment. The primary outcome will be peak oxygen consumption determined using
maximal cardiopulmonary exercise testing. Secondary outcomes will include changes
in subclinical atherosclerosis (carotid intima-media thickness and plaque
characteristics), body composition (dual X-ray absorptiometry) and
cardiometabolic biomarkers. ETHICS AND DISSEMINATION: Ethical approval for this
non-randomised controlled study has been obtained from the Humber Bridge NHS
Research Ethics Committee-Yorkshire and the Humber on the 27th September 2013,
(12/YH/0278). Results will be presented at national conferences and published in
peer-reviewed journals.
PMID- 29374672
TI - Study protocol for a cluster randomised controlled factorial design trial to
assess the effectiveness and feasibility of reactive focal mass drug
administration and vector control to reduce malaria transmission in the low
endemic setting of Namibia.
AB - INTRODUCTION: To interrupt malaria transmission, strategies must target the
parasite reservoir in both humans and mosquitos. Testing of community members
linked to an index case, termed reactive case detection (RACD), is commonly
implemented in low transmission areas, though its impact may be limited by the
sensitivity of current diagnostics. Indoor residual spraying (IRS) before malaria
season is a cornerstone of vector control efforts. Despite their implementation
in Namibia, a country approaching elimination, these methods have been met with
recent plateaus in transmission reduction. This study evaluates the effectiveness
and feasibility of two new targeted strategies, reactive focal mass drug
administration (rfMDA) and reactive focal vector control (RAVC) in Namibia.
METHODS AND ANALYSIS: This is an open-label cluster randomised controlled trial
with 2*2 factorial design. The interventions include: rfMDA (presumptive
treatment with artemether-lumefantrine (AL)) versus RACD (rapid diagnostic
testing and treatment using AL) and RAVC (IRS with Acellic 300CS) versus no RAVC.
Factorial design also enables comparison of the combined rfMDA+RAVC intervention
to RACD. Participants living in 56 enumeration areas will be randomised to one of
four arms: rfMDA, rfMDA+RAVC, RACD or RACD+RAVC. These interventions, triggered
by index cases detected at health facilities, will be targeted to individuals
residing within 500 m of an index. The primary outcome is cumulative incidence of
locally acquired malaria detected at health facilities over 1 year. Secondary
outcomes include seroprevalence, infection prevalence, intervention coverage,
safety, acceptability, adherence, cost and cost-effectiveness. ETHICS AND
DISSEMINATION: Findings will be reported on clinicaltrials.gov, in peer-reviewed
publications and through stakeholder meetings with MoHSS and community leaders in
Namibia. TRIAL REGISTRATION NUMBER: NCT02610400; Pre-results.
PMID- 29374673
TI - Recommendations for successful sensory screening in older adults with dementia in
long-term care: a qualitative environmental scan of Canadian specialists.
AB - OBJECTIVES: This study aimed to identify screening tools, technologies and
strategies that vision and hearing care specialists recommend to front-line
healthcare professionals for the screening of older adults in long-term care
homes who have dementia. SETTING: An environmental scan of healthcare
professionals took place via telephone interviews between December 2015 and March
2016. All interviews were audio recorded, transcribed, proofed for accuracy, and
their contents thematically analysed by two members of the research team.
PARTICIPANTS: A convenience sample of 11 professionals from across Canada
specialising in the fields of vision and hearing healthcare and technology for
older adults with cognitive impairment were included in the study. OUTCOME
MEASURES: As part of a larger mixed-methods project, this qualitative study used
semistructured interviews and their subsequent content analysis. RESULTS:
Following a two-step content analysis of interview data, coded citations were
grouped into three main categories: (1) barriers, (2) facilitators and (3) tools
and strategies that do or do not work for sensory screening of older adults with
dementia. We report on the information offered by participants within each of
these themes, along with a summary of tools and strategies that work for
screening older adults with dementia. CONCLUSIONS: Recommendations from sensory
specialists to nurses working in long-term care included the need for improved
interprofessional communication and collaboration, as well as flexibility,
additional time and strategic use of clinical intuition and ingenuity. These
suggestions at times contradicted the realities of service provision or the need
for standardised and validated measures.
PMID- 29374675
TI - Suicide bereavement: piloting a longitudinal study in Australia.
AB - OBJECTIVES: The pilot study were (1) to test the technical and administrative
feasibility of a full-scale study, including recruitment process, response and
retention rate, questionnaire design for an investigation to improve
understanding of the suicide bereavement processes compared with bereavement by
sudden deaths and (2) to present the differences and changes in the main outcomes
grief reactions of close relatives exposed to suicide and sudden death over 2
years. DESIGN: A longitudinal prospective study comparing bereavement by suicide
to other types of sudden deaths over time (6, 12 and 24 months). SETTING:
Queensland, Australia. PARTICIPANTS: 25 suicide-bereaved and 15 sudden-death
bereaved persons. OUTCOME MEASURES: Grief reactions (measured with the Grief
Experience Questionnaire). RESULTS: The response rate was 52.1% in the suicide
bereaved and 45.5% in the sudden-death group. There was a small number of
dropouts, with the retention rate over 85% for both groups. Linear mixed
modelling for repeated measures showed a significant group effect (higher in
suicide bereaved) for total grief, responsibility, rejection and unique
reactions. A significant time effect (reduction) was measured for total grief,
somatic reactions, general grief reactions and search for explanation. One
significant time and group interaction was measured; rejection showed a decline
in suicide and an increase in sudden-death bereaved. CONCLUSIONS: The pilot study
presented the appropriateness of the study methodology. This type of study has
implications for counselling and treating people bereaved by suicide and for
designing postvention activities.
PMID- 29374674
TI - TEXT messages to improve MEDication adherence and Secondary prevention (TEXTMEDS)
after acute coronary syndrome: a randomised clinical trial protocol.
AB - BACKGROUND: Identifying simple, low-cost and scalable means of supporting
lifestyle change and medication adherence for patients following a cardiovascular
(CV) event is important. OBJECTIVE: The TEXTMEDS (TEXT messages to improve
MEDication adherence and Secondary prevention) study aims to investigate whether
a cardiac education and support programme sent via mobile phone text message
improves medication adherence and risk factor levels in patients following an
acute coronary syndrome (ACS). STUDY DESIGN: A single-blind, multicentre,
randomised clinical trial of 1400 patients after an ACS with 12 months follow-up.
The intervention group will receive multiple weekly text messages that provide
information, motivation, support to adhere to medications, quit smoking (if
relevant) and recommendations for healthy diet and exercise. The primary endpoint
is the percentage of patients who are adherent to cardioprotective medications
and the key secondary outcomes are mean systolic blood pressure (BP) and low
density lipoprotein cholesterol. Secondary outcomes will also include total
cholesterol, mean diastolic BP, the percentage of participants who are adherent
to each cardioprotective medication class, the percentage of participants who
achieve target levels of CV risk factors, major vascular events, hospital
readmissions and all-cause mortality. The study will be augmented by formal
economic and process evaluations to assess acceptability, utility and cost
effectiveness. SUMMARY: The study will provide multicentre randomised trial
evidence of the effects of a text message-based programme on cardioprotective
medication adherence and levels of CV risk factors. ETHICS AND DISSEMINATION:
Primary ethics approval was received from Western Sydney Local Health District
Human Research Ethics Committee (HREC2012/12/4.1 (3648) AU RED HREC/13/WMEAD/15).
Results will be disseminated via peer-reviewed publications and presentations at
international conferences. TRIAL REGISTRATION NUMBER: ACTRN12613000793718; Pre
results.
PMID- 29374676
TI - Depressive symptoms and workplace-violence-related risk factors among
otorhinolaryngology nurses and physicians in Northern China: a cross-sectional
study.
AB - OBJECTIVES: Workplace violence is relatively frequent among medical professionals
who work in otorhinolaryngology units. This phenomenon reduces the quality of
provided medical care and increases the incidence of depressive symptoms among
physicians and nurses, seriously affecting their job satisfaction and work
efficiency with a negative attitude towards providing treatment. Few existing
studies have assessed workplace-violence-related factors associated with
depressive symptoms among otorhinolaryngology physicians and nurses. METHODS: We
conducted a cross-sectional study in grade A tertiary hospitals of Heilongjiang
province in Northern China, to evaluate the occurrence and level of depressive
symptoms among otorhinolaryngology physicians and nurses and to analyse the
relationship between them and workplace-violence-related risk factors and
demographic variables. RESULTS: Of all our participating professionals, (379
otorhinolaryngologists and 273 nurses), 57.2% were found to have depressive
symptoms, whereas, of the respondents who had suffered from physical violence,
71.25% had depressive symptoms. Professionals with less than 1 year of
experience, as well as professionals who more frequently worked alone, were more
likely to suffer from depressive symptoms than their colleagues. CONCLUSIONS:
This research addresses an emerging issue of clinical practice, and its results
differ from those of previous studies; specifically, it indicates that the
frequency of depressive symptoms among otorhinolaryngology physicians and nurses
may be influenced by physical violence, the number of coworkers they have for
more than half of their working hours and other workplace-violence-related
factors. To reduce the depressive symptoms caused by workplace violence and
improve the quality of medical services, medical institutions should implement
effective measures to prevent the occurrence of physical violence, strengthen
team cooperation ability and increase peer support.
PMID- 29374677
TI - Maternal and birth cohort studies in the Gulf Cooperation Council countries:
protocol for a systematic review and narrative evaluation.
AB - INTRODUCTION: Cohort studies have revealed that genetic, socioeconomic,
communicable and non-communicable diseases, and environmental exposures during
pregnancy may influence the mother and her pregnancy, birth delivery and her
offspring. Numerous studies have been conducted in the Gulf Cooperation Council
(GCC) countries to examine maternal and birth health. The objectives of this
protocol for a systematic review are to systematically review and characterise
the exposures and outcomes that have been examined in the mother and birth cohort
studies in the GCC region, and to summarise the strength of association between
key maternal exposures during pregnancy (ie, body mass index) and different
health-related outcomes (ie, mode of birth delivery). The review will then
synthesise and characterise the consequent health implications and will serve as
a platform to help identify areas that are overlooked, point out limitations of
studies and provide recommendations for future cohort studies. METHODS AND
ANALYSIS: Medline, Embase, Cochrane Library and Web of Science electronic
databases will be comprehensively searched. Two reviewers will independently
screen each study for eligibility, and where discrepancies arise they will be
discussed and resolved; otherwise a third reviewer will be consulted. The two
reviewers will also independently extract data into a predefined Excel
spreadsheet. The included studies will be categorised on the basis of whether the
participant is a mother, infant or mother-infant dyad. Outcome variables will be
divided along two distinctions: mother or infant. Exposure variables will be
divided into six domains: psychosocial, biological, environmental,
medical/medical services, maternal/reproductive and perinatal/child. Studies are
expected to be of heterogeneous nature; therefore, quantitative syntheses might
be limited. ETHICS AND DISSEMINATION: There is no primary data collection;
therefore, ethical review is not necessary. The findings of this review will be
disseminated in a peer-reviewed journal and presented at relevant conferences.
PROSPERO REGISTRATION NUMBER: CRD42017068910.
PMID- 29374678
TI - Multiple types of human papillomavirus infection and anal precancerous lesions in
HIV-infected men in Taiwan: a cross-sectional study.
AB - OBJECTIVES: This study aimed to assess the relationship between infection with
multiple human papillomavirus (HPV) types and abnormal anal cytology in HIV
infected men. DESIGN: An observational, cross-sectional study. SETTING: A
regional referral hospital in Taiwan. PARTICIPANTS: In total, 714 HIV-infected
men were enrolled between March 2011 and June 2016. Thin preparation anal Pap
smears were interpreted according to the 2001 Bethesda System. Thirty-seven types
of HPV were detected by reverse line blotting, including 13 oncogenic types and
24 non-oncogenic types. OUTCOME MEASURES: The relationship between anal HPV
infection and abnormal anal cytology in people of Asian ethnicity and the
coverage efficacy in HPV-vaccinated HIV-infected men. RESULTS: On anal cytology,
175 (24.5%) subjects had atypical squamous cells of undetermined significance
(ASCUS) or higher grades of dysplasia, including 87 (49.7%) with ASCUS, 73
(41.7%) with low-grade squamous intraepithelial lesions (LSILs) and 15 (8.6%)
with high-grade squamous intraepithelial lesions (HSILs). A higher proportion of
subjects with those without LSIL/HSIL (93.1% vs 67.3%, P<0.0001) had multiple HPV
types. The odds of having LSIL/HSIL increased with an increasing number of HPV
types: the ORs ranged from 1 for no HPV types to 6.96 (95% CI 2.38 to 20.37) for
more than five types (Ptrend <0.0001). Multivariate logistic regression analysis
showed a significant association between LSIL/HSIL and the number of HPV
genotypes present (OR 1.20; 95% CI 1.02 to 1.42, P<0.05). HPV types covered by
the nonavalent HPV vaccine (types 6/11/16/18/31/33/45/52/58) were detected in
70.1% of the patients in this study. CONCLUSIONS: The odds of having anal
LSIL/HSIL are approximately seventimes greater in HIV-infected men with than
withoutsix or more types of HPV. Multiple HPV types in HIV-infected patients
deserves aggressive follow-up, and HPV vaccination programme require scaling up.
PMID- 29374680
TI - Correction: Electrosclerotherapy for capillary malformations: study protocol for
a randomised within-patient controlled pilot trial.
PMID- 29374681
TI - Stereotactic Body Radiation Therapy for Liver Tumors: Current Status and
Perspectives.
AB - Surgical resection is the standard therapy for solitary primary or metastatic
liver tumors. However, liver tumors are often unresectable at diagnosis and
hepatectomy is invasive. Local therapies, such as radiofrequency ablation, are
used instead, which can be challenging. Recent advances in modern radiotherapy,
including stereotactic body radiation therapy (SBRT), have increased the use of
radiotherapy as a curative modality. SBRT delivers ablative high doses of
irradiation in small volumes. SBRT for liver tumors provided local control with
potential survival benefits in patients with inoperable status. However, the
following issues remain: primary vs. metastatic liver cancers; SBRT-related
toxicity and prevention; pathological features of liver cancers; and potential
SBRT strategies. We summarized a literature review to summarize the effectiveness
of SBRT and patient tolerance and present the current status and future
perspective of SBRT for liver tumors. SBRT is a potential game changer for
multimodal therapy.
PMID- 29374679
TI - Randomised controlled trial of the sliding hip screw versus X-Bolt Dynamic Hip
Plating System for the fixation of trochanteric fractures of the hip in adults: a
protocol study for WHiTE 4 (WHiTE4).
AB - INTRODUCTION: Sliding hip screw fixation is well established in the treatment of
trochanteric fractures of the hip. The X-Bolt Dynamic Hip Plating System builds
on the successful design features of the sliding hip screw but differs in the
nature of the fixation in the femoral head. A randomised pilot study suggested
that the X-bolt Dynamic Hip Plating System might provide similar health-related
quality of life while reducing the risk of revision surgery when compared with
the sliding hip screw. This is the protocol for a multicentre randomised trial of
sliding hip screw versus X-Bolt Dynamic Hip Plating System for patients 60 years
and over treated for a trochanteric fracture of the hip. METHODS AND ANALYSIS:
Multicentre, multisurgeon, parallel, two-arm, randomised controlled trial.
Patients aged 60 years and older with a trochanteric hip fracture are potentially
eligible. Participants will be randomly allocated on a 1:1 basis to either
sliding hip screw or X-Bolt Dynamic Hip Plating System. Otherwise, all care will
be in accordance with National Institute for Health and Care Excellence guidance.
A minimum of 1128 patients will be recruited to obtain 90% power to detect a
0.075-point difference in EuroQol-5D health-related quality of life at 4 months
postrandomisation. Secondary outcomes include mortality, residential status,
revision surgery and radiographic measures. The treatment effect will be
estimated using a two-sided t-test adjusted for age, gender and cognitive
impairment based on an intention-to-treat analysis. ETHICS AND DISSEMINATION:
National Research Ethics Committee approved this study on 5 February 2016
(16/WM/0001). The study is sponsored by the University of Oxford and funded
through an investigator initiated grant by X-Bolt Orthopaedics. A manuscript for
a high-impact peer-reviewed journal will be prepared, and the results will be
disseminated to patients through local mechanisms at participating centres. TRIAL
REGISTRATION NUMBER: ISRCTN92825709.
PMID- 29374682
TI - Neuroendocrine Neoplasms of the Appendix: A Review of the Literature.
AB - Appendiceal neuroendocrine neoplasms (ANENs) comprise rare tumors of the
appendix, mainly affecting young populations and characterized by a rather
favorable prognosis. The aim of this review was to summarize the current
knowledge on these neoplasms, focusing on the management and follow-up of such
patients, which still remain under debate. ANENs account for 0.16-2.3% of
appendectomies and are usually diagnosed incidentally. The histopathological
diagnosis includes the immunohistochemical profile of the tumor in regard to
synaptophysin and chromogranin A, as well as the Ki-67 index. The surgical
management of ANENs is either simple appendectomy or a more extensive oncological
operation including right hemicolectomy. This depends on the stage and the
presence of risk factors suggesting a more aggressive disease, such as the exact
location, mesoappendiceal or lymphovascular invasion, and the proliferative rate
of the tumor. Despite their indolent course, ANENs may relapse. Therefore,
lifetime observation is necessary for patients with tumors >2 cm and >1 cm plus
additional risk factors; however, more studies should be conducted in order to
determine the optimal follow-up strategy.
PMID- 29374683
TI - Gastric Juice MicroRNAs as Potential Biomarkers for Screening Gastric Cancer: A
Systematic Review.
AB - BACKGROUND/AIM: To date, the combination of gastroscopy with biopsy remains the
only test validated for screening gastric cancer (GC). Currently, analysis of
circulating microRNAs (miRNAs or miRs) is providing interesting information on GC
prognosis, but since these molecules are shared by several types of cancer, its
clinical use could be questionable and difficult. MicroRNAs in gastric juice (GJ)
could represent a cogent alternative to screening GC by biopsy. MATERIALS AND
METHODS: We investigated the pertinent literature dealing with GC GJ microRNAs
through four popular search engines (PubMed, Science Direct, Scopus and Google
Scholar). RESULTS: As of 2017, only four studies had been published and were all
from Chinese experience. MiR-421, miR-129, miR-21, miR-106a and miR-133a were the
five molecules studied in the GJ of the enrolled patients. CONCLUSION: The GJ
miRNA test is reliable and reproducible. The discussed GJ miRNAs appear to be new
potential biomarkers for the screening of GC.
PMID- 29374684
TI - Dual Role of Mitophagy in Cancer Drug Resistance.
AB - The effectiveness of chemotherapy is largely limited by drug resistance. In the
past few decades, modulation of anticancer drug resistance plays little role in
benefit of clinical outcomes due to complex drug resistance mechanisms.
Mitophagy, an important mitochondrial quality control system, selectively
degrades excessive or damaged mitochondria by autophagy. Accumulating reports are
suggesting that dysregulation of mitophagy contributes to neoplastic progression
and drug resistance in various types of tumors. Mitophagy was originally thought
to be an onco-suppressor that maintains cellular homeostasis and prevents
oncogenic transformation. On the other hand, mitophagy promotes cancer cell
survival under cytotoxic stress by degrading damaged mitochondria and reducing
mitochondrial reactive oxygen species. Therefore, induction and inhibition of
mitophagy in cancer drug resistance are controversial. In this review, we
summarize current knowledge on the dual role of mitophagy in cancer drug
resistance.
PMID- 29374685
TI - Phosphaplatin Anti-tumor Effect Enhanced by Liposomes Partly via an Up-regulation
of PEDF in Breast Cancer.
AB - BACKGROUND/AIM: Phosphaplatin platinum (IV) (RRD4) complex has exceptional
antitumor properties. The aim of this study was to investigate the effects and
the mechanism of action of free and liposome-encapsulated RRD4 in breast cancer.
MATERIALS AND METHODS: Liposome-encapsulated RRD4 prepared by thin-film
dehydration: hydration and free RRD4 were tested in vivo and in vitro against 4T1
breast cancer cells. Cell proliferation, migration and viability were determined.
Tissue and cell production and expression of pigment epithelium-derived factor
(PEDF) were assessed by ELISA and western blot. 4T1 cells treated with PEDF siRNA
were evaluated for viability and apoptosis. RESULTS: RRD4 inhibited tumor growth
and prevented distant metastasis. Liposome formulation enhanced this therapeutic
benefit without increasing toxicity and prolonged RRD4 retention in tumor
tissues. In vitro, RRD4 induced 4T1 apoptosis through up-regulation of FAS, BAX,
and PUMA, and down-regulation of BCL2. RRD4 facilitates a FAS-intrinsic signaling
mechanism. PEDF up-regulation represents another antitumor mechanism associated
with this phosphaplatin compound. DISCUSSION: Free RRD4 or formulated into
liposomes, are excellent candidates for adjuvant therapy against breast tumor
growth and metastasis.
PMID- 29374686
TI - Inhibition of Sirtuin 6 Induces Neuroblastoma Differentiation.
AB - BACKGROUND/AIM: Sirtuins (SIRTs) play crucial roles in various signaling pathways
that modulate differentiation and proliferation. We sought to elucidate the role
of SIRTs in differentiation and proliferation of human neuroblastoma (NB).
MATERIALS AND METHODS: NB cells were treated with nicotinamide (NAM), a non
specific SIRT inhibitor, SIRT-targeted short hairpin RNAs, and retinoic acid to
assess cell growth and differentiation. RESULTS: SIRTs are involved in
proliferation and differentiation using NAM in BE(2)-C cells. Specifically, SIRT6
knockdown in BE(2)-C cells reduced cell proliferation, induced neurite extension,
corresponding with induction of p21CIP1 expression and G1 cell-cycle arrest.
These effects were rescued by forced re-overexpression of SIRT6. SIRT6 expression
was reduced in differentiated human NB sections, and RA-induced differentiation
in BE(2)-C cells. CONCLUSION: SIRTs have important oncogenic properties in NB
beyond its established functions in aging and genome stability. SIRT6 may
represent a novel target for developing future therapeutics for the treatment of
aggressive NBs.
PMID- 29374687
TI - Natural Killer Cell Viability After Hyperthermia Alone or Combined with
Radiotherapy with or without Cytokines.
AB - BACKGROUND: The effects of hyperthermia and irradiation, alone and in
combination, on natural killer (NK) cell viability were investigated in vitro.
The roles of interleukin-2 (IL-2) and interferon (IFN) alpha, beta and gamma in
rescuing NK cells from hyperthermia and irradiation were studied. MATERIALS AND
METHODS: Non-selected NK cells were used as effector cells and K-562 cells as
target cells. NK and K-562 cells were treated at 37 to 45 degrees C for 0 to 180
min. The cells were irradiated at room temperature using single doses from 0 to
60 Gy. Recombinant IL-2 at 100 to 450 U/ml and recombinant IFNalpha, beta and
gamma at 1,000 U/ml were used for different periods of time. NK cell viability
was measured by intracellular adenosine tri-, and diphosphate (ATP, ADP) levels
via luminometer, trypan blue exclusion and propidium iodide (PI) staining.
Binding capacity of NK effector cells to target K-562 cells was also
microscopically assessed. RESULTS: Thermal treatments between 37 and 41 degrees C
did not significantly affect the ATP levels of NK cells. Between 41 degrees C and
42 degrees C, ATP levels significantly decreased, whilst there was an
insignificant reduction up to 45 degrees C. At 42 degrees C or higher, no
recovery was detectable. At 42 degrees C, the ATP level of NK cells rescued by IL
2 were significantly higher than those of controls at 37 degrees C. IFNalpha,
beta and gamma had no significant effects. A combination of heating at 42 degrees
C and irradiation at 20 Gy significantly reduced the ATP levels (p<0.001) more
than heating and irradiation alone. At 42 degrees C, IL-2 abolished the reduction
of ATP levels by heating and irradiation. This effect was dependent on heating
time and irradiation dose. The ATP/ADP ratio did not significantly change when NK
cells were heated for different times at 42 degrees C. Thermal treatment of
target K-562 cells at temperatures from 37 to 45 degrees C reduced the number of
NK cells binding K-652 cells. CONCLUSION: In vitro, NK cell viability was
strongly reduced between 41 degrees C and 42 degrees C. At 42 degrees C, the
combination of irradiation and thermal treatment reduced the ATP levels in NK
cells. However, IL-2 restored cell viability depending on thermal and radiation
doses.
PMID- 29374689
TI - Improved In Vivo Subcutaneous Tumor Generation by Cancer Cell Sheet
Transplantation.
AB - BACKGROUND/AIM: In vivo subcutaneous tumor models are generally prepared by the
injection of a cancer cell suspension to evaluate the pharmaceutical effects on
tumor tissues. However, dispersed cells show low biological activities because of
enzyme-induced cell harvest treatment, thus limiting the formation of tumor
tissues. In this study, a biologically active cancer cell monolayer (cell sheet)
was used to improve the efficiency of subcutaneous tumor formation. MATERIALS AND
METHODS: Mouse lung squamous cancer cells (KLN-205) were transplanted on the
subcutis of immunocompetent and immunodeficient mice in the form of a dispersed
cell suspension or cell sheet, and the tumor formation abilities were
independently investigated with considering immunological effects. RESULTS: Mouse
lung squamous cancer cells (KLN-205) scarcely formed malignant tumors on the
mouse subcutis following injection of the cell suspension. On the other hand,
cell transplantation in the cell sheet form successfully achieved effective tumor
development due to only weak immunological reactions at the transplanted area.
And thus, the cancer cells maintained their proliferative activity to form
tumors. CONCLUSION: Transplantation of the cell sheet is effective to generate
subcutaneous tumor-bearing mice, providing a useful alternative to the low tumor
formation activities induced with the conventional injection method.
PMID- 29374688
TI - Fluorescence-guided Surgery with Splenic Preservation Prevents Tumor Recurrence
in an Orthotopic Nude-mouse Model of Human Pancreatic Cancer.
AB - AIM: The purpose of this study was to investigate whether splenectomy influences
tumor recurrence after fluorescence-guided surgery (FGS) in an orthotopic nude
mouse model of pancreatic cancer. MATERIALS AND METHODS: Green fluorescence
protein (GFP)-labeled human pancreatic cancer cells (MiaPaCa2-GFP) were
subcutaneously injected into the flanks of nude mice. Subcutaneous tumors were
harvested and surgical orthotopic implantation (SOI) was performed in the tail of
the pancreas with small tumor fragments. FGS was performed 21 days after SOI.
Mice were then randomly divided into FGS-only control group (n=7) and FGS plus
splenectomy group (n=8). Tumor recurrence was analyzed by laparotomy 21 days
after FGS. RESULTS: In the control group, no recurrence was found. In contrast,
multiple peritoneal seeded nodules were observed in two mice of the splenectomy
group (0% vs. 25%, p=0.467). CONCLUSION: Postoperative tumor recurrence only
occurred in the splenectomy-treated group suggesting that FGS can spare the
patient the morbidity of splenectomy.
PMID- 29374690
TI - MicroRNA Expression in KRAS- and BRAF-mutated Colorectal Cancers.
AB - BACKGROUND/AIM: KRAS and BRAF are two genes commonly mutated in colorectal cancer
(CRC). Even though BRAF is a downstream target of KRAS in the MAPK signalling
pathway, KRAS- and BRAF-mutated CRCs are found to display several different
clinical and histopathological features. We investigated whether a differential
expression of microRNAs (miRNAs) could explain the clinicopathological
differences seen between KRAS- and BRAF-mutated CRCs. MATERIALS AND METHODS:
Using a PCR array, we analyzed the expression of 84 different miRNAs in CRC cell
lines wild-type in KRAS and BRAF, or mutated in KRAS or BRAF. RESULTS: Ten miRNAs
were selected for further analyses in tumor tissue specimens (let-7a, let-7i, miR
10a, miR-10b, miR-31, miR-100, miR-181a, miR-181b, miR-372, and miR-373). BRAF
mutated tumors were found to express significantly higher levels of miR-31 as
well as significantly lower levels of miR-373, compared to wild-type tumors.
CONCLUSION: Our results suggest that KRAS- and BRAF-mutated CRCs may have
different miRNA signatures compared to CRC tumors wild-type in KRAS and BRAF.
However, no difference in expression levels between KRAS- and BRAF-mutated tumors
was evident for the miRNAs analyzed in this study.
PMID- 29374691
TI - Exploratory Radiomics in Computed Tomography Perfusion of Prostate Cancer.
AB - BACKGROUND/AIM: An evaluation if radiomic features of CT perfusion (CTP) can
predict tumor grade and aggressiveness in prostate cancer was performed.
MATERIALS AND METHODS: Forty-seven patients had biopsy-confirmed prostate cancer,
and received a CTP. Blood volume (BV), blood flow (BF) and mean transit time
(MTT) maps were derived and 1,701 radiomic features were determined per patient.
Regression models were built to estimate post-surgical Gleason score (GS),
microvessel density (MVD) and distinguish between the different risk groups.
RESULTS: Six out of the 47 patients had to be excluded from further analysis. A
weak relationship between postsurgical GS and one radiomic parameter was found
(R2=0.21, p=0.01). The same parameter combined with MTT inter-quartile range was
prognostic for the risk group categorisation (AUC=0.81). Two different radiomic
parameters were able to distinguish between low-intermediate risk and high
intermediate risk (AUC=0.77). Four parameters correlated with MVD (R2=0.53,
p<0.02). CONCLUSION: This exploratory study shows the potential of radiomics to
classify prostate cancer.
PMID- 29374692
TI - Down-regulation of B-Cell Translocation Gene 1 by Promoter Methylation in
Colorectal Carcinoma.
AB - BACKGROUND: B-cell translocation gene 1 (BTG1) acts as a tumour suppressor in
human malignancies. However, the precise mechanism of BTG1 down-regulation in
colorectal carcinoma (CRC) remains unclear. We analyzed BTG1 expression in CRC
cell lines and tissues and investigated the mechanism underlying the observed
alterations. MATERIALS AND METHODS: Real-time polymerase chain reaction (PCR) and
western blot analyses were performed to analyze BTG1 expression in CRC cell
lines. The methylation status of the BTG1 promoter region in cell lines was
determined by methylation-specific PCR, and the effect of demethylation on BTG1
expression was explored with 5-aza-deoxycytidine treatment. BTG1 protein
expression in CRC tissue samples was evaluated using immunostaining. RESULTS: CRC
cell lines and tissue samples expressed lower levels of BTG1 compared to
controls, and BTG1 levels were significantly lower in metastatic than primary
CRC. In BTG1-down-regulated CRC cell lines, the BTG1 promoter was highly
methylated, and 5-aza-deoxycytidine significantly restored BTG1 expression.
CONCLUSION: BTG1 down-regulation in CRC occurs through epigenetic repression,
which is involved in the development and progression of CRC.
PMID- 29374693
TI - Association of eIF4E and SPARC Expression with Lymphangiogenesis and Lymph Node
Metastasis in Hypopharyngeal Cancer.
AB - BACKGROUND/AIM: Head and neck squamous cell carcinomas (HNSCC) are characterized
by aggressiveness, early recurrence and lymph node metastasis. Therefore, there
is an urgent need to identify new biomarkers and drug targets. MATERIALS AND
METHODS: Neck dissection specimens from 11 patients diagnosed with hypopharyngeal
cancer were analyzed for their lymphatic vessel density (LVD) by lymphatic vessel
endothelial hyaluronan receptor 1 (LYVE-1) immunostaining, expression of
eukaryotic initiation factor 4E (eIF4E) and levels of secreted protein acidic and
rich in cysteine (SPARC) using immunoblot analysis. RESULTS: Compared to lymph
node biopsies of healthy controIs, LVD was significantly increased in metastatic
lymph nodes as well as in advanced primary tumors. Overexpression of eIF4E and
SPARC was demonstrated in all hypopharyngeal cancer specimens. Notably, we
observed that increased LVD significantly correlated with the expression of eIF4E
as well as SPARC levels. CONCLUSION: eIF4E- and SPARC-associated signaling
pathways may be associated with lymphangiogenesis and could be exploited to
counteract the spread of hypopharyngeal cancer cells.
PMID- 29374694
TI - Does the Use of Hair Dyes Increase the Risk of Developing Breast Cancer? A Meta
analysis and Review of the Literature.
AB - BACKGROUND/AIM: Hair dye may contain mutagenic compounds which could be
associated with an increased incidence of breast cancer in women who use it. The
aim of this study was to examine the association between the personal use of hair
dyes and the risk of breast cancer. MATERIALS AND METHODS: We conducted a
literature review of epidemiological studies reporting breast cancer-specific
risks among hair dye users versus non-users. The data for the incidence of breast
cancer following the 'ever' use of hair dye in studies which met the inclusion
criteria was analysed using a meta-analysis. The relative risk ratio (RR) and 95%
confidence intervals (CI) were determined. RESULTS: A total of eight case-control
studies published between 1980 and 2017 met the selection criteria and were
included in the meta-analysis. Compared to non-users, using a random effects
model and the Duval and Tweedie's trim and fill procedure to adjust for
publication bias in the presence of between studies heterogeneity, the adjusted
RR for women using hair dyes was 1.1885 (95% CI=1.03228-1.36835). This indicates
an 18.8% increased risk of future development of breast cancer among hair dye
users. CONCLUSION: Although further work is required to confirm our results and
clarify potential mechanisms, our findings suggest that exposure to hair dyes may
contribute to an increased breast cancer risk.
PMID- 29374695
TI - Low Expression of CD44 Is an Independent Factor of Poor Prognosis in Ovarian
Mucinous Carcinoma.
AB - AIM: To determine whether CD44, which is associated with tumor growth and
metastasis, is related to carcinogenesis and prognosis in ovarian mucinous
carcinomas (MACs). MATERIALS AND METHODS: Tissue blocks from 71 patients with
benign mucinous ovarian tumors were used in the study: 35 were from patients with
borderline mucinous ovarian tumors, and 60 from patients with MACs.
Immunochemical analysis was performed to evaluate the expression of CD44 and
examine its association with tumorigenesis and survival. RESULTS: Compared to
benign tumors, borderline tumors had high CD44 expression levels (p=0.047).
Conversely, MACs had lower expression than borderline tumors (p=0.032).
Progression-free and overall survival of patients with MAC with low CD44
expression were worse than those of patients with high expression (p=0.04 and
p=0.02, respectively). CONCLUSION: Malignant transformation of mucinous tumors is
associated with changes in CD44 expression, with low expression level being a
prognostic factor in MAC.
PMID- 29374696
TI - SATB1 Level Correlates with Ki-67 Expression and Is a Positive Prognostic Factor
in Non-small Cell Lung Carcinoma.
AB - BACKGROUND: Non-small cell lung carcinomas (NSCLCs), mainly adenocarcinoma (AC)
and squamous cell carcinoma (LSCC), account for about 80% of all lung cancer
cases. One of the proteins involved in NSCLC progression may be special AT-rich
binding protein 1 (SATB1), a potent transcriptional regulator, able to control
the expression of whole sets of genes simultaneously. SATB1 has been found to be
associated with aggressive phenotype and poor prognosis in numerous malignancies,
including breast, colon, ovary and prostate cancer. However, its role in NSCLC is
still not fully understood. The aim of this study was to investigate the
expression of SATB1 protein and mRNA in NSCLC and non-malignant lung tissue
(NMLT) samples, as well as to determine possible relationships of SATB1
expression with both the expression of Ki-67 and the clinicopathological data of
the patients. MATERIALS AND METHODS: The study was performed on 277 NSCLC (158
AC, 119 LSCC) and 20 NMLT samples. RESULTS: We observed increased SATB1
immunoreactivity in NSCLC when compared to NMLT, and in LSCC when compared to AC
cases. We also noted that an elevated SATB1 immunoreactivity was associated with
a poor degree of AC differentiation, whereas in LSCC, an inverse relationship was
observed. Our analyses revealed that the expression of SATB1 positively
correlated with Ki-67 index in NSCLC and LSCC, but not in AC cases. Finally, we
found that high SATB1 expression was associated with a better overall survival of
patients with NSCLC. CONCLUSION: SATB1 plays diverse roles in different NSCLC
subtypes, and its expression may have a prognostic significance for patients with
these tumours.
PMID- 29374697
TI - Effective Timing of Surgical Resection of Colorectal Cancer Liver Metastases
During Chemotherapy.
AB - BACKGROUND/AIM: The aim of the present study was to further develop our previous
study on c-Met expression in colorectal cancer and epithelial-mesenchymal
transition (EMT) induced by hepatocyte growth factor (HGF), to investigate EMT in
the process of liver metastases, and evaluate the effects of chemotherapy on EMT
cells as a therapeutic strategy for colorectal liver metastasis. MATERIALS AND
METHODS: CT26 colon cancer cells were treated with 5-FU and oxaliplatin with or
without HGF. The signaling pathway was evaluated by western blotting analysis,
and drug resistance was evaluated by the MTT (3-(4,5-dimethyl-2-tetrazolyl)-2,5
diphenyl-2H tetrazolium bromide) assay. RESULTS: Under pretreatment with HGF for
96 h, 5 MUM and 10 MUM of 5-FU mediated significant growth inhibition by 72.5+/
3.9% and 76.2+/-2.4%, respectively, compared to HGF alone, and by 105.1+/-2.8%
and 103.5+/-2.9%, respectively, without HGF. The expression of E2F1 was decreased
significantly to 50.5+/-3.8% after 24 hours by HGF with a reduction of both
cyclin D1 to 52.1+/-7.0% and E to 73.7+/-3.8%. Thymidylate synthase was also
decreased in a time-dependent manner to 80.6+/-2.0% after 24 h and to 52.7+/-1.5%
after 96 h. CONCLUSION: The presence of HGF was found to increase the 5-FU
induced death signal, JNK pathway, and inhibition of cell growth. As its
mechanism, HGF was shown to decrease E2F-1 by reducing cyclin D or E by cell
cycle activation, resulting in inactivation of thymidylate synthase. The
chemotherapeutic effect of 5-FU was increased in HGF- but not TGF-beta-induced
EMT.
PMID- 29374698
TI - Acetaminophen and Metamizole Induce Apoptosis in HT 29 and SW 480 Colon Carcinoma
Cell Lines In Vitro.
AB - BACKGROUND/AIM: The perioperative phase is supposed to be a period with high
vulnerability for cancer dissemination. Acetaminophen and metamizole are common
analgesics administered during this phase. We investigated the effect of
acetaminophen, metamizole and 4-methylaminoantipyrine (MAA) on proliferation and
apoptosis of colon carcinoma cell lines (SW 480 and HT 29). MATERIALS AND
METHODS: Proliferation was detected by cell proliferation ELISA BrdU, and
apoptosis by Annexin V staining. Cytochrome c and caspase 3, 8 and 9 expression
levels were detected by western blot. RESULTS: Acetaminophen, metamizole or MAA
caused slight changes in proliferation. Acetaminophen, metamizole or the
combination increased apoptosis in both cell lines. All agents decreased caspase
3 and 8 expression in SW480. Acetaminophen decreased caspase 9 expression in both
cell lines. CONCLUSION: In clinically relevant doses, acetaminophen and/or
metamizole induce apoptosis in both colon cancer cell lines. Both mitochondrial
and death receptor pathways might be involved in acetaminophen-induced apoptosis.
PMID- 29374699
TI - Prognostic Significance of NSCLC and Response to EGFR-TKIs of EGFR-Mutated NSCLC
Based on PD-L1 Expression.
AB - BACKGROUND/AIM: Recent clinical trials have shown that immune checkpoint
blockades that target either PD-1 or PD-L1 yield remarkable responses in a
subgroup of patients with non-small cell lung cancer (NSCLC). MATERIALS AND
METHODS: We retrospectively examined, by immunohistochemical analysis, 211 NSCLC
samples. Using 32 independent samples, we also evaluated PD-L1 expression in
NSCLC patients with EGFR gene mutations treated by EGFR-TKIs. RESULTS: Overall
survival of PD-L1-positive stages I-III NSCLC and stage I NSCLC and stages I-III
squamous cell carcinoma (SQ) were significantly shorter than those of PD-L1
negative NSCLC (p<0.01 and p=0.02 and p=0.01, respectively). In stage I NSCLC and
stages I-III SQ, PD-L1 expression was found to be independent predictor of death
after multivariate analysis. Response to EGFR-TKIs was not significantly
different between PD-L1-positive and PD-L1-negative NSCLC patients with EGFR
mutations. CONCLUSION: PD-L1 expression was a significant independent predictor
of poor outcome in NSCLC patients.
PMID- 29374700
TI - Quantitative Structure-Cytotoxicity Relationship of 2-Azolylchromones.
AB - BACKGROUND/AIM: Twenty-four 2-azolylchromones were subjected to quantitative
structure-activity relationship (QSAR) analysis based on their cytotoxicity and
tumor specificity, in order to find their new biological activities. MATERIALS
AND METHODS: Cytotoxicity against two human oral squamous cell carcinoma cell
lines and two human normal oral mesenchymal cells was determined by the 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide method. Tumor specificity
(TS) was evaluated by the ratio of the mean 50% cytotoxic concentration (CC50)
against oral cells to that against oral squamous cell carcinoma cell lines.
Potency-selectivity expression (PSE) value was calculated by dividing the TS
value by CC50 against tumor cells. Apoptosis markers were detected by western
blot analysis. Physicochemical, structural and quantum-chemical parameters were
calculated based on the conformations optimized by force-field minimization.
RESULTS: Three sets of 4H-1-benzopyran-4-ones with indole ring showed much higher
TS values than those with pyrrole, pyrazole, imidazole, 1,2,4-triazole, 1,2,3
triazole, indazole and benzimidazole rings. Among those with an indole ring, the
compound having a 6-methoxy group, that exhibited the highest cytotoxicity,
yielded one to three-order higher PSE values to compared with other groups of
compounds. Western blot analysis demonstrated that this compound stimulated the
cleavage of caspase-3, suggesting the induction of apoptosis. QSAR analysis
demonstrated that TS values were correlated with 3D shape, polarizability,
ionization potential and lipophilicity. CONCLUSION: Chemical modification of the
lead compound may be a potential choice for designing a new type of anticancer
drug.
PMID- 29374701
TI - HMGA1 and MMP-11 Are Overexpressed in Human Non-melanoma Skin Cancer.
AB - BACKGROUND/AIM: The High-Mobility Group A1 (HMGA1) protein has been implicated in
human malignancies, playing an important role in cancer proliferation,
angiogenesis and metastasis. Increased HMGA1 expression has been found in skin
mouse tumors, whereas Hmga1-null mice were protected against skin carcinogenesis.
Here, we examined the expression of HMGA1 in human skin tumors, squamous cell
carcinoma and basal cell carcinoma. MATERIALS AND METHODS: Tumor and normal skin
tissues from 15 affected patients were surgically excised, and mRNA and protein
extraction was performed. mRNA and protein content for both HMGA1 and MMP-11, a
proteinase enzyme that plays a role in tumor development and progression, was
measured by real-time PCR and western blotting, respectively. Data were analyzed
by the SPSS software. RESULTS: HMGA1 mRNA and protein expression patterns were
higher in neoplastic skin lesions, compared to normal skin (p<0.001). Similar
results were observed for MMP-11. CONCLUSION: Our data confirm previous
observations in mice studies, and suggest that HMGA1 and MMP-11 may play a key
role in the proliferation and progression of skin tumors in humans.
PMID- 29374702
TI - Intense Pulsed Light: Friend or Foe? Molecular Evidence to Clarify Doubts.
AB - BACKGROUND/AIM: Intense pulsed light (IPL) has been extensively applied in the
field of dermatology and aesthetics; however, the long-term consequences of its
use are poorly unknown, and to the best of our knowledge there is no study on the
effect of IPL in neoplastic lesions. In order to better understand the molecular
mechanisms underlying IPL application in the skin, we used an animal model of
carcinogenesis obtained by chemical induction with 12-dimethylbenz(a)anthracene
(DMBA) and 12-O-tetradecanoylphorbol-13-acetate (TPA). MATERIALS AND METHODS:
Institute of Cancer Research (ICR) mice were administered DMBA and/or TPA and
treated with IPL. Skin was evaluated by histopathology and 2DE-blot-MS/MS
analysis. RESULTS: Our data evidenced an inflammatory response and a metabolic
remodeling of skin towards a glycolytic phenotype after chronic exposure to IPL,
which was accomplished by increased oxidative stress and susceptibility to
apoptosis. These alterations induced by IPL were more notorious in the DMBA
sensitized skin. Keratins and metabolic proteins seem to be the more susceptible
to oxidative modifications that might result in loss of function, contributing
for the histological changes observed in treated skin. CONCLUSION: Data highlight
the deleterious impact of IPL on skin phenotype, which justifies the need for
more experimental studies in order to increase our understanding of the IPL long
term safety.
PMID- 29374704
TI - PDGFRalpha/HER2 and PDGFRalpha/p53 Co-expression in Oral Squamous Cell Carcinoma.
AB - AIM: The purpose of this study was to explore the parallel expression of platelet
derived growth factor receptor alpha (PDGFRalpha) and human epidermal growth
factor receptor 2 (HER2) or p53 in relation to clinicopathological parameters of
oral squamous cell carcinoma (OSCC) to define their role in progressive growth of
tumor. MATERIALS AND METHODS: Expression of PDGFRalpha, HER2 and p53 was
evaluated in 71 OSCC samples by immunohistochemistry. HER2 status was verified by
fluorescence in situ hybridization. RESULTS: PDGFRalpha and p53 expression were
associated with tumor grade (p=0.043 and p=0.040, respectively). HER2 expression
was more frequent in advanced (III/IV) cancer (p=0.006). A positive correlation
of PDGFRalpha with HER2 (r=0.267; p=0.024) and with p53 (r=0.266; p=0.025) was
noted. PDGFRalpha/HER2 and PDGFRalpha/p53 co-expression was found more often in
G3 than in G1 and G2 tumors (p=0.008 and p=0.015, respectively). CONCLUSION: Our
study revealed that PDGFRalpha/HER2 and PDGFRalpha/p53 co-expression exists in
poorly differentiated OSCCs, suggesting that cooperation between these proteins
might enhance aggressive behavior of tumor.
PMID- 29374703
TI - Transglutaminase 2 Regulates Self-renewal and Stem Cell Marker of Human
Colorectal Cancer Stem Cells.
AB - BACKGROUND/AIM: The aim of this study was to investigate the role of
transglutaminase 2 (TGM2) in colorectal cancer stem cells (CCSCs). MATERIALS AND
METHODS: We used the TU12 cell line possessing CD133-expressing CCSCs. After
isolating CD133 (-) and CD133 (+) CCSCs, we overexpressed and knocked-down TGM2
to investigate its role in human CCSCs. RESULTS: The expression level of TGM2 was
25-fold higher in tumorigenic cells than non-tumorigenic cells. We found that
knockdown of TGM2 by specific RNA interference markedly inhibited cell growth and
caused down-regulation of the stemness markers, CD133, SOX2, and beta-catenin. We
further demonstrated that knockdown of TGM2 inhibited cell metastatic abilities
by down-regulating N-cadherin and vimentin and up-regulating E-cadherin. These
findings revealed that TGM2 expression is markedly increased in human colorectal
cancer and that down-regulation of TGM2 in tumors may serve as a treatment for
colorectal cancer patients. Therefore, this study indicate that TGM2 affects the
metastatic potential and stemness of CCSCs by regulating EMT- and stemness
related proteins. CONCLUSION: The metastatic potential of CSCs arises from highly
expressed TGM2.
PMID- 29374705
TI - Autophagy Induced by Naftopidil Inhibits Apoptosis of Human Gastric Cancer Cells.
AB - AIM: Naftopidil is used to treat benign prostate hyperplasia. Moreover, previous
studies have shown that naftopidil reduced viability of many types of cancer
cells. Therefore, we investigated the antitumor mechanism of naftopidil in this
study. MATERIALS AND METHODS: We used the HGC27 human gastric cancer cell line.
It was treated with naftopidil, pan-caspase inhibitor, and chloroquine
diphosphate (CQ). Cell viability and cell death were investigated by the assay
and annexin V/ propidium iodide assay. Phosphorylation of protein kinase B (AKT)
(Ser473) was measured by western blotting. Alteration of light chain 3B (LC3B)
was investigated by western blotting and immunofluorescence. RESULTS: Naftopidil
reduced phospho-AKT (Ser473) and altered LC3B. Combination of naftopidil and CQ
reduced cell viability and phospho-AKT (Ser 473). CONCLUSION: Naftopidil induces
apoptosis and autophagy of HGC27 cells, however, autophagy is considered to
inhibit apoptosis. We concluded naftopidil and CQ have a synergistic antitumor
effect.
PMID- 29374706
TI - The Mesenchymal-Epithelial and Epithelial-Mesenchymal Cellular Plasticity of
Liver Metastases with Digestive Origin.
AB - BACKGROUND: Few data are available regarding the epithelial to mesenchymal
transition (EMT) /mesenchymal to epitheilal transition (MET) in the liver
metastasis of digestive cancers. The aim of this study was to establish EMT/MET
metastatic tumor cell plasticity according to the histological growth pattern of
liver metastases. MATERIALS AND METHODS: Biopsies from 25 patients with liver
metastasis (desmoplastic, replacement and pushing type) were evaluated. Double
immunostaining of E-cadherin/vimentin, keratin 8,18/vimentin and E-cadherin/
keratin 8,18 were performed. RESULTS: The following cell types were noted:
epithelial, mesenchymal, non-differentiated and differentiated hybrid
mesenchymal/ epithelial and non-hybrid phenotype. All cases had mesenchymal/
epithelial phenotype cells. A significant correlation was found between the non
differentiated hybrid mesenchymal/ epithelial phenotype metastatic cells and
histological growth pattern for gastric and colorectal cancer. CONCLUSION: A MET
targeting strategy, in conjunction with conventional chemotherapy, may be useful
for the treatment of liver metastases.
PMID- 29374707
TI - Quantitative Structure-Cytotoxicity Relationship of Cinnamic Acid Phenetyl
Esters.
AB - BACKGROUND/AIM: Many phenolic acid phenethyl esters possess diverse biological
effects including antioxidant, cytoprotective, anti-inflammation and anti-tumor
activities. However, most previous antitumor studies have not considered the
cytotoxicity against normal cells. Ten cinnamic acid phenetyl esters were
subjected to quantitative structure-activity relationship (QSAR) analysis, based
on their cytotoxicity and tumor-specificity, in order to find their new
biological activities. MATERIALS AND METHODS: Cytotoxicity against four human
oral squamous cell carcinoma cell lines and three oral normal mesenchymal cells
was determined by the 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide (MTT) method. Tumor specificity (TS) was evaluated by the ratio of the
mean 50% cytotoxic concentration (CC50) against normal oral cells to that against
human oral squamous cell carcinoma cell lines. Potency-selectivity expression
(PSE) value was calculated by dividing the TS value by CC50 against tumor cells.
Apoptosis markers were detected by western blot analysis. Physicochemical,
structural and quantum-chemical parameters were calculated based on the
conformations optimized by force-field minimization. RESULTS: Western blot
analysis demonstrated that [9] stimulated the cleavage of caspase-3, suggesting
the induction of apoptosis. QSAR analysis demonstrated that TS values were
correlated with shape, size and ionization potential. CONCLUSION: Chemical
modification of the lead compound may be a potential choice for designing a new
type of anticancer drugs.
PMID- 29374708
TI - Loading Efficiency of Polymersomes with Contrast Agents and their Intracellular
Delivery: Quantum Dots Versus Organic Dyes.
AB - BACKGROUND/AIM: Contrast nanocarriers as drug-delivery systems, capable of
selective delivery to cancer cells and solid tumors, are essential for the
development of new diagnostic and therapeutic (theranostic) strategies. The
present study aimed to investigate the loading efficiency of chitosan-based
polymersomes with fluorescent contrast substances [quantum dots (QDs) and
conventional organic dyes] and the possibility to control their release from the
polymer matrix into cells by chemical modifications and electroporation.
MATERIALS AND METHODS: All investigated fluorophores were retained within the
polymer globule via electrostatic and hydrophilic-hydrophobic interactions,
without conjugation with the polymer. The fluorophore-loaded polymersomes were
characterized by dynamic light scattering, zeta-potential titration, and
fluorescence spectroscopy. The release of fluorophore from the polymersomes,
passively or after electroporation, was detected by 5-step spin-ultrafiltration,
combined with fluorescence spectroscopy of the upper phase (supernatant) of the
filter unit. Passive intracellular delivery of the nanoparticles to HeLa cells
was detected by fluorescence confocal microscopy. RESULTS: The QDs were retained
tightly and continuously in the polymer matrix, while the organic fluorophores
[fluorescein isothiocyanate (FITC), FITC-dextran10,000 and FITC-dextran70,000]
were released rapidly from the polymersomes. The detergent Brij significantly
increased the retention of FITC-dextran10,000 in the polymer globule.
Electroporation up to 1000 V/cm did not induce release of QDs from the
polymersomes, but accelerated the release of Brij-treated FITC-dextran10,000 B
from the polymer matrix. High-voltage pulses (over 750 V/cm) induced also
fragmentation or aggregation of the nanoparticles. QD_labeled polymersomes
penetrated passively in cancer cells after 24-hour incubation. CONCLUSION: The
results suggest that QD-labeled polymersomes are appropriate fluorescent probes
and a nano-drug delivery system with high tracing opportunities for in vitro and
in vivo applications. Furthermore, loading polymersomes with organic dyes with
different molecular weights (such as FITC-dextrans) is a simple model for
visualizing and predicting the rate of release of small organic molecules (e.g.
conventional drugs, other contrasts, stabilizers, and supplements) from the
polymer matrix.
PMID- 29374709
TI - Non-invasive Detection of Bladder Tumors Through Volatile Organic Compounds: A
Pilot Study with an Electronic Nose.
AB - BACKGROUND/AIM: Cystoscopy, the standard diagnostic for bladder tumors, is
uncomfortable, invasive, and expensive. The available urine-based marker systems
all lack accuracy. Measuring volatile organic compounds (VOCs) from urine is a
promising alternative. This pilot study evaluates the feasibility of
discriminating bladder cancer patients' urine from healthy controls with an
electronic nose. MATERIALS AND METHODS: Headspace measurements of urine samples
of 30 patients with confirmed transitional cell carcinoma (TCC) and 30 healthy
controls were performed with Cyranose 320 calculating Mahalanobis distance and
linear discriminant analysis. Histology reports following TUR-BT were correlated
with urine findings. RESULTS: After storage at -20 degrees C, Cyranose correctly
detected 28/30 already confirmed TCC samples and 26/30 healthy controls (p<0.01),
sensitivity 93.3%, specificity 86.7%. Storage at -80 degrees C led to similar
results: 28/30 tumor samples and 28/30 control samples were correctly allocated;
sensitivity and specificity both 93.3%. CONCLUSION: VOC detection is a promising
tool to detect bladder tumors. Further research will test against possible
confounders like bacteriuria.
PMID- 29374710
TI - Decreased Expression of SATB2 Associates with Tumor Growth and Predicts Worse
Outcome in Patients with Clear Cell Renal Cell Carcinoma.
AB - BACKGROUND/AIM: SATB2 (special AT-rich sequence-binding protein 2) is a DNA
binding protein that is involved in transcriptional regulation and chromatin
remodeling. SATB2 protein has been described as a promising novel marker in
several human cancers. PATIENTS AND METHODS: This study compared SATB2 expression
in tumor and matched unchanged renal tissues collected from 57 patients with
clear cell renal cell carcinoma (ccRCC). SATB2 mRNA levels were determined by
quantitative polymerase chain reaction, while SATB2 protein expression was
estimated by immunohistochemistry. Moreover, the associations between SATB2
expression in ccRCC samples and clinicopathological and survival data of the
patients were investigated. RESULTS: The mRNA level of SATB2 was lower in tumor
tissues than in samples of corresponding unchanged kidney. Although the average
immunoreactivity of SATB2 protein did not differ significantly between cancer
cells and epithelial cells of proximal convoluted tubules, the decreased SATB2
expression in tumor specimens inversely correlated with the size of primary tumor
and predicted worse patients' outcome. CONCLUSION: The results of the presented
study suggest the tumor-suppressing function of SATB2 and that the expression
level of this protein can be considered a potential prognostic factor in ccRCC.
PMID- 29374711
TI - Ursolic Acid Causes Cell Death in PC-12 Cells by Inducing Apoptosis and Impairing
Autophagy.
AB - Ursolic acid (UA) is a natural pentacyclic triterpene that has various biological
activities, including anticancer and anti-inflammatory effects. This study
investigated the ability of UA to cause cell death in pheochromocytoma (PC-12)
cells. UA was cytotoxic to PC-12 cells (half-maximum inhibitory
concentration=53.2 MUM) and significantly reduced the clonogenic ability of PC-12
cells. It also triggered apoptosis by reducing the level of B-cell lymphoma 2
(BCL2), activating caspase-3, and inducing cleavage of poly (ADP-ribosyl)
polymerase. To investigate the effects of UA treatment on the induction and
progression of autophagy, the levels of p62 and the conversion of the microtubule
associated protein light chain 3 (LC3)-I to LC3-II, which are important markers
of autophagic flux, were monitored. UA treatment induced the accumulation of p62
and increased the LC3-II/LC3-I ratio. These results demonstrate that UA treatment
induced autophagy, but the downstream signaling pathway was blocked. In summary,
this study shows that UA kills PC-12 cells by inducing apoptosis and impairing
autophagy progression.
PMID- 29374712
TI - Antitumor Effect of Burchellin Derivatives Against Neuroblastoma.
AB - BACKGROUND: Neuroblastoma is one of the most commonly encountered malignant solid
tumors in the pediatric age group. We examined the antitumor effects of five
burchellin derivatives against human neuroblastoma cell lines. MATERIALS AND
METHODS: We evaluated cytotoxicity by the MTT assay for four human neuroblastoma
and two normal cell lines. We also performed analysis of the apoptotic induction
effect by flow cytometry, and examined the expression levels of apoptosis- and
cell growth-related proteins by western blot analysis. RESULTS: We found that one
of the burchellin derivatives (compound 4) exerted cytotoxicity against the
neuroblastoma cell lines. Compound 4 induced caspase-dependent apoptosis via a
mitochondrial pathway. The apoptosis mechanisms induced by compound 4 involved
caspase-3, -7 and -9 activation and poly (ADP-ribose) polymerase cleavage. In
addition, compound 4 induced cell death through inhibition of the cell growth
pathway (via extracellular signal-regulated kinase 1 and 2, AKT8 virus oncogene
cellular homolog, and signal transducer and activator of transcription 3).
CONCLUSION: Compound 4 exerted cellular cytotoxicity against neuroblastoma cells
via induction of caspase-dependent apoptosis, and may offer promise for further
development as a useful drug for the treatment of advanced neuroblastoma.
PMID- 29374713
TI - Maspin Enhances the Anticancer Activity of Curcumin in Hormone-refractory
Prostate Cancer Cells.
AB - BACKGROUND/AIM: Androgen deprivation therapy remains the principal treatment for
patients with advanced prostate cancer, though, most patients will eventually
develop hormone-refractory prostate cancer (HRPC). Androgen ablation mediated
maspin-induction has been identified in cancer patients. However, the role of
maspin on the anticancer activity of curcumin derived from turmeric (Curcuma
longa) in HRPC cells has not been elucidated. MATERIALS AND METHODS: The
anticancer action of curcumin in hormone-independent prostate cancer cells
(DU145, and PC-3) was determined by measures of cell survival rate. The cause of
maspin silencing on the anti-tumor abilities of curcumin in PC-3 cells was
evaluated by measures of cell survival rate, cell-cycle distribution, and
apoptosis signaling analysis. RESULTS: Our present study showed that PC-3 cells
(with higher maspin expression) were more sensitive than DU145 cells to curcumin
treatment (with lower maspin expression). RNA interference-mediated maspin
silencing reduced curcumin sensitivity of PC-3 cells, as evidenced by reduced
apoptotic cell death. After exposure to curcumin, maspin-knockdown cells showed
lower expression levels of pro-apoptotic proteins, Bad and Bax, as compared with
control cells. CONCLUSION: Maspin can enhance the sensitivity of HRPC cells to
curcumin treatment.
PMID- 29374714
TI - Survival in Limited Disease Small Cell Lung Cancer According to N3 Lymph Node
Involvement.
AB - BACKGROUND/AIM: There are several definitions of limited disease (LD) in small
cell lung cancer (SCLC), differing with respect to N3 disease accepted. We
analyzed patients from a randomized trial comparing two schedules of thoracic
radiotherapy (TRT) in LD SCLC to investigate whether there were survival
differences between N3 subcategories (n=144). PATIENTS AND METHODS: Patients with
a baseline CT scan available were analysed. Patients received four courses of
cisplatin/etoposide and TRT of 45 Gy/30 fractions (twice daily) or 42 Gy/15
fractions (once daily). RESULTS: Median overall survival (OS) was 23.3 months in
the whole cohort. N3-patients (n=37) had shorter survival than those with N0-2
(16.7 vs. 33.0 months; p<0.001). There were no significant OS-differences between
the N3 subcategories, but patients with metastases to two or more N3 regions had
shorter survival than other N3 patients (13.4 vs. 19.9 months; p=0.011).
CONCLUSION: There were no survival differences between the N3 subcategories,
suggesting that all N3 disease should be considered as LD.
PMID- 29374715
TI - Control of Nausea and Vomiting in Patients Receiving
Anthracycline/Cyclophosphamide Chemotherapy for Breast Cancer.
AB - BACKGROUND/AIM: Chemotherapy-induced nausea and vomiting (CINV) is one of most
distressing adverse events during cancer chemotherapy. In breast cancer patients
receiving anthracycline and cyclophosphamide (AC) chemotherapy, CINV is poorly
controlled. PATIENTS AND METHODS: The prevalence of guideline-consistent
antiemetic medication and control of CINV were investigated retrospectively in
breast cancer patients receiving the first cycle of AC chemotherapy. Risks for
CINV were analyzed by the multivariate logistic regression analysis. The effect
of olanzapine added to the standard antiemetic medication on the incidence of
CINV was subsequently evaluated in separate patients who received the first cycle
of AC chemotherapy. RESULTS: Although the guideline-consistent antiemetic
medication was performed in all subjects, the control rate of nausea (32%), but
not vomiting (78%) was low. Risk analysis indicated that age younger than 55-year
old was a significant factor that reduces the control of both nausea and
vomiting. Olanzapine (5 mg/day for 5 days), when added to the standard three-drug
antiemetic medication, significantly improved the control of nausea and complete
response. CONCLUSION: CINV was poorly controlled in breast cancer patients
receiving AC chemotherapy, in which age younger than 55-year-old was a
significant risk for both nausea and vomiting. Olanzapine was effective for
improvement of the control of CINV associated with AC chemotherapy. Therefore,
care should be taken to prevent CINV in young patients receiving AC chemotherapy
by adding olanzapine to the standard three-drug antiemetic medication.
PMID- 29374716
TI - A Phase I Study of Hypofractionated Carbon-ion Radiotherapy for Stage III Non
small Cell Lung Cancer.
AB - BACKGROUND/AIM: The aim of this study was to assess the feasibility and safety of
hypofractionated carbon-ion radiotherapy (C-ion RT) in patients with stage III
non-small cell lung cancer (NSCLC). PATIENTS AND METHODS: Patients with
untreated, histologically proven, unresectable stage III NSCLC and not candidates
for chemotherapy were included in this study. C-ion RT was planned and
administered with 4 Gy (relative biological effectiveness (RBE)) in daily
fractions for a total dose of 64 Gy (RBE) without combined chemotherapy. Dose
limiting toxicity (DLT) was defined as suspension of C-ion RT treatment for 2
weeks due to >= grade 2 pneumonitis, or any other >= grade 3 adverse event, or as
any >= grade 4 adverse event within 3 months from the start of treatment.
RESULTS: Six patients were treated between June 2013 and December 2014. The
planned full dose of C-ion RT (64 Gy (RBE)) was completed in all patients. No
patient developed DLT, and no patient experienced toxicities of >=grade 3
severity. The overall response rate was 100%, and local tumor control was
achieved in all patients during the survival period. CONCLUSION: Hypofractionated
C-ion RT of patients with stage III NSCLC was feasible and well tolerated.
Although the number of patients in this study was small, the results support
further investigations to confirm the long-term therapeutic efficacy of this
treatment.
PMID- 29374717
TI - Effect of Neoadjuvant Chemoradiotherapy on Lymph Node Micrometastases in Thoracic
Esophageal Cancer.
AB - AIM: The purpose of this study was to clarify the effect of neoadjuvant
chemoradiotherapy (nCRT) on lymph node micrometastasis (LNM) in esophageal
squamous cell carcinoma (ESCC). PATIENTS AND METHODS: The therapeutic efficacy of
nCRT was analyzed in 41 ESCC patients randomized to the Surgery group (n=21) and
the nCRT group (n=20). Lymph node specimens from patients were classified into
two categories, micrometastasis (MM) and tumor cell microinvolvement (MI), after
immunohistochemical evaluation. RESULTS: The incidence rates of patients
presenting MM with or without MI or MI alone in the Surgery group were
significantly higher than those in the nCRT group. The 10-year survival rate of
15 patients with simultaneous histological metastasis (HM) and LNM was
significantly lower than that in the 26 patients without LNM. Within the nCRT
group, the 10-year survival rates of patients with versus those without HM were
not significantly different; however, the 10-year survival rate of the 5 patients
with simultaneous HM and LNM was significantly lower than that of the 15 patients
without LNM. CONCLUSION: ESCC patients with LNM may benefit from nCRT, and
evaluation of the simultaneous presence of HM and LNM may facilitate accurate
prediction of survival in ESCC patients.
PMID- 29374718
TI - Does Patient-reported Dyspnea Reflect Thoracic Disease Characteristics in
Patients with Incurable Cancer?
AB - BACKGROUND/AIM: A considerable proportion of patients with incurable cancer
experience dyspnea. This study evaluates associations between the feeling of
dyspnea, as quantified by radiotherapy patients scoring their symptoms before
palliative treatment with the Edmonton symptom assessment system (ESAS), and
potential underlying causes. PATIENTS AND METHODS: Retrospective comparison of
the incidence of different parameters that could cause a feeling of dyspnea in
two groups, patients with no or minimal dyspnea (ESAS score 0-2) and those with
dyspnea scores >2. RESULTS: The mean dyspnea score of all 102 patients was 2.6.
Dyspnea scores >2 were present in 68% of patients with lung cancer, 50% of those
with breast cancer, 39% of those with prostate cancer and 26% of those with other
tumors (p=0.025). Dyspnea scores >2 were also present in 69% of patients with
pleural effusion (vs. 40% in patients without pleural effusion), p=0.031. Among
patients treated with palliative thoracic radiotherapy, 71% had dyspnea scores >2
(40% if other targets were irradiated), p=0.041. In 13% of patients, anemia and
pulmonary comorbidity were the most likely explanation for dyspnea. In 29% the
feeling of dyspnea could not be related to objective findings. CONCLUSION: In the
majority of patients, the feeling of dyspnea was associated with the presence of
thoracic metastases with or without pleural effusion from extrathoracic primary
tumors or with a lung cancer diagnosis. A substantial proportion of patients
reported dyspnea that could be related neither to cancer burden nor comorbidity.
PMID- 29374719
TI - Phase II Study of S-1 plus Trastuzumab for HER2-positive Metastatic Breast Cancer
(GBCCSG-01).
AB - AIM: Treatment strategies for patients with human epidermal growth factor 2
(HER2)-positive metastatic breast cancer (MBC) have significantly progressed. The
use of trastuzumab, a monoclonal antibody targeting the HER2 (human epidermal
growth factor 2) protein, in combination with chemotherapy improves survival in
patients with HER2-positive breast cancer. S-1, an oral combination of
fluorouracil derivatives, is widely used in Japan and is more convenient than
intravenous drugs. However, little is known about the combination of S-1 and
trastuzumab in patients with HER2-positive MBC. PATIENTS AND METHODS: We
conducted a single-arm, open-label, multicenter prospective phase II study to
evaluate the efficacy of an S-1 plus trastuzumab regimen for HER2-positive MBC. S
1 was administered orally [80-120 mg, based on body surface area (BSA)] twice a
day for 14 consecutive days in a 3-week cycle. Patients with BSA of <1.25 m2
received a total of 80 mg of S-1, those with BSA >=1.5 m2 received 120 mg, and
the remaining received 100 mg daily in two divided doses. Trastuzumab was
administered intravenously at 8 mg/kg on day 1 of the first cycle and at 6 mg/kg
on day 1 of subsequent cycles, i.e., every 3 weeks. RESULTS: Between December
2008 and March 2013, 10 patients were enrolled and received a median of 17
(range=3-76) cycles of treatment. Overall response and clinical benefit rates
were 60.0% and 90.0%, respectively. Progression-free survival was 15.8 (95%
confidence interval=9.4-29.6) months and overall survival was 45.5 (95%
confidence interval=37.1-62.2) months. Grade 3/4 adverse events included were
neutropenia and hyperglycemia in one patient each (10.0%). There was no
clinically significant cardiotoxicity. CONCLUSION: The combination of S-1 and
trastuzumab was tolerable and had excellent efficacy with good response and
disease control in this study. S-1 plus anti-HER2 therapy is a feasible treatment
option for HER2-positive MBC.
PMID- 29374720
TI - Adverse Prognostic Factors of Advanced Esophageal Cancer in Patients Undergoing
Induction Therapy with Docetaxel, Cisplatin and 5-Fluorouracil.
AB - BACKGROUND/AIM: The purpose of this study was to identify adverse prognostic
factors for patients with advanced esophageal cancer undergoing chemotherapy with
docetaxel, cisplatin and 5-fluorouracil (DCF). PATIENTS AND METHODS: The study
cohort comprised of 45 patients with advanced esophageal cancer who underwent
induction DCF therapy followed by esophagectomy or chemoradiotherapy. Treatment
outcomes and factors affecting early recurrence and death were analyzed. RESULTS:
Overall 3-year survival was 61.4%, and 3-year disease-free survival was 44.7%.
Clinically evident lymph node metastasis and clinical stage were associated with
recurrence within 1 year and death within 2 years. Low maximum standardized
uptake value (SUVmax) after induction DCF therapy and small decreases in SUVmax
from pre- to post-DCF therapy were also predictors of recurrence and poor
prognosis. CONCLUSION: Induction DCF therapy may be ineffective for advanced
stage esophageal cancer and clinical lymph node metastasis (>=N2, >=stage IIIB).
Moreover, small decreases in SUVmax DCF therapy are associated with early disease
relapse and death.
PMID- 29374721
TI - Gut-associated Lymphoid Tissue (GALT) Carcinoma in Ulcerative Colitis.
AB - BACKGROUND: In ulcerative colitis (UC), the majority of colorectal carcinomas
(CRC) arise in the vast colorectal mucosal domain built with mucus-producing
goblet cells and columnar cells. Conversely, CRC in UC rarely evolve in the tiny,
spotty gut-associated lymphoid tissue (GALT) mucosal domain. Here we review the
four reported cases of colonic carcinoma developing in GALT mucosa in UC,
searching for possible precursor lesions connected with the evolution of these
tumours. MATERIALS AND METHODS: The clinical history, age, gender, endoscopic
descriptions, and the pathology (localization, gross and histological
descriptions of the luminal surface) of the four UC-GALT carcinomas reported in
the literature were reviewed. RESULTS: The luminal surface in three out of the
four carcinomas revealed conventional (tubular/villous) adenomas or high-grade
dysplasia. All four UC-GALT-carcinomas were detected at an early stage (T1N0).
CONCLUSION: GALT carcinomas do occur, albeit infrequently, in patients with UC.
The finding that three out of the four GALT carcinomas on record were covered by
conventional adenomas or by high-grade dysplasia strongly suggests that non
invasive conventional neoplasias might often precede GALT carcinomas in UC.
PMID- 29374722
TI - Surgical Outcomes and Morbidity After Radical Surgery for Ovarian Cancer in
Aberdeen Royal Infirmary, the Northeast of Scotland Gynaecologic Oncology Centre.
AB - BACKGROUND/AIM: Ovarian cancer (OC) has a high mortality rate and usually
presents late in advanced stage, which poses challenges to management. Better
understanding of the disease biology and application of radical surgery (RS) to
achieve no visible residual tumor, alongside with chemotherapy, may lead to
longer survival amongst these patients. Our purpose was to examine the
demographic characteristics, surgical morbidity and outcomes of patients
undergoing RS for OC. MATERIALS AND METHODS: A retrospective cohort study of
women undertaking surgery for OC between February 2014 and September 2016 in
Aberdeen Royal Infirmary. RESULTS: A total of 121 women had surgery for OC of
whom 78 (64.5%) were stage II and above. Of these, 40 (51.3%) women had primary
and 38 (48.7%) had interval debulking surgery with 42 (53.8%) having radical
surgery. The most common procedures that were performed as part of RS included
rectosigmoid resection (n=20, 47.6%), small bowel resection (n=10, 23.8%),
splenectomy (n=9, 21.4%). Morbidity outcomes included blood loss >1.5 lt. (n=14,
33.3%), hospitalization >7days (n=31, 73.8%), sepsis (n=8, 19%). There was no
short-term mortality. Debulking outcomes were: no macroscopic residual disease
(n=36, 85.7%), <=10 mm disease (n=2, 4.8%), and >=10 mm disease (n=3, 7.1%).
CONCLUSION: Our findings support the practise where RS for OC can be offered to
selected patients, with good surgery outcomes and low morbidity rates.
PMID- 29374723
TI - Low-dose Pressurized Intrathoracic Aerosol Chemotherapy (PITAC) as an Alternative
Therapy for Pleuropulmonary Involvement in Pseudomyxoma Peritonei.
AB - BACKGROUND/AIM: Pseudomyxoma peritonei (PMP) is a rare disease characterized by
mucinous ascites and widespread peritoneal implants. It usually originates from
the rupture of an adenoma/adenocarcinoma of the appendix. Although this tumor is
only superficially invasive and does not metastasize, it could be a fatal
disease. Extra-abdominal spread of PMP is an unusual occurrence with few reports
in medical literature. CASE REPORT: A 50-year-old man was diagnosed with PMP
according to the findings of thorax and abdomen CT scan and cytologic and
histological examinations. The radiological exam showed irregular thickening on
the surface of left diaphragmatic and parietal pleura. RESULTS: First,
cytoreductive surgery associated with hyperthermic intraperitoneal chemotherapy
(HIPEC) for the abdominal disease was performed. Histopathological examination
confirmed the diagnosis of low grade PMP. The radiological evaluation performed 5
months later showed a dimensional increase in pleural nodules. The treatment
consisted of an extensive intrathoracic cytoreductive surgery in combination with
pressurized intra-thoracic aerosol chemotherapy (PITAC). Postoperative course was
uneventful. CONCLUSION: PMP with pleural extension is a rare phenomenon and
carries an unfavourable prognosis. Due to the rarity of this presentation, its
correct treatment is still unclear. We present a therapeutic approach to be
applied in selected patients.
PMID- 29374724
TI - Association of Preoperative Nutritional Status with Prognosis in Patients with
Esophageal Cancer Undergoing Salvage Esophagectomy.
AB - BACKGROUND: To investigate whether malnutrition is associated with poor prognosis
of patients who undergo salvage esophagectomy. We examined the association
between the preoperative prognostic nutritional index (PNI) and prognosis of
patients who undergo salvage esophagectomy. PATIENTS AND METHODS: We conducted a
single-center retrospective study and reviewed hospital patient records for tumor
characteristics and patient outcomes. Univariate and multivariate survival
analyses were carried out using the Cox proportional hazards regression model.
RESULTS: Thirty-two patients with esophageal squamous cell carcinoma (ESCC) who
underwent salvage esophagectomy between 1998 and 2015 at our Institute were
included in this study. Univariate analysis revealed that clinical response
(p=0.045), preoperative PNI (p<0.001), pT (p=0.024), pN (p=0.004), and residual
tumors (p<0.001) were significant prognostic factor for overall survival.
Multivariate analysis using age and these five variables found no independent
prognostic factors. Multivariate analysis using three preoperative variables
(age, clinical response, and preoperative PNI) revealed that PNI was an
independent prognostic preoperative factor for overall survival (p=0.005).
CONCLUSION: Preoperative nutritional status is associated with the prognosis of
patients undergoing salvage esophagectomy.
PMID- 29374725
TI - High STMN1 Expression Is Associated with Tumor Differentiation and Metastasis in
Clinical Patients with Pancreatic Cancer.
AB - BACKGROUND: Pancreatic ductal adenocarcinoma (PDAC) is a leading cause of cancer
related deaths worldwide. Stathmin 1 (STMN1) suppression was reported to reduce
cellular viability and migration potential. However, no previous studies have
addressed whether STMN1 overexpression is associated with malignant potential in
PDAC. MATERIALS AND METHODS: To clarify the clinical significance of STMN1 in
PDAC, the STMN1 expression in 104 PDAC samples was evaluated by
immunohistochemistry. Moreover, we evaluated the proliferative potential and
migration ability of pancreatic cancer cell line Suit2 cells highly expressing
STMN1. RESULTS: Cytoplasmic STMN1 were higher levels in PDAC than in
corresponding non-cancerous tissues. PDAC patients with high STMN1 (n=29) were
significantly associated with poor differentiation and distant metastasis
compared to those with low STMN1 (n=75). The proliferation rates and migration
ability in Suit2-STMN1 were higher than those of Suit2-mock. CONCLUSION: STMN1
evaluation could be a useful progression marker, and STMN1 may be a promising
candidate for targeted therapies in PDAC.
PMID- 29374726
TI - Clinical Assessment of Micro-residual Tumors during Stereotactic Body Radiation
Therapy for Hepatocellular Carcinoma.
AB - BACKGROUND: This study aimed to assess the need to consider microscopic invasion
in terms of treatment planning in stereotactic body radiation therapy (SBRT) for
hepatocellular carcinoma and elucidate the appropriate clinical target volume
(CTV) margin. PATIENTS AND METHODS: A total of 121 patients (with 146 liver
tumors) who underwent SBRT between July 2007 and August 2016 were analyzed,
regarding overall survival and local control (LC). RESULTS: The 2- and 5-year LC
rates were 91.5% and 89.8%, respectively. Planning target volume (PTV) margin <8
mm was associated with poor LC. Of the 77 patients with PTV margin of <8 mm, age
<75 years was associated with poor LC, while alpha-fetoprotein (AFP) <=20 ng/ml
was associated with good LC. CONCLUSION: In patients with high AFP levels, there
is a possibility of microscopic invasion around the tumor, suggesting that LC may
be improved by adding an additional clinical target volume margin to the gross
tumor volume.
PMID- 29374727
TI - The Prognostic Implications of Bone Invasion in Gingival Squamous Cell Carcinoma.
AB - BACKGROUND/AIM: This study evaluated the associations between bone invasion of
gingival squamous cell carcinoma (SCC) and clinicopathological manifestations,
and aimed to determine whether bone invasion is an independent prognostic factor
in gingival SCC. PATIENTS AND METHODS: The study was a retrospective review of 78
patients with gingival SCC who underwent surgery with curative intent. The level
of bone invasion was pathologically categorized as medullary, cortical or no bone
invasion. RESULTS: Cortical and medullary bone invasion was present in 29 and 22
patients, respectively. There was a significant association between medullary
bone invasion and tumor size (p=0.017), pathological N classification (p<0.001),
differentiation (p=0.017) and lymphovascular invasion (p=0.007). Medullary bone
invasion and lymphovascular invasion were independent predictors of reduced
overall survival (p=0.015, 0.048); medullary bone invasion was also an
independent predictor of reduced disease-specific survival (p=0.018). CONCLUSION:
Pathologically-proven medullary bone invasion and lymphovascular invasion were
found to be key prognostic factors in gingival SCC. The results suggest that it
is necessary to consider adjuvant therapy in patients with medullary bone
invasion.
PMID- 29374728
TI - Comparison of Laparoscopic and Open Surgery for Colorectal Cancer in Patients
with Severe Comorbidities.
AB - BACKGROUND/AIM: To evaluate the safety of laparoscopic colorectal cancer surgery
for patients with severe comorbidities. PATIENTS AND METHODS: A total of 203
consecutive patients with severe comorbidities who underwent resection for
colorectal cancer were retrospectively divided into laparoscopic and open primary
resection groups. An age-adjusted Charlson comorbidity index >=6 was considered
as severe comorbidity. RESULTS: Blood loss (31 g vs. 207 g, p<0.01) and total
postoperative complications (10.0% vs. 27.5%, p<0.01) in the laparoscopic group
were significantly decreased compared to the open group. Incidence of
postoperative ileus (0.0% vs. 7.2%, p=0.06) and length of postoperative hospital
stay (11 days vs. 14 days, p=0.08) in the laparoscopic group were improved,
though not significantly, compared to the open group. CONCLUSION: Laparoscopic
resection for patients with severe comorbidities is safe, and is associated with
a lower rate of overall operative complications compared to open surgery.
PMID- 29374729
TI - Image Quality Assessment of 2D versus 3D T2WI and Evaluation of Ultra-high b
Value (b=2,000 mm/s2) DWI for Response Assessment in Rectal Cancer.
AB - AIM: The purpose of this IRB-approved, retrospective study was to compare image
quality between 2D and high-resolution 3D, T2-weighted (T2WI) magnetic resonance
imaging (MRI) sequences and to investigate the additional value of ultra-high b
value diffusion-weighted imaging (DWI; b=2,000 mm/s2) for both rectal cancer
staging and evaluating treatment response. MATERIALS AND METHODS: From 12
February to 24 August 2016, 26 consecutive patients (22 males, four females; mean
age: 61.9+/-14.0 years) with histologically-proven rectal cancer. In total 31
examinations [12 prior to and 19 after chemoradiation (CRT)] were included. The
patients underwent pelvic MRI on a 3.0-T scanner (Magnetom Skyra, Erlangen,
Germany). Three radiologists (3, 4, and 5 years of experience in MRI,
respectively) independently assessed all images and rated the image quality of
DWI (b=800 mm/s2), apparent diffusion coefficient map, DWI (b=2,000 mm/s2), 3D
sagittal T2WI, 3D axial T2WI, 2D sagittal T2WI, and 2D axial T2WI of each
patient, respectively. In addition, signal intensity ratios (SIR) were calculated
between rectal cancer and obturator internus muscle (background) in all patients
after CRT on DWI (b=2,000 mm/s2) and correlated with histopathological regression
grade (RG). RESULTS: Tumor delineation was significantly better by 2D T2WI than
3D T2WI both before and after CRT (before CRT: Z=-3.2, p=0.02; after CRT: Z=
4.408, p<0.001; all: Z=-5.192; p<0.001) and was the preferred method, although
image quality ratings were not significantly different (3D sagittal: 4.00+/-0.48;
2D sagittal: 4.03+/-0.34, p=0.713; 3D axial: 3.85+/-0.61, 2D axial: 3.78+/-0.64,
p=0.537). Independent t-test showed significantly higher SIR between those with
RG 1 or 2 (moderate response: mean score=2.02) and those with RG 3+4 (good
response: mean score=0.8) (t=3.044, p=0.011). In those with RG 4 (complete
response), SIR of b2000 was 0.946 compared to a 1.41 average of the whole cohort.
In two patients, tumor was invisible on b2000 following CRT (RG 3 and 4,
respectively). Interobserver agreement was mostly good (kappa>=0.6) regarding
image quality assessment, except for poor agreement (kappa=0.4) in DWI (b2000)
between the two less-experienced readers. CONCLUSION: In conclusion, 3D T2WI
might be useful for evaluating response to neoadjuvant therapy in a
comprehensive, cost-effective protocol, where 2D imaging seems to be preferable.
In addition, DWI (b2000) may be beneficial in assessing both the primary and the
residual tumor after CRT in rectal cancer and SIR may be helpful in assessing
response to CRT.
PMID- 29374730
TI - Two-step Intensity-modulated Radiation Therapy for Oropharyngeal Cancer: Initial
Clinical Experience and Validation of Clinical Staging.
AB - AIM: To evaluate the clinical results of two-step intensity-modulated radiation
therapy (IMRT) for oropharyngeal cancer. PATIENTS AND METHODS: Eighty patients
were treated with two-step IMRT between 2002 and 2014. Whole-neck radiotherapy
(44.0-50.0 Gy/22-25 fractions) was delivered by IMRT, followed by boost IMRT to
the high-risk clinical target volume (total dose of 70.0 Gy/35 fractions). Forty
seven patients received concurrent chemotherapy. Immunohistochemistry for human
papillomavirus type 16 (HPV/p16) was performed for 64 patients. RESULTS: The 5
year overall survival and locoregional control rates for stage I, II, III, and
IVA-B disease were 80.0%, 75.0%, 78.0%, and 64.0% and 100.0%, 75.0%, 92.0%, and
82.0%, respectively. Overall survival was significantly higher in HPV/p16
positive patients than in HPV/p16-negative patients (p=0.01). Xerostomia of grade
2 or more was noted in 10 patients. CONCLUSION: Favourable overall survival and
locoregional control rates with excellent salivary preservation were obtained
using the two-step IMRT method for oropharyngeal cancer.
PMID- 29374731
TI - Preoperative Platelet to Albumin Ratio Predicts Outcome of Patients with
Cholangiocarcinoma.
AB - BACKGROUND: The purpose of this study was to evaluate the prognostic index of the
preoperative platelet to albumin ratio (PAR) in patients who underwent primary
resection for cholangiocarcinoma. PATIENTS AND METHODS: A total of 59 patients
were divided into two groups: those with PAR >=72.6*103 or <72.6*103 according to
the area under the receiver operating characteristics curve. RESULTS: PAR was
significantly inversely associated with overall (OS) and disease-free (DFS)
survival on univariate analysis. PAR showed significance on multivariate analysis
for OS (hazard ratio=6.232, 95% confidence interval=1.283-30.279, p=0.023), along
with tumor differentiation (p=0.009), nodal involvement (p=0.001), intraoperative
blood loss (p=0.001), and serum carcinoembryonic antigen (CEA) (p=0.012). High
PAR was also significantly associated poor DFS on multivariate analysis (hazard
ratio(HR)=4.422, 95% confidence interval(CI)=1.168-16.732, p=0.029), along with
tumor differentiation (p=0.009). CONCLUSION: PAR is a useful prognostic index for
OS and DFS in patients with cholangiocarcinoma after primary resection. By
accumulating cases prospectively, this new index may be a reference for use
before neoadjuvant chemotherapy.
PMID- 29374732
TI - Protrusion on the Depressed Surface of Non-polypoid T1 Colorectal Cancer Is
Associated with Venous Invasion.
AB - AIM: The treatment strategies for T1 colorectal cancer (CRC) include both
surgical and endoscopic resection. Surgical resection is indicated if
lymphovascular invasion is present; however, the endoscopic prediction of
lymphovascular invasion has not been reported. We aimed to correlate endoscopic
morphology with pathological findings, including lymphovascular invasion, in non
polypoid T1 CRC. MATERIALS AND METHODS: We retrospectively investigated 63
patients with non-polypoid T1 CRC surgically resected between 2008 and 2016. Four
typical endoscopic findings related to deep submucosal invasion, namely
protrusion from a depressed surface, fold convergence, fullness and hardness,
were assessed to elucidate their association with pathological findings. RESULTS:
Protrusion was the only finding significantly correlated with positive venous
invasion (67.9% of the lesions with protrusion vs. 34.3% of those without
protrusion, p=0.01), which was also confirmed by a multivariable analysis (odds
ratio(OR)=3.72, 95% confidence interval(CI)=1.24-11.2, p=0.02). CONCLUSION: The
endoscopic finding of protrusion on a depressed surface may be a sign indicating
venous invasion in non-polypoid T1 CRC.
PMID- 29374733
TI - Patients with Increased Levels of the Oxidative Stress Biomarker SOD1 Appear to
Have Diminished Postoperative Pain After Midline Laparotomy: A Randomised Trial
with Special Reference to Postoperative Pain Score (NRS).
AB - BACKGROUND/AIM: The levels of the oxidative stress biomarker superoxide dismutase
(SOD1) in plasma in relation to pain at rest 24 hours after (NRS24) midline
laparotomy in patients with rectus sheath block (RSB) analgesia is unknown.
PATIENTS AND METHODS: Initially, 56 patients (39 with cancer), each with an
intravenous oxycodone pump as patient-controlled analgesia, were randomized to
four groups: control group, no RSB (n=12), single-dose (n=16), repeated-dose
(n=12) and continuous infusion (n=16) of RSB analgesia. The plasma levels of SOD1
were measured directly before, immediately after and 24 hours after surgery. Pain
at rest was scored on an 11-point numeric rating scale 24 hours postoperatively
(NRS24: 0=no pain to 10=worst pain). RESULTS: The median plasma level of SOD1
increased immediately after operation and this was statistically highly
significant (p=0.007). The median plasma level of SOD1 then decreased 24 hours
postoperatively and this postoperative decrease was also statistically highly
significant (p<0.001). The median plasma levels of SOD1 did not differ
significantly between patients with benign disease and those with cancer,
preoperatively and after surgery. There was highly significant positive
correlation between SOD1 and glutathione peroxidase (GPX1) values postoperatively
(r=0.67, p<0.001) and a trend for an inverse correlation between the individual
values of the NRS24 and plasma SOD1 values postoperatively in patients with
benign disease and those with cancer (r=-0.30, p=0.09). CONCLUSION: Midline
laparotomy significantly alters the level of oxidative stress marker SOD1
immediately after surgery, but the level normalizes 24 hours following surgery.
Interestingly, patients with increased levels of SOD1 appeared to have diminished
postoperative pain after midline laparotomy.
PMID- 29374734
TI - Comparison of Two Radiotherapy Regimens for Metastatic Spinal Cord Compression:
Subgroup Analyses from a Randomized Trial.
AB - BACKGROUND/AIM: According to our randomized trial, 5*4Gy was comparable to 10*3Gy
for metastatic spinal cord compression. Since it remained unclear whether
findings applied to poor and intermediate prognoses patients, subgroup analyses
were performed. PATIENTS AND METHODS: In patients with poor prognoses, 58
received 5*4Gy, 53 received 10*3Gy. In intermediate-prognoses patients, numbers
were 43 and 49. RESULTS: In patients with poor prognoses, 1-month overall
response (OR) was 85% after 5*4Gy and 10*3Gy (p=0.99), improvement 38% vs. 42%,
ambulatory status 60% vs. 64% (p=0.83), 6-month local progression-free survival
(LPFS) 75% vs. 69% (p=0.74) and 6-month overall survival (OS) 26% vs. 19%
(p=0.43). In patients with intermediate prognoses, 1-month OR was 89% after 5*4Gy
and 93% after 10*3Gy (p=0.85), improvement 39% vs. 45%, ambulatory status 84% vs.
82% (p=0.90), 6-month LPFS 79% vs. 92% (p=0.17) and 6-months OS 65% vs. 58%
(p=0.65). CONCLUSION: 5*4Gy was not significantly inferior to 10x3Gy in both
subgroups.
PMID- 29374735
TI - Effects of Neoadjuvant 5-Fluorouracil and Cisplatin Therapy in Patients with
Clinical Stage II/III Esophageal Squamous Cell Carcinoma.
AB - BACKGROUND: Neoadjuvant chemotherapy (NAC) with 5-fluorouracil and cisplatin (FP)
has been administered to patients with clinical stage II or III esophageal
squamous cell carcinoma (ESCC). We aimed to confirm the clinical efficacy and
outcomes of NAC with FP. PATIENTS AND METHODS: The clinicopathological features
and survival of 152 patients with clinical stage II/III ESCC who received NAC
with FP followed by radical esophagectomy were analyzed. RESULTS: The R1/2
resection rate was higher (p=0.06) and the high histological response rate was
significantly lower (p=0.05) in those with clinical stage III disease. Invasion
depth significantly improved in those with less than cT3 (17/30. 57%), but did
not in more invasive cases (35/122, 29%) (p=0.004). T Factor was frequently
improved in those with clinical stage II (p=0.08). Five-year survival rates in
clinical stage II and III were 73% and 41%, respectively. A multivariate analysis
identified clinical stage (p=0.01) and residual tumor (p<0.01) as independent
prognostic factors. CONCLUSION: NAC with FP is effective for patients with
clinical stage II ESCC, while its potency may be lower for those with clinical
stage III or cT3 disease.
PMID- 29374736
TI - Efficacy and Safety of Drug Eluting Bead TACE with Microspheres <150 MUm for the
Treatment of Hepatocellular Carcinoma.
AB - BACKGROUND/AIM: To retrospectively evaluate the efficacy and safety of drug
eluting bead (DEB) transarterial chemoembolisation (TACE) with microspheres <150
MUm for the treatment of hepatocellular carcinoma (HCC) with respect to overall
survival, progression-free survival, tumor response and the peri-interventional
toxicity. MATERIALS AND METHODS: In this retrospective, single-center study we
analyzed 32 HCC-patients (BCLC A: 10 patients, BCLC B: 17 patients, BCLC C: 5
patients), who were treated with (DEB) <15 MUm (DCBeadM1(r)) loaded with
epirubicin between 2011 and 2015. We analyzed MRI and CT-scans as well as blood
results like AFP, bilirubin and liver enzymes before (t0) and after (t1=first
follow-up, t2=last follow-up within 6 months) locoregional treatment. The tumor
response was evaluated by MRI and CT considering m-RECIST and the EASL-criteria
as well as alpha-fetoprotein (AFP) levels in the peripheral blood. RESULTS: We
found a significant tumor response at all follow-up times (p<0.05) according to m
RECIST criteria and a significant tumor response between t0 vs. t1 (p<0.05) and
t0 vs. t2 (p<0.05) according to EASL criteria. We observed a significant decrease
of the AFP-level between t0 and t1. The objective response rates (ORR) of target
lesions were 64.3% and 78.5 % corresponding to m-RECIST and EASL, respectively.
The median overall survival (OS) was 30.5 months, the progression-free survival
in relation to the target lesion was 14.3 months by using m-RECIST and EASL
criteria. In the period of 30 days after treatment we found no grade 5 adverse
events (AE). During the follow-up period 1 abscess (3.7%) was observed. In a
total of 5 patients, 4 (14.7%) biliomas with no need of treatment and 3 (10.7%)
widening of the intrahepatic bile ducts were noted. CONCLUSION: The use of DEB
<150 MUm (DCBeadM1(r)) shows promising results in the treatment of HCC without
showing substantial hepatic toxicity, but some widening of the intrahepatic bile
ducts and one abscess. Further trials are necessary to evaluate the efficacy and
toxicity of DEB-TACE with M1(r)-beads.
PMID- 29374737
TI - Radiation Therapy for Patients with Bone Metastasis from Uterine Cervical Cancer:
Its Role and Optimal Radiation Regimen for Palliative Care.
AB - AIM: To determine the role of radiation therapy for patients with bone metastasis
from uterine cervical cancer and identify an optimal radiation regimen. PATIENTS
AND METHODS: A total of 20 patients with bone metastases from uterine cervical
cancer received radiation therapy to the pelvis. The median total dose of 60.2 Gy
in the 2 Gy per fraction-equivalent dose (EQD2) was delivered to cervical tumors
of all patients. Thirteen patients underwent chemotherapy during and/or following
radiation therapy. RESULTS: In 18 of 20 patients, the primary tumors disappeared
or were markedly reduced after radiation therapy. In all but one of 17 patients
with pelvic pain and bleeding, these symptoms disappeared or were remarkably
relieved. However, three patients had primary tumor progression at 7, 9, and 15
months after irradiation with total doses of 56.8, 58.4, and 68.3 Gy in EQD2,
respectively. Two of these patients had relapses of bleeding and pain. The
primary progression-free rate considering all patients was 69% at 1 year and 34%
at 2 years. The corresponding overall survival rates were 34% at 1 year, and 8%
at 2 years, with an estimated median survival time of 7 months. The number of
metastatic bone sites (p=0.027) and administration of chemotherapy (p<0.001) were
significant prognostic factors for survival. CONCLUSION: Radiation therapy is
effective for relief of pelvic symptoms in patients with bone metastasis from
uterine cervical cancer. For patients who are expected to survive for more than 1
year, almost curative-dose irradiation to primary tumors is recommended.
PMID- 29374738
TI - Which Primary Organ Is Most Suitable for Performing Pulmonary Metastasectomy?
AB - BACKGROUND/AIM: The aim of this study was to assess the appropriateness of
pulmonary metastasectomy (MT), with a focus on the primary organ. PATIENTS AND
METHODS: The pathological status of the primary organ, outcome of the MT, disease
free interval, and overall survival were assessed. RESULTS: The primary organ was
the most significant prognostic factor analyzed, with a relative risk of 4.6 (95%
confidence interval: 1.69-12.56, p=0.003). Patients with colorectal carcinoma had
a better survival than those with another primary organ (p=0.003). The hazard
ratios by primary organ in comparison to colorectal carcinoma were 3.2 for head
and neck carcinoma, 3.5 for soft tissue sarcoma, 8.3 for hepatocellular
carcinoma, and 8.9 for urinary carcinoma. CONCLUSION: Colorectal carcinoma is
associated with a greater survival benefit than cancer of other primary organs.
Colorectal carcinoma cases should be more aggressively considered for MT than
other primary organ cases.
PMID- 29374739
TI - Long-term Favorable Outcomes of Radiofrequency Ablation for Hepatocellular
Carcinoma as an Initial Treatment: A Single-center Experience Over a 10-Year
Period.
AB - BACKGROUND: Radiofrequency ablation (RFA) is an alternative to hepatic resection
and one of the major therapeutic options for hepatocellular carcinoma (HCC).
Here, we investigated the long-term outcomes of RFA as an initial treatment for
HCC. PATIENTS AND METHODS: From January 2000 to December 2014, we treated 1,043
patients with RFA for HCC at the Kumamoto University Hospital; 327 of these
patients (31.4%) were treated for primary HCC. After exclusion of 75 patients who
underwent combined therapy, data for 252 patients were examined. We
retrospectively analyzed the long-term outcomes of RFA and identified factors of
poor prognosis. RESULTS: The median platelet count, prothrombin activity and
indocyanine green retention rate at 15 min were 9.1*104/MUl, 83% and 26%,
respectively. The 5-year overall survival (OS) rate was 69% and the median
survival time was 7.0 years. The 5-year recurrence-free survival (RFS) rate was
17%, and the median RFS was 2.0 years. A multivariate analysis revealed that age
>80 years [hazard ratio (HR)=7.76, p=0.011], tumor diameter >2 cm (HR=1.68,
p=0.047) and multiple tumors (HR=1.87, p=0.014) were independent prognostic
factors for poor OS. For RFS, des-gamma-carboxy prothrombin (DCP) >=40 mAU/ml
(HR=1.47, p=0.038) and multiple tumors (HR=1.63, p=0.0056) were independent
prognostic factors. Local recurrence at the ablated site occurred in 33/252
patients (13%), and in 33/372 tumors (8.9%). CONCLUSION: Although our cohort
included patients with relatively worse liver function, a favorable 5-year
survival rate 69% was obtained by RFA. DCP >=40 mAU/ml and multiple HCCs
contribute to a higher risk of recurrence. Patients with these factors should
therefore be followed-up intensively.
PMID- 29374740
TI - Microvascular Invasion in Small-sized Hepatocellular Carcinoma: Significance for
Outcomes Following Hepatectomy and Radiofrequency Ablation.
AB - BACKGROUND/AIM: The significance of microvascular invasion (MVI) of
hepatocellular carcinoma (HCC) after radiofrequency ablation (RFA) is unknown.
PATIENTS AND METHODS: We studied 149 patients with solitary small-sized HCC (<=3
cm) who underwent hepatectomy, and developed a predictive model of MVI using
independent factors related to the presence of MVI. The predictive model was
applied to 159 patients who underwent RFA, and their outcomes were examined.
RESULTS: A multivariate analysis revealed that alpha-fetoprotein >=15 ng/ml
(relative risk (RR) 3.05, p=0.02), des-gamma-carboxy prothrombin >=100 mAU/ml (RR
4.19, p=0.003), and tumor size >=2 cm (RR 3.37, p=0.03) were independent risk
factors of MVI. Among the patients who underwent RFA, the survival in patients
with risk factors 2-3 was significantly worse, and local recurrence was more
frequently obserbed than those with 0-1. CONCLUSION: When an HCC tumor is
expected to display MVI, RFA may not be suitable in terms of poorer survival and
local disease-control rates.
PMID- 29374741
TI - Visceral Adipose Tissue and Skeletal Muscle Index Distribution Predicts Severe
Pancreatic Fistula Development After Pancreaticoduodenectomy.
AB - BACKGROUND/AIM: In this study, we investigated the effect of body composition on
pancreatic fistula (PF) development after pancreaticoduodenectomy (PD). MATERIALS
AND METHODS: All consecutive patients with pancreatic and extrahepatic biliary
malignancy following PD who were treated between 2006 and 2016 were enrolled.
RESULTS: PF developed in 30.3% of cases (30/99 patients), including a grade B PF
in 25.3% of cases (25/99 patients) and a grade C PF in 5.1% of cases (5/99
patients). Univariate analysis identified that body mass index >=25 kg/m2,
visceral adipose tissue area (VATA)/skeletal muscle index (SMI) >=2.0, pancreatic
duct diameter <=3 mm, and drain amylase concentration (Amy) on postoperative day
(POD) 1 >=5000 U/L were significantly associated with PF (grade B, C) after PD.
Multivariate analysis indicated that VATA/SMI >=2.0 (p=0.009), pancreatic duct
diameter <=3 mm (p=0.003), and drain Amy on POD1 >=5000 U/L (p=0.032) were
independent risk factors for PF. CONCLUSION: Elevated VATA/SMI was the only
preoperative key factor for PF after PD.
PMID- 29374742
TI - Associations Between PET Textural Features and GLUT1 Expression, and the
Prognostic Significance of Textural Features in Lung Adenocarcinoma.
AB - BACKGROUND/AIM: We evaluated the association between positron emission tomography
(PET) textural features and glucose transporter 1 (GLUT1) expression level and
further investigated the prognostic significance of textural features in lung
adenocarcinoma. PATIENTS AND METHODS: We evaluated 105 adenocarcinoma patients.
We extracted texture-based PET parameters of primary tumors. Conventional PET
parameters were also measured. The relationships between PET parameters and GLUT1
expression levels were evaluated. The association between PET parameters and
overall survival (OS) was assessed using Cox's proportional hazard regression
models. RESULTS: In terms of PET textural features, tumors expressing high levels
of GLUT1 exhibited significantly lower coarseness, contrast, complexity, and
strength, but significantly higher busyness. On univariate analysis, the
metabolic tumor volume, total lesion glycolysis, contrast, busyness, complexity,
and strength were significant predictors of OS. Multivariate analysis showed that
lower complexity (HR=2.017, 95%CI=1.032-3.942, p=0.040) was independently
associated with poorer survival. CONCLUSION: PET textural features may aid risk
stratification in lung adenocarcinoma patients.
PMID- 29374743
TI - Circulating Tumor Cells Accurately Predicting Progressive Disease After Treatment
in a Patient with Non-small Cell Lung Cancer Showing Response on Scans.
AB - Lung cancer is the leading cause of cancer-related deaths worldwide. Most
patients present with advanced inoperable disease. Traditionally, responses to
treatments are evaluated using different imaging modalities, which can sometimes
be confusing. This is particularly more relevant in stage 3 disease where, after
radiation therapy, persistent tumors on scans can represent active disease or
scar tissue. We have been evaluating role of circulating tumor cells (CTCs) in
that setting. Here we present the case of a 68-year-old male with stage 3 disease
whose primary tumor responded to chemoradiotherapy on imaging, but whose CTC
count was higher than the pre-treatment value. The patient later developed liver
metastases. In this case, the CTC count more accurately predicted the patient's
prognosis and highlights the need for exploration of the CTC count as a tool
supplemental to imaging modalities.
PMID- 29374744
TI - Association Between Clinicopathological Features and Programmed Death Ligand 1
Expression in Non-small Cell Lung Cancer.
AB - BACKGROUND/AIM: Programmed cell death ligand 1 (PD-L1) expression is a predictive
marker for immunotherapy effects in advanced non-small cell lung cancer (NSCLC),
but its association with patient characteristics or specimens is controversial.
We aimed to retrospectively analyze the association of PD-L1 expression with
clinicopathological features of NSCLC patients. MATERIALS AND METHODS: The PD-L1
expression and clinicopathological features of NSCLC patients were assessed from
January 2017 to June 2017 in the Tokyo Metropolitan Cancer and Infectious
Diseases Centre, Komagome Hospital were reviewed (n=108). RESULTS: For PD-L1
expressions of 0% and >1%, multivariate analysis showed that lymph node sample
results were associated with positive PD-L1 expression. Archival samples and high
serum carcinoembryonic antigen (CEA) levels were associated with negative PD-L1
expression. Sample preservation time and CEA levels correlated with PD-L1
expression. CONCLUSION: Nodal metastasis, sample preservation time and CEA levels
were associated with PD-L1 expression in NSCLC.
PMID- 29374745
TI - Intensity and Pattern of Enhancement on CESM: Prognostic Significance and its
Relation to Expression of Podoplanin in Tumor Stroma - A Preliminary Report.
AB - BACKGROUND/AIM: It is possible that the degree of enhancement on contrast
enhanced spectral mammography (CESM), a new diagnostic method, might provide
prognostic information for breast cancer patients. Therefore, in a group of 82
breast cancer patients, we analyzed the prognostic significance of degree and
pattern of enhancement on CESM as well as its relation to: (a) breast cancer
immunophenotype (based on ER/PR/HER2 status) (b) podoplanin expression in cancer
stroma (lymphatic vessel density plus podoplanin-positivity of cancer-associated
fibroblasts), and (c) other histological parameters. MATERIALS AND METHODS: For
each tumor the intensity of enhancement on CESM was qualitatively assessed as
strong or weak/medium, while the pattern - as homogenous and heterogenous.
RESULTS: Herein we report, for the first time, that strong and heterogenous
enhancement on CESM was related to unfavorable disease-free survival of breast
cancer patients (p=0.005). Moreover, the strong enhancement was more frequent in
large and node-positive tumors (pT>1, pN>0) (p=0.002), as well as in carcinomas
with podoplanin-sparse stroma (p=0.008). CONCLUSION: Intensity and pattern of
enhancement on CESM might provide (together with the results of other diagnostic
imaging methods) not only the confirmation of presence or absence of tumor, but
also prognostic information.
PMID- 29374746
TI - Fibrinogen Levels Are Associated with Lymph Node Involvement and Overall Survival
in Gastric Cancer Patients.
AB - BACKGROUND/AIM: Combination of perioperative chemotherapy with gastrectomy with
D2 lymphadenectomy improves long-term survival in patients with gastric cancer.
The aim of this study was to investigate the predictive value of preoperative
levels of CRP, albumin, fibrinogen, neutrophil-to-lymphocyte ratio and routinely
used tumor markers (CEA, CA 19-9, CA 72-4) for lymph node involvement. MATERIALS
AND METHODS: This retrospective study was conducted in 136 patients who underwent
surgery between 2007 and 2015. Bivariable and multivariable analyses were
performed in order to identify important characteristics associated with the risk
of lymph node involvement. Kaplan-Meier survival curves and log-rank tests were
used to compare overall survival. RESULTS: Lymph node involvement was
significantly affected by preoperative fibrinogen (p=0.008) and albumin
(p=0.023). Poor clinical condition, T and N staging and fibrinogen level above
3.5 g/l were significantly associated with worse overall survival. CONCLUSION:
Preoperative fibrinogen and albumin levels are significantly associated with
lymphoid metastases in patients with gastric cancer.
PMID- 29374747
TI - Is Ki-67 of Diagnostic Value in Distinguishing Between Partial and Complete
Hydatidiform Moles? A Systematic Review and Meta-analysis.
AB - BACKGROUND/AIM: To demonstrate the value of Ki-67 in distinguishing between
partial and complete hydatidiform moles. MATERIALS AND METHODS: We searched
electronic databases included Medline, WOK, Cochrane Library and CNKI, through
January 24, 2015. Experts were consulted, and references from related articles
were examined. The meta-analysis was conducted with RevMan5.3, according to the
PRISMA guidelines. Mantel-Haenszel estimates were calculated and pooled under a
random effect model, with data expressed as odds ratio (OR) and 95% confidence
interval (CI). RESULTS: We analyzed eight trials with a total of 337 participants
who underwent uterine curettage and met the inclusion criteria. A significantly
higher expression of Ki-67 was observed in complete than in partial hydatidiform
moles (OR=3.28; 95%CI=1.80-5.96; p<0.0001). CONCLUSION: The Ki-67 expression was
higher in complete than in partial hydatidiform moles. Therefore, Ki-67 may be of
diagnostic value in distinguishing between partial and complete hydatidiform
moles. However, the present study had only a limited number of samples, so
investigation of a greater number of cases is needed to confirm this conclusion.
PMID- 29374748
TI - A Critical Appraisal of the Recent Reports on Sunbeds from the European
Commission's Scientific Committee on Health, Environmental and Emerging Risks and
from the World Health Organization.
AB - The European Commission's Scientific Committee on Health, Environmental and
Emerging Risks and the World Health Organization recently published reports which
concluded that a large proportion of melanoma and non-melanoma skin cancer is
attributable to sunbed use, and that there is no need to use sunbeds as there are
no health benefits and they are not needed to achieve an optimal vitamin D level.
The overall conclusion from both bodies was that there is no safe limit for UV
irradiance from sunbeds. We are, however, deeply concerned that these assessments
appear to be based on an incomplete, unbalanced and non-critical evaluation of
the literature. Therefore, we rebut these conclusions by addressing the
incomplete analysis of the adverse health effects of UV and sunbed exposure (what
is 'safe'?) and the censored representation of beneficial effects, not only but
especially from vitamin D production. The stance taken by both agencies is not
sufficiently supported by the data and in particular, current scientific
knowledge does not support the conclusion sunbed use increases melanoma risk.
PMID- 29374749
TI - A Review of the Evidence Supporting the Vitamin D-Cancer Prevention Hypothesis in
2017.
AB - The vitamin D-cancer prevention hypothesis has been evaluated through several
types of studies, including geographical ecological studies related to indices of
solar ultraviolet-B (UVB) dose (the primary source of vitamin D for most people),
observational studies related to UVB exposure or serum 25-hydroxyvitamin D
[25(OH)D] concentrations, laboratory studies of mechanisms, and clinical trials.
Each approach has strengths and limitations. Ecological studies indirectly
measure vitamin D production and incorporate the assumption that vitamin D
mediates the effect of UVB exposure. Findings from observational studies with
long follow-up times are affected by changing 25(OH)D concentrations over time.
Most clinical trials have been poorly designed and conducted, based largely on
guidelines for pharmaceutical drugs rather than on nutrients. However, three
clinical trials do support the hypothesis. In general, the totality of the
evidence, as evaluated using Hill's criteria for causality in a biological
system, supports the vitamin D-cancer prevention hypothesis.
PMID- 29374750
TI - Analytical Methods for Quantification of Vitamin D and Implications for Research
and Clinical Practice.
AB - A plethora of contradictory research surrounds vitamin D and its influence on
health and disease. This may, in part, result from analytical difficulties with
regard to measuring vitamin D metabolites in serum. Indeed, variation exists
between analytical techniques and assays used for the determination of serum 25
hydroxyvitamin D. Research studies into the effects of vitamin D on clinical
endpoints rely heavily on the accurate assessment of vitamin D status. This has
important implications, as findings from vitamin D-related studies to date may
potentially have been hampered by the quantification techniques used. Likewise,
healthcare professionals are increasingly incorporating vitamin D testing and
supplementation regimens into their practice, and measurement errors may be also
confounding the clinical decisions. Importantly, the Vitamin D Standardisation
Programme is an initiative that aims to standardise the measurement of vitamin D
metabolites. Such a programme is anticipated to eliminate the inaccuracies
surrounding vitamin D quantification.
PMID- 29374751
TI - Vitamin D: Current Guidelines and Future Outlook.
AB - Vitamin D is of public health interest because its deficiency is common and is
associated with musculoskeletal diseases, as well as extraskeletal diseases, such
as cancer, cardiovascular diseases, and infections. Several health authorities
have reviewed the existing literature and published nutritional vitamin D
guidelines for the general population. There was a wide consensus that serum 25
hydroxyvitamin D [25(OH)D] concentration should be used to assess vitamin D
status and intake, and that musculoskeletal, and not extraskeletal, effects of
vitamin D should be the basis for nutritional vitamin D guidelines. Recommended
target levels for 25(OH)D range from 25 to 50 nmol/l (10 to 20 ng/ml),
corresponding to a vitamin D intake of 400 to 800 International Units (10 to 20
MUg) per day. It is of concern that significant sections of the general
population do not meet these recommended vitamin D levels. This definitely
requires action from a public health perspective.
PMID- 29374752
TI - Photocarcinogenesis and Skin Cancer Prevention Strategies: An Update.
AB - UV radiation is acknowledged as the primary cause of photocarcinogenesis and
therefore contributes to the development of skin cancer entities such as squamous
cell carcinoma (SCC), basal cell carcinoma (BCC), and melanoma. Typical DNA
photoproducts and indirect DNA damage caused by reactive oxygen species are the
result of UV radiation. UV-induced DNA damage is repaired by nucleotide excision
repair, which consequently counteracts the development of mutations and skin
carcinogenesis. Tumour-suppressor genes are inactivated by mutation and growth
promoting pathways are activated leading to disruption of cell-cycle progression.
Depending on the skin cancer entity, some genes are more frequently affected than
others. In BCC mutations in Patched or Smoothened are common and affect the Sonic
hedgehog pathway. In SCC, cell regulator protein p53 (TP53) mutations are
prevalent, as well as mutations of the epidermal growth factor receptor (EGFR),
cyclin-dependent kinase 2A (CDKN2A), Rat sarcoma (RAS), or the tyrosine kinase
Fyn (FYN). UV-induced mutations in TP53 and CDKN2A are frequent in melanoma. UV
induced inflammatory processes also facilitate photocarcinogenesis. Recent
studies showed a connection between photocarcinogenesis and citrus consumption,
phytochemicals, alcohol consumption, hormone replacement therapy, as well as oral
contraceptive use. Preventative measures include adequate use of sun protection
and skin cancer screening at regular intervals, as well as the use of
chemopreventative agents.
PMID- 29374753
TI - Xeroderma Pigmentosum - Facts and Perspectives.
AB - Ultraviolet (UV)-induced DNA lesions are almost exclusively removed by the
nucleotide excision repair (NER) pathway, which is essential for prevention of
skin cancer development. Patients with xeroderma pigmentosum (XP) are extremely
sun sensitive due to a genetic defect in components of the NER cascade. They
present with first signs of premature skin aging at an early age, with a
considerably increased risk of developing UV-induced skin cancer. XP belongs to
the group of DNA repair defective disorders that are mainly diagnosed in the
clinic and in hindsight confirmed at the molecular level. Unfortunately, there
are no causative treatment options for this rare, autosomal-recessive disorder,
emphasizing the importance of an early diagnosis. Subsequently, UV-protective
measures such as the reduction of exposure to environmental UV and regular skin
cancer screenings should be undertaken to substantially improve prognosis as well
as the disease course.
PMID- 29374754
TI - The Impact of UV-dose, Body Surface Area Exposed and Other Factors on Cutaneous
Vitamin D Synthesis Measured as Serum 25(OH)D Concentration: Systematic Review
and Meta-analysis.
AB - BACKGROUND/AIM: To optimize public health campaigns concerning UV exposure, it is
important to characterize factors that influence UV-induced cutaneous vitamin D
production. This systematic review and meta-analysis investigated the impact of
different individual and environmental factors including exposed body surface
area (BSA), UVB dose and vitamin D status, on serum 25(OH)D concentration.
MATERIALS AND METHODS: In accordance with Preferred Reporting Items for
Systematic Reviews and Meta-analyses, and Meta-analysis of Observational studies
in Epidemiology guidelines, a systematic literature search was conducted
(MEDLINE; 01/1960-07/2016) investigating the impact of these factors on vitamin D
status after artificial UV exposure as main outcome measure. Summary mean
differences [and 95% confidence interval (CI)] were derived from random-effects
meta-analysis to account for possible heterogeneity across studies. Meta
regression was conducted to account for impact of UVB dose, baseline 25(OH)D
level and BSA. RESULTS: We identified 15 studies, with an estimated mean 25(OH)D
rise per standard erythema dose (SED) of 0.19 nmol/l (95% CI 0.11-0.26 nmol/l).
Results from meta-regression suggest a significant impact of UV dose and baseline
25(OH)D concentration on serum 25(OH)D level (p<0.01). Single UVB doses between
0.75 and 3 SED resulted in the highest rise of serum 25(OH)D per dose unit. BSA
exposed had a smaller, non-proportional, not significant impact. Partial BSA
exposure resulted in relatively higher rise compared to whole-body exposure (e.g.
exposure of face and hands caused an 8-fold higher rise of serum 25(OH)D
concentration/SED/1% BSA compared to whole-body exposure). Our findings support
previous reports, estimating that the half-life of serum 25(OH)D varies depending
on different factors. CONCLUSION: Our results indicate that partial BSA exposure
(e.g. 10%) with moderate UV doses (e.g. 1 SED) is effective in generating or
maintaining a healthy vitamin D status. However, due to limitations that include
possible confounding factors such as skin type, which could not be considered,
these findings should be interpreted with caution.
PMID- 29374755
TI - The Winding Path Towards an Inverse Relationship Between Sun Exposure and All
cause Mortality.
AB - For a long time, skin cancer has been known to be related to extensive UV
exposure. New emerging data have, however, shown low UV exposure/low vitamin D
levels to be related to increased mortality rate due to skin cancer. In addition,
low sun exposure habits in regions of low solar intensity have been shown to be a
major risk factor for all-cause mortality in the same range as that for smoking.
This is mainly due to lower all-cause mortality due to cardiovascular disease
(CVD) and non-CVD/non-cancer disease among women with active sun exposure. Women
with active sun exposure habits were estimated to have a 1- to 2-year longer life
expectancy during the Melanoma in Southern Sweden study interval. These findings
are in line with those to be expected from an evolutionary perspective and
research findings, but in opposition to present guidelines and recommendations.
PMID- 29374756
TI - Vitamin D Status, Supplementation and Cardiovascular Disease.
AB - This review was conducted to assess the dose-response relationship between
vitamin D and cardiovascular disease (CVD) outcomes in humans: Prospective cohort
studies indicate a multivariable-adjusted non-linear increase in CVD events at
levels of circulating 25-hydroxyvitamin D [25(OH)D] of less than 50 nmol/l.
However, Mendelian randomization studies do not support these findings. Although
meta-analyses of randomized controlled trials (RCTs) do not rule out small
beneficial vitamin D effects on surrogate parameters of CVD risk, such as
arterial stiffness, at vitamin D doses equivalent to 1,000-5,333 IU daily, other
meta-analyses of RCTs show no reduction in CVD events by vitamin D
supplementation. Notably, some cohort studies and a recent RCT provide evidence
for harmful effects of vitamin D on CVD outcomes at 25(OH)D levels in excess of
100 nmol/l. In conclusion, more studies in individuals with a deficient 25(OH)D
level (i.e. <30 nmol/l) are needed, but caution is necessary regarding
supplementation with vitamin D doses achieving a 25(OH)D level which exceeds 100
nmol/l.
PMID- 29374757
TI - Solarium Use and Risk for Malignant Melanoma: Meta-analysis and Evidence-based
Medicine Systematic Review.
AB - BACKGROUND: There is an ongoing debate whether solarium use (indoor
tanning/artificial UV) may increase the risk for primary cutaneous malignant
melanoma. AIM: A systematic literature search was conducted using MEDLINE and ISI
Web of Science. Included studies were critically assessed regarding their risk of
bias, and methodological shortcomings. Levels of evidence and grades of
recommendation were determined according to guidelines of the Oxford Centre for
Evidence-Based Medicine. Summary risk estimates and 95% confidence intervals for
four different outcomes (ever exposure, exposure at younger age, high/low
exposure vs. non-exposure) were derived from random-effects meta-analyses to
account for possible heterogeneity across studies. RESULTS: Two cohort and twenty
nine case-control studies were eligible. Overall, quality of included studies was
poor as a result of severe limitations, including possible recall and selection
bias, and due to lack of interventional trials. Summary risk estimates suggested
a weak association (odds ratio (OR)=1.19, 95% confidence interval (CI)=1.04-1.35,
p=0.009) for ever-exposure to UV radiation from a solarium with melanoma risk.
However, sensitivity analyses did not show an association for studies from Europe
(OR=1.10; 95%CI=0.95-1.27, p=0.218), studies with low risk of bias (OR=1.15;
95%CI=0.94-1.41, p=0.179), and studies conducted after 1990 (OR 1.09; 95%CI=0.93
1.29, p=0.295). Moreover, moderate associations were found for first exposure to
UV radiation from a solarium at younger age (<25 years) and high exposure (>10
sessions in lifetime) with melanoma risk. However, for all outcomes analyzed,
overall study quality and resulting levels of evidence (3a-) and grades of
recommendation (D) were low due to lack of interventional studies and severe
limitations including unobserved or unrecorded confounding. CONCLUSION: Current
scientific knowledge is mainly based on observational studies with poor quality
data, which report associations but do not prove causality. At present, there is
no convincing evidence that moderate/responsible solarium use increases melanoma
risk.
PMID- 29374758
TI - Effects of Combined Treatment with Vitamin D and COX2 Inhibitors on Breast Cancer
Cell Lines.
AB - BACKGROUND: Vitamin D is known for its anticancer potential. Prostaglandin E2
(PGE2) is a proliferative and inflammation-activating agent. The production of
PGE2 is dependent on the activity of cyclooxygenase-2 (COX2). A link between
vitamin D and PGE2 metabolism was shown recently. MATERIALS AND METHODS: In MDA
MB-231 and MCF-7 breast cancer cell lines, we investigated the influence of
calcitriol and the COX2 inhibitor celecoxib on cell growth via the MTT test, as
well as on the protein and mRNA expression of COX2 using western blot and
quantitative real-time polymerase chain reaction (qRT-PCR). RESULTS: The
proliferation of MCF-7 and MDA-MB-231 was inhibited by both calcitriol and the
COX2 inhibitor celecoxib and even more strongly by their combination. Moreover,
calcitriol inhibited COX2 protein expression in MDA-MB-231 cells, as well as COX2
mRNA expression in both cell lines. CONCLUSION: The combination of calcitriol and
celecoxib demonstrated a synergistic growth-inhibitory effect in breast cancer
cell lines.
PMID- 29374759
TI - Tandem Affinity Purification and Nano HPLC-ESI-MS/MS Reveal Binding of Vitamin D
Receptor to p53 and other New Interaction Partners in HEK 293T Cells.
AB - While nuclear cofactors that contribute to vitamin D receptor (VDR)-mediated gene
transcription, including retinoid X receptors, nuclear co-activators and co
repressors, have been extensively investigated, little is known about cytoplasmic
VDR-binding partners and the physiological relevance of their interaction. To
gain new insight into this topic, we isolated whole-cell protein extracts of 1,25
dihydroxyvitamin D3 stimulated and UV-B-irradiated vs. non-irradiated HEK 293T
cells transfected with a plasmid called pURB VDR C-Term TAP tag. VDR complex was
purified by tandem affinity purification (TAP). The nuclear tumor-suppressor
protein p53 and its negative regulator novel INHAT repressor (NIR), in addition
to 43 other nuclear or cytoplasmatic VDR binding partners, were identified using
nano high-performance liquid chromatography-electrospray ionization tandem mass
spectrometric analysis. VDR binding to p53 was confirmed by western blot
analysis. Future studies are required to further elucidate the functional
significance of these interactions.
PMID- 29374760
TI - Partial Body UV Exposure in Chronic Kidney Disease and Extrarenal Vitamin D
Metabolism.
AB - BACKGROUND/AIM: Exposure of the skin to sunshine is the major natural source of
vitamin D. In order to imitate this natural production of vitamin D for patients
with chronic kidney disease, hemodialysis patients were exposed three times a
week to radiation of the front part of both legs to normalize the vitamin D
status. PATIENTS AND METHODS: Partial body UVB irradiation was performed during
the routine dialysis session. Twenty-two patients took part, with a mean age of
61.7 (range=35-81) years. RESULTS: Serum levels of 25(OH)D3 and 1,25(OH)2D3
increased into the mid normal range. Intact parathyroid hormone decreased by 25%
and osteocalcin by 45%. 24-Hour blood-pressure monitoring demonstrated decreases
in systolic and diastolic blood pressure. CONCLUSION: Partial body exposure to
UVB radiation normalized not only the serum level of 25(OH)D3, but also that of
1,25(OH)2D3, which resulted in a significant decrease in parathyroid hormone,
osteocalcin levels, and also in blood pressure. Sunshine imitating UVB exposure
utilizes the capacity of the skin to convert extrarenally vitamin D3 to 25(OH)D3
and 1,25(OH)2D3.
PMID- 29374761
TI - Borderline positive antineutrophil cytoplasmic antibodies (ANCA)-PR3/MPO
detection in a large cohort tertiary center: lessons learnt from a real-life
experience.
AB - BACKGROUND: Enzyme-linked immunosorbent assay (ELISA) and indirect
immunofluorescence (IIF) are the best strategies for antineutrophil cytoplasmic
antibodies (ANCA) detection. In a minority of subjects, ELISA-based ANCA testing
may result in a borderline positive titre. Therefore, we assessed the clinical
significance of such a result. METHODS: This is a retrospective study, which
included all subjects screened for ANCA subtypes (myeloperoxidase (MPO) or
proteinase-3 (PR3)) with subsequent identification of borderline positive
results, as determined by ELISA and retested using IIF. The demographic, clinical
and laboratory data of subjects with borderline positive ANCA test results were
extracted from their medical records. RESULTS: A total of 14,555 PR3/MPO-ANCA
tests were performed with ELISA during the study period (2006-2016). Of the
14,555 PR3-ANCA antibody tests that were performed, 94 were borderline positive
(titre 0.9-1.1), and of 14,555 MPO-ANCA antibody tests, 43 were borderline
positive (titre 0.9-1.1). The male-to-female ratio was 1:1.08 and the mean age
was 50.95+/-21.79 years. Four MPO-ANCA (9.30%) and 11 PR3-ANCA (11.70%) antibody
borderline samples resulted positive on IIF testing. Subjects with borderline
positive MPO-ANCA were found to have a poorer outcome in terms of renal failure
and the requirement of dialysis. CONCLUSIONS: Subjects with borderline positive
MPO-ANCA and positive p-ANCA (IIF) seem to have a less favorable outcome.
Physicians should be aware of these findings and possibly perform a closer follow
up and routine screening for these subjects.
PMID- 29374762
TI - Late presentation of glycogen storage disease types Ia and III in children with
short stature and hepatomegaly.
AB - BACKGROUND: Glycogen storage diseases (GSDs) are a collection of disorders
related to glycogen synthesis or degradation that classically present in infancy
with hypoglycemia, failure to thrive and hepatomegaly; however, their phenotype
can vary significantly. CASE PRESENTATION: We present the cases of two children,
5 years old and 3.5 years old, who were referred to endocrinology for short
stature. They were ultimately found to have hepatomegaly, fasting hypoglycemia,
mild elevation of transaminases and ketosis. Laboratory and genetic studies were
consistent with double heterozygosity for GSDs Ia and III, with one novel
mutation discovered in each patient. Nightly, both children were treated with
cornstarch, which resulted in resolution of laboratory abnormalities and
improvement in their growth velocity. These cases are unusual in that GSD was
diagnosed relatively late in life in patients with no previous history of severe
hypoglycemia. CONCLUSIONS: They highlight the importance of considering glycogen
storage disease in a child presenting with short stature, as it is a treatable
disease that can be diagnosed non-invasively with genetic testing.
PMID- 29374763
TI - Ultrasonographic assessment of pubertal breast development in obese children:
compliance with the clinic.
AB - BACKGROUND: The aim of the study was to determine the compliance with the
clinical and ultrasonographic staging of pubertal breast development in obese
children. METHODS: Fifty-two obese children with Tanner stage 2 and stage 3
breast development accompanied by at least one pubertal clinical finding were
included in the study. The staging of breast development was also performed
according to the ultrasonographic morphostructural appearance. The subjects were
then divided into subgroups according to their clinical and ultrasonographic
breast stages. The stages given by both methods were compared for consistency
with the hormonal values and other radiological (uterus long diameter, ovary
sizes) findings. RESULTS: The correlation between the clinical and
ultrasonographic staging of pubertal breast development was determined to be weak
(r=0.19). Estradiol levels, uterus long diameter and ovary sizes were
significantly increased when the ultrasonographic stage increased among the
subjects with clinically similar breast development stage. However, no
statistical difference was determined in these parameters among the subjects with
ultrasonographically similar but clinically different breast development.
CONCLUSIONS: It was shown that the ultrasonographic staging of breast development
could provide more accurate and objective data due to the possible mistakes
caused in the breast development staging of obese children by their adipose
tissue.
PMID- 29374765
TI - Teaching removable partial denture design: 'METACIEL', a novel digital procedure.
PMID- 29374764
TI - Perception of educational environment among medical students in Thailand.
AB - Objectives: To examine the perception of educational environment among clinical
year students in Thailand using Dundee ready education environment measure
(DREEM) and identify factors associated with the DREEM scores. Methods: A total
of 2,467 fourth- to sixth-year students from 34 teaching hospitals nationwide
responded to a Thai version of DREEM questionnaire. Data on each student's sex,
year of study, size of teaching hospitals and GPAX were collected. Mean total
DREEM scores and subscales were calculated and then compared across groups using
t-test and one-way ANOVA. Results: The overall student perception on educational
environment was 'more positive than negative,' with the mean total DREEM score of
131.1 (SD=17.4). Similar findings were observed in all subscales. Mean total
DREEM scores were lower in medium-size than small- and large-size teaching
hospitals 129.9 (SD = 18.1), 131.9 (SD = 17.5) and 131.6 (SD=16.4) respectively
(F (2,2422)=3.21, p=0.04). Sex and years of study was associated with certain
DREEM subscales. Conclusions: Clinical year medical students in Thailand were
satisfied with their academic learning environment, with varying perceptions
across different size of teaching hospitals. Repeat assessment of educational
environment of medical schools over time is needed for monitoring changes after
specific educational interventions being applied.
PMID- 29374766
TI - 2017 Kermanshah Earthquake; Lessons Learned.
PMID- 29374767
TI - Usnic Acid Induces Cycle Arrest, Apoptosis, and Autophagy in Gastric Cancer Cells
In Vitro and In Vivo.
AB - BACKGROUND Usnic acid (UA), a secondary metabolite, is mainly derived from
certain lichen species. Growing evidence suggests that UA has antitumor, anti
oxidative, anti-inflammatory, and other activities in a variety of cancer cells.
However, the antitumor effect of UA in gastric cancer cells (GC) is unclear. The
aim of this investigation was to assess the antitumor effect of UA in GC cells in
vitro and in vivo, and to explore the underlying mechanisms. MATERIAL AND METHODS
Cell proliferation was measured by CCK8 assay, the arrest of cell cycle was
assessed by flow cytometry, and cellular apoptosis was observed via Hoechst 33258
staining assay. Expression levels of apoptosis-related proteins (activated
caspase-3 and PARP, Bax, Bcl2) and autophagy-associated proteins (LC3-II and p62)
were verified through Western blot analysis. H&E staining and
immunohistochemistry were carried out in the subcutaneously implanted BGC823
tumor model in a nude mouse experiment. RESULTS In vitro, we demonstrated that UA
was significantly effective in inducing morphological changes, inhibiting the
cell proliferation dose- and time-dependently, arresting the cell cycle phase,
promoting cancer cellular apoptosis, and inducing autophagy activity. In vivo,
compared to mice treated with 5-FU alone, UA treatment was significantly more
effective in suppressing the tumor growth without affecting body weight, and in
regulating the amount of Bax and Bcl2 in tumor tissues. CONCLUSIONS UA induces
cell cycle arrest and autophagy and exerts anti-proliferative and apoptotic
effects by modulating expression of apoptosis-related proteins in stomach
neoplasm cells, and has a better antitumor effect compared to 5-Fu in the
xenograft model.
PMID- 29374768
TI - Screening of Potential Genes and Transcription Factors of Postoperative Cognitive
Dysfunction via Bioinformatics Methods.
PMID- 29374769
TI - Fournier's Gangrene: Clinical Presentation of 13 Cases.
AB - BACKGROUND Fournier's gangrene (FG) is a fulminant form of infective,
polymicrobial, necrotizing fasciitis of the perineal, genital, and perianal
regions. It commonly affects men, but women and children may also develop this
type of tissue necrosis. MATERIAL AND METHODS This study is a retrospective
analysis of the management of 13 cases of Fournier's gangrene, diagnosed from
among about 45 000 patients (men, women, and children) treated in the Department
of General, Oncological, and Functional Urology (Medical University of Warsaw)
from 1995 to 2013. All patients with Fournier's gangrene underwent adequate
surgical debridement of the necrotic tissues. Additional procedures (suprapubic
cystostomy and orchiectomy) were necessary in 10 out of 13 (77.0%) patients.
Seven out of 13 (53.8%) patients required subsequent reconstructive surgery of
the scrotum. RESULTS All 13 patients were males, with a median age of 59.6 years
(range: 42-68 years). The average hospital stay was 31.9 days (range: 16-46
days). None of our patients died due to Fournier's gangrene. Bacteriological
cultures of samples from the wounds showed polymicrobial flora, including the
following genera of aerobes and anaerobes: Escherichia, Proteus, Klebsiella,
Moraxella, Gemella, Enterococcus, Streptococcus, Staphylococcus, Bacteroides,
Pseudoflavonifractor, Parabacteroides, Porphyromonas, Prevotella, Peptoniphilus,
Peptostreptococcus, Actinomyces, Collinsella, and Lactobacillus. CONCLUSIONS
Favorable outcome of FG treatment with low morbidity and no mortality can be
achieved with rapid diagnosis, urgent surgical debridement of all necrotic
tissues, and broad-spectrum empirical antimicrobial therapy, usually with
combined antibiotics, against aerobic and anaerobic bacteria. Prevention of
uroseptic shock by treating localized infection is compulsory.
PMID- 29374770
TI - A Comparison of Concentrations and Congener Patterns of Polybrominated Diphenyl
Ethers in Seasonally Sampled Outdoor Air from a Farmland Area in Guangzhou, South
China.
AB - To investigate the concentration and congener patterns of polybrominated diphenyl
ethers (PBDE) in outdoor air from farmland area, air samples were collected using
passive and active sample methods from April to November 2012, in an e-waste
dismantling town in the Guangzhou region of South China. The sum of gaseous and
particulate PBDE concentrations averaged 74.26 pg/m3 and ranged between 3.24 and
247.30 pg/m3, while the mean gaseous and particulate PBDEs were 19.20 and 55.07
pg/m3, respectively. The PBDE level was comparable to those in urban air of non
electric waste areas, but much lower than those in both indoor and outdoor air of
e-waste dismantling workshop area. Dominant congeners were BDE-47 and BDE-28 for
the gaseous phase and BDE-209 and BDE-99 for the particulate phase. Relationship
analysis showed that soil PBDEs were more significantly correlated with passive
samples than with active samples, indicating that passive sample pattern could
capture the accumulative effect of the sampling period and better represent the
extent of soil contamination.
PMID- 29374771
TI - Lower risk of hip fractures among Swedish women with large hips?
AB - : In women, a large hip circumference (HC) related to lower hip fracture risk,
independent of age and regardless if HC was measured long before or closer to the
fracture. In older women, body mass index (BMI) explained the protection.
INTRODUCTION: In postmenopausal women, HC has been suggested to inversely
associate with hip fracture while this has not been investigated in middle-aged
women. We examined the association between HC, measured at two different time
points, and hip fracture in a Swedish female population-based sample monitored
for incident hip fractures over many years. METHODS: Baseline HC, measured in
1968 or 1974 (n = 1451, mean age 47.6 years), or the HC measures that were the
most proximal before event or censoring (n = 1325, mean age 71.7 years), were
used to assess the effects of HC on hip fracture risk in women participating in
the Prospective Population Study of Women in Gothenburg. HC was parameterized as
quintiles with the lowest quintile (Q1) as reference. Incident hip fractures over
45 years of follow-up (n = 257) were identified through hospital registers.
RESULTS: Higher quintiles of HC at both baseline and proximal to event were
inversely associated with hip fracture risk in age-adjusted models, but only
baseline HC predicted hip fractures independently of BMI and other covariates (HR
(95% CI) Q2, 0.85 (0.56-1.27); Q3, 0.59 (0.36-0.96); Q4, 0.57 (0.34-0.96); Q5,
0.58 (0.31-1.10)). CONCLUSIONS: A large HC is protective against hip fracture in
midlife and in advanced age, but the association between proximal HC and hip
fracture was explained by concurrent BMI suggesting that padding was not the main
mechanism for the association. The independent protection seen in middle-aged
women points to other mechanisms influencing bone strength.
PMID- 29374772
TI - A kinetic view of acid-mediated tumor invasion.
AB - According to the acid-mediated tumor invasion hypothesis, tumor-induced
alteration of microenvironmental pH may provide a simple, yet complete mechanism
for tumor invasion. The acid-mediation hypothesis analyzes the tumor growth and
invasion process from a reaction-diffusion system perspective, where it
incorporates the H+ ion concentration as a reaction factor and adds density
dependent diffusion parameters to the reaction terms, yielding independent
reaction-diffusion equations for the normal, tumor, and acid populations. In this
article, we apply the dynamical stability theory to the acid-mediation
hypothesis. For reasonable biological parameters, we study the fixed points
central to the model and their stability by calculating the eigenvalues of the
Jacobian matrix of the partial differential equations that represent how these
three populations evolve with time. For the case where a malignant behavior has
not already taken place yet (the time rates of change of the densities of the
three populations are equal to zero), our numerical results convey two different,
yet possible configurations in three-dimensional space: stable and unstable
dynamical equilibriums, and we discuss possible prospective trajectories for the
normal and tumor populations starting from each configuration. Moreover, we
discuss potential applications of our approach.
PMID- 29374773
TI - Palliative cares and the intensivist: not confined to the intensive care unit.
PMID- 29374774
TI - The ALK receptor in sympathetic neuron development and neuroblastoma.
AB - The ALK gene encodes a tyrosine kinase receptor characterized by an expression
pattern mainly restricted to the developing central and peripheral nervous
systems. In 2008, the discovery of ALK activating mutations in neuroblastoma, a
tumor of the sympathetic nervous system, represented a breakthrough in the
understanding of the pathogenesis of this pediatric cancer and established
mutated ALK as a tractable therapeutic target for precision medicine. Subsequent
studies addressed the identity of ALK ligands, as well as its physiological
function in the sympathoadrenal lineage, its role in neuroblastoma development
and the signaling pathways triggered by mutated ALK. This review focuses on these
different aspects of the ALK biology and summarizes the various therapeutic
strategies relying on ALK inhibition in neuroblastoma, either as monotherapies or
combinatory treatments.
PMID- 29374775
TI - Serogroup-level resolution of the "Super-7" Shiga toxin-producing Escherichia
coli using nanopore single-molecule DNA sequencing.
AB - DNA sequencing and other DNA-based methods are now broadly used for detection and
identification of bacterial foodborne pathogens. For the identification of
foodborne bacterial pathogens, taxonomic assignments must be made to the species
or even subspecies level. Long-read DNA sequencing provides finer taxonomic
resolution than short-read sequencing. Here, we demonstrate the potential of long
read shotgun sequencing obtained from the Oxford Nanopore Technologies (ONT)
MinION single-molecule sequencer, in combination with the Basic Local Alignment
Search Tool (BLAST) with custom sequence databases, for foodborne pathogen
identification. A library of mixed DNA from strains of the "Super-7" Shiga toxin
producing Escherichia coli (STEC) serogroups (O26, O45, O103, O111, O121, O145,
and O157[:H7]) was sequenced using the ONT MinION resulting in 44,245 long-read
sequences. The ONT MinION sequences were compared to a custom database composed
of the E. coli O-antigen gene clusters. A vast majority of the sequence reads
were from outside of the O-antigen cluster and did not align to any sequences in
the O-antigen database. However, 58 sequences (0.13% of the total sequence reads)
did align to a specific Super-7 O-antigen gene cluster, with each O-antigen
cluster aligning to at least four sequence reads. BLAST analysis against a custom
whole-genome database revealed that 5096 (11.5%) of the MinION sequence reads
aligned to one and only one sequence in the database, of which 99.6% aligned to a
sequence from a "Super-7" STEC. These results demonstrate the ability of the
method to resolve STEC to the serogroup level and the potential general utility
of the MinION for the detection and typing of foodborne pathogens.
PMID- 29374776
TI - Decoding auditory spatial and emotional information encoding using multivariate
versus univariate techniques.
AB - Emotion can have diverse effects on behaviour and perception, modulating function
in some circumstances, and sometimes having little effect. Recently, it was
identified that part of the heterogeneity of emotional effects could be due to a
dissociable representation of emotion in dual pathway models of sensory
processing. Our previous fMRI experiment using traditional univariate analyses
showed that emotion modulated processing in the auditory 'what' but not 'where'
processing pathway. The current study aims to further investigate this
dissociation using a more recently emerging multi-voxel pattern analysis
searchlight approach. While undergoing fMRI, participants localized sounds of
varying emotional content. A searchlight multi-voxel pattern analysis was
conducted to identify activity patterns predictive of sound location and/or
emotion. Relative to the prior univariate analysis, MVPA indicated larger
overlapping spatial and emotional representations of sound within early secondary
regions associated with auditory localization. However, consistent with the
univariate analysis, these two dimensions were increasingly segregated in late
secondary and tertiary regions of the auditory processing streams. These results,
while complimentary to our original univariate analyses, highlight the utility of
multiple analytic approaches for neuroimaging, particularly for neural processes
with known representations dependent on population coding.
PMID- 29374777
TI - Single cell analysis of Crohn's disease patient-derived small intestinal
organoids reveals disease activity-dependent modification of stem cell
properties.
AB - BACKGROUND: Intestinal stem cells (ISCs) play indispensable roles in the
maintenance of homeostasis, and also in the regeneration of the damaged
intestinal epithelia. However, whether the inflammatory environment of Crohn's
disease (CD) affects properties of resident small intestinal stem cells remain
uncertain. METHODS: CD patient-derived small intestinal organoids were
established from enteroscopic biopsy specimens taken from active lesions (aCD
SIO), or from mucosa under remission (rCD-SIO). Expression of ISC-marker genes in
those organoids was examined by immunohistochemistry, and also by microfluid
based single-cell multiplex gene expression analysis. The ISC-specific function
of organoid cells was evaluated using a single-cell organoid reformation assay.
RESULTS: ISC-marker genes, OLFM4 and SLC12A2, were expressed by an increased
number of small intestinal epithelial cells in the active lesion of CD. aCD-SIOs,
rCD-SIOs or those of non-IBD controls (NI-SIOs) were successfully established
from 9 patients. Immunohistochemistry showed a comparable level of OLFM4 and
SLC12A2 expression in all organoids. Single-cell gene expression data of 12 ISC
markers were acquired from a total of 1215 cells. t-distributed stochastic
neighbor embedding analysis identified clusters of candidate ISCs, and also
revealed a distinct expression pattern of SMOC2 and LGR5 in ISC-cluster
classified cells derived from aCD-SIOs. Single-cell organoid reformation assays
showed significantly higher reformation efficiency by the cells of the aCD-SIOs
compared with that of cells from NI-SIOs. CONCLUSIONS: aCD-SIOs harbor ISCs with
modified marker expression profiles, and also with high organoid reformation
ability. Results suggest modification of small intestinal stem cell properties by
unidentified factors in the inflammatory environment of CD.
PMID- 29374778
TI - Respiratory deficiency in yeast mevalonate kinase deficient may explain MKD
associate metabolic disorder in humans.
AB - Mevalonate kinase deficiency (MKD) an orphan drug rare disease affecting humans
with different clinical presentations, is still lacking information about its
pathogenesis; no animal or cell model mimicking the genetic defect, mutations at
MVK gene, and its consequences on the mevalonate pathway is available. Trying to
clarify the effects of MVK gene impairment on the mevalonate pathway we used a
yeast model, the erg12-d mutant strain Saccharomyces cerevisiae (orthologous of
MKV) retaining only 10% of mevalonate kinase (MK) activity, to describe the
effects of reduced MK activity on the mevalonate pathway. Since shortage of
isoprenoids has been described in MKD, we checked this observation using a
physiologic approach: while normally growing on glucose, erg12-d showed growth
deficiency in glycerol, a respirable carbon source, that was not rescued by
supplementation with non-sterol isoprenoids, such as farnesol, geraniol nor
geranylgeraniol, produced by the mevalonate pathway. Erg12-d whole genome
expression analysis revealed specific downregulation of RSF2 gene encoding
general transcription factor for respiratory genes, explaining the absence of
growth on glycerol. Moreover, we observed the upregulation of genes involved in
sulphur amino acids biosynthesis that coincided with the increasing in the amount
of proteins containing sulfhydryl groups; upregulation of ubiquinone biosynthesis
genes was also detected. Our findings demonstrated that the shortage of
isoprenoids is not the main mechanism involved in the respiratory deficit and
mitochondrial malfunctioning of MK-defective cells, while the scarcity of
ubiquinone plays an important role, as already observed in MKD patients.
PMID- 29374780
TI - Wound complications after ankle surgery. Does compression treatment work? A
randomized, controlled trial.
AB - PURPOSE: Infection rates following ankle fractures are as high as 19% in selected
material and is the most common complication following this type of surgery, with
potential catastrophic consequences. The purpose of this study was to test a
regime of intermittent pneumatic compression, a compression bandage and a
compression stocking and its effect on the rate of wound complications. The
hypothesis was that compression could lower the infection rate from 20 to 5%.
METHODS: We performed a randomized, controlled, non-blinded trial, including 153
adult patients with unstable ankle fractures. Patients were randomized to either
compression (N = 82) or elevation (N = 71). Patients with open fracture, DVT,
pulmonary embolism, dementia, no pedal pulse, or no Danish address were excluded.
Primary endpoint was infection. Secondary endpoints were necrosis and wound
dehiscence. RESULTS: After 2 weeks, 1.4% (0.0;7.6) in the compression group had
infection compared to 4.6% (1.0;12.9) in the control group, p = 0.35. The rate of
necrosis after 2 weeks was 7.0% (95% CI 2.3;15.7) in the compression group
compared with 26.2% (95% CI 16.0;38.5) in the elevation group, p = 0.004. No
difference was shown regarding wound dehiscence. CONCLUSION: Based on this study,
we cannot conclude if compression therapy prevents infection or not. This is
mainly due to under-powering of the study. The effect on necrosis was in favor of
compression, but the trial was not powered to show a difference regarding this
endpoints and the result is thus hypothesis generating. Further research is
needed before a thorough recommendation on the use of compression treatment that
can be made.
PMID- 29374779
TI - The Global Spine Care Initiative: a narrative review of psychological and social
issues in back pain in low- and middle-income communities.
AB - PURPOSE: The purpose of this review was to describe psychological and social
factors associated with low back pain that could be applied in spine care
programs in medically underserved areas and low- and middle-income countries.
METHODS: We performed a narrative review of cohort, cross-sectional, qualitative
and mixed methods studies investigating adults with low back pain using Medline
and PubMed were searched from January 2000 to June 2015. Eligible studies had at
least one of the following outcomes: psychological, social, psychosocial, or
cultural/ethnicity factors. Studies met the following criteria: (1) English
language, (2) published in peer-reviewed journal, (3) adults with spinal
disorders, (4) included treatment, symptom management or prevention. RESULTS: Out
of 58 studies, 29 were included in this review. There are few studies that have
evaluated psychological and social factors associated with back pain in low- and
middle-income communities, therefore, adapting recommendations from other regions
may be needed until further studies can be achieved. CONCLUSION: Psychological
and social factors are important components to addressing low back pain and
health care providers play an important role in empowering patients to take
control of their spinal health outcomes. Patients should be included in
negotiating their spinal treatment and establishing treatment goals through
careful listening, reassurance, and information providing by the health care
provider. Instruments need to be developed for people with low literacy in
medically underserved areas and low- and middle-income countries, especially
where psychological and social factors may be difficult to detect and are poorly
addressed. These slides can be retrieved under Electronic Supplementary Material.
PMID- 29374781
TI - Evaluation of the clavicular tunnel placement on coracoclavicular ligament
reconstruction for acromioclavicular dislocations: a finite element analysis.
AB - PURPOSE: The two-tunnel coracoclavicular ligament reconstruction (CLR) technique
is one of the treatment approaches commonly used in the surgical treatment of
acromioclavicular (AC) injuries. Clavicular tunnel malposition is one of the
major causes of failure in coracoclavicular ligament reconstruction. The main
purpose of this study was to investigate the effects of clavicular tunnel
placement on tendon loading in the CLR technique with finite element analysis.
METHODS: Models of clavicle and scapula were constructed using computerized
tomography images. Two clavicular bone tunnel reconstruction models were created
with the tendon passing through the conoid and trapezoid tunnels. Four models
based on the tunnel ratio (TR) method and defined as primary, anatomic,
medialized, and lateralized were constructed to evaluate the effect of tunnel
placement on loading conditions during tendon graft. All models were loaded by
insertion from the trapezius and sternocleidomastoid muscles. The loading on the
tendon were evaluated with the finite element analysis. RESULTS: The highest load
value measured on the tendon was in the anatomic model (0.789 kPa), and the
lowest load value (0.598 kPa) was measured in the lateralized tunnel model. The
load value of the primary model was (0.657 kPa), and the medialized model's value
was (0.752 kPa). CONCLUSIONS: In two-tunnel CLR technique, tendon loadings are
related to tunnel placement. Medialized tunnel placement increases tendon
loading. The TR method may be an appropriate option for determining tunnel
placement.
PMID- 29374782
TI - Epstein-Barr virus strain heterogeneity impairs human T-cell immunity.
AB - The Epstein-Barr virus (EBV) establishes lifelong infections in > 90% of the
human population. Although contained as asymptomatic infection by the immune
system in most individuals, EBV is associated with the pathogenesis of
approximately 1.5% of all cancers in humans. Some of these EBV-associated tumors
have been successfully treated by the infusion of virus-specific T-cell lines.
Recent sequence analyses of a large number of viral isolates suggested that
distinct EBV strains have evolved in different parts of the world. Here, we
assessed the impact of such sequence variations on EBV-specific T-cell immunity.
With the exceptions of EBNA2 and the EBNA3 family of proteins, an overall low
protein sequence disparity of about 1% was noted between Asian viral isolates,
including the newly characterized M81 strain, and the prototypic EBV type 1 and
type 2 strains. However, when T-cell epitopes including their flanking regions
were compared, a substantial proportion was found to be polymorphic in different
EBV strains. Importantly, CD4+ and CD8+ T-cell clones specific for viral epitopes
from one strain often showed diminished recognition of the corresponding epitopes
in other strains. In addition, T-cell recognition of a conserved epitope was
affected by amino acid exchanges within the epitope flanking region. Moreover,
the CD8+ T-cell response against polymorphic epitopes varied between donors and
often ignored antigen variants. These results demonstrate that viral strain
heterogeneity may impair antiviral T-cell immunity and suggest that
immunotherapeutic approaches against EBV should preferably target broad sets of
conserved epitopes including their flanking regions.
PMID- 29374783
TI - Experimental infection in Cavia porcellus by infected Amblyomma ovale nymphs with
Rickettsia sp. (Atlantic rainforest strain).
AB - This study describes experimental infection of guinea pigs (Cavia porcellus)
infested with naturally infected Amblyomma ovale nymphs with Rickettsia sp.
(Atlantic rainforest strain), and the capacity of A. ovale nymphs to transmit
this bacterium. Twenty-six guinea pigs were divided into the following groups:
G1, 10 animals infested with uninfected A. ovale nymphs; G2, 10 animals infested
with nymphs infected with Rickettsia sp. (Atlantic rainforest strain); and G3, 6
animals without tick infestation. Blood samples were taken 7, 14, 21, and 28 days
post-infestation for serological and hematological tests. For histopathological
analysis and rickettsial DNA detection, fragments of the spleen, lung, brain, and
liver were harvested after euthanasia. The average feeding period for nymphs was
6.6 days for G1 and 6 days for G2. Hemolymph and PCR assays, performed to detect
the causative agent in ticks, indicated that in G1, all ticks were negative, and
in G2, all nymphs were positive by PCR and 80% (8/10) was positive by hemolymph
tests. The only clinical change was skin scarring at the tick attachment site.
Hematological parameters indicated leukopenia and total plasma protein (TPP)
increased with decreased platelets in G1. In G2, leukocytosis, neutrophilia,
monocytosis, an increase in platelets, and reduced TPP were observed. Only G2
guinea pigs were seroconverted (80%; 8/10). Histopathology tests indicated mild,
diffuse hemosiderosis and mild, multifocal, follicular hyperplasia in the spleen.
Molecular analysis did not detect Rickettsia sp. DNA in C. porcellus tissues. We
demonstrated the capacity of A. ovale nymphs to transmit Rickettsia sp. (Atlantic
rainforest strain) to guinea pigs.
PMID- 29374785
TI - Physical interventions for patients suffering from chemotherapy-induced
polyneuropathy.
PMID- 29374784
TI - Novel Henneguya spp. (Cnidaria: Myxozoa) from cichlid fish in the Amazon basin
cluster by geographic origin.
AB - We describe three new Henneguya spp. (Myxobolidae) found parasitizing two species
of cichlid fish from the Amazon basin, Brazil: H. tucunarei n. sp. from gill
filaments of Cichla monoculus and H. tapajoensis n. sp. from gill filaments of
Cichla pinima, both from the Tapajos River, Para State and H. jariensis n. sp. in
the fins of Cichla monoculus from the Jari River, Amapa State. We based
descriptions on myxospore morphology and small subunit ribosomal DNA sequences,
and used a phylogenetic analysis to compare the new Henneguya species with known
relatives. Spores of the three species had similar morphology and morphometrics,
but differed molecularly 5-7.5%, and were no more than 94% similar to any other
sequence in GenBank. Together with having different hosts, these data supported
the diagnosis of the parasites as distinct, novel species. Maximum likelihood and
Bayesian analyses showed that H. tucunarei n. sp., H. tapajoensis n. sp., and H.
jariensis n. sp. plus Henneguya paraensis (which parasitizes Cichla temensis)
formed a well-supported sub-clade of Henneguya parasites of cichlids from the
Amazon basin, in a lineage sister to those in characiforms hosts. Our analysis
was consistent with previous studies that suggest that aquatic environment and
vertebrate host group are the strongest correlates with phylogenetic signals in
the Myxobolidae.
PMID- 29374786
TI - Metal-free salan-type compound induces apoptosis and overcomes multidrug
resistance in leukemic and lymphoma cells in vitro.
AB - PURPOSE: We report on our preclinical findings of a simple salicylic diamine
compound (THG 1213) which has yielded exceptional results as a potential
chemotherapeutic drug. THG 1213 is an easy to synthesize chiral and metal-free
salan compound. METHODS: THG 1213 was tested on several leukemia, lymphoma and
solid tumor cell lines in vitro. The effects have been studied by LDH release
essay, FACS flow cytometry, photometric cell count, immunoblotting, and NMR
spectroscopy. RESULTS: THG 1213 selectively inhibits proliferation and induces
apoptosis in leukemia, lymphoma and solid tumor cell lines. Necrosis or effects
on healthy leucocytes could not be detected. Apoptosis is induced via the
intrinsic and extrinsic pathways. The salan THG 1213 overcomes multidrug
resistance in tumor cells and acts synergistically with vincristine and
daunorubicin. CONCLUSIONS: THG 1213 displays remarkable antitumor properties. In
particular, the lack of metallic components of THG 1213 could prove to be
beneficial in future clinical trials, as metal-containing drugs are known to show
severe side effects.
PMID- 29374787
TI - Rab27a facilitates human parainfluenza virus type 2 growth by promoting cell
surface transport of envelope proteins.
AB - Human parainfluenza virus type 2 (hPIV-2) proteins and genomes newly synthesized
in the cytoplasm need to be transported to the plasma membrane where budding
occurs. This mechanism, where Rab proteins regulate intracellular traffic by
switching between GTP-bound active form and GDP-bound inactive form, is not fully
understood. mRNA and protein expression levels of Rab8a, Rab11a, and Rab27a are
not altered by hPIV-2 infection. hPIV-2 growth is affected by depletion of Rab27a
but not Rab8a and Rab11a. Overexpression of a constitutively active mutant of
Rab27a Q78L promotes the cell surface levels of fusion (F) and hemagglutinin
neuraminidase (HN) proteins in hPIV-2-infected cells without affecting viral mRNA
levels. Increase in the cell surface level of F and HN proteins by Rab27a Q78L is
noticeable when these proteins are coexpressed independent of hPIV-2 infection.
Our results collectively suggest that the active form of Rab27a enhances hPIV-2
growth by promoting transport of F and HN proteins to the plasma membrane.
PMID- 29374789
TI - Mixture regression models for the gap time distributions and illness-death
processes.
AB - The aim of this study is to provide an analysis of gap event times under the
illness-death model, where some subjects experience "illness" before "death" and
others experience only "death." Which event is more likely to occur first and how
the duration of the "illness" influences the "death" event are of interest.
Because the occurrence of the second event is subject to dependent censoring, it
can lead to bias in the estimation of model parameters. In this work, we
generalize the semiparametric mixture models for competing risks data to
accommodate the subsequent event and use a copula function to model the dependent
structure between the successive events. Under the proposed method, the survival
function of the censoring time does not need to be estimated when developing the
inference procedure. We incorporate the cause-specific hazard functions with the
counting process approach and derive a consistent estimation using the
nonparametric maximum likelihood method. Simulations are conducted to demonstrate
the performance of the proposed analysis, and its application in a clinical study
on chronic myeloid leukemia is reported to illustrate its utility.
PMID- 29374788
TI - Intraspecific and intraorganismal copy number dynamics of retrotransposons and
tandem repeat in Aegilops speltoides Tausch (Poaceae, Triticeae).
AB - Transposable elements (TE) and tandem repeats (TR) compose the largest fraction
of the plant genome. The abundance and repatterning of repetitive DNA underlie
intrapopulation polymorphisms and intraspecific diversification; however, the
dynamics of repetitive elements in ontogenesis is not fully understood. Here, we
addressed the genotype-specific and tissue-specific abundances and dynamics of
the Ty1-copia, Ty3-gypsy, and LINE retrotransposons and species-specific Spelt1
tandem repeat in wild diploid goatgrass, Aegilops speltoides Tausch. Copy numbers
of TEs and TR were estimated by real-time quantitative PCR in vegetative and
generative tissues in original plants from contrasting allopatric populations and
artificial intraspecific hybrids. The results showed that between leaves and
somatic spike tissues as well as in progressive microsporogenesis of individual
genotypes, the copy numbers of three TEs correlatively oscillated between 2- to 4
fold and the TR copy numbers fluctuated by 18- to 440-fold. Inter-individual and
intraorganismal TEs and TR copy number dynamics demonstrate large-scale
parallelism with extensive chromosomal rearrangements that were detected using
fluorescent in situ hybridization in parental and hybrid genotypes. The data
obtained indicate that tissue-specific differences in the abundance and pattern
of repetitive sequences emerge during cell proliferation and differentiation in
ontogenesis and reflect the reorganization of individual genomes in changing
environments, especially in small peripheral population(s) under the influence of
rapid climatic changes.
PMID- 29374790
TI - Unilateral or bilateral laparoscopic ovarian drilling in polycystic ovary
syndrome: a meta-analysis of randomized trials.
AB - PURPOSE: This systematic review and meta-analysis aimed to compare the
effectiveness of unilateral vs. bilateral laparoscopic ovarian drilling (ULOD vs.
BLOD) for improving fertility outcomes in infertile women with clomiphene
resistant polycystic ovary syndrome (PCOS) as well as its effect on ovarian
reserve. METHODS: Searches were conducted on PubMed, ScienceDirect,
ClinicalTrials.gov, and CENTRAL databases from January 1984 to January 2017. Only
randomized trials comparing ULOD with BLOD were included. The PRISMA Statement
was followed. Main outcomes were ovulation and clinical pregnancy rates per woman
randomized. Secondary outcomes were; live birth and miscarriage rates as well as
postoperative serum anti-mullerian hormone (AMH) concentration and antral
follicle count (AFC). Quality assessment was performed by the Cochrane
Collaboration risk of bias tool. RESULTS: Eight eligible trials (484 women) were
analyzed. No significant difference was found in rates of ovulation (OR 0.73; 95%
CI 0.47-1.11), clinical pregnancy (OR 0.56; 95% CI 0.22-1.41), live birth (OR
0.77; 95% CI 0.28-2.10), or miscarriage (OR 0.90; 95% CI 0.33-2.84) when ULOD was
compared with BLOD. The reduction in AMH was comparable between the two
procedures (MD 0.64 ng/ml; 95% CI - 0.08 to 1.36). A significantly higher AFC at
6-month follow-up was found with dose-adjusted ULOD (MD 2.20; 95% CI 1.01-3.39).
CONCLUSIONS: After carefully weighing up the well-known benefits of BLOD against
a potential risk to ovarian reserve, clinicians could be advised to offer the
fixed-dose ULOD to their infertile patients with clomiphene-resistant PCOS. This
is concordant with the "primum non nocere" principal if LOD will be envisaged.
PMID- 29374792
TI - Association of grey matter changes with stability and flexibility of prediction
in akinetic-rigid Parkinson's disease.
AB - Parkinson's disease (PD), which is caused by degeneration of dopaminergic neurons
in the midbrain, results in a heterogeneous clinical picture including cognitive
decline. Since the phasic signal of dopamine neurons is proposed to guide
learning by signifying mismatches between subjects' expectations and external
events, we here investigated whether akinetic-rigid PD patients without mild
cognitive impairment exhibit difficulties in dealing with either relevant
(requiring flexibility) or irrelevant (requiring stability) prediction errors.
Following our previous study on flexibility and stability in prediction (Trempler
et al. J Cogn Neurosci 29(2):298-309, 2017), we then assessed whether deficits
would correspond with specific structural alterations in dopaminergic regions as
well as in inferior frontal cortex, medial prefrontal cortex, and the
hippocampus. Twenty-one healthy controls and twenty-one akinetic-rigid PD
patients on and off medication performed a task which required to serially
predict upcoming items. Switches between predictable sequences had to be
indicated via button press, whereas sequence omissions had to be ignored.
Independent of the disease, midbrain volume was related to a general response
bias to unexpected events, whereas right putamen volume correlated with the
ability to discriminate between relevant and irrelevant prediction errors.
However, patients compared with healthy participants showed deficits in
stabilisation against irrelevant prediction errors, associated with thickness of
right inferior frontal gyrus and left medial prefrontal cortex. Flexible updating
due to relevant prediction errors was also affected in patients compared with
controls and associated with right hippocampus volume. Dopaminergic medication
influenced behavioural performance across, but not within the patients. Our
exploratory study warrants further research on deficient prediction error
processing and its structural correlates as a core of cognitive symptoms
occurring already in early stages of the disease.
PMID- 29374793
TI - Taurine supplementation prevents endothelial dysfunction and attenuates
structural changes in aortas from hypothalamic obese rats.
AB - PURPOSE: Obesity predisposes to cardiovascular and metabolic diseases. The amino
acid, L-taurine (Tau), regulates glucose and lipid homeostasis and vascular
function. Here we investigated whether Tau supplementation prevents endothelial
dysfunction in the thoracic aortas of monosodium glutamate-induced obese (MSG)
rats. METHODS: Male rats received subcutaneous injections of MSG (4 mg/kg body
weight/day) or saline (control group, CTL) during the first five days of life.
From 21 to 150 days of age, the rats were distributed into the groups: CTL, MSG,
and CTL and MSG supplemented with 2.5% Tau in their drinking water (CTAU and
MTAU). RESULTS: At 150-days old, MSG rats presented massive abdominal fat
deposition, hypertriglyceridemia, hyperinsulinemia, glucose intolerance and high
plasma levels of malondialdehyde (MDA), a lipid peroxidation marker. Tau
supplementation attenuated fat accumulation in perigonadal adipose tissue and
prevented the increase in triglycerides and MDA plasma levels. Aortic rings of
MSG rats presented reduced vasodilation in response to acetylcholine (ACh). No
modifications in insulin-induced vasodilatation, or Akt and eNOS phosphorylation,
were observed in MSG aortas; thoracic aortas from MSG rats presented reduced
tunica media thickness, with a lower aortic wall thickness/lumen diameter ratio
and decreased total collagen content. Tau supplementation restored ACh-induced
vasodilation and collagen content. CONCLUSIONS: Our study presents the first
evidence that Tau prevents disruptions in vascular reactivity and in
extracellular matrix composition in thoracic aortas of MSG-obese rats. The
vascular protective actions of Tau may be linked to reduced lipid peroxidation
and a reduction in cardiovascular risk factors, such as abdominal fat and
hypertriglyceridemia.
PMID- 29374791
TI - Recent Advances in Bronchopulmonary Dysplasia: Pathophysiology, Prevention, and
Treatment.
AB - Bronchopulmonary dysplasia (BPD) is potentially one of the most devastating
conditions in premature infants with longstanding consequences involving multiple
organ systems including adverse effects on pulmonary function and
neurodevelopmental outcome. Here we review recent studies in the field to
summarize the progress made in understanding in the pathophysiology, prognosis,
prevention, and treatment of BPD in the last decade. The work reviewed includes
the progress in understanding its pathobiology, genomic studies, ventilatory
strategies, outcomes, and therapeutic interventions. We expect that this review
will help guide clinicians to treat premature infants at risk for BPD better and
lead researchers to initiate further studies in the field.
PMID- 29374794
TI - The interaction between apolipoprotein B insertion/deletion polymorphism and
macronutrient intake on lipid profile and serum leptin and ghrelin levels in type
2 diabetes mellitus patients.
AB - PURPOSE: We aimed to study whether macronutrient intake could modify the
association between ApoB Ins/Del and lipid profile, and serum leptin and ghrelin
in type 2 diabetes mellitus (T2DM) patients. METHODS: In this study, 700 T2DM
patients were recruited. Anthropometric, biochemical and molecular data were
collected, and Diet was assessed using a food frequency questionnaire. The
interactions were tested using ANCOVA. RESULTS: Del-allele carriers with high
MUFA and carbohydrate (>= 12 and >= 54% of energy, respectively) had
significantly higher TG (P = 0.04) and LDL-C (P = 0.02) compared to Ins/Ins
homozygotes, and these were not significant in subjects with low-MUFA and
carbohydrate (< 12 and < 54%, respectively). A significant interaction was
observed between ApoB Ins/Del and diet on TG in both unadjusted (P = 0.03) and
adjusted models (model 2 and 3, P = 0.04 and P = 0.04, respectively), and on LDL
C only in adjusted models (model 2 and 3, P = 0.03 and P = 0.029, respectively).
Besides, Del-allele carriers with protein, SFA, MUFA and n-3PUFA of >= 14, 9, 12
and 0.6%, respectively, had a significant increase in their serum leptin than
Ins/Ins homozygotes (P < 0.05). However, these associations were not significant
between the two genetic groups in subjects with low intakes of protein, SFA, MUFA
and n-3PUFA. Moreover, Del-allele carriers with low carbohydrate (< 54%) had
significantly higher leptin and ghrelin than Ins/Ins homozygotes (P < 0.05),
however, in high-carbohydrate group, leptin and ghrelin were not significantly
lower. CONCLUSIONS: These findings indicate that the interaction between ApoB
Ins/Del and dietary intake of MUFA, SFA, n-3PUFA, carbohydrate and protein could
modulate the serum levels of TG, LDL-C, leptin and ghrelin in T2DM patients.
PMID- 29374795
TI - Harvey Alan Lincoff, M.D. 1920-2017 a pioneer of ophthalmology.
PMID- 29374796
TI - Subfoveal choroidal thickness predicts macular atrophy in age-related macular
degeneration: results from the TREX-AMD trial.
AB - BACKGROUND: Our purpose was to evaluate the relationship between subfoveal
choroidal thickness (SCT) and development of macular atrophy (MA) in eyes with
age-related macular degeneration (AMD). METHODS: This was a prospective,
multicenter study. Sixty participants (120 eyes) in the TREX-AMD trial
(NCT01648292) with treatment-naive neovascular AMD (NVAMD) in at least one eye
were included. SCT was measured by certified reading center graders at baseline
using spectral domain optical coherence tomography (SDOCT). The baseline SCT was
correlated with the presence of MA at baseline and development of incident MA by
month 18. Generalized estimating equations were used to account for information
from both eyes. RESULTS: Baseline SCT in eyes with MA was statistically
significantly less than in those without MA in both the dry AMD (DAMD) (P = 0.04)
and NVAMD (P = 0.01) groups. Comparison of baseline SCT between MA developers and
non-MA developers revealed a statistically significant difference (P = 0.03).
Receiver operating characteristic curve (ROC) analysis showed the cut-off
threshold of SCT for predicting the development of MA in cases without MA at
baseline was 124 MUm (AUC = 0.772; Sensitivity = 0.923; Specificity = 0.5). Among
eyes without MA at baseline, those with baseline SCT <=124 MUm were 4.3 times
more likely to develop MA (Odds ratio: 4.3, 95% confidence interval: 1.6-12, P =
0.005) than those with baseline SCT >124 MUm. CONCLUSIONS: Eyes with AMD and MA
had less SCT than those without MA. Eyes with less baseline SCT also appear to be
at higher risk to develop MA within 18 months.
PMID- 29374797
TI - Effectiveness of School-Based Teen Pregnancy Prevention Programs in the USA: a
Systematic Review and Meta-Analysis.
AB - School-based programs have been a mainstay of youth pregnancy prevention efforts
in the USA. We conducted a systematic review and meta-analysis to assess their
effectiveness. Eligible studies evaluated the effect on pregnancy rates of
programs delivered in elementary, middle, or high schools in the USA and Canada,
published between January 1985 and September 2016. The primary outcome was
pregnancy; secondary outcomes were delay in sexual initiation, condom use, and
oral contraception use. Randomized controlled trials (RCTs) and non-RCTs with
comparator groups were eligible. We developed a comprehensive search strategy,
applied to major bibliographic databases, article bibliographies, gray
literature, and contact with authors. We calculated risk ratios (RR) with 95%
confidence intervals (CI) for each outcome and pooled data in random effects meta
analysis. We used Grading of Recommendations Assessment, Development and
Evaluation (GRADE) to assess evidence quality. Ten RCTs and 11 non-RCTs conducted
from 1984 to 2016 yielded 30 unique pooled comparisons for pregnancy, of which 24
were not statistically significant. Six showed statistically significant changes
in pregnancy rates: two with increased risk (RR 1.30, 95% CI 1.02-1.65; and RR
1.39, 95% CI 1.10-1.75) and four with decreased risk ranging from RR 0.56, 95% CI
0.41-0.77, to RR 0.75, 95% CI 0.58-0.96. All studies were at high risk of bias,
and the quality of evidence was low or very low. Identified evidence indicated no
consistent difference in rates of pregnancies between intervention recipients and
controls.
PMID- 29374799
TI - Application of CT perfusion to assess hemodynamics in symptomatic Moyamoya
syndrome: focus on affected side and parameter characteristic.
AB - OBJECTIVE: Vascular and hemodynamic changes were not consistent in symptomatic
and non-symptomatic cerebral hemisphere in patients with symptomatic moyamoya
syndrome (MMS). Thus, the purpose of this study is to evaluate the hemodynamic
difference between symptomatic and non-symptomatic cerebral hemisphere in
patients with symptomatic MMS. METHODS: Patients who were diagnosed with
symptomatic MMS were retrospectively collected. All cases underwent CTP
examination. Regions of interest (ROIs) were chosen in the mirroring bilateral
frontal lobes, temporal lobes, the basal ganglia, and the brainstem as control
region. The relative perfusion parameter values of symptomatic side were compared
with non-symptomatic side. RESULTS: Of the 40 patients, 33 patients were taken
into assessment. In all cases (n = 33), rCBF, rMTT, and rTTP in all regions of
interest (ROIs) of the symptomatic side were significantly different from those
of contralateral side. In unilateral MMS patients (n = 7), rCBF values were not
significantly different between two sides in the temporal lobe and basal ganglia
area; rTTP values were significantly higher in the symptomatic side. rMTT values
were significantly higher only in the temporal lobe of symptomatic side. In
bilateral MMS patients (n = 26), rCBF and rMTT in all ROIs of the symptomatic
side were significantly different from those of contralateral side. However,
there were no significant differences between two sides in all ROIs on rTTP
values. CONCLUSIONS: This study demonstrates that rCBF and rMTT were more
sensitive than rTTP for evaluating hemodynamic changes in patients with
symptomatic bilateral MMS. Furthermore, patients with unilateral MMS may have a
preserved rCBF compared to those with bilateral disease.
PMID- 29374798
TI - Exophiala dermatitidis Endophthalmitis: Case Report and Literature Review.
AB - We report a case of a 59-year-old male patient with a postoperative fungal
infection of the left eye. A dark-pigmented yeast, Exophiala dermatitidis
(previously known as Wangiella dermatitidis), was identified from the culture of
the biopsy taken from the posterior capsule. The infection was successfully
eradicated by a combination of surgical and medical (i.e., voriconazole and
fluconazole) treatment. This is the first report of successfully treated E.
dermatitidis endophthalmitis, which demonstrates that a prompt and aggressive
antifungal therapy combined with surgical intervention is necessary to prevent
vision loss in cases of endophthalmitis due to Exophiala species. Beside the case
description, we also aim to provide a literature review of previously reported
eye infections caused by Exophiala species in order to help the future diagnosis
and management of the disease.
PMID- 29374800
TI - Blood-based biomarkers predicting response to antidepressants.
AB - Major depressive disorder is a common, serious and in some cases, life
threatening condition and affects approximately 350 million people globally.
Although there is effective treatment available for it, more than 50% of the
patients fail to respond to the first antidepressant they receive. The selection
of a distinct treatment is still exclusively based on clinical judgment without
incorporating lab-derived objective measures. However, there is growing evidence
of biomarkers that it helps to improve diagnostic processes and treatment
algorithms. Here genetic markers and blood-based biomarkers of the monoamine
pathways, inflammatory pathways and the hypothalamic-pituitary-adrenal (HPA) axis
are reviewed. Promising findings arise from studies investigating inflammatory
pathways and immune markers that may identify patients suitable for anti
inflammatory based treatment regimes. Next, an early normalization of a disturbed
HPA axis or depleted neurotrophic factors may predict stable treatment response.
Genetic markers within the serotonergic system may identify patients who are
vulnerable because of stressful life events, but evidence for guiding treatment
regimes still is inconsistent. Therefore, there is still a great need for studies
investigating and validating biomarkers for the prediction of treatment response
to facilitate the treatment selection and shorten the time to remission and thus
provide personalized medicine in psychiatry.
PMID- 29374801
TI - Premature Coronary Heart Disease in South Asians: Burden and Determinants.
AB - PURPOSE OF REVIEW: While the burden of cardiovascular disease (CVD) is on the
decline globally, it is on the rise among South Asians. South Asians are also
believed to present early with coronary artery disease (CAD) compared with other
ethnicities. RECENT FINDINGS: South Asians have demonstrated a higher burden of
premature CAD (PCAD) compared with other ethnicities. These findings are not
limited to non-immigrant South Asians but have also been found in immigrant South
Asians settled around the world. In this article, we first discuss studies
evaluating PCAD among South Asians residing in South Asia and among South Asian
immigrants in other countries. We then discuss several traditional risk factors
that could explain PCAD in South Asians (diabetes, hypertension, dietary factors,
obesity) and lipoprotein-associated risk (low HDL-C levels, higher triglycerides,
and elevated apolipoprotein B levels). We then discuss several emerging areas of
research among South Asians including the role of dysfunctional HDL, elevated
lipoprotein(a), genetics, and epigenetics. Although various risk markers and risk
factors of CAD have been identified in South Asians, how they impact therapy is
not well-known. PCAD is prevalent in the South Asian population. Large-scale
studies are needed to identify how this information can be rationally utilized
for early identification of risk among South Asians, and how currently available
therapies can mitigate this increased risk.
PMID- 29374802
TI - Use of an ACE inhibitor or angiotensin receptor blocker is a major risk factor
for dehydration requiring readmission in the setting of a new ileostomy.
AB - PURPOSE: Diverting ileostomies help prevent major complications related to
anastomoses after colorectal resection but can cause metabolic derangement and
hypovolemia, leading to readmission. This paper aims to determine whether
angiotensin-converting enzyme inhibitor (ACEi) or angiotensin receptor blocker
(ARB) use increased the risk of readmission, or readmission specifically for
dehydration after new ileostomy creation. METHODS: Retrospective analysis of
patients undergoing diverting ileostomy at a tertiary-care hospital, 2009-2015.
Primary outcome was 60-day readmission for dehydration; secondary outcomes
included 60-day readmission for any cause, or for infection obstruction. RESULTS:
Ninety-nine patients underwent diverting ileostomy creation, 59% with a primary
diagnosis of colorectal cancer. The 60-day readmission rate was 36% (n = 36). Of
readmitted patients, 39% (n = 14) were admitted for dehydration. Other
readmission reasons were infection (33%) and obstruction (3%). The majority (64%,
n = 9) of patients readmitted for dehydration were taking either an ACEi or an
ARB. Compared to patients not readmitted for dehydration, those who were
readmitted for dehydration were more likely to be on an ACEi or an ARB (11/85,
13% vs. 9/14, 64%). After controlling for covariates, ACEi or ARB use was
significantly associated with risk of readmission (p < 0.0001, odds ratio =
13.56, 95% confidence interval 3.54-51.92,). No other diuretic agent was
statistically associated with readmission for dehydration. CONCLUSIONS: ACEi and
ARB use is a significant risk factor for readmission for dehydration following
diverting ileostomy creation. Consideration should be given to withholding these
medications after ileostomy creation to reduce this risk.
PMID- 29374803
TI - Appropriateness of patients transferred with orthopedic injuries: experience of a
level I trauma center.
AB - BACKGROUND: Trauma patients are frequently transferred to a higher level of care
for specialized orthopedic care. Many of these transfers are not necessary and
waste valuable resources. The purpose of this study was to quantify our own
experience and to assess the appropriateness of orthopedic transfers to a level I
trauma center emergency department. METHODS: A retrospective review of orthopedic
emergency department transfers to a level I trauma center was performed. Data
collected included time of transfer, injury severity score (ISS), age, gender,
race, orthopedic coverage at transfer institution, and insurance status. Two
orthopedic trauma surgeons graded the appropriateness of transfer. A weighted
logistic regression model was used to compare dependent and independent
variables. RESULTS: A total of 324 patient transfers were reviewed; 65 (20.1%) of
them were graded as inappropriate. There was no statistically significant
relationship between appropriateness of transfer and age, availability of
orthopedic coverage, night/weekend transfer, or insurance status. Regression
analysis showed that only ISS (OR 1.130, p = .008) and "polytrauma" (OR 25.39, p
< .0001) designation were associated with increased odds ratio of appropriate
transfer. The kappa coefficient for inter-rater reliability between the two
raters was 0.505 (95% CI, 0.388-0.623) reflecting moderate agreement. CONCLUSION:
Inappropriate transfers create a significant medical burden to our health care
system using valuable resources. Our study found similar results of inappropriate
transfers compared to previous studies. However, we did not find a relationship
between insurance status or nights/weekends and transfer appropriateness.
PMID- 29374804
TI - Cultural and Religious Perspective on the Sufi Shrines.
AB - This paper explains the Sufi shrines which hold great importance in Pakistani
society. The Shrines inhabit a vital position in the cultural and social as well
as religious and culture life of rituals, Saints, traditional belief, sounds,
trance, dance, music in ethnic healing, and spiritual illness/disease. It is not
only a place of belief and devotion based on Sufi shrines but a place where
Muslims and non-Muslim take part in happiness activities together. The design and
architecture of the Sufi Shrines have local as well as worldwide influences,
representative spiritual, belief systems, economic, and esthetic dimensions of
Muslim social institute. Therefore, Muslims' association with the Sufi Shrines
has much importance from traditional, political, social and economic
perspectives. Centered on an ethnographic illustration, this research aims to
highlight the use of Sufi Shrines space and Peoples' Perceptions about Visiting
Sufi shrines in the ethnic perspective of rural and urban Sindh. In addition to
discuss the socio spatial interactions about the Sufi shrines, this study has
discovered that majority of the people and visitors have strong belief systems
upon the blessings of Sufis and they visit shrines to fulfill their social,
economic, physical, mental and spiritual wishes.
PMID- 29374805
TI - Approaching Spirituality Using the Patient-Centered Clinical Method.
AB - Although the scientific literature already suggests the importance of spiritual
care in clinical practice, this topic has been apart from the routine of many
practitioners, and many physicians still have difficulties in how to carry out
such approaches in the clinical setting. This article reflects on the importance
of spirituality in the health-disease process and provides an approach to the
biopsychosocial-spiritual care in the practice of primary care. In addition, the
aim of the authors is to propose a spiritual approach based on the patient
centered clinical method. This method has been used for clinical communication
and can be powerful for exploring spiritual history. Thus, using a fictional case
scenario as a practical example, the authors guide readers to understand the
patient-centered approach they propose.
PMID- 29374806
TI - Linking chloroplast relocation to different responses of photosynthesis to blue
and red radiation in low and high light-acclimated leaves of Arabidopsis thaliana
(L.).
AB - Low light (LL) and high light (HL)-acclimated plants of A. thaliana were exposed
to blue (BB) or red (RR) light or to a mixture of blue and red light (BR) of
incrementally increasing intensities. The light response of photosystem II was
measured by pulse amplitude-modulated chlorophyll fluorescence and that of
photosystem I by near infrared difference spectroscopy. The LL but not HL leaves
exhibited blue light-specific responses which were assigned to relocation of
chloroplasts from the dark to the light-avoidance arrangement. Blue light (BB and
BR) decreased the minimum fluorescence ([Formula: see text]) more than RR light.
This extra reduction of the [Formula: see text] was stronger than theoretically
predicted for [Formula: see text] quenching by energy dissipation but actual
measurement and theory agreed in RR treatments. The extra [Formula: see text]
reduction was assigned to decreased light absorption of chloroplasts in the
avoidance position. A maximum reduction of 30% was calculated. Increasing
intensities of blue light affected the fluorescence parameters NPQ and qP to a
lesser degree than red light. After correcting for the optical effects of
chloroplast relocation, the NPQ responded similarly to blue and red light. The
same correction method diminished the color-specific variations in qP but did not
abolish it; thus strongly indicating the presence of another blue light effect
which also moderates excitation pressure in PSII but cannot be ascribed to
absorption variations. Only after RR exposure, a post-illumination overshoot of
[Formula: see text] and fast oxidation of PSI electron acceptors occurred, thus,
suggesting an electron flow from stromal reductants to the plastoquinone pool.
PMID- 29374807
TI - The Sacubitril/Valsartan, a First-in-Class, Angiotensin Receptor Neprilysin
Inhibitor (ARNI): Potential Uses in Hypertension, Heart Failure, and Beyond.
AB - PURPOSE OF REVIEW: Sacubitril/valsartan (LCZ696) is a first-in-class, novel
acting, angiotensin receptor neprilysin inhibitor (ARNI) that provides inhibition
of neprilysin and the angiotensin (AT1) receptor. A recent clinical trial
PRARDIGM-HF demonstrated that this drug is superior to angiotensin-converting
enzyme (ACE) inhibitors for improving the prognosis in the patients with heart
failure, and this has resulted in the drug being included in clinical practice
guidelines for the management of heart failure with reduced ejection fraction
(EF). In addition, sacubitril/valsartan has been developed for the management of
hypertension, because it has unique anti-aging properties. However, the clinical
evidence of mechanism has not been well validated. RECENT FINDINGS: A recent
mechanistic study PARAMETER demonstrated that sacubitril/valsartan (LCZ696) is
superior to angiotensin receptor blocker (ARB) monotherapy for reducing central
aortic systolic pressure (primary endpoint) as well as for central aortic pulse
pressure (secondary endpoint) and nocturnal BP preferentially. Considering these
results, sacubitril/valsartan may be an attractive therapeutic agent to treat the
elderly with age-related hypertension phenotypes, such as drug-uncontrolled
(resistant) hypertension characterized as systolic (central) hypertension
(structural hypertension) and/or nocturnal hypertension (salt-sensitive
hypertension). These are the high-risk hypertension phenotypes which are prone to
develop heart failure with preserved EF and chronic kidney disease.
Sacubitril/valsartan may be effective to suppress the age-related continuum from
hypertension to heart failure, and it could be clinically useful not only for
secondary prevention, but also as primary prevention of heart failure in
uncontrolled elderly hypertensive patients.
PMID- 29374809
TI - Final results of a phase I dose-escalation, dose-expansion study of adding
disulfiram with or without copper to adjuvant temozolomide for newly diagnosed
glioblastoma.
AB - Disulfiram has shown promising activity including proteasome inhibitory
properties and synergy with temozolomide in preclinical glioblastoma (GBM)
models. In a phase I study for newly diagnosed GBM after chemoradiotherapy, we
have previously reported our initial dose-escalation results combining disulfiram
with adjuvant temozolomide and established the maximum tolerated dose (MTD) as
500 mg per day. Here we report the final results of the phase I study including
an additional dose-expansion cohort of disulfiram with concurrent copper. The
phase I study consisted of an initial dose-escalation phase of disulfiram 500
1000 mg daily during adjuvant temozolomide, followed by a dose-expansion phase of
disulfiram 500 mg daily with copper 2 mg three times daily. Proteasome inhibition
was assessed using fluorometric 20S proteasome assay on peripheral blood cell. A
total of 18 patients were enrolled: 7 patients received 500 mg disulfiram, 5
patients received 1000 mg disulfiram, and 6 patients received 500 mg disulfiram
with copper. Two dose-limiting toxicities occurred with 1000 mg disulfiram. At
disulfiram 500 mg with or without copper, only 1 patient (7%) required dose
reduction during the first month of therapy. Addition of copper to disulfiram did
not increase toxicity nor proteasome inhibition. The median progression-free
survival was 4.5 months (95% CI 0.8-8.2). The median overall survival (OS) was
14.0 months (95% CI 8.3-19.6), and the 2-year OS was 24%. The MTD of disulfiram
at 500 mg daily in combination with adjuvant temozolomide was well tolerated by
GBM patients, but 1000 mg daily was not. Toxicity and pharmacodynamic effect of
disulfiram were similar with or without concurrent copper. The clinical efficacy
appeared to be comparable to historical data. Additional clinical trials to
combine disulfiram and copper with chemoradiotherapy or to resensitize recurrent
GBM to temozolomide are ongoing.
PMID- 29374808
TI - Pushing the Envelope: Laparoscopic Nephrectomy as Outpatient Surgery.
AB - PURPOSE OF REVIEW: The purposes of this review were to identify the possible
limiting factors prohibiting laparoscopic nephrectomy being performed as an
outpatient surgery and optimize these limiting factors. RECENT FINDINGS:
Laparoscopic nephrectomy for patients who have kidney cancer can be performed as
an outpatient surgery in well-selected, well-educated, and well-informed patients
in a well-prepared hospital culture. Patient confidence, pain, and hospital
culture are the most important limiting factors to the performance of
laparoscopic nephrectomy as an outpatient procedure. Controlling these factors
leads to a high success rate for the outpatient procedure.
PMID- 29374810
TI - Clinical and dosimetric study of radiotherapy for glioblastoma: three-dimensional
conformal radiotherapy versus intensity-modulated radiotherapy.
AB - BACKGROUND AND PURPOSE: We aimed to compare three-dimensional conformal
radiotherapy (3D-CRT) with intensity-modulated radiotherapy (IMRT) for the
treatment of glioblastoma. MATERIALS AND METHODS: Retrospective study of 220
patients with glioblastoma, treated with 3D-CRT or IMRT, with or without surgery.
Dosimetric parameters as well as clinical and survival data for the two
techniques were analyzed and compared. RESULTS: The median conformity index was
1.53 (range 0-2.69) for 3D-CRT and 1.25 (range 0.97-2.01) for IMRT, p < 10-4. The
median homogeneity index was 0.10 (range 0.03-0.32) for 3D-CRT and 0.07 (range
0.03-0.18) for IMRT, p < 10-4. There were significantly fewer acute grade 1 and 2
neurological toxicities in the IMRT group especially for edema (1.3 versus 12.4%,
p = 0.017), concentration disorders (6.6 versus 19.9%, p = 0.003) and
consciousness disorders (2.6 versus 13.2%, p = 0.002) although IMRT patients had
a significantly worse pre-treatment neurological status than 3D-CRT patients.
Median survival was 16.0 months (range 11.9-17.8) for IMRT and 13.4 months (range
11.7-15.7) for 3D-CRT patients (p = 0.542). CONCLUSION: IMRT improved target
conformity and reduced neurological toxicities for patients with glioblastomas.
PMID- 29374811
TI - A novel 3D-printed hybrid simulation model for robotic-assisted kidney
transplantation (RAKT).
AB - Robotic-assisted kidney transplantation (RAKT) offers key benefits for patients
that have been demonstrated in several studies. A barrier to the wider uptake of
RAKT is surgical skill acquisition. This is exacerbated by the challenges of
modern surgery with reduced surgical training time, patient safety concerns and
financial pressures. Simulation is a well-established method of developing
surgical skill in a safe and controlled environment away from the patient. We
have developed a 3D printed simulation model for the key step of the kidney
transplant operation which is the vascular anastomosis. The model is anatomically
accurate, based on the CT scans of patients and it incorporates deceased donor
vascular tissue. Crucially, it was developed to be used in the robotic operating
theatre with the operating robot to enhance its fidelity. It is portable and
relatively inexpensive when compared with other forms of simulation such as
virtual reality or animal lab training. It thus has the potential of being more
accessible as a training tool for the safe acquisition of RAKT specific skills.
We demonstrate this model here.
PMID- 29374813
TI - Dynamic tissue perfusion assessment reflects associations between
antihypertensive treatment and renal cortical perfusion in patients with chronic
kidney disease and hypertension.
AB - PURPOSE: Renal cortical perfusion measured in noninvasive, dynamic ultrasonic
method is connected with the hemodynamic cardiac properties and renal function.
Antihypertensive drugs affect the functioning of the heart and kidneys. The aim
of the study was to evaluate the effect of a chronic use of antihypertensive
drugs on ultrasound parameters of renal cortical perfusion. METHODS: The study
included 56 consecutive patients (49 M + 7 F, age 54.0 +/- 13.3) with stable
chronic kidney disease and hypertension. Color Doppler dynamic tissue perfusion
measurement was used to assess renal cortical perfusion. RESULTS: Patients were
treated with a mean of 2.7 +/- 1.4 antihypertensive drugs, of which diuretics
accounted for 25%, angiotensin-converting enzyme inhibitors (ACE-I) together with
angiotensin receptor blockers (ARB) 24%, beta-blockers (BB) 23%, calcium channel
blockers 16%, alpha-1 blockers (alpha1B) 9% and centrally acting drugs 3%. All
investigated groups of drugs correlated significantly with parameters of renal
perfusion. In multivariable regression analyses adjusted to age, diuretics were
connected with the decrease (r = - 0.473) and ACE-I + ARB (r = 0.390) with the
improvement of proximal and whole renal cortex perfusion (R2 = 0.28; p < 0.001),
whereas BB (r = - 0.372) and alpha1B (r = - 0.280) independently correlated with
worsened perfusion of renal distal cortex (R2 = 0.21, p < 0.01). CONCLUSIONS: The
type of antihypertensive therapy had a significant influence on the ultrasound
parameters of renal cortical perfusion. Noninvasive, ultrasonic dynamic tissue
perfusion measurement method appears to be an adequate tool to assess the impact
of drugs on renal cortical perfusion.
PMID- 29374812
TI - Differential recognition of natural and remodeled glycotopes by three Diocleae
lectins.
AB - The carbohydrate specificities of Dioclea grandiflora lectins DGL-I1 and DGL-II,
and Galactia lindenii lectin II (GLL-II) were explored by use of remodeled
glycoproteins as well as by the lectin hemagglutinating activity against
erythrocytes from various species with different glycomic profiles. The three
lectins exhibited differences in glycan binding specificity but also showed
overlapping recognition of some glycotopes (i.e. Talpha glycotope for the three
lectins; IIbeta glycotope for DGL-II and GLL-II lectins); in many cases the
interaction with distinct glycotopes was influenced by the structural context,
i.e., by the neighbouring sugar residues. Our data complement and expand the
existing knowledge about the binding specificity of these three Diocleae lectins,
and taken together with results of previous studies, allow us to suggest a
functional map of the carbohydrate recognition which illustrate the impact of
modification of basic glycotopes enhancing, permiting, or inhibiting their
recognition by each lectin.
PMID- 29374815
TI - Differences Between U.S.-Born and Non-U.S.-Born Black Adults Reported with
Diagnosed HIV Infection: United States, 2008-2014.
AB - Despite improvements in its treatment, HIV infection continues to affect Blacks
disproportionally. Using National HIV Surveillance System data from 50 U.S.
states and the District of Columbia, we examined demographic and epidemiologic
differences between U.S.-born and non-U.S.-born Black adults. Of 110,452 Black
adults reported with diagnosed HIV during 2008-2014 with complete country of
birth information, 11.1% were non-U.S.-born. Non-U.S.-born were more likely to be
older, female, have HIV infection attributed to heterosexual contact, have been
diagnosed late, and live in the northeastern U.S. region. During 2014, the HIV
diagnosis rate among African-born Black females was 1.4 times the rate of U.S.
born Black males, 2 times the rate of African-born Black males, and 5.3 times the
rate of U.S.-born Black females. We elucidate the differences between U.S.-born
and non-U.S.-born Blacks on which to base culturally appropriate HIV-prevention
programs and policies.
PMID- 29374814
TI - Risk of renal stone formation in patients treated with luteinising hormone
releasing hormone analogues for prostate cancer: importance of bone metabolism
and urine calcium.
AB - PURPOSE: To determine whether androgen blockade produces metabolic changes in
urine and increases the risk of calculi after 1 year of treatment. MATERIALS AND
METHODS: The study included 38 patients, from the period April 2015 to June 2016,
diagnosed with locally advanced prostate cancer or lymph node metastasis, and
with an indication of androgen blockade. Androgen blockade was started with
luteinising hormone-releasing hormone (LHRH) analogues, and a blood specimen, a
fasting urine and 24-h urine were collected at the time of inclusion, and then at
1 year of follow-up. A study was performed at baseline and at 1 year with imaging
tests. An analysis of the variables was performed with a p <= 0.05 considered as
statistically significant. RESULTS: The mean age of the patients included in the
study was 72.26 +/- 6.75 years. As regards the biochemistry parameters, an
increase in osteocalcin (from 16.28 +/- 9.48 to 25.56 +/- 12.09 ng/ml; p = 0.001)
and an increase in beta-crosslaps (from 0.419 +/- 0.177 to 0.743 +/- 0.268 ng/ml;
p = 0.0001) were observed. In the urinary parameters, a significant increase was
observed in the fasting calcium/creatinine ratio (from 0.08 +/- 0.06 to 0.13 +/-
0.06; p = 0.002) and in the 24-h calcium renal excretion (from 117.69 +/- 66.92
to 169.42 +/- 107.18 mg; p = 0.0001). Calculi formation was observed in 12 of the
38 patients included (31.6%), with a mean size of 3.33 +/- 1.31 mm. CONCLUSION:
Treatment with LHRH analogues, as well as increasing the appearance of metabolic
syndrome and speeding up the loss bone mineral density, causes an increase in
fasting urine calcium.
PMID- 29374816
TI - A Time-Varying Connectivity Analysis from Distributed EEG Sources: A Simulation
Study.
AB - Time-varying connectivity analysis based on sources reconstructed using inverse
modeling of electroencephalographic (EEG) data is important to understand the
dynamic behaviour of the brain. We simulated cortical data from a visual spatial
attention network with a time-varying connectivity structure, and then simulated
the propagation to the scalp to obtain EEG data. Distributed EEG source modeling
using sLORETA was applied. We compared different dipole (representing a source)
selection strategies based on their time series in a region of interest. Next, we
estimated multivariate autoregressive (MVAR) parameters using classical Kalman
filter and general linear Kalman filter approaches followed by the calculation of
partial directed coherence (PDC). MVAR parameters and PDC values for the selected
sources were compared with the ground-truth. We found that the best strategy to
extract the time series of a region of interest was to select a dipole with time
series showing the highest correlation with the average time series in the region
of interest. Dipole selection based on power or based on the largest singular
value offer comparable alternatives. Among the different Kalman filter
approaches, the use of a general linear Kalman filter was preferred to estimate
PDC based connectivity except when only a small number of trials are available.
In the latter case, the classical Kalman filter can be an alternative.
PMID- 29374817
TI - Differential effects of sPLA2-GV and GX on cellular proliferation and lipid
accumulation in HT29 colon cancer cells.
AB - Secretory phospholipase A2 (sPLA2) group of enzymes have been shown to hydrolyze
phospholipids, among which sPLA2 Group V (GV) and Group X (GX) exhibit high
selectivity towards phosphatidylcholine-rich cellular plasma membranes. The
enzymes have recently emerged as key regulators in lipid droplets formation and
it is hypothesized that sPLA2-GV and GX enhanced cell proliferation and lipid
droplet accumulation in colon cancer cells (HT29). In this study, cell viability
and lipid droplet accumulation were assessed by Resazurin assay and Oil-Red-O
staining. Interestingly, both sPLA2-GV and GX enzymes reduced intracellular lipid
droplet accumulation and did not significantly affect cell proliferation in HT29
cells. Incubation with varespladib, a pan-inhibitor of sPLA2-Group IIA/V/X,
further suppressed lipid droplets accumulation in sPLA2-GV but have no effects in
sPLA2-GX-treated cells. Further studies using catalytically inactive sPLA2
enzymes showed that the enzymes intrinsic catalytic activity is required for the
net reduction of lipid accumulation. Meanwhile, inhibition of intracellular
phospholipases (iPLA2-gamma and cPLA2-alpha) unexpectedly enhanced lipid droplet
accumulation in both sPLA2-GV and GX-treated cells. The findings suggested an
interconnected relationship between extracellular and intracellular
phospholipases in lipid cycling. Previous studies indicated that sPLA2 enzymes
are linked to cancer development due to their ability to induce release of
arachidonic acid and eicosanoids as well as the stimulation of lipid droplet
formation. This study showed that the two enzymes work in a distinct manner and
they neither confer proliferative advantage nor enhanced the net lipid droplet
accumulation in HT29 cells.
PMID- 29374818
TI - Long-Term Effectiveness of Laparoscopic Conversion of Sleeve Gastrectomy to a
Biliopancreatic Diversion with a Duodenal Switch or a Roux-en-Y Gastric Bypass
due to Weight Loss Failure.
AB - BACKGROUND: Gastroesophageal reflux disease and inadequate weight loss (IWL) are
long-term complications of laparoscopic sleeve gastrectomy (LSG) and indications
for a laparoscopic conversion to an alternative bariatric procedure. The aim of
this study is to report the long-term outcomes of biliopancreatic diversion with
a duodenal switch (DS) or a Roux-en-Y gastric bypass (RYGB) as conversion
procedures for weight loss failure after LSG. METHODS: The data of all patients
who underwent post-LSG conversion to either a RYGB or a DS at our institution
between November 2006 and May 2016 was retrospectively analyzed. Included were
all patients with > 1-year follow-up who were operated due to IWL or weight
regain. Patients with the indication of reflux were excluded. RESULTS: Sixty-six
patients underwent conversion from LSG to RYGB, DS, or one-anastomosis gastric
bypass during the study period. There were 21 revisions to DS and 18 to RYGB that
met the inclusion criteria. The respective weight and body mass index (BMI)
before and after LSG were 125 and 110 kg and 46 and 40.5 kg/m2 in the RYGB group
and 148 and 126 kg and 53.7 and 46 kg/m2 in the DS group. At the last follow-up
(> 2 years), 15 RYGB patients had a reduction in BMI of 8.5-31.9 kg/m2 and 18 DS
patients had a reduction in BMI of 12.8-31.9 kg/m2. The mean follow-up was 48.5
months (range 24-76). All comorbidities improved or underwent complete remission.
CONCLUSION: Conversion from SG to RYGB or DS is an efficient and effective
treatment for IWL and improvement of comorbidities. Further studies are warranted
to evaluate long-term weight regain.
PMID- 29374819
TI - A stress-free and grateful farewell.
PMID- 29374820
TI - Paradigm shift in theranostics of neuroendocrine tumors: conceptual horizons of
nanotechnology in nuclear medicine.
AB - We present a comprehensive review of Neuroendocrine Tumors (NET) and the current
and developing imaging and therapeutic modalities for NET with emphasis on
Nuclear Medicine modalities. Subsequently, nanotechnology and its emerging role
in cancer management, especially NET, are discussed. The article is both
educative and informative. The objective is to provide an insight into the
developments made in nuclear medicine and nanotechnology towards management of
NET, individually as well as combined together.
PMID- 29374821
TI - The Effect of Support and Training for Family Members on Access to Outpatient
Services for Veterans with Posttraumatic Stress Disorder (PTSD).
AB - The VA Program of Comprehensive Assistance for Family Caregivers (PCAFC) provides
landmark support for family caregivers of post-9/11 veterans. This study examines
PCAFC support for veterans with and without PTSD and assesses whether program
effect differs by PTSD status using a pre-post, non-equivalent, propensity score
weighted comparison group design (n = 24,280). Veterans with and without PTSD in
PCAFC accessed more mental health, primary, and specialty care services than
weighted comparisons. PCAFC participation had stronger effects on access to
primary care for veterans with PTSD than for veterans without PTSD. For veterans
with PTSD, PCAFC support might enhance health service use.
PMID- 29374822
TI - Analysis of multi drug resistant tuberculosis (MDR-TB) financial protection
policy: MDR-TB health insurance schemes, in Chhattisgarh state, India.
AB - INTRODUCTION: There are significant financial barriers to access treatment for
multi drug resistant tuberculosis (MDR-TB) in India. To address these challenges,
Chhattisgarh state in India has established a MDR-TB financial protection policy
by creating MDR-TB benefit packages as part of the universal health insurance
scheme that the state has rolled out in their effort towards attaining Universal
Health Coverage for all its residents. In these schemes the state purchases
health insurance against set packages of services from third party health
insurance agencies on behalf of all its residents. Provider payment reform by
strategic purchasing through output based payments (lump sum fee is reimbursed as
per the MDR-TB benefit package rates) to the providers - both public and private
health facilities empanelled under the insurance scheme was the key intervention.
AIM: To understand the implementation gap between policy and practice of the
benefit packages with respect to equity in utilization of package claims by the
poor patients in public and private sector. METHODS: Data from primary health
insurance claims from January 2013 to December 2015, were analysed using an
extension of 'Kingdon's multiple streams for policy implementation framework' to
explain the implementation gap between policy and practice of the MDR-TB benefit
packages. RESULTS: The total number of claims for MDR-TB benefit packages
increased over the study period mainly from poor patients treated in public
facilities, particularly for the pre-treatment evaluation and hospital stay
packages. Variations and inequities in utilizing the packages were observed
between poor and non-poor beneficiaries in public and private sector. Private
providers participation in the new MDR-TB financial protection mechanism through
the universal health insurance scheme was observed to be much lower than might be
expected given their share of healthcare provision overall in India. CONCLUSION:
Our findings suggest that there may be an implementation gap due to weak coupling
between the problem and the policy streams, reflecting weak coordination between
state nodal agency and the state TB department. There is a pressing need to build
strong institutional capacity of the public and private sector for improving
service delivery to MDR-TB patients through this new health insurance mechanism.
PMID- 29374823
TI - Investigating peste des petits ruminants (PPR) in naturally infected goats and
sheep in Anseba Region, Eritrea, by reverse transcription polymerase chain
reaction (RT-PCR).
AB - The impact of peste des petits ruminants (PPR) virus was investigated by reverse
transcription polymerase chain reaction (RT-PCR) on different samples obtained
from non-vaccinated diseased and necropsied sheep and goats showing PPR-like
symptoms. The disease picture was typical and sheep were observed to be less
susceptible. Nasal and rectal swabs, whole blood and pathological tissue samples
from the lungs, intestine, and mesenteric lymph nodes were used for this study.
The results of RT-PCR indicated that from a total of 32 samples collected, 12
(41%) were positive by this method. Out of those collected samples, 29 were from
goats and 3 were from sheep. Nasal and rectal swabs and blood samples were
superior in detection of the PPR virus compared to other tissue samples.
PMID- 29374824
TI - Central Nervous System Involvement in Peripheral T Cell Lymphoma.
AB - PURPOSE OF REVIEW: Central nervous system (CNS) involvement in peripheral T cell
lymphoma (PTCL) is a difficult condition to treat, both as a primary and a
secondary disease. RECENT FINDINGS: Primary CNS lymphoma (PCNSL) in PTCL is very
rare, making up only 2% of all PCNSLs. The incidence of CNS relapse is generally
2-6% in all cases of PTCL, but the risk may vary by histologic subtype, and
extranodal involvement > 1 has been consistently found to be a risk factor for
CNS relapse. Currently, there is no consensus about indications for CNS
prophylactic treatment. A high-dose systemic methotrexate-based regimen is the
most commonly used treatment, with or without consolidation with high-dose
chemotherapy with autologous stem cell transplantation for both primary and
secondary CNS involvement. This approach, however, is generally toxic for older
patients. New therapeutic approaches against PTCL are therefore needed.
PMID- 29374825
TI - Optimizing Management of Heart Failure by Using Echo and Natriuretic Peptides in
the Outpatient Unit.
AB - Chronic heart failure (HF) is an important public health problem and is
associated with high morbidity, high mortality, and considerable healthcare
costs. More than 90% of hospitalizations due to worsening HF result from
elevations of left ventricular (LV) filling pressures and fluid overload, which
are often accompanied by the increased synthesis and secretion of natriuretic
peptides (NPs). Furthermore, persistently abnormal LV filling pressures and a
rise in NP circulating levels are well known indicators of poor prognosis.
Frequent office visits with the resulting evaluation and management are most
often needed. The growing pressure from hospital readmissions in HF patients is
shifting the focus of interest from traditionally symptom-guided care to a more
specific patient-centered follow-up care based on clinical findings, BNP and
echo. Recent studies supported the value of serial NP measurements and Doppler
echocardiographic biomarkers of elevated LV filling pressures as tools to
scrutinize patients with impending clinically overt HF. Therefore, combination of
echo and pulsed-wave blood-flow and tissue Doppler with NPs appears valuable in
guiding ambulatory HF management, since they are potentially useful to
distinguish stable patients from those at high risk of decompensation.
PMID- 29374826
TI - Hematopoietic stem cell transplantation for acute myeloid leukemia.
AB - Although allogeneic hematopoietic stem cell transplantation (allo-HSCT) yields a
high rate of curability for acute myeloid leukemia (AML), it is also associated
with transplant-related morbidity and mortality (TRM). The risk and severity of
TRM increase with the use of an alternative donor graft in the absence of an HLA
matched sibling donor (MSD). With the declining birthrate and aging of the
population, the numbers of patients with an MSD are decreasing, and alternative
donor transplants, including the post-transplant cyclophosphamide method using
haplo-identical donors, are increasing. Autologous (auto)-HSCT, which enables the
intensification of chemotherapy, has the advantage of high availability of a
transplant graft, and is associated with a lower TRM, but these benefits may be
offset by a higher rate of relapse due to the lack of a graft-versus-leukemia
(GVL) effect. Although allo-HSCT remains the first-line treatment for poor and
very-poor-risk patients, auto-HSCT is again gaining increased attention. It has
also recently been suggested that cord blood grafts may induce a stronger GVL
effect than other grafts; as such, the positioning of cord blood transplantation
should also be reconsidered for AML patients.
PMID- 29374827
TI - Outcomes of strategic alternative donor selection or suspending donor search
based on Japan Marrow Donor Program coordination status.
AB - In allogeneic hematopoietic stem cell transplantation (allo-HSCT) from unrelated
donors, delays in donor search are adversely associated with patient outcome.
However, the optimal duration for either waiting for an unrelated donor or
selecting alternative sources remains undetermined. Using data from the Japan
Marrow Donor Program (JMDP) registry, we retrospectively analyzed 349 adult
patients who had searched for unrelated donors. Two hundred and three patients
received allo-HSCT from JMDP donors (Group A) with a median of 140 days required
to identify a donor, 60 received allo-HSCT from alternative sources (Group B)
after a median of 111.5 days at which point either all donor candidates had
failed or the patient achieved a second or subsequent complete remission, and 77
suspended allo-HSCT (Group C) after a median of 310 days. The 5-year overall
survival (OS) rate in Group A was superior to that of Group C (48.6 vs 38.5%, P =
0.001). Although Group B included more patients with high or very high disease
risk index (DRI) at the time of allo-HSCT compared with Group A, the 5-year OS
was not significantly different between Groups A and B (48.6 vs 40.9%, P = 0.07),
indicating that switching to alternative donors may benefit patients with high
DRI.
PMID- 29374828
TI - The middle rectal artery arising from the lateral sacral artery.
AB - A middle rectal artery arising from the lateral sacral artery (MRAls) in the
right pelvis of a 99-year-old male was observed. Although variations of the
origin of the middle rectal artery have been reported on many occasions, there
are few descriptions of the trajectory in the literature. In our case, the MRAls
branched from the lateral sacral artery on the sacral surface close to the third
sacral sympathetic ganglion and immediately penetrated the third sacral
splanchnic nerve and the parasympathetic pelvic splanchnic nerve from the ventral
ramus of the forth sacral nerve. The MRAls entered in the lateral wall of the
rectal ampulla without giving off a prostatic branch. Preservation of the pelvic
autonomic nerves are crucial in rectal cancer excision to preserve the autonomic
functions. The close topography of the MRAls to the origin of the fine autonomic
nerves should be noted.
PMID- 29374829
TI - In Vitro Safety Pharmacology Profiling of Topical alpha-Adrenergic Agonist
Treatments for Erythema of Rosacea.
AB - BACKGROUND: Topical alpha-adrenergic agonist therapy has been developed to treat
the persistent erythema of rosacea patients. Brimonidine and oxymetazoline are
both topical alpha-adrenergic agonists. OBJECTIVES: The objective of this in
vitro safety pharmacology study was to compare the potential safety profiles of
brimonidine and oxymetazoline. METHODS: Brimonidine and oxymetazoline underwent
pharmacological profiling with a standard panel of 151 assays, including alpha
adrenergic receptors and 5-hydroxytryptamine (5-HT) receptors. A valvular
interstitial cell (VIC) proliferation assay was performed with oxymetazoline
hydrochloride. RESULTS: Brimonidine was highly selective for the alpha2
adrenergic receptors, specifically alpha2A, whereas oxymetazoline was found to be
much less selective and was highly active against a wide range of targets.
Negligible activity was observed with brimonidine at the 5-HT2B receptor, whereas
oxymetazoline had significant 5-HT2B receptor agonist activity and caused
proliferation of mitral VICs in vitro. CONCLUSION: As the 5-HT2B receptor is
potentially involved in drug-induced valvulopathy, the benefit/risk ratio should
be carefully considered, especially in patients with cardiovascular disease or
other comorbidities.
PMID- 29374830
TI - Correlations between near-infrared spectroscopy, perfusion index, and cardiac
outputs in extremely preterm infants in the first 72 h of life.
AB - : Haemodynamic assessment during the transitional period in preterm infants is
challenging. We aimed to describe the relationships between cerebral regional
tissue oxygen saturation (CrSO2), perfusion index (PI), echocardiographic, and
clinical parameters in extremely preterm infants in their first 72 h of life.
Twenty newborns born at < 28 weeks of gestation were continuously monitored with
CrSO2 and preductal PI. Cardiac output was measured at H6, H24, H48, and H72. The
median gestational age and birth weight were 25.0 weeks (24-26) and 750 g (655
920), respectively. CrSO2 and preductal PI had r values < 0.35 with blood gases,
lactates, haemoglobin, and mean blood pressure. Cardiac output significantly
increased over the 72 h of the study period. Fifteen patients had at least one
episode of low left and/or right ventricular output (RVO), during which there was
a strong correlation between CrSO2 and superior vena cava (SVC) flow (at H6 (r =
0.74) and H24 (r = 0.86)) and between PI and RVO (at H6 (r = 0.68) and H24 (r =
0.92)). Five patients had low SVC flow (<= 40 mL/kg/min) at H6, during which PI
was strongly correlated with RVO (r = 0.98). CONCLUSION: CrSO2 and preductal PI
are strongly correlated with cardiac output during low cardiac output states.
What is Known: * Perfusion index and near-infrared spectroscopy are non-invasive
tools to evaluate haemodynamics in preterm infants. * Pre- and postductal
perfusion indexes strongly correlate with left ventricular output in term
infants, and near-infrared spectroscopy has been validated to assess cerebral
oxygenation in term and preterm infants. What is New: * Cerebral regional tissue
oxygen saturation and preductal perfusion index were strongly correlated with
cardiac output during low cardiac output states. * The strength of the
correlation between cerebral regional tissue oxygen saturation, preductal
perfusion index, and cardiac output varied in the first 72 h of life, reflecting
the complexity of the transitional physiology.
PMID- 29374833
TI - Bioanalytical Methods for New Psychoactive Substances.
AB - Bioanalysis of new psychoactive substances (NPS) is very challenging due to the
growing number of compounds with new chemical structures found on the drugs of
abuse market. Screening, identification, and quantification in biosamples are
needed in clinical and forensic toxicology settings, and these procedures are
more challenging than the analysis of seized drug material because of extremely
low concentrations encountered in biofluids but also due to diverse metabolic
alterations of the parent compounds. This article focuses on bioanalytical single
and multi-analyte procedures applicable to a broad variety of NPS in various
biomatrices, such as blood, urine, oral fluid, or hair. Sample preparation,
instrumentation, detection modes, and data evaluation are discussed as well as
corresponding pitfalls. PubMed-listed and English-written original research
papers and review articles published online between 01 October 2012 and 30
September 2017 were considered.
PMID- 29374834
TI - Advancing Pharmacotherapy Development from Preclinical Animal Studies.
AB - Animal models provide rapid, inexpensive assessments of an investigational drug's
therapeutic potential. Ideally, they support the plausibility of therapeutic
efficacy and provide a rationale for further investigation. Here, I discuss how
the absence of clear effective-ineffective categories for alcohol use disorder
(AUD) medications and biases in the clinical and preclinical literature affect
the development of predictive preclinical alcohol dependence (AD) models.
Invoking the analogical argument concept from the philosophy of science field, I
discuss how models of excessive alcohol drinking support the plausibility of
clinical pharmacotherapy effects. Even though these models are not likely be
completely discriminative, they are sensitive to clinically effective medications
and have revealed dozens of novel medication targets. In that context, I discuss
recent preclinical work on GLP-1 receptor agonists, phosphodiesterase inhibitors,
glucocorticoid receptor antagonists, nociception agonists and antagonists, and
CRF1 antagonists. Clinically approved medications are available for each of these
drug classes. I conclude by advocating a translational approach in which drugs
are evaluated highly congruent preclinical models and human laboratory studies.
Once translation is established, I suggest the burden is to develop hypothesis
based therapeutic interventions maximizing the impact of the confirmed
pharmacotherapeutic effects in the context of additional variables falling
outside the model.
PMID- 29374831
TI - Use of procalcitonin in the diagnosis of tuberculosis in infants and preschool
children.
AB - : Normal procalcitonin (PCT) levels have been reported in adult pulmonary
tuberculosis (TB) but have not been previously investigated in children. We aimed
to assess PCT levels at diagnosis of TB in young children in a low-burden
setting. In a cross-sectional observational study in a referral pediatric center
in Barcelona (Spain), we assessed the value of PCT and other inflammatory markers
(leucocyte counts, C-reactive protein, and erythrocyte sedimentation rate) in the
diagnosis of TB in pre-school children (< 6 years at diagnosis, n = 45), as
compared with two control groups (pneumococcal pneumonia, n = 25; and healthy
controls, n = 49). Normal PCT levels were observed at diagnosis of TB in most
cases, while C-reactive protein values and leucocyte counts were slightly
elevated when compared to healthy controls. All three inflammatory biomarkers
were significantly higher in children with pneumococcal pneumonia. CONCLUSIONS:
In our study, PCT was not a useful diagnostic test for TB in young children. In a
low-burden TB setting, PCT may be of some value in distinguishing pulmonary TB
from pneumococcal pneumonia. What is Known: * Diagnosis of pediatric tuberculosis
on clinical evidence is difficult, particularly in infants and small children. *
Studies in adults with tuberculosis have mostly reported normal procalcitonin
levels at diagnosis. What is New: * In pre-scholars with tuberculosis,
erythrocyte sedimentation rate and white blood cell counts were higher than in
healthy controls, but procalcitonin was not. * Procalcitonin may be useful in the
differential diagnosis of intrathoracic tuberculosis and pneumococcal pneumonia.
PMID- 29374832
TI - Sport-based physical activity recommendations and modifications in C-reactive
protein and arterial thickness.
AB - : We analyzed the effects of 1 year of engagement in >= 300 min/week of organized
sports on inflammatory levels and vascular structure in adolescents. The sample
was composed of 89 adolescents (11.6 +/- 0.7 years old [43 boys and 46 girls]),
stratified according to engagement in >= 300 min/week of sport practice during at
least 12 months of follow-up (n = 15, sport practice; n = 74, non-sport
practice). Arterial thickness (carotid and femoral) was assessed by ultrasound
scan, while high sensitive C-reactive protein levels were used to assess
inflammatory status. Trunk fatness (densitometry scanner), biological maturation
(age at peak height velocity), blood pressure, and skipping breakfast were
treated as covariates. Independently of body fatness and biological maturation,
the group engaged in sports presented a higher reduction in C-reactive protein
(mean difference -1.559 mg/L [95%CI -2.539 to -0.579]) than the non-sport group
(mean difference -0.414 mg/L [95%CI -0.846 to 0.017]) (p = 0.040). There was a
significant relationship between changes in C-reactive protein and changes in
femoral intima-media thickness in the non-sport group (r = 0.311 [95%CI 0.026 to
0.549]). CONCLUSION: Inflammation decreased in adolescents engaged in organized
sports, independently of trunk fatness and biological maturation. Moreover,
inflammation was related to arterial thickening only in adolescents not engaged
in sports. What is Known: * Intima media thickness is a relevant marker of
cardiovascular disease in pediatric groups, being affected by obesity and
inflammation. * The importance of monitoring inflammatory markers from childhood
is enhanced by the fact that alterations in these inflammatory markers in early
life predict inflammation and alterations in carotid IMT in adulthood. What is
New: * Anti-inflammatory properties related to physical exercise performed at
moderate intensity, on inflammation and alterations in IMT are not clear in
pediatric groups. * Due to the importance that sport participation has assumed as
a promoter of improvements in health and quality of life, it is necessary to
understand its potential benefits for cardiovascular health during human growth.
PMID- 29374835
TI - The Role of Glia in Sleep Regulation and Function.
AB - The cellular mechanisms governing the expression, regulation, and function of
sleep are not entirely understood. The traditional view is that these mechanisms
are neuronal. An alternative view is that glial brain cells may play important
roles in these processes. Their ubiquity in the central nervous system makes them
well positioned to modulate neuronal circuits that gate sleep and wake. Their
ability to respond to chemical neuronal signals suggests that they form feedback
loops with neurons that may globally regulate neuronal activity. Their potential
role in detoxifying the brain, regulating neuronal metabolism, and promoting
synaptic plasticity raises the intriguing possibility that glia mediate important
functions ascribed to sleep.
PMID- 29374837
TI - Hepatic Immune System: Adaptations to Alcohol.
AB - Both the innate and adaptive immune systems are critical for the maintenance of
healthy liver function. Immune activity maintains the tolerogenic capacity of the
liver, modulates hepatocellular response to various stresses, and orchestrates
appropriate cellular repair and turnover. However, in response to heavy, chronic
alcohol exposure, the finely tuned balance of pro- and anti-inflammatory
functions in the liver is disrupted, leading to a state of chronic inflammation
in the liver. Over time, this non-resolving inflammatory response contributes to
the progression of alcoholic liver disease (ALD). Here we review the
contributions of the cellular components of the immune system to the progression
of ALD, as well as the pathophysiological roles for soluble and circulating
mediators of immunity, including cytokines, chemokines, complement, and
extracellular vesicles, in ALD. Finally, we compare the role of the innate immune
response in health and disease in the liver to our growing understanding of the
role of neuroimmunity in the development and maintenance of a healthy central
nervous system, as well as the progression of neuroinflammation.
PMID- 29374838
TI - Translational Model Systems for Complex Sodium Channel Pathophysiology in Pain.
AB - Chronic pain patients are often left with insufficient treatment as the
pathophysiology especially of neuropathic pain remains enigmatic. Recently,
genetic variations in the genes of the voltage-gated sodium channels (Navs) were
linked to inherited neuropathic pain syndromes, opening a research pathway to
foster our understanding of the pathophysiology of neuropathic pain. More than 10
years ago, the rare, inherited pain syndrome erythromelalgia was linked to
mutations in the subtype Nav1.7, and since then a plethora of mutations and
genetic variations in this and other Nav genes were identified. Often the
biophysical changes induced by the genetic alteration offer a straightforward
explanation for the clinical symptoms, but mutations in some channels, especially
Nav1.9, paint a more complex picture. Although efforts were undertaken to
significantly advance our knowledge, translation from heterologous or animal
model systems to humans remains a challenge. Here we present recent advances in
translation using stem cell-derived human sensory neurons and their potential
application for identification of better, effective, and more precise treatment
for the individual pain patient.
PMID- 29374836
TI - Corticotropin-Releasing Factor (CRF) Neurocircuitry and Neuropharmacology in
Alcohol Drinking.
AB - Alcohol use is pervasive in the United States. In the transition from
nonhazardous drinking to hazardous drinking and alcohol use disorder,
neuroadaptations occur within brain reward and brain stress systems. One brain
signaling system that has received much attention in animal models of excessive
alcohol drinking and alcohol dependence is corticotropin-releasing factor (CRF).
The CRF system is composed of CRF, the urocortins, CRF-binding protein, and two
receptors - CRF type 1 and CRF type 2. This review summarizes how acute, binge,
and chronic alcohol dysregulates CRF signaling in hypothalamic and extra
hypothalamic brain regions and how this dysregulation may contribute to changes
in alcohol reinforcement, excessive alcohol consumption, symptoms of negative
affect during withdrawal, and alcohol relapse. In addition, it summarizes
clinical work examining CRF type 1 receptor antagonists in humans and discusses
why the brain CRF system is still relevant in alcohol research.
PMID- 29374839
TI - Chronic Alcohol, Intrinsic Excitability, and Potassium Channels: Neuroadaptations
and Drinking Behavior.
AB - Neural mechanisms underlying alcohol use disorder remain elusive, and this lack
of understanding has slowed the development of efficacious treatment strategies
for reducing relapse rates and prolonging abstinence. While synaptic adaptations
produced by chronic alcohol exposure have been extensively characterized in a
variety of brain regions, changes in intrinsic excitability of critical
projection neurons are understudied. Accumulating evidence suggests that
prolonged alcohol drinking and alcohol dependence produce plasticity of intrinsic
excitability as measured by changes in evoked action potential firing and after
hyperpolarization amplitude. In this chapter, we describe functional changes in
cell firing of projection neurons after long-term alcohol exposure that occur
across species and in multiple brain regions. Adaptations in calcium-activated
(KCa2), voltage-dependent (KV7), and G protein-coupled inwardly rectifying (Kir3
or GIRK) potassium channels that regulate the evoked firing and after
hyperpolarization parallel functional changes in intrinsic excitability induced
by chronic alcohol. Moreover, there are strong genetic links between alcohol
related behaviors and genes encoding KCa2, KV7, and GIRK channels, and
pharmacologically targeting these channels reduces alcohol consumption and
alcohol-related behaviors. Together, these studies demonstrate that chronic
alcohol drinking produces adaptations in KCa2, KV7, and GIRK channels leading to
impaired regulation of the after-hyperpolarization and aberrant cell firing.
Correcting the deficit in the after-hyperpolarization with positive modulators of
KCa2 and KV7 channels and altering the GIRK channel binding pocket to block the
access of alcohol represent a potentially highly effective pharmacological
approach that can restore changes in intrinsic excitability and reduce alcohol
consumption in affected individuals.
PMID- 29374840
TI - The inhibitory effect of sacral dorsal root ganglion stimulation on nociceptive
and nonnociceptive bladder reflexes in cats.
AB - PURPOSE: To investigate the inhibitory effects of electrical stimulation of
sacral dorsal root ganglion (DRG) on bladder activity under non-nociceptive and
nociceptive bladder conditions in cats. MATERIALS AND METHODS: 12 cats were
divided into non-nociceptive and nociceptive groups. Saline was used to distend
the bladder and induce non-nociceptive bladder activity, while acetic acid (AA,
0.25%) was used to induce nociceptive bladder overactivity, S1 or S2 DRG
stimulation was applied via a pair of hook electrodes placed in the right S1 and
S2 DRG. RESULTS: In both non-nociceptive and nociceptive groups, stimulation at 3
and 5 Hz significantly increased bladder capacity (BC) and no significantly
different between the two frequencies. In non-nociceptive group, S1 DRG
stimulation at 3 Hz was as effective (increasing BC to 139.7 +/- 5.6 and 166.9 +/
12.21% of control at 1T and 3/2T, respectively) as S2 DRG stimulation (increases
BC to 129.2 +/- 5.6 and 160.5 +/- 13.3% of control). In nociceptive group, AA
reduced the BC to 62.6 +/- 11.7% of saline control. S1 DRG stimulation at 3 Hz
was also as effective (increasing BC to 54.9 +/- 5.5 and 61.9 +/- 6.0% of saline
control at 1T and 3/2T, respectively) as S2 DRG stimulation (increases BC to 58.3
+/- 3.7 and 65.6 +/- 3.7% of control). CONCLUSION: This study showed the
effective inhibition on bladder activity under both non-nociceptive and
nociceptive conditions, suggesting the possibility of sacral DRG stimulation to
treat bladder overactivity.
PMID- 29374841
TI - Robot-assisted laparoscopic versus open ureteral reimplantation for pediatric
vesicoureteral reflux: a systematic review and meta-analysis.
AB - OBJECTIVE: To compare the efficacy and safety of robot-assisted laparoscopic
ureteral reimplantation (RALUR) and open ureteral reimplantation (OUR) in
treating primary pediatric vesicoureteral reflux (VUR) based on published
literature. METHODS: A comprehensive literature search of PubMed, Embase,
Cochrane Library, CBM, CNKI and VIP databases was conducted to identify studies
comparing the outcomes of RALUR with OUR for treating primary pediatric VUR. The
last search was in January 2017. Summarized mean differences (MDs) or odds ratios
(ORs) with 95% confidence intervals (CIs) were used to assess the differences in
outcomes between RALUR and OUR. RESULTS: A total of six studies containing 7122
children with primary VUR were included in this analysis. Significantly longer
operation time was needed for RALUR than OUR (MD 66.69 min, 95% CI 41.71-91.67, P
< 0.00001), while the RALUR group had significantly fewer days of hospital stay
(MD - 17.80 h, 95% CI - 21.18 to - 14.42, P < 0.00001) and postoperative Foley
placement (MD - 0.32 days, 95% CI - 0.57 to - 0.07, P = 0.01). No significant
differences were found in estimated blood loss during operation, success rate,
complications, and postoperative analgesia usage between the two groups. In
subgroup analyses, a significantly higher rate of short-term postoperative
complications in RALUR was found compared with OUR (OR 3.17, 95% CI 1.72-5.85, P
= 0.0002). CONCLUSIONS: Our study indicates that compared with OUR, RALUR is also
an effective surgical approach for primary pediatric VUR and could help patients
return to society more quickly; however, short-term postoperative complications
of RALUR should be considered cautiously.
PMID- 29374842
TI - High-power HoLEP: no thanks!
PMID- 29374843
TI - Rearing system for the predatory phytoseiid Euseius concordis (Acari:
Phytoseiidae).
AB - Several species of predatory mites, especially those of the family Phytoseiidae,
are potentially useful for the control of pest mites and insects. Among the
phytoseiids, Euseius concordis (Chant), a species commonly found in South
America, has been studied for possible use as biological control agent of pest
mites. Given that Euseius species are known to feed on plant leaves and on
pollen, the objective of this study was to determine plant species and pollen
sources suitable to establish a pilot method of production of that species, based
on a set of comparisons in the laboratory. Out of four plant species evaluated in
the study, higher survivorship of E. concordis females in the absence of
supplementary food was observed on leaflets of Canavalia ensiformis L. Out of two
pollen types, higher survivorship was obtained on pollen of Typha domingensis L.
In a subsequent step, the population of E. concordis increased 19.3* within 21
days when that pollen of T. domingensis was offered to the predator on plantlets
of C. ensiformis, at 25.0 +/- 1 degrees C, 70.0 +/- 10% RH and 12 h photophase.
Future studies may confirm the economic viability of this setup for the mass
production of E. concordis.
PMID- 29374844
TI - First record of the tick Ixodes (Pholeoixodes) kaiseri in Turkey.
AB - Nymphs and larvae belonging to Ixodes spp. were collected from a red fox in
Turkey. The ticks were identified morphologically and molecularly (16S rDNA PCR
and phylogenetic analysis) as I. kaiseri. Sequence and phylogenetic analyses show
that our I. kaiseri isolate is very similar to I. kaiseri isolates collected from
Germany, Serbia, Romania, and Hungary. Therefore, the existence of I. kaiseri has
been demonstrated for the first time in Turkey. More studies relating to the
regional distribution and vectorial competence of I. kaiseri are needed.
PMID- 29374846
TI - Structural and functional papez circuit integrity in amyotrophic lateral
sclerosis.
AB - Cognitive impairment in amyotrophic lateral sclerosis (ALS) is heterogeneous but
now recognized as a feature in non-demented patients and no longer exclusively
attributed to executive dysfunction. However, despite common reports of temporal
lobe changes and memory deficits in ALS, episodic memory has been less explored.
In the current study, we examined how the Papez circuit-a circuit known to
participate in memory processes-is structurally and functionally affected in ALS
patients (n = 20) compared with healthy controls (n = 15), and whether these
changes correlated with a commonly used clinical measure of episodic memory. Our
multimodal MRI approach (cortical volume, voxel-based morphometry, diffusion
tensor imaging and resting state functional magnetic resonance) showed reduced
gray matter in left hippocampus, left entorhinal cortex and right posterior
cingulate as well as increased white matter fractional anisotropy and decreased
mean diffusivity in the left cingulum bundle (hippocampal part) of ALS patients
compared with controls. Interestingly, thalamus, mammillary bodies and fornix
were preserved. Finally, we report a decreased functional connectivity in ALS
patients in bilateral hippocampus, bilateral anterior and posterior
parahippocampal gyrus and posterior cingulate. The results revealed that ALS
patients showed statistically significant structural changes, but more important,
widespread prominent functional connectivity abnormalities across the regions
comprising the Papez circuit. The decreased functional connectivity found in the
Papez network may suggest these changes could be used to assess risk or assist
early detection or development of memory symptoms in ALS patients even before
structural changes are established.
PMID- 29374845
TI - Evaluation of vaccine potential of 2-Cys peroxiredoxin from the hard tick
Haemaphysalis longicornis.
AB - Ticks require blood feeding on vertebrate animals throughout their life cycle,
and also concentrate the iron-containing blood, resulting in a high concentration
of hydrogen peroxide (H2O2). High concentrations of H2O2 are harmful to
organisms, due to their serious damage of macromolecules. Ticks have antioxidant
enzymes, such as peroxiredoxins (Prxs), that scavenge H2O2. Prxs may have
important roles in regulating the H2O2 concentration in ticks during blood
feeding and oviposition. Moreover, Prxs are considered potential vaccine
candidates in other parasites, such as Leishmania and Fasciola. In the present
study, the efficacy of a tick Prx (HlPrx2) as a vaccine candidate antigen was
evaluated. First, recombinant HlPrx2 (rHlPrx2) was expressed in Escherichia coli,
and then, its purity and endotoxin levels were confirmed prior to administration.
The rHlPrx2 proteins were of high purity with acceptably low endotoxin levels.
Second, the ability of rHlPrx2 administration to stimulate mouse immunity was
evaluated. The rHlPrx2 protein, with or without an adjuvant, could stimulate
immunity in mice, especially the IgG1 of Th2 immune response. Using Western blot
analysis, we also observed whether rHlPrx2-immunized mice sera could recognize
native HlPrx2 protein in crude tick midgut proteins. Western blot analysis
demonstrated that rHlPrx2-administrated mouse sera could detect the native
HlPrx2. Finally, the effects of rHlPrx2 immunization in mice were studied using
nymphal ticks. Although the challenged ticks were not affected by rHlPrx2
immunization, rHlPrx2 still might be considered as a vaccine candidate against
ticks because of its high immunogenicity.
PMID- 29374847
TI - Volumetric and reflective device dead space of anaesthetic reflectors under
different conditions.
AB - Inhalation sedation is increasingly performed in intensive care units. For this
purpose, two anaesthetic reflectors, AnaConDaTM and MirusTM are commercially
available. However, their internal volume (100 ml) and possible carbon dioxide
reflection raised concerns. Therefore, we compared carbon dioxide elimination of
both with a heat moisture exchanger (HME, 35 ml) in a test lung model. A constant
flow of carbon dioxide was insufflated into the test lung, ventilated with 500
ml, 10 breaths per minute. HME, MIRUS and AnaConDa were connected successively.
Inspired (insp-CO2) and end-tidal carbon dioxide concentrations (et-CO2) were
measured under four conditions: ambient temperature pressure (ATP), body
temperature pressure saturated (BTPS), BTPS with 0.4 Vol% (ISO-0.4), and 1.2 Vol%
isoflurane (ISO-1.2). Tidal volume increase to maintain normocapnia was also
determined. Insp-CO2 was higher with AnaConDa compared to MIRUS and higher under
ATP compared to BTPS. Isoflurane further decreased insp-CO2 and abolished the
difference between AnaConDa and MIRUS. Et-CO2 showed similar effects. In addition
to volumetric dead space, reflective dead space was determined as 198 +/- 6/58 +/
6/35 +/- 0/25 +/- 0 ml under ATP/BTPS/ISO-0.4/ISO-1.2 conditions for AnaConDa,
and 92 +/- 6/25 +/- 0/25 +/- 0/25 +/- 0 ml under the same conditions for MIRUS,
respectively. Under BTPS conditions and with the use of moderate inhaled agent
concentrations, reflective dead space is small and similar between the two
devices.
PMID- 29374848
TI - Honeybees (Apis mellifera) as a biological barrier for contamination of honey by
environmental toxic metals.
AB - The aim of the study was to investigate the transfer of toxic metals from
honeybee workers (Apis mellifera L.) to bee honey in relation to the ecological
state of the environment. The materials of the study consisted of samples of
honeybee bodies and varietal honeys taken from the same apiary located in three
areas: R1-urbanized (16), R2-ecologically clean (16) and R3-industrialized (15)
of south-eastern Poland. The contents of 14 elements in all tested samples,
including toxic metals (Cd, Pb, Hg, Al, Ni, Tl) as well as bioelements (K, Mg,
Ca, Mn, Fe, Zn, Cu, Se), were analysed by the ICP-OES method with prior microwave
mineralization. The concentrations of the majority of the studied elements,
excluding aluminum and lead, were significantly higher in bee bodies than in
honey samples (P < 0.05). The pollution of bee bodies by toxic metals was
dependent on the environmental cleanliness, and the most pollution was observed
in the industrialized area. The bee body was the most effective barrier for Cd
and Tl transfer to the honey, while the level of Ni was similar in both tested
materials. The Al concentration was significantly higher in honey than bee bodies
(14.81 +/- 24.69 and 6.51 +/- 5.83 mg kg-1, respectively), which suggests the
possibility of secondary contamination of honey. The greatest sensitivity to
heavy metal pollution was observed in honeydew honey compared to nectar honeys (P
< 0.05). It was proved for the first time that bees work as biofilters for toxic
metals and prevent honey contamination.
PMID- 29374849
TI - Zebrafish Embryonic Slow Muscle Is a Rapid System for Genetic Analysis of
Sarcomere Organization by CRISPR/Cas9, but Not NgAgo.
AB - Zebrafish embryonic slow muscle cells, with their superficial localization and
clear sarcomere organization, provide a useful model system for genetic analysis
of muscle cell differentiation and sarcomere assembly. To develop a quick assay
for testing CRISPR-mediated gene editing in slow muscles of zebrafish embryos, we
targeted a red fluorescence protein (RFP) reporter gene specifically expressed in
slow muscles of myomesin-3-RFP (Myom3-RFP) zebrafish embryos. We demonstrated
that microinjection of RFP-sgRNA with Cas9 protein or Cas9 mRNA resulted in a
mosaic pattern in loss of RFP expression in slow muscle fibers of the injected
zebrafish embryos. To uncover gene functions in sarcomere organization, we
targeted two endogenous genes, slow myosin heavy chain-1 (smyhc1) and heat shock
protein 90 alpha1 (hsp90alpha1), which are specifically expressed in zebrafish
muscle cells. We demonstrated that injection of Cas9 protein or mRNA with
respective sgRNAs targeted to smyhc1 or hsp90a1 resulted in a mosaic pattern of
myosin thick filament disruption in slow myofibers of the injected zebrafish
embryos. Moreover, Myom3-RFP expression and M-line localization were also
abolished in these defective myofibers. Given that zebrafish embryonic slow
muscles are a rapid in vivo system for testing genome editing and uncovering gene
functions in muscle cell differentiation, we investigated whether microinjection
of Natronobacterium gregoryi Argonaute (NgAgo) system could induce genetic
mutations and muscle defects in zebrafish embryos. Single-strand guide DNAs
targeted to RFP, Smyhc1, or Hsp90alpha1 were injected with NgAgo mRNA into Myom3
RFP zebrafish embryos. Myom3-RFP expression was analyzed in the injected embryos.
The results showed that, in contrast to the CRISPR/Cas9 system, injection of the
NgAgo-gDNA system did not affect Myom3-RFP expression and sarcomere organization
in myofibers of the injected embryos. Sequence analysis failed to detect genetic
mutations at the target genes. Together, our studies demonstrate that zebrafish
embryonic slow muscle is a rapid model for testing gene editing technologies in
vivo and uncovering gene functions in muscle cell differentiation.
PMID- 29374851
TI - Morbidity of local therapy for locally advanced metastatic breast cancer: an
analysis of the Surveillance, Epidemiology, and End Results (SEER)-Medicare
Registry.
AB - PURPOSE: Limited data exist to guide the management of in-breast tumors that can
produce physical and emotional discomfort in the setting of metastatic disease.
We evaluated the morbidity of local therapy (LT) among patients with locally
advanced metastatic breast cancer. METHODS: Patients with de novo T4M1 breast
cancer diagnosed between 2005 and 2011 were identified from the SEER-Medicare
database. We assessed receipt of care for loco-regional morbidity before and
after LT. RESULTS: Among 3660 patients with T4M1 disease, 1558 (43%) underwent LT
[surgery (19%), radiation (15%), both (9%)]. Before LT, few patients were
reported to have loco-regional morbidity (7.9% vs. 6.7% for no LT, P = 0.17).
Following LT, patients were reported to have more loco-regional morbidity than
patients who did not have LT (22.6% vs. 7.9%). More patients without baseline
loco-regional morbidity were reported to have received care for loco-regional
morbidity documented after LT compared to baseline loco-regional morbidity
reported in patients without LT (19.9% vs. 6.3%, P < 0.001). CONCLUSIONS: The
need to address loco-regional morbidity is relatively infrequent among patients
with T4M1 disease who do not undergo LT. Receipt of care for loco-regional
morbidity was higher following LT. For patients without existing loco-regional
morbidity, risks of LT may outweigh potential benefits.
PMID- 29374850
TI - Pathological Bases and Clinical Impact of Intratumor Heterogeneity in Clear Cell
Renal Cell Carcinoma.
AB - PURPOSE OF REVIEW: Intratumor heterogeneity is an inherent event in tumor
development that is receiving much attention in the last years since it is
responsible for most failures of current targeted therapies. The purpose of this
review is to offer clinicians an updated insight of the multiple manifestations
of a complex event that impacts significantly patient's life. RECENT FINDINGS:
Clear cell renal cell carcinoma is the most common renal tumor and a paradigmatic
example of a heterogeneous neoplasm. Next-generation sequencing has demonstrated
that intratumor heterogeneity encompasses genetic, epigenetic, and
microenvironmental variability. Currently accepted protocols of tumor sampling
seem insufficient in unveiling intratumor heterogeneity with reliability and need
to be updated. This variability challenges the precise morphological diagnosis,
its molecular characterization, and the selection of optimal personalized
therapies in clear cell renal cell carcinoma, a neoplasm traditionally considered
chemo- and radio-resistant. We review the state of the art of the different
approaches to intratumor heterogeneity in clear cell renal cell carcinomas, from
the simple morphology to the most sophisticated massive sequencing tools.
PMID- 29374852
TI - Lymphovascular invasion after neoadjuvant chemotherapy is strongly associated
with poor prognosis in breast carcinoma.
AB - PURPOSE: Few studies evaluated the prognostic value of the presence of
lymphovascular invasion (LVI) after neoadjuvant chemotherapy (NAC) for breast
cancer (BC). METHODS: The association between LVI and survival was evaluated in a
cohort of BC patients treated by NAC between 2002 and 2011. Five post-NAC
prognostic scores (ypAJCC, RCB, CPS, CPS + EG and Neo-Bioscore) were evaluated
and compared with or without the addition of LVI. RESULTS: Out of 1033 tumors,
LVI was present on surgical specimens in 29.2% and absent in 70.8% of the cases.
Post-NAC LVI was associated with impaired disease-free survival (DFS) (HR 2.54;
95% CI 1.96-3.31; P < 0.001), and the magnitude of this effect depended on BC
subtype (Pinteraction = 0.003), (luminal BC: HR 1.83; P = 0.003; triple negative
BC: HR 3.73; P < 0.001; HER2-positive BC: HR 6.21; P < 0.001). Post-NAC LVI was
an independent predictor of local relapse, distant metastasis, and overall
survival; and increased the accuracy of all five post-NAC prognostic scoring
systems. CONCLUSIONS: Post-NAC LVI is a strong independent prognostic factor
that: (i) should be systematically reported in pathology reports; (ii) should be
used as stratification factor after NAC to propose inclusion in second-line
trials or adjuvant treatment; (iii) should be included in post-NAC scoring
systems.
PMID- 29374853
TI - A high-risk 70-gene signature is not associated with the detection of tumor cell
dissemination to the bone marrow.
AB - PURPOSE: The 70-gene signature (70-GS) is a prognostic tool, grouping patients in
risk groups to assess their need for adjuvant chemotherapy. Tumor cell
dissemination to the bone marrow is a marker of minimal residual disease and
associated with impaired survival. In this study, we aimed to evaluate whether 70
GS is associated with the presence of disseminated tumor cells (DTCs) in the bone
marrow of patients with early breast cancer. METHODS: In patients with hormone
receptor-positive HER2-negative early breast cancer, the 70-GS was obtained and
the presence of DTCs was immunohistochemically evaluated using cytokeratin
staining with the A45-B/B3 antibody. RESULTS: 149 patients were included into the
analysis. 40 (27%) had a high-risk 70-GS and 35 (23%) had detectable DTCs in
their bone marrow. 9 (22%) of the 40 patients with high-risk 70-GS and 26 (24%)
of the 109 patients with a low-risk 70-GS were positive for DTCs (p = 0.863).
CONCLUSIONS: As both 70-GS and DTC detection are known prognostic factors but do
not seem to correlate, a follow-up on a larger cohort is warranted to evaluate if
a combination of the two is able to better stratify the relapse risk in early
breast cancer patients.
PMID- 29374854
TI - Liraglutide ameliorates palmitate-induced insulin resistance through inhibiting
the IRS-1 serine phosphorylation in mouse skeletal muscle cells.
AB - OBJECTIVE: A reduction in insulin-stimulated glucose uptake in skeletal muscles
is a characteristic of insulin resistance and type 2 diabetes mellitus (T2DM).
The glucagon-like peptide (GLP)-1 agonist liraglutide can reduce blood glucose
levels in individuals with T2DM. However, its effect on insulin-induced glucose
metabolism in the skeletal muscle of insulin resistance is unknown. We
investigated the effects and action mechanisms of liraglutide on insulin
resistance (IR) in the skeletal muscle cells treatment with palmitic acid (PA).
METHODS: The cell-surface GLUT4myc levels were determined by an antibody-coupled
colorimetric assay. The phosphorylation levels of Akt, PI3K(p85alpha), AS160,
IRS1, IKK, and JNK were determined by western blotting. The quantifications of
mRNA levels of TNFalpha, IL-1beta, and IL-6 were determined by real-time PCR.
Analysis of variance was used for data analysis. RESULTS: PA elevated not only
phosphorylation of JNK, IRS1 serines, and IKKalpha/beta, but also the expression
of IL-6, TNFalpha and IL-1beta in C2C12-GLUT4myc cells. PA can reduce
phosphorylation of IRS1 tyrosine. These effects of PA were reversed by
liraglutide. In addition, liraglutide can reverse PA-decreased insulin-stimulated
cell-surface GLUT4 levels, Akt, PI3K(p85alpha), and AS160 phosphorylation.
CONCLUSIONS: Liraglutide can enhance insulin-induced GLUT4 translocation by
inhibiting IRS1 serine phosphorylation in PA-treated muscle cells.
PMID- 29374855
TI - Children on dialysis as well as renal transplanted children report severely
impaired health-related quality of life.
AB - OBJECTIVES: To assess health-related quality of life (HRQoL) across three renal
replacement therapy modalities (preemptive transplant, non-preemptive transplant,
and dialysis) in comparison with the healthy norm and other chronic health
conditions, and to explore related patient factors. STUDY DESIGN: All prevalent
end-stage renal disease (ESRD) patients aged 8-18 years who spent at least 6
months on their current treatment modality in the Netherlands, Belgium, and part
of Germany were approached to complete the Pediatric Quality of Life Inventory
4.0 (PedsQLTM) questionnaire. We determined the differences between groups on
PedsQLTM mean scores, the proportion of children with an impaired HRQoL (>= 1 SD
lower than the healthy norm), the proportion of problems on individual items of
the PedsQLTM, and the effect of time on current treatment. Linear regression
models were used to explore determinants of HRQoL. RESULTS: 192 out of 278
patients (20% preemptive transplant, 58% non-preemptive transplant, 22% dialysis)
filled in the PedsQLTM (response rate 69%). Independent of treatment modality,
patients had significantly lower mean scores and consequently higher proportions
of impaired HRQoL on almost all domains compared to the healthy norm and other
chronic health conditions. Patients with a preemptive transplant only reported
higher scores on physical health compared to the other treatment modalities.
Having comorbidities was the most important determinant associated with lower
HRQoL scores. CONCLUSION: Dialysis and renal transplantation both have a severe
impact on the HRQoL of children with ESRD. Physicians should be aware of this
continuous burden. Furthermore, to develop tailored interventions for children
with ESRD, qualitative studies are needed to gain more insight in the
determinants of HRQoL in the different treatment modalities.
PMID- 29374856
TI - Disparities in women's cancer-related quality of life by Southern Appalachian
residence.
AB - PURPOSE: The purpose was to determine whether Appalachian residence alone or in
combination with violence was linked to poorer quality of life (QOL). METHODS:
Women recently diagnosed and included in either the Kentucky or North Carolina
Cancer Registries were interviewed by phone between 2009 and 2015 (n = 3320; mean
age = 56.74). Response rates were similar by state (40.1 in Kentucky and 40.9% in
North Carolina). Appalachian (N = 990) versus non-Appalachian residents (N =
2330) were hypothesized to have poorer QOL defined as (a) lower Functional
Assessment of Cancer Therapy-General (FACT-G) scores and (b) more symptoms of
depression, stress, or comorbid physical conditions. Lifetime intimate partner or
sexual violence was first investigated as a moderator then mediator of regional
differences. Multiple analyses of covariance (MANCOVA) models were used. RESULTS:
Violence modified the effect of Appalachian residence on poorer QOL outcomes;
FACT-G total scores (p = .02) were lowest for women living in Appalachia who had
additionally experienced violence. Socioeconomic indicators appeared to mediate
or explain differences in QOL outcomes by Appalachian residence such that when
adjusting for income, education and insurance, Appalachian residence remained
associated only with poorer physical QOL outcomes (p < .05). CONCLUSIONS: While
violence rates did not differ by residence, the combined effect of living in
Appalachia and experiencing violence resulted in significantly greater impact on
poorer QOL among women recently diagnosed with cancer. Clinical consideration of
patients' residence, socioeconomic status and violence experienced may help
identify and mitigate the longer-term impact of these identifiable factors
associated with poorer QOL.
PMID- 29374857
TI - Dentate nucleus T1 hyperintensity: is it always gadolinium all that glitters?
AB - In the last few years, several scientific papers and reports have demonstrated
magnetic resonance (MR) signal intensity (SI) changes on pre-contrast T1-weighted
images following multiple gadolinium-based contrast agents (GBCA)
administrations, particularly following the exposure to linear GBCAs.
Pathological animal and human post-mortem studies have confirmed the relationship
between this radiological finding and the presence of gadolinium accumulation in
vulnerable brain regions in patients with normal renal function. In this short
communication, we report the case of a 15-year-old patient affected by b-cell
acute lymphoblastic leukemia (bALL) who developed a hyperintense signal in the
dentate nuclei following multiple administrations of a macrocyclic GBCA. The
purpose of this report is to discuss possible differential diagnoses of this
radiological finding with special focus on the differentiation between iron or
manganese accumulation, post-irradiation changes and GBCA-related Gd deposition,
highlighting the importance of the acquisition of accurate clinical data to
improve our scientific knowledge.
PMID- 29374858
TI - Tools for Visualizing HIV in Cure Research.
AB - PURPOSE OF REVIEW: The long-lived HIV reservoir remains a major obstacle for an
HIV cure. Current techniques to analyze this reservoir are generally population
based. We highlight recent developments in methods visualizing HIV, which offer a
different, complementary view, and provide indispensable information for cure
strategy development. RECENT FINDINGS: Recent advances in fluorescence in situ
hybridization techniques enabled key developments in reservoir visualization.
Flow cytometric detection of HIV mRNAs, concurrently with proteins, provides a
high-throughput approach to study the reservoir on a single-cell level. On a
tissue level, key spatial information can be obtained detecting viral RNA and DNA
in situ by fluorescence microscopy. At total-body level, advancements in non
invasive immuno-positron emission tomography (PET) detection of HIV proteins may
allow an encompassing view of HIV reservoir sites. HIV imaging approaches provide
important, complementary information regarding the size, phenotype, and
localization of the HIV reservoir. Visualizing the reservoir may contribute to
the design, assessment, and monitoring of HIV cure strategies in vitro and in
vivo.
PMID- 29374860
TI - Blood Collection and Cell-Free DNA Isolation Methods Influence the Sensitivity of
Liquid Biopsy Analysis for Colorectal Cancer Detection.
AB - During colorectal cancer (CRC) development tumor-derived cell-free DNA (cfDNA)
can be released into the bloodstream. Many different cfDNA isolation methods and
specific blood collection tubes preventing the release of genomic DNA and
stabilizing cfDNA with preservative reagents became available. These factors may
affect greatly on the further liquid biopsy analyses. Our aim was to test
different blood collection tubes and cfDNA isolation methods to determine whether
these factors influence the cfDNA amount and the promoter methylation of four
previously described hypermethylated biomarkers. Three manual isolation methods
(High Pure Viral Nucleic Acid Large Volume Kit; Epi proColon 2.0 Kit; Quick
cfDNATM Serum & Plasma Kit) and automated sample preparation systems (InviGenius
and InviGenius PLUS) were examined. Furthermore, K3EDTA Vacuette tubes and Streck
Cell-Free DNA BCT(r) tubes were compared. After cfDNA isolation and bisulfite
conversion of samples, the methylation level of SFRP1, SFRP2, SDC2, and PRIMA1
were defined with MethyLight assays. We have ascertained that there are
differences between the cfDNA amounts depending on the isolation methods. Higher
cfDNA yield was observed using InviGenius system than column-based manual
isolation method; however, InviGenius PLUS has produced lower cfDNA amounts. No
remarkable variance could be found between K3EDTA and Streck tubes; slightly
higher cfDNA quantity was detected in 60% of plasma samples using Streck tubes.
In point of methylation level and frequency, manual column-based isolation
produced more consistent results. Automated cfDNA extraction systems are easy-to
use and high-throughput; however, further improvements in the isolation protocols
might lead to the increase of the sensitivity of further methylation analysis.
PMID- 29374861
TI - Air quality and acute myocardial infarction in adults during the 2016 Hangzhou
G20 summit.
AB - To fulfill its commitment to a successful Hangzhou G20 summit (4 to 5 September
2016), the Chinese government implemented a series of measures to improve the air
quality in Hangzhou. We report findings on air quality and acute myocardial
infarction (AMI) hospital admissions in adults during the Hangzhou G20 summit.
Three study periods were defined. The first period was pre-G20 (28 July to 27
August: limited restrictions on industrial emissions). The second period was G20
(28 August to 6 September) when there were further restrictions on industrial
emissions and increased transportation restrictions. The third period was post
G20 (7 September to 6 October) when restrictions were relaxed again. The mean
number of AMI admissions per day was, respectively, 8.2 during G20, 13.3 during
pre-G20, and 15.1 during post-G20. We used time-series Poisson regression models
to estimate the relative risk (RR) for AMI associated with pollution levels. Our
results suggest that the air quality improvement can reduce the number of
hospital admissions for AMI.
PMID- 29374859
TI - Clinical and Economic Outcomes of Interventions to Reduce Antipsychotic and
Benzodiazepine Use Within Nursing Homes: A Systematic Review.
AB - BACKGROUND: Antipsychotic and benzodiazepine medications are widely used in
nursing homes despite only modest efficacy and the risk of severe adverse
effects. Numerous interventions have been implemented to reduce their use.
However, the outcomes for the residents and staff and the economic impact on the
healthcare system remain relatively understudied. OBJECTIVE: The aim was to
examine the clinical and economic outcomes reported within interventions to
reduce antipsychotic and/or benzodiazepine use in nursing homes. METHODS:
Databases searched included PubMed, EMBASE, CINAHL, CENTRAL, Scopus, and
ProQuest. We focussed on interventions with professional (e.g. education) and/or
organisational (e.g. formation of multidisciplinary teams) components. Data were
extracted from the papers that included clinical and/or economic outcomes. Two
authors independently reviewed articles for eligibility and quality. RESULTS:
Fourteen studies reported on clinical outcomes for the residents: 13
antipsychotic reduction studies and one study focussing exclusively on
benzodiazepine reduction. There was substantial heterogeneity in the types of
outcomes reported and the method of reporting. Change in behavioural and
psychological symptoms was the most commonly reported outcome throughout the
antipsychotic reduction interventions (n = 12 studies) and remained stable or
improved in ten of 12 studies. Whilst improvements were seen in emotional
responsiveness, measures of sleep, cognitive function, and subjective health
score remained unchanged upon benzodiazepine reduction. No interventions included
an economic analysis. CONCLUSIONS: Efforts should be made to improve the
consistency in reporting of clinical outcomes within interventions to reduce
antipsychotic and/or benzodiazepine medications. Additionally, the economic
impact of these interventions should be considered. Nonetheless, evidence
suggests that interventions that reduce antipsychotic use are unlikely to have
deleterious clinical effects. The clinical and economic effects of benzodiazepine
reduction remain under-reported.
PMID- 29374862
TI - Organic fertilizer application increases the soil respiration and net ecosystem
carbon dioxide absorption of paddy fields under water-saving irrigation.
AB - Quantifying carbon sequestration in paddy soil is necessary to understand the
effect of agricultural practices on carbon cycles. The objective of this study
was to assess the effect of organic fertilizer addition (MF) on the soil
respiration and net ecosystem carbon dioxide (CO2) absorption of paddy fields
under water-saving irrigation (CI) in the Taihu Lake Region of China during the
2014 and 2015 rice-growing seasons. Compared with the traditional fertilizer and
water management (FC), the joint regulation of CI and MF (CM) significantly
increased the rice yields and irrigation water use efficiencies of paddy fields
by 4.02~5.08 and 83.54~109.97% (p < 0.05). The effects of organic fertilizer
addition on soil respiration and net ecosystem CO2 absorption rates showed inter
annual differences. CM paddy fields showed a higher soil respiration and net CO2
absorption rates during some periods of the rice growth stage in the first year
and during most periods of the rice growth stage in the second year. These fields
also had significantly higher total CO2 emission through soil respiration (total
Rsoil) and total net CO2 absorption compared with FC paddy fields (p < 0.05). The
total Rsoil and net ecosystem CO2 absorption of CM paddy fields were 67.39~91.55
and 129.41~113.75 mol m-2, which were 27.66~135.52 and 12.96~31.66% higher than
those of FC paddy fields. The interaction between water and fertilizer management
had significant effects on total net ecosystem CO2 absorption. The frequent
alternate wet-dry cycles of CI paddy fields increased the soil respiration and
reduced the net CO2 absorption. Organic fertilizer promoted the soil respiration
of paddy soil but also increased its net CO2 absorption and organic carbon
content. Therefore, the joint regulation of water-saving irrigation and organic
fertilizer is an effective measure for maintaining yield, increasing irrigation
water use efficiency, mitigating CO2 emission, and promoting paddy soil
fertility.
PMID- 29374863
TI - Photodegradation of 2,4-dichlorophenol by supported Pd(X2) catalyst (X = Cl, Br,
N3): a HOMO manipulating point of view.
AB - Three different palladium(II) complexes with ligands containing nitrogenized
aromatic rings were investigated theoretically as model to obtain the
computational band gap energies. The results demonstrated promising possibility
for designing palladium(II) complexes with photocatalytic properties at visible
light irradiation. Deliberated products were synthesized via grafting on the
silica-coated Fe3O4 magnetic nanoparticles (Fe3O4@SiO2). Formation of complexes
on the surface of Fe3O4@SiO2, as insoluble and reusable photocatalysts, was
proved by Fourier transform infrared spectroscopy (FTIR), X-ray diffraction
(XRD), thermogravimetric (TGA), X-ray photoelectron spectroscopy (XPS), vibrating
sample magnetometer (VSM), transmission electron microscope (TEM), and scanning
electron microscopy (SEM) analyses. The trend of the band gap energies of
prepared structures was calculated via experimental and theoretical methods. The
photocatalytic capability of these nanoparticles was investigated in degradation
of 2,4-dichlorophenol by means of HPLC analysis. A tentative reaction mechanism
for the formation of intermediates was proposed. Graphical abstract ?.
PMID- 29374864
TI - [Coping with rabies outbreak in Israel].
PMID- 29374865
TI - [FUNCTIONAL TRAJECTORIES BEFORE, DURING AND AFTER ACUTE HOSPITALIZATION OF OLDER
ADULTS IN INTERNAL MEDICINE WARDS].
AB - INTRODUCTION: Hospital-associated functional decline (HAFD) is recognized as a
leading cause of adverse hospitalization outcomes, such as prolonged
hospitalization, falls, readmission, and mortality. Since most patients
hospitalized in internal medicine wards are older-adults, HAFD presents a major
challenge to internal medicine. OBJECTIVES: Describe functional trajectories of
older-adults (aged >=70 years) before, during and after acute hospitalization in
internal-medicine units. METHODS: A prospective cohort study was conducted of 741
older-adults, hospitalized in two tertiary hospitals in Israel during the period
2009-2011. Basic functional status two weeks before admission, on-admission, at
discharge and one-month post-discharge was assessed using the modified Barthel
Index (BI). Eight trajectories were identified. RESULTS: Two-thirds of the
participants were completely or almost independent at the pre-morbid period.
About a half of the older-adults were hospitalized with pre-admission functional
decline, a quarter deteriorated or died during hospitalization, and one-third
improved during hospitalization. Most of the older-adults who were stable in
functioning at the pre-admission period (57.1%) remained stable during and post
hospitalization; however, about a third of them did not return to their pre
morbid functioning levels. Approximately half of those with pre-morbid functional
decline experienced additional deterioration of at least 5 points on the BI
scale. Pre-morbid instrumental functional status, comorbidity and depressive
symptoms have been found to distinguish older adults with similar pre-admission
and in-hospital functional trends. DISCUSSION: Eight functional trajectories
describe the hospitalization period of older-adults in internal-medicine units.
On-admission personal characteristics may be used to identify older-adults who
are at risk of unwarranted hospitalization outcomes and thus allow intervention
in the hospital-community interface.
PMID- 29374866
TI - [THE NATIONAL FINAL INTERNAL MEDICINE EXAMINATION SCORE - IS IT PREDICTABLE?]
AB - INTRODUCTION: There is no current medical licensing examination in Israel (2016).
The only objective indicators which reflect students' medical knowledge and basic
clinical reasoning skills are the national medical examination scores. The aim of
the present study was to investigate the nature of the relationship between
students' demography, gender and academic achievements during their pre-clinical
studies and their final national internal medicine examination scores. METHODS:
The study was based on data collected by the Technion information system. The
study cohort consisted of medical students admitted to the Technion Faculty of
Medicine over a decade from 2005-2014, via a standard admission procedure.
Students accepted on the basis of former academic achievement were not part of
this cohort. The cohort was divided into three, based on the level of success in
the final national examination in internal medicine. CONCLUSIONS: Our main
conclusions were: (1) the admission screening criteria (scores of matriculation
and psychometric tests) are helpful markers for predicting success in the final
examination in internal medicine; (2) students who performed the psychometric
tests in the Arabic language have relatively lower-achieving grades in the final
examination in internal medicine in comparison to students taking the same
psychometric exam in the Hebrew language. Furthermore, (3) high achievements in
core preclinical courses and especially in the "integrative course" in the first
2 trimesters of the fourth year of studies are relatively strong predictors for
success in the final examination in internal medicine.
PMID- 29374867
TI - [SOCIAL-ECONOMIC-DEMOGRAPHIC DIFFERENCES IN REASONS NOT TO COMPLY IN TIME WITH
ROUTINE CHILDHOOD VACCINATIONS].
AB - BACKGROUND: In recent years, there has been an increase in parents who do not
comply with recommended routine vaccines. The vaccination coverage rates in
Israel are known, but the reasons for not completing immunizations are unknown.
The aim of this study was to assess the extent of failure to complete the routine
vaccinations in time and the reasons among different population groups. METHODS:
This is a retrospective case-control cohort study, among children born in 2009. A
total of 14,232 medical records of children who received services at Mother-Child
Health Clinics were checked. The children who received all three core vaccines
(3th Hepatitis B, 4th DTaP and MMR vaccines) were considered fully compliant and
those missing at least one vaccination were considered non-compliant. Six reasons
for not complying were identified: medical reasons, parental refusal to
vaccinate, requests for a deferred schedule of vaccinations, parental behavior,
organizational reasons and others. The differences between groups were analyzed
by univariate analysis and logistic regression. RESULTS: In this study, 7.4% of
children, were not fully compliant with all three core vaccines within two years.
The main reasons in the Jewish population were: refusal and requests for
variation in scheduling (44.3%) and in the Arabic population: parental behavior
(40.8%). The risk for not completing the vaccinations was higher in children of
Jewish mothers, residence in the Hadera sub-district, parents who had an academic
education and older parents. CONCLUSIONS: There are differences between Arab and
Jewish populations in the reasons for not complying in time with childhood
vaccinations. In order to obtain herd immunity in the Israeli population, we need
to tailor interventions suitable for each population group according to their
characteristics.
PMID- 29374868
TI - [LAPAROSCOPICALLY-ASSISTED ULTRASOUND-GUIDED PERCUTANEOUS TRANSABDOMINAL OOCYTE
COLLECTION: FERTILITY PRESERVATION IN A 17-YEARS-OLD GIRL WITH VAGINAL EWING
SARCOMA].
AB - INTRODUCTION: Options for preserving fertility in children and adolescents with
cancer depend on patient age, the available time frame, and the treatment
regimen. Ovarian stimulation with mature oocyte preservation is often the optimal
method in post-menarcheal adolescents. We describe a case of a 17-year-old girl
with vaginal soft-tissue Ewing sarcoma in whom transvaginal oocyte collection for
fertility preservation was ruled out by the large tumor. To overcome the
limitations of the transabdominal approach, we applied a novel method of
laparoscopically-assisted ultrasound-guided percutaneous transabdominal oocyte
collection. In this manner, we were able to both perform oophorectomy and obtain
superficial and deep ovarian follicles for cryopreservation.
PMID- 29374869
TI - [SOCIAL IMPACT BONDS FOR HEALTH PROMOTION AND PREVENTIVE MEDICINE].
AB - INTRODUCTION: The World Health Organization (WHO) has declared obesity a global
epidemic. WHO sheds much light on this matter in its publications on health
promotion and preventative medicine. Lack of physical activity, an unbalanced
diet and an unhealthy lifestyle are the leading causes of developing obesity and
chronic diseases. In Israel, the growing rate of obesity is a reason for concern.
About 500,000 diabetics, mainly as a result of obesity, live in Israel today and
by 2030 the number is expected to rise to 2,000,000. Every third child born is
expected to develop diabetes by the time they reach the age of 40 unless a
profound change is made in health policy. The State of Israel recognizes its
responsibility in promoting awareness against obesity as well as its role in
prevention. In spite of the country's recognition of the problem, it still has
not managed to implement long term solutions which address the issue. Therefore,
creative and innovative solutions are called for. The social impact bond (SIB), a
newly developed financial model is a possible solution. This model suggests the
entry of private investors into the public sector, a field which is within the
responsibility of the government. The private investor will be in charge of
running a social program on a topic which will be finalized with the government.
The private investor and the government will have a contract outlining the
program and the criteria for the evaluation and the success of the program. To
note, the private investor will only be paid according to the success of the
program. Thus the purpose of SIB is in motion processes and is set to serve as a
model for several years, and then the authorities will take over the
responsibility and continue with the program that the SIB handled. In March 2016,
a new SIB was launched in Israel to prevent Type 2 diabetes. This involves 2250
pre-diabetic adults who are at risk to develop Type 2 diabetes and will be
identified by their Health Maintenance Organizations (HMOs, Clalit and Leumit)
through blood tests. The participants will take part in an intensive intervention
wellness program during 3 years. This SIB aims to prevent the onset of diabetes.
If successful, and a significant reduction in Type 2 diabetes is found, there
will be substantial savings for the HMOs and the National Insurance Institute,
which will in turn repay the investors for their expenses, according to the
signed contract. This SIB will serve as a pilot project for diabetes prevention
and if successful, this model can be adopted for other projects in preventive
medicine and health promotion. Thereby, we may be facing a dramatic change in the
paradigm of funding national health services in Israel.
PMID- 29374870
TI - [THE SYNDROME OF COMBINED PULMONARY FIBROSIS AND EMPHYSEMA - CPFE].
AB - INTRODUCTION: There is an increasing recognition of patients with radiologic
features of both pulmonary fibrosis and emphysema. This association has enabled
the description of a syndrome termed combined pulmonary fibrosis and emphysema
(CPFE) with unique features. Patients are mostly male smokers who suffer from
effort dyspnea and hypoxemia, with near-normal spirometric and lung volumes
measurements, and abnormalities of gas exchange. On the computerized tomography
there is upper-lobe emphysema and lower-lobe interstitial fibrosis. CPFE is
frequently complicated by pulmonary hypertension, lung cancer, acute lung injury
and coronary artery disease. Mortality is significant, especially in the presence
of pulmonary hypertension. In this article we will review the CPFE syndrome.
PMID- 29374871
TI - [SHOULD EVERY WOMAN AFTER LABOR BE OFFERED PELVIC FLOOR PHYSIOTHERAPY?]
AB - INTRODUCTION: Pelvic floor damage can occur during pregnancy, during childbirth
or post-partum, and may be expressed by symptoms such as urinary incontinence,
fecal and gas incontinence, sexual dysfunction, pelvic organ prolapse and chronic
pelvic pain. Some of the symptoms, which manifest later in a woman's life, will
go unrecognized in the immediate postpartum period. Most women do not mention
their general health, unless specifically asked. Physiotherapists, who are adept
with the anatomy of the musculoskeletal system and the ability to diagnose unique
differences, can aid in the diagnosis and treatment of postpartum pelvic floor
problems. Monitoring, pelvic floor physiotherapy and exercise can be effective
both in treatment and prevention of functional disorders of the pelvic floor. In
this article, we will discuss pelvic floor problems and their appearance
throughout pregnancy and childbirth, and the means of treatment from the
physiotherapist's perspective. We raise the question as to whether all postpartum
women could benefit from a training program of pelvic floor muscles. Pelvic floor
physiotherapy is included in the health basket in Israel, but is not broadly
utilized. Wider use could be adopted, particularly in light of the latest
research evidence.
PMID- 29374872
TI - [FORCE-FEEDING OR LIFE-SAVING? - DEALING WITH HUNGER STRIKES IN ISRAEL].
AB - INTRODUCTION: Force feeding of fasting hunger-striking prisoners is the subject
of considerable controversy in Israel and elsewhere, posing a direct conflict
between two basic ethical values: that of human life and respect for autonomy.
The Israel Medical Association, as well as the World Medical Association, has
taken the position that force feeding of such prisoners is an act of torture and
is therefore unethical. However this paper presents an opposing view, which
recently became the law in Israel, that, whereas the rights of prisoners to
decree a hunger strike as a form of protest should be respected, if the
prolongation of the strike reaches a stage of clear and present danger to the
life and health of a prisoner, the saving of the prisoner's life must take
precedence over considerations of autonomy. The paper present the steps that
should be taken, including extensive and empathic efforts to persuade the
prisoner to end his/her fast; gaining the approval of a hospital's ethics
committee; and gaining the approval of a district court judge; the feeding is to
be carried out in the most humane and sensitive manner as befitting a lifesaving
procedure for any patient. This position is consistent with Israel's Basic Law:
Human Dignity and Liberty, Israel's Patient Rights Law, Israel's "Do not stand
idly by your fellow man's blood" Law, with several Israeli court decisions and in
keeping with the dominant Israeli culture. Finally, instructions for physicians
who object to such measures are discussed, ascertaining the freedom of conscience
as well as preserving the life of the hunger-strikers.
PMID- 29374873
TI - [A hunger strike and force-feeding of prisoners in Israel].
PMID- 29374874
TI - [FORCE-FEEDING OR LIFE-SAVING? - DEALING WITH HUNGER STRIKES IN ISRAEL].
AB - INTRODUCTION: Although the management of quality of care by the health funds has
contributed to its improvement, medical teams criticize the way it is performed.
Many call for renewed values-driven thinking and to leave the concern for quality
in the hands of the medical teams, relying on "self control and enforcement",
based on values, compassion, concern for others, patient service, discipline and
personal responsibility. This article aims to present an economic perspective on
the measurement of quality of care. It places the development of "measuring the
quality of care and its management" within the development of the organization of
care, health insurance and payment arrangements for medical teams. The conclusion
is that there is no "first best" method to improve the quality of care. Each
method - including the quantitative-functional measurement-based method used in
many systems and the value-driven, self enforced method proposed by many - has
advantages and disadvantages. The choice of a method should be based on these two
sides, discussed jointly by medical teams, the health funds, hospitals and the
Health Ministry.
PMID- 29374875
TI - [TRMU MUTATIONS - REVERSIBLE INFANTILE LIVER FAILURE OR MULTISYSTEM DISORDER?]
AB - INTRODUCTION: Bi-allelic mutations in the TRMU gene cause reversible infantile
liver failure. Little is known about extra-hepatic manifestations in these
patients. BACKGROUND: Two infants, aged 4 and 5 months, presented with
progressive life threatening liver failure, characterized by lactic acidosis,
highly elevated alpha-fetoprotein and recurrent hypoglycemia. Both showed
significant extra-hepatic findings, including: hypothyroidism, macrocytic anemia
and microcephaly. Both were of Jewish Yemenite descent and homozygous for Y77H
mutation in the TRMU gene. CONCLUSIONS: TRMU bi-allelic mutations cause severe
life-threatening liver failure. Extra-hepatic involvement is common and should be
evaluated. Spontaneous resolution and recovery occurs in most patients with a
remarkably good long-term prognosis. Liver failure in a Jewish-Yemenite infant
should prompt early genetic testing for TRMU Y77H mutation. Pediatricians should
be aware of this disease and the common mutation in Israel. DISCUSSION: Nineteen
additional patients were described in the literature, of whom 13 were from
Israel; 6/19 (31%) manifested extra-hepatic involvement, namely: myopathic
weakness, cardiomyopathy, renomegaly and proteinuria, bulbar dysfunction,
cerebral white matter changes and abnormal growth including microcephaly.
Mortality was 24% (5/21). Survivors (16/21, 76%) showed complete recovery and
resolution of clinical, laboratory and histologic abnormalities. Most Israeli
patients (10/15) were of Jewish-Yemenite ancestry. Homozygous Y77H genotype was
exclusive to this patient subgroup and was associated with a 100% survival and
recovery rate.
PMID- 29374876
TI - [SOLITARY KIDNEY - IS IT TOO LITTLE?]
AB - INTRODUCTION: Solitary functioning kidney (SFK) occurs with unilateral renal
agenesis (URA) in 1/2000 live births - or after uninephrectomy for tumor, trauma,
uncontrolled infections or hypertension and post-kidney donation. URA-associated
states include urological, cardiac, gastrointestinal and skeletal anomalies or it
might be a component of a genetic syndrome. In 10% of cases of URA another family
member is affected. In any case of SFK a compensatory process is triggered
consisting of glomerular hypertension with hyperfiltration which achieves 75% of
two kidneys' function. In the long-run this process might be detrimental causing
further loss of functioning nephrons, inability to sustain functional
compensation and progressive kidney function deterioration. The risk for this
chain of events is determined in the first place by the number of nephrons in the
SFK, which cannot be assessed in vivo. Hints for reduced nephron number in the
single kidney include prematurity or SGA-small for date birth weight, urological
anomalies with or without accompanying infections, lack of increased single
kidney size - compensatory hypertrophy. Age of onset of the compensatory process
and acquired factors including nephrotoxin exposure, overweight/obesity,
excessive salt and/or protein intake contribute to the risk of progressive renal
damage. Life-long follow-up of all subjects with SFK is recommended from early
age for lifestyle education: recommended diet and tailored physical activity,
nephrotoxin avoidance along with early detection of renal injury signs:
albuminuria, hypertension or depressed kidney function - GFR (glomerular
filtration rate) targeting timely intervention for preservation of functioning
renal mass.
PMID- 29374877
TI - [Making contributions to healthy China].
PMID- 29374879
TI - [Guideline of preconception and prenatal care(2018)].
PMID- 29374878
TI - [Chinese guideline for diagnosis and management of polycystic ovary syndrome].
PMID- 29374880
TI - [PCOS: through the lens of experience, evidence-based, and precision medicine].
PMID- 29374881
TI - [Effect of hyperandrogenism on obstetric complications of singleton pregnancy
from in vitro fertilization in women with polycystic ovary syndrome].
AB - Objective: To compare the difference in risks of obstetric complications of
singleton pregnancy between women with hyperandrogenic polycystic ovary syndrome
(PCOS) and women with normoandrogenic PCOS. Methods: Prospective cohort study.
This study was a secondary analysis of data collected during a multicenter
randomized controlled clinical trial. Women who got clinical singleton pregnancy
were grouped according to whether they were diagnosed with hyperandrogenism at
baseline. There were 118 women with hyperandrogenism and 366 women without
hyperandrogenism. The incidences of obstetric complications and birth weight were
compared between the two groups. Results: Women with hyperandrogenic PCOS had a
significantly higher risk of preterm delivery than women with normoandrogenic
PCOS [12.7% (15/118) versus 3.6% (13/366); OR=3.94, 95%CI: 1.82-8.56]. After
adjustment of age, duration of infertility, body mass index, and fresh or frozen
embryo transfer group, hyperandrogenism was still associated with an increased
risk of preterm delivery (OR=3.67, 95%CI: 1.67-8.07). Compared with women with
normoandrogenic PCOS, women with hyperandrogenic PCOS had similar risks of
pregnancy loss, gestational diabetes mellitus, pre-eclampsia, placenta previa,
and postpartum hemorrhage (all P>0.05). Birth weight as well as the risks of
being small for gestational age and large for gestational age were also
comparable between the two groups (all P>0.05). Conclusion: In women with PCOS
and singleton pregnancy, those with preconceptional hyperandrogenism have a
higher risk of preterm delivery than those without hyperandrogenism.
PMID- 29374882
TI - [Value of anti-Mullerian hormone in predicting pregnant outcomes of polycystic
ovary syndrome patients undergone assisted reproductive technology].
AB - Objective: Explore the value of anti-Mullerian hormone (AMH) in predicting
pregnant outcomes of polycystic ovary syndrome (PCOS) patients undergone assisted
reproductive technology. Methods: The study totally recruited 1 697 patients who
underwent the first in vitro fertilization (IVF) or intracytoplasmic sperm
injection (ICSI) cycle in Sun Yat-sen Memorial Hospital from the January 2014 to
December 2015. The patients were divided into two groups based on the age<35
(n=758) and >=35 years old (n=939) , compare the basic data and pregnant outcomes
of controlled ovarian hyerstimulation. Spearman correlation method was conducted
to analyze the relations between AMH and clinical outcomes. The logistic
regression method and partial correlation analysis were used to judge the main
factors which determine pregnancy outcomes by controlled the confounding factors.
The receiver operating characteristic curve (ROC) was used to evaluate the
predictive sensitivity and specificity of AMH. Results: In the group of PCOS
patient younger than 35 years, AMH were correlated with the number of antral
follicles (r=0.388) and retrieved oocytes (r=0.235) . When the effect of total
dosage and starting dosage of gonadotropin were controlled, AMH was still
significantly associated with the number of retrieved oocytes (P<0.05) . AMH had
no predictive value for the clinical pregnancy of PCOS patient younger than 35
years (area under ROC curve=0.481, P=0.768) . In the group of PCOS patient>=35
years old, AMH were correlated with the number of antral follicles (r=0.450) ,
retrieved oocytes (r=0.399) , available embryo (r=0.336) and high quality embryo
(r=0.235) . When the effect of total dosage and starting dosage of gonadotropin
were controlled, the correlations were still significant between those indexes
(all P<0.05) . AMH had no predictive value for the clinical pregnancy of PCOS
patient >=35 years old (area under ROC curve=0.535, P=0.560) . However, the
clinical pregnancy rate of the group of PCOS patient >=35 years old was slightly
higher than the control group (P=0.062) . Conclusions: AMH has no predictive
value for the pregnancy outcome of PCOS patient. The pregnancy rate of PCOS
patient >=35 years old is slightly higher than the younger group, because the
PCOS patient may have better ovarian reserve.
PMID- 29374883
TI - [Analysis of pregnancy outcomes of polycystic ovary syndrome patients after
frozen embryo transfer].
AB - Objective: To investigate pregnancy outcomes of the patients with polycystic
ovary syndrome (PCOS) after frozen embryo transfer (FET) . Methods: Data of 2 367
PCOS patients received in vitro fertilization-embryo transfer [including fresh
embryo transfer (fET) and FET] from January 2009 to December 2015 in Peking
University Third Hospital were evaluated retrospectively. The basal
characteristics, pregnancy complications and outcomes were analyzed, then
identified the relative factors followed. Results: Totally 2 367 patients
received in vitro fertilization-embryo transfer: 1 106 were treated with fET, and
the rest 1 261 cases were treated with FET. The incidence of gestational diabetes
mellitus (GDM) was lower in FET group [4.04%(51/1 261) versus 6.15%(68/1 106)],
the difference was statistically significant (P<0.05). Singletons born after FET
had higher birth weight than fET [(3 406+/-548) versus (3 360+/-533) g], the
difference was statistically significant (P<0.05). There was no difference of
other pregnancy complications between the two groups (all P>0.05). fET was an
independent risk factor for GDM (adjusted OR=1.570, 95%CI: 1.075-2.294).
Conclusion: Compared with fET, FET could decrease the risk of GDM and receive
better neonatal outcomes in patients with PCOS.
PMID- 29374884
TI - [Chinese expert consensus on the diagnosis and treatment of Herlyn-Werner
Wunderlich syndrome, Mayer-Rokitansky-Kuster-Hauser syndrome and vaginal
atresia].
PMID- 29374885
TI - [Clinical outcome of therapeutic cervical cerclage in short cervix syndrome].
AB - Objective: To investigate the clinical effect of therapeutic cervical cerclage on
short cervix syndrome for anti-premature birth in the second trimester. Methods:
Totally 44 singleton pregnant patients were diagnosed as short cervix syndrome,
which was cervical length <=2.5 cm without cervical dilatation, and received
treatment from January 2008 and July 2015 in Peking University Third Hospital
were collected. Among them, 30 patients who received therapeutic cervical
cerclage were defined as cerclage group and another 14 cases who received
conservative treatment were defined as un-cerclage group. The days of
conservative treatment, delivery rate of different gestational weeks, birth
weight of newborns, neonatal survival rate within 7 days of birth were analyzed
between the two groups. Results: There were no significant differences between
the two groups in days of pregnancy conservative treatment [103 (84-141) vs 105
(85-114) days], delivery weeks [38.0 (35.5-39.4) vs 38.5 (37.3-39.5) weeks],
birth weight of newborns [3 120 (2 750-3 400) vs 3 130 (2 760-3 545) g], and
survival rate of newborns [100% (30/30) vs 13/14]. The fetuses of both groups
were all delivered after 28 weeks. There was no significant difference in
accumulated delivery rate between the two groups after 32 weeks, 34 weeks, and 37
weeks, respectively (all P>0.05) . Conclusions: The treatment of cervical
cerclage is not superior to conservative means in single pregnancy of cervical
length <=2.5 cm without cervical dilatation. For such patients with short cervix
syndrome, the treatment of cervical cerclage may not be necessary, but dynamic
monitoring and search for the causing factors and prompt treatment are more
important.
PMID- 29374886
TI - [Some reflections on evidenced-based medicine, precision medicine, and big data
based research].
AB - Evidence-based medicine remains the best paradigm for medical practice. However,
evidence alone is not decisions; decisions must also consider resources available
and the values of people. Evidence shows that most of those treated with blood
pressure-lowering, cholesterol-lowering, glucose-lowering and anti-cancer drugs
do not benefit from preventing severe complications such as cardiovascular events
and deaths. This implies that diagnosis and treatment in modern medicine in many
circumstances is imprecise. It has become a dream to identify and treat only
those few who can respond to the treatment. Precision medicine has thus come into
being. Precision medicine is however not a new idea and cannot rely solely on
gene sequencing as it was initially proposed. Neither is the large cohort and
multi-factorial approach a new idea; in fact it has been used widely since 1950s.
Since its very beginning, medicine has never stopped in searching for more
precise diagnostic and therapeutic methods and already made achievements at
various levels of our understanding and knowledge, such as vaccine, blood
transfusion, imaging, and cataract surgery. Genetic biotechnology is not the only
path to precision but merely a new method. Most genes are found only weakly
associated with disease and are thus unlikely to lead to great improvement in
diagnostic and therapeutic precision. The traditional multi-factorial approach by
embracing big data and incorporating genetic factors is probably the most
realistic way ahead for precision medicine. Big data boasts of possession of the
total population and large sample size and claims correlation can displace
causation. They are serious misleading concepts. Science has never had to observe
the totality in order to draw a valid conclusion; a large sample size is required
only when the anticipated effect is small and clinically less meaningful;
emphasis on correlation over causation is equivalent to rejection of the
scientific principles and methods in epidemiology and a call to give up the
assurance for validity in scientific research, which will inevitably lead to
futile interventions. Furthermore, in proving the effectiveness of intervention,
analyses of real-world big data cannot displace the role of randomized controlled
trial. We expressed doubts and critiques in this article on precision medicine
and big data, merely hoping to stimulate discussing on the true potentials of
precision medicine and big data.
PMID- 29374887
TI - [Sex-specific associations between tobacco smoking and risk of cardiovascular
diseases in Chinese adults].
AB - Objective: To examine the sex-specific associations between tobacco smoking and
risk of cardiovascular diseases in Chinese adults. Methods: The present analysis
included 487 373 participants from the China Kadoorie Biobank after excluding
those with cancer, heart diseases, stroke at baseline survey. The baseline survey
was conducted from June 2004 to July 2008. The number of follow-up years was
calculated from the time that the participants completed baseline survey to the
time of any event: CVD incidence, death, loss of follow-up, or December 31, 2015,
whichever occurred first. We used Cox proportional hazards regression models to
estimate the HRs and 95%CI of incident cardiovascular diseases with tobacco
smoking. Results: During a median follow-up of 8.9 years(a total of 4.1 million
person years), we documented 33 947 cases of ischemic heart diseases, 6 048 cases
of major coronary diseases, 7 794 cases of intracerebral hemorrhage, and 31 722
cases of cerebral infarction. The prevalence of smoking was much higher in men
(67.9%) than in women (2.7%). Smoking increased risk of all subtypes of
cardiovascular diseases. Compared with nonsmokers, the multivariable-adjusted HRs
(95% CI) for current smokers were 1.54 (1.43-1.66) for major coronary event, 1.28
(1.24-1.32) for ischemic heart disease, 1.18 (1.14-1.22) for cerebral infarction,
and 1.07 (1.00-1.15) for intracerebral hemorrhage, respectively. Female smokers
tended to have greater risk of developing major coronary event associated with
amount of tobacco smoked daily (interaction P=0.006) and age when smoking started
(interaction P=0.011). There was no sex difference in these two effects for
ischemic heart diseases, intracerebral hemorrhage and cerebral infarction (all
interaction P>0.05). Conclusions: This prospective study confirmed increased risk
of all subtypes of cardiovascular diseases in current smokers. Smoking was more
harmful to women than to men for major coronary event.
PMID- 29374888
TI - [Risk factors related to HIV new infections among men who have sex with men in a
cohort study].
AB - Objectives: To analyze and understand the risk factors related to HIV new
infections among men who have sex with men (MSM). Methods: A longitudinal
observational study among MSM was conducted to collect information on HIV related
behaviors and sero-conversion. Univariate and multivariate generalized estimating
equations (GEE) were used to discuss the risk factors for HIV new infection.
Results: A total number of 4 305 MSM were followed during 2013-2015. Among those
self-reported MSM who are seeking partners on the Interner tended to have higher
proportion on receptive anal intercourse and consistent condom use during anal
intercourse than the subgroups seeking their partners in gay bars or bathrooms.
HIV incidence among followed MSM during the study period appeared as 4.3/100
person years, with adjusted RR (aRR) of HIV infection for receptive anal
intercourse as group 2.20 (95% CI: 1.49-3.24) times than that of insertion anal
intercourse group. Those who used rush-poppers (aRR=1.55, 95% CI: 1.10-2.17),
unprotected anal intercourse (aRR=2.24, 95%CI: 1.62-3.08), and those with
syphilis infection (aRR=2.95, 95%CI: 2.00-4.35) were also risk factors for HIV
new infections. After controlling other factors, the relationship between the
ways of seeking partners and HIV new infection was not statistical significant.
Conclusion: Risk factors for HIV new infection among MSM appeared complex and
interactive, suggesting that further studies are needed to generate tailored
strategies for the prevention of HIV epidemic among MSM population.
PMID- 29374889
TI - [Sources and characteristics of newly reported HIV/AIDS cases in Yiwu, Zhejiang
province, 2015-2016].
AB - Objective: To understand the sources and characteristics of newly reported
HIV/AIDS cases in Yiwu, Zhejiang province, from 2015 to 2016. Methods: From
January 1, 2015 to December 31, 2016, newly reported HIV/AIDS cases in Yiwu were
recruited in the study and they were asked to complete an in-depth field
epidemiology questionnaire. Information related to their previous sexual
behaviors and infection sources or routes were collected in the survey. The data
were processed and analyzed with EpiData 3.0 and SPSS 19.0 software. Results: A
total of 320 participants from 393 newly reported HIV/AIDS cases in Yiwu during
this period were surveyed and recruited in the study. Most of them were males
(268, 83.8%), unmarried (141, 44.1%), aged between 25-44 years (166, 51.9%), had
census register in other provinces (217, 67.8%) and educational level of junior
high school (128, 40.0%). Among 305 cases whose infection times could be
determined, 107 (35.1%) were newly infected within one year, and among 267 cases
whose infection location could be determined, 200 (74.9%) were infected in Yiwu.
New infections were mainly detected in those who had homosexual behaviors or
causal sex partners (during the past year) and through active detection approach.
Local infections were mainly among those who had local residence and commercial
heterosexual behavior in Yiwu. Conclusions: Most newly reported HIV/AIDS cases in
Yiwu from 2015-2016 were infected for more than one year and locally infected.
Census registration of other provinces, homosexual sexual behavior, and casual
sexual behavior give major contribution to HIV/AIDS epidemic in Yiwu.
PMID- 29374890
TI - [Deaths attributable to alcohol use and its impact on life expectancy in China,
2013].
AB - Objective: To analyze the deaths attributable to alcohol use and its impact on
people's life expectancy in China in 2013. Methods: The mortality data from the
Disease Surveillance Points System and alcohol use data from China Chronic
Disease Surveillance (2013) were used. The deaths attributed to alcohol use and
its impact on the life expectancy of Chinese residents were estimated based on
the principle of comparative risk assessment by calculating population
attributable fraction. Results: In 2013, alcohol use resulted in 381 200 deaths,
including 97 100 hemorrhagic stroke deaths, 88 200 liver cancer deaths, 61 400
liver cirrhosis deaths and 48 700 esophageal cancer deaths, and prevented 76 500
deaths, including 68 500, 4 900 and 3 100 deaths which might be caused by
ischemic heart disease, hemorrhagic stroke and diabetes respectively. If risk
factor of alcohol use is removed, the people's life expectancy would rise by an
average of 0.43 years, especially in western China by 0.52 years, which was 0.12
years higher than that in eastern and central China, and the life expectancy of
the population in rural and urban areas would rise by 0.48 years and 0.31 years
respectively. Conclusions: Although alcohol has a protective effect on reducing
ischemic heart disease, stroke and diabetes deaths, alcohol use is still a risk
factor influencing the mortality and life expectancy of residents in China. It is
necessary to take targeted measures to reduce the health problems caused by
harmful use of alcohol.
PMID- 29374891
TI - [Epidemiological analysis on mortality of cancer in China, 2015].
AB - Objective: To understand the distribution of cancer deaths in China in 2015 and
provide reference for the prevention and control of cancer. Methods: Based on the
results of Global Burden of Disease 2015, the cancer death distributions in
different age groups, sex groups, provinces or by different malignant tumor in
Chinese were described. Results: The age-standardized mortality rate of cancer
was 159.01/100 000 in China in 2015. The mortality rate was highest in age group
>=70 years (1 102.73/100 000), and lowest in age group 5-14 years (5.40/100 000).
The mortality rate in males was 2.15 times higher than that in females. The first
5 provinces with high cancer mortality rate were Anhui, Qinghai, Sichuan, Guangxi
and Henan. Lung cancer, liver cancer, stomach cancer, esophageal cancer and
colorectal cancer ranked 1-5 in term of mortality rate. Conclusion: The cancer
mortality differed with age, gender, area and different malignant tumors,
suggesting the necessity to develop targeted prevention and control strategies.
PMID- 29374892
TI - [Trend of cancer mortality in Hebei province, 1973-2013].
AB - Objective: To analyze the data of malignant tumor mortality and change in disease
burden in Hebei province from 1973 to 2013. Methods: Cancer mortality rate, age
standardized mortality rate and the years of life lost due to premature mortality
(YLLs) were calculated by using the data from three rounds of all death causes
survey and database of cancer registry in Hebei during 1973-2013. Results: From
1973 to 2013, a linear upward of malignant tumor mortality was observed, with a
51.57% increase. The mortality rate during 1973-1975 was 98.52/100 000 and it was
149.33/100 000 during 2011-2013. During 1973-1975, the YLLs was 17.0/1 000 in
males and 12.8/1 000 in females. While during 2011-2013, the YLLs was 23.2/1 000
in males and 15.9/1 000 in females. During 1973-1975, esophagus cancer, stomach
cancer and liver cancer were top three leading causes of deaths. During 2011
2013, lung cancer, stomach cancer and liver cancer were main leading causes of
deaths. During the past 40 years, the deaths of esophagus cancer and cervix
cancer decreased dramatically, but the deaths of lung cancer and breast cancer
increased sharply. Conclusions: The disease burden caused by malignant tumor is
becoming more serious in Hebei. It is necessary to strengthen the primary
prevention and screening of malignant tumor.
PMID- 29374893
TI - [Epidemiology of human rabies in China, 2016].
AB - Objective: To understand the epidemiological characteristics of human rabies in
China in 2016 and provide evidence for the control and prevention of human
rabies. Methods: The incidence data of human rabies in China in 2016 were
collected from national infectious disease reporting information management
system. The surveillance data were collected from provinces of Shandong, Guizhou,
Anhui, Hunan, Jiangsu and Guangxi Zhuang Autonomous Region. Excel 2013 software
was used to process and summarize the data, the epidemiological characteristics
of human rabies in China in 2016 were described by using indicators such as
morbidity, mortality and constituent ratio. Results: A total of 644 human rabies
cases were reported in 28 provinces in China in 2016, a decrease of 19.60%
(157/801) compared with 2015. The provinces reporting high incidences of human
rabies were Henan, Hunan, Guangxi and Guizhou, accounting for 39.44% (254/644) of
the total cases. One case was reported in Qinghai province and Xinjiang Uygur
Autonomous Region respectively. The male to female ratio of the cases was 2.14?1
(439/205), and the majority of the patients were farmers (444/644). Surveillance
points in 6 provinces reported 1 281 340 persons seeking post-exposure treatment,
of whom 1 018 367 were treated for dog bite or scratch. A total of 764 234
persons completed the vaccination series, accounting for 63.90% (764 234/1 195
956) of the persons with grade II and III exposures, and 28.89% (165 677/573 571)
of the persons with grade III exposure were treated with passive immunization
product. The average density of dogs in each surveillance area was 7.03/100
persons, the average canine immunization rate was 37.64%. Conclusion: The
incidence of human rabies has remained decline in China in 2016, the number of
the affected provinces has increased and that has the tendency of spreading to
low-risk regions. The cases mainly occurred in men and farmers, and caused by dog
bite or scratch. It is necessary to strengthen the health education about rabies
prevention and control in rural areas and expand the coverage of canine
immunization to prevent and control human rabies.
PMID- 29374894
TI - [Epidemiology of human infection with avian influenza A(H7N9) virus in China,
2013-2017].
AB - Objective: To understand the epidemiological characteristics of human infection
with avian influenza A (H7N9) virus in China, and provide evidence for the
prevention and control of human infection with H7N9 virus. Methods: The published
incidence data of human infection with H7N9 virus in China from March 2013 to
April 2017 were collected. Excel 2007 software was used to perform the analysis.
The characteristics of distribution of the disease, exposure history, cluster of
the disease were described. Results: By the end of April 2017, a total of 1 416
cases of human infection with H7N9 virus were confirmed in China, including 559
deaths, the case fatality rate was 39.5%. In 2016, the case number was lowest
(127 cases), with the highest fatality rate (57.5%). The first three provinces
with high case numbers were Zhejiang, Guangdong and Jiangsu. The median age of
the cases was 55 years and the male to female ratio was 2.3?1. Up to 66% of cases
had clear live poultry exposure history before illness onset, 31% of cases had
unknown exposure history and only 3% of the cases had no live poultry exposure
history. There were 35 household clusters (5 in 2013, 9 in 2014, 6 in 2015, 5 in
2016, 10 in 2017), which involved 72 cases, accounting for 5% of the total cases.
Conclusions: The epidemic of human infection with H7N9 virus in China during 2013
2017 had obvious seasonality and spatial distribution. There was limited family
clustering. Infection cases were mostly related to poultry contact.
PMID- 29374895
TI - [Temporal-spatial analysis of bacillary dysentery in the Three Gorges Area of
China, 2005-2016].
AB - Objective: To analyze the spatial and temporal distributions of bacillary
dysentery in Chongqing, Yichang and Enshi (the Three Gorges Area) from 2005 to
2016, and provide evidence for the disease prevention and control. Methods: The
incidence data of bacillary dysentery in the Three Gorges Area during this period
were collected from National Notifiable Infectious Disease Reporting System. The
spatial-temporal scan statistic was conducted with software SaTScan 9.4 and
bacillary dysentery clusters were visualized with software ArcGIS 10.3. Results:
A total of 126 196 cases were reported in the Three Gorges Area during 2005-2016,
with an average incidence rate of 29.67/100 000. The overall incidence was in a
downward trend, with an average annual decline rate of 4.74%. Cases occurred all
the year round but with an obvious seasonal increase between May and October.
Among the reported cases, 44.71% (56 421/126 196) were children under 5-year-old,
the cases in children outside child care settings accounted for 41.93% (52
918/126 196) of the total. The incidence rates in districts of Yuzhong, Dadukou,
Jiangbei, Shapingba, Jiulongpo, Nanan, Yubei, Chengkou of Chongqing and districts
of Xiling and Wujiagang of Yichang city of Hubei province were high, ranging from
60.20/100 000 to 114.81/100 000. Spatial-temporal scan statistic for the spatial
and temporal distributions of bacillary dysentery during this period revealed
that the temporal distribution was during May-October, and there were 12 class I
clusters, 35 class II clusters, and 9 clusters without statistical significance
in counties with high incidence. All the class I clusters were in urban area of
Chongqing (Yuzhong, Dadukou, Jiangbei, Shapingba, Jiulongpo, Nanan, Beibei,
Yubei, Banan) and surrounding counties, and the class II clusters transformed
from concentrated distribution to scattered distribution. Conclusions: Temporal
and spatial cluster of bacillary dysentery incidence existed in the three gorges
area during 2005-2016. It is necessary to strengthen the bacillary dysentery
prevention and control in urban areas of Chongqing and Yichang.
PMID- 29374896
TI - [Epidemiology of scrub typhus and influencing factors in Yunnan province, 2006
2013].
AB - Objective: To understand the epidemiological characteristics of scrub typhus and
influencing factors in Yunnan province, and provide further information for the
prevention and control of scrub typhus. Methods: Based on the incidence data of
scrub typhus reported in Yunnan from 2006 to 2013, the epidemiological
characteristics of scrub typhus were analyzed and related environmental factors
were identified with panel negative binomial regression model. Results: A total
of 8 980 scrub typhus cases were reported during 2006-2013 in Yunnan. The average
annual incidence was 2.46/100 000, with an uptrend observed. Natural focus
expansion was found, affecting 71.3% of the counties in 2013. The epidemic mainly
occurred in summer and autumn with the incidence peak during July-October. The
annual incidence was higher in females than in males. More cases occurred in
children and farmers, the proportions of cases in farmers and pre-school aged
children showed an obvious increase. Panel negative binomial regression model
indicated that the transmission risk of scrub typhus was positive associated with
monthly temperature and monthly relative humidity. Furthermore, an "U" pattern
between the risk and the increased coverage of cropland and grassland as well as
an "inverted-U" pattern between the risk and increased coverage of shrub were
observed. Conclusion: It is necessary to strengthen the scrub typhus surveillance
in warm and moist areas as well as the areas with high coverage of cropland and
grassland in Yunnan, and the health education in children and farmers who are at
high risk.
PMID- 29374897
TI - [Analysis on epidemiological and temporal-spatial distribution characteristics of
hemorrhagic fever with renal syndrome in Shandong province, 2010-2016].
AB - Objective: To analyze the epidemiological and temporal-spatial distribution
characteristics of hemorrhagic fever with renal syndrome (HFRS) in Shandong
province during 2010-2016 and provide references for developing prevention and
control measures. Methods: Based on the data of Infectious Disease Reporting
Information System in China, the incidence and temporal-spatial distribution of
HFRS in Shandong from 2010 to 2016 were analyzed by spatial autocorrelation and
space-time scan statistics. Results: A total of 9 114 HFRS cases were reported in
Shandong during this period. The cases were mainly distributed in age group 30-70
years, and the male to female ratio of the cases was 2.63 ? 1. Most cases were
farmers. The higher incidence rate was reported in southeastern Shandong, while
the lower incidence rate was reported in northwestern Shandong. Among the
epidemic periods, the highest incidence rate was 1.87/100 000 in 2013. The
results of spatial autocorrelation and space-time scanning indicated that the
high-high clusters of HFRS were concentrated in southeastern Shandong and then
spread to central Shandong. The cluster mainly occurred from the end of 2011 to
the first half of 2015. Both the incidence rate and the cluster decreased in
2016. Conclusions: The epidemic and cluster of HFRS still existed in Shandong
from 2010 to 2016. The key areas for the prevention and control of HFRS were in
southeastern and central Shandong.
PMID- 29374898
TI - [Circulation and etiological characterization of Salmonella enterica serotype in
human in Guangdong province, 2007-2016].
AB - Objective: To understand the circulation, drug resistance and molecular
characteristics of Salmonella1, 4, [5], 12: i:- in human in Guangdong province.
Methods:Salmonella1, 4, [5], 12: i:- isolated from diarrhea patients in Guangdong
during 2007-2016 were detected for drug resistance, genes and PFGE
characteristics. Results: A total of 2 960 strains Salmonella1, 4, [5], 12: i: -
were isolated from human diarrhea cases during this period. The positive rates of
the isolation increased year by year. The male to female ratio of the infection
cases was 1.58?1, and the infection mainly occurred in infants and young
children. Except imipenem, Salmonella1, 4, [5], 12: i: - was resistant to other
17 antibiotics to some extent. The drug resistant rates to ceftazidime,
cefotaxime and ciprofloxacin increased from 2011 to 2016. Multi-drug resistance
was serious, for example, the multi-drug resistant strains with ASSuT accounted
for 70.62% (435/616) and the multi-drug resistant strains with ACSuGSTTm
accounted for 27.11% (167/616). The lack of fljA, fljB and hin genes, as well as
the retaining of iroB, STM2740, STM2757 genes, resulted in the unable expression
of FljBenx gene with 8 different defection profiles. There were 934 different
PFGE patterns observed in 2 347 strains, which displayed a relatively large
fingerprint polymorphism. The major PFGE pattern was JPXX01. GD0226, which was
found in 97 strains, accounting for 4.13% (97/2 347). The PFGE patterns in 168
Salmonella1, 4, [5], 12: i: - strains were consistent with that of Salmonella
typhimurium. Conclusions:Salmonella1,4,[5], 12: i: - strains has become the major
serotype of Salmonella that cause diarrhea in human in Guangdong. The multi-drug
resistance of Salmonella1,4, [5], 12: i: - was serious, and since the defection
of fljA, fljB and hin genes, the expression of FljBenx protein failed. The PFGE
results were diverse, which displayed polymorphism in inheritance.
PMID- 29374899
TI - [Characteristic and dynamic of HIV-1 subtype distribution in men who have sex
with men in Guangzhou, 2008-2015].
AB - Objective: To understand the characteristics and dynamic of HIV-1 subtype
distribution in men who have sex with men (MSM) in Guangzhou between 2008 and
2015. Methods: HIV-1 RNAs were extracted from serum samples of the individuals
newly diagnosed with HIV-1 infection among MSM living in Guangzhou between 2008
and 2015. The pol gene segments of HIV-1 genome from these RNA samples were
amplified by nested reverse transcription polymerase chain reaction (nested-PCR)
and were sequenced. Subsequently, the phylogenetic tree was reconstructed using
pol sequences of samples and references together and the subtype of HIV-1 was
determined. The distributions of HIV-1 subtypes detected in MSM with different
demographic characteristics in different years were compared. Results: A total of
2 210 pol gene segments were successfully obtained from 2 473 serum samples of
the MSM. The average age of 2 210 MSM was 30.19 years with standard deviation of
8.22 years, the unmarried MSM and those in Han ethnic group accounted for 73.39%
and 90.81%, respectively. The proportion of subtype CRF07_BC (38.10%) was
highest, followed by CRF01_AE (34.84%), CRF55_01B (14.62%), B (6.06%), URFs
(3.58%), CRF59_01B (2.17%) and other subtypes (0.63%). The annual proportions of
subtype B (P=0.000, 99%CI:0.000-0.000), CRF07_BC (chi(2)=14.965, P=0.036),
CRF55_01B (chi(2)=18.161, P=0.011) and URFs (P=0.001, 99% CI: 0.000-0.001) were
significantly different. The proportion of subtype B showed a gradual decrease
from 14.08% to 4.33% (P=0.000, 99%CI: 0.000-0.000), while the proportion of URFs
rapidly increased from 0% to 6.40% (P=0.000, 99% CI: 0.000-0.000). The rate of
URFs was significantly higher in farmers and migrant workers than in other groups
(P=0.017, 99%CI: 0.014- 0.020) and the rate of URFs was higher in individuals who
had multi sexual partners (chi(2)=5.733, P=0.017). Conclusions: CRF07_BC and
CRF01_AE were the predominant HIV-1 subtypes and multiple subtypes co-circulated
among MSM in Guangzhou between 2008 and 2015. The recombinations of HIV-1
continue to occur in MSM. Strengthening behavioral intervention for farmers,
migrant workers and individuals who have multi sexual partners has the important
epidemiological significance against the emerging and circulating of the novel
recombinant virus among MSM in Guangzhou.
PMID- 29374900
TI - [Genetic characterization of norovirus isolated in an outbreak of gastroenteritis
in Jiangsu province].
AB - Objective: To analyze the genetic characterization of norovirus isolated in an
outbreak of gastroenteritis in Jiangsu province. Methods: Extracted viral RNA
from the swab samples of cases of acute gastroenteritis outbreak in Jiangsu
province on December 16-27, 2016 was reversely transcribed to cDNA, and partial
RNA-dependent RNA polymerase sequence and complete capsid sequence (VP1) were
amplified by RT-PCR. Amplification products were sequenced for the analysis of
genetic characteristics. Results: Based on sequence alignment, the variant shared
a high level of identity with the strain GII.g isolated in Spain and Finland
(98.7%) in the RNA-dependent RNA polymerase region, and with the strain GII.1
isolated in American (99.4%) in the VP1. The recombination was determined by
using software Simplot, and the breakpoint of recombination was located in the
ORF1/2 overlap region at position 5 106 of VP1. The result of amino acids
alignment in capsid region showed that there were no mutations in the amino acids
of the predicted epitopes and receptor binding site I-III, but a unique amino
acid change was detected at position 132 (N-S). Conclusion: The norovirus
isolated in the outbreak of gastroenteritis in Jiangsu province was a rare
recombinant norovirus variant GII.g-GII.1.
PMID- 29374901
TI - [Association between rs10938397 polymorphism in GNPDA2 and obesity in children at
different stages of development].
AB - Objective: To examine the association between rs10938397 polymorphism in
glucosamine-6-phosphate deaminase 2 (GNPDA2) and risk of obesity in children at
different stages of development and analyze the differences in the association.
Methods: A total of 3 503 school-aged children were selected from the Beijing
Child and Adolescent Metabolic Syndrome (BCAMS) study in Beijing and their
complete anthropometry weight, height, fat mass percentage (FMP), fat mass index
(FMI) and free fat mass index (FFMI) and sexual maturation (SM) data were used.
The developmental stages were evaluated using male testicular volume and female
breast Tanner staging. FMP, FM and FFM were measured by bioelectrical impedance
analysis. General obesity and adiposity were respectively defined according to
Chinese sex-age-specific body mass index (BMI) cutoffs and sex-age-specific FMP
cutoffs. The SNP rs10938397 were genotyped by the TaqMan Allelic Discrimination
Assay with the GeneAmp 7900 sequence detection system (Applied Biosystems, Foster
city, CA, USA). Relationships between rs10938397 polymorphism and BMI, FMP, FMI
and FFMI and different types of obesity were tested using multivariate linear
regression and logistic regression models. Results: After age adjustment and
correction for multiple testing, the rs10938397-G was associated with BMI and
risk of general obesity in boys in early puberty (beta=0.328, P=0.001; OR=1.420,
95%CI: 1.126-1.790), and the rs10938397-G was associated with BMI in girls in
late puberty (beta=0.266, P=0.001). The associations of GNPDA2 rs10938397-G with
FFMI and FMI were observed in boys in early puberty (beta=0.137, P=0.016;
beta=0.202, P=0.007) and the associations of rs10938397-G with FMP and FMI were
observed in girls in late puberty (beta=0.153, P=0.002; beta=0.168, P=0.001). The
rs10938397-G was also associated with adiposity in girls in late puberty
(OR=1.339, 95%CI: 1.093-1.637). Conclusion: The rs10938397 polymorphism in GNPDA2
is associated with adiposity in girls, and it is important to use an accurate
indicator of obesity in exposing the genuine association between genes and
obesity.
PMID- 29374902
TI - [Analysis of community colorectal cancer screening in 50-74 years old people in
Guangzhou, 2015-2016].
AB - Objective: To analyze the effect of colorectal cancer screening in the general
population in Guangzhou, and provide evidence for the for development of
colorectal cancer screening policy and strategy. Methods: The data of colorectal
cancer screening in Guangzhou during 2015- 2016 were collected. The
participation, the positive rate of fecal occult blood test, the detection rate
of colonoscopy and screening effect of colonoscopy were evaluated. Results: A
total of 220 834 residents aged 50-74 years received the screening, and the
positive rate of the screening was 16.77% (37 040 cases). Colonoscopy was
performed for 7 821 cases (21.12%). Colorectal lesions were found in 4 126 cases
(52.76%), of which 614 (7.85%) and 73 (0.93%) and 230 (2.94%) were identified as
advanced adenoma, severe dysplasia lesions and colorectal cancers, respectively.
The detection rates of all colorectal lesions were higher in men than in women
(all P<0.01). The diagnostic rate of early lesion was 87.24%, and 99 early cancer
cases were found, accounting for 46.26% of the total cases. The overall screening
detection rate of colorectal cancer was 104.15/100 000, higher than the incidence
rate (81.18/100 000) in colorectal cancer surveillance (P<0.001), but age group
<70 years had higher detection rate, age group >=70 years had higher incidence
rate. Conclusions: The colorectal cancer screening strategy in Guangzhou is
effective in the detection of the population at high risk, increase the detection
rate of colorectal lesions, early diagnosis rate of precancerous lesions and
diagnosis rate of early colorectal cancer. The benefit in those aged <=69 years
was more obvious than that in those aged 70-74 years. It is necessary to improve
the compliancy of colorectal cancer screening in population at high risk.
PMID- 29374903
TI - [Dynamic path analysis on life course epidemiology].
AB - In the studies of modern epidemiology, exposure in a short term cannot fully
elaborate the mechanism of the development of diseases or health-related events.
Thus, lights have been shed on to life course epidemiology, which studies the
exposures in early life time and their effects related to the development of
chronic diseases. When exploring the mechanism leading from one exposure to an
outcome and its effects through other factors, due to the existence of time
variant effects, conventional statistic methods could not meet the needs of
etiological analysis in life course epidemiology. This paper summarizes the
dynamic path analysis model, including the model structure and significance, and
its application in life course epidemiology. Meanwhile, the procedure of data
processing and etiology analyzing were introduced. In conclusion, dynamic path
analysis is a useful tool which can be used to better elucidate the mechanisms
that underlie the etiology of chronic diseases.
PMID- 29374904
TI - [Causality in objective world: Directed Acyclic Graphs-based structural parsing].
AB - The overall details of causality frames in the objective world remain obscure,
which poses difficulty for causality research. Based on the temporality of cause
and effect, the objective world is divided into three time zones and two time
points, in which the causal relationships of the variables are parsed by using
Directed Acyclic Graphs (DAGs). Causal DAGs of the world (or causal web) is
composed of two parts. One is basic or core to the whole DAGs, formed by the
combination of any one variable originating from each time unit mentioned above.
Cause effect is affected by the confounding only. The other is an internal DAGs
within each time unit representing a parent-child or ancestor-descendant
relationship, which exhibits a structure similar to the confounding. This paper
summarizes the construction of causality frames for objective world research
(causal DAGs), and clarify a structural basis for the control of the confounding
in effect estimate.
PMID- 29374905
TI - [Progress in research of family-based cohort study on common chronic non
communicable diseases in rural population in northern China].
AB - Family-based cohort study is a special type of study design, in which biological
samples and environmental exposure information of the member in a family are
collected and related follow up is conducted. Family-based cohort study can be
applied to explore the effect of genetic factors, environmental factors, gene
gene interaction, and gene-environment interaction in the etiology of complex
diseases. This paper summarizes the objectives, methods and results, as well as
the opportunities and challenges of the family-based cohort study on common
chronic non-communicable diseases in rural population in northern China.
PMID- 29374906
TI - [Progress in research of vaccination for patients with cancer].
AB - Due to the tumor malignancy or immunosuppressive treatment, patients with cancer
in general are more susceptible to vaccine-preventable infections. The types,
timing, dose of vaccination or even the immunization program for them may differ
from those for the normal persons. At present, it is recommended to use
inactivated vaccines for patients with cancer rather than attenuated live
vaccines, Vaccinations should be avoided during immunosuppressive therapy;
patients with cancer should receive double dosage of hepatitis B vaccines and two
doses of inactivated influenza vaccines yearly. This paper summarizes the
progress in clinical trials of vaccination for cancer patients in foreign
countries, and provide reference for the development and implementation of
vaccination strategy for cancer patients in China.
PMID- 29374907
TI - [Progress in research of relationship between heavy metal exposure and
cardiovascular disease].
AB - Heavy metal is one of pollutants existed widely in the environment, its
relationship with cardiovascular disease has attracted more and more attention.
In this review, the concentrations of heavy metals, including lead, cadium and
asenic, in the body from several national surveillance networks and the
epidemiological studies on the effects of the exposure of three heavy metals on
cardiovascular system were summarized. It is suggested to strengthen nationwide
surveillance for body concentrations of heavy metals in general population in
order to provide baseline data for quantitative evaluation of the risk of heavy
metal exposure on cardiovascular disease.
PMID- 29374908
TI - [Donor specific antibodies and antibody mediated rejection].
PMID- 29374909
TI - [A preliminary research on the exosome influenced by virus infection in the renal
transplantation recipients].
AB - Objective: Virus infection is a common complication of transplantation.With the
research and application of exosome is becoming more popular, this study focused
on whether the virus particles and nucleic acids exist in the exosomes extracted
from the plasma of recipients with virus infection after renal transplantation.
Methods: A total of 10 independent transplantation recipients at Institute of
Organ Transplantation, 309th Hospital of Chinese People's Liberation Army from
January 2015 to July 2017 were studied in this study.5 cases of positive or
suspected positive in granulocytes HCMV pp65 antigen detection and positive in
plasma HCMV DNA test, and the other 5 cases of positive results in plasma BK DNA
test were adopted.Exosomes were extracted from the collected plasma samples with
SBI kit.Electron microscopy and nanoparticles tracing analyzer (NTA) were used
for exosome analysis.Quantitative real-time PCR method was used to inspect and
compare virus DNA copies number in plasma, exosome and effluent. Results: Typical
exosome-like vesicle structure was observed.NTA put forward the sample
concentration data from 1.2 to 4.5*10(12) particles/ml, and the particle
diameters were 30-200 nm.In the qRT-PCR assays, the viral DNA quantitative
results of exosome samples are lower but on the same magnitude compared with that
of the plasma, and sharply decreased in effluent. Conclusions: Virus DNAs in
exosome samples of recipients with viral infection after transplantation were
detected in great quantities.This not only hints the spread of the virus may take
advantage of the biological formation process of exosomes, but also warns that
the limitation of the existing way to extract exosmes from virus infected
population may be a bottleneck in research.
PMID- 29374910
TI - [Clinical efficacy of tonsillectomy in renal transplant patients with recurrent
IgA nephropathy].
AB - Objective: To investigate the therapeutic efficacy of tonsillectomy for patients
with recurrence of IgA nephropathy (IgAN) after kidney transplantation. Methods:
From May 2014, tonsillectomy was performed in 11 renal transplant patients with
biopsy-proved recurrent IgAN. In a median follow-up of 14 (4-38) months, data of
proteinuria, hematuria, estimated glomerular filtration rate (eGFR), and serum
levels of IgA in these patients were compared before and after
tonsillectomy.Patient's survival and renal graft survival were also summarized.
Results: A remission of proteinuria was observed in 8 patients after
tonsillectomy, and this status maintained well in the subsequent follow-up.Three
patients had no or minimal reduction of proteinuria after tonsillectomy and
returned to dialysis within 1 year after tonsillectomy.Possible causes could be
severe primary IgAN of crescentric glomerulonephritis, IgAN recurrence in kidney
retransplantation, and late tonsillectomy after IgAN recurrence.Serum levels of
IgA significant decreased and no patients developed acute rejection or infection
after tonsillectomy.In the 1-year follow-up, no patients died and grafts survived
well in 8 out of 11 patients. Conclusions: Tonsillectomy may represent an
effective and reliable way to treat recurrence IgAN after kidney transplantation,
and may be applied widely in the future clinical management. However, early
intervention is critical and effects may depend on the pathological features of
primary IgAN.
PMID- 29374911
TI - [Distribution and drug resistance of pathogens in infected organ donors from
donation after the citizen death].
AB - Objective: To investigate the distribution and drug resistance of pathogens in
infected organ donors from donation after the citizen death (DCD). Methods:
Clinical data of 217 DCD donors from January 2013 to June 2017 were
retrospectively analyzed.The phlegm, urine, blood and drainage fluid from all of
the donors were routinely cultured.The infection rate of the donors, the
composition ratio of pathogens and the distribution of specimen sources were
observed and the drug resistance was analyzed. Results: Of all the 217 donors,
128 were infected and the infection rate was 59%.A total of 218 pathogens were
isolated from these infected donors, including 55.5% (121/218) of gram-negative
pathogens, 33.5% (73/218) of gram-positive pathogens followed by 11.0% (24/218)
of fungi.The pathogenic specimens were mainly derived from sputum samples
(72.5%), followed by urine (15.6%). The mainly two gram-negative pathogens were
Klebsiella pneumonia and Acinetobacter baumannii.Klebsiella pneumonia exhibited
varying degree of resistance to commonly used antibiotics, whereas susceptible to
imipenem and meropenem.Acine-tobacterbaumannii was highly resistant to most of
the antibiotics, and the drug resistance rate of imipenem and meropenem was over
60%, displaying a tendency of multi-drug resistance.Staphylococcus aureus, as the
mainly gram-positive pathogen, was generally resistant to penicillin and
clindamycin, but still sensitive to tovancomycin, teicoplanin and linezolid.
Conclusions: DCD donors have a high infection rate, and respiratory infection is
most common. Gram-negative pathogens are the primary pathogens causing infection
in DCD donors.Klebsiella pneumonia maintain susceptible to imipenem and
meropenem, while Acinetobacter baumannii reveals a tendency of multi-drug
resistance.Gram-positive pathogens are still sensitive to vancomycin, teicoplanin
and linezolid.
PMID- 29374912
TI - [Selective preimplantation pathological evaluation in renal transplantation: a
single center's experience].
AB - Objective: To summarize the clinical data of pre-implantation biopsy donors in
our hospital and explore the clinical characteristics of those donors in
pathological high-risk, and to provide references for the selective histological
evaluation of extended criteria donor kidneys. Methods: We retrospectively
reviewed the clinical data and pre-implantation renal pathologic score of donors
from January 1, 2015 to May 1, 2017.During this period, 247 cases of donation
after citizen's death (DCD) occurred.After clinical evaluation and selective
machine perfusion( Lifeport) evaluation, 30 cases of pre-implantation
pathological evaluation were performed.According to Remuzzi scores, donors were
divided into low-risk and high-risk group.Nine cases of low-risk group (bilateral
kidney's Remuzzi score <=3) and 16 cases of high-risk group (bilateral or
unilateral kidney's Remuzzi score >=4, severe glomerular micro-thrombi or severe
tubular necrosis) were included.Five cases of donors were excluded due to only
unilateral renal pathological result available.Both high-risk and low-risk
groups' clinical data, including sex, age, height, body weight, body mass index,
proteinuria, hematuria, urinary glucose, baseline or admission serum creatinine,
serum creatinine before procurement, history of hypertension and/or diabetes
mellitus, cardiopulmonary resuscitation or not, with or without the history of
shock, urine output prior to acquisition, macroscopical manifestations of donor
kidney, cause of death were statistically analyzed. Results: The donors' baseline
serum creatinine/upper limit of normal serum creatinine range in high-risk group
were significantly higher than that in low-risk group [(129.8+/-42.2)% vs(92.4+/
30.5)%, P=0.029]. The poor macroscopical manifestations of donor kidneys were
significantly more frequent in high-risk group than that in low-risk group (12/16
vs 0/9, P= 0). No significant differences between two groups were found regarding
their age, height, weight, BMI, proteinuria, hematuria, urine glucose, pre
procure creatinine level, history of hypertension and/or diabetes mellitus, cause
of death and so on (P>0.05). Conclusions: After clinical evaluation and selective
Lifeport evaluation, donor grafts of whose baseline serum creatinine levels
increased beyond normal range and of whose grafts' macroscopical manifestations
were poor, should undergo pre-implantation pathological evaluation further.Also,
it is reasonable to perform pre-implantation biopsy in cases of equivocal results
after Lifeport evaluation.This will be beneficial to identify histological high
risk donors and also be predictive to allocate the grafts.
PMID- 29374913
TI - [Correlation of serum level of homocysteine and insulin resistance with cognitive
dysfunction in first-episode schizophrenics].
AB - Objective: To explore the relationship of serum level of homocysteine (Hcy) and
insulin resistance with cognitive function in first-episode drug-free
schizophrenics. Methods: A total of 80 first-episode drug-free schizophrenics
(schizophrenia group) from the First Affiliated Hospital of Zhengzhou University
and 70 healthy individuals (control group) were enrolled.The serum concentration
of Hcy was measured by the enzymatic cycling assay, the concentration of serum
fast blood-glucose(FPG) by glucose oxidase method and fast insulin (FINS) by
electrical chemiluminescence immunoassay.Then insulin resistance index was
calculated in the form of HOMA-IR.Positive and Negative Syndrome Scale (PANSS)
was used to evaluate the mental symptoms and Matrics Consensus Cognitive Battery
(MCCB) was used to evaluate the cognitive function. Results: (1) The serum level
of Hcy in schizophrenia group [(24+/-6) MUmoL/L]was significantly higher than
that in control group[(16+/-4) MUmoL/L] (P<0.001). And the serum level of FINS in
schizophrenia group [(8.0+/-2.1) mU/L] was significantly higher than that in
control group[(6.0+/-1.3) mU/L] (P<0.001). The HOMA-IR of schizophrenia group
(1.6+/-0.5) was higher than that of control group (1.3+/-0.4) (P<0.001). The
serum level of Hcy in schizophrenia group had positive correlations with serum
FINS level, HOMA-IR and negative symptoms (r=0.365, 0.354 and 0.233, all P<0.05).
In comparison with the control group , scores were significantly lower in the
seven domains of cognitive function in the schizophrenia group (all P<0.05). (2)
In schizophrenia group, the serum level of Hcy had a negative correlation with
Information processing rate P points, Attentional facilitating P points , and
Verbal learning P points (r=-0.231, -0.339, -0.255, all P<0.05); the serum level
of FINS was negatively related to Attentional facilitating P points, Working
memory P pointsand Visual memory P points (r=-0.317, -0.309, -0.318, all P<0.05);
HOMA-IR had a negative correlation with Attentional facilitating P points,
Working memory P points, and Visual memory P points (r=-0.286, -0.224, -0.266,
all P<0.05). After the influencing factors were adjusted by multiple regression,
the associations of serum Hcy level with Information processing rate P points,
Attentional facilitating P points and Verbal learning P points were still
evident, and HOMA-IR was still related with Attentional facilitating P points and
Visual memory P points (all P<0.05). Conclusion: The increase of serum Hcy and
insulin resistance in first-episode schizophrenics, both have some relevance with
cognitive dysfunction.
PMID- 29374914
TI - [Regional homogeneity in the patients of irritable bowel syndrome complicated
with depression: a resting-state functional magnetic resonance imaging study].
AB - Objective: To explore the changes of the brain regional homogeneity (ReHo) in the
patients of irritable bowel syndrome (IBS) complicated with depression by using
resting-state functional magnetic resonance imaging (rs-fMRI) and to investigate
the relation between abnormal brain function and depression in those patients.
Methods: A total of 35 patients with IBS were included in this study, collected
in Gastroenterology Department of Affiliated Hospital of Hangzhou Normal
University from June 2015 to June 2017.According to Hamilton's Depression Scale
(HAMD)-17 score, the IBS patients was divided into two groups: IBS with
depression group, 21 cases and non-depression group, 14 cases.Thirty-six healthy
controls were recruited at the mean time (gender, age and years of education were
matched with the patients). Rs-fMRI and 3D T1 data were acquired with 3.0 T
scanners.For all subjects, ReHo, a method measuring the synchronism of regional
spontaneous activities, was calculated and one-way ANOVA was performed to assess
the group effects.For those regions with significant group effects, correlation
between ReHo values and GSRS and HAMD scores was analyzed too. Results:
Significant group effects were found in multiple regions: the right pons, the
left inferior temporal gyrus (ITG)/fusiform, the left superior temporal gyrus
(STG)/temporal pole, the right inferior frontal gyrus (IFG), the right middle
occipital gyrus (MOG), the right caudate nucleus, the left posterior cingulate
cortex/precuneus (pCC/pC), the left postcentral gyrus, the right inferior
parietal lobule (IPF). Compared with control group, all the two patient groups
had increased ReHo values in the left pCC/pC, the right IPF, the left postcentral
gyrus and the left STG/temporal pole; all the two patient groups had decreased
ReHo values in the right MOG and the right IFG.Compared with non-depression
group, depression group had increased ReHo values in the right caudate nucleus,
and decreased ReHo values in the right pons, the left pCC/pC, the right IPF, the
left postcentral gyrus.Particularly, there was no significant difference in the
ReHo value in the right caudate nucleus between the control group and the non
depressed IBS group.In the depression group, significantly positive correlations
were observed between ReHo values and HAMD scores in the left pCC/pC (r=0.599,
P=0.011), and significantly positive correlations were observed between ReHo
values and GSRS in the left postcentral gyrus (r=0.547, P=0.023). Conclusions:
IBS patients have abnormal ReHo regions in default network and pain regulation
associated brain area.IBS with depressed patients has its own characteristics in
the brain regions which related with pain perception and self-regulation.IBS
could has an abnormal self-regulation of visceral pain, which is associated with
the occurrence of depression.Depression can aggravate the disorders of pain
perception and other functions of IBS patients.
PMID- 29374915
TI - [Clinical and imaging features and etiologic diagnosis value in patients with
cavernous sinus lesion presenting with ophthalmoplegia].
AB - Objective: To classify different causes of cavernous sinus lesion in patients
with ophthalmoplegia and analyze their clinical and imaging features. Methods: We
confirmed the etiological diagnosis of 137 hospitalized ophthalmoplegia patients
with cavernous sinus lesion retrospectively from January 2005 to January 2014 in
the Department of Neurology of Beijing Tongren Hospital.The diagnosis was made
according to clinical feature, laboratory test, imaging studies and pathology.The
clinical data of these patients were analyzed and compared among different
groups. Results: Fifty-one cases (37.2%) were confirmed as inflammatory diseases
of cavernous sinus, which were the most common cause in 137 patients.Early stage
pain (OR 5.591, 95%CI 1.703-18.401) and involvement of oculomotor nerve (OR
4.902, 95%CI 1.015-24.630) were independently associated with inflammatory
diseases.Forty-three cases (31.3%) were confirmed to have tumor, which was the
second cause of cavernous sinus lesions, and meningioma was the most common
tumor.The second branch of trigeminal nerve involvement was independently
correlated (OR 1.017, 95%CI 1.005-1.071) with tumor.The percentage of male (OR
3.506, 95%CI 1.362-8.765) was significantly higher in 28 cases (20.4%) with
cavernous sinus vascular lesions.Cavernous sinus infectious diseases were seen in
15 cases (10.9%), and fungal infection was the most common, mostly secondary to
sinus infection. Conclusions: The common causes of cavernous sinus lesion include
cavernous sinus inflammatory diseases, neoplastic diseases, vascular diseases and
infectious diseases, and inflammatory diseases is the most commonly seen cause in
this group of patients.Obvious facial sensory disturbances and the second branch
of trigeminal nerve involvement are independent associated with tumor.The early
course of pain and involvement of oculomotor nerve are associated with
inflammatory diseases.Optimization of MRI examination method can better identify
the cavernous sinus lesions.
PMID- 29374916
TI - [Relationship between epicardial adipose tissue and clinical prognosis of
patients with coronary heart disease after percutaneous coronary intervention].
AB - Objective: To further evaluate the clinical value of epicardial adipose tissue
volume (EATV) in predicting the prognosis of coronary heart disease (CHD) after
percutaneous coronary intervention (PCI). Methods: From July 2013 to July 2016 in
TEDA International Cardiovascular Disease Hospital, a total of 474 patients
diagnosed with CHD were included in this study.According to the result of EATV,
patients were divided into three groups, group A (EATV<=75 ml), group B (75
ml=150 ml). Then the level of body mass index
(BMI), hypersensitive c-reactive protein (hs-CRP), interleukin (IL)-6 and tumor
necrosis factor (TNF)-alpha were tested for all the three groups.All the patients
were followed up for 1 year for major adverse cardiovascular events (MACE). The
clinical value of EATV in predicting the occurrence of MACE events was evaluated.
Results: The BMI, level of hs-CRP, TNF-alpha in group B were higher than group A,
group C were significantly higher than group B, with statistically significant
difference across all the comparisons (P<0.05). Spearman correlation analysis
showed EATV was positively correlated with hs-CRP, IL-6, TNF-alpha (r=0.675
0.700, P<0.01). The incidence of MACE in the three groups were 8.50% in group C,
5.26% in group B, 3.13% in group A, and the differences were all significant
(P<0.01). ROC curve showed the cut-off value of EATV level was 120.39 ml to
predict MACE (area under cure: 0.751, 95%CI: 0.634-0.868, P<0.01), and the
sensitivity was 72.7%, the specificity was 61%.EATV>120.39 ml can be used as an
independent risk factor for predicting the occurrence of MACE. Conclusion: The
level of EATV is closely related to the occurrence of MACE events, and
EATV>120.39 ml is an independent risk factor for MACE in patients with CHD after
PCI.
PMID- 29374917
TI - [Diagnosis and thoracoscopic treatment for pleuroperitoneal communication
complicating peritoneal dialysis].
AB - Objective: To evaluate the safety and efficacy of diagnosis and treatment of
pleuroperitoneal communication in patients on peritoneal dialysis by computerized
tomography (CT) peritoneography and thoracoscopic surgery. Methods: The records
of 8 pleuroperitoneal communication patients treated by thoracoscopic surgery in
Peking University First Hospital from January 2012 to March 2017 were
retrospectively reviewed.CT peritoneography was performed before surgical
treatment. Iopamiro (50 ml?15 g) was mixed with 2 L of peritoneal dialysate
solution, and then was instilled into the peritoneal cavity through the Tenckhoff
catheter. After dwelling for 1 hour, CT scan was taken from thorax to upper
abdomen.The thoracic cavity was filled with sterile saline, and then carbon
dioxide was inflated to the peritoneal cavity via Tenckhoff catheter.Air bubbles
leaking from the diaphragmatic defect were identified during thoracoscopic
operation.Then defect was repaired and pleurodesis was performed. Results: The CT
value of pleural effusion increased to (155+/-57) HU.Pleuroperitoneal
communication was clearly diagnosed.The diaphragmatic defects in 5 cases were
detected and repaired.However, defects in the other 3 cases were not found.The
mean operative time was (152+/-50) minutes. All patients had uneventful recovery
and reinstated normal peritoneal dialysis 3 weeks after the operation.The median
follow-up time was 14.5 months (1-68 months) and no recurrence occurred.
Conclusions: CT peritoneography could identify pleuroperitoneal communication
clearly. Thoracoscopic surgery is a safe and reliable method for pleuroperitoneal
communication and could restore patients to peritoneal dialysis.
PMID- 29374918
TI - [Comparison of hysteroscopy and curettage in incomplete pregnancy with cesarean
scar pregnancy].
AB - Objectives: To evaluate the value of hysteroscopy in the diagnosis and treatment
of cesarean scar pregnancy (CSP) incomplete abortion. Methods: A total of 42
patients with incomplete cesarean circumcision from January 2014 to March 2017
were retrospectively analyzed.Twenty-one patients underwent bilateral uterine
artery embolization.Twenty patients underwent hysteroscopy Electrocardiose
resection of pregnancy tissue and coagulation hemostasis, the control group of 22
patients underwent conventional uterine artery embolization surgery. Results: One
patient in the study group was changed to laparoscopic surgery.In the control
group, 2 patients were changed to laparoscopic surgery and 2 patients underwent
laparotomy.The duration of hospitalization, the amount of postoperative bleeding
and the duration of bleeding were significantly lower in the study group than in
the control group.The recovery time of HCG in the study group was significantly
shorter than that in the control group. Conclusion: Compared with traditional
uterine artery embolization, hysteroscopic resection of cesarean section scar
residual tissue less bleeding, higher success rate of surgery, less trauma,
faster recovery, treatment Cesarean section scar scar pregnancy abortion is the
ideal method.
PMID- 29374919
TI - [Expression of IRX1 in cervical cancer and its correlation with clinical stage of
cervical cancer].
AB - Objective: To study the expression of IRX1 (Iroquois homeobox gene) in cervical
cancer and its correlation with clinical stage of cervical cancer. Methods: A
total of 61 patients with cervical cancer from January 2015 to January 2017 were
enrolled in this study, of which 15 were classified as phase I of cervical
cancer, 22 patients were classified as phase II, 19 cases were classified as
phase III, 5 cases were classified as phase IV followed FIGO staging criteria.The
expression of IRX1 protein in Hela, C4-1 and Siha cell lines were detected by
Western blot compared with the normal human cervical epithelial cells
HCerEpiC.Collected cancerous tissue of cervical cancer as experimental samples,
the expression of IRX1 mRNA in cancer tissues and paracancerous tissue were
detected by qPCR.Immunohistochemistry was used to detect the expression of IRX1
in different stages of cervical cancer, the correlation between IRX1 expression
and clinical stage was analyzed. Results: The results of Western blot showed that
IRX1 expression in cervical cancer cells were higher than that in normal cervical
epithelial cells, and the results of qPCR also showed that the expression of IRX1
increased with the stage of cancer at the gene level.The difference was
statistically significant.The expression of IRX1 in the nucleus and cytoplasm
were detected by immunohistochemistry.Immunohistochemical results showed that the
higher the stage of cancer was, the higher the expression rate of IRX1 was.
Conclusion: IRX1 expression is associated with the clinical stage of cervical
cancer, suggesting that IRX1 may be involved in the development and progression
of cervical cancer.IRX1 is expected to be a new molecular target for the
diagnosis and treatment of cervical cancer. This study will provide a new
theoretical basis for the treatment of cervical cancer.
PMID- 29374920
TI - [Autophagy and hypoxic ischemic myocardial damage after severe burn].
AB - It is an important clinical subject to illuminate the mechanisms of myocardial
damage in the early stage post severe burn in prevention against and treatment of
burn shock, which may offer a targeted " dynamic support" in the treatment of
severe burn patients. In recent years, the role of autophagy in hypoxic
myocardial injury has attracted much attention. Autophagy is a physiological
phenomenon on intracellular digestion process of long-life proteins and the aging
and damaged organelles through lysosomal system, and it is essential for
maintaining the homeostasis of cells. Severe hypoxia/ischemia causes lysosome
dysfunction, insufficient fusion between autophagosome and lysosome, accumulation
of autophagosomes, and damaged autophagy flux, thus leading to cell dysfunction
and cell death. To study the roles of autophagy and explore the potential signals
in autophagy modulation will provide a new therapeutic target for alleviating
cardiac dysfunction following severe burn.
PMID- 29374921
TI - [Effects of cardiac support on delayed resuscitation in extensively burned
patients with shock].
AB - Objective: To explore the effects of cardiac support on delayed resuscitation in
extensively burned patients with shock. Methods: Clinical data of 62 extensively
burned patients with shock on admission, admitted to the 159th Hospital of PLA
(hereinafter referred to as our hospital) from January 2012 to January 2017, were
retrospectively analyzed. They were divided into cardiac support group (n=35) and
control group (n=27) according to the use of deslanoside and ulinastatin. All
patients were treated with routine fluid resuscitation based on the formula of
the Third Military Medical University till post injury hour (PIH) 48. Patients in
cardiac support group were given slow intravenous injection of deslanoside which
was added in 20 mL 100 g/L glucose injection with first dose of 0.4 to 0.6 mg,
0.2 to 0.4 mg per 6 to 8 h, no more than 1.6 mg daily, and slow intravenous
injection of 1*10(5)U ulinastatin which was added in 100 mL 50 g/L glucose
injection, once per 12 h. Other treatments of patients in the two groups followed
the same conventional procedures of our hospital. The following data of the two
groups of patients were collected. (1) The data of urine volume per hour within
PIH 48, heart rate, mean arterial pressure (MAP), central venous pressure (CVP),
blood lactic acid, base excess, hematocrit, and albumin at PIH 48 were recorded.
(2) The input volumes of electrolyte, colloid within the first and second 24
hours post burn and the total fluid input volumes within PIH 48 were recorded.
(3) The data of creatine kinase, creatine kinase isoenzyme-MB, lactate
dehydrogenase, total bile acid, alanine aminotransferase, aspartate
aminotransferase, beta(2)-microglobulin, urea nitrogen, and creatinine at PIH 48
were recorded. (4) The complications including cardiac failure, pulmonary edema,
pleural effusion, seroperitoneum, renal failure, sepsis, and death were also
recorded. Data were processed with independent sample ttest, Fisher's exact test,
Pearson chi-square test, or continuous correction chi-square test. Results: (1)
There were no statistically significant differences in urine volume within PIH
48, heart rate, MAP, CVP, hematocrit, or albumin at PIH 48 between the patients
of two groups (t=0.150, 0.488, 0.805, 0.562, 1.742, 0.696, P>0.05). While the
levels of blood lactic acid and base excess were respectively (4.2+/-2.2) and (
4.3+/-2.0) mmol/L in patients of cardiac support group, which were significantly
better than (5.9+/-1.7) and (-6.0+/-3.1) mmol/L in patients of control group
(t=3.249, 2.480, P<0.05 or P<0.01). (2) There was no statistically significant
difference in input volume of colloid within the first 24 hours post burn between
the patients of two groups (t=0.642, P>0.05). The input volume of electrolyte
within the first 24 hours post burn, the input volumes of electrolyte and colloid
within the second 24 hours post burn, and the total fluid input volume within PIH
48 of patients in cardiac support group were significantly less than those in
control group (t=2.703, 4.223, 3.437, 2.515, P<0.05 or P<0.01). (3) The levels of
creatine kinase, creatine kinase isoenzyme-MB, lactate dehydrogenase, total bile
acid, alanine aminotransferase, aspartate aminotransferase, beta(2)
microglobulin, urea nitrogen, and creatinine of patients in cardiac support group
at PIH 48 were significantly lower than those in control group (t=3.066, 3.963,
3.225, 2.943, 2.431, 3.084, 4.052, 2.915, 3.353, P<0.05 or P<0.01). (4) The
occurrences of pleural effusion and seroperitoneum and mortality of patients in
cardiac support group were significantly lower than those in control group
(chi(2)=5.514, 6.984, 4.798, P<0.05 or P<0.01). There were no statistically
significant differences in cardiac failure, pulmonary edema, renal failure, and
sepsis between the patients of two groups [chi(2)=1.314 (sepsis), P>0.05].
Conclusions: The cardiotonic and cardiac protection treatments in delayed
resuscitation of extensively burned patients with shock contribute to improving
the cellular anonic metabolism, reducing the volume of fluid resuscitation, and
mitigating the ischemic and hypoxic damage to organs, so as to lay foundation for
decreasing further complication incidences and mortality.
PMID- 29374922
TI - [Effects of application of pulse contour cardiac output monitoring technology in
early treatment of patients with large area burns].
AB - Objective: To analyze the changes and relationship of early hemodynamic indexes
of patients with large area burns monitored by pulse contour cardiac output
(PiCCO) monitoring technology, so as to assess the guiding value of this
technology in the treatment of patients with large area burns during shock
period. Methods: Eighteen patients with large area burns, confirming to the study
criteria, were admitted to our unit from May 2016 to May 2017. Pulse contour
cardiac output index (PCCI), systemic vascular resistance index (SVRI), global
end-diastolic volume index (GEDVI), and extravascular lung water index (EVLWI) of
patients were monitored by PiCCO instrument from admission to post injury day
(PID) 7, and they were calibrated and recorded once every four hours. The fluid
infusion coefficients of patients at the first and second 24 hours post injury
were calculated. The blood lactic acid values of patients from PID 1 to 7 were
also recorded. The correlations among PCCI, SVRI, and GEDVI as well as the
correlation between SVRI and blood lactic acid of these 18 patients were
analyzed. Prognosis of patients were recorded. Data were processed with one-way
analysis of variance, single sample ttest and Bonferroni correction, Pearson
correlation analysis, and Spearman rank correlation analysis. Results: (1) There
was statistically significant difference in PCCI value of patients from post
injury hour (PIH) 4 to 168 (F=7.428, P<0.01). The PCCI values of patients at PIH
4, 8, 12, 16, 20, and 24 were (2.4+/-0.9), (2.6+/-1.2), (2.2+/-0.6), (2.6+/-0.7),
(2.8+/-0.6), and (2.7+/-0.7) L.min(-1).m(-2,) respectively, and they were
significantly lower than the normal value 4 L.min(-1).m(-2)(t=-3.143, -3.251,
11.511, -8.889, -6.735, -6.976, P<0.05 or P<0.01). At PIH 76, 80, 84, 88, 92, and
96, the PCCI values of patients were (4.9+/-1.5), (5.7+/-2.0), (5.9+/-1.7),
(5.5+/-1.3), (5.3+/-1.1), and (4.9+/-1.4) L.min(-1).m(-2,) respectively, and they
were significantly higher than the normal value (t=2.277, 3.142, 4.050, 4.111,
4.128, 2.423, P<0.05 or P<0.01). The PCCI values of patients at other time points
were close to normal value (P>0.05). (2) There was statistically significant
difference in SVRI value of patients from PIH 4 to 168 (F=7.863, P<0.01). The
SVRI values of patients at PIH 12, 16, 20, 24, and 28 were (2 298+/-747), (2
581+/-498), (2 705+/-780), (2 773+/-669), and (3 109+/-1 215) dyn.s.cm(-5).m(2,)
respectively, and they were significantly higher than the normal value 2 050
dyn.s.cm(-5).m(2)(t=0.878, 3.370, 2.519, 3.747, 3.144, P<0.05 or P<0.01). At PIH
4, 8, 72, 76, 80, 84, 88, 92, and 96, the SVRI values of patients were (1 632+/
129), (2 012+/-896), (1 381+/-503), (1 180+/-378), (1 259+/-400), (1 376+/-483),
(1 329+/-385), (1 410+/-370), and (1 346+/-346) dyn.s.cm(-5).m(2,) respectively,
and they were significantly lower than the normal value (t=-4.593, -0.112,
5.157, -8.905, -7.914, -5.226, -6.756, -6.233, -7.038, P<0.01). The SVRI values
of patients at other time points were close to normal value (P>0.05). (3) There
was no statistically significant difference in the GEDVI values of patients from
PIH 4 to 168 (F=0.704, P>0.05). The GEDVI values of patients at PIH 8, 12, 16,
20, and 24 were significantly lower than normal value (t=-3.112, -3.554, -2.969,
2.450, -2.476, P<0.05). The GEDVI values of patients at other time points were
close to normal value (P>0.05). (4) There was statistically significant
difference in EVLWI value of patients from PIH 4 to 168 (F=1.859, P<0.01). The
EVLWI values of patients at PIH 16, 20, 24, 28, 32, 36, and 40 were significantly
higher than normal value (t=4.386, 3.335, 6.363, 4.391, 7.513, 5.392, 5.642,
P<0.01). The EVLWI values of patients at other time points were close to normal
value (P>0.05). (5) The fluid infusion coefficients of patients at the first and
second 24 hours post injury were 1.90 and 1.39, respectively. The blood lactic
acid values of patients from PID 1 to 7 were 7.99, 5.21, 4.57, 4.26, 2.54, 3.13,
and 3.20 mmol/L, respectively, showing a declined tendency. (6) There was obvious
negative correlation between PCCI and SVRI (r=-0.528, P<0.01). There was obvious
positive correlation between GEDVI and PCCI (r=0.577, P<0.01). There was no
obvious correlation between GEDVI and SVRI (r=0.081, P>0.05). There was obvious
positive correlation between blood lactic acid and SVRI (r=0.878, P<0.01). (7)
All patients were cured except the one who abandoned treatment. Conclusions:
PiCCO monitoring technology can monitor the changes of early hemodynamic indexes
and volume of burn patients dynamically, continuously, and conveniently, and
provide valuable reference for early-stage comprehensive treatment like anti
shock of patients with large area burns.
PMID- 29374924
TI - [Advances in the research of application of urine output monitoring in prevention
and treatment of burn shock].
AB - Fluid therapy is a crucial treatment for patients with extensive burn, which
affects patients'prognosis directly. Accurate urine output measurement plays an
irreplaceable role in guiding fluid resuscitation in clinic. As one of the best
indexes in traditional burn resuscitation, urine output comprehensively reflects
systemic circulation. However, it doesn't fully reflect all the specific chapters
of microcirculation and systemic circulation and deficient cellular oxygen
metabolism exactly. We need to use urine output combined with other shock
parameters to ensure adequate fluid replacement. Currently, the most common way
of urine output monitoring is manual measurement. The article reviews the
application of urine output monitoring in guiding fluid resuscitation of burn
shock.
PMID- 29374923
TI - [Effects of microRNA-34a on regulating silent information regulator 1 and
influence of the factor on myocardial damage of rats with severe burns at early
stage].
AB - Objective: To explore the effects of microRNA-34a on regulating silent
information regulator 1 (SIRT1) and influence of SIRT1 on myocardial damage of
rats with severe burns at early stage. Methods: (1) Twenty-four Sprague-Dawley
(SD) rats were divided into sham injury (SI) group, simple burns (SB) group and
SIRT1 agonist (SA) group according to the random number table (the same grouping
method below), with 8 rats in each group. Rats in groups SB and SA were inflicted
with 30% total body surface area full-thickness scald (hereinafter referred to as
burns) on the back, and rats in group SI were sham injuried on the back.
Immediately after injury, rats in groups SI and SB were intraperitoneally
injected with normal saline of 50 mL/kg, and rats in group SA were
intraperitoneally injected with normal saline of 50 mL/kg and 1 mg/mL resveratrol
of 50 mg/kg. At 6 h post injury, abdominal aortic blood was collected to make
serum and myocardial tissue of rats was collected. (2) Myocardial cells of twelve
neonatal SD rats were collected and divided into microRNA-34a mimic control (MMC)
group, microRNA-34a mimic (MM) group, microRNA-34a inhibitor control (MIC) group,
and microRNA-34a inhibitor (MI) group, which were respectively transfected with
gene sequences of mimic control, mimic, inhibitor control, and inhibitor of
microRNA-34a. The microRNA-34a expression level and protein expression level of
SIRT1 in myocardial cells were respectively detected by real-time fluorescence
quantitative reverse transcription polymerase chain reaction (RT-PCR) and Western
blotting. Another batch of myocardial cells were divided into microRNA-34a
inhibitor control+ burn serum (MCB) group, microRNA-34a inhibitor+ burn serum
(MB) group, and microRNA-34a inhibitor+ burn serum + EX527 (MBE) group.
Myocardial cells in group MCB were transfected with gene sequence of inhibitor
control, and myocardial cells in the later groups were transfected with gene
sequence of inhibitor of microRNA-34a. After transfection of 48 h, myocardial
cells in group MBE were cultured in Dulbecco's modified Eagle's medium (DMEM)
solution for 6 hours, with serum in group SB of volume fraction of 10% and final
amount-of-substance concentration of 1 mol/L, and myocardial cells in the other 2
groups were cultured in DMEM solution with serum from rats of group SB of volume
fraction of 10%. The protein expression levels of myocardial cells of SIRT1,
cleaved-caspase-3, and Bax were detected by Western blotting. (3) Myocardial
tissue from (1) was collected to detect expression levels of microRNA-34a and
mRNA of SIRT1 in groups SI and SB by real-time fluorescence quantitative RT-PCR.
Morphology of myocardial tissue of rats in groups SI, SB, and SA was observed
with biological image navigator. The mRNA expression levels of interleukin 1beta
(IL-1beta) and tumor necrosis factor (TNF-alpha) of rats in groups SI, SB, and SA
were detected by real-time fluorescence quantitative RT-PCR. The expression
levels of cleaved-caspase-3, and Bax of myocardial tissue of rats in groups SI,
SB, and SA were detected by Western blotting. Data were processed with one-way
analysis of variance and least-significant difference test. Results: (1) After
transfection of 48 h, the expression level of microRNA-34a of myocardial cells in
group MM was 4.67+/-0.92, significantly higher than 1.03+/-0.04 in group MMC
(P<0.01); the protein expression level of SIRT1 of myocardial cells in group MM
was 0.35+/-0.06, significantly lower than 1.12+/-0.11 in group MMC (P<0.01).
After transfection of 48 h, the expression level of microRNA-34a of myocardial
cells in group MI was 0.26+/-0.07, significantly lower than 1.33+/-0.07 in group
MIC (P<0.01); the protein expression level of SIRT1 of myocardial cells in group
MIC was 1.12+/-0.16, significantly lower than 1.74+/-0.34 in group MI (P<0.01).
At 6 h after culture, compared with those in group MCB, the SIRT1 protein
expression level of myocardial cells in group MB was significantly increased
(P<0.05), while cleaved-caspase-3 and Bax protein expression levels of myocardial
cells in group MB were significantly decreased (P<0.05). Compared with those in
group MB, the SIRT1 protein expression level of myocardial cells in group MBE was
with no significantly statistical difference (P>0.05), and cleaved-caspase-3 and
Bax protein expression levels were significantly increased (P<0.05). (2) At 6 h
post injury, compared with that in group SI, the microRNA-34a expression level of
myocardial tissue in group SB was significantly increased (P<0.01), and the mRNA
expression level of SIRT1 of myocardial tissue in group SB was significantly
decreased (P<0.01). At 6 h post injury, myocardial cells in group SI arranged
neatly with normal nucleus and no inflammatory cells infiltration; myocardial
cells in group SB arranged disorderly, with no abnormal nucleus, and obvious
inflammatory cells infiltration; myocardial cells in group SA arranged neatly,
with normal nucleus and little inflammatory cells infiltration. At 6 h post
injury, compared with those in group SB, the mRNA expression levels of IL-1beta
and TNF-alpha, and the protein expression levels of cleaved-caspase-3 and Bax of
myocardial tissue in groups SI and SA were significantly decreased (P<0.01).
Conclusions: The microRNA-34a expression level of myocardial tissue of rats with
severe burns at early stage increases, which decreases the expression level of
SIRT1, and increases the expression levels of IL-1beta, TNF-alpha, cleaved
caspase-3 and Bax, leading to obvious myocardial damage. Activation of SIRT1 can
alleviate myocardial damage of rats with severe burns at early stage through
decreasing expression levels of IL-1beta, TNF-alpha, cleaved-caspase-3, and Bax.
PMID- 29374925
TI - [Influences of ulinastatin on acute lung injury and time phase changes of
coagulation parameters in rats with burn-blast combined injuries].
AB - Objective: To explore the influences of ulinastatin on acute lung injury and time
phase changes of coagulation parameters in rats with severe burn-blast combined
injuries. Methods: One hundred and ninety-two Sprague-Dawley rats were divided
into pure burn-blast combined injury group, ulinastatin+ burn-blast combined
injury group, and sham injury group according to the random number table, with 64
rats in each group. Two groups of rats with combined burn-blast injuries were
inflicted with moderate blast injuries with the newly self-made explosive device.
Then the rats were inflicted with 25% total body surface area full-thickness
scald (hereinafter referred to as burn) on the back by immersing in 94 C hot
water for 12 s. Rats in sham injury group were sham injured on the back by
immersing in 37 C warm water for 12 s. Immediately after injury, rats in the
three groups were intraperitoneally injected with Ringer's lactate solution (40
mL/kg), meanwhile rats in ulinastatin+ burn-blast combined injury group were
intraperitoneally injected with ulinastatin (4*10(4)U/kg), once every 12 hours,
until post injury hour (PIH) 72. Before injury, at PIH 3, 6, 12, 24, 48, 72, and
on post injury day (PID) 7, 8 rats in each group were selected to harvest
abdominal aortic blood samples to detect plasma levels of activated partial
thromboplastin time (APTT), prothrombin time (PT), fibrinogen, D-dimer,
antithrombin III (AT-III), and alpha2-antiplasmin (alpha2-AP). At PIH 24, three
rats in each group which were used in detection of coagulation parameters were
sacrificed to observe lung injury. At PIH 72, three rats in each group were
sacrificed for histopathological observation of lung. Data were processed with
analysis of variance of factorial design and least-significant difference test.
Results: (1) Compared with those of rats in sham injury group, APTT of rats in
pure burn-blast combined injury group significantly prolonged at PIH 72 and on
PID 7 (P<0.05 or P<0.01). PT significantly prolonged at PIH 3 and 72 and
significantly shortened at PIH 6 (P<0.05 or P<0.01) . Fibrinogen level
significantly increased from PIH 12 to PID 7 (P<0.01). AT-III level significantly
decreased at PIH 6 and 12 (P<0.01), and alpha2-AP level significantly decreased
at PIH 6 and significantly increased from PIH 24 to 72 (P<0.01). Compared with
those of rats in pure burn-blast combined injury group, APTT of rats in
ulinastatin+ burn-blast combined injury group significantly prolonged at PIH 3
and 6 (P<0.01) while PT significantly shortened at PIH 3, 12, and 72 (P<0.05 or
P<0.01). Fibrinogen level significantly decreased at PIH 6 and 12 and
significantly increased at PIH 72 (P<0.05 or P<0.01). AT-III level significantly
increased at PIH 3, 12, 48, and 72 (P<0.05 or P<0.01), and alpha2-AP level
significantly decreased from PIH 12 to 72 (P<0.05 or P<0.01). D-dimer level of
rats in sham injury group, pure burn-blast combined injury group, and
ulinastatin+ burn-blast combined injury group were respectively (0.084+/-0.013),
(0.115+/-0.015), (0.158+/-0.022), (0.099+/-0.011), (0.099+/-0.012), (0.089+/
0.011), (0.124+/-0.014), and (0.116+/-0.018) MUg/mL, (0.064+/-0.033), (0.114+/
0.016), (0.135+/-0.009), (0.060+/-0.008), (0.104+/-0.010), (0.124+/-0.020),
(0.180+/-0.036), and (0.201+/-0.032) MUg/mL, (0.074+/-0.013), (0.084+/-0.035),
(0.101+/-0.050), (0.091+/-0.046), (0.096+/-0.034), (0.044+/-0.019), (0.106+/
0.049), and (0.118+/-0.047) MUg/mL. Compared with that of rats in sham injury
group, D-dimer level significantly decreased at PIH 6 and 12 and significantly
increased from PIH 48 to PID 7 (P<0.05 or P<0.01). Compared with that of rats in
pure burn-blast combined injury group, D-dimer level of rats in ulinastatin+ burn
blast combined injury group significantly decreased at PIH 3, 48, and 72, and on
PID 7 (P<0.05 or P<0.01). (2) At PIH 24, there was a large amount of light red
effusion in the thoracic cavity, and both lung lobes were hyperemic and edematous
with a small amount of blood clots in the left and middle lobe of rats in pure
burn-blast combined injury group. There was a small amount of yellowish effusion
in the thoracic cavity of rats in ulinastatin+ burn-blast combined injury group,
and the degree of hyperemic and edematous of bilateral lobes was lighter compared
with rats in pure burn-blast combined injury group with no clot in the left lobe.
No congestion, edema, or bleeding was observed in lungs of rats in sham injury
group. (3) At PIH 72, disorganized alveolar structure, collapsed alveolar cavity,
edematous and thickening pulmonary interstitium, infiltration of a large amount
of inflammatory cells, obvious rupture of alveolar septum, and hyaline thrombus
were observed in lungs of rats in pure burn-blast combined injury group.
Significantly improved alveolar structure, less collapsed alveolar cavity,
improved edematous pulmonary interstitium, less infiltration of inflammatory
cells, rupture of alveolar septum, and no thrombus were observed in lungs of rats
in ulinastatin+ burn-blast combined injury group. The lung tissue had a well
filled alveolar cavity with no interstitial edema or infiltration of inflammatory
cells and no thrombosis in lungs of rats in sham injury group. Conclusions:
Ulinastatin has positive therapeutic effects on acute lung injury in rats with
severe burn-blast combined injuries through its good regulating effects on
coagulation and fibrinolytic disorders caused by burn-blast combined injuries.
PMID- 29374926
TI - [Effects of early enteral nutrition in the treatment of patients with severe
burns].
AB - Objective: To investigate the effects of early enteral nutrition (EEN) in the
treatment of patients with severe burns. Methods: Medical records of 52 patients
with severe burns hospitalized in the three affiliations of authors from August
to September in 2014 were retrospectively analyzed and divided into EEN group
(n=28) and non-early enteral nutrition (NEEN) group (n=24) according to the
initiation time of enteral nutrition. On the basis of routine treatment, enteral
nutrition was given to patients in group EEN within post injury day (POD) 3,
while enteral nutrition was given to patients in group NEEN after POD 3. The
following items were compared between patients of the two groups, such as the
ratio of enteral nutrition intake to total energy intake, the ratio of parenteral
nutrition intake to total energy intake, the ratio of total energy intake to
energy target on POD 1, 2, 3, 4, 5, 6, 7, 14, 21, and 28, the levels of
prealbumin, serum creatinine, blood urea nitrogen, total bilirubin, direct
bilirubin, and Acute Physiology and Chronic Health Evaluation II (APACHE II)
score on POD 1, 3, 7, 14, and 28, the first operation time, the number of
operations, and the frequencies of abdominal distension, diarrhea, vomiting,
aspiration, catheter blockage, and low blood sugar within POD 28. Data were
processed with chi(2)test, ttest, Wilcoxon rank sum test, and Bonferroni
correction. Results: (1) The ratio of parenteral nutrition intake to total energy
intake of patients in group EEN on POD 1 was obviously lower than that in group
NEEN (Z=2.078, P<0.05). The ratio of enteral nutrition intake to total energy
intake and the ratio of total energy intake to energy target of patients in group
EEN on POD 2 and 3 were obviously higher than those in group NEEN (Z=5.766,
6.404, t=4.907, 6.378, P<0.01). The ratio of total energy intake to energy target
of patients in group EEN was obviously lower than that in group NEEN on POD 4, 5,
6, and 7 (t=4.635, 2.547, 3.751, 5.373, P<0.05 or P<0.01). On POD 2, 4, 5, 14,
21, and 28, the ratio of enteral nutrition intake to total energy intake of
patients in group EEN was obviously higher than the ratio of parenteral nutrition
intake to total energy intake within the same group (Z=5.326, 2.046, 2.129,
4.118, 3.174, 3.963, P<0.05 or P<0.01). In group NEEN, the ratio of enteral
nutrition to total energy intake of patients on POD 1, 2, and 3 was obviously
lower than the ratio of parenteral nutrition intake to total energy intake within
the same group (Z=2.591, 2.591, 3.293, P<0.05 or P<0.01), while the ratio of
enteral nutrition to total energy intake of patients on POD 14, 21, 28 was
obviously higher than the ratio of parenteral nutrition intake to total energy
intake within the same group (Z=2.529, 3.173, 3.133, P<0.05 or P<0.01). (2) The
prealbumin levels of patients in the two groups were close on POD 1, 3, 7, and 14
(t=1.983, 0.093, 0.832, 1.475, P>0.05). On POD 28, the prealbumin level of
patients in group EEN was obviously higher than that in group NEEN (t=3.163,
P<0.05). The levels of serum creatinine, blood urea nitrogen, total bilirubin,
and direct bilirubin of patients in the two groups at all time points post injury
were close (Z=1.340, 0.547, 0.245, 0.387, 0.009, 1.170, 0.340, 1.491, 0.274,
1.953, 0.527, 0.789, 0.474, 1.156, 0.482, 0.268, 0.190, 0.116, 1.194, 0.431,
P>0.05). (3) The APACHE II scores of patients in group EEN were (22.5+/-3.1) and
(15.6+/-3.8) points respectively on POD 1 and 3, which were close to (23.6+/-3.0)
and (17.6+/-4.2) points of patients in group NEEN (t=1.352, 1.733, P>0.05). The
APACHE II scores of patients in group EEN on POD 7, 14, and 28 were (13.6+/-3.6),
(13.8+/-4.1), and (15.5+/-4.1) points, respectively, which were obviously lower
than (18.5+/-3.9), (19.5+/-4.2) and (20.8+/-3.8) points of patients in group NEEN
(t=4.677, 4.843, 4.792, P<0.05). (4) Within POD 28, the time of the first
operation, the number of operations, and the frequencies of abdominal distension,
diarrhea, vomiting, aspiration, catheter blockage and hypoglycemia were similar
between patients of the two groups (t=0.684, 0.782, Z=0.161, 1.751, 0.525, 0.764,
0.190, 0.199, P>0.05). Conclusions: EEN in the treatment of patients with severe
burns potentially increases the energy intake at early stage and improves APACHE
II score and prealbumin level on POD 28, without increasing frequencies of
adverse reactions.
PMID- 29374927
TI - [Advances in the research of function of Merkel cells in tactile formation of
skin].
AB - Skin is the largest sense organ of human, with many mechanoreceptor cells under
epidermis or dermis of skin and Merkel cell is one of them. It has been confirmed
that Merkel cells play an important role in the process of mechanical
transmission of mammalian soft tactile stimulation. Researches showed that Merkel
cells had close relation to tactile formation and functioned by Merkel cell
neurite complexes and ion channels Piezo2. This article reviews Merkel cells and
the function, problem and prospect of Merkel cells in tactile formation.
PMID- 29374928
TI - [Advances in the research of relationship between CD26 and hypertrophic scar and
keloid].
AB - In recent years, researchers have found that CD26 (dipeptidyl peptidase 4) is
closely related to the formation and development of many fibrotic diseases.
Hypertrophic scar, keloid, and other skin fibrosis diseases are major problems
nowadays, which may affect the patient's appearance and cause joints deformity
and dysfunction due to scar contracture. This article briefly reviews the
relationship between CD26 and hypertrophic scar and keloid to provide new
insights into the treatment of skin fibrotic diseases.
PMID- 29374929
TI - [Advances in the research of zinc deficiency and zinc supplementation treatment
in patients with severe burns].
AB - Zinc is one of the essential trace elements in human body, which plays an
important role in regulating acute inflammatory response, glucose metabolism,
anti-oxidation, immune and gastrointestinal function of patients with severe
burns. Patients with severe burns may suffer from zinc deficiency because of
insufficient amount of zinc intake from the diet and a large amount of zinc lose
through wounds and urine. Zinc deficiency may affect their wound healing process
and prognosis. This article reviews the characteristics of zinc metabolism in
patients with severe burns through dynamic monitoring the plasma and urinary
concentration of zinc. An adequate dosage of zinc supplemented to patients with
severe burns by an appropriate method can increase the level of zinc in plasma
and skin tissue and improve wound healing, as well as reduce the infection rates
and mortality. At the same time, it is important to observe the symptoms and
signs of nausea, dizziness, leukopenia and arrhythmia in patients with severe
burns after supplementing excessive zinc.
PMID- 29374930
TI - [Strength our efforts on innovation of medical science and technology to promote
the development of cardiovascular cause in China].
PMID- 29374931
TI - [Deepen our thoughts on the prevention of cardiovascular disease and actively
contribute to the earlier appearance of the turning point noting the reduced
prevalence of cardiovascular diseases].
PMID- 29374932
TI - [Value of selective thrombus aspiration during primary percutaneous coronary
intervention in patients with acute ST-segment elevation myocardial infarction].
PMID- 29374933
TI - [Chinese guidelines for the prevention of cardiovascular diseases(2017)].
PMID- 29374934
TI - [Status of intra-aortic balloon pump application and related factors in Chinese
patients with acute myocardial infarction].
AB - Objective: To investigate the current status of application of intra-aortic
balloon pump(IABP) and analyze the factors which might impact the application of
IABP in patients with acute myocardial infarction complicated with cardiac shock
in China. Methods: In China Acute Myocardial Infarction (CAMI) Registry,a
nationwide, multicenter(107 hospitals), prospective study, 26 592 patients with
acute myocardial infarction were enrolled consecutively between January 1, 2013
and September 30, 2014. After excluding of 30 cases due to missing important
data,26 562 cases were analyzed.The application status of IABP was analyzed and
multivariate logistic regression analysis was performed to determine the factors
related to IABP application. Results: A total of 785(3.0%) patients with acute
myocardial infarction received IABP implantation, and 381(49.9%) patients
belonged to preventive application of IABP before primary percutaneous coronary
intervention,and 6(0.8%) patients were complicated with mechanical
complications.There were 118(15.0%) patients with cardiac shock received IABP
implantation, which accounted for 12.0%(118/984) of all patients with cardiac
shock.Multivariate logistic regression analysis showed that the clinical
independent factors of the decision of IABP insertion included dyslipidemia
(OR=2.858, 95%CI 1.397-5.846, P=0.004),left ventricular ejection fraction
(OR=0.977, 95%CI 0.961-0.994, P=0.009),usage of dopamine (OR=2.817, 95%CI 1.495
5.308, P=0.001), left main disease (OR=2.817, 95%CI 1.495-5.308, P=0.001), GRACE
score (OR=1.006, 95%CI 1.000-1.011, P=0.034), receiving primary percutaneous
coronary intervention (OR=4.508, 95%CI 1.673-12.146, P=0.003), teritiary
hospitals (OR=2.562, 95%CI 1.498-4.384, P=0.001), and higher education of the
patients (OR=2.183, 95%CI 1.056-4.509, P=0.016). Conclusions: Among the Chinese
acute myocardial infarction patients who received IABP implantation, nearly half
application of IABP are preventive implantation before primary percutaneous
coronary intervention. Only a few patients complicated with cardiac shock
received IABP insertion. The clinical conditions, grade of hospitals, degree of
education impact the decision of IABP insertion for the patients with acute
myocardial infarction. Clinical Trial Registry National Institutes of Health,
NCT018746.
PMID- 29374935
TI - [Therapeutic efficacy of paclitaxel-coated balloon for de novo coronary lesions
with diameters larger than 2.8 mm].
AB - Objective: To evaluate the efficacy of paclitaxel-coated balloon for de novo
coronary lesions with diameters >= 2.8 mm. Methods: This prospective study
included 215 consecutive patients with 238 de novo lesions, who received
paclitaxel-coated balloon angioplasty in Beijing Hospital from May 2014 to June
2016. According to the reference vessel diameter, the patients were divided into
large vessel disease (LVD) group (reference vessel diameter>=2.8 mm, 85 patients
and 90 lesions) and small vessel disease (SVD) group (reference vessel
diameter<2.8 mm, 130 patients and 148 lesions). Clinical characteristics,
interventional procedures and major adverse cardiovascular events (includingall
cause mortality, non-fatal myocardial infarction and target lesion
revascularization) after procedure were compared between the 2 groups. Results:
(1)Patients in LVD group were younger than SVD group ((60.1+/-11.1) years old vs.
(65.0+/-10.6) years old, P<0.01), and less patients had diabetes (24.7% (21/85)
vs. 43.1%(56/130), P<0.01).(2)Prevalence of three-vessel disease (35.5%(30/85)
vs. 53.6%(67/130), P<0.05) and complex lesions (type B2/C,34.4% (31/90) vs.
50.0%(74/148), P<0.05) were significantly lower in LVD group than in SVD
group.(3) During pre-dilation, the rate with plain balloons use was significantly
higher in SVD group than in LVD group(76.4%(113/148) vs. 58.9%(53/90), P<0.01),
while the proportion of additional use of non-compliant balloons was
significantly higher in LVD groupthan in SVD group(20.0% (18/90) vs. 3.4% (5/148)
, P<0.01). The ratio of paclitaxel-coated balloon diameter/RVD was significantly
lower (0.87+/-0.12 vs. 0.96+/-0.15, P<0.01) and the duration of dilationwas
significantly shorter ((41.5+/-9.5) seconds vs. (45.1+/-9.1) seconds, P<0.01) in
LVD group than those in SVD group. Each group had 1 failure case that was bailout
stented with drug-eluting stents. The success rate of paclitaxel-coated balloon
treatment was similar in LVD group and SVD group (98.9% (89/90) vs.
99.3%(147/148), P>0.05).(4) At the fourth day of procedure, there was 1 acute
myocardial infarction requiring emergent target lesion revascularization in SVD
group. No major adverse cardiovascular event was observed in LVD group during
hospitalization. Forty-two patients with 53 lesions, including 27 LVD lesions and
26 SVD lesions,underwent coronary angiography at (9.4+/-4.6) months after
paclitaxel-coated balloon intervention. The quantitative coronary angiography
analysis showed that minimal lumen diameter significantlyincreased during follow
up than that of post-procedurein SVD group ((1.71+/-0.36)mm vs. (1.52+/-0.30)mm,
P<0.05) , while in LVD group the minimal lumen diameter was similar between
during follow-up and post-procedure ((2.35+/-0.48)mm vs. (2.19+/-0.34)mm,
P>0.05). Major adverse cardiovascular event rate was 0 in LVD group and
2.3%(3/130) in SVD group (P>0.05) during follow up. No death was observed in this
patient cohort. Conclusion: Treatment with paclitaxel-coated balloon for de novo
coronary lesions with diameters>=2.8 mm is safe and effective.
PMID- 29374936
TI - [Feasibility and safety of paclitaxel-eluting balloon for the treatment of de
novo coronary lesions].
AB - Objective: To evaluate the safety and feasibility of treating de novo coronary
lesions with paclitaxel-eluting balloon. Methods: This is a retrospective study,
which enrolled 76 patients with 80 de novo coronary lesions treated with
paclitaxel-eluting balloons(<30% residual stenosis and there was no blood flow
limited dissection after pretreatment) from April 2015 to November 2016 in
Guangdong general hospital. The data of basic characteristics,procedures,devices
and follow-up information were retrieved and analyzed. The primary endpoint was
the composite of cardiac death, recurrent myocardial infarction and target lesion
revascularization. Results: (1)The age was (63.3+/-10.3) years. There were
68.4%(52/76) acute coronary syndrome patients, prevalence of type 2 diabetes was
36.8%(28/76), and 64.5%(49/76)patients with at least one high bleeding risk.
(2)The lesion length was (17.4+/-7.6)mm, and the stenosis was (88.1+/-8.2)%.The
reference vessel diameter>=2.75 mm accounted for 51.2% (41/80), and bifurcation
stenosis accounted for 67.5%(54/80). (3)53.7%(43/80) lesions were pretreated with
scoring balloon to optimize plaque modification. The paclitaxel-eluting balloon
length and diameter were (22.3+/-5.5)mm and (2.74+/-0.52)mm.The residual stenosis
was (12.3+/-10.3)%. Procedural success was 88.8%(71/80).Bail-out stenting rate
was 5.0%(4/80). (4)The median follow-up duration was 12(6, 25) months. Primary
endpoint occurred in 3 cases (3.9%), including 2 cardiac deaths(1 patient died of
recurrent myocardial infarction, and 1 patient died of acute heart failure
induced by severe mitral insufficiency), and one patient receivedtarget lesion
revascularization. Conclusion: In case of no more than 30% residual stenosis and
no blood flow limited dissection after lesion pretreatment,it is safe and
feasible to treat de novo coronary lesionsusing paclitaxel-eluting balloon.
PMID- 29374937
TI - [Optical coherence tomographic analysis of in-stent neoatherosclerosis in lesions
with restenosis after drug-eluting stent implantation].
AB - Objective: To explore the imaging characteristics and related influencing factors
of in-stent neoatherosclerosis (ISNA) in patients with restenosis after drug
eluting stent(DES) implantation with optical coherence tomography(OCT). Methods:
A total of 25 cases of coronary heart disease patients(DES placement time >=8
months) with coronary artery angiography showing DES in-stent restenosis (ISR) in
Zunyi medical college affiliated hospital from July 2013 to December 2015 were
included in this study and patient's data were retrospectively analyzed.In these
patients with ISR, OCT images were acquired before percutaneous coronary
intervention. Patients were divided into the ISNA group (12 patients and 12
lesions) and non-ISNA group(13 patients and 13 lesions) according to the result
of OCT. ISNA on OCT was defined as neointima formation with the presence of
lipids or calcification. Results: (1) The incidence of chronic kidney disease and
increased low-density lipoprotein cholesterol level in ISNA group were
significant higher than that in non-ISNA group(all P<0.05). The stent
implantation time in ISNA group was longer than that in the non-ISNA
group(53.0(14.0, 81.0) months vs. 15.0(8.5, 32.5) months, P<0.01). In addition,
clinical manifestation of acute coronary syndrome was present in 8 out of 12
patientsin ISNA group, and stable angina pectoris was found in 10 out of 13
casesin non-ISNA group(P<0.01). (2) Quantitative analysis of OCT showed that the
lumen area was less in ISNA group than in non-ISNA group((3.45+/-1.82)mm(2) vs.
(4.17+/-1.68)mm(2), P<0.01), and neointimal area(3.89(2.26, 5.52)mm(2) vs.
2.96(1.99, 4.22)mm(2), P<0.01), neointimal load (53.15(40.18, 67.30)% vs.
41.54(32.08, 56.91)%, P<0.01), neointimal thickness(0.98(0.63, 1.36)MUm vs.
0.72(0.51, 1.03)MUm, P<0.01) were higher in ISNA group than in non-ISNA
group.(3)Qualitative analysis of OCT showed that the prevalence of homogeneous
intima was less in the ISNA group than in the non-ISNA group ((41.42+/-22.56)%
vs.(72.06+/-18.68)%, P<0.05), on the contrary, the heterogeneous intima was more
common in the ISNA group ((58.57+/-22.56)% vs. (27.94+/-18.68)%, P<0.05). There
was no significant difference between two groups in the peri-stentmicrovessels
(9/12 vs. 5/13,P>0.05), and prevalence of intraintimalmicrovessels was higher in
the ISNA group than in non-ISNA group (7/12 vs. 2/13, P<0.05). In addition, thin
cap fibrous plaque(7/12 vs. 0, P<0.01), disrupted intima with visible cavity
(7/12 vs. 1/13, P<0.05),andintraluminal red thrombus(7/12 vs. 1/13, P<0.05) were
significantly higher in ISNA group than in non-ISNA group. Conclusions: Results
of OCT show that ISNA occurs frequently in patients with ISR after DES
implantation. The stent implantation time, incidence of chronic kidney disease
and higher low-density lipoprotein cholesterol level are associated with the
formation of ISNA in these patients.
PMID- 29374938
TI - [Research on the relationship between atmospheric inhalable particulate matter
and cardiovascular diseases burden in Tianjin].
AB - Objective: To evaluate the association between atmospheric inhalable particulate
matter (PM(10)) concentration and cardiovascular diseases burden in Tianjin.
Methods: The data on daily mean concentrations of main pollutants (PM(10),
nitrogen dioxide(NO(2)) and sulfur dioxide(SO(2))), meteorological factors
(temperature and relative humidity) and population death monitoring data in
Tianjin, from January 1, 2001 to December 31, 2010, were collected and analyzed
in this study. The death counts and years of life lost were simultaneously used
as the indicators of disease burden. The generalized additive model was used to
assess the associations between PM(10) and daily death counts and years of life
lost due to cardiovascular system diseases in Tianjin by adjusting the
confounding factors such as long-term trend, seasons, meteorological factors and
other factors related to the long-term variability. Results: The daily average
concentration of PM(10) was 117.6 MUg/m3 in Tianjin during 2001 to 2011. The
daily average number of deaths of cardiovascular system diseases, cerebrovascular
diseases and ischemic heart diseases in Tianjin were 38.4, 14.8 and 17.2 people
respectively, and the daily average years of life lost were 776.8, 306.5 and
326.1 person years respectively. The effects of PM(10) on the daily death counts
of the three diseases categories were statistically significant (all P<0.01) in
Tianjin and the maximum effect occurs at the moment when PM(1)0 was at moving
average concentration of today and lagged 1-day (Lag01). The effects of
decreasing order were ischemic heart diseases, cardiovascular system diseases and
cerebrovascular diseases, excess risks were 0.53% (95% CI 0.35%-0.71%), 0.40%
(95%CI 0.28%-0.53%) and 0.38% (95%CI 0.19%-0.56%). The effects of atmospheric
PM(10) on the years of life lost of the three diseases were also statistically
significant on the different lag days (all P<0.01) in Tianjin and the maximum
effect of PM(10) appeared in Lag01. The effects from the largest to the lowest
were 2.86 (95%CI 1.79-3.93) person years for cardiovascular system diseases, 1.59
(95%CI 0.95-2.23) person years for ischemic heart diseases and 1.07 (95%CI 0.43
1.71) person years for cerebrovascular diseases, respectively. In multi-pollutant
models, after controlling SO(2), the effect of PM(10) on the daily life loss of
above 3 kinds of diseases was higher than that of single pollutant model. In
contrast, after controlling SO(2) or SO(2) with NO(2), the effect was lower.
After controlling NO(2), the effect of PM(10) on the daily life loss of
cerebrovascular disease was no longer statistically significant (P>0.05).
Conclusions: Exposure to atmospheric PM(10) can significantly increase the
cardiovascular diseases burden in Tianjin, especially for ischemic heart
diseases. These results suggested that particular attention should be paid to
reduce the exposure to atmospheric inhalable particulate matter for patients with
ischemic heart diseases.
PMID- 29374940
TI - [Percutaneous closure of anastomotic leakage after Standford A aortic dissection
surgery using Ampatzer Vascular Plug III].
PMID- 29374939
TI - [Comparison of arterial stiffness in non-hypertensive and hypertensive population
of various age groups].
AB - Objective: To investigate the impact of blood pressure and age on arterial
stiffness in general population. Methods: Participants who took part in 2010,
2012 and 2014 Kailuan health examination were included. Data of brachial ankle
pulse wave velocity (baPWV) examination were analyzed. According to the WHO
criteria of age, participants were divided into 3 age groups: 18-44 years group
(n=11 608), 45-59 years group (n=12 757), above 60 years group (n=5 002).
Participants were further divided into hypertension group and non-hypertension
group according to the diagnostic criteria for hypertension (2010 Chinese
guidelines for the managemengt of hypertension). Multiple linear regression
analysis was used to analyze the association between systolic blood pressure
(SBP) with baPWV in the total participants and then stratified by age groups.
Multivariate logistic regression model was used to analyze the influence of blood
pressure on arterial stiffness (baPWV>=1 400 cm/s) of various groups. Results:
(1)The baseline characteristics of all participants: 35 350 participants
completed 2010, 2012 and 2014 Kailuan examinations and took part in baPWV
examination. 2 237 participants without blood pressure measurement values were
excluded, 1 569 participants with history of peripheral artery disease were
excluded, we also excluded 1 016 participants with history of cardiac-cerebral
vascular disease. Data from 29 367 participants were analyzed. The age was
(48.0+/-12.4) years old, 21 305 were males (72.5%). (2) Distribution of baPWV in
various age groups: baPWV increased with aging. In non-hypertension population,
baPWV in 18-44 years group, 45-59 years group, above 60 years group were as
follows: 1 299.3, 1 428.7 and 1 704.6 cm/s, respectively. For hypertension
participants, the respective values of baPWV were: 1 498.4, 1 640.7 and 1 921.4
cm/s. BaPWV was significantly higher in hypertension group than non-hypertension
group of respective age groups (P<0.05). (3) Multiple linear regression analysis
defined risk factors of baPWV: Multivariate linear regression analysis showed
that baPWV was positively correlated with SBP(t=39.30, P<0.001), and same results
were found in the sub-age groups (t-value was 37.72, 27.30, 9.15, all P<0.001,
respectively) after adjustment for other confounding factors, including age, sex,
pulse pressure(PP), body mass index (BMI), fasting blood glucose (FBG), total
cholesterol (TC), smoking, drinking, physical exercise, antihypertensive
medications, lipid-lowering medication. (4) Multivariate logistic regression
analysis of baPWV-related factors: After adjustment for other confounding
factors, including age, sex, PP, BMI, FBG, TC, smoking, drinking, physical
exercise, antihypertensive medication, lipid-lowering medication, multivariate
logistic regression analysis showed that risks for increased arterial stiffness
in hypertension group were higher than those in non-hypertension group, the OR in
participants with hypertension was 2.54 (2.35-2.74) in the total participants,
and same results were also found in sub-age groups, the ORs were 3.22(2.86-3.63),
2.48(2.23-2.76), and 1.91(1.42-2.56), respectively, in each sub-age group.
Conclusion: SBP is positively related to arterial stiffness in different age
groups, and hypertension is a risk factor for increased arterial stiffness in
different age groups. Clinical Trial Registry Chinese Clinical Trial Registry,
ChiCTR-TNC-11001489.
PMID- 29374941
TI - [Refractory heart failure in a patient with antiphospholipid syndrome].
PMID- 29374942
TI - [The existing forms of circulating microRNA and its diagnostic value in acute
myocardial infarction].
PMID- 29374943
TI - [Analysis on the stationed system for detached medical officer in the Song
Dynasty].
AB - The stationed system for detached medical officer, designated by the Royal Hanlin
Department, was an important management system in the Song Dynasty for medical
aid and epidemic prevention. The selection of the officers was based on the test
results of the candidates. The dispatch of such medical officers was considered
comprehensively on the local conditions, with priority given to those provinces
and counties in shortage of such officers, and sent them in order based on the
duration of their working time.The primary responsibility of the stationed
medical officers was to provide medical services to locally stationed troops, at
the same time also involved in treating and saving people as epidemics occurred
in local places. The term of their service was two years. The system is a kind of
relatively mature medical management practices, which could improve the level of
local army medical services and control the occurrence and spread of epidemics
effectively.
PMID- 29374944
TI - [The affinity between Le family of Tong Ren Tang and acu-moxibustion as viewed
from Mian xue tang zhen jiu ji cheng (Mian Xuetang's Synthetic Work of Acu
moxibustion)].
AB - This compilation process of Mian xue tang zhen jiu ji cheng(Mian Xuetang's
Synthetic Work of Acu-moxibustion)was quite mysterious, the book's advent
coincidentally met with several major historical events which made its value of
decoding its historical functions far beyond its academic value. The editor of
this book is Le Xianyang, the founder of Tong Ren Tang. While compiling this
book, he also made a replica of Ming-Dynasty's Zhengtong bronze statue. More than
200 years later, Le Jingyu, the 12th generation of the Le family revised Ming
Tang Tuinherited from his ancestors and engraved Ming Tang Tuon the stone for its
standardization. This great achievement of Le family was no less than the
recasting of the Tiansheng bronze statue and the reengraving Tong ren shu xue
zhen jiu tu jing(Illustrated Manual of Acupuncture Points of the Bronze Figure)
by support of state power for building the Beijing Imperial Hospital in the
eighth year of the Zhengtong reign (1443).
PMID- 29374945
TI - [Literature research on Changshan (Radix Dichroae)].
AB - Originally named Hengshan, it is recorded firstly in the Shen nong ben cao
jing(Shennong's Classic of Materia Medica). Its name is changed as Changshan in
the Song Dynasty and still used today. Changshan is a cosmopolitan species, and
the producing area expands from Sichuan, Hubei, Shaanxi and Henan during the Wei
and Jin Dynasties to nowadays more than 10 provinces, such as the south of the
Yangtze River, Gansu etc. Before the Tang Dynasty, Changshan's original plant is
Orixa japonicaThunb., which is changed to Dichroa febrifugaLour. from the Song
Dynasty as its main orthodox product.
PMID- 29374946
TI - [Textual research on Fengqing Jixueteng (Kadsura interior A. C. Smith), Kunming
Jixueteng (Millettia dielsiana Harms ex Diels) and Jixueteng (Spatholobus
suberectus Dunn)].
AB - Being a common materia medica, the confusion of Jixuetengcaused by the homonyms
of different substances for Jixuetengis always very complicated. Fengqing
JixuetengPaste was first created in the Qing Dynasty. Fengqing Jixuetengwas
derived from the dried stems of a variety of species in KadsuraJuss. and
SchisandraMichx. from Schisandracea; while Kunming Jixueteng, derived from the
dried stem of Millettia dielsianaHarms ex Diels, was firstly recorded in the Zhi
wu ming shi tu kao(Textual Research on Reality and Titles of Plants). The
earliest records of Spatholobus suberectusDunn as the original plant of
Jixuetengwas in Guang xi ben cao xuan bian(Selected Compilation of Chinese
Materia Medica in Guangxi). It was not until 1997 that Spatholobus suberectusDunn
was recorded as an origin of orthodox product of Jixuetengin the Chinese
Pharmacopoeia. At present, the origins of Jixuetengis very complicated as
recorded in different provincial standards, including three species of three
genus from Leguminosae.The varieties of species from KadsuraJuss. and
SchisandraMichx. had long been used as Fengqing Jixueteng, but currently the most
extensively used one is Spatholobus suberectusDunn as Jixueteng. There are more
differences in records of Jixuetengin history up to the present, hence, further
investigation and research on Jixuetengis still necessary.
PMID- 29374947
TI - [Brief introduction to the development of ancient history of paediatric diagnosis
of traditional Chinese medicine].
AB - As an important part of paediatric science, paediatric diagnosis was in the
initial stage of its development before the Song Dynasty. The main measures of
diagnosing diseases were observation and pulse palpation, especially the latter.
The rapid development of paediatrics in the Song Dynasty necessitated
thepaediatric diagnosis to develop further, emphasizing the spiritual expressions
of the eyes and fingerprint, with its special features gradually developed in the
period of the Jin and Yuan Dynasties.During this period, the paediatrics
diagnosis was developed on the basis of the previous generations, with equal
importance paid to both observation and pulse feeling. In the period of the Ming
and Qing Dynasties the four paediatric diagnostics were constantly enriched with
attentions paid to the use of multiple approaches and thus the diagnostics was
perfected day by day.
PMID- 29374948
TI - [Distribution of Xin'an TCM physicians and their medical works and the reasons of
its formation of medical center].
AB - Based on the living times, native places and medical works of Xin'an TCM
physicians described in the Xin an ming yi kao(Textual Research of Famous
Physicians of Xin'an Region), the geographical distribution of ancient TCM
physicians was analyzed by using Excel software. It is found that Xin'an medicine
was originated from the Eastern Jin Dynasty and highly developed in the Qing
Dynasty, and the number of its TCM physicians in the Ming and Qing Dynasties was
large than the summation of those from the Eastern Jin Dynasty to the Ming
Dynasty. In regard to the district, the distribution of Xin'an TCM physicians was
characterized by more in the southeastern and less in the northwestern parts,
forming a distribution area concentrated in the three counties of Shexian, Wuyuan
and Xiuning. The reasons of such concentration of Xin'an TCM physicians are
closely related to the economic growth, the influence of Neo-Confucianism and the
density of population.
PMID- 29374949
TI - [The national anti-opium-smoking campaigns across the country in the Republican
Period].
AB - Anti-opium-smoking had been the key policy of successive central and local
governments from the late Qing Dynasty to the Republican Period. Since the
establishment of the Nanjing Provisional Government in January 1912, the Anti
opium-smoking campaign had culminated across the country. Under the support of
the government, the "National Anti-Opium Association of China" and "Association
of Chinese People Rejecting Opium" were established which made an important
contribution to China's anti-opium-smoking campaign.Yunnan, Shaanxi,
Heilongjiang, Zhejiang, Shanghai and other local governments also combined with
local specific circumstances to make anti-opium-smaking policy for punishing
severely the opium cultivation, trade and opium smoking, thus, the overrun of
opium began to be brought under an overall control.
PMID- 29374950
TI - [Measures, motivation and influence of Yan Xishan's support for traditional
Chinese medicine in the Republic of China].
AB - In Shanxi Province, governed by Yan Xishan during the Republic of China,
traditional Chinese medicine (TCM) is in a climate of relative tolerance on the
whole, under its supporting policy. A TCM Improving Society was organized by Yan
Xishan himself as president. Whereafter, a series of activities are carried out
by the Society, such as the establishments of an Affiliated Hospital, a Learning
School of TCM, and creation of Medical Magazineetc., all of which was supported
by Yan through providing financial resources and manpower. The reasons for Yan's
such supports, in addition to his deeply influenced by traditional Chinese
culture and his recognition on the therapeutic effects of TCM, might lie in the
account of the practical reality of economy and people's livelihood in Shanxi
Province. All his such measures not only provided a guarantee for local TCM
development, but also exerted an important impact on local provincial medical
structure of the day.
PMID- 29374951
TI - [Comparison on the function of rose as interpreted by traditional Chinese
medicine and medicine of Uighur ethnic group].
AB - As a kind of edible herbal plant, rose is recorded both in the literature of Han
medicine and medicine of Uighur ethnic group, yet with different understanding on
its function and different clinical application as well. Both Han and Uighur
medicine maintain that rose possesses the functions of improving one's look and
moisturizing the skin, aromatic deodorant and invigorating spleen to treat
inflammation and damage, hematemesis, pain and diarrhea etc. In addition, rose is
also used to treat wind bi(impediment or migratory arthralgia), acute mastitis,
breast lump and depression in Han medicine, while in Uighur medicine, it is also
used to treat constipation, palpitation, flat wart and dry throat.
PMID- 29374952
TI - [Textual research on a prescription, "Pill of Semen Plantaginis" in the Tangut
medical documents unearthed in Khara-Khoto].
AB - A prescription, "Pill of Semen Plantaginisfor Treating All Diseases"
(I(HB).N(O).4384) carried in the Tangut medical documents unearthed in Khara
Khoto was published in the 10(th)Volume of Heishuicheng Manuscript Collected in
Russia. The prescription is composed of Herba Cistanches, Radix Achyranthis,
Semen Plantaginis, white poria, Cortex Cinnamomi, Radix Aconiti preparata, Semen
Cuscutaeand baked ginger, whose main function is invigorating kidney yang and
nourishing kidney essence. This prescription has a close relationship with the
Han prescription in central plain of China which may be based on certain lost
Chinese medical book.
PMID- 29374953
TI - [Research on Japanese monograph of comprehensive dietetic materia medica, the Pao
chu bei yong wo ming ben cao (Japanese Materia Medica Prepared for Kitchen)].
AB - Japanese physicians of Edo Period (1603-1867) wrote many dietetic books, by
combining the knowledge system (content and compiling style) and thoughts of diet
therapy from China with local condition in Japan. Among them, the Pao chu bei
yong wo ming ben cao(Japanese Materia Medica Prepared for Kitchen), written by
Mukai Genshou, a physician in the early Edo, is the earliest comprehensive work
of dietetic materia medica. In this book, the choice and usage of Japanese
dietetic materia medica reveals obvious Japanese local color, including the name,
morphology, cultivation, collection, identification, nature and flavor, and
indication etc., reflecting the sprouting idea of edible herbal plant at the
beginning of Edo period and the characteristic of absorbing Chinese diet thoughts
by Japanese physician. This is the important first-hand historical material to
understand the development of Japanese dietetic herbalism in early Edo and its
dietotherapy culture.
PMID- 29374954
TI - Stimulatory Action of Telmisartan, an Antagonist of Angiotensin II Receptor, on
Voltage-Gated Na+ Current: Experimental and Theoretical Studies.
AB - Telmisartan (Tel) is recognized as a non-peptide blocker of AT1R. Whether this
agent has anydirect effects on ion currents remains unexplored. In whole-cell
current recordings, addition of Telincreased the peak amplitude of voltage-gated
Na+ (NaV) current (INa) accompanied by the increasedtime constant of INa
inactivation in differentiated NSC-34 motor neuron-like cells. Tel-stimulated
INain these cells is unlinked to either blockade of AT1R or activation of
peroxisome proliferator-activatedreceptor gamma (PPAR-gamma). In order to explore
how this compound affects the amplitude and kineticsof INa in neurons, a Hodgkin
Huxley-based (HH-based) model designed to mimic effect of Tel on thefunctional
activities of neurons was computationally created in this study. In this
framework, theparameter for h inactivation gating variable, which was changed in
a stepwise fashion, was implementedto predict changes in membrane potentials (V)
as a function of maximal Na+ (GNa), K+ conductance(GK), or both. As inactivation
time course of INa was increased, the bifurcation point of V versusGNa became
lower, and the range between subcritical and supercritical values at the
bifurcation of Vversus GK correspondingly became larger. During a slowing in INa
inactivation, the critical boundarybetween GNa and GK was shifted towards the
left. Simulation studies demonstrated that progressiveslowing in the inactivation
time course of INa resulted in unanticipated increase of neuronalexcitability by
mimicking the effect of Tel in neuronal cells. Collectively, Tel can directly
interact withthe NaV channel to increase peak INa as well as to slow INa
inactivation. It is thus highly likely that theeffects of Tel or its structurally
similar drugs could be another intriguing mechanism underlying
theirpharmacological actions in neurons or neuroendocrine cells occurring in
vivo.
PMID- 29374955
TI - Genistein Suppressing the ROS-Induced Hypersensitivity of Rat Vagal Lung C-Fiber
Afferents through an ERalpha-Mediated Mechanism.
AB - Reactive oxygen species (ROS) may induce hypersensitivity of vagal lung C-fibers
(VLCFs) throughthe interaction of transient receptor potential ankyirn 1 (TRPA1)
and P2X receptors. Genistein isa soy-derived isoflavone that exerts antioxidant
effects by binding to estrogen receptors (ERs), ERalphaand ERbeta. We
investigated whether ER activation by genistein can suppress H2O2-mediated
VLCFhypersensitivity and identified the types of ERs involved. Results revealed
that subcutaneous injectionof genistein or 4,4',4"-(4-propyl-[1H]-pyrazole-1,3,5
triyl)trisphenol (PPT, a selective ERalpha agonist) canattenuate H2O2-induced
VLCF hypersensitivity. The suppressive effects of genistein and PPT wereinhibited
by an additional treatment with ICI182780 (a nonselective ER antagonist) or 1,3
bis(4-hydroxyphenyl)-4-methyl-5-[4-(2-piperidinylethoxy)phenol]-1H-pyrazole
dihydrochloride (MPP,a selective ERalpha antagonist). Treatment with a
combination of PPT, HC030031 (a TRPA1 receptorantagonist), and iso
pyridoxalphosphate-6-azophenyl-2',5'-disulphonate (iso-PPADS, a P2X
receptorantagonist) did not further inhibit H2O2-induced VLCF hypersensitivity as
compared with combinedHC030031 and iso-PPADS treatment. In conclusion, ERalpha
activation by genistein can suppress H2O2-induced VLCF hypersensitivity through
its functional interaction with TRPA1 and P2X receptors.
PMID- 29374956
TI - Antitumorigenic Effects of ZAKbeta, an Alternative Splicing Isoform of ZAK.
AB - Sterile alpha motif (SAM)- and leucine-zipper-containing kinase (ZAK) plays a
role in theregulation of cell cycle progression and oncogenic transformation. The
ZAK gene generates twotranscript variants, ZAKalpha and ZAKbeta, through
alternative splicing. In this study, we identified thatZAKalpha proteins were
upregulated in tumor tissues, whereas ZAKbeta proteins were mostly expressedin
corresponding normal tissues. The ectopically expressed ZAKbeta proteins in
cancer cells inhibitedcancer cell proliferation as well as anchorage-independent
growth. The ZAKbeta:ZAKalpha protein ratioplayed a role in the regulation of the
cyclic adenosine monophosphate (cAMP) signaling pathway,whereas high ZAKbeta
protein levels led to the activation of cAMP response element binding protein
1(CREB1) and exerted antitumor properties. Overexpression of ZAKbeta or CREB1
cDNAs in cancercells inhibited anchorage-independent growth and also reduced the
levels of cyclooxygenase 2 (Cox2)and beta-catenin proteins. Cancer cells treated
with doxorubicin (Doxo) resulted in the switching fromthe expression of ZAKalpha
to ZAKbeta and also inhibited cancer cell growth in soft agar, demonstratingthat
pharmacological drugs could be used to manipulate endogenous reprogramming
splicing eventsand resulting in the activation of endogenous antitumorigenic
properties. We showed that the twoZAK transcript variants, ZAKalpha and ZAKbeta,
had opposite biological functions in the regulation oftumor cell proliferation in
that ZAKbeta had powerful antitumor properties and that ZAKalpha couldpromote
tumor growth.
PMID- 29374957
TI - Protective Effect of L-Theanine on Haloperidol-Induced Orofacial.
AB - Tardive dyskinesia (TD) is a severe side effect of chronic neuroleptic treatment
consisting ofabnormal involuntary movements, characterized by orofacial
dyskinesia (OD). Haloperidol (HAL)-induced OD has been widely used as an animal
model to study the neuropathophysiology of humanTD with its pathophysiology
strongly associated with striatal oxidative stress. L-Theanine (LT), oneof the
major amino acid components in green tea, has potent antioxidative effects and is
able to protectagainst various oxidative injuries. In this study, we examined the
potential protective effects of LTon HAL-induced behavioral and neurochemical
dysfunction in rats. HAL treatment (1 mg/kg i.p.for 21 days) induced significant
increases (P < 0.001) in the frequency of vacuous chewing movement(VCM) and
tongue protrusion (TP) as well as the duration of facial twitching (FT). LT
treatment (100,300 mg/kg orally for 35 days, starting 14 days before HAL
injection) was able to prevent most of theHAL-induced OD. LT treatment was also
able to reduce the lipid peroxidation (LPO) production, andenhance the
antioxidation power in striatum from rats with HAL treatment. The above results
indicatethat LT has a protective role against HAL-induced OD, probably via its
powerful antioxidative properties.Thus, LT may have a clinically relevant
therapeutic effect in delaying or treating TD.
PMID- 29374958
TI - Modulatory Effect of Concomitant Administration of Insulin and Vanadium on
Inflammatory Biomarkers in Type 2 Diabetic Rats: Role of Adiponectin.
AB - The aim of this study is to investigate the effect of vanadium and/or insulin on
the proinflammatorybiomarkers in type 2 diabetes mellitus (T2DM) rat model. Sixty
male Sprague Dawleyrats were divided into six groups (n = 10). Control group,
control vanadium group, T2DM group,insulin-treated diabetic group, vanadium
treated diabetic group, and concomitant insulin andvanadium-treated diabetic
group. At the end of the experiment, serum glucose, insulin, lipid profile,tumor
necrosis factor alpha (TNF-alpha), interleukin-6 (IL-6), high sensitivity C
reactive protein (hs-CRP), intercellular adhesion molecule-1 (ICAM-1), vascular
cell adhesion molecule-1 (VCAM-1) andadiponectin were measured. Administration of
insulin and/or vanadium significantly decreased in theplasma levels of glucose,
lipid profile, TNF-alpha, IL-6, hs-CRP, ICAM-1, and VCAM-1 with
significantincrease in adiponectin in comparison to the diabetic group.
Concomitant administration of insulinand vanadium significantly improved the
above measured parameters compared to either insulin orvanadium treatment. Based
on our results we can conclude that administration of both vanadiumand insulin
reduced the low-grade systemic inflammation in T2DM, through reduction of both
proinflammatorycytokines and adhesion molecules and increase adiponectin.
PMID- 29374959
TI - Impact of High Altitude on Maternal Serum Leptin Level and its Correlation With
Oxidative Stress and Endothelial Inflammatory Markers in Preeclamptic Women.
AB - Involvement of leptin in the pathogensis of preeclampsia (PE) is still a
controversy subject.Several researches reported the changes in serum leptin in
high altitude (HA) residents. The aimof the present work was to investigate the
impact of oxidative stress (OS) induced by HA residenceon maternal serum leptin
in PE and if there was a significant correlation between the serum leptinwith
either OS or endothelial inflammatory markers. One hundred fifty eight pregnant
women wereincluded in this study, divided into: low altitude normal pregnancies
(NL), HA normal pregnancies(NH), low altitude preeclamptic (PL), and HA
preeclamptic (PH) who presented to the obstetrics andgynecology outpatient clinic
in both Muhayl (500 m over sea level) and Abha General Hospitals (allof them
resident at Alsoda district with the average altitude 2700 m over sea level).
Serum leptin,superoxide dismutase (SOD) activity, malondialdehyde (MDA), plasma
nitrite/nitrate (NOx), serumtumor necrosis factor alpha (TNF-alpha), interleukin
6 (IL-6), blood urea nitrogen (BUN) and creatininewere determined. Both NH and PL
groups showed significant increases in leptin (P < 0.01), SOD (P <0.01), MDA (P <
0.001), NOx (P < 0.001), TNF-alpha (P < 0.001) and IL-6 (P < 0.001) compared with
theNL group without any significant changes between both groups. The PH group
showed significantaccentuation of the previously measured parameters (P < 0.001
for all) compared with all othergroups (NL, NH and PL groups). We can conclude
that the combination of PE and HA residenceresulted in significantly elevated
maternal serum leptin suggesting involvement of leptin in thepathogenesis of PE
accentuated by HA residence.
PMID- 29374960
TI - Cytological Features That Differentiate Follicular Neoplasm from Mimicking
Lesions.
AB - BACKGROUND: It is difficult to correctly diagnose follicular neoplasms (FNs) on
fine-needle aspiration cytology (FNAC) because it shares many cytological
features with other mimicking lesions. The aim of this study was to identify the
cytological features that differentiate FNs from mimicking lesions. METHODS: We
included the cytological slides from 116 cases of thyroid FN diagnosed on FNAC,
and included their subsequent histological diagnoses. We evaluated the
cytological architectural pattern and nuclear features of the lesions according
to their histological groups. RESULTS: The final histological diagnoses of the
116 cases varied, and included 51 FNs (44%), 47 papillary thyroid carcinomas
(40%) including follicular variant, and seventeen cellular nodular hyperplasias
(15%). Regardless of the final histological diagnosis, microfollicular pattern
was observed in most cases. On the other hand, trabecular pattern was identified
in 34% of FNs, but not in any other lesions. Additionally, elongated nuclei and
ground glass chromatin were found in only some papillary thyroid carcinomas.
CONCLUSIONS: This study shows that the trabecular pattern is a representative
cytological feature of FNs that can be used to distinguish FNs from mimicking
lesions. In addition, nuclear shape and chromatin pattern can be used to further
confirm the diagnosis of FNs from mimicking lesions through FNAC.
PMID- 29374962
TI - Introduction to the Special Issue: Interventions to Reduce Challenging Behavior
Among Individuals With Autism Spectrum Disorder.
AB - The prevalence of autism spectrum disorder (ASD) is on the rise. In addition to
the social communication skill deficits and restrictive repetitive behaviors and
interests, many individuals with ASD engage in challenging behavior. Challenging
behavior is associated with a multitude of negative outcomes. Challenging
behavior may cause harm to the individual with ASD as well as limit opportunities
for educational, vocational, and social participation and development. In
addition, caregivers experience high stress and low quality of mental health. As
a result, challenging behavior warrants intervention that is specifically
tailored to the unique characteristics of individuals with ASD. The purpose of
this Special Issue is to showcase recent research in the treatment of challenging
behavior for individuals with ASD. This two-part Special Issue contains 12
studies that range from systematic and quality reviews of the intervention
literature, to innovative treatment approaches, to studies that develop and
evaluate treatments for restrictive and repetitive behaviors and interests.
PMID- 29374961
TI - Effect of Lifestyle Modification Using a Smartphone Application on Obesity With
Obstructive Sleep Apnea: A Short-term, Randomized Controlled Study.
AB - OBJECTIVES: To investigate the short-term effects of a lifestyle modification
intervention based on a mobile application (app) linked to a hospital electronic
medical record (EMR) system on weight reduction and obstructive sleep apnea
(OSA). METHODS: We prospectively enrolled adults (aged >20 years) with witnessed
snoring or sleep apnea from a sleep clinic. The patients were randomized into the
app user (n=24) and control (n=23) groups. The mobile app was designed to collect
daily lifestyle data by wearing a wrist activity tracker and reporting dietary
intake. A summary of the lifestyle data was displayed on the hospital EMR and was
reviewed. In the control group, the lifestyle modification was performed as per
usual practice. All participants underwent peripheral arterial tonometry
(WatchPAT) and body mass index (BMI) measurements at baseline and after 4 weeks
of follow-up. RESULTS: Age and BMI did not differ significantly between the two
groups. While we observed a significant decrease in the BMI of both groups, the
decrease was greater in the app user group (P <0.001). Apnea-hypopnea index,
respiratory distress index, and oxygenation distress index did not change
significantly in both groups. However, the proportion of sleep spent snoring at
>45 dB was significantly improved in the app user group alone (P =0.014). In
either group, among the participants with successful weight reduction, the apnea
hypopnea index was significantly reduced after 4 weeks (P =0.015). Multiple
regression analyses showed that a reduction in the apnea-hypopnea index was
significantly associated with BMI. CONCLUSION: Although a short-term lifestyle
modification approach using a mobile app was more effective in achieving weight
reduction, improvement in OSA was not so significant. Long-term efficacy of this
mobile app should be evaluated in the future studies.
PMID- 29374963
TI - A Randomized Controlled Pilot Trial of the Unified Protocol for Transdiagnostic
Treatment of Emotional Disorders in Children.
AB - The Unified Protocol for Transdiagnostic Treatment of Emotional Disorders in
Children (UP-C) is an intervention for children aged 7 to 13 targeting high
negative emotion, emotional reactivity, and emotion regulation deficits common
across emotional disorders. Our objective was to collect pilot randomized
controlled trial (RCT) data on the efficacy of the UP-C, comparing UP-C with an
active, anxiety-focused intervention. Participants were 47 children with at least
one primary anxiety disorder; approximately one half had elevated depression
symptoms. Participants received either UP-C or the anxiety-focused control
treatment. No condition-related differences were found with respect to diagnostic
remission and anxiety symptoms. However, differences in favor of UP-C were
observed with respect to treatment response at follow-up, depression symptoms,
sadness dysregulation, and cognitive reappraisal. Results provide preliminary
evidence that the UP-C may be at least as efficacious in treating anxiety as well
supported anxiety-specific treatment protocols and may produce greater gains in
certain emotion reactivity and regulation variables.
PMID- 29374964
TI - Identifying What Is Known About Improving Operating Room to Intensive Care
Handovers: A Scoping Review.
AB - The purpose is to provide a descriptive overview of relevant material exploring
improvement of handovers from the operating room (OR) to intensive care unit
(ICU). An online search (MEDLINE, Cochrane, EMBASE, CINAHL, and Joanna Briggs),
including gray literature and relevant reference lists, was completed. In all,
4574 unique citations were screened and 155 full-text reviews performed; 65
articles were included in the final analysis. The majority of articles discuss an
ideal structure for handover (n = 63; 97%); 43 (66%) articles mentioned
strategies for implementing such an approach. Only 21 (32%) explicitly described
formal quality improvement (QI) methods. Few explored project sustainability and
impact of a structured handover on patient safety outcomes (n = 15, 23%).
Published literature suggests that there is a significant gap in evidence of
measured patient outcomes from standardization of OR to ICU handover processes.
Identifying formal QI strategies used to sustain standardized handover processes
will allow accurate measurement of patient outcomes.
PMID- 29374965
TI - The Impact of a Transition of Care Program on Acute Myocardial Infarction
Readmission Rates.
AB - Hospital discharge is a high-risk time period, and acute myocardial infarction
(AMI) patients often have early readmissions. The authors hypothesized that a
multifaceted AMI care coordination program would reduce early hospital
readmission rates. The outcomes of patients receiving care coordination (n = 304)
were compared to patients receiving standard care (n = 192). Multivariable
analyses of the outcomes were conducted by conditional logistic regression of
propensity score matched sets. The primary outcome-hospital readmission within 30
days of discharge-occurred in 18% of standard care patients and 11.8% of care
coordination patients. Patients receiving care coordination demonstrated a 48%
reduction in odds of readmission within 30 days (odds ratio = 0.52; P = .04; 95%
CI = 0.28-0.97). These results are the first to demonstrate that inclusion in an
AMI-specific care coordination program is associated with a significantly lower
risk of 30-day hospital readmission.
PMID- 29374966
TI - Evaluation of Hematocrit Influence on Self-Monitoring of Blood Glucose Based on
ISO 15197:2013: Comparison of a Novel System With Five Systems With Different
Hematocrit Ranges.
AB - INTRODUCTION: ISO 15197:2013 recommends testing procedures and acceptance
criteria for the evaluation of influence quantities such as hematocrit on
measurement results with systems for self-monitoring of blood glucose (SMBG). In
this study, hematocrit influence was evaluated for a novel SMBG system (system A)
and five other systems with different hematocrit ranges based on ISO 15197:2013.
METHODS: Test procedures were performed with one test strip lot for each system.
Each system was tested within the hematocrit range indicated in the
manufacturer's labeling (system A: 10-65%, B: 15-65%, C: 20-60%, D: 35-60%, E: 30
60%, F: 30-55%). According to ISO 15197:2013, clause 6.4.2, venous blood samples
were used for the evaluation of hematocrit influence. The evaluation was
performed for three glucose concentration categories (30-50 mg/dL, 96-144 mg/dL,
and 280-420 mg/dL). For each glucose concentration category, at least five
different hematocrit levels were investigated. RESULTS: The novel system A and
systems B, E, and F complied with the tested lot with the defined criteria and
showed <=10 mg/dL and <=10% difference between the test sample and the respective
control sample with a hematocrit value of 42% +/- 2% for BG concentrations <100
mg/dL and >=100 mg/dL, respectively. Two systems showed >10% difference at
glucose concentrations >=100 mg/dL. CONCLUSIONS: Remarkable hematocrit influence
within the labeled hematocrit range was obtained in two systems with the tested
reagent system lot. Adequate SMBG systems should be carefully chosen by patients
and their health care professionals, particularly for patients with increased and
decreased hematocrit values.
PMID- 29374967
TI - Association Between Second Metatarsal Length and Forefoot Loading Under the
Second Metatarsophalangeal Joint.
AB - BACKGROUND: Metatarsal length is believed to play a role in plantar plate
dysfunction, although the mechanism through which progressive injury occurs is
still uncertain. We aimed to clarify whether length of the second metatarsal was
associated with increased plantar pressure measurements in the forefoot while
walking. METHODS: Weightbearing radiographs and corresponding pedobarographic
data from 100 patients in our practice walking without a limp were
retrospectively reviewed. Radiographs were assessed for several anatomic
relationships, including metatarsal length, by a single rater. Pearson
correlation analyses and multiple linear regression models were used to determine
whether metatarsal length was associated with forefoot loading parameters.
RESULTS: The relative length of the second to first metatarsal was positively
associated with the ratio of peak pressure beneath the respective
metatarsophalangeal joints ( r = 0.243, P = .015). The relative length of the
second to third metatarsal was positively associated with the ratios of peak
pressure ( r = 0.292, P = .003), pressure-time integral ( r = 0.249, P = .013),
and force-time integral ( r = 0.221, P = .028) beneath the respective
metatarsophalangeal joints. Although the variability in loading predicted by the
various regression analyses was not large (4%-14%), the relative length of the
second metatarsal (to the first and to the third) was maintained in each of the
multiple regression models and remained the strongest predictor (highest
standardized beta-coefficient) in each of the models. CONCLUSIONS: Patients with
longer second metatarsals exhibited relatively higher loads beneath the second
metatarsophalangeal joint during barefoot walking. These findings provide a
mechanism through which elongated second metatarsals may contribute to plantar
plate injuries. LEVEL OF EVIDENCE: Level III, comparative study.
PMID- 29374969
TI - Prospective Comparison of Point-of-Care Device and Standard Analyzer for
Monitoring of International Normalized Ratio in Outpatient Oral Anticoagulant
Clinic.
AB - Point-of-care testing (POCT) coagulometers are increasingly being used in the
hospital setting and patients' self-testing. We determined the agreement of
prothrombin time international normalized ratio (INR) results by POCT
coagulometer and laboratory instrument through a comparative analysis and
investigated whether the results of POCT coagulometer can reliably be used
without being confirmed by standard laboratory analyzer. A total of 200 INR
measurements by POCT coagulometer (CoaguChek XS Pro) and laboratory analyzer
(Sysmex CS2000i) were compared using Passing-Bablok regression analysis and Bland
Altman plot. Agreement of the INR measurement was further analyzed in relation to
dosing decision. The correlation of INR measurements between CoaguChek XS Pro and
Sysmex CS2000i was excellent (correlation coefficient = 0.973). The overall mean
difference was 0.21 INR +/- 0.32 (range: 1.7-0.44). The mean difference was found
to get increased as INR results increased and was 0.09 in the subtherapeutic
range (<=1.9 INR), 0.29 INR in the therapeutic range (2.0-3.0 INR), while 0.4 INR
in the supratherapeutic range (>3.0 INR). The overall agreement was excellent
(kappa = 0.916) and overall 11 (5.5%) of 200 INR measurements showed a difference
in dosing decision between the 2 instruments. The positive bias of POC-INR is
evident in the supratherapeutic range which could affect the dosing decision
requiring confirmation with the laboratory INR measurement.
PMID- 29374968
TI - Fibrinolytic Deficit and Platelet Activation in Atrial Fibrillation and Their
Postablation Modulation.
AB - This study aims to examine the effects of atrial fibrillation (AF) on the
expression of the cellular mediators plasminogen activator inhibitor 1 (PAI-1)
and CD40 ligand (CD40-L). Additionally, the effect of catheter ablation on the
levels of the aforementioned biomarkers was also examined. In this prospective
study, plasma samples were collected from patients with AF at baseline prior to
ablation and at 1 and 3 months postablation. There was a statistically
significant increase in CD40-L at baseline in patients with AF compared to
control ( P = .0034). There was a statistically significant decrease in CD40-L
levels postablation at both 1 month ( P < .0001) and 3 months ( P < .0001)
compared to baseline. Baseline levels of PAI-1 were elevated compared to the
control group (mean 19.55 +/- 2.17 ng/mL vs 4.85 +/- 0.41 ng/mL) and a
statistically significant decrease in circulating PAI-1 levels 1 month
postablation ( P = .05) was noted compared to preablation levels. These data
suggest that inflammation plays an important role in the pathogenesis of AF and
that these cellular mediators are modulated by catheter ablation.
PMID- 29374970
TI - Transient hallucinations during interpersonal stress in an adolescent with
borderline personality disorder.
PMID- 29374971
TI - Biotinylated-lipid bilayer coated mesoporous silica nanoparticles for improving
the bioavailability and anti-leukaemia activity of Tanshinone IIA.
AB - The oral bioavailability and anti-leukaemia activity of Tanshinone IIA (TanIIA)
were enhanced by using biotinylated-lipid bilayer coated mesoporous silica
nanoparticles (Bio-LB-MSNs) as a vehicle. The in vitro release of TanIIA from
TanIIA@MSNs was significantly higher than that of the TanIIA powder (p < .05).
The in vitro cellular uptake of TanIIA by Caco-2 was increased by loading drug
into the Bio-LB-MSNs more than those of the compared nanovehicles without biotin
modification. The apparent in situ permeability coefficient (Papp) of TanIIA@Bio
LB-MSNs showed nearly 2.5-, 1.6- and 1.3-fold improvement compared with the
TanIIA powder, TanIIA@MSNs and TanIIA@LB-MSNs. Following oral administration of
TanIIA@Bio-LB-MSNs in rats, the area under the plasma concentration-time curves
(AUC) of TanIIA was 3.4-, 1.9- and 2.4-fold larger than those in the groups
received a pure TanIIA powder, TanIIA@MSNs or TanIIA@LB-MSNs, indicating that
drug bioavailability was enhanced by using MSNs as a vehicle, and further
improved significantly through biotin modification. The in vitro anti-leukaemia
activity of TanIIA was also enhanced after being loaded into nanoparticles and
modification, with 50% inhibitive concentration (IC50) of NB4 cells at 6.5 MUM
for TanIIA@Bio-LB-MSN. In conclusion, Bio-LB-MSNs are a promising vehicle to
improve the oral bioavailability and anti-leukaemia activity of the poorly water
soluble drug TanIIA.
PMID- 29374972
TI - Association between depressive symptoms, CD4 count and HIV viral suppression
among HIV-HCV co-infected people.
AB - Depressive symptoms are associated with poor HIV viral control and immune
recovery among people living with HIV. However, no prior studies assessed this
association exclusively among people co-infected with HIV-hepatitis C virus
(HCV). While people with HIV only and those with HIV-HCV co-infection share many
characteristics, co-infected people may become more susceptible to the effects of
depressive symptoms on health outcomes. We assessed this association exclusively
among people co-infected with HIV-HCV in Canada using data from the Food Security
& HIV-HCV Sub-Study (FS Sub-Study) of the Canadian Co-Infection Cohort (CCC).
Stabilized inverse probability weighted marginal structural model was used to
account for potential time-varying confounders. A total of 725 participants were
enrolled between 2012 and 2015. At baseline, 52% of participants reported
depressive symptoms, 75% had undetectable HIV viral load, and median CD4 count
was 466 (IQR 300-665). People experiencing depressive symptoms had 1.32 times
(95% CI: 1.07, 1.63) the risk of having detectable HIV viral load, but had
comparable CD4 count to people who did not experience depressive symptoms (fold
change of CD4 = 0.96, 95% CI: 0.91, 1.03). Presence of depressive symptoms is a
risk factor for incomplete short-term HIV viral suppression among people co
infected with HIV-HCV. Therefore, depressive symptoms screening and related
counseling may improve HIV related health outcomes and reduce HIV transmission.
PMID- 29374973
TI - Sub-millimetre accurate human hand kinematics: from surface to skeleton.
AB - A highly accurate human hand kinematics model and identification are proposed.
The model includes the five digits and the palm arc based on mapping function
between surface landmarks and estimated joint centres of rotation. Model
identification was experimentally performed using a motion tracking system. The
evaluation of the marker position estimation error, which is on sub-millimetre
level across all digits, underlines model quality and accuracy. Noticeably, with
the development of this model, we were able to improve various modelling
assumptions from literature and found a basic linear relationship between surface
and skeleton rotational angles.
PMID- 29374974
TI - Impact of stochastically generated heterogeneity in hazard rates on individually
randomized vaccine efficacy trials.
AB - Background/aims Network structure and individuals' level of exposure to a
pathogen can impact results from efficacy evaluation studies of interventions
against infectious diseases. Heterogeneity in infection risk can cause randomized
groups to increasingly differ as a trial progresses and as more high-risk
individuals become infected (described in prior work as the "frailty"
phenomenon). Here, we show the impact this phenomenon can have on an individually
randomized trial of a leaky vaccine in which all participants are exchangeable a
priori. Methods We model a vaccine trial by generating a network of individuals
grouped into communities, which are connected to a larger main population. We
then simulate an epidemic, deterministically and with time-varying transmission
rates in the main population and stochastically in the communities. The disease
natural history follows a susceptible-exposed-infectious-recovered model.
Simulation results are used to estimate vaccine efficacy [Formula: see text] with
a Cox proportional hazards model. Results We find downward bias in [Formula: see
text] associated with low connectivity between communities in the study
population and high force of infection, even when all participants in the trial
are exchangeable at the time of randomization. This phenomenon arises because the
stochastic dynamics in such a setting randomly lead to community-level variation
in the force of infection. Stratifying a Cox model by community alleviates this
bias with no loss of power. Conclusion Understanding and accounting for the
impact of heterogeneous hazard rates can allow for more accurate estimates of
[Formula: see text] in epidemic settings.
PMID- 29374976
TI - Multimodal retinal imaging in a case of an unsuccessful suicide attempt with
sildenafil.
PMID- 29374975
TI - Synthesis and biological evaluation of stilbene derivatives coupled to NO donors
as potential antidiabetic agents.
AB - The work is focused on the design of drugs that prevent and treat diabetes and
its complications. A novel class of stilbene derivatives were prepared by
coupling NO donors of alkyl nitrate and were fully characterised by NMR and other
techniques. These compounds were tested in vitro activity, including alpha
glucosidase inhibitory activity, aldose reductase (AR) inhibitory activity and
advanced glycation end products (AGEs) formation inhibitory activity. A class of
modified compounds could play a significant effect for treatment of diabetic
complications. Target compounds 3e and 7c offered a potential drug design concept
for the development of therapeutic or preventive agents for diabetes and its
complications.
PMID- 29374977
TI - Navigating the health-care system in community: Perspectives from Asian immigrant
parents of children with special health-care needs.
AB - Children with special health-care needs (CSHCNs) face notable barriers to health
care access and to receiving quality and family-centered care, despite higher
health-care utilization rates. Within the population of CSHCNs, there are
significant inequities in health-care quality impacting immigrants who have
migrated to the United States. However, little is known about the experiences and
needs of Asian immigrant families who have CSHCNs. This study aimed to explore
how Asian immigrant parents of CSHCNs view their child's health-care access,
quality, and utilization. We conducted semi-structured qualitative interviews
with 22 Vietnamese- and Cantonese-speaking parents of CSHCNs. Participants were
recruited through community partners. Interviews were transcribed, translated,
and coded using content analysis. Participants were generally satisfied with
their children's care and had strong relationships with their primary care
doctors who were often culturally 'matched'. However, participants experienced
several important and culturally specific barriers, including gaps in their
understanding of the health-care system, language barriers, and a sense of
alienation. Parents frequently turned to informal and community supports for
assistance in navigating the US health-care system. Further research to
understand the drivers of health disparities and policy level solutions is
warranted.
PMID- 29374978
TI - Misconceptions about traumatic brain injury among educators: has anything changed
over the last 20 years?
AB - PURPOSE: To examine educational professionals' knowledge and understanding of
childhood brain injury. MATERIALS AND METHODS: Educational professionals from all
schools in the state of Victoria, Australia, were invited to participate in an
online cross-sectional survey consisting of 20 questions assessing knowledge of
concussion and 30 questions examining knowledge of traumatic brain injury (n =
364). RESULTS: On average, participants correctly answered 16/20 (80%) questions
about concussion and 24.3/30 (81%) about traumatic brain injuries. Participants
who had previously taught a child with a brain injury demonstrated greater
knowledge of traumatic brain injury, but not concussion, than those who had not.
There were no differences in knowledge of concussion or brain injury between
participants who had and had not attended a briefing session about concussion.
Misconceptions displayed by educators predominantly related to the ongoing
effects and impact of both concussion and traumatic brain injury, including
effects on emotion, cognition, and social behaviour, as well as the increased
risk of multiple injuries following an initial brain injury. When participants'
responses to the brain injury questionnaire were compared with results reported
by Farmer and Johnson-Gerard in 1997 using the same questionnaire, many of the
same misconceptions were evident in the two samples of educational professionals.
CONCLUSIONS: Although educators demonstrated reasonable understanding of
concussion and brain injury, some gaps in knowledge were apparent. Providing
educational professionals with further training and professional development
regarding childhood brain injuries would enhance their preparedness to manage
students with these injuries in the school environment. Implications for
Rehabilitation Mild to moderate brain injuries are relatively common among school
aged children, and educators may be required to manage and support students with
these injuries in the school environment. This study shows that educators
generally have a good understanding of the symptoms and immediate effects of
brain injuries, but have gaps in knowledge regarding the potential
socioemotional, behavioural, and cognitive difficulties and vulnerabilities to
multiple injuries that may be present during recovery. Pre-service training and
professional development may increase educators' understanding and capacity to
accommodate the needs of students with brain injuries.
PMID- 29374979
TI - 99mTc-labelled multifunctional polyethylenimine-entrapped gold nanoparticles for
dual mode SPECT and CT imaging.
AB - In this study, we report the synthesis, characterization and utilization of 99mTc
labelled polyethylenimine-entrapped gold nanoparticles (99mTc-Au-PENPs) for dual
mode single-photon emission computed tomography/computed tomography (SPECT/CT)
imaging applications. Polyethylenimine (PEI) was selected as a platform to
conjugate with diethylene triamine pentacetate acid (DTPA) and polyethylene
glycol monomethyl ether to synthesize Au PENPs, followed by acetylation or
hydroxylation modification of the remaining PEI surface amine groups and
radiolabelling of 99mTc. The generated multifunctional 99mTc-Au-PENPs with
different surface groups (acetyl or hydroxyl) were characterized via different
methods. The Au PENPs before 99mTc labelling are colloidally stable,
haemocompatibility and noncytotoxic at an Au concentration up to 100 MUM. The
99mTc-labelled Au PENPs exhibit high radiochemical purity, good stability and
SPECT/CT imaging performance of different organs and lymph node. The designed
strategy to use the radionuclide labelling technique and PEI-facilitated
versatile nanoplatform may be extended to develop various novel nanoprobes for
precision imaging applications.
PMID- 29374980
TI - Imaging devices - today's innovations and tomorrow's potential.
PMID- 29374981
TI - Mechanisms of the enhanced antibacterial effect of Ag-TiO2 coatings.
AB - It has been demonstrated that Ag-TiO2 nanocomposite coatings with excellent
antimicrobial activity and biocompatibility have the potential to reduce
infection problems. However, the mechanism of the synergistic effect of Ag-TiO2
coatings on antibacterial efficiency is still not well understood. In this study,
five types of Ag-TiO2 nanocomposited coatings with different TiO2 contents were
prepared on a titanium substratum. Leaching tests indicated that the
incorporation of TiO2 nanoparticles into an Ag matrix significantly promoted Ag
ion release. Surface energy measurements showed that the addition of TiO2
nanoparticles also significantly increased the electron donor surface energy of
the coatings. Bacterial adhesion assays with Escherichia coli and Staphylococcus
aureus demonstrated that the number of adhered bacteria decreased with increasing
electron donor surface energy. The increased Ag ion release rate and the
increased electron donor surface energy contributed to an enhanced antibacterial
efficiency of the coatings.
PMID- 29374982
TI - Protecting UK adolescents and adults against meningococcal serogroup B disease.
AB - INTRODUCTION: Meningococcal serogroup B disease (MenB) is endemic in the UK and
continues to cause the majority of invasive meningococcal disease. Two broadly
protective protein-based MenB vaccines are now licensed and available, both with
wide age indications. Whilst the UK recently became the first country to
routinely vaccinate infants against MenB, a recommendation has not yet been
extended to older age groups who can also now benefit from these vaccines. Areas
covered: This review summarizes the evidence supporting the rationale for
adolescents and adults in the UK to consider MenB vaccination. Expert commentary:
Although MenB disease is rare, the UK reports one of the highest annual incidence
rates within the European region, with over a third of cases occurring in those
aged 10+ years. Overall, the case fatality rate following MenB disease in the UK
is 4.2% but can be more than twice as high in teenagers and adults than in
infants, and survivors are often left with life-changing disabling sequelae. MenB
outbreaks are unpredictable and continue to occur in regions where it is endemic.
These outbreaks often affect students attending school or university, with living
on a campus being an important risk factor. Concerned individuals in this age
group should consider MenB vaccination.
PMID- 29374983
TI - Management of twin-twin transfusion syndrome with an extremely short cervix.
AB - The objective of this study was to describe the management and perinatal outcomes
of patients with twin-twin transfusion syndrome (TTTS) and an extremely short
cervical length (CL). This retrospective study examined 17 patients with TTTS and
a preoperative CL <=1.0 cm who had undergone laser surgery and perioperative
cervical cerclage placement successfully. In this subset of patients, the median
interval between surgery and delivery was 9.6 (range 2.1-13.9) weeks and only one
patient had PPROM within 3 weeks of surgery. The median gestational age at
delivery was 30.9 (range 23.1-37.6) weeks, 30-day survival of at-least-one twin
was 88.2% and dual survivorship was 82.4%. Overall, patients with TTTS and a
preoperative CL <=1.0 cm who were able to undergo successful laser surgery and
emergent cerclage placement had favourable outcomes. Impact statement The
management of patients with twin-twin transfusion syndrome (TTTS) and extremely
short cervical length (CL) varies between foetal surgery centres. This study
demonstrates that laser surgery and cerclage placement in such patients are not
only technically feasible, but also can result in favourable perinatal outcomes.
Patients with an extremely short CL should not be uniformly excluded from laser
surgery for TTTS.
PMID- 29374984
TI - Clinical applicability of Tokyo guidelines 2018/2013 in diagnosis and severity
evaluation of acute cholangitis and determination of a new severity model.
AB - OBJECTIVE: To determine the diagnostic accuracy of Tokyo guidelines (TG)
2018/2013 (TG18/TG13) and predictors of poor prognosis in acute cholangitis.
METHODS: Retrospective 1-year study of consecutive hospital admissions for acute
cholangitis. Prognosis was defined in terms of 30 d in-hospital mortality.
RESULTS: Of the 183 patients with acute cholangitis, diagnostic accuracy based on
Charcot's triad, TG07 and TG18/TG13 was 67.8, 86.9 and 92.3% (p < .001),
respectively. Regarding severity based on TG18/TG13, 30.6% of cases were severe.
A poor prognosis was found in 10.9% of patients. After multivariate analysis,
systolic blood pressure <90 mmHg (OR 11.010; p < .001), serum albumin <3 g/dL (OR
1.355; p = .006), active oncology disease (OR 3.818; p = .006) and malignant
aetiology of obstructive jaundice (OR 2.224; p = .021) were independent
predictors of poor prognosis. The discriminative ability of the model with these
four variables was high (AUROC 0.842; p < .001), being superior to TG18/TG13
(AUROC 0.693; p = .005). CONCLUSIONS: TG18/TG13 showed high diagnostic accuracy
in acute cholangitis. Compared with TG18/TG13, the simplified severity model >=2
allows easy selection of patients who will benefit from admission to the
intensive care unit and early biliary decompression.
PMID- 29374985
TI - Preptin in women with polycystic ovary syndrome.
AB - INTRODUCTION: Polycystic ovary syndrome (PCOS) patients, frequently develop
metabolic complications, such as insulin resistance (IR), impaired carbohydrate
metabolism, dyslipidemia, obesity. Among the new markers responsible for
metabolic disorders, preptin seems to be of great significance. MATERIAL: One
hundred and thirty-four women aged 17-45 were enrolled. PCOS was diagnosed in 73
women on the basis of ESHRE-ASRM criteria. Non-PCOS group consisted of 61 women
with regular menstruation matched for nutritional status. METHODS: All women
underwent anamnesis, physical examination, anthropometric measurements, the
abdominal ultrasound examination, and dual energy X-ray absorptiometry (DXA).
Serum adropin levels were determined by ELISA. Biochemical and hormonal
(testosterone, androstenedione, LH, FSH, estradiol) measurements were also
performed. Insulin resistance indices (HOMA, QUICKI, Matsuda) and free androgen
index (FAI) were calculated with the test results according to the standard
formula. For all comparisons, statistical significance was defined by p <= .05.
RESULTS: Serum preptin levels were significantly higher in the PCOS group. No
significant correlations between preptin level and metabolic and hormonal markers
were observed. The logistic regression analysis demonstrated that serum preptin
level was an independent factor differentiating the two groups. CONCLUSIONS:
Serum preptin levels were significantly higher in women with PCOS compared with
controls. This peptide might be an independent predictor of PCOS in the future.
PMID- 29374986
TI - Sense of Self in Alzheimer's Research Participants.
AB - The sense of self is vulnerable in people with Alzheimer's disease (AD), and
might be positively and negatively influenced by research participation. The
purpose of this study was to describe how people with AD express their experience
of being a research participant with respect to their sense of self. Interviews
and support group conversations involving 13 people with mild and moderate AD
were analyzed using qualitative content analysis. Three themes were constructed:
contributing to an important cause, gaining from participating, and experiencing
risks and drawbacks. Participants described contributing to research as being in
line with their lifelong values and lifestyles. They expressed contentment and
pride about being research participants, emphasized their positive relationships
with the researchers, and described participation as a meaningful activity. When
research procedures threatened their sense of self, they were able to reason
about risks and decline participation.
PMID- 29374987
TI - It Takes an Army of Reviewers.
PMID- 29374988
TI - The Effects of Timing of Ankle Blocks in Forefoot, Midfoot, or Hindfoot
Reconstruction With the Use of an Ankle Tourniquet.
AB - BACKGROUND: Ankle blocks are used in the ambulatory surgery setting to control
postoperative pain, which is often worst in the first 24 hours after surgery. We
conducted a trial to determine whether the timing of ankle block administration
in relation to ankle tourniquet inflation has an effect on perceived pain and
narcotic consumption. METHODS: A prospective randomized study was conducted
between August 2015 and January 2016. Patients were assigned to three groups. In
group A, an ankle block was performed before ankle tourniquet inflation; in group
B, immediately after ankle tourniquet inflation; and in group C, immediately
after ankle tourniquet inflation with additional local anesthetic placed around
the incision at the end of the procedure. Pain was assessed by a visual analogue
scale (VAS) score, which was recorded at discharge, 24 hours, 48 hours, and 2
weeks after surgery. Narcotic consumption was recorded at 24 and 48 hours after
surgery. RESULTS: The only statistically significant difference in mean VAS
scores occurred at 24 hours, when patients who received an ankle block after
tourniquet inflation with local incisional anesthetic at closure (group C) had a
mean VAS score 2.8 points lower (3.5 vs 6.3; P = .025) than those who received
only an ankle block after tourniquet inflation (group B). There was no difference
in narcotic consumption between groups at 24 and 48 hours. CONCLUSIONS: The
timing of ankle block in relation to tourniquet inflation did not have an effect
on pain control in forefoot, midfoot, and hindfoot reconstruction. The
synergistic effect of an ankle block with additional incisional anesthetic at
closure, is more effective than ankle block alone and is the ideal combination
for postoperative pain control in foot surgery. LEVELS OF EVIDENCE: Therapeutic,
Level II: Prospective, comparative trial.
PMID- 29374989
TI - KLF16 suppresses human glioma cell proliferation and tumourigenicity by targeting
TFAM.
AB - BACKGROUND: This study aims to via unveiling the novel mechanisms of KLF16 in
regulating expression of genes involved in glioma. METHODS: KLF16 or KLF16-siRNA
was transfected to U87MG cells by lentivirus. Colony formation assay was applied
for detecting cell proliferation. MTT assay was adopted to assess cell viability.
TUNEL assay was selected to evaluate cell apoptosis. Flow cytometry was used to
determine cell cycle. Real-time PCR was performed to test mRNA expression.
Western blot was used to detect protein level. Luciferase assay was applied to
confirm the regulatory relationship between KLF16 and Mitochondrial transcription
factor A (TFAM). Chromatin immunoprecipitation was adopted to test the protein
binding site. The nude mouse transplantation tumour experiment was selected to
test cancer cell proliferation in vivo. RESULTS: KLF16 was decreased in glioma
cells and tissues. KLF16 obviously restrained U87MG cell proliferation both in
vivo and in vitro. KLF16 transfection reduced mRNA and protein levels related to
cell proliferation. KLF16 targeted a putative binding site near the transcription
start sites (TSSs) of TFAM gene, thus suppressing glioma cell proliferation.
KLF16-siRNA exhibited the opposite impact. KLF16 presented significant negative
correlation with TFAM level in glioma patients. CONCLUSIONS: KLF16 is a key
regulator of glioma cell proliferation by directly targeting TFAM.
PMID- 29374990
TI - Comparative inhalation toxicity of ethyltoluene isomers in rats and mice.
AB - The C9 alkylbenzenes, composed mostly of ethyltoluenes and trimethylbenzenes,
comprise 75-90% of the naphtha fraction of crude oil. Occupational and
environmental exposure to C9 alkylbenzenes occur via inhalation. We conducted
short-term inhalation studies on the ethyltoluene isomers (2-, 3- or 4-) to
select one isomer for more comprehensive studies. Male Hsd:Sprague Dawley rats
and female B6C3F1/N mice (n = 10) were exposed by nose-only inhalation to 2-, 3-
or 4-ethyltoluene (0, 1000 or 2000 ppm) or cumene (a reference compound: 0, 500
or 1000 ppm) 3 h/day, 5 days/week, for 2 weeks. Clinical observations included
abnormal gait and delayed righting reflex. Rats and mice exposed to 2000 ppm 2
ethyltoluene and mice exposed to 2000 ppm 4-ethyltoluene were euthanized early in
moribund condition; no exposure-related deaths were observed with 3-ethyltoluene
or cumene. Histopathology of selected tissues revealed that the nose and liver
(rats and mice) and lung (mice only) to be toxicity targets. In the mouse lung,
all compounds except 4-ethyltoluene produced bronchial and bronchiolar
hyperplasia. In rats and mice, 2-ethyltoluene was the only compound to produce
lesions in the nose and liver: in mice, squamous metaplasia and neutrophilic
inflammation of the respiratory epithelium and atrophy and degeneration of the
olfactory epithelium were observed in the nose and centrilobular hypertrophy and
necrosis were observed in the liver. In rats, 2-ethyltoluene exposure produced
atrophy of the olfactory epithelium in the nose and centrilobular necrosis in the
liver. Based on mortality, body weight effects and histopathology, the 2
ethyltoluene isomer was the most potent isomer.
PMID- 29374991
TI - Association between maternal depression and maternal sensitivity from birth to 12
months: a meta-analysis.
AB - Maternal sensitivity plays a central role in shaping children's development
across a number of domains, and may be disrupted by depression. The current meta
analysis quantified the magnitude of the association between depression and
maternal sensitivity, defined broadly as timely, contingent, and appropriate
responding to infants' cues, from birth to 12 months. Across k = 48 studies and n
= 4,934 mother-infant dyads, the aggregate effect size between depression and
maternal sensitivity was r = -.16, p < .0001, indicating that mothers with higher
depression levels were less sensitive than mothers with lower depression levels.
Studies that compared a depressed group with a nondepressed/control group had
larger effect sizes (r = -.35, p < .0001) than studies that examined depression
within a single sample of either unselected cases or clinical-only cases (r =
.11, p < .001), suggesting that clinical levels of depression may pose a
particular threat to sensitive parenting. Clinical implications (e.g. screening,
prevention) are discussed.
PMID- 29374992
TI - Lower urinary tract symptoms/benign prostatic hyperplasia and erectile
dysfunction: from physiology to clinical aspects.
AB - Erectile dysfunction, prostatic hyperplasia and lower urinary tract symptoms hare
important pathogenetic links. Endothelial dysfunction and hormonal alterations
represent the main aspects. The present article examines the anatomical,
physiological, and pathophysiological characteristics of this association,
finalizing the text to an interpretation of the clinical management of these
patients based on these functional considerations.
PMID- 29374993
TI - The effect of metabolic syndrome on Bell's palsy recovery rate.
AB - OBJECTIVE: This study investigated the effects metabolic syndrome (MetS) and its
factors such as diabetes mellitus (DM), hypertension (HTN), obesity,
hypertriglyceridemia (high TG) and low high-density lipoprotein cholesterol (low
HDL-C) on the recovery rate of patients with BP. METHODS: The medical records of
124 patients with BP were retrospectively reviewed. Patients were divided into a
MetS group and a Non-MetS group according to the diagnostic criteria of MetS, and
the demographic and clinical characteristics of the two groups at baseline and
six months after BP onset were analyzed. RESULTS: Age was significantly higher in
the MetS group than in the Non-MetS group (p < .05), but there were no
significant differences in sex ratio, initial House-Brackmann (H-B) grade,
initial electroneurography and initial electromyography (p > .05). The most
common comorbidity of BP was high TG, followed by low HDL-C, HTN, obesity and DM.
There were no differences in initial H-B grade in patients with and without each
component of the MetS (p > .05). The recovery rate of BP was significantly lower
in the MetS than in the Non-MetS group and was particularly affected by DM,
obesity and high TG. CONCLUSIONS: Recovery rate op BP is lower in patients with
than without MetS.
PMID- 29374994
TI - UK O&G trainees' attitudes to caesarean delivery for maternal request.
AB - We assessed the attitudes of UK Obstetrics and Gynaecology (O&G) trainees towards
a caesarean delivery for maternal request (CDMR); and identified differences in
attitude towards patients requesting CDMR and preferences for their own mode of
delivery. An internet survey was constructed with questions covering trainees'
personal preferences towards and experience of CDMR; attitudes to CDMR; and how
they might treat patients making this request. From 02/2013 to 06/2013, the
survey was sent electronically via email to all UK Deaneries to be forwarded to
O&G trainees. Two hundred and forty O&G trainees participated; 78% female. 6/101
(6%) respondents had opted for CDMR in their first pregnancy. 28/131 (21%) would
choose CDMR in their first pregnancy. Reasons for CDMR included concerns about
pelvic floor/perineum, safety of the baby and convenience. 105/226 (46.4%)
disagreed or strongly disagreed, and 67 (29.6%) agreed or strongly agreed with
CDMR. 75/128 (58.6%) of respondents would grant CDMR to a patient; reasons
included maternal choice, psychological concerns of the mother, perineal injury,
pelvic floor. Our results are encouraging: positive attitudes of trainees towards
vaginal delivery may help to reduce the rising caesarean rate. Impact Statement
What is already known on this subject: Over the last 30 years, the rate of
caesarean section in the UK has trebled and currently accounts for 25% of all
deliveries. The rate of caesarean section in the UK has risen to 25% of all
deliveries, incurring a financial burden and an excess clinical risk. With
pressure to keep the caesarean rates low, understanding the attitudes and
experience of obstetricians in training is important. What the results of this
study add: Six percent of obstetric trainees, or their partners who had children
had chosen a caesarean delivery for maternal request (CDMR), consistent with the
population average. Twenty one percent of those who had not had children would
choose CDMR. Both groups cited concerns over the pelvic floor as the predominant
reason. Fifty nine percent of respondents would grant patients' request for CDMR.
29.6% of respondents agreed, and 46.4% disagreed with CDMR. Trainees' attitude to
CDMR does not appear to be associated with whether or not they have had children,
but does appear to be associated with whether they had experienced, or were
planning to choose CDMR themselves in the future. What the implications are of
these findings for clinical practice and/or further research: Training for
obstetric trainees regarding the optimum way to manage patients' requests for,
and clearer guidance on CDMR may be of benefit. It is important that
obstetricians discuss the reasons behind such requests in order to individualise
management.
PMID- 29374995
TI - Effect of intraosseous injection versus inferior alveolar nerve block as primary
pulpal anaesthesia of mandibular posterior teeth with symptomatic irreversible
pulpitis: a prospective randomized clinical trial.
AB - OBJECTIVE: This study sought to assess the success rate, effect on blood
pressure, and pain of intraosseous injection (IO) and inferior alveolar nerve
block (IANB) for pulpal anaesthesia of mandibular posterior teeth with
symptomatic irreversible pulpitis as the primary anaesthetic technique. MATERIALS
AND METHODS: This randomized clinical trial (IRCT2013022712634N1) was conducted
on 60 patients between 18 and 65 years suffering from symptomatic irreversible
pulpitis of a mandibular posterior tooth. Patients were randomly divided into two
groups. Group one received IO while group two received IANB with 3% mepivacaine.
After anaesthetic injection, success rate of pulpal anaesthesia was assessed by
pulp testing in the two groups. Systolic and diastolic blood pressures of
patients were compared before and after the anaesthetic injections. Level of pain
during injection was scored using a visual analogue scale. The data were analyzed
using SPSS version 20, t-test and chi square test at p = .05 level of
significance. RESULTS: Success rate of IO (56.7%) was significantly higher than
that of IANB (23.3%) (p = .008). There was no significant difference in pain
during anaesthetic injection (p = .304) or change in systolic (p = .80) and
diastolic (p = .28) blood pressures following injection between the two
techniques. CONCLUSIONS: IO had a higher success rate than IANB for pulpal
anaesthesia of mandibular posterior teeth with symptomatic irreversible pulpitis.
Neither technique provided profound pulpal anaesthesia.
PMID- 29374996
TI - Bone mineral density is compromised in very long-term survivors of irradiated
childhood brain tumor.
AB - INTRODUCTION: The increase in the number of childhood brain tumor survivors
warrants detailed research to increase our knowledge regarding the possible
physical and psychosocial adverse outcomes of tumor and tumor therapy. The aim of
this study was to evaluate the current bone health by measuring the bone mineral
density (BMD) in irradiated, adult long-term survivors of childhood brain tumors.
MATERIAL AND METHODS: We studied a national cohort of 74 adult survivors of
childhood brain tumors treated with irradiation in Finland between 1970 and 2008.
Dual X-ray absorptiometry (DXA) was performed for the femoral necks, total hips,
and lumbar spine. Laboratory tests were conducted for evaluating the pituitary,
thyroid, and gonadal functions. The participants were interviewed, examined
clinically, and the disease and treatment related data were retrieved from the
patient files. RESULTS: One fourth of the patients (23.6%) had sex- and age
normalized z-scores below the expected range for age (z-score <= -2.0). Mean BMD
scores were decreased in all the DXA measurement sites. Male sex was associated
with low BMD (p < .05), while body mass index (BMI) had a significant positive
association with BMD (p < .01). Mode of irradiation (with or without spinal
irradiation) or inclusion of chemotherapy in the treatment did not affect BMD
significantly. However, patients with a ventriculoperitoneal shunt had lower BMD
than those without a shunt (p < .05). Follicle stimulating hormone (FSH) and
luteinizing hormone (LH) were negatively associated with BMD in women (p < .05).
However, a higher cumulative dose of glucocorticoids during treatment was not
associated with lower BMD, while low BMD was significantly associated with
previous fractures in long bones. DISCUSSION: Low BMD should be taken in
consideration in treatment of irradiated childhood brain tumor survivors
especially in those with previous fractures in long bones.
PMID- 29374997
TI - Popping the medical education bubble before it forms: It's about dollars and
sense.
AB - Headlines have previously acknowledged the risk of a "bubble and crash"
phenomenon in the physician workforce pipeline. A growing number of medical
career dissatisfiers, including emotional and physical burnout, loss of autonomy
and burdensome regulations, compound the longstanding fundamental issue of the
prohibitive direct and opportunity costs associated with medical training. For
U.S. medical education and, in turn, healthcare to remain robust and high
quality, creative solutions are needed to address the untenable physician debt-to
income ratios and to ensure not only that the quantity and quality of medical
school aspirants remains favorable to the profession, but that the profession
remains responsible to its future members. Creating fiscally healthy physicians
is a societal imperative.
PMID- 29374998
TI - Burn Survivors' Near-Death Experiences: A Qualitative Examination.
AB - Persons who come close to death but survive catastrophic accidents sometimes
report very vivid experiences during times when their survival was in doubt, when
they were believed to be dead, and during resuscitation efforts. This qualitative
study builds upon existing research on near-death experiences (NDEs) by focusing
on the oral accounts from a sample of individuals with large and life-threatening
burns. The NDE accounts were obtained from burn survivors attending the Phoenix
Society's World Burn Congress and are similar to reports by notable researchers (
Greyson, 2003 ; Moody, 1975 ; Ring, 1980 ) while reflecting the uniqueness of the
individual survivor's experiences. Six major themes are reported. Counselors and
health professionals need to be aware of and educated about NDEs as these
experiences can have profound effects upon the individual. Patients who have had
NDEs may need to discuss them but fear professionals will reject their stories as
being crazy.
PMID- 29375000
TI - Intradiscal Expandable Balloon Distraction During Transforaminal Decompression
for Lumbar Foraminal and Lateral Recess Stenosis.
AB - Advanced videoendoscopic equipment (such as motorized drills, chisels, and
rongeurs) facilitates the use of modern decompression tools through the inner
working channel of the spinal endoscope using the transforaminal approach.
Postoperative dysesthetic leg pain, however, is common because of irritation of
the dorsal root ganglion. This article presents a novel surgical technique
employing an expandable balloon placed into the hollow intervertebral space in
patients without any functional disc tissue to distract the stenotic motion
segment. This approach improves visualization, facilitates removal of bone during
the decompression, and minimizes intraoperative manipulation of the exiting and
traversing nerve roots. In a study of 52 targeted patients with symptomatic
spinal stenosis at 60 levels (L2/3-1, L3/4-9, L4/5-28, and L5/S1-22) due to
advanced degenerative changes of the intervertebral disc and facet joint complex
contributing to both foraminal and lateral recess stenosis, only 2 of the 52
patients complained of postoperative dysesthetic leg pain (3.85%) after
undergoing this novel procedure. At the final 2-year follow-up, 80.9% of patients
showed Excellent and Good outcomes according to modified Macnab criteria.
PMID- 29374999
TI - Tumor-targeting Salmonella typhimurium A1-R combined with recombinant
methioninase and cisplatinum eradicates an osteosarcoma cisplatinum-resistant
lung metastasis in a patient-derived orthotopic xenograft (PDOX) mouse model:
decoy, trap and kill chemotherapy moves toward the clinic.
AB - In the present study, a patient-derived orthotopic xenograft (PDOX) model of
recurrent cisplatinum (CDDP)-resistant metastatic osteosarcoma was treated with
Salmonella typhimurium A1-R (S. typhimurium A1-R), which decoys chemoresistant
quiescent cancer cells to cycle, and recombinant methioninase (rMETase), which
selectively traps cancer cells in late S/G2, and chemotherapy. The PDOX models
were randomized into the following groups 14 days after implantation: G1, control
without treatment; G2, CDDP (6 mg/kg, intraperitoneal (i.p.) injection, weekly,
for 2 weeks); G3, rMETase (100 unit/mouse, i.p., daily, for 2 weeks). G4, S.
typhimurium A1-R (5 * 107 CFU/100 MUl, i.v., weekly, for 2 weeks); G5, S.
typhimurium A1-R (5 * 107 CFU/100 MUl, i.v., weekly, for 2 weeks) combined with
rMETase (100 unit/mouse, i.p., daily, for 2 weeks); G6, S. typhimurium A1-R (5 *
107 CFU/100 MUl, i.v., weekly, for 2 weeks) combined with rMETase (100
unit/mouse, i.p., daily, for 2 weeks) and CDDP (6 mg/kg, i.p. injection, weekly,
for 2 weeks). On day 14 after initiation, all treatments except CDDP alone,
significantly inhibited tumor growth compared to untreated control: (CDDP: p =
0.586; rMETase: p = 0.002; S. typhimurium A1-R: p = 0.002; S. typhimurium A1-R
combined with rMETase: p = 0.0004; rMETase combined with both S. typhimurium A1-R
and CDDP: p = 0.0001). The decoy, trap and kill combination of S. typhimurium A1
R, rMETase and CDDP was the most effective of all therapies and was able to
eradicate the metastatic osteosarcoma PDOX.
PMID- 29375002
TI - Bioactive compounds of the Mediterranean diet and prostate cancer.
AB - OBJECTIVE: The purpose of this review is to examine the evidence on the effects
of bioactive constituents of the Mediterranean diet (MeDi) on prostate cancer
(PCa) risk. METHODS: The search for articles came from extensive research in the
following databases: PubMed, Scopus, and Web of Science. We used the search terms
"Mediterranean diet," "lycopene," "vitamin E," "vitamin C," "Selenium,"
"resveratrol," "prostate cancer," and combinations, such as "lycopene and
prostate cancer" or "resveratrol and prostate cancer." RESULTS: Numerous studies
investigating the effect of various dietary nutrients on PCa have suggested that
selenium is probably the most promising. Several studies reported reduced PCa
risk associated with vitamin C and E intake, while other studies reported no
association. Lycopene inhibits cell proliferation and inducts apoptosis, thus
protecting against cancer. Also, it has been found in various in vivo and in
vitro studies that resveratrol, inhibits PCa development. CONCLUSIONS: The high
content of bioactive phytochemicals in the MeDi is of particular interest in the
prevention of PCa. Further large-scale studies are required to clarify the effect
of MeDi bioactive compounds on prostate health, in order to establish the role of
this diet in the prevention of PCa.
PMID- 29375001
TI - Simple geometry tribological study of osteochondral graft implantation in the
knee.
AB - Robust preclinical test methods involving tribological simulations are required
to investigate and understand the tribological function of osteochondral repair
interventions in natural knee tissues. The aim of this study was to investigate
the effects of osteochondral allograft implantation on the local tribology
(friction, surface damage, wear and deformation) of the tissues in the natural
knee joint using a simple geometry, reciprocating pin-on-plate friction
simulator. In addition, the study aimed to assess the ability of osteochondral
grafts to restore a low surface damage, deformation and wear articulation when
compared to the native state. A method was developed to characterise and quantify
surface damage wear and deformation of the opposing cartilage-bone pin surface
using a non-contacting optical profiler (Alicona Infinite Focus). Porcine 12 mm
diameter cartilage-bone pins were reciprocated against bovine cartilage-bone
plates that had 6 mm diameter osteochondral allografts, cartilage defects or
stainless steel pins (positive controls) inserted centrally. Increased levels of
surface damage with changes in geometry were not associated with significant
increases in the coefficient of dynamic friction. Significant damage to the
opposing cartilage surface was observed in the positive control groups. Cartilage
damage, deformation and wear (as measured by change in geometry) in the xenograft
(2.4 mm3) and cartilage defect (0.99 mm3) groups were low and not significantly
different (p > 0.05) compared to the negative control in either group. The study
demonstrated the potential of osteochondral grafts to restore the congruent
articular surface and biphasic tribology of the natural joint. An optical method
has been developed to characterise cartilage wear, damage and deformation that
can be applied to the tribological assessment of osteochondral grafts in a whole
natural knee joint simulation model.
PMID- 29375003
TI - Local confinement and bedridden in the context of boundedness - The development
of a conceptual definition based on an integrative review
AB - Background: Local confinement and bedridden are important phenomena in nursing
care. Nevertheless, conceptual definitions and appropriate nursing diagnoses are
missing in the current nursing classification systems. Aim: The aim is to provide
the basis for a conceptual definition. To this end, the current state of the
German and English usage of the terms local confinement and bedridden are
presented. At the same time, definitions of English-language terms, which are
internationally widely recognized, are elaborated. Additionally, phenomena
associated with local confinement and bedridden are recorded and delimited of
each other. Method: The identification of the German and English conceptual usage
takes place through an integrative literature review covering the period from
1990 to 2016. Results: There are a variety of English-language terms of location
confinement and bedridden. The concepts of homebound, wheelchairbound and
bedridden form of local confinement and bedridden most extensively. Instability,
immobility is connected as cause and inactivity as a consequence. In contrast to
this is bedrest, which is ordered and temporally limited. Conclusions: Local
confinement and bedridden are to be viewed through the definition of boundedness.
The antecedents (instability, immobility) must be diagnosed to derive adequate
interventions to avoid or alleviate the consequences.
PMID- 29375004
TI - Predicted contributions of cytochrome P450s to drug metabolism in human liver
microsomes using relative activity factor were dependent on probes.
AB - Contributions of cytochrome P450 (CYP450) isoforms to drug metabolism are often
predicted using relative activity factor (RAF) method, assuming RAF values were
independent of probe. We aimed to report probe-dependent characteristic of RAF
values using CYP3A4 or CYP2C9 probes. Metabolism of four CYP3A4 probes
(testosterone, midazolam, verapamil and atorvastatin) and three CYP2C9 probes
(tolbutamide, diclofenac and S-warfarin) in human liver microsomes (HLM) and cDNA
expressed recombinant CYP450 (Rec-CYP450) systems were characterized and RAFCL
value was estimated as ratio of probe intrinsic clearance in HLM to that in Rec
CYP450. CYP450i contributions to metabolic reaction of a probe were predicted
using other probes and compared with data from specific inhibitions.
Contributions of CYP3A4 and CYP2C9 to metabolism of deoxypodophyllotoxin and
nateglinide were also predicted. RAF values were dependent on probes, leading to
probe-dependently predicted contributions. Predicted contributions of CYP3A4 to
formations of 6beta-hydroxytestosterone, 1'-hydroxymidazolam, norverapamil, ortho
hydroxyatorvastatin and para-hydroxyatorvastatin using other probes were 47.46
219.46%, 21.62-98.87%, 186.49-462.44%, 21.87-101.15% and 53.62-247.97%,
respectively. Predicted contributions of CYP3A4 and CYP2C9 to nateglinide
metabolism were 8.18-37.84% and 36.08-94.04%, separately. In conclusion, CYP450i
contribution to drug metabolism in HLM estimated using RAF approach were probe
dependent. Therefore, contribution of each isoform must be confirmed by multiple
probes.
PMID- 29375005
TI - Everyday executive function in focal onset pediatric epilepsy on the parent
report BRIEF2.
AB - Executive function (EF) difficulties are a core neuropsychological feature of
pediatric epilepsy, and parent-report measures of EF concerns are an important
complement to task-based EF measures. The Behavior Rating Inventory of Executive
Function (BRIEF) has shown sensitivity to parent-reported EF concerns in epilepsy
and other pediatric populations. We compared profiles of parent-reported EF
concerns using the BRIEF and its revision, the BRIEF2, in 117 pediatric patients
with focal onset epilepsy to examine the clinical utility of the revised scale.
We then compared BRIEF2 profiles between patients and age- and gender-matched
healthy controls. Among patients, profiles on the BRIEF did not globally differ
from the BRIEF2, and agreement was very good across scales. Patients and controls
differed significantly on the BRIEF2, with patients showing higher EF
difficulties reported by parents across most scales. High rates of clinical
elevation among patients emerged on the Task Monitor, Plan/Organize, Working
Memory, and Shift scales. Younger age of epilepsy onset, chronic epilepsy, and
right hemisphere seizure focus were associated with higher parent-reported EF
concerns. Findings suggest that the BRIEF2 demonstrates similar performance to
the BRIEF among pediatric patients with focal onset epilepsy who are most at risk
in the areas of task monitoring, working memory, planning and organization, and
flexibility. These findings are informative when comparing literature across
versions and provide additional insight into the nature of parent-reported EF
difficulties among children with focal onset epilepsy.
PMID- 29375007
TI - Recognition mechanism of Wilms' tumour suppressor protein and DNA triplets:
insights from molecular dynamics simulation and free energy analysis.
AB - The Wilms' tumour suppressor protein (WT1) plays a multifaceted role in human
cancer processes. Mutations on its DNA recognition domain could lead to Denys
Drash syndrome, and alternate splicing results in insertion of the tripeptide Lys
Thr-Ser (KTS) between the third and fourth zinc fingers (ZFs), leading to changes
in the DNA-binding function. However, detailed recognition mechanisms of the WT1
DNA complex have not been explored. To clarify the mutational effects upon WT1
towards DNA binding at the atomic level, molecular dynamics simulations and the
molecular mechanics/Poisson Boltzmann surface area (MM/PBSA) method were
employed. The simulation results indicate that mutations in ZF domains (E427Q and
Q369H) may weaken the binding affinity, and the statistical analyses of the
hydrogen bonds and hydrophobic interactions show that eight residues (Lys351,
Arg366, Arg375, Arg376, Lys399, Arg403, Arg424 and Arg430) have a significant
influence on recognition and binding to DNA. Insertion of the tripeptide KTS
could form an immobilized hydrogen-bonding network with Arg403, affecting the
flexibility and angle of the linker between ZF3 and ZF4, thus influencing the
recognition between the protein and the DNA triplet at its 5' terminus. These
results represent the first step towards a thorough characterization of the WT1
recognition mechanisms, providing a better understanding of the structure
function relationship of WT1 and its mutants.
PMID- 29375006
TI - Mechanisms that prevent catastrophic interactions between paternal chromosomes
and the oocyte meiotic spindle.
AB - Meiosis produces haploid gametes by accurately reducing chromosome ploidy through
one round of DNA replication and two subsequent rounds of chromosome segregation
and cell division. The cell divisions of female meiosis are highly asymmetric and
give rise to a large egg and two very small polar bodies that do not contribute
to development. These asymmetric divisions are driven by meiotic spindles that
are small relative to the size of the egg and have one pole juxtaposed against
the cell cortex to promote polar body extrusion. An additional unique feature of
female meiosis is that fertilization occurs before extrusion of the second polar
body in nearly all animal species. Thus sperm-derived chromosomes are present in
the egg during female meiosis. Here, we explore the idea that the asymmetry of
female meiosis spatially separates the sperm from the meiotic spindle to prevent
detrimental interactions between the spindle and the paternal chromosomes.
PMID- 29375008
TI - When patients hurt us.
AB - In this thoughtful article, medical educators in various stages of their careers
(resident, mid-career clinician-educators, medical school deans) reflect upon
increasing reports of harassment and mistreatment of trainees by patients. In
addition to providing a general overview of the limited literature on this topic,
the authors describe their own experience collecting information on trainee
mistreatment by patients at their institution. They explore the universal
difficulty that educators face regarding how to best address this mistreatment
and support both faculty and trainees. Given the current sociopolitical climate,
there has never been a more urgent need to critically examine this issue. The
authors call on the greater medical education community to join them in these
important conversations.
PMID- 29375009
TI - Spectroscopic evaluation of synthesized 5beta-dihydrocortisol and 5beta
dihydrocortisol acetate binding mechanism with human serum albumin and their role
in anticancer activity.
AB - Our study focus on the biological importance of synthesized 5beta-dihydrocortisol
(Dhc) and 5beta-dihydrocortisol acetate (DhcA) molecules, the cytotoxic study was
performed on breast cancer cell line (MCF-7) normal human embryonic kidney cell
line (HEK293), the IC50 values for MCF-7 cells were 28 and 25 MUM, respectively,
whereas no toxicity in terms of cell viability was observed with HEK293 cell
line. Further experiment proved that Dhc and DhcA induced 35.6 and 37.7% early
apoptotic cells and 2.5, 2.9% late apoptotic cells, respectively, morphological
observation of cell death through TUNEL assay revealed that Dhc and DhcA induced
apoptosis in MCF-7 cells. The complexes of HSA-Dhc and HSA-DhcA were observed as
static quenching, and the binding constants (K) was 4.7 +/- .03 * 104 M-1 and 3.9
+/- .05 * 104 M-1, and their binding free energies were found to be -6.4 and
6.16 kcal/mol, respectively. The displacement studies confirmed that lidocaine
1.4 +/- .05 * 104 M-1 replaced Dhc, and phenylbutazone 1.5 +/- .05 * 104 M-1
replaced by DhcA, which explains domain I and domain II are the binding sites for
Dhc and DhcA. Further, FT-IR, synchronous spectroscopy, and CD results revealed
that the secondary structure of HSA was altered in the presence of Dhc and DhcA.
Furthermore, the atomic force microscopy and transmission electron microscopy
showed that the dimensions like height and molecular size of the HSA-Dhc and HSA
DhcA complex were larger compared to HSA alone. Detailed analysis through
molecular dynamics simulations also supported greater stability of HSA-Dhc and
HSA-DhcA complexes, and root-mean-square-fluctuation interpreted the binding site
of Dhc as domain IB and domain IIA for DhcA. This information is valuable for
further development of steroid derivative with improved pharmacological
significance as novel anti-cancer drugs.
PMID- 29375010
TI - Aggressive systolic blood pressure control in older subjects: benefits and risks.
AB - Systolic hypertension, especially isolated systolic hypertension (ISH) is very
common in older subjects aged >= 65 years and is a major risk factor for
cardiovascular disease (CVD), strokes, heart failure (HF) and chronic kidney
disease (CKD). It is also, directly and linearly related with these complications
irrespective of sex, or ethnicity, but it is worse with the advancement of age.
Effective control of systolic blood pressure (SBP), is associated with
significant reduction in the incidence of these complications. Currently, there
is a debate about the optimal SBP control in view of the Systolic Blood Pressure
Intervention Trial (SPRINT) showing beneficial cardiovascular (CV) effects of
intensive SBP of < 120 mmHg in older patients. Also, the recently released blood
pressure (BP) guidelines by the American College of Cardiology, the American
Heart Association and the American Society of Hypertension (ACC/AHA/ASH)
recommend a SBP reduction of < 130 mmHg. These SBP treatment recommendations are
in contrast with the current (JNC VIII) committee of BP treatment guidelines,
which recommend a SBP reduction < 150 mmHg for the same age of patients. All
these different recommendations have created a debate regarding the optimal
treatment targets for the systolic hypertension of the elderly patients. To gain
more information a focused Medline search was conducted from 2010 to 2017 using
the terms, systolic blood pressure, aggressive control, older subjects, treatment
guidelines, and 37 pertinent papers were retrieved. The findings from these
studies suggest a SBP reduction of < 140 mm Hg for persons aged >= 60 years, with
an attempt for SBP reduction to <=130 mm Hg in healthier subjects and hose with
CVD, DM, and CKD. Care should be taken not to further reduce the SBP in older
subjects if their DBP is <=60 mmHg for the fear of J-curve effect.
PMID- 29375012
TI - ASSA: Fast identification of statistically significant interactions between long
RNAs.
AB - The discovery of thousands of long noncoding RNAs (lncRNAs) in mammals raises a
question about their functionality. It has been shown that some of them are
involved in post-transcriptional regulation of other RNAs and form inter
molecular duplexes with their targets. Sequence alignment tools have been used
for transcriptome-wide prediction of RNA-RNA interactions. However, such
approaches have poor prediction accuracy since they ignore RNA's secondary
structure. Application of the thermodynamics-based algorithms to long transcripts
is not computationally feasible on a large scale. Here, we describe a new
computational pipeline ASSA that combines sequence alignment and thermodynamics
based tools for efficient prediction of RNA-RNA interactions between long
transcripts. To measure the hybridization strength, the sum energy of all the
putative duplexes is computed. The main novelty implemented in ASSA is the
ability to quickly estimate the statistical significance of the observed
interaction energies. Most of the functional hybridizations between long RNAs
were classified as statistically significant. ASSA outperformed 11 other tools in
terms of the Area Under the Curve on two out of four test sets. Additionally, our
results emphasized a unique property of the [Formula: see text] repeats with
respect to the RNA-RNA interactions in the human transcriptome. ASSA is available
at https://sourceforge.net/projects/assa/.
PMID- 29375011
TI - Effect of the Japanese diet during pregnancy and lactation or post-weaning on the
risk of metabolic syndrome in offspring.
AB - We examined the effects on offspring of ingestion of the 1975 Japanese diet
during pregnancy and lactation and after weaning in mice. Pregnant dams were
divided into groups that were fed the Japanese diet or a control diet and raised
until offspring were weaned. The offspring after weaning were further divided
into groups that were raised on the Japanese diet or the control diet. Ingestion
of the Japanese diet after weaning suppressed accumulation of visceral fat in
offspring, and reduced the amount of lipids in serum and liver. This effect was
weakened if the Japanese diet was only ingested during pregnancy and lactation.
Therefore, it was suggested that ingestion of the Japanese diet of mothers during
pregnancy and lactation weakens the lipid accumulation inhibitory effect of the
Japanese diet in children.
PMID- 29375014
TI - Impact of a formal pharmacist-run oral antineoplastic monitoring program: A pilot
study in an adult genitourinary oncology clinic.
AB - Background In recent years, there has been a changing paradigm in the management
of oncologic disease states from the use of intravenous therapies, requiring a
visit to the infusion center or hospitalization, to new therapies that can be
administered orally.1,2 Several publications have evaluated the role pharmacists
may play in the initial prescribing of oral chemotherapy, however the impact of a
formalized pharmacist follow-up program has not been well defined. This study
evaluates the impact of a pilot pharmacist-run oral antineoplastic monitoring
program. Methods This retrospective cohort analysis evaluated patients prescribed
an oral antineoplastic in the genitourinary oncology clinic at an academic
medical center between 1 July 2014 and 15 March 2017. Patients enrolled in the
program were compared to a historical control group. The primary objective was
adherence to pre-defined standards for monitoring. Secondary objectives include
persistence on therapy, need to seek medical care, analysis of pharmacist
interventions, patient satisfaction, and financial impact for the on-site retail
pharmacy. Results In total, 33 patients were evaluated (11 cases, 22 controls).
Average adherence to monitoring recommendations was significantly higher in the
case group compared to controls (89% vs. 61%; p = 0.008). In total, 67
interventions were made by the clinical pharmacist with an average of 6 per
patient. Conclusions This study shows that formalized pharmacist follow-up
programs can improve patients' adherence with antineoplastic monitoring
standards. Additionally, pharmacists made clinically significant interventions
and had high patient satisfaction, providing justification for expansion into
other disease states.
PMID- 29375013
TI - Comparison of visual and auditory emotion recognition in patients with cerebellar
and Parkinson's disease.
AB - Widespread cortical-subcortical networks are involved in the recognition and
discrimination of emotional contents of facial and vocal expression, whereby the
cerebellum and basal ganglia are two subcortical regions implicated in these
networks with limited evidence to their specific contributions. To investigate
this we compared patients with circumscribed cerebellar lesions and patients with
Parkinson's disease (PD) on an approved test battery. We studied two groups with
subcortical disease, focal cerebellar infarction (n = 22) and PD (n = 22), and a
neurological control group with focal supratentorial ischemia (SI) (n = 16) were.
Assessments were according to inpatient protocols for neuropsychological routine
evaluation, including tests of memory, executive function and attention.
Participants completed the Tuebingen Affect Battery, a recognized measure of
recognition and discrimination of facial and vocal expression of emotion. As a
result, cerebellar lesions were associated with greater impairment than PD and SI
in recognition and discrimination of cues of both facial and vocal expressions of
differing basic emotions. No confounding effect of other cognitive domains,
particularly executive function and attention, was found. Taken together, our
findings suggest a specific contribution of the cerebellum to cerebral networks
that process facial and vocal emotion expression, related to rapid decisions
regulating appropriate behavioral responses in social environments.
PMID- 29375015
TI - How can the use of closed system transfer devices to facilitate sharing of drug
vials be optimised to achieve maximum cost savings?
PMID- 29375016
TI - Exploring the binding interaction of calf thymus DNA with lapatinib, a tyrosine
kinase inhibitor: multi-spectroscopic techniques combined with molecular docking.
PMID- 29375017
TI - Maternal protein malnutrition induces autism-like symptoms in rat offspring.
AB - OBJECTIVE: We tested the correlation between maternal protein malnutrition and
autistic-like symptoms using behavioral tests in rodents that measure main
behavioral characteristics observed in humans with autism spectrum disorder
(ASD). METHODS: Pregnant female rats were fed a normal diet or a hypoproteic diet
during gestation and lactation periods. The litters were weighed every 3 days
during lactation, and the offspring were tested in behavioral tasks during
infancy (postnatal day (PND) 5: quantification of ultrasonic vocalizations; PND
13: homing behavior test) and adolescence (PND 30-32: open field, hole-board,
play social behavior, and object recognition tests) in order to capture the
prevalence of some of the core and associated symptoms of ASD. RESULTS: Litters
of the hypoproteic diet group had a lesser weight gain during lactation. In
addition, pups of dams fed with a hypoproteic diet vocalized less compared to
those fed with a normal diet, and they showed impaired social discrimination
abilities in the homing behavior test. In adolescence, both male and female
offspring of the hypoproteic diet group showed no impairment in locomotor
activity; however, they exhibited stereotypic behavior in the hole-board test and
a decrease in social play behaviors. Male offspring showed increased interest in
exploring a familiar object rather than a novel object. CONCLUSION: Our results
show that maternal protein malnutrition in rats causes offspring behaviors that
resemble core and associated ASD symptoms.
PMID- 29375018
TI - Comparison of small intestinal submucosa and polypropylene mesh for abdominal
wall defect repair.
AB - Abdominal wall defects are a common medical problem, and inadequate repair
methods can lead to serious complications. Abdominal wall reconstruction using
autologous tissue, or non-biological, biological, or composite patches is often
performed to repair defective areas. In particular, composite patches containing
both polymeric and biological materials have gained increasing attention due to
their good mechanical properties and biocompatibility. However, it is still
unclear whether the quality of repairs using composite patches is superior to
that of a biological patch. Based on the limitations of previous studies, we
compared small intestinal submucosa (SIS) patches with SIS + polypropylene mesh
(PPM) patches for repairing abdominal wall defects in adult beagle dogs. Forty
five female dogs were subjected to surgical resection to produce abdominal wall
defects. SIS or SIS + PPM was used as patch for the defects. Morphology,
biomechanics, and histological evaluations were performed to evaluate the
efficacy and safety of such therapies. Our findings demonstrated that SIS had
advantages over SIS + PPM considering biological activity and histocompatibility
without increasing the risk of repair failure.
PMID- 29375019
TI - Endocervicoscopy and Biopsy to Detect Cervical Intraepithelial Squamous Neoplasia
in Nonvisible Squamocolumnar Junction With Unsatisfactory Colposcopy: A Pilot
Study.
AB - The aim of this study was to investigate the practical utility of
endocervicoscopy and targeted biopsy in high-risk human papilloma virus-positive
women with abnormal squamous cells on cervical cytology and unsatisfactory
colposcopy with nonvisible squamocolumnar junction. Seventy-seven high-risk human
papilloma virus-positive patients with abnormal cervical cytology for squamous
cells bearing type 3 transformation zone were enrolled. Endoscopic examination of
the endocervical epithelium, with office-based continuous-flow hysteroscopy after
application of acetic acid 5%, followed by targeted biopsies and consequent large
loop excision of the transformation zone was carried out. Sensitivity,
specificity, positive predictive value and negative predictive value of
endocervicoscopy, and orientated biopsy were confronted with the results of large
loop excision of the transformation zone (referral test). The sensitivity and
specificity of endocervicoscopy and orientated biopsy for low-grade cervical
intraepithelial neoplasia were 53% and 81%, respectively, while the sensitivity
and specificity for high-grade cervical intraepithelial neoplasia were 64% and
47%, respectively. The positive predictive value for low-grade cervical
intraepithelial neoplasia was 64% and for high-grade cervical intraepithelial
neoplasia was 88%. The negative predictive value for low-grade cervical
intraepithelial neoplasia was 87% and for high-grade cervical intraepithelial
neoplasia was 41%. Endocervicoscopy is a safe, office-based technique. It is a
reliable method to detect the transformation zone in patients with type 3
transformation zone and unsatisfactory colposcopy. It potentially allows target
biopsy of the transformation zone but presents a relatively low
specificity/negative predictive value to predict high-grade cervical
intraepithelial neoplasia, thus negative biopsy results should be interpreted
with caution.
PMID- 29375021
TI - Comparative analysis of waste-to-energy alternatives for a low-capacity power
plant in Brazil.
AB - The Brazilian National Solid Waste Policy has been implemented with some
difficulty, especially in convincing the different actors of society about the
importance of conscious awareness among every citizen and businesses concerning
adequate solid waste disposal and recycling. Technologies for recovering energy
from municipal solid waste were considered in National Solid Waste Policy (NSWP),
given that their technical and environmental viability is ensured, being the
landfill biogas burning in internal combustion engines and solid waste
incineration suggested options. In the present work, an analysis of current
technologies and a collection of basic data on electricity generation using
biogas from waste/liquid effluents is presented, as well as an assessment of the
installation of a facility that harnesses biogas from waste or liquid effluents
for producing electricity. Two combined cycle concepts were evaluated with
capacity in the range 4-11 MW, gas turbine burning landfill biogas and an
incinerator that burns solid waste hybrid cycle, and a solid waste gasification
system to burn syngas in gas turbines. A comparative analysis of them
demonstrated that the cycle with gasification from solid waste has proved to be
technically more appealing than the hybrid cycle integrated with incineration
because of its greater efficiency and considering the initially defined
guidelines for electricity generation. The economic analysis does not reveal
significant attractive values; however, this is not a significant penalty to the
project given the fact that this is a pilot low-capacity facility, which is
intended to be constructed to demonstrate appropriate technologies of energy
recovery from solid waste.
PMID- 29375022
TI - Do tetracyclines have the potential to reduce the risk of Clostridium difficile
infection?
PMID- 29375020
TI - Novel Cancer Stem Marker and Its Applicability for Grading Primary Human Gliomas.
AB - Poorly differentiated cell populations including tumor-initiating stem cells have
been demonstrated to display a unique ability to natively internalize fragmented
double-stranded DNA. Using this feature as a marker, we show that 0.1% to 6% of
human glioblastoma cells from the bioptates can effectively internalize a
fluorescently labeled DNA probe. Of these, using samples from 3 patients, 66% to
100% cells are also positive for CD133, a well-established surface marker of
tumor-initiating glioma stem cells. Using the samples from primary malignant
brain lesions (33 patients), we demonstrate that tumor grading significantly
correlates ( R = .71) with the percentage of DNA-internalizing cells. No such
correlation is observed for relapse samples (18 patients).
PMID- 29375023
TI - Understanding Diabetes-Related Distress Characteristics and Psychosocial Support
Preferences of Urban African American Adults Living With Type 2 Diabetes: A Mixed
Methods Study.
AB - Purpose The purpose of this study is to understand diabetes-related distress
(DRD) characteristics and identify psychosocial support preferences of urban
African American adults living with type 2 diabetes (T2DM). Methods A 2-phase,
mixed-methods sequential explanatory study design was used to gather data. In
phase 1, a purposive sample of participants (N = 155) was recruited and asked to
complete a written survey. The Diabetes Distress Scale (DDS17) was used to assess
DRD, including subscales-emotional burden (EB), regimen distress (RD),
interpersonal distress (ID), and physician distress (PD). In phase 2, a subset of
phase 1 participants (N = 23) volunteered to attend 1 of 4 gender-stratified
follow-up focus groups to contextualize the quantitative survey results. Results
Survey findings indicate that on average, participants had moderate levels of DRD
(aggregate), RD, and EB but had low ID and PD. During follow-up focus groups,
participants described RD and EB as their primary distress types and emphasized
that clinicians should prioritize the mental health aspects of T2DM similarly to
its physical aspects. Participants expressed a desire for culturally appropriate
peer support groups as a psychosocial support resource for distress coping and
specifically requested the development of gender-stratified groups and groups for
young adults. Conclusions Results support the need to screen for and address
diabetes-related distress among African American patients with T2DM. Findings
also inform the development of culturally appropriate psychosocial support
resources to facilitate diabetes-related distress coping.
PMID- 29375024
TI - Considerations of how to conduct meta-analyses in psychological interventions.
PMID- 29375025
TI - Comparison of Nostocean hormogonium induction and its motility on solid plates
between agar and gellan gum at varying gel matrix concentrations.
AB - To establish a sensitive bioassay for Nostocean hormogonium induction, we
compared the effectiveness of the morpho-differentiation induction on two gelled
plates, agar and gellan gum, for anacardic acid C15:1-Delta8 decyl ester (1) (100
nmol/disc). On BG-110 (nitrogen-free) medium-based 0.6 and 0.8% agar plates,
Nostoc sp. strain Yaku-1 isolated from a coralloid root of Cycas revoluta in
Yakushima Island showed clear morpho-differentiation from filamentous aggregates
into hormogonia, and the induced hormogonia dispersed within 24 h; however,
similar hormogonium formation was not observed at agar concentrations of 1.0% or
higher. Conversely, hormogonium induction was considerably more pronounced on
gellan gum plates than those on agar plates through concentrations ranging from
0.6 to 1.6% even after 12 h of incubation, particularly active on the 0.8-1.0%
gellan gum plates. Thus, gellan gum plates can achieve clear results within 12 h
and are thus highly useful for primary screening for hormogonium-inducing factors
(HIFs).
PMID- 29375026
TI - Psychometric Evaluation of the Social Phobia Inventory and the Mini-Social Phobia
Inventory in a Swedish University Student Sample.
AB - Social anxiety is common in the general population, as well as among students in
higher education. For screening of social anxiety, there is a need for brief
scales. In the present study, the psychometric properties were examined in a
Swedish version of the Social Phobia Inventory (SPIN) and the Mini-Social Phobia
Inventory (Mini-SPIN) in a university student sample ( n = 161). In addition to
the SPIN and Mini-SPIN, participants completed measures of fear of public
speaking, general anxiety, depression, and quality of life. Exploratory factor
analyses were used to investigate the underlying dimensions of the SPIN, and
reliability, convergent, and divergent validity of SPIN and Mini-SPIN were
examined by Cronbach's alpha and correlation analyses. It was found that a
shorter eight-item version of the SPIN was associated with two solid factors (
fear and avoidance of social interaction and fear and avoidance of criticism),
and acceptable internal consistency, convergent, and divergent validity. In
addition, the Mini-SPIN was associated with satisfactory convergent validity, but
the reliability was not acceptable. It is concluded that the SPIN-8 is a viable
screening tool for social anxiety in a university student population.
PMID- 29375027
TI - Women and Self-Promotion: A Test of Three Theories.
AB - Although self-promotion (communicating one's past accomplishments and positive
characteristics) is important for obtaining career and educational opportunities,
women feel uncomfortable when doing it, which limits their self-promotion
success. This study tested which of the three possible theoretical mechanisms
explained women's limited self-promotion success: (1) cognitive dissonance, (2)
stereotype threat, and (3) backlash avoidance. In this experiment, college women
( N = 123) wrote a scholarship application essay and the ownership of the essay
was varied experimentally. The essays were evaluated by the authors themselves
and independent judges. The results were most consistent with a backlash
avoidance mechanism whereby women feel uncomfortable self-promoting due to
perceived social consequences.
PMID- 29375028
TI - Investigating the Relationships Among Resilience, Social Anxiety, and
Procrastination in a Sample of College Students.
AB - This study investigated the relationships among resilience, social anxiety, and
procrastination in a sample of college students. Specifically, structural
equation modeling analyses were applied to examine the effect of resilience on
procrastination and to test the mediating effect of social anxiety. The results
of this study suggested that social anxiety partially mediated the relationship
between resilience and procrastination. Students with higher levels of resilience
reported a lower frequency of procrastination behavior, and resilience had an
indirect effect on procrastination through social anxiety. The results of this
study clarify the current knowledge of the mixed results on resilience and
procrastination behaviors and offer practical learning strategies and
psychological interventions.
PMID- 29375029
TI - Saving for a Better Retirement: How Risk Attitudes Affect Choice of Retirement
Scheme.
AB - Over 3 million people in Hong Kong and 21 million people in the UK are saving for
retirement under the mandatory provident fund and individual savings account
schemes, respectively. Yet, we know little about how individual preferences, such
as risk attitudes (risk-seeking and risk-averse) that are known to impact highly
consequential decisions in a variety of real-world contexts, impact retirement
investment choices. In two experimental studies (Study 1-Hong Kong sample and
Study 2-United Kingdom sample), we show that personal risk attitudes were a
strong predictor of the profile of retirement investment portfolios. Specially,
risk-averse people allocated more of their savings to low-risk funds than risk
seeking people. The pattern of findings is consistent in both Hong Kong mandatory
and the UK voluntary retirement investment schemes. These findings are considered
in light of policy decisions made in Hong Kong retirement and UK pension schemes.
PMID- 29375030
TI - What Did You Expect? Substance Use Expectancies Mediate the Relationships Between
Dark Triad Traits, Substance Use, and Substance Preference.
AB - This study explores the role of substance use expectancies as mediators between
the Dark Triad of personality, substance use, and substance use preferences. A
sample of college students ( M = 19.38, SD = 2.63, N = 207) completed an online
questionnaire that assessed the Dark Triad traits, substance use history,
substance preferences, and substance use expectancies. Three specific substance
use expectancies-increased confidence, tension reduction, and cognitive
enhancement-were shown to either partially or fully mediate the relationships
between the Dark Triad and substance use behaviors and preference for certain
substances of abuse. These findings may hold clinical implications for the
treatment of substance use disorders and further the understanding of factors
contributing to the etiology and progression of substance abuse and substance use
disorders.
PMID- 29375031
TI - Unique antimicrobial spectrum of ophiobolin K produced by Aspergillus ustus.
AB - A co-cultivation study of two fungal strains showed that Aspergillus ustus could
inhibit Aspergillus repens growth. The bioactive compound responsible for the
observed activity was purified and identified as a sesterterpene, ophiobolin K.
Ophiobolin K exhibited marked inhibition against both fungi and bacteria,
especially A. repens, A. glaucus and gram-positive bacteria including Bacillus
subtilis, Staphylococcus aureus, and Micrococcus luteus.
PMID- 29375033
TI - Chyloptysis with chylopericardium, a rare case and mini-review.
AB - BACKGROUND: Chyloptysis is reflux of chyle into the lungs and is a rare
manifestation of primary chylous disorders. CASE PRESENTATION: Over 29 months, on
the basis of x-rays, a 33-year-old female was repeatedly misdiagnosed with
recurrent right-sided pneumonia; her symptoms included a recurrent cough, the
appearance of cheese-like sputum, and diffuse pulmonary exudates. There was a
history that abundant fluid in the pericardium was confirmed with
echocardiography to have been present and asymptomatic for 4 years.
Lymphangiography and surgery confirmed that the terminal portion of the thoracic
duct was compressed by thick fibrous tissue and the vascular sheath of the
internal jugular vein. Chyloptysis caused by high peribronchial lymphatic
pressure was diagnosed and surgical intervention relieved the symptoms.
CONCLUSION: Chyloptysis is rare and easy to misdiagnose but is a typical symptom
of chylous reflux syndrome.
PMID- 29375032
TI - Incorporating Radiation Oncology into Immunotherapy: proceedings from the ASTRO
SITC-NCI immunotherapy workshop.
AB - Radiotherapy (RT) has been a fundamental component of the anti-cancer
armamentarium for over a century. Approximately half of all cancer patients are
treated with radiotherapy during their disease course. Over the two past decades,
there has been a growing body of preclinical evidence supporting the
immunomodulatory effects of radiotherapy, particularly when combined with
immunotherapy, but only anecdotal clinical examples existed until recently. The
renaissance of immunotherapy and the recent U.S. Food and Drug Administration
(FDA) approval of several immune checkpoint inhibitors (ICIs) and other immuno
oncology (IO) agents in multiple cancers provides the opportunity to investigate
how localized radiotherapy can induce systemic immune responses. Early clinical
experiences have demonstrated feasibility of this approach but additional
preclinical and clinical investigation is needed to understand how RT and
immunotherapy can be optimally combined.To address questions that are critical to
successful incorporation of radiation oncology into immunotherapy, the American
Society for Radiation Oncology (ASTRO), the Society for Immunotherapy of Cancer
(SITC) and the National Cancer Institute (NCI) organized a collaborative
scientific workshop, Incorporating Radiation Oncology into Immunotherapy, that
convened on June 15 and 16 of 2017 at the Natcher Building, NIH Campus in
Bethesda, Maryland. This report summarizes key data and highlights from each
session.
PMID- 29375034
TI - Diet/lifestyle and risk of diabetes and glycemic traits: a Mendelian
randomization study.
AB - BACKGROUND: Observational studies have demonstrated diet/lifestyle play roles in
development of type 2 diabetes (T2DM); however, it remains unclear whether these
relationships are causal. METHODS: A two-sample MR approach was used to examine
the causal effect of diet/lifestyle upon risk of T2DM and glycemic traits.
RESULTS: The protein intake-increasing allele C of FTO was significant associated
with higher risk of T2DM (Beta +/- SE = 0.104 +/- 0.014, P = 4.40 * 10- 11),
higher level of HOMA-IR (Beta +/- SE = 0.016 +/- 0.004, P = 9.55 * 10- 5), HOMA-B
(Beta +/- SE = 0.008 +/- 0.003, P = 0.020). Using MR analyses, increased protein
intake was causally associated with an increased risk of T2DM (Beta +/- SE =
0.806 +/- 0.260, P = 0.002). In addition, smoking cessation was causally
associated with increased levels of glycemic traits such as HOMA-IR (Beta +/- SE
= 0.165 +/- 0.072, P = 0.021), fasting insulin (Beta +/- SE = 0.132 +/- 0.066, P
= 0.047) and fasting glucose (Beta +/- SE = 0.132 +/- 0.064, P = 0.039).
CONCLUSIONS: These results provide evidence supporting a causal role for higher
protein intake and smoking cession in T2DM. Our study provides further rationale
for individuals at risk for diabetes to keep healthy lifestyle.
PMID- 29375035
TI - A feedback regulatory model for RifQ-mediated repression of rifamycin export in
Amycolatopsis mediterranei.
AB - BACKGROUND: Due to the important role of rifamycin in curing tuberculosis
infection, the study on rifamycin has never been stopped. Although RifZ, which
locates within the rifamycin biosynthetic cluster, has recently been
characterized as a pathway-specific regulator for rifamycin biosynthesis, little
is known about the regulation of rifamycin export. RESULTS: In this work, we
proved that the expression of the rifamycin efflux pump (RifP) was regulated by
RifQ, a TetR-family transcriptional regulator. Deletion of rifQ had little impact
on bacterial growth, but resulted in improved rifamycin production, which was
consistent with the reverse transcription PCR results that RifQ negatively
regulated rifP's transcription. With electrophoretic mobility shift assay and
DNase I Footprinting assay, RifQ was found to directly bind to the promoter
region of rifP, and a typical inverted repeat was identified within the RifQ
protected sequences. The transcription initiation site of rifP was further
characterized and found to be upstream of the RifQ binding sites, well explaining
the RifQ-mediated repression of rifP's transcription in vivo. Moreover, rifamycin
B (the end product of rifamycin biosynthesis) remarkably decreased the DNA
binding affinity of RifQ, which led to derepression of rifamycin export, reducing
the intracellular concentration of rifamycin B as well as its toxicity against
the host. CONCLUSIONS: Here, we proved that the export of rifamycin B was
repressed by RifQ in Amycolatopsis mediterranei, and the RifQ-mediated repression
could be specifically relieved by rifamycin B, the end product of rifamycin
biosynthesis, based on which a feedback model was proposed for regulation of
rifamycin export. With the findings here, one could improve the antibiotic yield
by simply inactivating the negative regulator of the antibiotic transporter.
PMID- 29375037
TI - The Unforgettables: a chorus for people with dementia with their family members
and friends.
AB - Summary/AbstractOur experience evaluating a museum program for people with
dementia together with their family members demonstrated benefits for all
participants. We hypothesized that participation in a chorus would also have
positive effects, giving them an opportunity to share a stimulating and social
activity that could improve their quality of life. We inaugurated a chorus for
people with dementia and their family caregivers in 2011, which rehearses and
performs regularly. Each person with dementia must be accompanied by a friend or
family member and must commit to attending all rehearsals and the concert that
ensues. A pilot study included a structured assessment, take home questionnaires
and focus groups. Analyses of pre-post scores were conducted; effect size was
quantified using Cohen's d. Results showed that quality of life and communication
with the other member of the dyad improved (Effect size: Cohen's d between 0.32
and 0.72) for people with dementia; quality of life, social support,
communication and self-esteem improved (d between 0.29 and 0.68) for caregivers.
Most participants stated that benefits included belonging to a group, having a
normal activity together and learning new skills. Participants attended
rehearsals in spite of harsh weather conditions. The chorus has been rehearsing
and performing together for more than 6 years and contributing to its costs.
Results of this pilot study suggest that people in the early to middle stage of
dementia and their family members and friends can enjoy and learn from rehearsing
and performing in concerts that also engage the wider community. It is essential
to conduct additional larger studies of the benefits of participating in a
chorus, which may include improved quality of life and social support for all,
and reduced cognitive decline among people with dementia.
PMID- 29375038
TI - The amyotrophic lateral sclerosis supportive care needs assessment instrument:
Development and psychometric evaluation.
AB - OBJECTIVE: The aim of the study is to develop an amyotrophic lateral sclerosis
supportive care needs (ALSSCN) instrument based on Fitch's Supportive Care Needs
Framework and to test its psychometric properties. METHOD: This study consists of
three parts: (1) item generation from the literature review and qualitative
interview; (2) content validation; and (3) psychometric evaluation of the
instrument. Participants who were diagnosed with ALS (n = 139) were recruited
from two ALS clinics in Seoul, Korea, and Busan, Korea for the psychometric
testing. Result The ALSSCN consisted of 37 items with seven domains: physical,
psychological, emotional, spiritual, social, informational, and practical needs.
The Cronbach's alpha of each domain ranged from 0.61 (social needs) to 0.90
(emotional needs). The intra-class correlation coefficient for test-retest was
0.89, indicating good test-retest reliability. The overall ALSSCN was
significantly negatively correlated with the quality of life, which supported
convergent validity. Confirmatory factor analysis of the ALSSCN supported a seven
factor model. Significance of results The ALSSCN has acceptable internal
consistency, stability, and content and construct validity in a Korean ALS
population. ALSSCN is a psychometrically sound measure and can be adopted by
healthcare professionals, researchers, and administrators to comprehensively
assess the perceived supportive care needs of patients with ALS.
PMID- 29375039
TI - Induced pluripotent stem cells in modeling and cell-based therapy of amyotrophic
lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurological disease characterized
by neuromuscular degeneration and the progressive loss of upper and lower motor
neurons (MNs), causing weakness and paralysis. However, the underlying mechanisms
of this disease are still unknown and there is no cure, or even treatment to stop
or reverse its pathology. Consequently, most ALS patients die within 3 - 5 years
after disease onset. While considerable progress has been made in studying animal
models of ALS, they lack clinical suitability due to genetic differences.
However, the recent development of induced pluripotent stem cells (iPSCs) has
made it possible to study human disease-specific neuronal and glial cells to
identify disease mechanisms and develop phenotypic screens for drug discovery.
iPSCs provide researchers with a model of naturally occurring pathology under the
human genetic background and MNs differentiated from human iPSCs bearing ALS
associated mutations offer a powerful model to study disease pathology. This
paper reviews recent methods of differentiating iPSCs into neuronal cells and
suggests further applications of these iPSCs-derived cells for ALS disease
modeling, drug screening, and possible cell-based therapy.
PMID- 29375040
TI - Melatonin, advanced oxidation protein products and total antioxidant capacity as
seminal parameters of prooxidant-antioxidant balance and their connection with
expression of metalloproteinases in context of male fertility.
AB - Currently, in highly developed, industrialized countries male factors are
identified as the primary cause of infertility in about 60% of childless couples.
Standard semen analysis parameters, such as sperm morphology, number and
motility, are important in predicting the fertility of large populations, but
they are not sufficient to fully specify a particular donor sperm's ability to
fertilize the egg. The semen also comprises components, which may also affect
sperm fertilizing ability and which have thus far remained little explored: the
biochemical parameters of the seminal plasma secreted by the testis, the
seminiferous tubules and the prostate gland, such as: matrix metalloproteinases
(MMP-2 and MMP-9) and their specific tissue inhibitors (TIMP-1 and TIMP-2). We
highlight the need for a better determination of prooxidant-antioxidant balance
parameters such as: melatonin, advanced oxidation protein products (AOPPs) and
total antioxidant capacity (TAC) in human semen when establishing the diagnostics
of male subfertility or infertility. We also discuss their connection with
seminal plasma metalloproteinases and their inhibitors. In particular, we believe
that the cumulative and synergic effects of the sperm redox parameters on male
fertility need to be better explored and we suggest that they should be studied
in conjunction with other biologically active parameters of the ejaculate such as
the expression of metalloproteinases and their tissue inhibitors. This will
enable a better understanding of how their correlated effects impact semen
condition.
PMID- 29375036
TI - Rotavirus vaccine impact and socioeconomic deprivation: an interrupted time
series analysis of gastrointestinal disease outcomes across primary and secondary
care in the UK.
AB - BACKGROUND: Rotavirus causes severe gastroenteritis in infants and young children
worldwide. The UK introduced the monovalent rotavirus vaccine (Rotarix(r)) in
July 2013. Vaccination is free of charge to parents, with two doses delivered at
8 and 12 weeks of age. We evaluated vaccine impact across a health system in
relation to socioeconomic deprivation. METHODS: We used interrupted time-series
analyses to assess changes in monthly health-care attendances in Merseyside, UK,
for all ages, from July 2013 to June 2016, compared to predicted counterfactual
attendances without vaccination spanning 3-11 years pre-vaccine. Outcome measures
included laboratory-confirmed rotavirus gastroenteritis (RVGE) hospitalisations,
acute gastroenteritis (AGE) hospitalisations, emergency department (ED)
attendances for gastrointestinal conditions and consultations for infectious
gastroenteritis at community walk-in centres (WIC) and general practices (GP).
All analyses were stratified by age. Hospitalisations were additionally
stratified by vaccine uptake and small-area-level socioeconomic deprivation.
RESULTS: The uptake of the first and second doses of rotavirus vaccine was 91.4%
(29,108/31,836) and 86.7% (27,594/31,836), respectively. Among children aged < 5
years, the incidence of gastrointestinal disease decreased across all outcomes
post-vaccine introduction: 80% (95% confidence interval [CI] 70-87%; p < 0.001)
for RVGE hospitalisation, 44% (95% CI 35-53%; p < 0.001) for AGE
hospitalisations, 23% (95% CI 11-33%; p < 0.001) for ED, 32% (95% CI 7-50%; p =
0.02) for WIC and 13% (95% CI -3-26%; p = 0.10) for GP. The impact was greatest
during the rotavirus season and for vaccine-eligible age groups. In adults aged
65+ years, AGE hospitalisations fell by 25% (95% CI 19-30%; p < 0.001). The pre
vaccine risk of AGE hospitalisation was highest in the most socioeconomically
deprived communities (adjusted incident rate ratio 1.57; 95% CI 1.51-1.64; p <
0.001), as was the risk for non-vaccination (adjusted risk ratio 1.54; 95% CI
1.34-1.75; p < 0.001). The rate of AGE hospitalisations averted per 1,000 first
doses of vaccine was higher among infants in the most deprived communities
compared to the least deprived in 2014/15 (28; 95% CI 25-31 vs. 15; 95% CI 12-17)
and in 2015/16 (26; 95% CI 23-30 vs. 13; 95% CI 11-16). CONCLUSIONS: Following
the introduction of rotavirus vaccination, incidence of gastrointestinal disease
reduced across the health-care system. Vaccine impact was greatest among the most
deprived populations, despite lower vaccine uptake. Prioritising vaccine uptake
in socioeconomically deprived communities should give the greatest health benefit
in terms of population disease burden.
PMID- 29375041
TI - Chronic orexin-A (hypocretin-1) treatment of type 2 diabetic rats improves
glucose control and beta-cell functions.
AB - Orexin regulates food intake and energy expenditure. Here, we test the ability of
orexin-A (OXA, hypocretin-1) at improving metabolic control in type 2 diabetic
animals and elaborate potential mechanisms of action. Rats with experimentally
induced type 2 diabetes by a combination of streptozotocin injection and high-fat
diet feeding were chronically infused with OXA. In vitro experiments were
conducted on isolated pancreatic islets, primary adipocytes and insulin secreting
INS-1E cells. OXA improved glucose control, enhanced insulin sensitivity and
attenuated pancreatic beta-cell loss in type 2 diabetic rats. Ex vivo, apoptotic
death of pancreatic islets isolated from OXA-treated type 2 diabetic animals as
well as the impairment of glucose-stimulated insulin secretion were attenuated,
as compared to islets derived from vehicle-treated rats. OXA reduced plasma tumor
necrosis factor-alpha (TNF-alpha) and non-esterified fatty acids (NEFA) levels in
type 2 diabetic rats. OXA decreased palmitate- and TNF-alpha-induced apoptosis of
INS-1E cells. OXA improves glucose control by enhancing insulin sensitivity and
protecting beta-cells from apoptotic cell death in type 2 diabetic animals.
PMID- 29375042
TI - Effect of bone morphogenetic protein-15 on gonadotropin-stimulated synthesis of
hyaluronan and progesterone in porcine ovarian follicle.
AB - Bone morphogenetic protein-15 (BMP-15), an oocyte-derived growth factor, has been
shown to play integral roles in regulation of ovarian follicular function in
mammals. Despite the recognition of the physiological importance of the BMP
system in regulation of gonadotropin action in the ovary, molecular mechanisms of
BMP-15 effect on oocyte and somatic follicular cell functions remain poorly
understood. The objective of this study was to determine the effect of BMP-15 on
the FSH/LH-stimulated synthesis of hyaluronan (HA) by oocyte cumulus complexes
(OCC) and progesterone by OCC and granulosa cells (GC) in the presence or absence
of serum using primary porcine cultures. In addition, the effect of BMP-15 on
oocyte maturation- and steroidogenesis-related transcripts after 4, 8, 16, and 24
hours of cultivation was evaluated using real-time RT-PCR. We demonstrated that
the FSH/LH-induced cumulus expansion was accompanied by a significant increase in
CD44, PTGS2, CYP11A1 (at 4 h) and AREG, HAS2, TNFAIP6, STAR (at 8 h) mRNAs. While
FSH/LH-stimulated total HA synthesis by OCC was not affected by BMP-15 in serum
supplemented medium, its retention within the complex was significantly increased
after the action of BMP-15 in comparison to FSH/LH alone (P < 0.001; 65% versus
35%, respectively). Moreover, we detected a significant increase in the
expression of AREG and TNFAIP6 (both at 16 h), and CYP11A1 (at 24 h) in FSH/LH
stimulated OCC due to the action of BMP-15 compared to complexes cultured only
with FSH/LH. In the presence of serum, BMP-15 markedly increased FSH/LH
stimulated progesterone secretion by OCC (about 69%) and induced a significant
decrease in FSH/LH-induced progesterone release by GC (about 35%) compared to
FSH/LH alone. The present results indicate that the addition of BMP-15 to the
gonadotropin-stimulated OCC cultured in serum-supplemented medium might improve
oocyte-cumulus maturation.
PMID- 29375043
TI - Influence of preterm delivery on ghrelin and obestatin concentrations in maternal
plasm, milk and their expression in mammary epithelial cells.
AB - Ghrelin and obestatin are gastrointestinal peptides with a potential role in the
programming of metabolism in newborns. The present study aimed to investigate the
influence of preterm delivery on ghrelin and obestatin concentrations in the
maternal blood plasma and breast milk as well as their gene expressions in the
mammary epithelial cells (MECs). On the 3rd day after delivery, milk and plasma
samples were collected from mothers that carried to term or gave birth
prematurely (< 36 weeks of gestation) and analyzed for ghrelin and obestatin
concentrations. MECs isolated from the milk were analyzed for the relative
expression of GHRL splice variants. In both groups ghrelin concentrations were
significantly lower in milk than in blood plasma. In the preterm group obestatin
concentrations were significantly higher in milk than in blood plasma but
significantly lower in comparison to that of the control mothers. The expression
of GHRL mRNAs was higher (P < 0.05) in MECs isolated from the preterm group as
compared to those isolated from control mothers. The concentration of obestatin
(but not ghrelin) in the breast milk is dependent on the term of pregnancy.
Moreover, the lactating mammary gland is one of the sources of ghrelin and
obestatin.
PMID- 29375044
TI - Quantification of selected elements in ovarian tumours and their potentials as a
tissue classifier.
AB - Neoplastic and healthy ovarian tissues were analysed to identify the changes in
the spatial distribution and concentration of elements using synchrotron induced
micro X-ray fluorescence spectroscopy. High-resolution distribution maps of minor
and trace elements were drawn. Significant amounts of elements such as P, S, Cl,
K, Ca, Fe, Cu, Zn, Br and Rb were present in all neoplastic tissues analysed. The
study showed significant diversifications in elemental distributions depending on
the structure of tissue. The efficacy of micro X-ray fluorescence spectroscopy to
distinguish between various types of ovarian tumours based on the concentrations
of studied elements was confirmed by multivariate discriminant analysis. Our
analysis showed that the most important elements for tissue classification are S,
Cl, K, Fe, Zn, Br and Rb.
PMID- 29375045
TI - Increased gene expression of selected vesicular and glial glutamate transporters
in the frontal cortex in rats exposed to voluntary wheel running.
AB - Though positive effects of exercise on mood and well being are well recognised,
the central regulatory mechanisms are still not fully understood. The present
study was aimed to testing the hypothesis that voluntary wheel running activates
the gene expression of glutamate transporters in the brain cortex of rats. The
animals were assigned to the control and voluntary wheel running groups.
Voluntary wheel running rats had free access to a stainless steel activity wheel
for 3 weeks. The daily running distance gradually increased to 6.21 +/- 1.05 km
by day 21. Vesicular glutamate transporter 3 (VGLUT3) mRNA levels in the frontal
cortex were significantly elevated in the group of running animals compared to
the values in sedentary controls, while the expression of other vesicular
transporters were unchanged. The concentrations of mRNA coding for glial
glutamate transporter 1 (GLT-1), but not glutamate aspartate transporter (GLAST)
were increased by running. Voluntary wheel running resulted in an elevation of
plasma corticosterone and increased expression of brain derived neurotrophic
factor (BDNF) in the frontal cortex. In conclusion, chronic voluntary wheel
running results in increased gene expression of VGLUT3 and GLT-1 in the brain
cortex without changes in other glutamate transporter subtypes.
PMID- 29375046
TI - One session of exercise regulates cathepsin B activity in the livers of trained
and untrained rats.
AB - Physical exercise causes adaptive changes, mainly in muscles, but it also
influences other organs, including liver. Most changes are beneficial; however,
strenuous exercise is a strong stressor, and it can result in splanchnic
hypoperfusion with subsequent disturbances in liver homeostasis and energy.
Cathepsin B is a protease linked to protein turnover and extracellular matrix
degradation. It is also involved in autophagy and the activation of
proinflammatory and profibrotic pathways. This study investigated the influences
of one session of exercise and endurance training on the mRNA, protein level, and
activity of cathepsin B in rat liver. Healthy rats were randomly divided into two
groups (n = 30, each); one group was untrained and the other received 6-weeks of
endurance training with an increasing load. For each group, rats were sacrificed
before (controls, n = 10), immediately after (n = 10), and 3 h after (n = 10) an
acute bout of intense exercise. Liver gene expression was evaluated with
quantitative real-time PCR. Liver protein content was measured with ELISA. Liver
enzyme activity was measured fluorometrically. One session of exercise or
training did not influence cathepsin B gene expression or protein concentration
at any investigated time point. In untrained rats, cathepsin B activity decreased
3 hours after (P = 0.027) one session of exercise. In trained rats, cathepsin B
activity increased immediately (P = 0.005) after one session of exercise.
Training did not influence baseline cathepsin B activity. In conclusion, one
session of exercise differentially influenced cathepsin B activity in the liver,
depending on training status.
PMID- 29375047
TI - Effects of tadalafil (PDE5 inhibitor) and roflumilast (PDE4 inhibitor) on airway
reactivity and markers of inflammation in ovalbumin-induced airway
hyperresponsiveness in guinea pigs.
AB - Selective phosphodiesterase (PDE) 4 inhibitors have recently been introduced into
the therapy of chronic obstructive pulmonary disease. However, suppression of
airway reactivity and eosinophilic inflammation by increased intracellular cAMP
could be beneficial in bronchial asthma as well. PDE5 inhibitors are used for the
therapy of erectile dysfunction, pulmonary hypertension, and other cardiovascular
diseases, but an expression of PDE5 in several immune cells suggests its
perspectives in inflammation, as well. To bring a new information on the
therapeutically relevant potential of PDE4 and PDE5 inhibitors in allergic
inflammation, this study evaluated the effects of 7-days administration of PDE5
inhibitor tadalafil and PDE4 inhibitor roflumilast in experimentally-induced
allergic inflammation and compared their action with effects of a corticosteroid
dexamethasone. In the study, male adult guinea pigs were used. Control group was
non-sensitized, while other animals were ovalbumin-sensitized over two weeks and
thereafter treated intraperitoneally for 7 days with tadalafil or roflumilast
(daily dose 1.0 mg/kg b.w. each), with their combination (0.5 mg/kg b.w. each),
with dexamethasone (1.0 mg/kg b.w.), or with vehicle. Both tadalafil and
roflumilast reduced the specific airway resistance after nebulization of
histamine (a marker of in vivo airway reactivity), and decreased the in vitro
airway reactivity to cumulative doses of histamine and acetylcholine in tracheal
strips (significant for roflumilast) and in lung tissue strips (significant for
both agents), analyzed by organ bath method. These changes were associated with
decreased numbers of circulating leukocytes and eosinophils and lower production
of interleukins 4 and 5, nuclear factor kappa B and tumor necrosis factor alpha
in the lung. Similar effects were observed also for dexamethasone. Roflumilast
and tadalafil, but not their combination with reduced doses, lowered lung TBARS,
a marker of lipid oxidation. Selective PDE5 inhibition alleviated allergic airway
inflammation, but it was less potent than PDE4 inhibition, whereas anti
inflammatory action of the PDE inhibitors was comparable to the effects of
dexamethasone.
PMID- 29375048
TI - Effect of tachycardia on mRNA andf protein expression of the principal components
of the lipolytic system in the rat's heart ventricles.
AB - There is a convincing piece of evidence showing that most of free fatty acids
(FFA) entering cardiomyocytes are first esterified into triacylglycerols (TG)
before being oxidized or used for synthesis of complex lipids. The enzyme adipose
triglyceride lipase (ATGL) initiates lipolysis of TG by hydrolyzing the first
ester bond of the compound. As a result, free fatty acid and diacylglycerol (DG)
are released in that process. Finally, DG may be further hydrolyzed by the enzyme
hormone sensitive lipase (HSL). The aim of the present study was to examine
effect of tachycardia on mRNA and protein expression of ATGL, CGI-58 (an
activator of ATGL), G0S2 (an inhibitor of ATGL) and HSL in the left and right
ventricle of the rat. The experiments were carried out on male Wistar rats, 240 -
260 grams of body weight. After anesthesia, two electrodes were inserted in the
right jugular vein and connected to SC-04 stimulator. The rats were randomly
allocated into one of the three groups, namely: control, 30 min and 60 min of the
heart stimulation at the rate of 600 times/min. The expressions of ATGL, CGI-58,
G0S2 and HSL were evaluated at both gene and protein levels using real-time PCR
and Western Blot analysis, respectively. Both 30 and 60 min stimulation reduced
ATGL, HSL, CGI-58 and G0S2 mRNA content in the left ventricle. The stimulation
had only insignificant impact on ATGL, HSL and CGI-58 transcript levels in the
right ventricle. Interestingly, Western Blot analysis showed that the
stimulation, regardless of the time, reduced the ATGL and G0S2 protein
expression, but did not change the CGI-58 and HSL expression in the left
ventricle. Furthermore, in the right ventricle, the protein expressions of ATGL,
HSL and G0S2 decreased after stimulation. In conclusion, the obtained results
clearly show that tachycardia affects both mRNA and protein expression of
particular compounds of the TG lipolytic system in the heart ventricles.
Additionally, there are marked differences in the expressions of the examined
compounds between the ventricles.
PMID- 29375049
TI - Vasomotor effects of hydrogen sulfide in human umbilical vessels.
AB - Hydrogen sulfide (H2S) has recently emerged as a biologically active gas with
multiple effects on the cardiovascular system. We aimed to investigate the
vasomotor actions of sodium sulfide (Na2S), which forms H2S and HS- in solution,
in human umbilical artery (HUA) and vein (HUV) rings. In addition, we examined by
immunocytochemistry the expression and localization of cystathionine beta
synthase (CBS), cystathionine lyase (CSE), and 3-mercaptopyruvate
sulphurtransferase (MPST), the enzymes responsible for endogenous H2S production.
Human umbilical vessels were compared with chicken embryo umbilical vessels. HUA
and HUV expressed a robust signal for CSE, CBS, and 3-MPST in both endothelial
and smooth muscle cells. However, HUA rings did not respond to Na2S (10-6M-10-3M)
either at resting tone or during contraction evoked by serotonin or KCl.
Similarly, the extraembryonic part of chicken allantoic artery did not respond to
Na2S. In contrast, Na2S induced a concentration-dependent contraction in HUV
rings under resting tone and a concentration-dependent relaxation when the H2UV
rings were contracted with serotonin (42 +/- 5% relaxation) or KCl (12 +/- 5%
relaxation). Na2S-induced contraction of HUV was impaired following removal of
extracellular Ca2+, endothelial denudation, NO synthase inhibition (L-NAME), or
soluble guanylate cyclase (sGC) inhibition (ODQ). Na2S-induced relaxation of HUV
was impaired by the KATP channel inhibitor glibenclamide. In conclusion, H2S does
not have vasomotor effects on HUA but induced contraction (mediated through
inactivation of the NO/sGC axis) and relaxation (mediated through KATP channels)
in HUV. Our data suggest a role for H2S in the venous side of human umbilical
circulation.
PMID- 29375050
TI - The effect of hydrogen sulfide-releasing naproxen (ATB-346) versus naproxen on
formation of stress-induced gastric lesions, the regulation of systemic
inflammation, hypoxia and alterations in gastric microcirculation.
AB - Clinical use of non-steroidal anti-inflammatory drugs (NSAIDs), such as aspirin
or naproxen is limited due to the gastrotoxicity evoked by these compounds.
Endogenous hydrogen sulfide (H2s) and delivered via an H2s donor have been shown
to play important role in the maintenance of gastric mucosal integrity. This
study aimed to compare the effects of naproxen and an H2s-releasing naproxen
derivative (ATB-346) on gastric lesion induction by water immersion and restraint
stress (WRS), the alterations in gastric blood flow (GBF) and the influence of
these drugs on systemic inflammation. Wistar rats were pretreated i.g. with
vehicle, naproxen (20 mg/kg) or ATB-346 (equimolar dose) or NaHS (5 mg/kg), the
H2s donor, combined with naproxen and exposed to 3.5 hours of WRS. The gastric
lesion number and GBF were assessed by planimetry and laser Doppler flowmetry,
respectively. Plasma concentrations of interleukins: IL-1alpha, IL-1beta, IL-2,
IL-4, IL-5, IL-6, IL-10, IL-12, interferon-gamma (IFN-gamma), tumor necrosis
factor-alpha (TNF-alpha) and GM-CSF were determined by Luminex system and gastric
mucosal protein expression of cystathionine-gamma-lyase (CSE), cystathionine-beta
synthase (CBS), 3-mercaptopyruvate sulfurtransferase (3-MST), nuclear factor
(erythroid-derived 2)-like 2 (Nrf-2), hypoxia inducible factor-1alpha (HIF
1alpha), heme oxygenase-1 (HO-1) and cyclooxygenase (COX-2) were analyzed by
Western blot. Pretreatment with naproxen increased the number of WRS stress
induced gastric lesions and significantly decreased GBF as compared with vehicle
(p < 0.05). In contrast, pretreatment with ATB-346 or naproxen combined with NaHS
significantly reduced WRS-lesions number and elevated GBF as compared with
naproxen (p < 0.05). Naproxen significantly increased gastric mucosal protein
expression of CSE, Nrf-2 and HIF-1alpha as compared with vehicle (p < 0.05), but
failed to affect CBS, 3-MST and HO-1. ATB-346 significantly increased Nrf-2 and
HO-1 protein expression as compared with vehicle (P < 0.05) but did not affect
the protein expression of CSE, CBS, 3-MST or HIF-1alpha. ATB-346 but not naproxen
decreased COX-2 protein expression in gastric mucosa compromised by WRS (p <
0.05). Exposure to WRS increased plasma concentration of all investigated
cytokines (p < 0.05). ATB-346 but not naproxen decreased plasma content of IL
1alpha, IL-4, IL-5, IL-6, IL-10, IL-12, TNF-alpha and IFN-gamma in rats exposed
to WRS (p < 0.05). We conclude that H2s through its vasoactive properties
attenuates the gastrotoxic effects of naproxen, which increased stress-induced
hypoxia in gastric mucosa. In contrast to naproxen, ATB-346 decreased stress
induced systemic inflammation and pro-inflammatory COX-2 expression in the
gastric mucosa. The decreased gastrotoxicity of ATB-346 could be due to
upregulation of Nrf-2/HO-1 pathway mediated by the release of H2s.
PMID- 29375051
TI - Bone mineral density and the 570A>T polymorphism of the bone morphogenetic
protein 2 (BMP2) gene in patients with inflammatory bowel disease: a cross
sectional study.
AB - Finding genetic predictors of osteoporosis and fractures in patients with
inflammatory bowel disease (IBD) may provide incentives for non-pharmacological
actions and so improve the long-term prognosis of the patients. We analysed the
incidence of BMP2 570A>T polymorphic variants and their association with bone
mineral density (BMD) and the incidence of fractures in patients with IBD. The
study comprised 198 IBD patients (100 with Crohn's disease (CD), and 98 with
ulcerative colitis, (UC)) and 41 healthy controls. Bone densitometric analysis
was carried out using the DXA method. The 570A>T polymorphisms in the BMP2 gene
were genotyped using RFLP. We found significant differences in the BMD and T
scores of the lumbar spine (L2-L4) and femoral neck between the three groups. In
controls and CD patients, the highest L2-L4 BMD was found in carriers of the AA
variant of the BMP2 gene, while among UC patients it was the case of TT carriers.
In both femoral neck and lumbar spine among UC patients, the highest BMD was
observed in carriers of the TT variant of the BMP2 gene. Among patients with CD
and in the control group, the highest L2-L4 BMD was found in carriers of the AA
variant, whereas in UC patients, it was the case of TT homozygotes. Within the
femoral neck, there were no significant differences in BMD for the carriers of
individual variants of BMP2 gene polymorphism. We conclude that the 570A>T
polymorphism of the BMP2 gene, no statistically significant relationship was
observed between the polymorphic variant and bone mineral density or the
incidence of fractures in IBD patients.
PMID- 29375052
TI - Anti-inflammatory effects of nesfatin-1 on acetic acid-induced gastric ulcer in
rats: involvement of cyclo-oxygenase pathway.
AB - In order to elucidate the contribution of cycloxygenase (COX) enzymes in the anti
oxidant and anti-inflammatory mechanisms of nesfatin-1, which improves the
healing process of chronic gastric ulcers, either acetic acid (80%; ulcer groups;
n = 40) or saline (control groups; n = 40) was applied to the serosal surface of
male Sprague Dawley rats' stomachs for 1 min. Both the control and ulcer groups
were treated daily with either i.p. saline or nesfatin-1 (0.3 MUg/kg; for 3
days). Nesfatin-1-treatment was preceded with i.p. saline, COX-2 inhibitor NS-398
(2 mg/kg), COX-1 inhibitor ketorolac (3 mg/kg) or non-selective COX inhibitor
indomethacin (5 mg/kg) for 3 days. The rats were decapitated at the end of the
third day, and their trunk blood was collected for the measurements of tumor
necrosis factor-alpha (TNF-alpha), interleukin-1beta (IL-1beta) and IL-10 using
ELISA. The induction of ulcers resulted in increased macroscopic scores, along
with elevated gastric malondialdehyde, luminol- and lucigenin-enhanced
chemiluminescence levels and myeloperoxidase activity. On the other hand,
nesfatin-1 treatment abolished these elevations. Depleted glutathione, superoxide
dismutase and catalase activity levels in the saline-treated ulcer group were
preserved in the nesfatin-1-treated ulcer group. Increased levels of serum TNF
alpha, IL-1beta, IL-10 in the saline-treated ulcer group, as compared to control
group, were significantly decreased in the nesfatin-1-treated ulcer group. The
inhibition of COX-1, and/or COX-2 reversed most of the alterations induced with
nesfatin-1, but COX-2-blockade was consistently more effective to abolish all
nesfatin-1-induced changes. Our results suggest that nesfatin-1 ameliorates ulcer
induced inflammatory response through the modulation of oxidant-antioxidant
balance. As selective pharmacological inhibition of COX-1 or COX-2 suppresses the
antioxidant/anti-inflammatory effects of nesfatin-1, it appears that nesfatin-1
decreases inflammatory mediators and neutrophil migration by a COX-dependent
mechanism, especially by a COX-2- dependent mechanism, during the ulcer healing
stage.
PMID- 29375053
TI - Expression of purinergic P2X7 receptors in subpopulations of peripheral blood
mononuclear cells in early-stage of chronic kidney disease.
AB - Chronic kidney disease (CKD) is known as a state of chronic low-grade
inflammation, enhancing cardiovascular risk and immunodeficiency. Purinergic
signaling has been accepted as a crucial component in the pathogenesis of various
diseases, mediating a vast array of biological processes. The P2X7 receptor is
one of the important cell surface regulators of several key inflammatory
molecules. The aim of the study was to examine the expression of surface P2X7
receptors in subpopulations of peripheral blood mononuclear cells (PBMCs), and to
evaluate the promising prognostic markers of inflammation (neutrophil/lymphocyte,
Ne/Ly ratio) and cardiovascular risk (monocyte/high density lipoprotein
cholesterol, Mo/HDL ratio) in early-stage CKD. The study involved 15 healthy
volunteers and 15 non-diabetic patients with CKD stage 2 - 3. PBMCs were isolated
from heparinized blood by Ficoll gradient centrifugation. To determine the
expression of P2X7 receptors in different subpopulations (CD14+ monocytes, CD3+ T
lymphocytes and CD19+ B-lymphocytes), the cells were stained with FITC-conjugated
anti-P2X7. The monocyte, lymphocyte and neutrophil counts were measured in whole
blood as a part of routine hemogram. The number of T- and B-lymphocytes was
determined by flow cytometry using antibodies anti-CD3-PE and anti-CD19-PE,
respectively. The expression of surface P2X7 receptors was 1.4 fold increased in
PBMCs of CKD patients compared to healthy volunteers. The expression of P2X7
receptors was 2.1 fold higher in monocytes and 1.5 fold higher in the whole
lymphocyte population, with significant increase only in B-cells. The monocyte
count, as well as the Ne/Ly and Mo/HDL ratios were also significantly increased.
In conclusion, the increased P2X7 receptors expression in monocytes, the monocyte
count and the Ne/Ly ratio are manifestations of chronic inflammation already in
early stages of CKD. The study also supports recent findings that the Mo/HDL
ratio could be used as additional parameter for monitoring cardiovascular risk
profile in these patients.
PMID- 29375055
TI - Polarized Emission from CsPbBr3 Nanowires Embedded-Electrospun PU fibers.
AB - The interest in all-inorganic halide perovskites has been increasing dramatically
due to their high quantum yield, band gap tunability, and ease of fabrication in
compositional and geometric diversity. In this study, we synthesized um long and
~4 nm thick CsPbBr3 nanowires (NWs). They were, then, integrated into electrospun
polyurethane (PU) fibers to examine polarization behavior of the composite fiber
assembly. Aligned electrospun fibers containing CsPbBr3 nanowires show remarkable
increase in degree of polarization from 0.17 to 0.30. This combination of NWs and
PU fibers provides a promising composite material for various applications such
as optoelectronic devices and solar cells.
PMID- 29375054
TI - Magnetic nanocomposites based on phosphorus-containing polymers-structural
characterization and thermal analysis.
AB - Fabrication of magnetic nanocomposites containing iron oxide nanoparticles formed
in situ within a phosphorus-containing polymer matrix as well as its structural
characterization and its thermal degradation is reported here. Comparative
structural studies of the parent polymer and nanocomposites were performed using
FTIR spectroscopy, x-ray diffraction, and atomic force microscopy. The results
confirmed the presence of dispersed iron oxide magnetic nanoparticles in the
polymer matrix. The formed composite combines the properties of porous polymer
carriers and magnetic particles enabling easy separation and reapplication of
such polymeric carriers used in, for example, catalysis or environmental
remediation. Studies on thermal degradation of the composites revealed that the
process proceeds in three stages while a significant influence of the embedded
magnetic particles on that process was observed in the first two stages. Magnetic
force microscopy studies revealed that nanocomposites and its calcinated form
have strong magnetic properties. The obtained results provide a comprehensive
characterization of magnetic nanocomposites and the products of their calcination
that are important for their possible applications as sorbents (regeneration
conditions, processing temperature, disposal, etc).
PMID- 29375056
TI - Introduction to the Special Issue.
PMID- 29375057
TI - The Abuse of Futility.
AB - Two recent policy statements by major providers of critical care have rejected
the concept and language of "medical futility," on the ground that there is no
universal consensus on a definition. They recommend using "potentially
inappropriate" or "inappropriate" instead. We argue that their proposed terms are
vague-even misleading-in the ICU setting, where serious life-and-death decisions
are made. Whatever specific meaning the exclusive world of critical care might
wish to give to the word inappropriate, in the lay world the term is so broad it
trivializes the activity. We also point out that there is no universal consensus
on the definition of death, the right to abortion, or the right to refuse blood
products, yet medicine carries on. One advantage of the term "medical futility"
is that it confirms unambiguously that human beings are mortal, and medicine's
powers are limited. It leads more naturally to integrating palliative and comfort
care into critical care decision-making and encourages health providers to think
more deeply about their role in the inevitable ending of their patients' lives.
PMID- 29375058
TI - Resolving Family-Clinician Disputes in the Context of Contested Definitions of
Futility.
AB - The word futile has been a touchstone in debates regarding resolution of disputes
regarding life-prolonging treatments since the 1980s. Here, we respond to several
criticisms of the Multiorganization Policy Statement, "An Official
ATS/AACN/ACCP/ESICM/SCCM Policy Statement: Responding to Requests for Potentially
Inappropriate Treatments in Intensive Care Units" (Bosslet et al. 2015). This
response highlights the need for a strict definition of the word futile in order
to avoid undue treatment differences when end-of-life interventions are
contested. We also reply to several criticisms of this policy statement that are
formulated upon fundamental misunderstandings of the statement and its
assertions.
PMID- 29375059
TI - Getting Past Words: Futility and the Professional Ethics of Life-Sustaining
Treatment.
AB - For nearly three decades, clinicians and bioethicists have debated about use of
the term futile to describe end-of-life medical interventions that clinicians
believe are no longer warranted. In clinical practice, the term is most often
invoked when a family of a dying or permanently unconscious patient insists upon
such interventions, despite the medical team's belief or recommendation that they
be withheld or withdrawn. This essay argues that each of the commonly used terms
for these interventions (futile, inappropriate, and nonbeneficial) captures an
important, different, and complementary facet of these conflicts in end-of-life
medical care. Rather than continuing to debate which term is best, clinicians and
bioethicists should direct their attention to the professional ethics of end-of
life care and the clinical and organizational factors that create or contribute
to these so-called "futility cases."
PMID- 29375060
TI - Futility: Definition and Goals.
AB - Schneiderman, Jecker, and Jonsen (2017) correctly take issue with a recent multi
society statement that attempts to replace most uses of the term futility with
"inappropriate treatment." However, they mistakenly categorize any treatment of
permanent unconsciousness as futile. Futility can be readily defined as an
intervention that will not work, and it is always an incomplete statement unless
one specifies the goal one hopes to achieve.
PMID- 29375061
TI - Futile Treatment and Conquering Death.
AB - Pragmatically speaking, there is a stronger case for using the language of
"futility" than "potentially appropriate" for end-of-life care. The latter
obscures the reality of death as a part of human life. Do patients die because
death always wins in the end? Or do they die because physicians run out of ways
to treat them? In the end, modern medicine has made the conquest of death its de
facto goal. That is a fundamental error, one which the language of "potentially
appropriate" is unwittingly supportive of.
PMID- 29375062
TI - Who Will Teach Us to Die?: Reflections on Futility and Finitude.
AB - Futility is a medical judgment, but more importantly it is a prognostic gesture
for shaping relationships among doctors, patients, and their families. As such,
the need for a concept of futility, or something like it, is personally and
socially important to all of us. It is one of medicine's essential tools for
teaching about finitude and mortality.
PMID- 29375063
TI - The Brutal but Utile Truth of "Futile".
AB - Though futility may be difficult to consensually define as a description of the
limits of medicine, the term futility as it applies to quantitative futility is
useful and apt. The term conveys a sometimes harsh but truthful reality for
patients and families. When talking with patients and families, conveying the
concept of futility might be more compassionately expressed as an inability to
provide a curative medical benefit to the patient, with a concomitant commitment
to provide comfort care. The ultimate arbiter of quantitative futility should be
the medical profession.
PMID- 29375064
TI - Futility, Inappropriateness, Conflict, and the Complexity of Medical Decision
Making.
AB - The concepts of medical futility and "potentially inappropriate" interventions
aim to describe particular decision-making situations and assist in making
ethically sound decisions. This article explores how both of these concepts
simplify the rather more complicated decision-making task in ways that often
hinder their ability to be helpful, and potentially allow for unstated biases to
influence decisions. Instead of searching for a single unifying phrase or
concept, acknowledging and explicitly working with the numerous judgments and
decisions that comprise a high-stakes medical treatment decision will do more to
advance ethically sound decision-making.
PMID- 29375065
TI - Futility, the Multiorganization Policy Statement, and the Schneiderman Response.
AB - This essay offers a brief history of futility, in both sociocultural and medical
contexts, with some personal reflection on the disappearance and reappearance of
medical futility during the author's 40-plus years in medicine. It discusses the
creation of the Texas Advance Directives Act (TADA), which, even with its flaws,
creates the only legal safe harbor for physicians engaged in futility disputes.
It also offers reflection on the commendable Multiorganization Policy Statement
on "potentially inappropriate treatment" yet comes to the same conclusion as
Schneiderman. The words recommended for use in futility disputes are not helpful
in facing these disputes. Medical futility appropriately understood transcends
pure physiologic, quantitative, or qualitative concepts. Those who seek to help
resolve futility disputes must take into account not only these concepts, but
also emotional, social, and spiritual factors as well. If we are to collectively
face the challenge of medical futility, we must cultivate a more covenantal and
communitarian ethical framework, develop processes similar to TADA in other state
laws, and teach that the acceptance of finitude does not reduce the sacred value
of life.
PMID- 29375066
TI - "Futility" as in English or "Futilities" as in French: A Valuable Semantic
Misunderstanding?
AB - This article offers a comparative perspective of the controversy about the use of
the term futility as presented by Schneiderman and colleagues (2017). The English
concept of "medical futility" has no semantic equivalent in the French language.
The traditional term used to translate it was "therapeutic obstinacy," which
changed to "unreasonable obstinacy" when the first end-of-life law was adopted in
2005. The change was done in order to demedicalize the concept and make it less
objective and less scientific. As such, the intention of the French legislature
may have been equivalent to the intention behind the recent U.S. policy statement
that proposed replacing the term futile with inappropriate (Bosslet et al. 2015).
The semantic change towards "unreasonable obstinacy" pushed practitioners in
France to the same conclusion formalized some years ago by Helft and colleagues
(2000), who said that pronouncing the term futility can't be enough by itself to
convince patients or their proxies that the treatments should be ceased. Instead,
it should initiate the difficult task of negotiating until they will accept that
there is no other issue for the patient but the withdrawal of all aggressive and
invasive treatments. The best way to enter this cruel negotiation remains
unresolved. Is it in using the term futility, which involves a notion of medical
undisputable objectivity, as well as an ending dimension that is difficult for
people to be confronted with? Or is it in choosing a less definitive word, which
leaves room for something else to happen and to be positively constructed, in
order to escape the dimension of hopelessness included in the announcement of
futility?
PMID- 29375067
TI - Mediative Fluency and Futility Disputes.
AB - Futility disputes are more likely to be resolved-and relational breaches repaired
by engaging in a process that fosters communication between clinicians, patients,
and families. This essay calls for mediative fluency. The preemptive use of a
futility definition can stifle conversation when it is needed most, exacerbating
the very power imbalances and associated health disparities that often
precipitate futility disputes. When clinicians, patients, and families engage in
dialogue, clinicians can appreciate what motivates requests for what is thought
to be futile care, and patients and families can better understand the limits of
available therapies. This sharing of knowledge, values, and attitudes cannot be
achieved through the unilateral invocation of a futility definition. Furthermore,
futility definitions are prone to interpretative judgment by clinicians and can
be informed by the norms and attitudes attendant to a practitioner's medical
specialty. They also need to be interpreted in the context of emerging trends in
medical therapeutics and in relation to the clinical details of each case. In the
aggregate, these challenges make the application of a futility definition futile.
PMID- 29375068
TI - Futile and Potentially Inappropriate Interventions: Semantics Matter.
AB - In 1997, the Society for Critical Care Medicine (SCCM) published a statement
regarding futile and inadvisable treatments. Recently, five critical care
organizations published a consensus statement supporting and expanding upon the
1997 SCCM statement, and the SCCM issued a companion statement defining futile
and potentially inappropriate interventions. In all of these statements, there is
agreement that the term futile should be used only when an intervention cannot
accomplish the intended physiologic goal. The organizations provide
recommendations for optimizing communication, however even when clinicians use
excellent communication techniques, there are times when competing ethical
considerations mean that a surrogate persists in requesting an intervention that
the clinician does not believe is justified. In such cases, clinicians should not
label the requested intervention as futile, because doing so is disrespectful to
patients and families, overly empowers clinicians, and stifles communication.
When clinicians believe that they are justified in declining such a request due
to differences in values or beliefs, they should refer to the requested treatment
as "potentially inappropriate," indicating that the disagreement is based on
values, and that any decision to withhold the requested intervention is
preliminary until reviewed through a fair process.
PMID- 29375069
TI - Intractable Disagreements About Futility.
AB - This paper discusses the inevitable ambiguity of the language that we use to
describe events that are rare and emotionally devastating. A search for precision
in such circumstances may distract from more important issues. Neither families
nor doctors need more precise language. Instead, they need more trust, more
emotional transparency, and a recognition by doctors of the tragic nature of the
decision they face.
PMID- 29375070
TI - Medical Futility and "Brain Death".
AB - Judgments of futility are always relative to some goal. In light of that
proposition, continued treatment for those diagnosed as "brain dead" is not
necessarily futile.
PMID- 29375071
TI - The True Abuse of Futility.
AB - Futility has wrongly been applied over the past decades to clinical scenarios
where treatment disputes exist, but where true physiological futility is not
certain. This particularly applies to the pediatric critical care arena, where a
major source of ethical debate and moral concern surrounds decisions about
appropriateness of treatment, and not necessarily futility. In the pediatric
intensive care unit, Schneiderman and colleagues' (2017) definitions of
quantitative and qualitative futility are rarely applicable. Attempted
alterations to the definition of futility have failed to encapsulate the complex
and complicated clinical scenarios encountered, as well as the difficulty of
balancing the provision of best medical advice with parental values and
authority. The Multiorganization Policy Statement recognizes the difference
between futile and potentially inappropriate treatments and puts forth
communication strategies to reconcile disputes about the latter. This approach is
of value to the greater medical community, including pediatric critical care, and
also restores an important and specific meaning to the term futile-a word whose
meaning should be unambiguously clear.
PMID- 29375072
TI - Criterialism versus Deliberativism.
AB - The argument in this paper is that the position of Schneiderman, Jecker, and
Jonsen (2017) suffers from the very flaws of which they accuse the
Multiorganization Policy Statement, and that all the ethical and conceptual
arguments speak in favor of the collaborative, deliberativist position that
underlies the Multiorganization Statement. That position is that we should
abandon self-sealing definitions of futility, which disguise the value judgments
inherent in them, in favor of the evolving, collaborative process of decision
making.
PMID- 29375073
TI - Medical Futility and Involuntary Passive Euthanasia.
AB - Conflicts between providers and patients or their families surrounding end-of
life care are both regrettable and extremely challenging, interpersonally and
ethically, for all involved. These conflicts often implicate the concept of
medical futility. The concept of futility is too often conflated with distinct
concepts that are more ethically salient, including the fiduciary responsibility
to assess surrogate decision-making, and distributive justice. By distinguishing
these concepts from futility, it becomes clear that there are some situations in
which forgoing life-sustaining treatment over objection is permissible, and
perhaps even obligatory. But the justification lies in the constellation of
rights and responsibilities surrounding surrogate decision-making, or in
distributive justice, but not futility. Once futility is disambiguated from these
other concepts, the practice of withholding or withdrawing life-sustaining
treatment over the objection of a valid surrogate or a competent patient, based
on the alleged futility of such treatment, is more clearly described as
involuntary passive euthanasia.
PMID- 29375074
TI - Medical Futility and Potentially Inappropriate Treatment: Better Ethics with More
Precise Definitions and Language.
AB - The primary objective of this article is to defend the vocabulary in the
Multiorganization Policy Statement. The Multiorganization Statement narrows but
does not abolish the term futility. Rather, it offers a richer and more precise
vocabulary that facilitates better ethical decision-making. The secondary
objective of this article is to defend the continuing utility of the terms and
concepts "quantitative futility" and "qualitative futility" defended by
Schneiderman, Jecker, and Jonsen (2017).
PMID- 29375075
TI - The Concept of Futility: Recognizing the Importance of Context.
AB - Debate about the concept of medical futility is often polarized around two views.
One is that futility is simply an acknowledgment of the limitations of modern
medicine, a corollary of the fact of human mortality. The other is that futility
is a judgment that is always grounded in a particular set of values, and that
medical professionals have no right to impose their value judgments on patients
and families who do not share their perspective. This essay argues that these
dichotomous views can be reconciled by appreciating the importance of the context
in which the dialogue occurs. When clinicians and families are working
collaboratively in a process of shared decision-making, use of the concept of
futility in the former sense can be an effective way of capturing the limits of
medicine. When communication and trust have broken down and the parties are
engaged in a process of conflict resolution, the latter interpretation of
futility is necessary in order to demonstrate respect for those who embrace a
different set of values and perspectives.
PMID- 29375076
TI - End-of-Life Futility Conversations: When Language Matters.
AB - Futility is a term that is distressing for many, but it is a concept that merits
revisiting for its normative, empirical, and ethical value in understanding end
of-life issues. Ethical concerns surrounding aggressive care and the suffering of
patients at end of life are frequently cited as significant ethical issues within
institutional settings, leading to clinicians' moral distress. The author
responds to the essay on "The Abuse of Futility" by Schneiderman, Jecker, and
Jonsen (2017), who support the continual use of futility language with patients
and families. Others, however, suggest a reexamination of its usage. Concepts are
not static, but the reconceptualization of futility language requires further
clarification and analysis of how newer terms impact the patients and families
who rely on their clinicians for expert care and compassion at end of life.
PMID- 29375077
TI - Why Some "Futile" Care Is "Appropriate": The Implications for Conscientious
Objection to Contraceptive Services.
AB - In response to the criticism of Schneiderman and colleagues (2017) that two
recent policy statements of professional medical organizations referred to some
medical treatment that were traditionally called "futile" by the terms
"inappropriate" or "potentially inappropriate," this critique accepts their claim
challenging these terms as being hopelessly ambiguous. However, this critique
rejects the conclusion they all share that clinicians or hospitals should have
the unilateral authority to refuse to provide treatments that will plausibly
achieve the end that the patient or surrogate is pursuing. Although clinicians
should be presumed authoritative in deciding to reject treatments that will not
achieve the patient or surrogate's end, they should be obligated, provided five
conditions are met, to provide those treatments that will achieve the patient or
surrogate's end. The implications of honoring a physician's right to
conscientious objection to treatments they deem "futile" are compared to
physician claims of the right to refuse to provide contraceptive services on the
same grounds.
PMID- 29375078
TI - Nurturing Futility in the NICU: The Dutch Perspective.
AB - Requests for life-prolonging treatments can cause irresolvable conflicts between
health-care providers and surrogates. The Multiorganization Policy Statement
(Bosslet et al. 2015) with recommendations to prevent and manage these conflicts
creates a good opportunity to examine how end-of-life decisions are made in Dutch
neonatal intensive care units and how medical futility is defined. The Dutch
equivalent of medical futility in the context of NICU care has grown and
developed rather independently, within the typical legal, ethical, and cultural
framework of Dutch society. Moreover, intractable conflicts and legal claims
about life-prolonging treatments of newborns are very rare. This makes the
Multiorganization Statement recommendations only partly relevant to Dutch
practice. Critics are right in their comments that a substitution for the term
futility, as recommended in the statement, is unlikely to prevent or solve
irresolvable conflicts. But the recommended transparency about limit setting may
work well, provided physicians make sure that comfort and palliative care are
always provided.
PMID- 29375079
TI - Morphological analyses of the retinal photoreceptor cells in the nocturnally
adapted owl monkeys.
AB - Owl monkeys are the only one species possessing the nocturnal lifestyles among
the simian monkeys. Their eyes and retinas have been interested associating with
the nocturnal adaptation. We examined the cellular specificity and
electroretinogram (ERG) reactivity in the retina of the owl monkeys by comparison
with the squirrel monkeys, taxonomically close-species and expressing diurnal
behavior. Owl monkeys did not have clear structure of the foveal pit by the
funduscope, whereas the retinal wholemount specimens indicated a small-condensed
spot of the ganglion cells. There were abundant numbers of the rod photoreceptor
cells in owl monkeys than those of the squirrel monkeys. However, the owl
monkeys' retina did not possess superiority for rod cell-reactivity in the
scotopic ERG responses. Scanning electron microscopic observation revealed that
the rod cells in owl monkeys' retina had very small-sized inner and outer
segments as compared with squirrel monkeys. Owl monkeys showed typical nocturnal
traits such as rod-cell dominance. However, the individual photoreceptor cells
seemed to be functionally weak for visual capacity, caused from the morphological
immaturity at the inner and outer segments.
PMID- 29375080
TI - JTE-852, a novel spleen tyrosine kinase inhibitor, blocks antigen-induced
allergic reactions in rats.
AB - Conventional clinical treatments for allergy management remain suboptimal; new,
orally available medications that improve a wide range of allergic signs have
been desired. We previously demonstrated that JTE-852, a novel spleen tyrosine
kinase inhibitor, potently and simultaneously suppresses secretion of granule
contents, arachidonate metabolites, and cytokines from mast cells stimulated by
immunoglobulin E-crosslinking. In the present study, we investigated the effects
of JTE-852 in four rat models (sneezing, rhinorrhea, airway constriction, and
airway inflammation) as representatives of allergy models. Rats were sensitized
and challenged with antigen. Allergic reactions developed after challenge were
detected. JTE-852 and current anti-allergic drugs (ketotifen, pranlukast, and
prednisolone) were administered orally before challenge. JTE-852 showed
significant blocking effects on antigen-induced allergic reactions in all models,
indicating that JTE-852 in oral dosage form would improve a wide range of
allergic signs. The current anti-allergic drugs, on the other hand, failed to
display significant suppression in several models. Because JTE-852 suppresses the
secretion of all three groups of allergic mediators from mast cells, it would be
capable of targeting signs that current drugs cannot sufficiently relieve. We
anticipate JTE-852 to be a promising new anti-allergic drug that is potentially
more effective than conventional drugs.
PMID- 29375081
TI - Late-night-dinner is associated with poor glycemic control in people with type 2
diabetes: The KAMOGAWA-DM cohort study.
AB - Skipping breakfast or irregular breakfast is associated with poor glycemic
control. However, a relationship between the timing of dinner and glycemic
control in people with type 2 diabetes remains indefinite. Therefore, we
investigated the relationship between late-night-dinner and glycemic control in
people with type 2 diabetes. We performed questionnaire survey for lifestyle
factors in this cross-sectional study. We defined having dinner later than eight
pm as late-night-dinner. We examined the differences in clinical and metabolic
parameters between those who have late-night-dinner and those who do not have. We
also examined the relationship between late-night-dinner and HbA1c, using
multiple regression analysis. Ninety-five people (23.2%) had a late-night-dinner,
among 409 people with type 2 diabetes. Metabolic parameters (mean (SD) or median
(interquartile range)) of people with late-night-dinner were worse than those of
without, including body mass index (BMI) (24.4 (4.0) vs. 23.2 (3.4) kg/m2, p =
0.006), triglycerides (1.5 (1.1-2.1) vs. 1.2 (0.8-1.7) mmol/L, p < 0.001), HDL
cholesterol (1.4 (0.4) vs. 1.6 (0.4) mmol/L, p = 0.004) and hemoglobin A1c (58.1
(13.3) vs. 55.2 (10.2) mmol/mol, (7.5 (1.2) vs. 7.2 (0.9) %), p = 0.023)). Late
night-dinner (standardized regression coefficient = 0.13, p = 0.028) was
associated with hemoglobin A1c after adjusting for age, BMI, sex, duration of
diabetes, smoking, exercise, alcohol, snacking after dinner, nighttime sleep
duration, time from dinner to bedtime, skipping breakfast, and medication for
diabetes. Late-night-dinner is independently associated with poor glycemic
control in people with type 2 diabetes.
PMID- 29375082
TI - Efficacy and safety of sodium-glucose cotransporter 2 inhibitors as add-on to
metformin and sulfonylurea treatment for the management of type 2 diabetes: a
meta-analysis.
AB - This study evaluates the efficacy and safety of sodium-glucose cotransporter 2
(SGLT2) inhibitors as add-on to metformin and sulfonylurea treatment for type 2
diabetes management. The literature search was conducted in electronic databases
and meta-analyses of mean differences in the changes from baseline in selected
disease endpoints (efficacy endpoints) or odds ratios (for safety endpoints) were
performed to compare outcomes between SGLT2 inhibitor- and placebo-/comparator
treatments. Seven studies (5,143 patients; age 56.75 years [95% CI: 56.19,
57.37]; body mass index 29.53 kg/m2 [28.23, 30.83]; and 51.87% [50.46, 53.57]
males) were included. Compared to placebo, SGLT2 inhibitors significantly (p <
0.00001) reduced glycated hemoglobin (HbA1c; -0.79% [95% CI: -0.90, -0.68]),
fasting plasma glucose (FPG; -1.73 mmol/L [-1.86, -1.60]) and body weight (-1.85
kg [-2.11, -1.59]) after 52-78 weeks of treatment. There were no significant
differences in reduction of either HbA1c, FPG or body weight between 18-24 weeks
and after 52-76 weeks of treatment. Treatment with SGLT2 inhibitors as add-on to
metformin and sulfonylurea was also associated with significant reductions in
blood pressure and triglycerides and increase in high-density lipoprotein
cholesterol. Incidence of hypoglycemia was significantly higher, but incidence of
hyperglycemia was significantly lower in SGLT2 inhibitor group. Overall, drug
related adverse events were more common in SGLT2 group mainly due to higher
incidence of genital tract infections.
PMID- 29375084
TI - What does Commercial Database Tell us About Medical Sciences?
PMID- 29375085
TI - The roles of kisspeptin and gonadotropin inhibitory hormone in stress-induced
reproductive disorders.
AB - Several kinds of stress suppress the hypothalamic-pituitary-gonadal (HPG) axis
and reproductive behavior in humans and animals. These changes can eventually
cause diseases and disorders, such as amenorrhea and infertility. In previous
studies, it has been shown that stress-related factors, e.g., corticotropin
releasing hormone, cortisol, and pro-inflammatory cytokines, promote the stress
induced suppression of the HPG axis. However, these mechanisms are not sufficient
to explain how stress suppresses HPG axis activity, and it has been suggested
that some other factors might also be involved. In the early 21st century, novel
neuroendocrine peptides, kisspeptin and gonadotropin inhibitory hormone
(GnIH)/RFamide-related peptide 3 (RFRP-3), which directly regulate
GnRH/gonadotropin synthesis and secretion, were newly discovered. Growing
evidence indicates that kisspeptin and GnIH/RFRP-3 play pivotal roles in the
stress-induced disruption of the HPG axis and reproductive behavior in addition
to their physiological functions. This review summarizes what is currently known
about the roles of kisspeptin and GnIH/RFRP-3 in stress-induced reproductive
disorders.
PMID- 29375083
TI - Additive Prognostic Value of Carotid Plaque Score to Enhance the Age, Creatinine,
and Ejection Fraction Score in Patients with Acute Coronary Syndrome.
AB - AIM: To assess whether combining measurements obtained from carotid
ultrasonography in addition to the age, creatinine, and ejection fraction (ACEF)
score would improve the predictive ability of outcome in patients with acute
coronary syndrome (ACS). METHODS: We examined 264 patients with ACS (194 men;
mean age: 68+/-11 years) who underwent percutaneous coronary intervention. The
carotid plaque score (cPS) and intima-media thickness (cIMT) were determined by
carotid ultrasonography. The modified ACEF score was calculated using the
following formula: (age/left ventricular ejection fraction) +1 point for every 10
mL/min reduction in creatinine clearance below 60 mL/min per 1.73 m2. The
endpoint of this study was major adverse cardiovascular and cerebrovascular
events (MACEs), defined as all-cause death, myocardial infarction, stoke, and
target vessel revascularization. RESULTS: During the median 4-year follow-up,
there were 121 incidents of MACEs. Multivariate Cox proportional hazard
regression analysis revealed that cPS >=9.8 (hazard ratio [HR], 1.52; 95%
confidence interval [CI], 1.01-2.31) and ACEF score >=1.20 (HR, 1.62; 95% CI,
1.11-2.39) were significantly associated with MACEs, whereas cIMT was not. When
the new combined risk score was calculated by multiplying the cPS by the modified
ACEF score, the freedom from MACEs at 5 years was 71% and 31% for the lower and
higher scores, respectively (p<0.001). The area under the receiver-operating
characteristic curve for MACEs for the ACEF score, cPS, and combined risk score
were 0.65, 0.66, and 0.71, respectively (p<0.05). CONCLUSION: The cPS offers an
incremental predictive value when combined to the simple ACEF score in ACS.
PMID- 29375086
TI - Asymptomatic Penetration of Oculomotor Nerve by Internal Carotid-Posterior
Communicating Artery Aneurysm Presenting Pure Acute Subdural Hematoma: A Case
Report.
AB - To present a unique case of the internal carotid artery-posterior communicating
artery (ICA-PcomA) aneurysm penetrating the oculomotor nerve presenting a pure
acute subdural hematoma (ASDH) without any oculomotor dysfunction. A 71-year-old
woman presented with a sudden headache and drowsiness. She had no history of head
trauma and did not manifest any neurological deficits including oculomotor nerve
palsy. Computed tomography (CT) of her head revealed left ASDH. Subsequent CT
angiography showed an aneurysm originating from the left ICA with an inferior
projection having continuity with the hematoma. Intraoperative inspection
revealed ASDH observed mainly in middle fossa and no subarachnoid hemorrhage,
while the aneurysm was confirmed to split the oculomotor nerve and to be fixed
with the middle fossa. The aneurysm was obliterated by direct clip application
and the patient's postoperative course was uneventful. Oculomotor nerve palsy is
an important warning sign of imminent rupture of ICA-PcomA aneurysm. However, we
should consider that the ICA-PcomA aneurysm could rupture causing ASDH without
any oculomotor nerve palsy, even though the aneurysm penetrated the oculomotor
nerve.
PMID- 29375087
TI - Clinical outcome of canine cardiopulmonary resuscitation following the RECOVER
clinical guidelines at a Japanese nighttime animal hospital.
AB - A set of evidence-based consensus guidelines for cardiopulmonary resuscitation
(CPR) in dogs and cats (RECOVER guidelines) was published in 2012. The purpose of
this study was to investigate the clinical outcomes of CPR performed according to
those guidelines in dogs. A total of 141 dogs with cardiopulmonary arrest (CPA)
were identified and underwent CPR between January 2012 and December 2015 at the
Sapporo Nighttime Animal Hospital. CPR was performed according to no-consensus
traditional veterinary CPR procedures in 68 dogs (TRADITIONAL group), and
according to the RECOVER guidelines in 73 dogs (RECOVER group). There was no
significant difference in the age, body weight, or time from CPA identification
to initiation of CPR between the TRADITIONAL and RECOVER groups (median [range]:
10 [0-16] vs. 11 [0-16] years; 6.6 [1.0-58.6] vs. 5.5 [1.1-30.4] kg; and 0 [0-30]
vs. 0 [0-30] min, respectively). In the TRADITIONAL group, 12 dogs (17%) achieved
a return of spontaneous circulation (ROSC), but none survived to hospital
discharge. However, 32 dogs (43%) in the RECOVER group achieved ROSC, and 4 dogs
(5%) were discharged from the hospital. Incorporating the RECOVER guidelines into
clinical practice significantly improved the ROSC rate (P<0.001). However, the
rate of survival to hospital discharge was still low. This may suggest that a
superior intensive care unit that provides advanced post-CPA care could benefit
veterinary CPR patients.
PMID- 29375088
TI - Minimizing an outbreak of avian botulism (Clostridium botulinum type C) in
Incheon, South Korea.
AB - An outbreak of botulism occurred over a two-month period beginning July 20, 2016.
In all, 697 wild birds were found paralyzed or dead at the Namdong reservoir and
11 Gong-gu. Using a mouse bioassay, type C botulinum toxin was identified in the
bird serum, liquid cultures of soil samples, and maggot extracts. To minimize
further infection of wild birds, we opened the floodgates of the Namdong
reservoir adjacent to the Yellow Sea; this decreased the water temperature and
the nutrient load such as nitrogen and phosphorus. The outbreak stopped shortly
after taking these actions. It is not known if these efforts decreased the number
of dead and diseased wild birds. Our study demonstrates one potential approach to
minimize future botulism outbreaks among wild birds and their habitats.
PMID- 29375090
TI - Influence of ultraviolet irradiation treatment on porcelain bond strength of
titanium surfaces.
AB - To determine the effect of titanium (Ti) surface modification by ultraviolet
irradiation (UVI) on the bond strength between Ti and porcelain. Grade 2 Ti
plates were allotted to five groups: sandblasted (SA), 15 min UVI (UV), SA+5 min
UVI (SA+UV5), SA+10 min UVI (SA+UV10), and SA+15 min UVI (SA+UV15). After surface
treatment, porcelain was added. A precious metal (MC) was used for comparison
with Ti. The effects of 24-h storage at room temperature versus thermal cycling
only at 5 and 55 degrees C in water were evaluated. Subsequently, the tensile
strength of each sample was tested. Data were analyzed using one-way analysis of
variance and the Tukey test. In both the room temperature and thermal cycling
groups, the MC and SA+15 min UVI samples showed significantly greater bond
strengths than the other samples (p<0.05). UVI processing efficiently increases
the bond strength between porcelain and the Ti surface.
PMID- 29375089
TI - Immunohistochemical localization of inhibin/activin subunits in adult Asian
elephant (Elephas maximus) testes.
AB - Immunolocalization of inhibin-alpha and inhibin/activin betaA and betaB subunits
in the testes of Asian elephant was determined. Testicular sections were
immunostained with polyclonal antisera against inhibin subunit-alpha and
inhibin/activin betaA and betaB using the avidin-biotin-peroxidase complex
method. Positive immunostaining against inhibin-alpha subunit was strongly
present in Sertoli cells, and positive immunostaining for the inhibin/activin
betaA and betaB subunits was observed in both Sertoli and Leydig cells. These
results indicated that while Sertoli cells are the predominant source of inhibin
and activin secretions in the testes of adult male Asian elephant, Leydig cells
are a source of activin but not inhibin.
PMID- 29375091
TI - Assessment of cuspal deflection and volumetric shrinkage of different bulk fill
composites using non-contact phase microscopy and micro-computed tomography.
AB - The understanding of cuspal deflection and volumetric shrinkage of resin
composites is necessary to assess and improve the placement techniques of resin
based materials. The aim of this study was to investigate the cuspal deflection
and its relationship with volumetric polymerization shrinkage of different bulk
fill resin composites. The investigation was conducted using non-contact phase
microscopy and micro-computed tomography. Thirty custom-milled aluminum blocks
were fabricated for microscopy analysis and thirty-six tooth models with
standardized Class I cavities were used for micro-computed tomography analysis.
Results showed that high-viscosity composites present higher cuspal deflection
compared to bulk-fill composites. The filler loading of resin composites seems to
have an effect on cusp deflection, since the higher the filler content
percentage, the higher the cusp deflection. On the other hand, it seems to have
an opposite effect on volumetric shrinkage, since higher filler loadings produced
lower volumetric shrinkage percentages.
PMID- 29375092
TI - Effects of different sulfuric acid etching concentrations on PEEK surface bonding
to resin composite.
AB - This study evaluated the effects of surface pretreatment with different
concentrations of sulfuric acid etching on surface properties and bonding between
Polyetheretherketone (PEEK) and a resin composite. Six groups of surface
pretreatment (no pretreatment, etched with 70, 80, 85, 90, and 98% sulfuric acid
for 60 s) were treated on PEEK. Surface roughness, scanning electron microscopy
(SEM) and atomic force microscopy (AFM) analyses were examined. Shear bond
strength (SBS) and cross-sectional observations of the interfaces were performed.
One-way ANOVA analysis revealed differences in surface roughness and SBS between
groups. The 90 and 98% sulfuric acid etching significantly achieved the highest
SBS (p<0.05). SEM and AFM demonstrated etched surfaces with wide and deep pores.
The 90 and 98% sulfuric acid etching were suggested to be the optimal
concentration to improve adhesion between PEEK and the resin composite.
PMID- 29375093
TI - Effect of silane compounds on bonding to fused quartz of tri-n-butylborane
initiated resin.
AB - This study aimed to investigate how different compositions of experimental silane
based primers in a methyl methacrylate solution containing 3
(trimethoxysilyl)propyl methacrylate (3-TMSPMA) or 3-(4
methacryloyloxyphenyl)propyl trimethoxysilane (3-MPPTS) might act as silicon
dioxide bonding agents. With or without post-silanization heat treatment, primer
treated quartz discs were bonded using the MMA-TBB resin and their bond strengths
were evaluated. The disks were primed with one of the following materials: 1 mol%
3-TMSPMA, 2 mol% 3-TMSPMA, 1 mol% 3-MPPTS, and 2 mol% 3-MPPTS. Shear bond
strength was determined both before and after thermocycling. Statistical analyses
were performed with non-parametric procedure (Kruskal-Wallis, Mann-Whitney U, and
Steel-Dwass tests). Both primers were effective with heat treatment to enhance
bonding between quartz and the MMA-TBB, and the bonding durability of the 1 and 2
mol% 3-MPPTS (16.8 and 24.9 MPa) with heat groups was significantly higher than
in the 1 and 2 mol% 3-TMSPMA (5.4 and 9.8 MPa) with heat groups.
PMID- 29375094
TI - Novel antibacterial mouthguard material manufactured using silver-nanoparticle
embedded ethylene-vinyl acetate copolymer masterbatch.
AB - The purpose of the present study was to develop an antibacterial mouthguard (MG)
material using a masterbatch of silvernanoparticle-embedded ethylene-vinyl
acetate (EVA) copolymers. In order to verify that the testing material was
clinically applicable as an antibacterial MG material, we conducted an
antibacterial test, a shock absorption test, and analysis of in vitro silver
release. The colony-forming activity of Streptococcus sobrinus, Porphyromonas
gingivalis, and Escherichia coli were significantly inhibited on the testing
materials compared with the commercial EVA sheet (p<0.05). The shock absorption
capability of the testing material was not significantly different from that of
the commercial EVA sheet. Cumulative silver release (in pure water) from the
testing materials were infinitesimal after soaking for 20 days, which implied
that there could be no harm in wearing the MG during exercise. These results
showed that this testing material could be clinically applicable as an
antibacterial MG material.
PMID- 29375095
TI - Ca-P spots modified zirconia by liquid precursor infiltration and the effect on
osteoblast-like cell responses.
AB - Ca-P spots modified zirconia by liquid precursor infiltration and the cell
responses were investigated. Pre-sintered zirconia specimens were immersed in Ca
P precursor solution. After dense sintering, scanning electron microscopy showed
Ca-P spots were formed on the zirconia and anchored with zirconia substrates. The
distribution density was increased with the extension of immersion time. Energy
dispersive spectrometer confirmed the stoichiometric Ca/P ratio was about 1.67.
After hydrothermal treatment, Ca-P spots turned into rod crystals where
diffraction peaks of tricalcium phosphate and hydroxyapatite were detected by X
ray diffraction, and Ca2+ and PO43- release decreased slightly (p>0.05). There
was no significant decrease on three-point bending strength (p>0.05). Osteoblast
like MC3T3-E1 cells attached and spread well and showed higher proliferation on
Ca-P spots modified zirconia (p<0.05), though its initial alkaline phosphatase
activity was not significant high (p>0.05). In conclusion, Ca-P liquid precursor
infiltration is a potential method to modify the zirconia ceramics for improving
bioactivity.
PMID- 29375097
TI - Nucleophagy in Human Disease: Beyond the Physiological Role [Retraction].
PMID- 29375096
TI - Selective Cerebral Perfusion with the Open Proximal Technique during Descending
Thoracic or Thoracoabdominal Aortic Repair: An Option of Choice to Reduce
Neurologic Complications.
AB - PURPOSE: Selective cerebral perfusion with the open proximal technique for
thoracoabdominal aortic repair has not been conclusively validated because of its
procedural complexity and unreliability. We report the clinical outcomes,
particularly the cerebroneurological complications, of an open proximal procedure
using selective cerebral perfusion. METHODS: A retrospective chart review
identified 30 patients between 2007 and 2015 who underwent aortic repair through
left lateral thoracotomy with selective cerebral perfusion, established through
endoluminal brachiocephalic and left carotid artery and retrograde left axillary
artery. RESULTS: The mean durations of the open proximal procedure and cerebral
ischemia (the duration of the open proximal procedure minus the duration of
selective cerebral perfusion) were 110.3 +/- 40.1 min and 24.8 +/- 13.0 min,
respectively. There were two cases (7%) of permanent neurologic dysfunction (PND)
but no in-hospital deaths. Multivariate analysis identified the duration of
cerebral ischemia as an independent risk factor for neurologic complications
including temporary neurologic dysfunction (TND; odds ratio (OR): 1.13; p =
0.007), but no correlation was found between selective cerebral perfusion
duration and neurologic complications. CONCLUSION: Despite the relatively long
duration of the open proximal procedure, selective cerebral perfusion has a
potential to protect against cerebral complications during thoracic aortic repair
through a left lateral thoracotomy.
PMID- 29375098
TI - Evaluation of an innovative oral brush for potential applications using liquid
based cytology.
AB - The present study was conducted to assess the applicability of liquid-based
cytology (LBC) using an innovative oral brush, Orcellex. Fifty healthy volunteers
were recruited. From each subject, four samples were collected using "Orcellex"
from apparently normal oral mucosal sites. A plastic spatula was also used to
obtain an additional sample. Data on the tolerability and acceptability of the
Orcellex were collected from the subjects, together with assessments of the
adequacy of LBC slide preparations for cellularity, preparation quality, and the
types of cells observed. The Orcellex brush was well accepted by the volunteers,
who reported relatively little pain. Orcellex brush LBC preparations were of good
quality in terms of cell morphology and staining, with a clean background. Only
two smears (2/200; 1%) were found to be inadequate due to low cellularity. All of
the plastic spatula LBC preparations were inadequate. Representative cells from
all layers of the different oral epithelia examined were documented. Oral liquid
based cytology using the Orcellex brush may have considerable potential for early
detection of oral cancer and precancer.
PMID- 29375099
TI - Simulated localized wear of resin luting cements for universal adhesive systems
with different curing mode.
AB - This study evaluated the simulated localized wear of resin luting cements for
universal adhesive systems using different curing modes. Five resin luting
cements for universal adhesive systems were evaluated and subsequently subjected
to wear challenge in a Leinfelder-Suzuki wear simulation device. Overall, 20
specimens from each resin luting cement were photo-cured for 40 s (dual-cure
group), and 20 specimens of each material were not photo-cured (chemical-cure
group). Simulated localized wear was generated using a stainless steel ball
bearing antagonist in water slurry of polymethylmethacrylate beads. In addition,
scanning electron microscopy (SEM) observations of resin luting cements and wear
facets were conducted. Significant differences in simulated wear and SEM
observations of wear facets were evident among the materials in the dual- and
chemical-cure groups. The simulated wear and SEM observations of wear facets of G
CEM LinkForce and Panavia V5 were not influenced by the curing mode. SEM
observations of resin luting cements were material dependent. In most cases, dual
curing appears to ensure greater wear resistance of resin luting cements than
chemical curing alone. The wear resistance of some resin luting cements appears
to be material dependent and is not influenced by the curing mode.
PMID- 29375100
TI - Biological evaluation of subgingivally placed direct resin composite materials.
AB - Placement of composite resin restorations in deep subgingival cavities can damage
surrounding soft tissues. In addition, commonly used resin-based composites
(RBCs) might interfere with wound healing and periodontal health. To clarify
cellular interactions with RBCs, we used an MTT assay to investigate adhesion of
primary human gingival fibroblasts and human osteoblasts (hFOB 1.19) on five RBC
materials with and without surface modifications (alumina blasting with 50- or
110-MUm Al2O3). In addition, high-performance liquid chromatography (HPLC) was
used to determine release of resin monomers from RBCs after 1 h, 1 day, and 7
days. As compared with tissue culture plastics (the control), cellular adhesion
was significantly lower (P < 0.001) for human gingival fibroblasts and
osteoblasts. Only minor, nonsignificant differences between individual RBCs were
identified. HPLC analyses identified the release of three bifunctional
methacrylates bisphenol A glycerolate dimethacrylate, triethylene glycol
dimethacrylate, and diurethane dimethacrylate from RBCs and showed that monomer
release increased between 1 h and 1 day but remained low. The present findings
suggest that surface adhesion in the subgingival area is limited for the tested
RBCs. Although residual monomer release was low for all tested RBCs, it might be
sufficient to adversely affect cell adhesion.
PMID- 29375106
TI - Tricuspid Regurgitation Peak Gradient (TRPG)/Tricuspid Annulus Plane Systolic
Excursion (TAPSE) - A Novel Parameter for Stepwise Echocardiographic Risk
Stratification in Normotensive Patients With Acute Pulmonary Embolism.
AB - BACKGROUND: Patients with intermediate-risk acute pulmonary embolism (APE) are a
heterogeneous group with an early mortality rate of 2-15%. The tricuspid annulus
plane systolic excursion (TAPSE) and tricuspid regurgitation peak gradient (TRPG)
can be used for risk stratification, so we analyzed the prognostic value of a new
echo parameter (TRPG/TAPSE) for prediction of APE-related 30-day death or need
for rescue thrombolysis in initially normotensive APE patients.Methods and
Results:The study group consists of 400 non-high-risk APE patients (191 men, age:
63.1+/-18.9 years) who had undergone echocardiography within the first 24 h of
admission. The TRPG/TAPSE parameter was calculated. The clinical endpoint (CE)
was a combination of 30-day APE-related death and/or rescue thrombolysis. The CE
occurred in 8 (2%) patients. All patients with TAPSE >=20 mm (n=193, 48.2%) had a
good prognosis. Among 206 patients with TAPSE <20 mm, 8 cases of the CE occurred
(3.9%). NPV and PPV for TRPG/TAPSE >4.5 were 0.2 and 0.98, respectively. The CE
was significantly more frequent in 19 (9.2%) patients with TRPG/TAPSE >4.5 than
in 188 (90.8%) with TRPG/TAPSE <=4.5 (4 (21.1%) vs. 4 (2.1%), P=0.0005). Among
normotensive APE patients with TAPSE <20 mm, TRPG/TAPSE >4.5 was associated with
21.1% risk of APE-related death or rescue thrombolysis. CONCLUSIONS: TRPG/TAPSE,
a novel echocardiographic parameter, may be useful for stepwise echocardiographic
risk stratification in normotensive patients with APE, and it identifies patients
with a poor prognosis.
PMID- 29375107
TI - Three-Year Follow-up After the Great East Japan Earthquake in the Incidence of
Out-of-Hospital Cardiac Arrest With Cardiac Origin.
AB - BACKGROUND: We assessed whether the occurrence of out-of-hospital cardiac arrest
(OHCA) with cardiac origin increased in the disaster areas during the 3-year
period after the Great East Japan Earthquake (GEJE).Methods and Results:From the
OHCA registry in Japan, yearly changes in occurrence after the GEJE were assessed
by applying Poisson regression models. The risk ratio of the first year after the
earthquake was significantly greater in both men and women, but the difference
disappeared in the second and third years. CONCLUSIONS: The GEJE significantly
increased the occurrence of OHCA with cardiac origin in the first year after the
earthquake.
PMID- 29375108
TI - Efficacy and Safety of Figure-of-Eight Suture for Hemostasis After Radiofrequency
Catheter Ablation for Atrial Fibrillation.
AB - BACKGROUND: This study evaluated the safety and efficacy of venous figure-of
eight (FoE) suture to achieve femoral venous hemostasis after radiofrequency (RF)
catheter ablation (CA) for atrial fibrillation (AF).Methods and Results:We
retrospectively examined 517 consecutive patients undergoing RFCA for AF. The
control group (n=247) underwent manual compression for femoral venous hemostasis
after sheath removal with 6 h of bed rest. The FoE group (n=270) underwent FoE
suture technique with 4 h of bed rest. All patients achieved successful
hemostasis within 24 h after CA. Although the incidence of hematoma was similar
between the groups, the incidence of rebleeding was lower in the FoE group than
in the control group (FoE vs. control, 3.7% vs. 18.6%, P<0.001). The post
procedural use of analgesic and/or anti-emetic agents was less frequent in the
FoE group (19.3% vs. 32.0%, P<0.001). On multiple logistic regression analysis
after adjustment for age and sex, the use of a vitamin K antagonist (OR, 2.42;
95% CI: 1.18-4.99, P=0.02) and the FoE suture technique (OR, 0.17; 95% CI: 0.08
0.35, P<0.001) were independent predictors of rebleeding after CA. CONCLUSIONS:
FoE suture technique effectively achieved femoral venous hemostasis after RFCA
for AF. It reduced the risk of rebleeding, shortened bed rest duration, and
relieved patient discomfort.
PMID- 29375109
TI - Clinical Outcomes After Treatment with ELCA for In-Stent Restenosis of DES.
PMID- 29375110
TI - Pravastatin Decreases Infarct Size Induced by Coronary Artery
Ischemia/Reperfusion with Elevated eNOS Expression in Rats.
AB - Our previous study showed that pravastatin prevents ischemia and reperfusion
induced lethal ventricular fibrillation in rats. This study explored whether
pravastatin decreases myocardial infarct size and this effect is associated with
endothelial nitric oxide synthase (eNOS) expression in myocardium. Rats were
treated with ischemia (30 minutes) and reperfusion (60 minutes) after chronic
oral administration of pravastatin, fluvastatin, or vehicle once daily for 22
days. Electrocardiograms and blood pressure were continuously recorded,
myocardial infarct size was measured by TTC-staining, and eNOS expression was
measured by western blot. The results showed that pravastatin and fluvastatin
significantly reduced myocardial infarct size. No statistical differences were
found in the areas at risk among all groups. However, a significant reduction in
infarct size was observed in three pravastatin groups and one fluvastatin group
compared to control. Both pravastatin and fluvastatin significantly increased
eNOS protein expression in ischemic and non-ischemic tissues compared to control.
Our results suggest that pravastatin decreases cardiovascular mortality beyond
its cholesterol-lowering effect. Pravastatin is more potent than fluvastatin in
reducing infarct size. These effects may be associated with elevation of eNOS
expression.
PMID- 29375111
TI - Cardiac Nestin+ Cells Derived from Early Stage of Dilated Cardiomyopathy Enhanced
the Survival of the Doxorubicin-Injured Cardiac Muscle HL-1 Cells.
AB - Dilated cardiomyopathy (DCM), as one of the common cardiomyopathies, is a disease
of the heart muscle; however, the etiology and pathogenesis of DCM were still
poorly understood. Nestin has been reported a special marker of stem/progenitor
cells in various tissues, and the tissue resident Nestin+ cells could promote the
wound healing and tissue remodeling. However, it remains unclear whether Nestin+
cells participate in the protection of cardiomyocytes during the pathogenesis of
DCM. Here the model of mice DCM was induced by doxorubicin (DOX) intraperitoneal
injection and observed heart failure and ventricular enlargement via
echocardiography and histologic analysis, respectively. During DCM pathogenesis,
the number of Nestin+ cells showed a significant peak on day 6 after DOX
treatment, which then gradually decreases to lower than normal levels after day
30 in the total population of the heart. Furthermore, we found that the isolated
increased heart-derived Nestin+ cells are mesenchymal property and could protect
DOX-induced HL-1 cells toxicity in vitro by promoting their proliferation and
inhibiting their apoptosis. Collectively, our results showed that Nestin+ cells
increased during DCM pathogenesis and played an important role in protecting
against the DOX-induced HL-1 cells loss via regulating proliferation and
apoptosis. Thus, the loss of Nestin+ cells might be an etiology to DCM
pathogenesis, and these cells could be a promising candidate cell source for
study and treatment of DCM patients.
PMID- 29375112
TI - Coronary Artery Aneurysm Caused by a Stent Fracture.
AB - Coronary stent fracture (SF) is rare as a complication of percutaneous coronary
intervention (PCI), and its adverse events are increasingly being recognized with
the development in devices of PCI. The major adverse events caused by SFs are in
stent restenosis due to neointimal overgrowth caused by poor drug delivery.1,2) A
coronary artery aneurysm (CAA) is a rare complication of SF, but may lead to
lethal events such as acute coronary syndrome or rupture of the CAA further
leading to cardiac tamponade.3-5) However, the management of CAAs is
controversial with or without SF.6) Herein, we report a case of a CAA caused by
an SF and discuss the management of CAA complicated with SF, along with a
literature review. We suggest that surgical treatment should be considered the
higher-priority strategy in the cases of CAA with SF as compared to CAA without
SF.
PMID- 29375113
TI - Various Cardiac Abnormalities Caused by Bacterial Myocarditis.
AB - A 69-year-old woman without any past disease history was hospitalized for heart
failure. After hospitalization, she showed myocardial infarction,
atrioventricular dissociation, and cardiac dysfunction, and finally she passed
away despite intensive care. Autopsy revealed that the cardiac abnormalities were
due to bacterial myocarditis possibly resulting from urinary tract infection by
E. coli. Although bacterial myocarditis is rare in developed countries, we should
consider its possibility when patients show various cardiac abnormalities with
bacterial infection.
PMID- 29375114
TI - A Case of Left Atrial Myxoma Whose Initial Symptom Was Finger Ischemic Symptom.
AB - We experienced a 45-year-old Japanese man who was transferred to our hospital
complaining of acute onset of pain and pallor in the right lower limb. Two years
earlier, he had complained of repetitive pain at rest and pallor in the left
third and fourth fingers. The physical exam and angiography demonstrated
occlusion of finger arteries, however we could not reach final diagnosis. Acute
arterial occlusive disease in the right lower limb was suspected. Transthoracic
echocardiography demonstrated a gross tumor in the left atrium, which suggested
left atrial myxoma. An emergency tumorectomy was successfully conducted.
Pathologically, the fragile tumor and resultant thrombosis could have caused the
patient's peripheral circulatory failure at least two years prior to this
episode. A rigorous systemic survey is important even when the ischemic symptom
is localized in peripheral circulation.
PMID- 29375115
TI - Can Anti-inflammatory Therapy Prevent Atrial Fibrillation in Myocardial
Infarction Patients?
PMID- 29375116
TI - Toll-Like Receptors 2 and 4 Predict New-Onset Atrial Fibrillation in Acute
Myocardial Infarction Patients.
AB - Myocardial infarction (MI) can cause new-onset atrial fibrillation (AF) due to
cardiac remodeling. As a recent study has shown, inflammatory factors are closely
tied to cell death and survival in myocardial ischemia injury. Toll-like
receptors (TLRs) have been shown to participate in the process of myocardial
infarction as innate immune factors.The subjects were divided into 3 groups:
healthy controls (n = 82), MI patients (n = 84), and AFMI (new-onset atrial
fibrillation after myocardial infarction) patients (n = 85). Peripheral blood
mononuclear cell (PBMC) TLR mRNA expression was detected by rt-PCR. Western blot
was used to analyze PBMC TLRs and their downstream signal protein expression.
PBMCs were presented as TLR2 expression or TLR4 expression using flow
cytometry.From mRNA to protein detection, PBMC TLR2 and TLR4 were significantly
higher in the AFMI group than in the control group and MI group. A similar
tendency was also observed in the expression of downstream signaling proteins.
When further analyzed with TLR2 and TLR4 antibodies by flow cytometry, PBMC
levels also appeared to be higher in AFMI patients than those in MI patients and
the healthy control group.In our study, PBMC TLRs and their downstream signaling
proteins were significantly higher in the acute myocardial infarction patients
with new-onset atrial fibrillation compared with healthy people and acute
myocardial infarction patients without new-onset atrial fibrillation. They have
the potential to be novel biomarkers for new-onset atrial fibrillation after
acute myocardial infarction.
PMID- 29375117
TI - Tolvaptan Improves Prognosis in Responders with Acute Decompensated Heart Failure
by Reducing the Dose of Loop Diuretics.
AB - It is unknown whether a response to tolvaptan (TLV) is related to prognosis in
patients with acute decompensated heart failure (ADHF). We selected 25 patients
as responders by their urinary response to TLV and by reduction of loop diuretics
from 37 consecutive ADHF patients treated with TLV. As a control group, we
selected 25 patients from 100 consecutive ADHF patients who were not treated with
TLV by propensity score matching for age, serum sodium level, serum creatinine
level, plasma B-type natriuretic peptide (BNP) level, systolic blood pressure,
heart rate, and dose of loop diuretics. The primary outcome was defined as a
composite endpoint of mortality and/or hemodialysis. The amount of loop diuretics
administered to responders was reduced by TLV from 68.8 +/- 26.2 mg to 30.4 +/-
18.6 mg of furosemide equivalents per day, whereas the loop diuretic dose
administered to non-responders was increased. The event-free survival of the TLV
responders during 20 months was significantly better than that of the control
group (95.8% versus 68.4%, P = 0.0406). The TLV responders, plasma BNP level, and
estimated glomerular filtration rate were significantly related to the events in
the Cox proportional hazard analysis. Patients with ADHF who respond to TLV may
have a better prognosis than propensity-matched patients not receiving TLV
treatment. In TLV responders, it may be possible to improve the patient's
prognosis if the dose of loop diuretics can be reduced with TLV therapy.
PMID- 29375118
TI - Comparison of Swirl Sign and Black Hole Sign in Predicting Early Hematoma Growth
in Patients with Spontaneous Intracerebral Hemorrhage.
AB - BACKGROUND Early hematoma growth is associated with poor outcome in patients with
spontaneous intracerebral hemorrhage (ICH). The swirl sign (SS) and the black
hole sign (BHS) are imaging markers in ICH patients. The aim of this study was to
compare the predictive value of these 2 signs for early hematoma growth. MATERIAL
AND METHODS ICH patients were screened for the appearance of the 2 signs within 6
h after onset of symptoms. The sensitivity, specificity, positive predictive
value (PPV), and negative predictive value (NPV) of the 2 signs in predicting
early hematoma growth were assessed. The accuracy of the 2 signs in predicting
early hematoma growth was analyzed by receiver-operator analysis. RESULTS A total
of 200 patients were enrolled in this study. BHS was found in 30 (15%) patients,
and SS was found in 70 (35%) patients. Of the 71 patients with early hematoma
growth, BHS was found on initial computed tomography scans in 24 (33.8%) and SS
in 33 (46.5%). The sensitivity, specificity, PPV, and NPV of BHS for predicting
early hematoma growth were 33.8%, 95.3%, 80.0%, and 72.0%, respectively. The
sensitivity, specificity, PPV, and NPV of SS were 46.5%, 71.3%, 47.0%, and 71.0%,
respectively. The area under the curve was 0.646 for BHS and 0.589 for SS
(P=0.08). Multivariate logistic regression showed that presence of BHS is an
independent predictor of early hematoma growth. CONCLUSIONS The Black hole sign
seems to be good predictor for hematoma growth. The presence of swirl sign on
admission CT does not independently predict hematoma growth in patients with ICH.
PMID- 29375119
TI - Massive Rhabdomyolysis; A Rare Cause of Hepatocellular Dysfunction.
AB - BACKGROUND Rhabdomyolysis syndrome is a rare surgical complication. It is
infrequently reported in prolonged operations under lateral decubitus position.
This syndrome mainly impacts kidney function and electrolytes levels; liver is
another organ that is uncommonly affected. CASE REPORT A 54-year-old male
underwent a partial hepatectomy in the supine position, the procedure lasted
three hours. After five days of uneventful recovery from surgery, he was
readmitted to the hospital with rhabdomyolysis syndrome involving his lower
limbs. No predisposing factors other than surgery could be identified. Based on
blood tests, the only affected organ was the liver. Upon aggressive hydration,
the creatinine kinase, hepatic enzymes, bilirubin levels, and prothrombin time
were normalized. The patient regained normal physical strength over the next few
weeks. CONCLUSIONS Liver dysfunction secondary to rhabdomyolysis is rare but
should be considered when other causes are excluded. Prothrombin time, bilirubin
levels and albumin levels may help to identify concomitant liver damage.
Rhabdomyolysis is rarely reported in liver resection surgeries.
PMID- 29375120
TI - The structure, expression, and multifaceted role of immune-checkpoint protein
VISTA as a critical regulator of anti-tumor immunity, autoimmunity, and
inflammation.
AB - Among various immunoregulatory molecules, the B7 family of immune-checkpoint
receptors consists of highly valuable targets for cancer immunotherapy.
Antibodies targeting two B7 family co-inhibitory receptors, CTLA-4 and PD-1, have
elicited long-term clinical outcomes in previously refractory cancer types and
are considered a breakthrough in cancer therapy. Despite the success, the
relatively low response rate (20-30%) warrants efforts to identify and overcome
additional immune-suppressive pathways. Among the expanding list of T cell
inhibitory regulators, V domain immunoglobulin suppressor of T cell activation
(VISTA) is a unique B7 family checkpoint that regulates a broad spectrum of
immune responses. Here, we summarize recent advances that highlight the
structure, expression, and multi-faceted immunomodulatory mechanisms of VISTA in
the context of autoimmunity, inflammation, and anti-tumor immunity.
PMID- 29375122
TI - AIM associated with the IgM pentamer: attackers on stand-by at aircraft carrier.
AB - Circulating immunoglobulin M (IgM) exists in a pentameric form, possessing a
polyreactive nature that responds not only to foreign antigens but also to
autoantigens; thus, it is involved in both beneficial and detrimental immune
responses, including protection from infection and the progression of
autoimmunity. On the other hand, IgM also behaves as a carrier of the apoptosis
inhibitor of macrophage (AIM) protein, storing a large amount of the inactivated
form of AIM in the blood through this association. Under different disease
conditions, AIM can dissociate from IgM locally or systemically to exert its
function, inducing the removal of various biological debris such as excess fat,
bacteria, cancer cells or dead cell debris. Most typically, upon induction of
acute kidney injury (AKI), IgM-free AIM is filtered by the glomerulus in the
kidney, which stimulates the clearance of intraluminal dead cells debris at the
obstructed proximal tubules, thereby facilitating the repair of kidney injury.
Interestingly, cats exhibit a deficiency in AIM release from IgM, which may
increase their susceptibility to renal failure. Conversely, association with AIM
inhibits IgM binding to the Fcalpha/MU receptor on follicular dendritic cells at
the splenic germinal center, thereby protecting the IgM immune complex from
Fcalpha/MU receptor-mediated internalization, which supports IgM-dependent
antigen presentation to B cells and stimulates high-affinity IgG antibody
production. The regulation of AIM-IgM binding, resulting from the discovery of
reciprocal actions between AIM and IgM, could lead to the development of novel
therapies against different diseases.
PMID- 29375125
TI - Inhibition of neddylation ameliorates DSS-induced colitis.
PMID- 29375121
TI - Guillain-Barre syndrome, transverse myelitis and infectious diseases.
AB - Guillain-Barre syndrome (GBS) and transverse myelitis (TM) both represent
immunologically mediated polyneuropathies of major clinical importance. Both are
thought to have a genetic predisposition, but as of yet no specific genetic risk
loci have been clearly defined. Both are considered autoimmune, but again the
etiologies remain enigmatic. Both may be induced via molecular mimicry,
particularly from infectious agents and vaccines, but clearly host factor and co
founding host responses will modulate disease susceptibility and natural history.
GBS is an acute inflammatory immune-mediated polyradiculoneuropathy characterized
by tingling, progressive weakness, autonomic dysfunction, and pain. Immune injury
specifically takes place at the myelin sheath and related Schwann-cell components
in acute inflammatory demyelinating polyneuropathy, whereas in acute motor axonal
neuropathy membranes on the nerve axon (the axolemma) are the primary target for
immune-related injury. Outbreaks of GBS have been reported, most frequently
related to Campylobacter jejuni infection, however, other agents such as Zika
Virus have been strongly associated. Patients with GBS related to infections
frequently produce antibodies against human peripheral nerve gangliosides. In
contrast, TM is an inflammatory disorder characterized by acute or subacute
motor, sensory, and autonomic spinal cord dysfunction. There is interruption of
ascending and descending neuroanatomical pathways on the transverse plane of the
spinal cord similar to GBS. It has been suggested to be triggered by infectious
agents and molecular mimicry. In this review, we will focus on the putative role
of infectious agents as triggering factors of GBS and TM.
PMID- 29375123
TI - The chemokine MCP-1 (CCL2) in the host interaction with cancer: a foe or ally?
AB - Macrophages are one of the most abundant leukocyte populations infiltrating tumor
tissues and can exhibit both tumoricidal and tumor-promoting activities. In 1989,
we reported the purification of monocyte chemoattractant protein-1 (MCP-1) from
culture supernatants of mitogen-activated peripheral blood mononuclear cells and
tumor cells. MCP-1 is a potent monocyte-attracting chemokine, identical to the
previously described lymphocyte-derived chemotactic factor or tumor-derived
chemotactic factor, and greatly contributes to the recruitment of blood monocytes
into sites of inflammatory responses and tumors. Because in vitro-cultured tumor
cells often produce significant amounts of MCP-1, tumor cells are considered to
be the main source of MCP-1. However, various non-tumor cells in the tumor stroma
also produce MCP-1 in response to stimuli. Studies performed in vitro and in vivo
have provided evidence that MCP-1 production in tumors is a consequence of
complex interactions between tumor cells and non-tumor cells and that both tumor
cells and non-tumor cells contribute to the production of MCP-1. Although MCP-1
production was once considered to be a part of host defense against tumors, it is
now believed to regulate the vicious cycle between tumor cells and macrophages
that promotes the progression of tumors.
PMID- 29375124
TI - IDO1 in cancer: a Gemini of immune checkpoints.
AB - Indoleamine 2, 3-dioxygenase 1 (IDO1) is a rate-limiting metabolic enzyme that
converts the essential amino acid tryptophan (Trp) into downstream catabolites
known as kynurenines. Coincidently, numerous studies have demonstrated that IDO1
is highly expressed in multiple types of human cancer. Preclinical studies have
further introduced an interesting paradox: while single-agent treatment with IDO1
enzyme inhibitor has a negligible effect on decreasing the established cancer
burden, approaches combining select therapies with IDO1 blockade tend to yield a
synergistic benefit against tumor growth and/or animal subject survival. Given
the high expression of IDO1 among multiple cancer types along with the lack of
monotherapeutic efficacy, these data suggest that there is a more complex
mechanism of action than previously appreciated. Similar to the dual faces of the
astrological Gemini, we highlight the multiple roles of IDO1 and review its
canonical association with IDO1-dependent tryptophan metabolism, as well as
documented evidence confirming the dispensability of enzyme activity for its
immunosuppressive effects. The gene transcript levels for IDO1 highlight its
strong association with T-cell infiltration, but the lack of a universal
prognostic significance among all cancer subtypes. Finally, ongoing clinical
trials are discussed with consideration of IDO1-targeting strategies that enhance
the efficacy of immunotherapy for cancer patients.
PMID- 29375127
TI - Proteomic analysis reveals distinctive protein profiles involved in CD8+ T cell
mediated murine autoimmune cholangitis.
AB - Autoimmune cholangitis arises from abnormal innate and adaptive immune responses
in the liver, and T cells are critical drivers in this process. However, little
is known about the regulation of their functional behavior during disease
development. We previously reported that mice with T cell-restricted expression
of a dominant negative form of transforming growth factor beta receptor type II
(dnTGFbetaRII) spontaneously develop an autoimmune cholangitis that resembles
human primary biliary cholangitis (PBC). Adoptive transfer of CD8+ but not CD4+ T
cells into Rag1-/- mice reproduced the disease, demonstrating a critical role for
CD8+ T cells in PBC pathogenesis. Herein, we used SOMAscan technology to perform
proteomic analysis of serum samples from dnTGFbetaRII and B6 control mice at
different ages. In addition, we analyzed CD8 protein profiles after adoptive
transfer of splenic CD8+ cells into Rag1-/- recipients. The use of the unique
SOMAscan aptamer technology revealed critical and distinct profiles of CD8 cells,
which are key to biliary mediation. In total, 254 proteins were significantly
increased while 216 proteins were significantly decreased in recipient hepatic
CD8+ cells compared to donor splenic CD8+ cells. In contrast to donor splenic
CD8+ cells, recipient hepatic CD8+ cells expressed distinct profiles for proteins
involved in chemokine signaling, focal adhesion, T cell receptor and natural
killer cell-mediated cytotoxicity pathways.
PMID- 29375130
TI - CD8+CD28- T cells: not only age-related cells but a subset of regulatory T cells.
PMID- 29375126
TI - Chemokines in homeostasis and diseases.
AB - For the past twenty years, chemokines have emerged as a family of critical
mediators of cell migration during immune surveillance, development, inflammation
and cancer progression. Chemokines bind to seven transmembrane G protein-coupled
receptors (GPCRs) that are expressed by a wide variety of cell types and cause
conformational changes in trimeric G proteins that trigger the intracellular
signaling pathways necessary for cell movement and activation. Although
chemokines have evolved to benefit the host, inappropriate regulation or
utilization of these small proteins may contribute to or even cause diseases.
Therefore, understanding the role of chemokines and their GPCRs in the complex
physiological and diseased microenvironment is important for the identification
of novel therapeutic targets. This review introduces the functional array and
signals of multiple chemokine GPCRs in guiding leukocyte trafficking as well as
their roles in homeostasis, inflammation, immune responses and cancer.
PMID- 29375129
TI - Immunological roulette: Luck or something more? Considering the connections
between host and environment in TB.
AB - Accurate prediction of which patient will progress from a sub-clinical
Mycobacterium tuberculosis infection to active tuberculosis represents an
elusive, yet critical, clinical research objective. From the individual
perspective, progression can be considered to be the product of a series of
unfortunate events or even a run of bad luck. Here, we identify the subtle
physiological relationships that can influence the odds of progression to active
TB and how this progression may reflect directed dysbiosis in a number of
interrelated systems. Most infected individuals who progress to disease have
apparently good immune responses, but these responses are, at times, compromised
by either local or systemic environmental factors. Obvious disease promoting
processes, such as tissue-damaging granulomata, usually manifest in the lung, but
illness is systemic. This apparent dichotomy between local and systemic reflects
a clear need to define the factors that promote progression to active disease
within the context of the body as a physiological whole. We discuss aspects of
the host environment that can impact expression of immunity, including the
microbiome, glucocorticoid-mediated regulation, catecholamines and interaction
between the gut, liver and lung. We suggest the importance of integrating
precision medicine into our analyses of experimental outcomes such that
apparently conflicting results are not contentious, but rather reflect the impact
of these subtle relationships with our environment and microbiota.
PMID- 29375128
TI - Epigenetic regulation in B-cell maturation and its dysregulation in autoimmunity.
AB - B cells have a critical role in the initiation and acceleration of autoimmune
diseases, especially those mediated by autoantibodies. In the peripheral lymphoid
system, mature B cells are activated by self or/and foreign antigens and signals
from helper T cells for differentiating into either memory B cells or antibody
producing plasma cells. Accumulating evidence has shown that epigenetic
regulations modulate somatic hypermutation and class switch DNA recombination
during B-cell activation and differentiation. Any abnormalities in these complex
regulatory processes may contribute to aberrant antibody production, resulting in
autoimmune pathogenesis such as systemic lupus erythematosus. Newly generated
knowledge from advanced modern technologies such as next-generation sequencing,
single-cell sequencing and DNA methylation sequencing has enabled us to better
understand B-cell biology and its role in autoimmune development. Thus this
review aims to summarize current research progress in epigenetic modifications
contributing to B-cell activation and differentiation, especially under
autoimmune conditions such as lupus, rheumatoid arthritis and type 1 diabetes.
PMID- 29375132
TI - Stimulation of TNF receptor type 2 expands regulatory T cells and ameliorates
established collagen-induced arthritis in mice.
AB - Tumor necrosis factor (TNF) and its receptors TNF receptor type 1 (TNFR1) and
type 2 (TNFR2) have a central role in chronic inflammatory diseases. While TNFR1
mainly confers inflammation, activation of TNFR2 elicits not only pro
inflammatory but also anti-inflammatory effects. In this study, we wanted to
investigate the anti-inflammatory therapeutic potential of selective activation
of TNFR2 in mice with established collagen-induced arthritis. Mice with
established arthritis induced by immunization with bovine collagen type II were
treated with six injections of the TNFR2-specific agonist TNCscTNF80, given every
second day. Two days after treatment cessation, the cell compositions of bone
marrow, spleen and lymph nodes were analyzed. Mice were visually scored until day
30 after the start of therapy and the degree of joint inflammation was determined
by histology. Treatment with TNCscTNF80 increased arthritis-induced myelopoiesis.
Little effect was seen on the infiltration rate of inflammatory immature myeloid
cells and on the reduction of lymphoid cells in secondary lymphoid organs. Upon
treatment, frequency of regulatory T (Treg) cells in the CD4+ T-cell population
was increased in both spleen and inguinal lymph nodes. In addition, the
expression of TNFR2 on Treg cells was enhanced. The clinical score started to
improve 1 week after cessation treatment and remained lower 30 days after
initiation of therapy. The histological score also revealed amelioration of joint
inflammation in TNCscTNF80-treated versus control mice. Activation of TNFR2 might
provide a suitable therapeutic strategy in autoimmune arthritis by increasing the
numbers of regulatory cell types, in particular Treg cells, and by attenuation of
arthritis.Cellular and Molecular Immunology advance online publication, 29
January 2018; doi:10.1038/cmi.2017.138.
PMID- 29375134
TI - Biography of Professor Nicolaou: a journey to the extremes of molecular
complexity.
PMID- 29375131
TI - A modified HLA-A*0201-restricted CTL epitope from human oncoprotein (hPEBP4)
induces more efficient antitumor responses.
AB - We previously identified human phosphatidylethanolamine-binding protein 4
(hPEBP4) as an antiapoptotic protein with increased expression levels in breast,
ovarian and prostate cancer cells, but low expression levels in normal tissues,
which makes hPEBP4 an attractive target for immunotherapy. Here, we developed
hPEBP4-derived immunogenic peptides for inducing antigen-specific cytotoxic T
lymphocytes (CTLs) targeting breast cancer. A panel of hPEBP4-derived peptides
predicted by peptide-MHC-binding algorithms was evaluated to characterize their
HLA-A2.1 affinity and immunogenicity. We identified a novel immunogenic peptide,
P40-48 (TLFCQGLEV), that was capable of eliciting specific CTL responses in HLA
A2.1/Kb transgenic mice, as well as in peripheral blood lymphocytes from breast
cancer patients. Furthermore, amino-acid substitutions in the P40-48 sequence
improved its immunogenicity against hPEBP4, a self-antigen, thus circumventing
tolerance. We designed peptide analogs by preferred auxiliary HLA-A*0201 anchor
residue replacement, which induced CTLs that were crossreactive to the native
peptide. Several analogs were able to stably bind to HLA-A*0201 and elicit
specific CTL responses better than the native sequence. Importantly, adoptive
transfer of CTLs induced by vaccination with two analogs more effectively
inhibited tumor growth than the native peptide. These data indicate that peptide
analogs with high immunogenicity represent promising candidates for peptide
mediated therapeutic cancer vaccines.
PMID- 29375133
TI - Tumor necrosis factor: The key to hepatitis B viral clearance.
PMID- 29375135
TI - Total synthesis of (+)-lysergic acid.
AB - A total synthesis of (+)-lysergic acid, which features the C-C bond formation
between C10 and C11 via cleavage of an aziridine ring, was accomplished.
PMID- 29375137
TI - Professor KC Nicolaou expanded the world of synthetic organic chemistry by total
synthesis and his laboratories have fostered many talented researchers.
PMID- 29375136
TI - Corrigendum: Total synthesis and absolute configuration of avenolide,
extracellular factor in Streptomyces avermitilis.
AB - This corrects the article DOI: 10.1038/ja.2011.90.
PMID- 29375138
TI - Corrigendum: Microbial metabolites and derivatives targeted at inflammation and
bone diseases therapy: chemistry, biological activity and pharmacology.
AB - This corrects the article DOI: 10.1038/ja.2017.138.
PMID- 29375140
TI - The market for chimeric antigen receptor T cell therapies.
PMID- 29375141
TI - Reperfusion after pulmonary embolism - long-term follow-up, risk factors,
clinical impact.
AB - BACKGROUND AND AIM: Thromboembolic disease is the third most common
cardiovascular disorder and deep vein thrombosis carries the risk of pulmonary
embolism (PE). Questions related to reperfusion after PE remain, especially risk
factors. Incomplete reperfusion after PE is closely related to the development of
chronic thromboembolic pulmonary hypertension. The aim of this study was to
determine the relation between reperfusion after PE in the long term over a
period of 24 months, laboratory results and clinical risk factors found during
the initial PE event. PATIENTS AND METHODS: 85 consecutive patients with a first
episode of acute PE, diagnosed at 4 cardiology clinics, were followed up using
clinical evaluation, scintigraphy and echocardiography (6, 12 and 24 months after
the PE. 35 patients were in the low risk category (41%), 42 (49%) in the
intermediate risk group and 8 (9%) in the high risk category. RESULTS: Perfusion
defects persisted in 20 patients (26%) after 6 months, in 19 patients (25%) after
12 months and in 14 patients (19%) after 24 months. The incidence was more
frequent in older patients, with more serious (higher risk) PE, increased right
ventricular internal diameter during the initial episode, and more significant
tricuspid insufficiency in the initial echocardiography. Notably, higher
hemoglobin levels were also shown as a significant risk factor. The presence of
perfusion defects after 24 months correlated with a concurrent higher pulmonary
pressure but not with either patient function or adverse events (recurrence of
PE, re-hospitalization or bleeding). In 3 cases (4% of patients), long-term
echocardiographic evidence of pulmonary hypertension was detected. CONCLUSION:
Even after 24 months from acute PE with adequate anticoagulation treatment,
incomplete reperfusion was found in 19% of patients with a corresponding risk of
chronic thromboembolic pulmonary disease and hypertension.
PMID- 29375142
TI - A SEARCH FOR LOST PLANETS IN THE KEPLER MULTI-PLANET SYSTEMS AND THE DISCOVERY OF
A LONG PERIOD, NEPTUNE-SIZED EXOPLANET KEPLER-150 F.
AB - The vast majority of the 4700 confirmed planets and planet candidates discovered
by the Kepler space telescope were first found by the Kepler pipeline. In the
pipeline, after a transit signal is found, all data points associated with those
transits are removed, creating a "Swiss cheese"-like light curve full of holes,
which is then used for subsequent transit searches. These holes could render an
additional planet undetectable (or "lost"). We examine a sample of 114 stars with
3+ confirmed planets to see the effect that this "Swiss cheesing" may have. A
simulation determined that the probability that a transiting planet is lost due
to the transit masking is low, but non-neglible, reaching a plateau at ~3.3% lost
in the period range of P = 400 - 500 days. We then model the transits in all
quarters of each star and subtract out the transit signals, restoring the in
transit data points, and use the Kepler pipeline to search the transit-subtracted
(i.e., transit-cleaned) light curves. However, the pipeline did not discover any
credible new transit signals. This demonstrates the validity and robustness of
the Kepler pipeline's choice to use transit masking over transit subtraction.
However, a follow-up visual search through all the transit-subtracted data, which
allows for easier visual identification of new transits, revealed the existence
of a new, Neptune-sized exoplanet. Kepler-150 f (P = 637.2 days, RP = 3.86 R?) is
confirmed using a combination of false positive probability analysis, transit
duration analysis, and the planet multiplicity argument.
PMID- 29375143
TI - Intergenerational Exchanges in Mexico: Types and Intensity of Support.
AB - This article analyses exchanges of support between the elderly and adult
generations and by gender, based on data from the United Nations household survey
in Mexico City (SABE, 2000), and the National Study of Ageing and Health (ENASEM,
2001). Results indicate that in Mexico both generations - elderly parents and
adult children - provide support, such as money, services, care or gifts for
grandchildren, according to gender roles and the generation's resources. Men
provide monetary support and reproduce their role as family providers, but this
role depends on having an income from work and, in later years, a pension, a more
common situation among men than among women. Women develop their female domestic
role as caregivers. They do not have a formal income, but receive informal
economic support and offer services and care to their relatives, reproducing
their invisible and unpaid work during their life course. Both types of support
are widely exchanged between elderly parents and adult children and children-in
law.
PMID- 29375139
TI - Post-exposure treatments for Ebola and Marburg virus infections.
AB - The filoviruses - Ebola virus and Marburg virus - cause lethal haemorrhagic fever
in humans and non-human primates (NHPs). Filoviruses present a global health
threat both as naturally acquired diseases and as potential agents of
bioterrorism. In the recent 2013-2016 outbreak of Ebola virus, the most promising
therapies for post-exposure use with demonstrated efficacy in the gold-standard
NHP models of filovirus disease were unable to show statistically significant
protection in patients infected with Ebola virus. This Review briefly discusses
these failures and what has been learned from these experiences, and summarizes
the current status of post-exposure medical countermeasures in development,
including antibodies, small interfering RNA and small molecules. We outline how
our current knowledge could be applied to the identification of novel
interventions and ways to use interventions more effectively.
PMID- 29375145
TI - Is Breast Surgery Necessary for Breast Carcinoma in Complete Remission Following
Neoadjuvant Chemotherapy?
AB - The likelihood of pathological complete remission (pCR) of breast cancer
following neoadjuvant chemotherapy (NACT) is increasing; most of all in the
triple negative and HER2 positive tumour subgroups. The question thus arises
whether or not breast surgery is necessary when there is complete remission after
NACT, and whether it provides any improvement of the oncological treatment result
when tumour is no longer detectable. Avoiding surgery and possibly even
radiotherapy would only be conceivable on the basis of a reliable diagnosis of
pCR without operating. Current imaging does not achieve the necessary sensitivity
and specificity to assure the diagnosis of pathological complete remission.
Further studies are therefore required to determine which methods are best able
to evaluate tumour response to NACT. Studies on image-guided, minimally invasive
biopsies after NACT have delivered first promising results towards diagnosing pCR
before surgery and could provide the basis for further studies on the possibility
of avoiding surgery in this specific patient collective.
PMID- 29375144
TI - Intrauterine Growth Restriction. Guideline of the German Society of Gynecology
and Obstetrics (S2k-Level, AWMF Registry No. 015/080, October 2016).
AB - Aims: The aim of this official guideline published and coordinated by the German
Society of Gynecology and Obstetrics (DGGG) was to provide consensus-based
recommendations obtained by evaluating the relevant literature for the diagnostic
treatment and management of women with fetal growth restriction. Methods: This
S2k guideline represents the structured consensus of a representative panel of
experts with a range of different professional backgrounds commissioned by the
Guideline Committee of the DGGG. Recommendations: Recommendations for diagnostic
treatment, management, counselling, prophylaxis and screening are presented.
PMID- 29375146
TI - Role of Indocyanine Green in Fluorescence Imaging with Near-Infrared Light to
Identify Sentinel Lymph Nodes, Lymphatic Vessels and Pathways Prior to Surgery -
A Critical Evaluation of Options.
AB - Modern surgical strategies aim to reduce trauma by using functional imaging to
improve surgical outcomes. This reviews considers and evaluates the importance of
the fluorescent dye indocyanine green (ICG) to visualize lymph nodes, lymphatic
pathways and vessels and tissue borders in an interdisciplinary setting. The work
is based on a selective search of the literature in PubMed, Scopus, and Google
Scholar and the authors' own clinical experience. Because of its simple,
radiation-free and uncomplicated application, ICG has become an important
clinical indicator in recent years. In oncologic surgery ICG is used extensively
to identify sentinel lymph nodes with promising results. In some studies, the
detection rates with ICG have been better than the rates obtained with
established procedures. When ICG is used for visualization and the quantification
of tissue perfusion, it can lead to fewer cases of anastomotic insufficiency or
transplant necrosis. The use of ICG for the imaging of organ borders, flap plasty
borders and postoperative vascularization has also been scientifically evaluated.
Combining the easily applied ICG dye with technical options for intraoperative
and interventional visualization has the potential to create new functional
imaging procedures which, in future, could expand or even replace existing
established surgical techniques, particularly the techniques used for sentinel
lymph node and anastomosis imaging.
PMID- 29375147
TI - Letrozole vs. Placebo Pretreatment in the Medical Management of First Trimester
Missed Miscarriage: a Randomized Controlled Trial.
AB - Introduction: Misoprostol is used for the medical management of miscarriage as it
is more effective in the early stages of pregnancy. Letrozole has an anti
estrogen effect and is used for the pretreatment of miscarriage with misoprostol.
Aim: The aim of this study was compare the efficacy and safety of letrozole with
placebo pretreatment in the medical management of first trimester missed
miscarriage. Design: This was a prospective randomized case-control study.
Patients and Methods: Four hundred and thirty-eight women were randomly divided
into two groups of 219; the placebo group received placebo tablets twice daily
for 3 days, followed by 800 micrograms of misoprostol vaginally on the fourth day
of enrolment, while the letrozole group received letrozole 10 mg twice daily for
three days followed by 800 micrograms misoprostol administered vaginally.
Symptoms and side effects were recorded, and the women advised to return to
hospital if they experienced severe pain or bleeding or intolerable side effects
and to report to hospital for a check-up one week after misoprostol
administration. Ultrasound was done seven days after misoprostol administration
to monitor outcomes. Surgical evacuation was carried out if medical management
failed. Results: There were significant differences between the two groups, with
better outcomes found for the letrozole group in terms of rates of complete
miscarriage, onset of vaginal bleeding, and interval between induction and onset
of expulsion (p < 0.001). A higher rate of nausea and vomiting was reported for
the letrozole group (p = 0.002). Differences between groups with regard to pre-
and post-termination hemoglobin levels, fever, severe pain and severe bleeding
needing evacuation were not statistically significant. Conclusion: Adding
letrozole to misoprostol improves the success rate and decreases the interval
between induction and expulsion in cases of first trimester miscarriage; however,
nausea and vomiting is higher with letrozole.
PMID- 29375148
TI - Comparison of Four Methods of Treating Ectopic Pregnancy: A Retrospective Cohort
Study.
AB - Objective: To compare the results of expectant management, single and multidose
methotrexate (MTX) and surgical management of ectopic pregnancy (EP). Materials
and Methods: In this retrospective cohort study, the original files of 233
patients who were treated for EP between May 2009 and December 2016 were
analyzed. The patients were assigned to the following groups based on the applied
treatment methods: Group 1, expectant management (n = 24), Group 2, single-dose
MTX (n = 144), Group 3, multiple-dose MTX (n = 25), and Group 4, surgical
intervention (n = 40). The following parameters were recorded and assessed:
sociodemographic characteristics, pelvic ultrasonography findings (gestational
sac, ectopic mass appearance, positive fetal cardiac activity), serum beta-human
chorionic gonadotropin (beta-hCG) levels on Day 0, Day 4, and Day 7, and surgical
procedures in women that underwent surgical interventions. Results: The
sociodemographic characteristics were similar in all four groups. The percentage
of ectopic mass and positive fetal cardiac activity was greater and the diameter
of the mass was larger in Group 4 than in the other groups. The beta-hCG values
on Day 0, Day 4, and Day 7 were statistically different between the groups (p <
0.001). The cutoff value for the beta-hCG change for EP resolution was 18%
between Day 0 and Day 4 (AUC = 0.726, p < 0.001) and 15% between Day 4 and Day 7
(AUC = 0.874, p < 0.001). The probability of the requirement for an additional
dose of MTX was 0.78 (95% CI 0.71 - 0.87; p < 0.001) times lower in patients who
had a > 18% decrease in beta-hCG levels from Day 0 to Day 4 in comparison to
those who had a decrease < 18% from Day 0 to Day 4. The probability of the
requirement for an additional dose of MTX was 1.64 (95% CI 1.25 - 2.16; p <
0.001) times greater in patients whose reduction in beta-hCG levels from Day 4 to
Day 7 was < 15% in comparison to those who had > 15% reduction from Day 4 to Day
7. Conclusions: Additional dose requirements for patients with EP may be
predicted early in the changes in beta-hCG levels between Day 0 and Day 4.
Further prospective studies are required to elucidate this issue.
PMID- 29375150
TI - Local field effect on charge-capture/emission dynamics.
AB - Charge-capture/emission is ubiquitous in electron devices. Its dynamics often
play critical roles in device operation and reliability. Treatment of this basic
process is found in many text books and is considered well understood. As in many
electron device models, the individuality of immobile charge is commonly replaced
with the average quantity of charge density. This has worked remarkably well when
large numbers of individual charges (ensemble) are involved. As device geometries
become very small, the ensemble "averaging" becomes far less accurate. In this
work, the charge-capture/emission dynamic of Metal-Oxide-Semiconductor-Field
Effect-Transistor (MOSFET) is re-examined with full consideration of individual
charges and the local field in their immediate vicinity. A dramatic modification
of the local band diagram resulted, forcing a drastic change in emission
mechanism. The implication is that many well-understood phenomena involving
charge capture/emission will need to be reconsidered. As an example, this new
picture is applied to the random telegraph noise (RTN) phenomenon. When the
screening of a trapped charge by a polar medium such as SiO2 is quantitatively
accounted for in this local field picture, a new physically sound RTN emission
mechanism emerges. Similarly, the dynamics of post-stress recovery of Negative
Bias-Instability of p-channel MOSFET can be more rationally explained.
PMID- 29375149
TI - Smoking Decreases Endometrial Thickness in IVF/ICSI Patients.
AB - Introduction: Smoking is a serious problem for the health care system. Many of
the compounds identified in cigarette smoke have toxic effects on the fertility
of both females and males. The purpose of this study was to determine whether
smoking affects clinical factors during IVF/ICSI therapy in a single-center
reproductive unit. Material and Methods: In a retrospective study of 200 IVF/ICSI
cycles, endometrial thickness and the outcome of IVF/ICSI therapy were analyzed.
Results: Endometrial thickness was significantly lower in smoking patients than
in non-smoking patients (10.4 +/- 1.5 mm vs. 11.6 +/- 1.8 mm). Age was
significantly higher in women who failed to conceive. The total dose of
gonadotropins administered was significantly lower in pregnant patients and the
highest pregnancy rate was achieved with an rFSH protocol. BMI and number of
cigarettes smoked did not influence treatment outcomes in this study. Conclusion:
We showed that smoking has a negative effect on endometrial thickness on the day
of embryo transfer. This may help to further explain the detrimental influence of
tobacco smoke on implantation and pregnancy rates during assisted reproduction
therapy.
PMID- 29375151
TI - Compression for Quadratic Similarity Queries.
AB - The problem of performing similarity queries on compressed data is considered. We
focus on the quadratic similarity measure, and study the fundamental tradeoff
between compression rate, sequence length, and reliability of queries performed
on the compressed data. For a Gaussian source, we show that the queries can be
answered reliably if and only if the compression rate exceeds a given threshold
the identification rate- which we explicitly characterize. Moreover, when
compression is performed at a rate greater than the identification rate,
responses to queries on the compressed data can be made exponentially reliable.
We give a complete characterization of this exponent, which is analogous to the
error and excess-distortion exponents in channel and source coding, respectively.
For a general source, we prove that, as with classical compression, the Gaussian
source requires the largest compression rate among sources with a given variance.
Moreover, a robust scheme is described that attains this maximal rate for any
source distribution.
PMID- 29375152
TI - Minimax Estimation of Functionals of Discrete Distributions.
AB - We propose a general methodology for the construction and analysis of essentially
minimax estimators for a wide class of functionals of finite dimensional
parameters, and elaborate on the case of discrete distributions, where the
support size S is unknown and may be comparable with or even much larger than the
number of observations n. We treat the respective regions where the functional is
nonsmooth and smooth separately. In the nonsmooth regime, we apply an unbiased
estimator for the best polynomial approximation of the functional whereas, in the
smooth regime, we apply a bias-corrected version of the maximum likelihood
estimator (MLE). We illustrate the merit of this approach by thoroughly analyzing
the performance of the resulting schemes for estimating two important information
measures: 1) the entropy [Formula: see text] and 2) [Formula: see text], alpha >
0. We obtain the minimax L2 rates for estimating these functionals. In
particular, we demonstrate that our estimator achieves the optimal sample
complexity n ? S/ln S for entropy estimation. We also demonstrate that the sample
complexity for estimating Falpha (P), 0 < alpha < 1, is n ? S1/alpha /ln S, which
can be achieved by our estimator but not the MLE. For 1 < alpha < 3/2, we show
the minimax L2 rate for estimating Falpha (P) is (n ln n)-2(alpha-1) for infinite
support size, while the maximum L2 rate for the MLE is n-2(alpha-1). For all the
above cases, the behavior of the minimax rate-optimal estimators with n samples
is essentially that of the MLE (plug-in rule) with n ln n samples, which we term
"effective sample size enlargement." We highlight the practical advantages of our
schemes for the estimation of entropy and mutual information. We compare our
performance with various existing approaches, and demonstrate that our approach
reduces running time and boosts the accuracy. Moreover, we show that the minimax
rate-optimal mutual information estimator yielded by our framework leads to
significant performance boosts over the Chow-Liu algorithm in learning graphical
models. The wide use of information measure estimation suggests that the insights
and estimators obtained in this paper could be broadly applicable.
PMID- 29375153
TI - Network Compression: Worst Case Analysis.
AB - We study the problem of communicating a distributed correlated memoryless source
over a memoryless network, from source nodes to destination nodes, under
quadratic distortion constraints. We establish the following two complementary
results: 1) for an arbitrary memoryless network, among all distributed memoryless
sources of a given correlation, Gaussian sources are least compressible, that is,
they admit the smallest set of achievable distortion tuples and 2) for any
memoryless source to be communicated over a memoryless additive-noise network,
among all noise processes of a given correlation, Gaussian noise admits the
smallest achievable set of distortion tuples. We establish these results
constructively by showing how schemes for the corresponding Gaussian problems can
be applied to achieve similar performance for (source or noise) distributions
that are not necessarily Gaussian but have the same covariance.
PMID- 29375154
TI - Rateless Lossy Compression via the Extremes.
AB - We begin by presenting a simple lossy compressor operating at near-zero rate: The
encoder merely describes the indices of the few maximal source components, while
the decoder's reconstruction is a natural estimate of the source components based
on this information. This scheme turns out to be near optimal for the memoryless
Gaussian source in the sense of achieving the zero-rate slope of its distortion
rate function. Motivated by this finding, we then propose a scheme comprised of
iterating the above lossy compressor on an appropriately transformed version of
the difference between the source and its reconstruction from the previous
iteration. The proposed scheme achieves the rate distortion function of the
Gaussian memoryless source (under squared error distortion) when employed on any
finite-variance ergodic source. It further possesses desirable properties, and
we, respectively, refer to as infinitesimal successive refinability,
ratelessness, and complete separability. Its storage and computation requirements
are of order no more than (n2)/(log beta n) per source symbol for beta > 0 at
both the encoder and the decoder. Though the details of its derivation,
construction, and analysis differ considerably, we discuss similarities between
the proposed scheme and the recently introduced Sparse Regression Codes of
Venkataramanan et al.
PMID- 29375155
TI - Design and Development of a New Multi-Projection X-Ray System for Chest Imaging.
AB - Overlapping anatomical structures may confound the detection of abnormal
pathology, including lung nodules, in conventional single-projection chest
radiography. To minimize this fundamental limiting factor, a dedicated digital
multi-projection system for chest imaging was recently developed at the Radiology
Department of Duke University. We are reporting the design of the multi
projection imaging system and its initial performance in an ongoing clinical
trial. The system is capable of acquiring multiple full-field projections of the
same patient along both the horizontal and vertical axes at variable speeds and
acquisition frame rates. These images acquired in rapid succession from slightly
different angles about the posterior-anterior (PA) orientation can be correlated
to minimize the influence of overlying anatomy. The developed system has been
tested for repeatability and motion blur artifacts to investigate its robustness
for clinical trials. Excellent geometrical consistency was found in the tube
motion, with positional errors for clinical settings within 1%. The effect of
tube-motion on the image quality measured in terms of impact on the Modulation
Transfer Function (MTF) was found to be minimal. The system was deemed clinic
ready and a clinical trial was subsequently launched. The flexibility of image
acquisition built into the system provides a unique opportunity to easily modify
it for different clinical applications, including tomosynthesis, correlation
imaging (CI), and stereoscopic imaging.
PMID- 29375156
TI - Reduced resistance drift in tunnel junctions using confined tunnel barriers.
AB - Metal-insulator-metal (MIM) tunnel junctions with the aluminum oxide tunnel
barriers confined between cobalt electrodes exhibit less resistance drift over
time than junctions that utilize a thick, unconfined aluminum electrode. The
improved long time stability is attributed to better initial oxide quality
achieved through confinement (use of a potential energy well for the oxygen) and
plasma oxidation. In this work, Co/AlOx/Co and Co/Al/AlOx/Co tunnel junction
aging is compared over a period of approximately 9 months using transport
measurements and Wentzel-Kramers-Brillouin (WKB) based modelling. The Co/AlOx/Co
(confined) tunnel junction resistance increased by (32 +/- 6) % over 5400 h,
while Co/Al/AlOx/Co (unconfined) tunnel junction resistance increased by (85 +/-
23) % over 5200 h. Fit parameters for the tunnel barrier width and potential
energy barriers were extracted using WKB transport modelling. These values change
only a small amount in the confined Co/AlOx/Co tunnel junction but show a
significant drift in the unconfined Co/AlOx/Co tunnel junction.
PMID- 29375157
TI - Divergent Pathways to Assimilation? Local Marriage Markets and Intermarriage
among U.S. Hispanics.
AB - The growing diversity of the U.S. population raises questions about integration
among America's fastest growing minority population-Hispanics. The canonical view
is that intermarriage with the native-born white population represents a singular
pathway to assimilation, one that varies over geographic space in response to
uneven local marital opportunities. Using data on past-year marriage from the
2009-2014 American Community Survey, we demonstrate high rates of intermarriage
among Hispanics. Our analyses identify whether Hispanics marry co-ethnics, non-co
ethnic Hispanics, non-Hispanic whites, non-Hispanic blacks, or other minorities.
We highlight variation by race, nativity, and socioeconomic status, but also
reveal that Hispanics living in new immigrant destinations are more likely to
intermarry than those living in traditional Hispanic gateways. Indeed, the higher
out-marriage in new destinations disappears when the demographic context of
reception is taken into account. Our analysis underscores that patterns of
marital assimilation among Hispanics are neither monolithic nor expressed
uniformly across geographic space.
PMID- 29375158
TI - Workflows and Provenance: Toward Information Science Solutions for the Natural
Sciences.
AB - The era of big data and ubiquitous computation has brought with it concerns about
ensuring reproducibility in this new research environment. It is easy to assume
computational methods self-document by their very nature of being exact,
deterministic processes. However, similar to laboratory experiments, ensuring
reproducibility in the computational realm requires the documentation of both the
protocols used (workflows) as well as a detailed description of the computational
environment: algorithms, implementations, software environments as well as the
data ingested and execution logs of the computation. These two aspects of
computational reproducibility (workflows and execution details) are discussed in
the context of biomolecular Nuclear Magnetic Resonance spectroscopy (bioNMR) as
well as the PRIMAD model for computational reproducibility.
PMID- 29375159
TI - Discrete maximal regularity of time-stepping schemes for fractional evolution
equations.
AB - In this work, we establish the maximal [Formula: see text]-regularity for several
time stepping schemes for a fractional evolution model, which involves a
fractional derivative of order [Formula: see text], [Formula: see text], in time.
These schemes include convolution quadratures generated by backward Euler method
and second-order backward difference formula, the L1 scheme, explicit Euler
method and a fractional variant of the Crank-Nicolson method. The main tools for
the analysis include operator-valued Fourier multiplier theorem due to Weis (Math
Ann 319:735-758, 2001. doi:10.1007/PL00004457) and its discrete analogue due to
Blunck (Stud Math 146:157-176, 2001. doi:10.4064/sm146-2-3). These results
generalize the corresponding results for parabolic problems.
PMID- 29375160
TI - Multiscale techniques for parabolic equations.
AB - We use the local orthogonal decomposition technique introduced in Malqvist and
Peterseim (Math Comput 83(290):2583-2603, 2014) to derive a generalized finite
element method for linear and semilinear parabolic equations with spatial
multiscale coefficients. We consider nonsmooth initial data and a backward Euler
scheme for the temporal discretization. Optimal order convergence rate, depending
only on the contrast, but not on the variations of the coefficients, is proven in
the [Formula: see text]-norm. We present numerical examples, which confirm our
theoretical findings.
PMID- 29375161
TI - Convergence of the Euler-Maruyama method for multidimensional SDEs with
discontinuous drift and degenerate diffusion coefficient.
AB - We prove strong convergence of order [Formula: see text] for arbitrarily small
[Formula: see text] of the Euler-Maruyama method for multidimensional stochastic
differential equations (SDEs) with discontinuous drift and degenerate diffusion
coefficient. The proof is based on estimating the difference between the Euler
Maruyama scheme and another numerical method, which is constructed by applying
the Euler-Maruyama scheme to a transformation of the SDE we aim to solve.
PMID- 29375162
TI - Rediscovery of the species of 'ancestral Volvox': morphology and phylogenetic
position of Pleodorina sphaerica (Volvocales, Chlorophyceae) from Thailand.
AB - Pleodorina sphaerica Iyengar was considered to be a phylogenetic link between
Volvox and the type species Pleodorina californica Shaw because it has small
somatic cells distributed from the anterior to posterior poles in 64- or 128
celled vegetative colonies. However, cultural studies and molecular and
ultrastructural data are lacking in P. sphaerica, and this species has not been
recorded since 1951. Here, we performed light and electron microscopy and
molecular phylogeny of P. sphaerica based on newly established culture strains
originating from Thailand. Morphological features of the present Thai species
agreed well with those of the previous studies of the Indian material of P.
sphaerica and with those of the current concept of the advanced members of the
Volvocaceae. The present P. sphaerica strains exhibited homothallic sexuality;
male and facultative female colonies developed within a single clonal culture.
Chloroplast multigene phylogeny demonstrated that P. sphaerica was sister to two
other species of Pleodorina (P. californica and Pleodorina japonica Nozaki)
without posterior somatic cells, and these three species of Pleodorina formed a
robust clade, which was positioned distally in the large monophyletic group
including nine taxa of Volvox sect. Merrillosphaera and Volvox (sect.
Janetosphaera) aureus Ehrenberg. Based on the present phylogenetic results,
evolutionary losses of posterior somatic cells might have occurred in the
ancestor of P. californica and P. japonica. Thus, P. sphaerica might represent an
ancestral morphology of Pleodorina, rather than of Volvox.
PMID- 29375163
TI - A Field Experiment on Search Costs and the Formation of Scientific
Collaborations.
AB - We present the results of a field experiment conducted at Harvard Medical School
to understand the extent to which search costs affect matching among scientific
collaborators. We generated exogenous variation in search costs for pairs of
potential collaborators by randomly assigning individuals to 90-minute structured
information-sharing sessions as part of a grant funding opportunity. We estimate
that the treatment increases the probability of grant co-application of a given
pair of researchers by 75%. The findings suggest that matching between scientists
is subject to considerable frictions, even in the case of geographically
proximate scientists working in the same institutional context.
PMID- 29375164
TI - [Ageing, health and economics. National inquest into health and ageing in
Mexico].
AB - Mexico is experiencing a demographic transition in which the percentage of the
population older than 50 years of age is growing rapidly as a result of increases
in life expectancy. This population has special needs that must be taken into
account when formulating policy, especially in terms of access to health care
services and social security. In this article we present a general description of
the Mexican Health and Aging Survey (MHAS), a panel study that began in 2001 and
that provides a unique opportunity to study complex demographic and economic
issues through the exploration of personal characteristics, socioeconomic
transfers and health indicators for a sample of 15 186 middle and older age
adults. We also present the most important results from different studies that
have used MHAS up to date. Our review shows that Mexico faces substantial
challenges in order to be able to satisfy the demand for health services for a
population that is being increasingly threatened by chronic disease, particularly
the elderly population that lacks health insurance coverage.
PMID- 29375165
TI - "I Used to Be Wild": Adolescent Perspectives on the Influence of Family, Peers,
School, and Neighborhood on Positive Behavioral Transition.
AB - Public health practice involving adolescents is largely focused on preventing or
delaying the initiation of risk behavior. However, given the experimental and
exploratory nature of this developmental period, this is often impractical. This
paper focuses on behavioral transitions and the ways in which youth involved in
risk behaviors shift to more promotive behaviors. Based on a positive youth
development perspective, in-depth interviews with urban youth were conducted and
analyzed to gain an understanding of the influences on behavior change. Specific
family support, ability to detach from harmful peer relationships, and school
connectedness and vocational support emerged as important to those youths who
made a positive behavioral transition. These findings suggest the importance of
understanding ways to support the cessation of involvement in risk behaviors and
reinforce the significance of contextual influences on youth development.
PMID- 29375166
TI - Kin in Daily Routines: Time Use and Childrearing in Rural South Africa.
PMID- 29375167
TI - Generalizing the Network Scale-Up Method: A New Estimator for the Size of Hidden
Populations.
AB - The network scale-up method enables researchers to estimate the size of hidden
populations, such as drug injectors and sex workers, using sampled social network
data. The basic scale-up estimator offers advantages over other size estimation
techniques, but it depends on problematic modeling assumptions. We propose a new
generalized scale-up estimator that can be used in settings with non-random
social mixing and imperfect awareness about membership in the hidden population.
Further, the new estimator can be used when data are collected via complex sample
designs and from incomplete sampling frames. However, the generalized scale-up
estimator also requires data from two samples: one from the frame population and
one from the hidden population. In some situations these data from the hidden
population can be collected by adding a small number of questions to already
planned studies. For other situations, we develop interpretable adjustment
factors that can be applied to the basic scale-up estimator. We conclude with
practical recommendations for the design and analysis of future studies.
PMID- 29375168
TI - Effects of concurrent task demands on language planning in fluent children and
adults.
AB - Purpose: The aim of the present study was to investigate how children and adults
allocate cognitive resources to performing segmental encoding and monitoring in a
dual task paradigm and the response patterns of the primary and secondary tasks
in the dual task. Methods: Participants were 20 children divided equally into two
age groups-7 to 11 years, 12 to 15 years, and 10 adults. The primary task
required participants to monitor phonemic segments in a picture - written word
interference paradigm while silently naming the pictures. The picture and
distractor word were the same (replica), related (phoneme onset overlap), or
unrelated. The secondary task required participants to make pitch judgments on
tones presented at short (330 ms) or long (1130 ms) stimulus onset asynchrony
(SOA) from picture onset. Results: Developmental differences were observed in
both response times and percent errors in the primary and secondary tasks. Slower
responses to the primary task were evident at the long SOA, related condition.
Slower response times to the tone decision task were evident at the short than
the long SOA. The findings support the capacity sharing account of dual task
performance and suggest that dual task costs during language planning are higher
in children than adults.
PMID- 29375169
TI - Sparse simultaneous signal detection for identifying genetically controlled
disease genes.
AB - Genome-wide association studies (GWAS) and differential expression analyses have
had limited success in finding genes that cause complex diseases such as heart
failure (HF), a leading cause of death in the United States. This paper proposes
a new statistical approach that integrates GWAS and expression quantitative trait
loci (eQTL) data to identify important HF genes. For such genes, genetic
variations that perturb its expression are also likely to influence disease risk.
The proposed method thus tests for the presence of simultaneous signals: SNPs
that are associated with the gene's expression as well as with disease. An
analytic expression for the p-value is obtained, and the method is shown to be
asymptotically adaptively optimal under certain conditions. It also allows the
GWAS and eQTL data to be collected from different groups of subjects, enabling
investigators to integrate public resources with their own data. Simulation
experiments show that it can be more powerful than standard approaches and also
robust to linkage disequilibrium between variants. The method is applied to an
extensive analysis of HF genomics and identifies several genes with biological
evidence for being functionally relevant in the etiology of HF. It is implemented
in the R package ssa.
PMID- 29375170
TI - Effect of Green Macroalgal Blooms on the Behavior, Growth, and Survival of
Cockles (Clinocardium nuttallii) in Pacific NW Estuaries.
AB - Nutrient over-enrichment can produce adverse ecological effects within coastal
ecosystems and negatively impact the production of ecosystem goods and services.
In small estuaries of the U.S. Pacific Northwest, seasonal blooms of green
macroalgae (GMA; Family Ulvaceae) are primarily associated with natural nutrient
input, rather than anthropogenic sources. This provided us a unique opportunity
to investigate the effects of naturally-stimulated macroalgal blooms on
intertidal bivalves. Clinocardium nuttallii (heart cockles) are an important
species for shellfisheries in the region. In summer population surveys, we found
that cockles emerged from the sediment with greater frequency as GMA biomass
increased. Experimental manipulation of GMA biomass in the field showed that GMA
elicited emergence, evoked above-ground lateral movement, inhibited shell growth,
and increased mortality (by 34.0 +/- 15.2%) in cockles. Laboratory experiments
revealed that the interaction of a weighted barrier at the sediment surface and
GMA presence elicited rapid emergence among cockles. Risk assessment of the
emergence response in cockles showed that the in situ emergent population
experienced 11.0 +/- 8.0% mortality due to gull predation, while laboratory
exposure to elevated temperatures (>=34 degrees C) slowed valve-closure,
inhibited reburial, and increased mortality, which could have translated to 7.1
+/- 1.5% in situ mortality. We found that cockles avoided mortality due to burial
below GMA mats by emerging from the sediment, but that behavior consequently put
them at risk of mortality due to heat stress or gull predation. Regardless of
nutrient source, our research showed that GMA blooms pose a threat to the
survival of intertidal bivalves.
PMID- 29375171
TI - Labor Force Participation of Mexican Elderly: the Importance of Health.
AB - Se analizan los factores determinantes de la participacion en la fuerza de
trabajo de la poblacion mexicana de 50 anos o mas, con datos de la Encuesta
nacional sobre salud y envejecimiento en Mexico, ENASEM. Se estudio en particular
la importancia de la salud en la decision sobre la participacion, tomando en
cuenta la endogeneidad potencial de la salud. Los resultados indican que una
mejor salud causa un mayor apego al mercado laboral. No se encontro evidencia
clara de que el empleo afecte la salud, pero no puede descartarse que los efectos
de las malas condiciones laborales y la justificacion se eliminen mutuamente.
Existen indicadores de que la autoevaluacion de la salud no captura todos los
aspectos relevantes de la salud. En la toma de decisiones para establecer
politicas, la importancia directa de las circunstancias financieras podria ser
mas relevante que el papel de la salud. The determinants of the labor force
participation of people in Mexico aged 50 and over are analyzed using data of the
Mexican Health and Aging Study (MHAS). In particular we study the importance of
health in the participation decision, taking into account the potential
endogeneity of health. The results indicate that a better health causes a
stronger attachment to the labor market. We find no clear evidence that
employment affects health, but it cannot be ruled out that the effects of bad
labor circumstances and justification eliminate each other. There are indications
that self-assessed health does not capture all relevant aspects of health. For
policy decisions the direct importance of financial circumstances could be more
relevant than the role of health.
PMID- 29375172
TI - Wealth in Middle and Old Age in Mexico: The Role of International Migration.
AB - This article examines the impact that past migration to the U.S. has on the
current economic well-being of individuals in middle or old age who have returned
to Mexico. A priori, the net effect of U.S. migration on wealth among return
migrants is difficult to predict; there are counteracting factors that can affect
wealth positively or negatively. Using data from the Mexican Health and Aging
Study 2001 and correcting for selection factors, the long-term effect of U.S.
migration for return migrants was found consistently positive in terms of their
accumulated personal wealth at middle and old age. This article speculates about
the possible mechanisms that can explain this apparent advantage.
PMID- 29375173
TI - INTEGRATING HEALTH INTO BUILDINGS OF THE FUTURE.
AB - The health and wellbeing of building occupants should be a key priority in the
design, building, and operation of new and existing buildings. Buildings can be
designed, renovated, and constructed to promote healthy environments and
behaviors and mitigate adverse health outcomes. This paper highlights health in
terms of the relationship between occupants and buildings, as well as the
relationship of buildings to the community. In the context of larger systems,
smart buildings and green infrastructure strategies serve to support public
health goals. At the level of the individual building, interventions that promote
health can also enhance indoor environmental quality and provide opportunities
for physical activity. Navigating the various programs that use metrics to
measure a building's health impacts reveals that there are multiple co-benefits
of a "healthy building," including those related to the economy, environment,
society, transportation, planning, and energy efficiency.
PMID- 29375174
TI - A Bayesian finite mixture change-point model for assessing the risk of novice
teenage drivers.
AB - The driving risk during the initial period after licensure for novice teenage
drivers is typically the highest but decreases rapidly right after. The change
point of driving risk is a critical parameter for evaluating teenage driving
risk, which also varies substantially among drivers. This paper presents latent
class recurrent-event change-point models for detecting the change-points. The
proposed model is applied to the Naturalist Teenage Driving Study, which
continuously recorded the driving data of 42 novice teenage drivers for 18 months
using advanced in-vehicle instrumentation. We propose a hierarchical BFMM to
estimate the change-points by clusters of drivers with similar risk profiles. The
model is based on a non-homogeneous Poisson process with piecewise-constant
intensity functions. Latent variables which identify the membership of the
subjects are used to detect potential clusters among subjects. Application to the
Naturalistic Teenage Driving Study identifies three distinct clusters with change
points at 52.30, 108.99 and 150.20 hours of driving after first licensure,
respectively. The overall intensity rate and the pattern of change also differ
substantially among clusters. The results of this research provide more insight
in teenagers' driving behaviour and will be critical to improve young drivers'
safety education and parent management programs, as well as provide crucial
reference for the GDL regulations to encourage safer driving.
PMID- 29375175
TI - The Effect of Vietnam-Era Conscription and Genetic Potential for Educational
Attainment on Schooling Outcomes.
AB - This study examines whether draft lottery estimates of the causal effects of
Vietnam-era military service on schooling vary by an individual's genetic
propensity toward educational attainment. To capture the complex genetic
architecture that underlies the bio-developmental pathways, behavioral traits and
evoked environments associated with educational attainment, we construct
polygenic scores (PGS) for respondents in the Health and Retirement Study (HRS)
that aggregate thousands of individual loci across the human genome and weight
them by effect sizes derived from a recent genome-wide association study (GWAS)
of years of education. Our findings suggest veterans with below average PGSs for
educational attainment may have completed fewer years of schooling than
comparable non-veterans. On the other hand, we do not find any difference in the
educational attainment of veterans and non-veterans with above average PGSs.
Results indicate that public policies and exogenous environments may induce
heterogeneous treatment effects by genetic disposition.
PMID- 29375176
TI - Increased Cathepsin D Correlates with Clinical Parameters in Newly Diagnosed Type
2 Diabetes.
AB - Background: Cathepsin D has been recently implicated in insulin resistance and
cardiovascular disease. This study was designed to investigate the relationship
between cathepsin D and newly diagnosed type 2 diabetes. Methods: Circulating
cathepsin D levels and metabolic variables were measured in 98 cases and 98
controls. Myocardial performance index "Tei index" that reflects both left
ventricular systolic and diastolic function was measured with Doppler
echocardiography in cases. Results: Newly diagnosed type 2 diabetes demonstrated
significantly higher circulating cathepsin D concentrations than controls (median
level: 227 ng/ml versus 174 ng/ml, P < 0.01). In newly diagnosed type 2 diabetes,
a significant correlation was found between cathepsin D levels and HOMA-IR
(homeostatic model assessment of insulin resistance) (r = 0.25, P = 0.01). In
contrast, no significant correlation was found between cathepsin D levels and
clinical parameters in the control group (all P > 0.05). Interestingly,
correlation analysis revealed a positive association between cathepsin D levels
and Tei index in type 2 diabetes (r = 0.22, P = 0.03). Conclusions: Increased
levels of circulating cathepsin D are closely linked with the presence of type 2
diabetes, and cathepsin D might serve as a novel biomarker for cardiac
dysfunction in newly diagnosed type 2 diabetes.
PMID- 29375178
TI - The piloting of a culturally centered American Indian family prevention program:
a CBPR partnership between Mescalero Apache and the University of New Mexico.
AB - The Mescalero Apache Family Listening Program (MAFLP) is a culturally centered
family prevention program with third, fourth, and fifth graders; a
parent/caregiver; and a family elder. The program follows a positive youth
development model to develop stronger communication and shared cultural practices
between elders, parents, and youth in the tribe to reduce substance initiation of
use among the youth. The MAFLP was created using a community-based participatory
research (CBPR) approach in partnership with the University of New Mexico. The
research focus of MAFLP is centered on the adaptation of a family curriculum from
a Navajo and Pueblo version of the Family Listening Program to an Apache version,
the establishment of a (Apache) Tribal Research Team, and the piloting of the
curriculum with Apache families. MAFLP was piloted twice, and evaluation measures
were collected focused on formative and impact evaluation. This article provides
a background on Mescalero Apache then introduces the Navajo and Pueblo version of
a Family Listening and Family Circle Program, respectively, next, the CBPR
research partnership between Mescalero Apache and the University of New Mexico
and the creation of a Mescalero Apache Tribal Research Team followed by the
development and adaptation of a Mescalero Apache Family Listening Program
including implementation and evaluation, and concluding with preliminary
findings.
PMID- 29375179
TI - [Mexico and the State of Guanajuato: Intergenerational transfers to the elderly].
AB - In Mexico, there is a range of formal and informal transfers to help older
adults. This paper analyses national trends and the results of a study focused on
the federal entity of Guanajuato. The distribution of the support provided
confirms that the transfers made by the social security system have an urban bias
and that formal transfers from the federal government are oriented to the least
urban areas, and especially rural areas. Despite the existence of formal
transfers (which are irregular and insufficient), the economic and health needs
of older adults remain unmet and, as a result, their relatives make informal
transfers. In Mexico, and more specifically in Guanajuato, those who live with
older adults provide a very significant amount of support, whereas those who have
migrated do not. On the basis of this material, we analyse of the role played by
descendants, according to their cohort and migration status. In any case, it is
clear that there the dynamics of intergenerational transfers are different in
each national entity.
PMID- 29375177
TI - Identification of Circulating Long Noncoding RNA Linc00152 as a Novel Biomarker
for Diagnosis and Monitoring of Non-Small-Cell Lung Cancer.
AB - Objective: Long noncoding RNAs (lncRNAs) have been reported to play vital roles
in non-small-cell lung cancer (NSCLC). Recently, long noncoding RNA Linc00152 has
been reported to play important roles in various cancers. In this study, our aim
was to investigate its expression pattern and clinical significance and further
evaluate its diagnostic value for NSCLC. Methods: The levels of Linc00152 were
detected in NSCLC tissues and plasma samples by quantitative real-time PCR (qRT
PCR). Receiver operating characteristic (ROC) curves were depicted to evaluate
the diagnostic value. Results: We found that Linc00152 levels were upregulated in
both NSCLC tissues and plasma samples. Plasma Linc00152 levels were significantly
lower in postoperative samples than in preoperative samples. Besides, high
Linc00152 expression was significantly correlated with tumor size (r = 0.293, P =
0.005) and tumor stage (r = 0.324, P = 0.011). The ROC curves indicated that
plasma Linc00152 has high diagnostic accuracy for NSCLC, and the area under curve
(AUC) for NSCLC versus healthy was 0.816 (95% CI: 0.757-0.875). Moreover, we
found that the combination of Linc00152 and CEA could provide a more powerful
diagnosis efficiency than Linc00152 or CEA alone (AUC = 0.881, 95% CI: 0.836
0.926). Conclusions: Plasma Linc00152 could serve as a promising biomarker for
diagnosing and monitoring NSCLC.
PMID- 29375181
TI - Product familiarisation programs.
PMID- 29375180
TI - Designing a food tax to impact food-related non-communicable diseases: the case
of Chile.
AB - The global shift towards diets high in sugar-sweetened beverages (SSBs) and
energy dense ultra-processed foods is linked to higher prevalence of obesity,
diabetes and most other noncommunicable diseases (NCDs), causing significant
health costs. Chile has the highest SSB consumption in the world, very high junk
food intake and very rapid increases in these poor components of the diet plus
obesity prevalence. This study's purpose is to compare the effect of different
tax schemes for SSBs and ultra-processed foods on nutrient availability,
utilizing price-elasticities, which are estimated from a Quadratic Almost Ideal
Demand System model, using the 2011-2012 Income and Expenditure survey. We take
into account the high proportion of households not purchasing various food and
beverage groups (censored nature of data). The food groups considered were:
sweets and desserts; salty snacks and chips; meat products and fats; fruits,
vegetables and seafood; cereals and cereal products; SSB ready-to-drink; SSB from
concentrate; plain water, coffee and tea; and milk, which together represent 90%
of food expenditures. The simulated taxes were: (1) 40% price tax on SSBs(22%
above the current tax level); (2) a 5 cents per gram of sugar tax on products
with added sugar; and (3) 30% price tax on all foods(27% above current tax
levels) and beverages (12% above the current tax level) exceeding thresholds on
sodium, saturated fat, and added sugar and for which marketing is restricted
(based on a Chilean law, effective June 16 2016). Unhealthy foods are price
elastic (-1.99 for salty snacks and chips, -1.06 for SSBs ready-to-drink, and
1.27 for SSBs from concentrate), meaning that the change in consumption is
proportionally larger with respect to a change in price. Results are robust to
different model specification, and consistent among different socioeconomic sub
populations. Overall, the tax on marketing controlled foods and beverages is
associated with the largest reduction in household purchases of sodium, added
sugar, saturated fat and calorie purchases. Chile is unique in currently having
instituted a small current SSB tax as well as marketing controls and front-of
package labeling of unhealthy foods and beverages. The design of a larger, more
comprehensive tax to enhance the overall effect of these policies on healthier
diets is a next critical step. This study shows that a large tax on the same
foods and beverages already delineated as unhealthy by the marketing controls and
front-of-pack labeling should prove to be more effective for promoting a
healthier diet.
PMID- 29375182
TI - Functional dyspepsia.
PMID- 29375183
TI - Thyroid disorders in pregnancy and postpartum.
PMID- 29375184
TI - Hyperprolactinaemia.
PMID- 29375186
TI - Top 10 drugs 2016-17.
PMID- 29375185
TI - Clozapine in primary care.
PMID- 29375187
TI - Medicines Australia Code of Conduct: breaches 2016-17.
PMID- 29375188
TI - Daratumumab.
PMID- 29375189
TI - Lenvatinib.
PMID- 29375190
TI - Milnacipran hydrochloride.
PMID- 29375191
TI - Nepafenac.
PMID- 29375192
TI - Older Former Prisoners' Pathways to Sobriety.
AB - This study explores how older age shapes processes of substance abuse cessation
among male substance users recently released from prison. Semi-structured in
depth interviews and brief surveys were conducted with 15 men aged 49+, released
from prison in a large, Eastern U.S. city. A follow-up interview was conducted
with each participant (n=15). Conventional content analysis was used to analyze
these data. Aging was central to the stories men told about attaining and
maintaining sobriety. Men gained clarity after forced detoxification in prison,
which was often followed by a period of reflection and evaluation of their lives.
Older age seemed integral for this period of sobriety and reflection to catalyze
cognitive change, a phenomenon I term Sober Aged Reflection. Further, men
described a heightened awareness of mortality that had come with older age, and
were deeply afraid of dying in prison. This fear helped inspire a new commitment
to sobriety and concordant rejection of the crime that had been linked with their
drug use in the past. Finally, men revealed identities and goals that had changed
with time, changes incompatible with on-going drug use. Policy implications are
discussed.
PMID- 29375193
TI - Predator recognition and differential behavioural responses of adult wood
warblers Phylloscopus sibilatrix.
AB - Birds often engage in nest defence against predators to improve breeding success,
but defence efficiency requires the capability to assess the threat level posed
by potential predators. For species with low breeding-site tenacity, which may
encounter varying occurrence and density of predators in different areas, threat
recognition could be compromised due to naivety, and so predator recognition may
focus on broad key features to diminish the risk of misidentification. We
experimentally tested this hypothesis by recording behavioural reactions of the
nomadic wood warbler Phylloscopus sibilatrix to objects reflecting various levels
of threat: least weasel and Eurasian jay taxidermy mounts, an inanimate object
and an empty display mount. To assess actual nest predators, we used remote
cameras to record predation events at wood warbler nests. As in other studies in
Western Europe, Eurasian jay was found to be the main nest predator, with
occasional predation by least weasel. The reaction of adult warblers to the
models was generally to remain silent and on nests during the incubation stage
presumably due to the need to maintain efficient nest camouflage and concealment.
During the nestling stage, behavioural responses of adult warblers, calling and
suspended feeding of young, showed the strongest effects from the jay taxidermy
mount, moderate to the weasel and weakest to the inanimate object and empty
mount. As the reaction of wood warblers reflected the degree of genuine threat
posed by the predators depicted by the models, we conclude that predator
recognition may be present in this species.
PMID- 29375194
TI - Differences in safety training among smaller and larger construction firms with
non-native workers: Evidence of overlapping vulnerabilities.
AB - Collaborative efforts between the National Institute for Occupational Safety and
Health (NIOSH) and the American Society of Safety Engineers (ASSE) led to a
report focusing on overlapping occupational vulnerabilities, specifically small
construction businesses employing young, non-native workers. Following the
report, an online survey was conducted by ASSE with construction business
representatives focusing on training experiences of non-native workers. Results
were grouped by business size (50 or fewer employees or more than 50 employees).
Smaller businesses were less likely to employ a supervisor who speaks the same
language as immigrant workers (p < .001). Non-native workers in small businesses
received fewer hours of both initial safety training (p = .005) and monthly
ongoing safety training (p = .042). Immigrant workers in smaller businesses were
less likely to receive every type of safety training identified in the survey
(including pre-work safety orientation [p < .001], job-specific training [p <
.001], OSHA 10-hour training [p = .001], and federal/state required training [p <
.001]). The results highlight some of the challenges a vulnerable worker
population faces in a small business, and can be used to better focus
intervention efforts. Among businesses represented in this sample, there are
deflcits in the amount, frequency, and format of workplace safety and health
training provided to non-native workers in smaller construction businesses
compared to those in larger businesses. The types of training conducted for non
native workers in small business were less likely to take into account the
language and literacy issues faced by these workers. The findings suggest the
need for a targeted approach in providing occupational safety and health training
to non-native workers employed by smaller construction businesses.
PMID- 29375195
TI - Staying cool in a changing climate: Reaching vulnerable populations during heat
events.
AB - The frequency and intensity of hot weather events are expected to increase
globally, threatening human health, especially among the elderly, poor, and
chronically ill. Current literature indicates that emergency preparedness plans,
heat health warning systems, and related interventions may not be reaching or
supporting behavior change among those most vulnerable in heat events. Using a
qualitative multiple case study design, we comprehensively examined practices of
these populations to stay cool during hot weather ("cooling behaviors") in four
U.S. cities with documented racial/ethnic and socio-economic disparities and
diverse heat preparedness strategies: Phoenix, Arizona; Detroit, Michigan; New
York City, New York; and Philadelphia, Pennsylvania. Based on semi-structured in
depth interviews we conducted with 173 community members and organizational
leaders during 2009-2010, we assessed why vulnerable populations do or do not
participate in health-promoting behaviors at home or in their community during
heat events, inquiring about perceptions of heat-related threats and
vulnerability and the role of social support. While vulnerable populations often
recognize heat's potential health threats, many overlook or disassociate from
risk factors or rely on experiences living in or visiting warmer climates as a
protective factor. Many adopt basic cooling behaviors, but unknowingly harmful
behaviors such as improper use of fans and heating and cooling systems are also
adopted. Decision-making related to commonly promoted behaviors such as air
conditioner use and cooling center attendance is complex, and these resources are
often inaccessible financially, physically, or culturally. Interviewees expressed
how interpersonal, intergenerational relationships are generally but not always
protective, where peer relationships are a valuable mechanism for facilitating
cooling behaviors among the elderly during heat events. To prevent disparities in
heat morbidity and mortality in an increasingly changing climate, we note the
implications of local context, and we broadly inform heat preparedness plans,
interventions, and messages by sharing the perspectives and words of community
members representing vulnerable populations and leaders who work most closely
with them.
PMID- 29375196
TI - Disruption, not displacement: Environmental variability and temporary migration
in Bangladesh.
AB - Mass migration is one of the most concerning potential outcomes of global climate
change. Recent research into environmentally induced migration suggests that
relationship is much more complicated than originally posited by the
'environmental refugee' hypothesis. Climate change is likely to increase
migration in some cases and reduce it in others, and these movements will more
often be temporary and short term than permanent and long term. However, few
large-sample studies have examined the evolution of temporary migration under
changing environmental conditions. To address this gap, we measure the extent to
which temperature, precipitation, and flooding can predict temporary migration in
Matlab, Bangladesh. Our analysis incorporates high-frequency demographic
surveillance data, a discrete time event history approach, and a range of
sociodemographic and contextual controls. This approach reveals that migration
declines immediately after flooding but quickly returns to normal. In contrast,
optimal precipitation and high temperatures have sustained positive effects on
temporary migration that persist over one to two year periods. Building on
previous studies of long-term migration, these results challenge the common
assumption that flooding, precipitation extremes and high temperatures will
consistently increase temporary migration. Instead, our results are consistent
with a livelihoods interpretation of environmental migration in which households
draw on a range of strategies to cope with environmental variability.
PMID- 29375197
TI - Nuclear Translocation of SGPP-1 and Decrease of SGPL-1 Activity Contribute to
Sphingolipid Rheostat Regulation of Inflammatory Dendritic Cells.
AB - A balanced sphingolipid rheostat is indispensable for dendritic cell function and
survival and thus initiation of an immune response. Sphingolipid levels are
dynamically maintained by the action of sphingolipid enzymes of which sphingosine
kinases, S1P phosphatases (SGPP-1/2) and S1P lyase (SGPL-1), are pivotal in the
balance of S1P and sphingosine levels. In this study, we present that SGPP-1 and
SGPL-1 are regulated in inflammatory dendritic cells and contribute to S1P fate.
TLR-dependent activation caused SGPL-1 protein downregulation with subsequent
decrease of enzymatic activity by two-thirds. In parallel, confocal fluorescence
microscopy revealed that endogenous SGPP-1 was expressed in nuclei of naive
dendritic cells and was translocated into the cytoplasmatic compartment upon
inflammatory stimulation resulting in dephosphorylation of S1P. Mass
spectrometric determination showed that a part of the resulting sphingosine was
released from the cell, increasing extracellular levels. Another route of
diminishing intracellular S1P was possibly taken by its export via ATP-binding
cassette transporter C1 which was upregulated in array analysis, while the S1P
transporter, spinster homolog 2, was not relevant in dendritic cells. These
investigations newly describe the sequential expression and localization of the
endogenous S1P regulators SGPP-1 and SGPL-1 and highlight their contribution to
the sphingolipid rheostat in inflammation.
PMID- 29375198
TI - A Prospective, Double-Blind, Randomized, Controlled Clinical Trial in the
Gingivitis Prevention with an Oligomeric Proanthocyanidin Nutritional Supplement.
AB - Aim: To evaluate the effectiveness on tissue response of the new nutritional
supplement made of oligomeric proanthocyanidins in induced gingivitis after 21
days of use. Material and Methods: A prospective, double-blind, randomized,
controlled clinical trial was carried out on 20 patients; it is divided into an
experimental group and a control group after fulfilling the selection criteria.
Patients had to come 4 times during the study to register the Silness and Loe
index, the gingival bleeding index, the plaque index, the inflammatory crevicular
fluid study (IL6), and the changes in the brightness of the gingiva. No
complementary hygiene methods were allowed during the 21 days. Results: The
Silness and Loe index was higher in the control group than in the experimental
group, reaching a twofold difference between the groups (p < 0.0001). The
gingival bleeding index also supports this fact, since the bleeding was lower in
the experimental group (p < 0.005). However, the dental plaque on the tooth
surface according to the plaque index was 33% higher in the experimental group (p
< 0.006). Some differences in the IL-6 were found in the crevicular fluid (p <
0.0001). Conclusion: Oligomeric proanthocyanidins have an effect on the
periodontal tissue's health. No effects on the accumulation of plaque on the
tooth surface were found, so further studies are needed to determine the nature
of the plaque.
PMID- 29375199
TI - Corrigendum to "Metalloproteinases and Their Tissue Inhibitors in Comparison
between Different Chronic Pneumopathies in the Horse".
AB - [This corrects the article DOI: 10.1155/2015/569512.].
PMID- 29375200
TI - Mouse Thyroid Gland Changes in Aging: Implication of Galectin-3 and
Sphingomyelinase.
AB - Prevalence of thyroid dysfunction and its impact on cognition in older people has
been demonstrated, but many points remain unclarified. In order to study the
effect of aging on the thyroid gland, we compared the thyroid gland of very old
mice with that of younger ones. We have first investigated the changes of thyroid
microstructure and the possibility that molecules involved in thyroid function
might be associated with structural changes. Results from this study indicate
changes in the height of the thyrocytes and in the amplitude of interfollicular
spaces, anomalous expression/localization of thyrotropin, thyrotropin receptor,
and thyroglobulin aging. Thyrotropin and thyrotropin receptor are upregulated and
are distributed inside the colloid while thyroglobulin fills the interfollicular
spaces. In an approach aimed at defining the behavior of molecules that change in
different physiopathological conditions of thyroid, such as galectin-3 and
sphingomyelinase, we then wondered what was their behavior in the thyroid gland
in aging. Importantly, in comparison with the thyroid of young animals, we have
found a higher expression of galectin-3 and a delocalization of neutral
sphingomyelinase in the thyroid of old animals. A possible relationship between
galectin-3, neutral sphingomyelinase, and aging has been discussed.
PMID- 29375201
TI - Influences of background noise on infants and children.
AB - The goal of this review is to provide a high-level, selected overview of the
consequences of background noise on health, perception, cognition, and learning
during early development, with a specific focus on how noise may impair speech
comprehension and language learning (e.g., via masking). Although much of the
existing literature has focused on adults, research shows that infants and young
children are relatively disadvantaged at listening in noise. Consequently, a
major goal is to consider how background noise may affect young children, who
must learn and develop language in noisy environments despite being
simultaneously less equipped to do so.
PMID- 29375202
TI - Drug-eluting beads transarterial chemoembolization for hepatocellular carcinoma:
Current state of the art.
AB - Transarterial chemoembolization (TACE) represents the current gold standard for
hepatocellular carcinoma (HCC) patients in intermediate stage. Conventional TACE
(cTACE) is performed with the injection of an emulsion of a chemotherapeutic drug
with lipiodol into the artery feeding the tumoral nodules, followed by
embolization of the same vessel to obtain a synergistic effect of drug cytotoxic
activity and ischemia. Aim of this review is to summarize the main
characteristics of drug-eluting beads (DEB)-TACE and the clinical results
reported so far in the literature. A literature search was conducted using PubMed
until June 2017. In order to overcome the drawbacks of cTACE, namely lack of
standardization and unpredictability of outcomes, non-absorbable embolic
microspheres charged with cytotoxic agents (DEBs) have been developed. DEBs are
able to simultaneously exert both the therapeutic components of TACE, either drug
carrier function and embolization, unlike cTACE in which applying the embolic
agent is a second moment after drug injection. This way, risk of systemic drug
release is minimal due to both high-affinity carrier activity of DEBs and absence
of a time interval between injection and embolization. However, despite promising
results of preliminary studies, clear evidence of superiority of DEB-TACE over
cTACE is still lacking. A number of novel technical devices are actually in
development in the field of loco-regional treatments for HCC, but only a few of
them have entered the clinical arena. In absence of well-designed randomized
controlled trials, the decision on whether use DEB-TACE or cTACE is still
controversial.
PMID- 29375203
TI - Antifibrogenic effects of vitamin D derivatives on mouse pancreatic stellate
cells.
AB - AIM: To study the molecular effects of three different D-vitamins, vitamin D2,
vitamin D3 and calcipotriol, in pancreatic stellate cells (PSCs). METHODS:
Quiescent PSCs were isolated from mouse pancreas and activated in vitro by
seeding on plastic surfaces. The cells were exposed to D-vitamins as primary
cultures (early-activated PSCs) and upon re-culturing (fully-activated cells).
Exhibition of vitamin A-containing lipid droplets was visualized by oil-red
staining. Expression of alpha-smooth muscle actin (alpha-SMA), a marker of PSC
activation, was monitored by immunofluorescence and immunoblot analysis. The rate
of DNA synthesis was quantified by 5-bromo-2'-deoxyuridine (BrdU) incorporation
assays. Real-time PCR was employed to monitor gene expression, and protein levels
of interleukin-6 (IL-6) were measured by ELISA. Uptake of proline was determined
using 18F-proline. RESULTS: Sustained culture of originally quiescent PSCs
induced cell proliferation, loss of lipid droplets and exhibition of stress
fibers, indicating cell activation. When added to PSCs in primary culture, all
three D-vitamins diminished expression of alpha-SMA (to 32%-39% of the level of
control cells; P < 0.05) and increased the storage of lipids (scores from 1.97
2.15 on a scale from 0-3; controls: 1.49; P < 0.05). No such effects were
observed when Dvitamins were added to fully-activated cells, while incorporation
of BrdU remained unaffected under both experimental conditions. Treatment of re
cultured PSCs with Dvitamins was associated with lower expression of IL-6 (-42%
to -49%; P < 0.05; also confirmed at the protein level) and increased expression
of the vitamin D receptor gene (209%-321% vs controls; P < 0.05). There was no
effect of Dvitamins on the expression of transforming growth factor-beta1 and
collagen type 1 (chain alpha1). The lowest uptake of proline, a main component of
collagen, was observed in calcipotriol-treated PSCs. CONCLUSION: The three D
vitamins inhibit, with similar efficiencies, activation of PSCs in vitro, but
cannot reverse the phenotype once the cells are fully activated.
PMID- 29375204
TI - Metabolic and hepatic effects of liraglutide, obeticholic acid and elafibranor in
diet-induced obese mouse models of biopsy-confirmed nonalcoholic steatohepatitis.
AB - AIM: To evaluate the pharmacodynamics of compounds in clinical development for
nonalcoholic steatohepatitis (NASH) in obese mouse models of biopsy-confirmed
NASH. METHODS: Male wild-type C57BL/6J mice (DIO-NASH) and Lep ob/ob (ob/ob-NASH)
mice were fed a diet high in trans-fat (40%), fructose (20%) and cholesterol (2%)
for 30 and 21 wk, respectively. Prior to treatment, all mice underwent liver
biopsy for confirmation and stratification of liver steatosis and fibrosis, using
the nonalcoholic fatty liver disease activity score (NAS) and fibrosis staging
system. The mice were kept on the diet and received vehicle, liraglutide (0.2
mg/kg, SC, BID), obeticholic acid (OCA, 30 mg/kg PO, QD), or elafibranor (30
mg/kg PO, QD) for eight weeks. Within-subject comparisons were performed on
changes in steatosis, inflammation, ballooning degeneration, and fibrosis scores.
In addition, compound effects were evaluated by quantitative liver histology,
including percent fractional area of liver fat, galectin-3, and collagen 1a1.
RESULTS: Liraglutide and elafibranor, but not OCA, reduced body weight in both
models. Liraglutide improved steatosis scores in DIO-NASH mice only. Elafibranor
and OCA reduced histopathological scores of hepatic steatosis and inflammation in
both models, but only elafibranor reduced fibrosis severity. Liraglutide and OCA
reduced total liver fat, collagen 1a1, and galectin-3 content, driven by
significant reductions in liver weight. The individual drug effects on NASH
histological endpoints were supported by global gene expression (RNA sequencing)
and liver lipid biochemistry. CONCLUSION: DIO-NASH and ob/ob-NASH mouse models
show distinct treatment effects of liraglutide, OCA, and elafibranor, being in
general agreement with corresponding findings in clinical trials for NASH. The
present data therefore further supports the clinical translatability and utility
of DIO-NASH and ob/ob-NASH mouse models of NASH for probing the therapeutic
efficacy of compounds in preclinical drug development for NASH.
PMID- 29375205
TI - INT-767 improves histopathological features in a diet-induced ob/ob mouse model
of biopsy-confirmed non-alcoholic steatohepatitis.
AB - AIM: To characterize the efficacy of the dual FXR/TGR5 receptor agonist INT-767
upon histological endpoints in a rodent model of diet-induced and biopsy
confirmed non-alcoholic steatohepatitis (NASH). METHODS: The effects of INT-767
on histological features of NASH were assessed in two studies using Lepob/ob
(ob/ob) NASH mice fed the AMLN diet (high fat with trans-fat, cholesterol and
fructose). In a proof-of-concept study, Lepob/ob (ob/ob) NASH mice were first
dosed with INT-767 (3 or 10 mg/kg for 8 wk). A second ob/ob NASH study compared
INT-767 (3 and 10 mg/kg) to obeticholic acid (OCA) (10 or 30 mg/kg; 16 wk).
Primary histological endpoints included qualitative and quantitative assessments
of NASH. Other metabolic and plasma endpoints were also assessed. A comparative
assessment of INT-767 and OCA effects on drug distribution and hepatic gene
expression was performed in C57Bl/6 mice on standard chow. C57Bl/6 mice were
orally dosed with INT-767 or OCA (1-30 mg/kg) for 2 wk, and expression levels of
candidate genes were assessed by RNA sequencing and tissue drug levels were
measured by liquid chromatography tandem-mass spectrometry. RESULTS: INT-767 dose
dependently (3 and 10 mg/kg, PO, QD, 8 wk) improved qualitative morphometric
scores on steatohepatitis severity, inflammatory infiltrates and fibrosis stage.
Quantitative morphometric analyses revealed that INT-767 reduced parenchymal
collagen area, collagen fiber density, inflammation (assessed by Galectin-3
immunohistochemistry) and hepatocyte lipid droplet area following INT-767
treatment. In a comparative study (16 wk), the FXR agonists OCA (10 and 30 mg/kg)
and INT-767 (3 and 10 mg/kg) both improved NASH histopathology, with INT-767
exerting greater therapeutic potency and efficacy than OCA. Mechanistic studies
suggest that both drugs accumulate similarly within the liver and ileum, however,
the effects of INT-767 may be driven by enhanced hepatic, but not ileal, FXR
function. CONCLUSION: These findings confirm the potential utility of FXR and
dual FXR/TGR5 activation as disease intervention strategies in NASH.
PMID- 29375206
TI - Novel concept of endoscopic device delivery station system for rapid and tight
attachment of polyglycolic acid sheet.
AB - AIM: To evaluate appropriate and rapid polyglycolic acid sheet (PGAs) covering
time using device delivery station system (DDSS). METHODS: This pilot basic study
was conducted to evaluate the potential of accurate and rapid PGAs delivery using
DDSS. Three 11-mo-old female Beagle dogs were used in this study. Two endoscopic
submucosal dissections (ESDs) 4cm in diameter were performed in lesser curvature
of middle gastric body and greater curvature of antrum (total 6 ESDs performed).
DDSS (3 cm length, 12 mm in outer diameter) has 2 chambers which 16 cm2 large 2
PGAs were stored, and DDSS was attached post ESD ulcers, respectively. Beriplast
P(r) (CSL Behring K.K., Tokyo, Japan) (combination of fibrin glue and thrombin)
was applied equally to the artificial ulcer, and tight attachment of 2 PGAs with
DDSS were completed. The evaluation items were covering times, post ESD bleeding
and perforation during ESD. RESULTS: The covering time of PGAs (defined as the
duration from the beginning of endoscope insertion into the mouth to the end of
the fibrin glue coating process) was 6.07 (4.86-8.29) min. There was no post-ESD
bleeding (1-7 d after ESD), and there was no perforation during ESD. CONCLUSION:
DDSS was very useful for rapid delivering and tight attachment of PGAs, and has
potentials of multi-purpose delivery station system.
PMID- 29375207
TI - beta-arrestin 2 attenuates lipopolysaccharide-induced liver injury via inhibition
of TLR4/NF-kappaB signaling pathway-mediated inflammation in mice.
AB - AIM: To study the role and the possible mechanism of beta-arrestin 2 in
lipopolysaccharide (LPS)-induced liver injury in vivo and in vitro. METHODS: Male
beta-arrestin 2+/+ and beta-arrestin 2-/- C57BL/6J mice were used for in vivo
experiments, and the mouse macrophage cell line RAW264.7 was used for in vitro
experiments. The animal model was established via intraperitoneal injection of
LPS or physiological sodium chloride solution. Blood samples and liver tissues
were collected to analyze liver injury and levels of pro-inflammatory cytokines.
Cultured cell extracts were collected to analyze the production of pro
inflammatory cytokines and expression of key molecules involved in the TLR4/NF
kappaB signaling pathway. RESULTS: Compared with wild-type mice, the beta
arrestin 2 knockout mice displayed more severe LPS-induced liver injury and
significantly higher levels of pro-inflammatory cytokines, including interleukin
(IL)-1beta, IL-6, tumor necrosis factor (TNF)-alpha, and IL-10. Compared with the
control group, pro-inflammatory cytokines (including IL-1beta, IL-6, TNF-alpha,
and IL-10) produced by RAW264.7 cells in the beta-arrestin 2 siRNA group were
significantly increased at 6 h after treatment with LPS. Further, key molecules
involved in the TLR4/NF-kappaB signaling pathway, including phospho-IkappaBalpha
and phosho-p65, were upregulated. CONCLUSION: beta-arrestin 2 can protect liver
tissue from LPS-induced injury via inhibition of TLR4/NF-kappaB signaling pathway
mediated inflammation.
PMID- 29375208
TI - Hepatitis C virus core protein-induced miR-93-5p up-regulation inhibits
interferon signaling pathway by targeting IFNAR1.
AB - AIM: To investigate the mechanism by which hepatitis C virus (HCV) core protein
induced miR-93-5p up-regulation regulates the interferon (IFN) signaling pathway.
METHODS: HCV-1b core protein was exogenously expressed in Huh7 cells using
pcDNA3.1 (+) vector. The expression of miR-93-5p and interferon receptor 1
(IFNAR1) was measured using quantitative reverse transcription-polymerase chain
reaction and Western blot. The protein expression and phosphorylation level of
STAT1 were evaluated by Western blot. The overexpression and silencing of miR-93
5p and IFNAR1 were performed using miR-93-5p agomir and antagomir, and pcDNA3.1
IFNAR1 and IFNAR1 siRNA, respectively. Luciferase assay was used to identify
whether IFNAR1 is a target of miR-93-5p. Cellular experiments were also
conducted. RESULTS: Serum miR-93-5p level was increased in patients with HCV-1b
infection and decreased to normal level after HCV-1b clearance, but persistently
increased in those with pegylated interferon-alpha resistance, compared with
healthy subjects. Serum miR-93-5p expression had an AUC value of 0.8359 in
distinguishing patients with pegylated interferon-alpha resistance from those
with pegylated interferon-alpha sensitivity. HCV-1b core protein increased miR-93
5p expression and induced inactivation of the IFN signaling pathway in Huh7
cells. Furthermore, IFNAR1 was identified as a direct target of miR-93-5p, and
IFNAR1 restore could rescue miR-93-5p-reduced STAT1 phosphorylation, suggesting
that the miR-93-5p-IFNAR1 axis regulates the IFN signaling pathway. CONCLUSION:
HCV-1b core protein-induced miR-93-5p up-regulation inhibits the IFN signaling
pathway by directly targeting IFNAR1, and the miR-93-5p-IFNAR1 axis regulates
STAT1 phosphorylation. This axis may be a potential therapeutic target for HCV-1b
infection.
PMID- 29375209
TI - Transplantation of bone marrow-derived endothelial progenitor cells and
hepatocyte stem cells from liver fibrosis rats ameliorates liver fibrosis.
AB - AIM: To explore the effectiveness for treating liver fibrosis by combined
transplantation of bone marrow-derived endothelial progenitor cells (BM-EPCs) and
bone marrow-derived hepatocyte stem cells (BDHSCs) from the liver fibrosis
environment. METHODS: The liver fibrosis rat models were induced with carbon
tetrachloride injections for 6 wk. BM-EPCs from rats with liver fibrosis were
obtained by different rates of adherence and culture induction. BDHSCs from rats
with liver fibrosis were isolated by magnetic bead cell sorting. Tracing analysis
was conducted by labeling EPCs with PKH26 in vitro to show EPC location in the
liver. Finally, BM-EPCs and/or BDHSCs transplantation into rats with liver
fibrosis were performed to evaluate the effectiveness of BM-EPCs and/or BDHSCs on
liver fibrosis. RESULTS: Normal functional BM-EPCs from liver fibrosis rats were
successfully obtained. The co-expression level of CD133 and VEGFR2 was 63.9% +/-
2.15%. Transplanted BM-EPCs were located primarily in/near hepatic sinusoids. The
combined transplantation of BM-EPCs and BDHSCs promoted hepatic
neovascularization, liver regeneration and liver function, and decreased collagen
formation and liver fibrosis degree. The VEGF levels were increased in the BM
EPCs (707.10 +/- 54.32) and BM-EPCs/BDHSCs group (615.42 +/- 42.96), compared
with those in the model group and BDHSCs group (P < 0.05). Combination of BM
EPCs/BDHSCs transplantation induced maximal up-regulation of PCNA protein and HGF
mRNA levels. The levels of alanine aminotransferase (AST), aspartate
aminotransferase, total bilirubin (TBIL), prothrombin time (PT) and activated
partial thromboplastin time in the BM-EPCs/BDHSCs group were significantly
improved, to be equivalent to normal levels (P > 0.05) compared with those in the
BDHSC (AST, TBIL and PT, P < 0.05) and BM-EPCs (TBIL and PT, P < 0.05) groups.
Transplantation of BM-EPCs/BDHSCs combination significantly reduced the degree of
liver fibrosis (staging score of 1.75 +/- 0.25 vs BDHSCs 2.88 +/- 0.23 or BM-EPCs
2.75 +/- 0.16, P < 0.05). CONCLUSION: The combined transplantation exhibited
maximal therapeutic effect compared to that of transplantation of BM-EPCs or
BDHSCs alone. Combined transplantation of autogenous BM-EPCs and BDHSCs may
represent a promising strategy for the treatment of liver fibrosis, which would
eventually prevent cirrhosis and liver cancer.
PMID- 29375210
TI - Genetic variants of interferon regulatory factor 5 associated with chronic
hepatitis B infection.
AB - AIM: To investigate possible effects of IRF5 polymorphisms in the 3' UTR region
of the IFR5 locus on susceptibility to hepatitis B virus (HBV) infection and
progression of liver diseases among clinically classified Vietnamese patients.
METHODS: Four IFR5 SNPs (rs13242262A/T, rs77416878C/T, rs10488630A/G, and
rs2280714T/C) were genotyped in clinically classified HBV patients [chronic
hepatitis B (CHB). n = 99; liver cirrhosis (LC), n = 131; hepatocellular
carcinoma (HCC), n = 149] and in 242 healthy controls by direct sequencing and
TaqMan real-time PCR assays. RESULTS: Comparing patients and controls, no
significant association was observed for the four IFR5 variants. However, the
alleles rs13242262T and rs10488630G contributed to an increased risk of liver
cirrhosis (LC vs CHB: OR = 1.5, 95%CI: 1.1-2.3, adjusted P = 0.04; LC vs CHB: OR
= 1.7, 95%CI: 1.1-2.6, adjusted P = 0.019). Haplotype IRF5*TCGT constructed from
4 SNPs was observed frequently in LC compared to CHB patients (OR = 2.1, 95%CI:
1.2-3.3, adjusted P = 0.008). Haplotype IRF5*TCAT occurred rather among CHB
patients than in the other HBV patient groups (LC vs CHB: OR = 0.4, 95%CI: 0.2
0.8, adjusted P = 0.03; HCC vs CHB: OR = 0.3, 95%CI: 0.15-0.7, adjusted P =
0.003). The IRF5*TCAT haplotype was also associated with increased levels of ALT,
AST and bilirubin. CONCLUSION: Our study shows that IFR5 variants may contribute
as a host factor in determining the pathogenesis in chronic HBV infections.
PMID- 29375211
TI - Timing of surgery after neoadjuvant chemotherapy for gastric cancer: Impact on
outcomes.
AB - AIM: To evaluate whether the neoadjuvant chemotherapy (NACT)-surgery interval
time significantly impacts the pathological complete response (pCR) rate and long
term survival. METHODS: One hundred and seventy-six patients with gastric cancer
undergoing NACT and a planned gastrectomy at the Chinese PLA General Hospital
were selected from January 2011 to January 2017. Univariate and multivariable
analyses were used to investigate the impact of NACT-surgery interval time (< 4
wk, 4-6 wk, and > 6 wk) on pCR rate and overall survival (OS). RESULTS: The NACT
surgery interval time and clinician T stage were independent predictors of pCR.
The interval time > 6 wk was associated with a 74% higher odds of pCR as compared
with an interval time of 4-6 wk (P = 0.044), while the odds ratio (OR) of
clinical T3vs clinical T4 stage for pCR was 2.90 (95%CI: 1.04-8.01, P = 0.041).
In Cox regression analysis of long-term survival, post-neoadjuvant therapy
pathological N (ypN) stage significantly impacted OS (N0vs N3: HR = 0.16, 95%CI:
0.37-0.70, P = 0.015; N1vs N3: HR = 0.14, 95%CI: 0.02-0.81, P = 0.029) and
disease-free survival (DFS) (N0vs N3: HR = 0.11, 95%CI: 0.24-0.52, P = 0.005;
N1vs N3: HR = 0.17, 95%CI: 0.02-0.71, P = 0.020). The surgical procedure also had
a positive impact on OS and DFS. The hazard ratio of distal gastrectomy vs total
gastrectomy was 0.12 (95%CI: 0.33-0.42, P = 0.001) for OS, and 0.13 (95%CI: 0.36
0.44, P = 0.001) for DFS. CONCLUSION: The NACT-surgery interval time is
associated with pCR but has no impact on survival, and an interval time > 6 wk
has a relatively high odds of pCR.
PMID- 29375212
TI - Predictive and prognostic value of serum AFP level and its dynamic changes in
advanced gastric cancer patients with elevated serum AFP.
AB - AIM: To investigate predictive and prognostic value of serum alpha-fetoprotein
(AFP) level and its dynamic changes in patients with advanced gastric cancer with
elevated serum AFP (AFPAGC). METHODS: One hundred and five patients with AFPAGC
were enrolled in the study, and all of them underwent at least one cycle of
systemic chemotherapy at our institute and had serum AFP >= 20 ng/mL at diagnosis
or recurrence. Clinicopathologic features, serum AFP level at diagnosis and
changes during treatment, first-line chemotherapy regimens, efficacy and
toxicity, and survival information were collected. A Person's chi2 or Fisher's
exact test was used to measure the differences between variables. Survival
prognostic factors were investigated using the Kaplan-Meier method and Cox
regression. RESULTS: Median serum AFP level was 161.7 ng/mL (range, 22.9-2557110
ng/mL). Objective response rates (ORR) was significantly lower in the AFP >= 160
ng/mL group than in the AFP < 160 ng/mL group (30.4% vs 68.3%, P < 0.001). ORR to
doublet regimens was significantly lower in the AFP >= 160 ng/mL group, whereas
ORR to triplet regimens was similar between the two groups. Liver metastasis rate
was significantly higher in the AFP >= 160 ng/mL group than in the AFP < 160
ng/mL (69.8% vs 50.0%, P < 0.001). Overall survival (OS) in the two cohorts did
not show any significant difference (P = 0.712). Dynamic changes of AFP were
consistent with response to chemotherapy, and median OS of patients with a serum
AFP decline >= 50% and those with a serum AFP decline < 50% was 17.5 m and 10.0
m, respectively (P = 0.003). Hepatic (P = 0.005), peritoneal (P < 0.001), non
regional lymph node metastasis (P < 0.001), and portal vein tumor thrombus (PVTT)
(P = 0.042) were identified as independent prognostic factors for AFPAGC.
CONCLUSION: Real-time examination of AFP has great predictive and prognostic
value for managing AFPAGC. For those with markedly elevated AFP, triplet regimens
may be a better choice.
PMID- 29375213
TI - Neoadjuvant chemotherapy for gastric cancer. Is it a must or a fake?
AB - AIM: To investigate the neoadjuvant chemotherapy (NAC) effect on the survival of
patients with proper stomach cancer submitted to D2 gastrectomy. METHODS: We
proceeded to a review of the literature with PubMed, Embase, ASCO and ESMO
meeting abstracts as well as computerized use of the Cochrane Library for
randomized controlled trials (RCTs) comparing NAC followed by surgery (NAC + S)
with surgery alone (SA) for gastric cancer (GC). The primary outcome was the
overall survival rate. Secondary outcomes were the site of the primary tumor,
extension of node dissection according to Japanese Gastric Cancer Association
(JGCA) performed in both arms, disease-specific (DSS) and disease-free survival
(DFS) rates, clinical and pathological response rates and resectability rates
after perioperative treatment. RESULTS: We identified a total of 16 randomized
controlled trials comparing NAC + S (n = 1089) with SA (n = 973) published in the
period from January 1993 - March 2017. Only 6 of these studies were well
designed, structured trials in which the type of lymph node (LN) dissection
performed or at least suggested in the trial protocol was reported. Two out of
three of the RCTs with D2 lymphadenectomy performed in almost all cases failed to
show survival benefit in the NAC arm. In the third RCT, the survival rate was not
even reported, and the primary end points were the clinical outcomes of surgery
with and without NAC. In the remaining three RCTs, D2 lymph node dissection was
performed in less than 50% of cases or only recommended in the "Study Treatment"
protocol without any description in the results of the procedure really perfomed.
In one of the two studies, the benefit of NAC was evident only for
esophagogastric junction (EGJ) cancers. In the second study, there was no overall
survival benefit of NAC. In the last trial, which documented a survival benefit
for the NAC arm, the chemotherapy effect was mostly evident for EGJ cancer, and
more than one-fourth of patients did not have a proper stomach cancer.
Additionally, several patients did not receive resectional surgery. Furthermore,
the survival rates of international reference centers that provide adequate
surgery for homogeneous stomach cancer patients' populations are even higher than
the survival rates reported after NAC followed by incomplete surgery. CONCLUSION:
NAC for GC has been rapidly introduced in international western guidelines
without an evidence-based medicine-related demonstration of its efficacy for a
homogeneous population of patients with only stomach tumors submitted to adequate
surgery following JGCA guidelines with extended (D2) LN dissection. Additional
larger sample-size multicentre RCTs comparing the newer NAC regimens including
molecular therapies followed by adequate extended surgery with surgery alone are
needed.
PMID- 29375214
TI - Clinically diagnosed late-onset fulminant Wilson's disease without cirrhosis: A
case report.
AB - A 64-year-old woman was referred to our hospital with jaundice of the bulbar
conjunctiva and general fatigue. After admission, she developed hepatic
encephalopathy and was diagnosed with fulminant hepatitis based on the American
Association for the Study of Liver Disease (AASLD) position paper. Afterwards,
additional laboratory findings revealed that serum ceruloplasmin levels were
reduced, urinary copper levels were greatly elevated and Wilson's disease (WD)
specific routine tests were positive, but the Kayser-Fleischer ring was not
clear. Based on the AASLD practice guidelines for the diagnosis and treatment of
WD, the patient was ultimately diagnosed with fulminant WD. Then, administration
of penicillamine and zinc acetate was initiated; however, the patient
unfortunately died from acute pneumonia on the 28th day of hospitalization. At
autopsy, the liver did not show a bridging pattern of fibrosis suggestive of
chronic liver injury. Here, we present the case of a patient with clinically
diagnosed late-onset fulminant WD without cirrhosis, who had positive disease
specific routine tests.
PMID- 29375215
TI - Mass forming chronic pancreatitis mimicking pancreatic cystic neoplasm: A case
report.
AB - Mass forming chronic pancreatitis is very rare. Diagnosis could be done by the
pathologic findings of focal inflammatory fibrosis without evidence of tumor in
pancreas. A 34-year-old man presented with right upper abdominal pain for a few
weeks and slightly elevated bilirubin level on clinical findings. Radiological
findings of multidetector-row computed tomography, magnetic resonance (MR)
imaging with MR cholangiopancreatography and endoscopic ultrasonography revealed
focal branch pancreatic duct dilatation with surrounding delayed enhancing solid
component at uncinate process and head of pancreas, suggesting branch duct type
intraductal papillary mucinous neoplasm. Surgery was done and pathology revealed
the focal chronic inflammation, fibrosis, and branch duct dilatation. Herein, I
would like to report the first case report of mass forming chronic pancreatitis
mimicking pancreatic cystic neoplasm.
PMID- 29375216
TI - Successful treatment of a giant ossified benign mesenteric schwannoma.
AB - Primary benign schwannoma of the mesentery is extremely rare. To date, only 9
cases have been reported in the English literature, while mesenteric schwannoma
with ossified degeneration has not been reported thus far. In the present study,
we present the first giant ossified benign mesenteric schwannoma in a 58-year-old
female. Ultrasound, computed tomography and magnetic resonance imaging were used,
but it was still difficult to determine the definitive location and diagnose the
mass. By laparotomy, a 10.0 cm * 9.0 cm * 9.0 cm giant mass was found in the
mesentery and was then completely resected. Microscopically, the tumour located
in the mesentery mainly consisted of spindle-shaped cells with a palisading
arrangement. Some areas of the tumour were ossified, and a true metaplastic bone
formation was observed, with the presence of bone lamellae and osteoblasts.
Immunohistochemical investigation of the tumour located in the mesentery showed
that the staining for the S-100 protein was strongly positive, while the
stainings of SMA, CD34, CD117 and DOG-1 were negative. The cell proliferation
index, measured with Ki67 staining, was less than 3%. Finally, a giant ossified
benign mesenteric schwannoma was diagnosed. After surgery, the patient was
followed up for a period of 43 mo, during which she remained well, with no
evidence of tumour recurrence.
PMID- 29375218
TI - Our Stance towards the 2017 ACC/AHA High Blood Pressure Clinical Practice
Guideline: Has the Pendulum Swung Too Far?
PMID- 29375217
TI - Candida accommodates non-culturable Helicobacter pylori in its vacuole - Koch's
postulates aren't applicable.
AB - The following are the responses to the "letter to the editor" ("Helicobacter is
preserved in yeast vacuoles! Does Koch's postulates confirm it?") authored by
Nader Alipour and Nasrin Gaeini that rejected the methods, results, discussions
and conclusions summarized in the review article authored by Siavoshi F and
Saniee P. In the article, 7 papers, published between 1998 and 2013, were
reviewed. The 7 papers had been reviewed and judged very carefully by the
assigned expertise of the journals involved, including the reviewers of the World
Journal of Gastroenterology (WJG), before publication. In the review article, 121
references were used to verify the methods, results and discussions of these 7
papers. The review article was edited by the trustworthy British editor of the
(WJG), and the final version was rechecked and finally accepted by the reviewers
of (WJG). None of the reviewers made comments like those in this "letter to the
editor", especially the humorous comments, which seem unprofessional and
nonscientific. Above all, the authors' comments show a lack of understanding of
basic and advanced microbiology, e.g. bacterial endosymbiosis in eukaryotic
cells. Accordingly, their comments all through the letter contain misconceptions.
The comments are mostly based on personal conclusions, without any scientific
support. It would have been beneficial if the letter had been reviewed by the
reviewers of the article by Siavoshi and Saniee.
PMID- 29375219
TI - TIMI and GRACE Risk Scores Predict Both Short-Term and Long-Term Outcomes in
Chinese Patients with Acute Myocardial Infarction.
AB - Background: Little is known about the long-term prognostic values of both
thrombolysis in myocardial infarction (TIMI) and Global Registry of Acute Cardiac
Events (GRACE) risk scores (RSs) to the Asian ethnicity. The purpose of this
study is to compare the usefulness of these two scores in risk stratification and
prediction of long-term (up to 3 years) outcomes for Chinese patients with
myocardial infarction (MI). Methods: We calculated the TIMI and GRACE RSs for 726
consecutive patients with MI [55.6% with ST-segment elevation (STEMI) and 44.4%
with non-ST-segment elevation (NSTEMI)]. Results: Although the risk profile of
our population (median TIMI score = 5 for STEMI, 4 for NSTEMI, and median GRACE
score = 164) was higher, the in-hospital mortality (7.1% for NSTEMI and 6.7% for
STEMI) was comparable to that predicted by GRACE RS. The GRACE RS worked well in
predicting short-term and long-term death (C-statistics range 0.710 to 0.789) and
triple (death, MI, and stroke) endpoints (C-statistics range 0.695 to 0.764) in
both subsets of MI. GRACE RS performed significantly better than the TIMIRS in
predicting 3-year mortality in NSTEMI (p = 0.035) and 1-year and 3-year mortality
in STEMI (p = 0.028 and 0.009, respectively). Stratification by tertiles of
GRACERS furnished greater prognostic information versus risk assessment by the
TIMI RS. Conclusions: The use of RSs revealed a fair to good discriminatory
accuracy in predicting both short-term and long-term major adverse cardiac events
in Asian patients with MI. Compared with the simpler TIMI RS, the GRACERS was
more accurate in predicting long-term mortality.
PMID- 29375220
TI - Relationship between Fragmented QRS and NT-proBNP in Patients with ST Elevation
Myocardial Infarction Who Underwent Primary Percutaneous Coronary Intervention.
AB - Background: To investigate the relationship between the presence of fragmented
QRS (fQRS) on electrocardiogram (ECG) and plasma NT-proBNP levels in patients
with ST-elevation myocardial infarction (STEMI) who underwent primary
percutaneous coronary intervention (PCI). The in-hospital prognostic value of the
presence of fQRS was also assessed. Methods: In this retrospective study, 216
patients with STEMI who were undergoing primary PCI were included. fQRS was
identified in ECG following primary PCI. The fQRS included various morphologies
of the QRS (< 120 ms), which included an additional R wave (R') or notching in
the nadir of the S wave, or > 1R' (fragmentation) in 2 contiguous leads,
corresponding to a major coronary artery territory. N-terminal pro-brain
natriuretic peptide (NT-proBNP) levels were measured immediately, 24 and 48 hours
after admition and the peak level was adopted. Patients were divided into two
groups based on the presence (n = 126) or absence (n = 90) of a fQRS on ECG.
Results: NT-proBNP level was found to be significantly higher in fQRS (+) group
compared to the fQRS (-) group (p < 0.001). The in-hospital advanced heart
failure were found to be significantly more frequent in the fQRS (+) group. In
logistic regression analysis, the fQRS >= 3 leads, fQRS in anterior leads, and NT
proBNP were independently related with in-hospital major adverse cardiac events
that includes cardiovascular mortality, reinfarction, advanced heart failure,
repeat target vessel revascularization, ventricular arrhythmias, atrioventricular
block or sroke in the hospital. The area under the curve using the NTpro-BNP
level for the prediction of fQRS was 0.809. Conclusions: The presence of fQRS is
significantly associated with NT-proBNP and left ventricular ejection fraction,
which can predict left ventricular function in patients with STEMI. fQRS is a
prognostic marker of impaired regional ventricular systolic function.
PMID- 29375221
TI - The Association between Monocyte HDL-C Ratio and SYNTAX Score and SYNTAX Score II
in STEMI Patients Treated with Primary PCI.
AB - Background: Monocyte to high-density-lipoprotein cholesterol ratio (MHR) simply
reflects proatherogenic and antiatherogenic balance and high level of this ratio
is associated with severity of coronary atherosclerosis and cardiac events. We
investigated the association between MHR and coronary artery disease severity
using SYNTAX score and SYNTAX score II (SSII) in ST-elevation myocardial
infarction (STEMI) patients treated with primary percutaneous coronary
intervention (pPCI). Methods: A total of 315 consecutive patients with STEMI who
underwent pPCI from January 2014 to January 2016 were enrolled. After exclusion
264 patients remained in the study population. Patients were divided into 2
groups according to median SSII [SSII <= 34.2 as low group (n = 132) and > 34.2
as high group (n = 132)]. Results: Median value of MHR was 10.5 in SSII low group
and 16.1 in SSII high group (p < 0.001). There was a strong correlation between
MHR and SSII (r = 0.580, p < 0.001). Diabetes mellitus [odds ratio (OR): 8.604;
95% confidence interval (CI): 2.469-29.978], glomerular filtration rate (OR:
0.961; 95% CI: 0.939-0.983), infarct related artery of left anterior descending
(LAD) (OR: 7.325; 95% CI: 2.262-23.723), SYNTAX score (OR: 1.422; 95% CI: 1.275
1.585), neutrophil to lymphocyte ratio (NLR) (OR: 1.156; 95% CI: 1.058-1.264) and
MHR (OR: 1.027; 95% CI: 1.013-1.041) were independent predictors of SSII > 34.2
in multivariate analysis. Conclusions: MHR could be a better parameter than NLR
and C-reactive protein at predicting severity of coronary artery disease in STEMI
patients treated with pPCI.
PMID- 29375222
TI - Crusade Microcatheter-Facilitated Reverse Wire Technique for Revascularization of
Bifurcation Lesions of Coronary Arteries.
AB - Background: This study aims to analyze the lesion characteristics of bifurcations
that required reverse wire technique and the efficacy and safety of this
technique in approaching branches with a highly angulated take-off. Methods: We
enrolled patients in whom reverse wire technique was used after failed
conventional antegrade wiring with the support of a Crusade catheter. The study
endpoints were the technical success defined as succeeding in sending the
reversely bent wire to the targeted branches without complications and the
procedural success defined as succeeding in revascularization of the bifurcation
lesions without complications. Results: Among 158 patients with bifurcation
lesions undergoing percutaneous coronary intervention using a Crusade catheter to
facilitate wiring, 23 (14.6%) requiring the reverse wire technique in an attempt
to access branches of the bifurcation lesions with an acutely angulated take-off
were enrolled for analysis. The obtainable angle of take-off was 162.9 +/- 4.7
degrees. For the parent vessel, the ostium of the targeted branch, and
nontargeted branch, the minimal luminal diameters were 0.3 +/- 0.5 mm, 0.4 +/-
0.2 mm, and 1.8 +/- 0.5 mm, respectively; the diameter stenosis were 88.8 +/-
18.5%, 83.0 +/- 7.3%, and 32.0 +/- 14.5%, respectively. Technical and procedural
success was achieved in 22 cases (96% for both). Conclusions: We showed in the
present study that the reverse wire technique is effective and safe for
approaching highly angulated branches of bifurcation lesions and consequently for
complete revascularization of difficult bifurcation lesions.
PMID- 29375223
TI - Use of Internal Endoconduit for Unfavorable Iliac Artery Anatomy in Patients
Undergoing Transcatheter Aortic Valve Replacement - A Single Center Experience.
AB - Background: Transfemoral (TF) access is associated with lower rates of surgical
conversion and mortality compared with non-TF access for transcatheter aortic
valve replacement (TAVR). Therefore, efforts should be made to make this
procedure even less invasive, allowing more TAVR procedures to be performed
through femoral access. We herein describe our single-center experience of using
one-stage percutaneous internal endoconduits for TAVR in patients with
unfavorable iliac artery anatomy. Methods: Between March 2013 andMarch 2016, 113
consecutive patients with severe aortic stenosis at high risk for conventional
cardiac surgery underwent TAVR at the Cheng Hsin General Hospital. The patients
can be divided into Cohort A (March 2013-December 2014) and Cohort B (January
2015-March 2016). Results: In the Cohort A, 6 out of the 53 (11.4%) with
unfavorable iliac artery anatomy were treated by trans-subclavian approach (n =
3, 5.7%) or direct aortic approach (n = 3, 5.7%); while in the Cohort B, none
(0%) of the 5 patients with unfavorable iliac artery anatomy among 60 consecutive
TAVR cases needed non-TF approach (Cohort A vs. Cohort B = 11.4% vs. 0%, p =
0.024) and they were all successfully treated with the use of an internal
endoconduit. Conclusions: The use of internal endoconduits can further increase
the number of patients who can be treated through femoral artery access for TAVR
and substantially reduce the need of non-TF approaches.
PMID- 29375225
TI - Low Dose Spironolactone Monotherapy in the Management of Stage I Essential
Hypertension: A Pilot Randomized, Double-Blind, Placebo-Controlled Trial.
AB - Background: High blood pressure (BP) is a common chronic disease needs long life
drug consumption to control. Spironolactone could be used as the fourth-line
therapy in patients with resistant hypertension. However, there is no study to
determine the effects of low dose spironolactone as a first line therapy in
treatment of essential hypertension. The aim of this study is to investigate the
effect of low dose spironolactone monotherapy in management of essential
hypertension. Methods: In this double blind randomized clinical trial, 40
patients who had stage I essential hypertension were randomly divided into two
groups: intervention group received spironolactone 25 milligram once daily for
one month and control group received placebo once daily. At the baseline and
after one month, 24-hour BP holter-monitoring and serum potassium assay were
done. Results: Systolic BP was reduced from 143.5 +/- 8.2 mmHg to 137.10 +/- 7.57
mmHg in the intervention group, while it did not change significantly in control
(between group treatment difference = -4.5 mmHg, p = 0.004). There was no
significant reduction of diastolic BP in the intervention group in comparison to
placebo group (between group treatment difference = -1.3 mmHg, p = 0.099).
Conclusions: Short course monotherapy with low dose spironolactone is effective
in reducing systolic BP in patients with stage I essential hypertension.
PMID- 29375224
TI - Safety and Efficacy of Epicardial Ablation of Ventricular Tachyarrhythmias:
Experience from a Tertiary Referral Center in Taiwan.
AB - Background: Epicardial catheter ablation has been shown to be an effective
strategy for treating ventricular arrhythmias (VA). We investigated the efficacy
and safety from a tertiary referral center in Taiwan. Methods: From 2010 to 2016,
patients undergoing epicardial ablation for VAs were consecutively enrolled. The
clinical characteristics, disease entity, electrophysiological studies, and
ablation outcome were extracted for further analysis. Results: A total of 80
patients were eligible, including 34 patients for arrhythmogenic right
ventricular cardiomyopathy (ARVC), 16 for Brugada syndrome (BrS), 13 for
idiopathic VAs, 11 for idiopathic dilated cardiomyopathy (IDCM), 2 for ischemic
cardiomyopathy, and 4 for other nonischemic cardiomyopathies (NICM). Epicardial
ablation rendering VAs non-inducible was achieved in 78 patients (97.5%). There
were no procedure-related deaths. Major complications were reported in 8 (10.0%)
patients, including an acute hemopericardium in 5 (6.3%), delayed tamponade in 1
(1.3%), hemothorax in 1 (1.3%), and major pericardial reaction in 1 (1.3%). Two
(2.7%) patients died due to causes other than procedure-related deaths. After a
mean follow-up of 31 +/- 15 months, 20 patients (25.0%) presented with VA
recurrences, including 13 with ARVC, 1 with BrS, 1 with idiopathic VAs, 4 with
IDCM, and 1 with other NICM. Conclusions: In this tertiary referral center's
experience, the complication rate of an epicardial approach was acceptable.
Patients with NICM displayed a growing trend for a referral for epicardial
ablation. The long-term follow-up demonstrated that an epicardial ablation for
idiopathic VAs and BrS was associated with a better prognosis than that for the
other etiologies.
PMID- 29375226
TI - Increased Risk of Venous Thromboembolism in Women with Uterine Leiomyoma: A
Nationwide, Population-Based Case-Control Study.
AB - Background: Venous thromboembolism (VTE) is a sex-specific disease that has
different presentations between men and women. Women with uterine leiomyoma can
present with VTE without exhibiting the traditional risk factors. We investigated
the relationship between a history of uterine leiomyoma and the risk of VTE using
the National Health Insurance Research Database (NHIRD). Methods: We conducted a
retrospective, nationwide, population-based case-control study using the NHIRD.
We identified 2,282 patients with diagnosed VTE and 392,635 subjects without VTE
from 2000 to 2013. After development of an age and index diagnosis year frequency
matched model and propensity score-matched model, 2 models with a case-to-control
ratio of 1 to 4 were established. Using the diagnosis of uterine leiomyoma as the
exposure factor, conditional logistic regression was performed to examine the
association between uterine leiomyoma and VTE. Multiple logistic regression
analysis was used to investigate the joint effect of uterine leiomyoma and
comorbid diseases on the risk of VTE. Results: A strong association was observed
between uterine leiomyoma and VTE in the overall patient model, frequency-matched
model and propensity score-matched model [p < 0.0001, odds ratio (OR): 1.547; p =
0.0005, OR: 1.486; p = 0.0405, OR: 1.26, respectively]. In the subgroup analyses,
women with uterine leiomyoma who were >= 45 years old were less likely to
experience VTE, but women with uterine leiomyoma and anemia, cancer, coronary
artery disease or heart failure were more likely to experience VTE. Conclusions:
Women with uterine leiomyomas have an increased risk of developing VTE,
especially during reproductive periods or in the presence of specific diseases.
PMID- 29375227
TI - MiRNA Signaling in Viral Myocarditis Novel and Unique Pathological Features.
AB - Background: Micro-RNAs (miRNAs) are small non-coding RNAs that modulate many
target genes. Viral myocarditis is common cardiomyopathy, however, there is an
absence of effective therapeutic strategies for viral myocarditis (VMC). The
purpose of this research was to characterize changes in miRNAs expression in VMC
mice. Methods: Atrial myocytes were infected coxsackievirus B3 and miRNAs
microarray was performed. miRNAs target predicted and the bioinformatics analysis
was carried out by gene ontology (GO) and KEGG pathway analysis. To validate the
results, Difference miRNAs were identified in heart of mice by real-time
polymerase chain reaction (PCR). Results: We identified 94 miRNAs that were
differentially expressed (27 were up-regulated and 67 were down-regulated by at
least 2.0-fold). Real time PCR analysis has confirmed that the expression levels
of 7 miRNAs up-regulated, 18 miRNAs down-regulated. They were mainly involved in
protein binding, small GTPase mediated signal transduction, protein
phosphorylation by GO. Pathway analysis showed that a significant enrichment in
several pathways related to cAMP signaling pathway, AMPK signaling pathway, RAS
signaling pathway, Rap1 signaling pathway, ErbB signaling pathway, Oxytocin
signaling pathway. Conclusions: Our results provide a better understanding of the
mechanisms of viral myocarditis pathophysiology.
PMID- 29375228
TI - Balloon Aortic Valvuloplasty in a Premature Neonate with Critical Aortic Valve
Stenosis Weighing 1493 g.
AB - The use of balloon aortic valvuloplasty for congenital aortic valve stenosis was
well established in literatures. However, balloon aortic valvuloplasty performed
in low body weight neonates had been infrequently reported. Here we report a 5
day-old premature neonate diagnosed critical aortic valve stenosis. Balloon
aortic valvuloplasty was performed as first-line therapy while the patient
weighed only 1493 g. Balloon aortic valvuloplasty went successfully with
transvalvular pressure gradient decreased from 80 mmHg to 44 mmHg. Aortic
regurgitation after balloon aortic valvuloplasty was mild. The patient's clinical
condition stabilized after balloon aortic valvuloplasty and was able to gain
weight to 2665 g. Our report demonstrates that balloon aortic valvuloplasty is
possible, safe and efficient as first-line approach for critical aortic valve
stenosis in neonates with low body weight.
PMID- 29375229
TI - Coexistence of Gitelman Syndrome and Hypertrophic Cardiomyopathy in a Pregnant
Woman.
AB - Gitelman syndrome (GS) is transmitted as an autosomal recessive trait and
characterized by hypokalemic metabolic alkalosis in combination with significant
hypomagnesemia and low urinary calcium excretion. The symptoms and severity of
the disease can vary greatly from one person to another and can range from mild
to severe. Sudden cardiac arrest has been reported occasionally as well. Here,
for the first time, we reported a 34-year-old pregnant GS woman who was diagnosed
to have hypertrophic obstructive cardiomyopathy during her cardiac examination
for the complaints of palpitation and presyncope.
PMID- 29375230
TI - Prolonged First-Dose Hypotension Induced by Sacubitril/Valsartan.
AB - Entresto was recommanded by major guidelines as the frontline therapy for heart
failure with reduced ejection fraction since its clinical benefit was proved by
the PARADIGM-HF trial. Angiotensin converting enzyme inhibitors are the
cornerstone of the treatment of HF. Varying incidences of first-dose hypotension
have been reported and recognized as a potential limiting factor for prescribing.
According to previous reports, the onset of hypotension mostly occur 3-5 hours
after the first dose. However, the pattern of entresto-related hypotension has
not been reported. We present a case of HF, who had delay onset (about 8 to 18
hours) and prolonged (3 to 6 days) first-dose hypotension. Further investigation
is required to illustrate this phenomenon.
PMID- 29375231
TI - Recruiting an Acute Coronary Team to Perform Emergent Mechanical Thrombectomy in
Acute Ischemic Stroke Patients: A Successful Case and Team Model in a Local
Hospital.
AB - Stroke treatment has entered a new era after the publication of multiple
randomized trials involving the use of a stent retriever since 2015. In Taiwan,
the demand for interventional neuroradiologists to perform mechanical
thrombectomy is high. For this reason, providing this standard care requires
reshaping of the care model. Here, we report our experience in recruiting an
acute coronary care team to perform emergent mechanical thrombectomy, which is
essential in a patient with acute ischemic stroke.
PMID- 29375232
TI - Acute Myocardial Infarction and Concomitant Stroke as the Manifestations in a
Patient with Type A Aortic Dissection: A Case Report with Three Years of Follow
Up.
AB - A 55-year-old male patient presented with repeated acute retrosternal chest pain.
Twelve-lead electrocardiogram and cardiac enzymes revealed non-ST elevation
myocardial infarction. He was treated as non-ST elevation myocardial infarction
at first. The symptoms of left-sided hemiparesis and aphasia occurred later on
after admission. The results of emergent brain computed tomography and magnetic
resonance imagining demonstrated acute stroke. The unusual presentation warned us
of the possibility of aortic dissection. Besides the reports of heart and vessels
computed tomography indicated aortic dissection as the underlying cause. Emergent
surgical repair with preservation of the aortic valve led to a good recovery of
heart and cerebral function. To the best of our knowledge, there were only three
cases in the review of literature presenting with acute myocardial infarction and
concurrent stroke resulting from acute aortic dissection.
PMID- 29375233
TI - Extremal values on Zagreb indices of trees with given distance k-domination
number.
AB - Let [Formula: see text] be a graph. A set [Formula: see text] is a distance k
dominating set of G if for every vertex [Formula: see text], [Formula: see text]
for some vertex [Formula: see text], where k is a positive integer. The distance
k-domination number [Formula: see text] of G is the minimum cardinality among all
distance k-dominating sets of G. The first Zagreb index of G is defined as
[Formula: see text] and the second Zagreb index of G is [Formula: see text]. In
this paper, we obtain the upper bounds for the Zagreb indices of n-vertex trees
with given distance k-domination number and characterize the extremal trees,
which generalize the results of Borovicanin and Furtula (Appl. Math. Comput.
276:208-218, 2016). What is worth mentioning, for an n-vertex tree T, is that a
sharp upper bound on the distance k-domination number [Formula: see text] is
determined.
PMID- 29375234
TI - Exact recovery of sparse multiple measurement vectors by [Formula: see text]
minimization.
AB - The joint sparse recovery problem is a generalization of the single measurement
vector problem widely studied in compressed sensing. It aims to recover a set of
jointly sparse vectors, i.e., those that have nonzero entries concentrated at a
common location. Meanwhile [Formula: see text]-minimization subject to matrixes
is widely used in a large number of algorithms designed for this problem, i.e.,
[Formula: see text]-minimization [Formula: see text] Therefore the main
contribution in this paper is two theoretical results about this technique. The
first one is proving that in every multiple system of linear equations there
exists a constant [Formula: see text] such that the original unique sparse
solution also can be recovered from a minimization in [Formula: see text] quasi
norm subject to matrixes whenever [Formula: see text]. The other one is showing
an analytic expression of such [Formula: see text]. Finally, we display the
results of one example to confirm the validity of our conclusions, and we use
some numerical experiments to show that we increase the efficiency of these
algorithms designed for [Formula: see text]-minimization by using our results.
PMID- 29375235
TI - Bounds for the M-spectral radius of a fourth-order partially symmetric tensor.
AB - M-eigenvalues of fourth-order partially symmetric tensors play an important role
in many real fields such as quantum entanglement and nonlinear elastic materials
analysis. In this paper, we give two bounds for the maximal absolute value of all
the M-eigenvalues (called the M-spectral radius) of a fourth-order partially
symmetric tensor and discuss the relation of them. A numerical example is given
to explain the proposed results.
PMID- 29375236
TI - Discussion of some inequalities via fractional integrals.
AB - Recently, many generalizations and extensions of well-known inequalities were
obtained via different kinds of fractional integrals. In this paper, we show that
most of those results are particular cases of (or equivalent to) existing
inequalities from the literature. As consequence, such results are not real
generalizations.
PMID- 29375237
TI - New verifiable stationarity concepts for a class of mathematical programs with
disjunctive constraints.
AB - In this paper, we consider a sufficiently broad class of non-linear mathematical
programs with disjunctive constraints, which, e.g. include mathematical programs
with complemetarity/vanishing constraints. We present an extension of the concept
of [Formula: see text]-stationarity which can be easily combined with the well
known notion of M-stationarity to obtain the stronger property of so-called
[Formula: see text]-stationarity. We show how the property of [Formula: see text]
stationarity (and thus also of M-stationarity) can be efficiently verified for
the considered problem class by computing [Formula: see text]-stationary
solutions of a certain quadratic program. We consider further the situation that
the point which is to be tested for [Formula: see text]-stationarity, is not
known exactly, but is approximated by some convergent sequence, as it is usually
the case when applying some numerical method.
PMID- 29375238
TI - Monosubstituted Phenylboronic Acids, R-B(OH)2 (R = C6H5, C6H4CH3, C6H4NH2,
C6H4OH, and C6H4F): A Computational Investigation.
AB - Phenylboronic acids (PBAs) are an important class of compounds with diverse
applications in synthetic, biological, medicinal, and materials chemistry. In
this investigation we report structural and thermochemical parameters for several
monosubstituted ortho, meta, and para PBAs, R-B(OH)2 (R = C6H5, C6H4CH3, C6H4NH2,
C6H4OH, and C6H4F). Equilibrium geometries of all the PBAs discussed in this
article were obtained using second-order Moller-Plesset perturbation theory (MP2)
with the Dunning-Woon aug-cc-pVDZ basis set; heats of formation (HOF) were
calculated at the Gaussian-3 (G3) level of theory. The endo-exo conformers of all
the positional isomers of these PBAs were lowest in energy. Using HOF for the
monosubstituted PBAs calculated at the G3 level of theory, in conjunction with
the experimental HOF for benzene, toluene, aniline, phenol, and fluorobenzene,
the values of [Formula: see text] for the transfer processes C6H6 + C6H4X-B(OH)2
> C6H5X + C6H5-B(OH)2 (X = CH3, NH2, OH, and F) are found to be in good agreement
with values of [Formula: see text] calculated at the MP2(FC)/aug-cc
pVTZ//MP2(FC)/aug-cc-pVTZ computational level; the bonding in the reactants and
products for these transfer reactions are well-matched and thermochemical
calculations at this level are expected to be very accurate, providing checks on
the G3 HOF calculations.
PMID- 29375240
TI - Learning to Compose Domain-Specific Transformations for Data Augmentation.
AB - Data augmentation is a ubiquitous technique for increasing the size of labeled
training sets by leveraging task-specific data transformations that preserve
class labels. While it is often easy for domain experts to specify individual
transformations, constructing and tuning the more sophisticated compositions
typically needed to achieve state-of-the-art results is a time-consuming manual
task in practice. We propose a method for automating this process by learning a
generative sequence model over user-specified transformation functions using a
generative adversarial approach. Our method can make use of arbitrary, non
deterministic transformation functions, is robust to misspecified user input, and
is trained on unlabeled data. The learned transformation model can then be used
to perform data augmentation for any end discriminative model. In our
experiments, we show the efficacy of our approach on both image and text
datasets, achieving improvements of 4.0 accuracy points on CIFAR-10, 1.4 F1
points on the ACE relation extraction task, and 3.4 accuracy points when using
domain-specific transformation operations on a medical imaging dataset as
compared to standard heuristic augmentation approaches.
PMID- 29375241
TI - Barriers to Healthcare for American Indians Experiencing Homelessness.
AB - Members of American Indian (AI) communities face many barriers to receiving both
mental and physical healthcare. These barriers can have a negative effect on
overall health. Barriers are compounded for AIs who are also experiencing
homelessness, and AI make up a disproportionate percentage of the homeless
population nationwide. In-depth semi-structured interviews were conducted with 12
service providers and 16 homeless participants in a mid-size Midwestern city to
identify barriers to care for homeless participants. Key barriers identified in
this study for homeless participants were: transportation, phone accessibility,
discrimination, and cold and bureaucratic cultures of healthcare systems. Major
barriers identified by service providers were: access to care, discrimination and
mistrust, and restrictive policies. Given generally higher disease prevalence
within the homeless population and health disparities within the AI community,
steps should be taken to reduce barriers to healthcare.
PMID- 29375239
TI - Human Genetic Adaptation to High Altitudes: Current Status and Future Prospects.
AB - The question of whether human populations have adapted genetically to high
altitude has been of interest since studies began there in the early 1900s.
Initially there was debate as to whether genetic adaptation to high altitude has
taken place based, in part, on disciplinary orientation and the sources of
evidence being considered. Studies centered on short-term responses, termed
acclimatization, and the developmental changes occurring across lifetimes. A
paradigm shift occurred with the advent of single nucleotide polymorphism (SNP)
technologies and statistical methods for detecting evidence of natural selection,
resulting in an exponential rise in the number of publications reporting genetic
adaptation. Reviewed here are the various kinds of evidence by which adaptation
to high altitude has been assessed and which have led to widespread acceptance of
the idea that genetic adaptation to high altitude has occurred. While
methodological and other challenges remain for determining the specific gene or
genes involved and the physiological mechanisms by which they are exerting their
effects, considerable progress has been realized as shown by recent studies in
Tibetans, Andeans and Ethiopians. Further advances are anticipated with the
advent of new statistical methods, whole-genome sequencing and other molecular
techniques for finer-scale genetic mapping, and greater intradisciplinary and
interdisciplinary collaboration to identify the functional consequences of the
genes or gene regions implicated and the time scales involved.
PMID- 29375242
TI - CFD gas distribution analysis for different continuous-miner scrubber redirection
configurations.
AB - The U.S. National Institute for Occupational Safety and Health (NIOSH)'s
Pittsburgh Mining Research Division (PMRD) recently developed a series of models
using computational fluid dynamics (CFD) to study gas distribution around a
continuous mining machine with various fan-powered flooded bed scrubber discharge
configurations in an exhaust curtain working face. CFD models utilizing species
transport model without reactions in FLUENT were constructed to evaluate the
redirection of scrubber discharge toward the mining face rather than behind the
return curtain. The study illustrates the gas distribution in the slab (second)
cut. The following scenarios are considered in this study: 100 percent of the
discharge redirected back toward the face on the off-curtain side; 100 percent of
the discharge redirected back toward the face, but divided equally to both sides;
and 15 percent of the discharge redirected toward the face on the off-curtain
side, with 85 percent directed toward the return curtain. These models are
compared against a model with a conventional scrubber discharge where air is
directed away from the face into the return. The models were validated against
experimental data, proving to accurately predict sulfur hexafluoride (SF6) gas
levels at four gas monitoring locations. This study includes a predictive
simulation examining a 45 degrees scrubber angle compared with the 23 degrees
angle for the 100 percent redirected, equally divided case. This paper describes
the validation of the CFD models based on experimental data of the gas
distribution results.
PMID- 29375243
TI - Strategic Talk in Film.
AB - Conversational robots and agents are being designed for educational and/or
persuasive tasks, e.g., health or fitness coaching. To pursue such tasks over a
long time, they will need a complex model of the strategic goal, a variety of
strategies to implement it in interaction, and the capability of strategic talk.
Strategic talk is incipient ongoing conversation in which at least one
participant has the objective of changing the other participant's attitudes or
goals. The paper is based on the observation that strategic talk can stretch over
considerable periods of time and a number of conversational segments. Film
dialogues are taken as a source to develop a model of the strategic talk of
mentor characters. A corpus of film mentor utterances is annotated on the basis
of the model, and the data are interpreted to arrive at insights into mentor
behavior, especially into the realization and sequencing of strategies.
PMID- 29375244
TI - Elastic Modulus of Osteoporotic Mouse Femur Based on Femoral Head Compression
Test.
AB - A biomechanical test is a good evaluation method that describes the structural,
functional, and pathological differences in the bones, such as osteoporosis and
fracture. The tensile test, compression test, and bending test are generally
performed to evaluate the elastic modulus of the bone using mice. In particular,
the femoral head compression test is mainly used for verifying the osteoporosis
change of the femoral neck. This study conducted bone mineral density analysis
using in vivo microcomputed tomography (micro-CT) to observe changes in
osteoporosis over time. It proposed a method of identifying the elastic modulus
of the femur in the normal group (CON group) and the osteoporotic group (OVX
group) through finite element analysis based on the femoral head compression test
and also conducted a comparative analysis of the results. Through the femoral
head compression test, it was verified that the CON group's ultimate and yield
loads were significantly higher than those of the OVX group. It was considered
that this result was caused by the fact that the bone mineral density change by
osteoporosis occurred in the proximal end more often than in the femur diaphysis.
However, the elastic modulus derived from the finite element analysis showed no
significant difference between the two groups.
PMID- 29375245
TI - Epidemiology of Chikungunya fever outbreak in Western Jamaica during July
December 2014.
AB - Objective: Our study describes the 2014 Chikungunya outbreak in Western Jamaica
in terms of geographic distribution and trend of the outbreak over time, and
evaluates clinical symptoms of the disease based on pre-existing conditions.
Methods: We conducted a retrospective, cross-sectional study of 609 clinically
defined Chikungunya virus (CHIKV) fever cases that occurred in the four parishes
of the Western Regional Health Authority of Jamaica from July 2014 to December
2014. Cases were not confirmed by laboratory tests but met clinical and
epidemiological criteria of CHIKV fever. Results: Our results show a propagated
spread of CHIKV fever during the outbreak period with the peak at the end of
October. Main urban cities, such as Montego Bay and Lucea, were identified as
places that had high numbers of cases. Fever and arthralgia were the two most
common clinical symptoms in CHIKV patients. Although a majority (80%) of infants
aged <2 years had up to four symptoms (80%), the percentage of infants with
higher numbers of symptoms (9-10) was higher than in older age groups. However,
back pain was found to occur significantly more in older patients. Those with
arthritis as a pre-existing condition were more likely to experience headache,
asthenia, back pain, and periarticular edema. Conclusion: These findings can help
public health officials develop more effective programs to prevent the spread of
CHIKV outbreaks by focusing on crowded urban cities. The findings indicate that
those who are likely to develop a higher number of symptoms, such as young
infants and people with pre-existing conditions, such as arthritis, should be
more closely monitored to better manage the disease outcome.
PMID- 29375247
TI - 'Homework' and Transnational Adoption Screening in Spain: The Co-Production of
Home and Family.
PMID- 29375246
TI - Effects of Chronic Musculoskeletal Pain on Fertility Potential in Lean and
Overweight Male Patients.
AB - Both chronic pain and obesity are known to affect reproductive hormone profiles
in male patients. However, the effect of these conditions, alone or in
combination, on male fertility potential has received less attention. 20 chronic
musculoskeletal pain patients and 20 healthy controls were divided into lean and
overweight subgroups according to their BMI. Current level of chronic pain
(visual analogue scale) and pressure pain thresholds (PPTs) in 16 predefined
sites, classically described and tested as painful points on the lower body, were
measured. Levels of reproductive hormone and lipid profiles were assessed by
ELISA. Sperm concentration and motility parameters were analyzed using a computer
aided sperm analysis system. Sperm concentration, progressive motility, and
percentage of hyperactivated sperm were generally lower in the chronic pain
patients in both lean and overweight groups. The overweight control and the lean
chronic pain groups demonstrated a significantly lower percentage of
progressively motile sperm compared with the lean control group, suggesting that
musculoskeletal chronic pain may have a negative influence on sperm quality in
lean patients. However, due to the potential great negative influence of obesity
on the sperm parameters, it is difficult to propose if musculoskeletal chronic
pain also influenced sperm quality in overweight patients. Further research in
chronic pain patients is required to test this hypothesis.
PMID- 29375248
TI - Development of an instrument to measure Faculty's information and communication
technology access (FICTA).
AB - The phenomenon of "digital divide" is complex and multidimensional, extending
beyond issues of physical access. The purpose of this study was to develop a
scale to measure a range of factors related to digital divide among higher
education faculty and to evaluate its reliability and validity. Faculty's
Information and Communication Technology Access (FICTA) scale was tested and
validated with 322 faculty teaching in public and private sector universities.
Principal components analysis with varimax rotation confirmed an 8-factor
solution corresponding to various dimensions of ICT access. The 57-item FICTA
scale demonstrated good psychometric properties and offers researchers a tool to
examine faculty's access to ICT at four levels - motivational, physical, skills,
and usage access.
PMID- 29375249
TI - Robust estimation of encouragement-design intervention effects transported across
sites.
AB - We develop robust targeted maximum likelihood estimators (TMLE) for transporting
intervention effects from one population to another. Specifically, we develop
TMLE estimators for three transported estimands: intent-to-treat average
treatment effect (ATE) and complier ATE, which are relevant for encouragement
design interventions and instrumental variable analyses, and the ATE of the
exposure on the outcome, which is applicable to any randomized or observational
study. We demonstrate finite sample performance of these TMLE estimators using
simulation, including in the presence of practical violations of the positivity
assumption. We then apply these methods to the Moving to Opportunity trial, a
multi-site, encouragement-design intervention in which families in public housing
were randomized to receive housing vouchers and logistical support to move to low
poverty neighborhoods. This application sheds light on whether effect differences
across sites can be explained by differences in population composition.
PMID- 29375250
TI - Alexithymia, Defenses, and Ego Strength: Cross-sectional and Longitudinal
Relationships with Psychological Well-Being and Depression.
AB - Affect regulation is important to mental health. A deficit in one's ability to
identify and express emotions (alexithymia), cognitive styles of regulating
emotional conflict (defenses), and the capacity for integrative and complex self
other understanding (ego strength or maturity) need to be studied to understand
how they relate to each other as well as to mental health and well-being. A
sample of 415 community-dwelling adults from a major metropolitan area in the
Midwest U.S., stratified for gender, age, and ethnicity, completed three
methodologically different measures of affect regulation along with measures of
well-being and depression. Six years later, 49% of the sample again reported
their well-being and depression. At baseline, ego strength and the defenses of
principalization and reversal correlated negatively with alexithymia and the
other defenses (turning against self, turning against object and projection),
even after controlling for negative affect. Cross-sectionally, relationships were
largely as hypothesized, with low alexithymia, use of mature defenses, and
greater ego strength correlating with less depression and greater well-being,
although some of these relationships were attenuated after controlling for
negative affect. Prospectively, each of the affect regulation measures predicted
hypothesized changes in well-being after 6 years, after controlling for baseline
well-being, but affect regulation did not predict changes in depression. These
findings illuminate similarities and differences among these affect regulation
constructs, suggest the importance of differentiating well-being from depression,
and reveal that affect regulation uniquely predicts changes in long-term well
being.
PMID- 29375251
TI - Associated factors to the adults older than 50 years corresidence by rural-urban
condition.
AB - Based on the information generated by the National Census of Health and Aging in
Mexico (Enasem, 2001), the following article analyzes the influence of some
demographic, socioeconomic and health variables in the residential arrangements
of adults older than 50 years of age in Mexico. Using descriptive statistics and
parting from the construction of two m-logit models, it is found that most of the
people of more than 50 years share dwelling with their children, particularly the
single ones. The coresidence with married children occurs with more frequency
when seniors do not have couple and present functional deterioration.
PMID- 29375252
TI - PROGNOSTIC FACTORS IN PATIENTS WITH PRESSURE SORES IN A UNIVERSITY HOSPITAL IN
SOUTHERN BRAZIL.
AB - Objective: Despite advances in medical care, patients who are hospitalized or
have spinal cord injuries often develop pressure sores. The objective of this
study was to describe the epidemiological characteristics of pressure sores and
evaluate factors associated with recurrence and cure. Methods: In this historical
cohort study, clinical and laboratory data were collected from medical records
between 1997 and 2016. Results: Sixty individuals with pressure ulcers were
included; mean patient age was 38.1+/-16.5 (37.0) years, 83.3% were men, and
86.8% identified as white. Most patients (85.1%) had paraplegia, amputation, or
trauma of the lower limbs with motor sequelae; the remainder (14.9%) were
quadriplegic. Most (78.3%) underwent surgery, and the mean follow-up time was
1.8+/-2.5 years. The lesions were cured in 25 patients; they recurred in 25% of
the patients, and recurrence was seen to be associated with the location of the
lesions. Patients with recurrent lesions had more medical consultations and a
longer treatment time. Individuals whose ulcers had healed had fewer lesions,
higher body mass index (BMI), and a higher proportion of these patients underwent
surgery. Conclusions: BMI and location and number of lesions are prognostic
factors. Level of Evidence IV, Case Series.
PMID- 29375253
TI - EVALUATION OF FUNCTIONAL CHARACTERISTICS IN PATIENTS WITH KNEE OSTEOARTHRITIS.
AB - Objective: This study evaluated the physical and functional characteristics of
Turkish patients with knee osteoarthritis and how this disease affects their
physical and functional status. Methods: This study included 320 patients, who
were evaluated to assess body mass index (BMI) and Hospital for Special Surgery
(HSS) score in terms of age, sex and functional characteristics. Results: Mean
patient age was 66.92+/-8.89 years and mean BMI was 31.02+/-5.20 kg/m2. Mean
patient HSS score was 58.70+/-11.08. According to their sit-to-stand test
results, 33% of the patients (n=104) were found to be independent. There was a
significant relationship between BMI and functional activity score (p<0.05).
Conclusions: The majority of the patients in our study were female and obese, and
had low functionality levels. Function in patients with OA is restricted as a
result of excess weight, so preventive measures can help Turkish patients with OA
maintain their ideal weight. Furthermore, patient education can be help this
population acquire the habit of regular exercise in order to reduce pain and
improve their physical activity and quality of life. Level of Evidence IV, Case
Series.
PMID- 29375254
TI - LATE EVALUATION OF PATIENTS UNDERGOING MANIPULATION OF THE KNEE AFTER TOTAL
ARTHROPLASTY.
AB - Objective: We compared gains in range of motion in patients who underwent
manipulation within 12 weeks of total knee arthroplasty (TKA) and after this
period. We also evaluated maintenance of the arc obtained from knee manipulation
in late follow-up, along with factors associated with poorer outcomes. Method:
The study was divided into two groups according to the time after TKA; the
surgeries took place between January 2008 and December 2014. Results: When
comparing the range of motion between early and late manipulations, the group
that underwent manipulation within 12 weeks of the TKA exhibited better outcomes,
but these were not statistically significant. We observed that 14.3% of cases
retained the same range attained at the time of manipulation. In late evaluation
after manipulation, 47.7% of the sample had a range of less than 90 degrees. The
significant risk factors for recurrence of knee stiffness in the long term are
poor range of motion before TKA and before manipulation, female sex, and
secondary arthritis. Conclusion: Women previously diagnosed with secondary
osteoarthritis and poor range of motion before TKA or manipulation are at higher
risk for late stiffness. Level of Evidence III, Retrospective Comparative Study.
PMID- 29375255
TI - MAXILLOFACIAL TRAUMA, ETIOLOGY AND PROFILE OF PATIENTS: AN EXPLORATORY STUDY.
AB - Objective: To describe the profile of patients with facial trauma admitted in a
hospital located in a metropolitan area of Northeast Brazil. Methods: A cross
sectional and exploratory study was performed. A total of 244 cases were in
agreement with the eligibility criteria. The variables include the
sociodemographic characteristics of patients, etiology, type of trauma, treatment
modalities, length of stay in a hospital and quarter of care. Descriptive
statistics and Cluster Analysis were performed. Results: The average age of
patients was 31.16 years (SD = 15.17 years) and average hospitalization was 6.32
days (SD = 7.75 days). It was verified the automatic formation of four clusters
with different profiles of patients. The variables which most contributed to the
external differentiation between clusters were: length of stay in a hospital (p
<0.001), etiology (p <0.001), type of facial trauma (p <0.001), presence of
associated trauma (p <0.001), treatment modalities (p <0.001) and quarter of care
(p <0.001). Conclusion: The most of patients were men, victims of traffic
accidents, which suffered fracture of zygomatic complex and underwent surgery.
Level of Evidence III, Retrospective Study.
PMID- 29375256
TI - PREVALENCE OF OSTEOPOROSIS AND HYPOVITAMINOSIS D AT SIRIRAJ METABOLIC BONE
DISEASE CLINIC.
AB - Objective: To identify the prevalence of osteoporosis and hypovitaminosis D among
patients at the Siriraj Metabolic Bone Disease (MBD) Clinic, and to compare
initial vitamin D levels in patients with and without a history of fragility
fractures. Methods: Medical records of patients who attended our MBD clinic
between 2012 and 2015 were retrospectively reviewed. Patient baseline
demographic, clinical, bone mineral density (BMD), and laboratory data were
collected and analyzed. Osteoporosis was diagnosed when patients had a BMD T
score <-2.5 or presented with fragility fractures. Results: There were 761
patients included in this study. Of these, 627 patients (82.4%) were diagnosed
with osteoporosis and 508 patients (66.8%) had fragility fractures. Baseline
serum 25-hydroxyvitamin D (25(OH)D) levels were available in 685 patients. Of
these, 391 patients (57.1%) were diagnosed with hypovitaminosis D. When evaluated
only in patients with fragility fractures, the average initial 25(OH)D level was
28.2+/-11.6 ng/mL, and the prevalence of hypovitaminosis D was 57.6%. Conclusion:
A high prevalence of osteoporosis and hypovitaminosis D was found among patients
at our clinic; two-thirds of patients had a history of fragility fractures, and
no difference in initial 25(OH)D levels was seen between patients with and
without fragility fractures. Level ofEvidence III, Retrospective Study.
PMID- 29375257
TI - EVALUATION OF SURGICAL TREATMENT OF PATIENTS WITH SHOULDER INSTABILITY.
AB - Objective: To evaluate the results of arthroscopic surgery in patients with
traumatic anterior shoulder dislocation. Methods: This retrospective study
analyzed 76 patients with a mean age of 28 and mean postoperative follow-up
period of 62 months. Evaluation consisted of physical examination, and X-rays;
results were classified according to the UCLA and Rowe scales. Results: Patients
showed decrease of range of motion in all planes, except elevation and lateral
rotation with 90o abduction. According to the Rowe score, significant
postoperative improvement was found compared with preoperative evaluations, with
89.4% of satisfactory results. According to the UCLA score, good or excellent
results were observed in 97.4% of the cases. We found a 6.5% rate of recurrence.
Conclusion: Arthroscopic treatment for traumatic anterior shoulder dislocation is
effective, as long as indications are used. Level of Evidence IV, Case Series.
PMID- 29375258
TI - MID-LONG TERM RESULTS OF MANIPULATION AND ARTHROSCOPIC RELEASE IN FROZEN
SHOULDER.
AB - Objective: Surgical treatment options should be discussed in cases of frozen
shoulder, which is usually treated in a conservative manner. In this study, we
evaluated the efficacy of manipulation and arthroscopic release in cases of
frozen shoulder which resisted conservative treatment. Methods: A total of 32
patients who underwent manipulation and arthroscopic capsular release in 34
shoulders were included in the study. The average follow-up period was 49.5
months (range: 24-90 months). No reason for onset could be found in 8 (25%)
patients, who were classified as primary frozen shoulder; twenty-four (75%)
patients were classified as secondary frozen shoulder due to underlying
pathologies. The average pre-operative complaint period was 11 months (range: 3
24 months). After arthroscopic examination, manipulation was performed first,
followed by arthroscopic capsular release. The range of motion in both shoulders
was compared before the procedure and in the last follow-up visit. Constant and
Oxford classifications were used to assess functional results, and the results
were assessed statistically. Results: Patient values for passive elevation,
abduction, adduction-external rotation, abduction-external rotation, and
abduction-internal rotation increased in a statistically significant manner
between the preoperative assessment and follow-up evaluation (p<0.01). The
average change of 47.97+/-21.03 units observed in the patients' values obtained
in the control measurements against the pre-op Constant scores was determined to
be statistically significant (p<0.01). According to the Oxford classification, 29
shoulders were sufficient. Conclusion: Successful results can be obtained with
arthroscopic release performed after manipulation in patients with frozen
shoulder resistant to conservative treatment. Level of Evidence IV, Case Series.
PMID- 29375259
TI - QUALITY OF LIFE IN PATIENTS WITH ROTATOR CUFF ARTHROPATHY.
AB - Objective: To compare quality of life (according to the SF-12) in patients with
rotator cuff arthropathy with controls paired by sex and age. Secondary
objectives are to compare the groups according to the ASES and VAS scales.
Methods: This cross-sectional study with controls paired by sex and age compared
patients with rotator cuff arthropathy with surgical indication for reverse
shoulder arthroplasty. The groups were compared according to the SF-12, ASES, and
VAS scales. Results: The groups consisted of 38 individuals, 28 women. The SF-12
demonstrated a significant difference in the physical component, with the cases
scoring 31.61 +/- 6.15 and the controls 49.39 +/- 6.37 (p<0.001). For the mental
component, the difference was not significant, with the cases scoring 44.82 +/-
13.18 and the controls 48.96 +/- 8.65 (p=0.109). The cases scored 7.34 +/- 2.11
on the VAS and 31.26 +/- 15.12 on the ASES, while the controls scored 0.55 +/-
1.31 and 97.53 +/- 6.22, respectively (p<0.001). Conclusion: Patients with
rotator cuff arthropathy had poorer results for the physical component of the SF
12 than the controls. They also had poorer functional results according to the
ASES scale, and more pain according to the VAS. Level of Evidence III, Case
Control Study.
PMID- 29375260
TI - STUDY OF SECONDARY OSSIFICATION CENTERS OF THE ELBOW IN THE BRAZILIAN POPULATION.
AB - Objective: To evaluate the age in which the secondary ossification centers of the
elbow appear and fuse in the Brazilian population. Methods: Nearly thirty
radiographs were randomly selected for each age group from 0 to 18 years, with a
total of 544 radiographs from 439 patients, between 2010 and 2015, without
abnormalities secondary to trauma, metabolic or bone tumor diseases. Radiographs
were retrospectively evaluated by two blind and independent observers, according
to the presence or not of the ossification centers, and the fusion between them.
Results: The age interval of appearance and fusion were, respectively: capitulum
(0 to 1 year; 10 to 15 years), radius head (2 to 6 year; 12 to 16 years), medial
epicondyle (2 to 8 years; 13 to 17 years), trochlea (5 to 11 years; 10 to 18
years), olecranon (6 to 11 years; 13 to 16 years), e lateral epicondyle (8 to 13
years; 12 to 16 years). Appearance and fusion were earlier in girls compared to
boys (exception to capitulum and radius head). Conclusion: The chronological
order was similar to the literature. For girls, the radius head and medial
epicondyle appeared simultaneously. There was a tendency of the olecranon center
to appear before the trochlea for both sexes. Level of Evidence III, Diagnostic
Study.
PMID- 29375261
TI - TERRIBLE TRIAD OF THE ELBOW: FUNCTIONAL RESULTS OF SURGICAL TREATMENT.
AB - Objective: To evaluate the functional and radiographic results of patients who
underwent surgical treatment for terrible triad-type elbow injuries (TTE).
Methods: We retrospectively evaluated 20 patients, including one case with
bilateral injuries (total of 21 elbows) that were surgically treated from January
2004 to July 2014. We evaluated the functional results of treatment by measuring
the restored range of motion (ROM) of the elbow, using the DASH (Disabilities of
the Arm, Shoulder and Hand) and MEPS (Mayo Elbow Performance Score) scores.
Complications and the development of osteoarthritis and heterotopic ossification
(HO) were also evaluated. Results: Eight elbows (38%) required additional
surgical treatment; HO was observed in eight elbows (38%) and severe
osteoarthritis (Broberg-Morrey type IV) was seen in only one case (4%).
Nevertheless, we obtained good functional results, 14.27 on the DASH and 84 on
the MEPS. The average ROM for flexion-extension was 101 degrees (20-140 degrees
) and for pronation-supination was 112.85 degrees (0-180 degrees ). Conclusion:
When TTE injuries are treated systematically, even despite variations in these
injuries, functional ROM and scores ranging from good to excellent can be
obtained. Level of Evidence IV, Case Series.
PMID- 29375262
TI - INCIDENCE OF ACUTE TRAUMA ON HAND AND WRIST: A RETROSPECTIVE STUDY.
AB - Objectives: A retrospective statistical data gathering of wrist and hand
complaints assisted over two years in the orthopedic emergency department of a
regional referral hospital, seeking to know the profile of these patients.
Methods: Information obtained by analysis of 31.356 orthopedic visits from May
2013 to April 2015, of which 6.754 related to hand complaints and/or wrist, at
the Hospital Estadual Doutor Jayme dos Santos Neves (HDJSN) and analyzed by IBM
SPSS Statistics software version 21. Results: The data revealed that the average
age was 37,5 +/- 15,7 years and the male gender was predominant (60,72%). Bruises
(52,58%) and fractures (30,49%) were the most common diagnoses. Conclusion: The
complaints of wrist and hand accounted for 21,44% of all orthopedic emergency
room visits. Detailed data description and correct definition of the
International Classification of Diseases (ICD-10) are needed to better define the
epidemiological profile of patients seeking orthopedic emergency. Level of
Evidence III, Retrospective Study.
PMID- 29375264
TI - ERRATA.
AB - [This corrects the article DOI: 10.1590/1413-785220172504167561.].
PMID- 29375263
TI - WRIST ARTHROSCOPY: BASIC TIPS FOR DRY ARTHROSCOPIC EXPLORATION.
AB - Objective: This article provides details and tips on the dry arthroscopic
technique, based on our experience and its clinical applications. Method: The
technique was applied to 65 patients (33 men and 32 women) aged between 20 and 62
years (average of 35.4 years) for treating: synovial cyst resection, scapholunate
ligament injury repair, ulnocarpal impact correction, triangular fibrocartilage
injury repair, and assisted reduction of distal radius fractures. Results: A
minimally invasive intra-articular evaluation has been observed as a benefit,
with low infection rate, small scars, and high rates of early recovery, without
affecting intra-articular fluid use, reducing the risk of compartment syndrome
and infiltrated soft tissues, in the case of need for associated open surgery. As
for the difficulties, we report the surgeon's view, which is commonly prevented
by optical blurring or debris that hit the lens, and the need for radiofrequency
care, since the heat generated is dissipated with greater difficulty than in the
classical technique. Conclusion: Dry arthroscopy emerges as an effective choice
to treat wrist pathologies, however, deep knowledge and ease with the classical
technique, as well as a learning curve, are key to obtain a good outcome. Level
of Evidence V, Expert Opinion.
PMID- 29375265
TI - Measuring inefficiency for specific inputs using data envelopment analysis:
evidence from construction industry in Spain and Portugal.
AB - This article contributes to the efficiency literature by defining, in the context
of the data envelopment analysis framework, the directional distance function
approach for measuring both technical and scale inefficiencies with regard to the
use of individual inputs. The input-specific technical and scale inefficiencies
are then aggregated in order to calculate the overall inefficiency measures.
Empirical application focuses on a large dataset of Spanish and Portuguese
construction companies between 2002 and 2010 and accounts for three inputs:
materials, labor and fixed assets. The results show, first, that for both Spanish
and Portuguese construction companies, fixed assets are the most technically
inefficient input. Second, the most inefficient scale concerns the utilization of
material input in both samples; the reason for this inefficiency is that firms
tend to operate in the increasing returns to scale portion of technology set.
Third, in both samples, large firms have the lowest input-specific technical
inefficiencies, but the highest input-specific scale inefficiencies, compared to
their small and medium-sized counterparts, and tend to suffer from decreasing
returns to scale. Finally, in both samples, input-specific technical inefficiency
under constant returns to scale increased during the period of the recent
financial crisis, mainly due to the augmentation in scale inefficiency.
PMID- 29375266
TI - A framework for sensitivity analysis of decision trees.
AB - In the paper, we consider sequential decision problems with uncertainty,
represented as decision trees. Sensitivity analysis is always a crucial element
of decision making and in decision trees it often focuses on probabilities. In
the stochastic model considered, the user often has only limited information
about the true values of probabilities. We develop a framework for performing
sensitivity analysis of optimal strategies accounting for this distributional
uncertainty. We design this robust optimization approach in an intuitive and not
overly technical way, to make it simple to apply in daily managerial practice.
The proposed framework allows for (1) analysis of the stability of the expected
value-maximizing strategy and (2) identification of strategies which are robust
with respect to pessimistic/optimistic/mode-favoring perturbations of
probabilities. We verify the properties of our approach in two cases: (a)
probabilities in a tree are the primitives of the model and can be modified
independently; (b) probabilities in a tree reflect some underlying, structural
probabilities, and are interrelated. We provide a free software tool implementing
the methods described.
PMID- 29375268
TI - Heuristic algorithms for the minmax regret flow-shop problem with interval
processing times.
AB - An uncertain version of the permutation flow-shop with unlimited buffers and the
makespan as a criterion is considered. The investigated parametric uncertainty is
represented by given interval-valued processing times. The maximum regret is used
for the evaluation of uncertainty. Consequently, the minmax regret discrete
optimization problem is solved. Due to its high complexity, two relaxations are
applied to simplify the optimization procedure. First of all, a greedy procedure
is used for calculating the criterion's value, as such calculation is NP-hard
problem itself. Moreover, the lower bound is used instead of solving the internal
deterministic flow-shop. The constructive heuristic algorithm is applied for the
relaxed optimization problem. The algorithm is compared with previously
elaborated other heuristic algorithms basing on the evolutionary and the middle
interval approaches. The conducted computational experiments showed the advantage
of the constructive heuristic algorithm with regards to both the criterion and
the time of computations. The Wilcoxon paired-rank statistical test confirmed
this conclusion.
PMID- 29375267
TI - Tight upper bounds for semi-online scheduling on two uniform machines with known
optimum.
AB - We consider a semi-online version of the problem of scheduling a sequence of jobs
of different lengths on two uniform machines with given speeds 1 and s. Jobs are
revealed one by one (the assignment of a job has to be done before the next job
is revealed), and the objective is to minimize the makespan. In the considered
variant the optimal offline makespan is known in advance. The most studied
question for this online-type problem is to determine the optimal competitive
ratio, that is, the worst-case ratio of the solution given by an algorithm in
comparison to the optimal offline solution. In this paper, we make a further step
towards completing the answer to this question by determining the optimal
competitive ratio for s between [Formula: see text] and [Formula: see text], one
of the intervals that were still open. Namely, we present and analyze a compound
algorithm achieving the previously known lower bounds.
PMID- 29375269
TI - Racial segregation in postbellum Southern cities: The case of Washington, D.C.
AB - BACKGROUND: Segregation in Southern cities has been described as a 20th-century
development, layered onto an earlier pattern in which whites and blacks (both
slaves and free black people) shared the same neighborhoods. Urban historians
have pointed out ways in which the Southern postbellum pattern was less benign,
but studies relying on census data aggregated by administrative areas - and
segregation measures based on this data - have not confirmed their observations.
METHODS: This study is based mainly on 100% microdata from the 1880 census that
has been mapped at the address level in Washington, D.C. This data makes it
possible to examine in detail the unique spatial configuration of segregation
that is found in this city, especially the pattern of housing in alleys. RESULTS:
While segregation appears to have been low, as reflected in data by wards and
even by much smaller enumeration districts, analyses at a finer spatial scale
reveal strongly patterned separation between blacks and whites at this early
time. CONTRIBUTION: This research provides much new information about segregation
in a major Southern city at the end of the 19th century. It also demonstrates the
importance of dealing explicitly with issues of both scale and spatial pattern in
studies of segregation.
PMID- 29375270
TI - The body in Martin Amis's Experience (2000).
AB - This paper focuses on the presentation of the body in Martin Amis's memoir
Experience (2000) and compares Amis's account of the growth of his mind and body
with ideas put forward by writers in the phenomenological and psychoanalytic
traditions. Using the ideas of body schema, projective identification and idea of
safety, it advances a new conceptual framework for the thinking about the
contribution that the body makes to selfhood in autobiography.
PMID- 29375271
TI - MicroRNA-10b regulates epithelial-mesenchymal transition by modulating
KLF4/KLF11/Smads in hepatocellular carcinoma.
AB - Background: Our previous work showed that miR-10b was overexpressed in
hepatocellular carcinoma (HCC) and promoted HCC cell migration and invasion.
Epithelial-mesenchymal transition (EMT) is involved in HCC metastasis. So, we
suspected that miR-10b might participate in the HCC EMT. Methods: We performed
morphological analysis and immunofluorescence to observe the roles of miR-10b in
HCC EMT. The expression of KLF11 and EMT markers were detected by real-time RT
PCR and western blot. The regulation roles of miR-10b on KLF11 and KLF4 were
determined by luciferase reporter assay. The chromatin immunoprecipitation
revealed the binding relationship between KLF4 and KLF11. Results: We found that
overexpression of miR-10b could promote HCC EMT. miR-10b could upregulated KLF11
expression. The upregulation of KLF11 reduced the downstream molecular Smad7
expression, which upregulated the Smad3 expression to promote EMT development.
Furthermore, the induction role of miR-10b in HCC EMT could be blocked by KLF11
siRNA. But our results showed that there was no direct regulation of miR-10b in
KLF11 expression. Specifically, miR-10b could bind to the 3'UTR of KLF4 and
inhibit KLF4 expression. KLF4 could directly bind to KLF11 promoter and
downregulate KLF11 transcription. Conclusion: Our results reveal that miR-10b
downregulates KLF4, the inhibitory transcriptional factor of KLF11, which induces
Smads signaling activity to promote HCC EMT. Our study presents the regulation
mechanism of miR-10b in EMT through the KLF4/KLF11/Smads pathway for the first
time and implicates miR-10b as a potential target for HCC therapies.
PMID- 29375272
TI - A 13-year real-life study on efficacy, safety and biological effects of Vespula
venom immunotherapy.
AB - Background: Hymenoptera venom immunotherapy (VIT) is a clinically effective
treatment. However, little is known about its long-term clinical efficacy and
biological effects. Several mechanisms have been proposed to account for VIT
efficacy, including reduction of specific IgE and induction of allergen-specific
IgG4, but the overall picture remains elusive. We investigated Vespula VIT
clinical efficacy up to 8 years after discontinuation and the kinetics of Vespula
specific IgE and IgG4. Out of 686 consecutive patients we retrospectively
selected and analysed a series of 23 patients with Vespula allergy that underwent
a 5-year IT course, followed by a prolonged follow-up. Methods: Clinical efficacy
of VIT was assessed as number and severity of reactions to Vespula re-stinging
events. The presence of Vespula-specific IgE and IgG4 was also monitored over
time. Results: During the VIT treatment, patients were protected, reporting no
reactions or mild reactions in occasion of re-stinging events. This protection
was entirely maintained during the follow-up, up to 8 years. Skin reactivity
(reflecting mast cell-bound Vespula-specific IgE) and circulating Vespula
specific IgE levels declined substantially during VIT. Notably, this reduction
was maintained over time during the follow-up. Moreover, all the patients were
analysed for IgG4. A robust induction of Vespula-specific IgG4 was observed
during the VIT course, with a substantial decline during the follow-up.
Conclusions: We conclude that Vespula VIT is a clinically effective treatment,
which induces long-term protection after discontinuation. The reduction of
specific IgE, assessed by skin tests and RAST, closely matches the VIT- induced
protection, while the IgG4 induction seems not to be associated with VIT clinical
efficacy in the long term.
PMID- 29375273
TI - Proteomic identification of moesin upon exposure to acrolein.
AB - Background: Acrolein (allyl Aldehyde) as one of smoke irritant exacerbates
chronic airway diseases and increased in sputum of patients with asthma and
chronic obstructive lung disease. But underlying mechanism remains unresolved.
The aim of study was to identify protein expression in human lung microvascular
endothelial cells (HMVEC-L) exposed to acrolein. Methods: A proteomic approach
was used to determine the different expression of proteins at 8 h and 24 h after
treatment of acrolein 30 nM and 300 nM to HMVEC-L. Treatment of HMVEC-L with
acrolein 30 nM and 300 nM altered 21 protein spots on the two-dimensional gel,
and these were then analyzed by MALDI-TOF MS. Results: These proteins included
antioxidant, signal transduction, cytoskeleton, protein transduction, catalytic
reduction. The proteins were classified into four groups according to the time
course of their expression patterns such as continually increasing, transient
increasing, transient decreasing, and continually decreasing. For validation
immunohistochemical staining and Western blotting was performed on lung tissues
from acrolein exposed mice. Moesin was expressed in endothelium, epithelium, and
inflammatory cells and increased in lung tissues of acrolein exposed mice
compared with sham treated mice. Conclusions: These results indicate that some of
proteins may be an important role for airway disease exacerbation caused by
acrolein exposure.
PMID- 29375275
TI - Gibson Deletion: a novel application of isothermal in vitro recombination.
AB - Background: Recombinant DNA technology is today a fundamental tool for virtually
all biological research fields. Among the many techniques available for the
construction of a "custom DNA" molecule, the isothermal in vitro assembly, or
Gibson assembly, allows for an efficient, one-step, scarless recombination-based
assembly. Results: Here, we apply and characterize the use of Gibson assembly for
the deletion of DNA sequences around a DNA cut. This method, that we named
"Gibson Deletion", can be used to easily substitute or delete one or more
restriction sites within a DNA molecule. We show that Gibson Deletion is a viable
method to delete up to 100 nucleotides from the DNA ends of a cleavage site. In
addition, we found that Gibson Deletion can be performed using single strand DNA
with the same efficiency as using double strand DNA molecules. Conclusions:
Gibson Deletion is a novel, easy and convenient application of isothermal in
vitro assembly, that performs with high efficiency and can be implemented for a
broad range of applications.
PMID- 29375274
TI - The diagnosis and treatment of venous thromboembolism in asian patients.
AB - Although the incidence of venous thromboembolism (VTE) in Asian populations is
lower than in Western countries, the overall burden of VTE in Asia has been
considerably underestimated. Factors that may explain the lower prevalence of VTE
in Asian populations relative to Western populations include the limited
availability of epidemiological data in Asia, ethnic differences in the genetic
predisposition to VTE, underdiagnoses, low awareness toward thrombotic disease,
and possibly less symptomatic VTE in Asian patients. The clinical assessment,
diagnostic testing, and therapeutic considerations for VTE are, in general, the
same in Asian populations as they are in Western populations. The management of
VTE is based upon balancing the treatment benefits against the risk of bleeding.
This is an especially important consideration for Asian populations because of
increased risk of intracranial hemorrhage with vitamin K antagonists. Non-vitamin
K antagonist oral anticoagulants have shown advantages over current treatment
modalities with respect to bleeding outcomes in major phase 3 clinical trials,
including in Asian populations. Although anticoagulant therapy has been shown to
reduce the risk of postoperative VTE in Western populations, VTE prophylaxis is
not administered routinely in Asian countries. Despite advances in the management
of VTE, data in Asian populations on the incidence, prevalence, recurrence, risk
factors, and management of bleeding complications are limited and there is need
for increased awareness. To that end, this review summarizes the available data
on the epidemiology, risk stratification, diagnosis, and treatment considerations
in the management of VTE in Asia.
PMID- 29375276
TI - Multiple Coordination Patterns in Infant and Adult Vocalizations.
AB - The study of vocal coordination between infants and adults has led to important
insights into the development of social, cognitive, emotional and linguistic
abilities. We used an automatic system to identify vocalizations produced by
infants and adults over the course of the day for fifteen infants studied
longitudinally during the first two years of life. We measured three different
types of vocal coordination: coincidence-based, rate-based, and cluster-based.
Coincidence-based and rate-based coordination are established measures in the
developmental literature. Cluster-based coordination is new and measures the
strength of matching in the degree to which vocalization events occur in
hierarchically nested clusters. We investigated whether various coordination
patterns differ as a function of vocalization type, whether different
coordination patterns provide unique information about the dynamics of vocal
interaction, and how the various coordination patterns each relate to infant age.
All vocal coordination patterns displayed greater coordination for infant speech
related vocalizations, adults adapted the hierarchical clustering of their
vocalizations to match that of infants, and each of the three coordination
patterns had unique associations with infant age. Altogether, our results
indicate that vocal coordination between infants and adults is multifaceted,
suggesting a complex relationship between vocal coordination and the development
of vocal communication.
PMID- 29375277
TI - Wearable Biosensors to Evaluate Recurrent Opioid Toxicity After Naloxone
Administration: A Hilbert Transform Approach.
AB - Opioid abuse is a rapidly escalating problem in the United States. Effective
opioid reversal is achieved with the antidote naloxone, but often does not last
as long as the offending opioid, necessitating in-hospital observation.
Continuous physiologic monitoring using wearable biosensors represents a
potential option to extend monitoring capability outside the clinical setting
across the spectrum of opioid abuse including post- naloxone administration. The
present study aims to identify the physiologic change that marks the cessation of
naloxone's effect. Eleven participants were recruited in the Emergency Department
after naloxone administration for an opioid overdose and continuously monitored
using a wearable biosensor measuring heart rate, temperature, electrodermal
activity and accelerometry. Hilbert transform was used to evaluate a 90- minute
post naloxone time point. Physiologic changes were consistent with the onset of
opioid drug effect across parameters, but only changes in heart rate and skin
temperature research statistical significance.
PMID- 29375278
TI - Acceptance Angle Control for Improved Transmission Imaging in an SEM.
AB - This contribution presents a simple, cost-effective modular aperture system
enabling comprehensive acceptance angle control for STEM-in-SEM imaging. The
system is briefly described, and different ways to use it are explained. To
demonstrate the utility of the approach, a few samples are examined using the new
system with comparisons to images from traditional SEM detectors. We show that
the system enables conventional STEM imaging modes ranging from brightfield to
high-angle annular darkfield (that is, Z-contrast), thin annular detection
schemes, and even some non-conventional imaging modes.
PMID- 29375279
TI - Force Tracking with Feed-Forward Motion Estimation for Beating Heart Surgery.
AB - The manipulation of fast moving, delicate tissues in beating heart procedures
presents a considerable challenge to the surgeon. A robotic force tracking system
can assist the surgeon by applying precise contact forces to the beating heart
during surgical manipulation. Standard force control approaches cannot safely
attain the required bandwidth for this application due to vibratory modes within
the robot structure. These vibrations are a limitation even for single degree of
freedom systems driving long surgical instruments. These bandwidth limitations
can be overcome by incorporating feed-forward motion terms in the control law.
For intracardiac procedures, the required motion estimates can be derived from 3D
ultrasound imaging. Dynamic analysis shows that a force controller with feed
forward motion terms can provide safe and accurate force tracking for contact
with structures within the beating heart. In vivo validation confirms that this
approach confers a 50% reduction in force fluctuations when compared to a
standard force controller and a 75% reduction in fluctuations when compared to
manual attempts to maintain the same force.
PMID- 29375281
TI - Where We Have Been and Where We Are Going: A Content Analysis of Articles
Published in the Journal of Women's Health Physical Therapy From 2005 to 2015.
AB - Objective: The objective of this study was to examine the type and content of
Journal of Women's Health Physical Therapy (JWHPT) publications over the last
decade. Study Design: Content and bibliometric analysis of published literature.
Background: Component sections, such as the Section on Women's Health (SoWH) of
the American Physical Therapy Association provide content expertise to areas of
specialty physical therapist practice, thereby supporting the dissemination of
evidence for physical therapists to use. Closely aligned with the mission of the
SoWH, JWHPT provides evidence reflecting this practice area. The purpose of our
analysis was to examine publications within JWHPT to determine how closely JWHPT
is meeting the mission and focus of section members. Methods and Measures: We
used established bibliographic methodology to code and review manuscripts
published online between 2005 and 2015 in JWHPT using established domains
(article type, participant type, research design, study purpose, and area of
focus). Total publications and proportion of publications based on domain were
described. Impact by citation and author was examined using bibliometric
software. Results: Eighteen percent of the items published in JWHPT were original
research papers submitted for the first time. Of those papers, the primary study
design was cross-sectional experimental research, most commonly studying
interventions. The primary practice area reported was management of incontinence.
Conclusions: We suggest that a continued need to increase efforts for the
submission and publication of a greater proportion of randomized controlled
trials and metric articles.
PMID- 29375280
TI - Brain Tumor Diagnostics and Therapeutics with Superparamagnetic Ferrite
Nanoparticles.
AB - Ferrite nanoparticles (F-NPs) can transform both cancer diagnostics and
therapeutics. Superparamagnetic F-NPs exhibit high magnetic moment and
susceptibility such that in presence of a static magnetic field transverse
relaxation rate of water protons for MRI contrast is augmented to locate F-NPs
(i.e., diagnostics) and exposed to an alternating magnetic field local
temperature is increased to induce tissue necrosis (i.e., thermotherapy). F-NPs
are modified by chemical synthesis of mixed spinel ferrites as well as their
size, shape, and coating. Purposely designed drug-containing nanoparticles (D
NPs) can slowly deliver drugs (i.e., chemotherapy). Convection-enhanced delivery
(CED) of D-NPs with MRI guidance improves glioblastoma multiforme (GBM)
treatment. MRI monitors the location of chemotherapy when D-NPs and F-NPs are
coadministered with CED. However superparamagnetic field gradients produced by F
NPs complicate MRI readouts (spatial distortions) and MRS (extensive line
broadening). Since extracellular pH (pHe) is a cancer hallmark, pHe imaging is
needed to screen cancer treatments. Biosensor imaging of redundant deviation in
shifts (BIRDS) extrapolates pHe from paramagnetically shifted signals and the pHe
accuracy remains unaffected by F-NPs. Hence effect of both chemotherapy and
thermotherapy can be monitored (by BIRDS), whereas location of F-NPs is revealed
(by MRI). Smarter tethering of nanoparticles and agents will impact GBM
theranostics.
PMID- 29375282
TI - Quality-of-Life Outcomes Following Surface Electromyography Biofeedback as an
Adjunct to Pelvic Floor Muscle Training for Urinary Incontinence: A Case Report.
AB - Background: A non-invasive treatment for urinary incontinence (UI) is surface
electromyography (sEMG) biofeedback with pelvic floor muscle (PFM) training. A
lack of consensus and evidence exists on the Quality of Life (QoL) outcomes
following sEMG biofeedback using surface electrodes at the perineum compared to
the more invasive intravaginal probe. This case report examines QoL using sEMG
biofeedback at the perineum with PFM training for UI. Study Design: Single
subject case report. Case Description: The patient was a 61-year-old woman
diagnosed with UI. Her chief complaints were nocturia, urine leakage with
urgency, and urine leakage with sneezing and coughing. Physical therapy (PT)
treatment focused on behavioral modification, PFM strengthening with and without
sEMG biofeedback, and therapeutic exercises with PFM contractions. Outcomes: At
four weeks from baseline, the patient's PFM strength increased from 2/5 to 4/5
based on the Modified Laycock Scale. Her PFM endurance contraction improved from
two seconds to ten seconds. The International Continence Impact Questionnaire -
Urinary Incontinence Short Form (ICIQ-UI SF) score decreased from 6 to 0, the
Incontinence Impact Questionnaire - Short Form (IIQ-7) score decreased from 14.3
to 0, and the 3 Incontinence Questions (3IQ) responses did not change.
Discussion: The outcomes from this case report demonstrate a brief course of PT
treatment consisting of perineal sEMG biofeedback in conjunction with PFM
training demonstrated clinically meaningful improvements in incontinence-related
QoL, in addition to improvements in motor function in a woman with mixed UI and
nocturia.
PMID- 29375283
TI - A long-term cohort study of surgery for recurrent prolapse comparing mesh
augmented anterior repairs to anterior colporrhaphy.
AB - Background: There are safety concerns regarding the use of mesh in vaginal
surgery with a call for long-term follow-up data. This study was designed to
evaluate the long-term safety and efficacy of vaginal repairs performed for
recurrent cystocele using Perigee (non-absorbable trans-obturator) mesh. Methods:
A retrospective consecutive cohort of 48 women who underwent surgery for
recurrent prolapse between March 2007 and December 2011 in a single centre was
reviewed. Satisfaction was assessed using the patient global impression of
improvement (PGI-I). Symptoms were assessed with the pelvic floor distress
inventory (PFDI). Women were questioned regarding pain, sexual activity and
pelvic floor surgery performed since the original procedure and examined for
erosion. Women were compared to 25 controls from a consecutive cohort of repeat
anterior colporrhapies. Results: The mean length of follow-up was 6.5 years (78
months; range 48-106). Significantly more women in the mesh group reported that
they were "much better" or "very much better" (69 vs 40% p = 0.02). The rate of
mesh erosion at follow-up was 11.6%. Two women in the mesh group required
surgical excision of eroded mesh in the operating room (4%). The reoperation rate
for a combination of de novo stress incontinence, recurrent prolapse and mesh
exposure was similar in each group (33% mesh vs 32% native tissue). Conclusions:
A vaginal mesh repair using a non-absorbable trans-obturator mesh has improved
satisfaction compared to an anterior colporrhaphy.
PMID- 29375284
TI - Conversion of Continuous-Valued Deep Networks to Efficient Event-Driven Networks
for Image Classification.
AB - Spiking neural networks (SNNs) can potentially offer an efficient way of doing
inference because the neurons in the networks are sparsely activated and
computations are event-driven. Previous work showed that simple continuous-valued
deep Convolutional Neural Networks (CNNs) can be converted into accurate spiking
equivalents. These networks did not include certain common operations such as max
pooling, softmax, batch-normalization and Inception-modules. This paper presents
spiking equivalents of these operations therefore allowing conversion of nearly
arbitrary CNN architectures. We show conversion of popular CNN architectures,
including VGG-16 and Inception-v3, into SNNs that produce the best results
reported to date on MNIST, CIFAR-10 and the challenging ImageNet dataset. SNNs
can trade off classification error rate against the number of available
operations whereas deep continuous-valued neural networks require a fixed number
of operations to achieve their classification error rate. From the examples of
LeNet for MNIST and BinaryNet for CIFAR-10, we show that with an increase in
error rate of a few percentage points, the SNNs can achieve more than 2x
reductions in operations compared to the original CNNs. This highlights the
potential of SNNs in particular when deployed on power-efficient neuromorphic
spiking neuron chips, for use in embedded applications.
PMID- 29375285
TI - Small RNA Sequencing of Sporadic Amyotrophic Lateral Sclerosis Cerebrospinal
Fluid Reveals Differentially Expressed miRNAs Related to Neural and Glial
Activity.
AB - Amyotrophic lateral sclerosis (ALS) is a clinical subtype of motor neurone
disease (MND), a fatal neurodegenerative disease involving the loss of both the
upper and lower motor neurones from the motor cortex, brainstem, and spinal cord.
Identifying specific disease biomarkers would help to not only improve diagnostic
delay but also to classify disease subtypes, monitor response to therapeutic
drugs and track disease progression. miRNAs are small non-coding RNA responsible
for regulating gene expression and ultimately protein expression and have been
used as biomarkers for many cancers and neurodegenerative disorders.
Investigating the detection of miRNAs in cerebrospinal fluid (CSF), the fluid
that bathes the central nervous system (CNS) is a prime target for identifying
potential biomarkers for ALS. This is the first study to investigate the
expression of miRNAs in the CSF of ALS patients using small RNA sequencing. We
detected 11 differentially expressed miRNAs in the CSF of sporadic ALS (sALS)
patients related to neural and glial activity. Additionally, miRNAs involved in
glucose metabolism and the regulation of oxidative stress were also identified.
Detecting the presence of potential CSF derived miRNA biomarkers in sALS could
open up a whole new area of knowledge to help gain a better understanding of
disease pathophysiology. Additionally, with further investigation, the tracking
of CSF miRNA over the disease course could be used to follow the disease
progression and monitor the effect of novel therapeutics that could be
personalized to an individual disease phenotype.
PMID- 29375287
TI - How Might the Relation of the Development of Hand Preferences to the Development
of Cognitive Functions be Examined During Infancy: A Sketch?
AB - Investigations of the relation of the development of hand preferences to the
development of other sensorimotor and cognitive abilities are plagued by
confusing and contradictory results. In part, the confusion derives from the
failure to create accurate, appropriate, and reliable descriptions of the
development of hand preferences and the cognitive or sensorimotor ability of
interest. This paper sketches an ideal longitudinal study (from birth through 5
years) with a large sample size that should provide reliable evidence for the
understanding of the relation of hand preferences to cognitive development. Since
hand preference differences would affect the way infants engage in manual actions
with objects and these differences would likely affect how they come to
comprehend object relations, differences in the development of cognition across
handedness groups would be a good test of certain forms of embodiment theory.
PMID- 29375286
TI - Does Otovestibular Loss in the Autosomal Dominant Disorder DFNA9 Have an Impact
of on Cognition? A Systematic Review.
AB - Background and Purpose: Cognitive impairment has been observed in patients with
bilateral vestibular loss (BVL) and in patients with sensorineural hearing loss
(SNHL). DFNA9 is an autosomal dominant disorder that causes a combination of both
sensory deficits by the 3rd to 5th decade. We therefore hypothesize a combined
detrimental effect on cognition. The aim of this systematic review was to
identify studies related to DFNA9 in general and its relationship with cognitive
impairment more specifically. Materials and Methods: Several databases including
Medline, Cochrane Database of Systematic Reviews, Cochrane Central Register of
Controlled Trials, ISI Web of Knowledge, and Web of Science were searched to
accumulate information about DFNA9-mutations, including phenotype, genotype,
pathophysiology, quality of life (QOL), and imaging in general and cognitive
function more specifically. A qualitative analysis was performed on the 55
articles that qualified. Results: The clinical features of DFNA9 are different
along the 24 COCH mutations, described up to now. Vestibular symptoms generally
present themselves a few years after SNHL onset in mutations associated with the
vWFA-domain although they can precede SNHL onset in other mutations associated
with the LCCL-domain. QoL has not been studied extensively in DFNA9, although
scarce work is available on the positive impact of cochlear implantation to
rehabilitate hearing. No studies were found evaluating cognition in DFNA9
patients. Conclusion: Although cognitive impairment has been demonstrated in
patients with hearing loss as well as in patients with BVL, no studies have been
reported on the combination of both sensory deficits, such as in DFNA9. Further
research is warranted to correlate otovestibular status with cognition.
PMID- 29375288
TI - PAIR Comparison between Two Within-Group Conditions of Resting-State fMRI
Improves Classification Accuracy.
AB - Classification approaches have been increasingly applied to differentiate
patients and normal controls using resting-state functional magnetic resonance
imaging data (RS-fMRI). Although most previous classification studies have
reported promising accuracy within individual datasets, achieving high levels of
accuracy with multiple datasets remains challenging for two main reasons: high
dimensionality, and high variability across subjects. We used two independent RS
fMRI datasets (n = 31, 46, respectively) both with eyes closed (EC) and eyes open
(EO) conditions. For each dataset, we first reduced the number of features to a
small number of brain regions with paired t-tests, using the amplitude of low
frequency fluctuation (ALFF) as a metric. Second, we employed a new method for
feature extraction, named the PAIR method, examining EC and EO as paired
conditions rather than independent conditions. Specifically, for each dataset, we
obtained EC minus EO (EC-EO) maps of ALFF from half of subjects (n = 15 for
dataset-1, n = 23 for dataset-2) and obtained EO-EC maps from the other half (n =
16 for dataset-1, n = 23 for dataset-2). A support vector machine (SVM) method
was used for classification of EC RS-fMRI mapping and EO mapping. The mean
classification accuracy of the PAIR method was 91.40% for dataset-1, and 92.75%
for dataset-2 in the conventional frequency band of 0.01-0.08 Hz. For cross
dataset validation, we applied the classifier from dataset-1 directly to dataset
2, and vice versa. The mean accuracy of cross-dataset validation was 94.93% for
dataset-1 to dataset-2 and 90.32% for dataset-2 to dataset-1 in the 0.01-0.08 Hz
range. For the UNPAIR method, classification accuracy was substantially lower
(mean 69.89% for dataset-1 and 82.97% for dataset-2), and was much lower for
cross-dataset validation (64.69% for dataset-1 to dataset-2 and 64.98% for
dataset-2 to dataset-1) in the 0.01-0.08 Hz range. In conclusion, for within
group design studies (e.g., paired conditions or follow-up studies), we recommend
the PAIR method for feature extraction. In addition, dimensionality reduction
with strong prior knowledge of specific brain regions should also be considered
for feature selection in neuroimaging studies.
PMID- 29375291
TI - Targeted Serum Metabolite Profiling Identifies Metabolic Signatures in Patients
with Alzheimer's Disease, Normal Pressure Hydrocephalus and Brain Tumor.
AB - Progression to AD is preceded by elevated levels of 2,4-dihydroxybutanoic acid
(2,4-DHB), implicating hypoxia in early pathogenesis. Since hypoxia may play a
role in multiple CNS disorders, we investigated serum metabolite profiles across
three disorders, AD, Normal Pressure Hydrocephalus (NPH) and brain tumors (BT).
Blood samples were collected from 27 NPH and 20 BT patients. The profiles of 21
metabolites were examined. Additionally, data from 37 AD patients and 46 controls
from a previous study were analyzed together with the newly acquired data. No
differences in 2,4-DHB were found across AD, NPH and BT samples. In the BT group,
the fatty acids were increased as compared to HC and NPH groups, while the ketone
body 3-hydroxybutyrate was increased as compared to AD. Glutamic acid was
increased in AD as compared to the HC group. In the AD group, 3-hydroxybutyrate
tended to be decreased with respect to all other groups (mean values -30% or
more), but the differences were not statistically significant. Serine was
increased in NPH as compared to BT. In conclusion, AD, NPH and BT have different
metabolic profiles. This preliminary study may help in identifying the blood
based markers that are specific to these three CNS diseases.
PMID- 29375289
TI - Neuronal Migration and Lamination in the Vertebrate Retina.
AB - In the retina, like in most other brain regions, developing neurons are arranged
into distinct layers giving the mature tissue its stratified appearance. This
process needs to be highly controlled and orchestrated, as neuronal layering
defects lead to impaired retinal function. To achieve successful neuronal
layering and lamination in the retina and beyond, three main developmental steps
need to be executed: First, the correct type of neuron has to be generated at a
precise developmental time. Second, as most retinal neurons are born away from
the position at which they later function, newborn neurons have to move to their
final layer within the developing tissue, a process also termed neuronal
lamination. Third, these neurons need to connect to their correct synaptic
partners. Here, we discuss neuronal migration and lamination in the vertebrate
retina and summarize our knowledge on these aspects of retinal development. We
give an overview of how lamination emerges and discuss the different modes of
neuronal translocation that occur during retinogenesis and what we know about the
cell biological machineries driving them. In addition, retinal mosaics and their
importance for correct retinal function are examined. We close by stating the
open questions and future directions in this exciting field.
PMID- 29375290
TI - No Effects of Non-invasive Brain Stimulation on Multiple Sessions of Object
Location-Memory Training in Healthy Older Adults.
AB - Object-location memory (OLM) is known to decline with normal aging, a process
accelerated in pathological conditions like mild cognitive impairment (MCI). In
order to maintain cognitive health and to delay the transition from healthy to
pathological conditions, novel strategies are being explored. Tentative evidence
suggests that combining cognitive training and anodal transcranial direct current
stimulation (atDCS), both reported to induce small and often inconsistent
behavioral improvements, could generate larger or more consistent improvements or
both, compared to each intervention alone. Here, we explored the combined
efficacy of these techniques on OLM. In a subject-blind sham-controlled cross
over design 32 healthy older adults underwent a 3-day visuospatial training
paired with either anodal (20 min) or sham (30 s) atDCS (1 mA, temporoparietal).
Subjects were asked to learn the correct object-location pairings on a street
map, shown over five learning blocks on each training day. Acquisition
performance was assessed by accuracy on a given learning block in terms of
percentage of correct responses. Training success (performance on last training
day) and delayed memory after 1-month were analyzed by mixed model analysis and
were controlled for gender, age, education, sequence of stimulation and baseline
performance. Exploratory analysis of atDCS effects on within-session (online) and
between-session (offline) memory performance were conducted. Moreover, transfer
effects on similar trained (visuospatial) and less similar (visuo-constructive,
verbal) untrained memory tasks were explored, both immediately after training,
and on follow-up. We found that atDCS paired with OLM-training did not enhance
success in training or performance in 1-month delayed memory or transfer tasks.
In sum, this study did not support the notion that the combined atDCS-training
approach improves immediate or delayed OLM in older adults. However, specifics of
the experimental design, and a non-optimal timing of atDCS between sessions might
have masked beneficial effects and should be more systematically addressed in
future studies.
PMID- 29375292
TI - Conductive Hydrogel Electrodes for Delivery of Long-Term High Frequency Pulses.
AB - Nerve block waveforms require the passage of large amounts of electrical energy
at the neural interface for extended periods of time. It is desirable that such
waveforms be applied chronically, consistent with the treatment of protracted
immune conditions, however current metal electrode technologies are limited in
their capacity to safely deliver ongoing stable blocking waveforms. Conductive
hydrogel (CH) electrode coatings have been shown to improve the performance of
conventional bionic devices, which use considerably lower amounts of energy than
conventional metal electrodes to replace or augment sensory neuron function. In
this study the application of CH materials was explored, using both a
commercially available platinum iridium (PtIr) cuff electrode array and a novel
low-cost stainless steel (SS) electrode array. The CH was able to significantly
increase the electrochemical performance of both array types. The SS electrode
coated with the CH was shown to be stable under continuous delivery of 2 mA
square pulse waveforms at 40,000 Hz for 42 days. CH coatings have been shown as a
beneficial electrode material compatible with long-term delivery of high current,
high energy waveforms.
PMID- 29375293
TI - Rostro-Caudal Organization of Connectivity between Cingulate Motor Areas and
Lateral Frontal Regions.
AB - According to contemporary views, the lateral frontal cortex is organized along a
rostro-caudal functional axis with increasingly complex cognitive/behavioral
control implemented rostrally, and increasingly detailed motor control
implemented caudally. Whether the medial frontal cortex follows the same
organization remains to be elucidated. To address this issue, the functional
connectivity of the 3 cingulate motor areas (CMAs) in the human brain with the
lateral frontal cortex was investigated. First, the CMAs and their
representations of hand, tongue, and eye movements were mapped via task-related
functional magnetic resonance imaging (fMRI). Second, using resting-state fMRI,
their functional connectivity with lateral prefrontal and lateral motor cortical
regions of interest (ROIs) were examined. Importantly, the above analyses were
conducted at the single-subject level to account for variability in individual
cingulate morphology. The results demonstrated a rostro-caudal functional
organization of the CMAs in the human brain that parallels that in the lateral
frontal cortex: the rostral CMA has stronger functional connectivity with
prefrontal regions and weaker connectivity with motor regions; conversely, the
more caudal CMAs have weaker prefrontal and stronger motor connectivity.
Connectivity patterns of the hand, tongue and eye representations within the CMAs
are consistent with that of their parent CMAs. The parallel rostral-to-caudal
functional organization observed in the medial and lateral frontal cortex could
likely contribute to different hierarchies of cognitive-motor control.
PMID- 29375294
TI - Benchmarking Brain-Computer Interfaces Outside the Laboratory: The Cybathlon
2016.
AB - This paper presents a new approach to benchmarking brain-computer interfaces
(BCIs) outside the lab. A computer game was created that mimics a real-world
application of assistive BCIs, with the main outcome metric being the time needed
to complete the game. This approach was used at the Cybathlon 2016, a competition
for people with disabilities who use assistive technology to achieve tasks. The
paper summarizes the technical challenges of BCIs, describes the design of the
benchmarking game, then describes the rules for acceptable hardware, software and
inclusion of human pilots in the BCI competition at the Cybathlon. The 11
participating teams, their approaches, and their results at the Cybathlon are
presented. Though the benchmarking procedure has some limitations (for instance,
we were unable to identify any factors that clearly contribute to BCI
performance), it can be successfully used to analyze BCI performance in
realistic, less structured conditions. In the future, the parameters of the
benchmarking game could be modified to better mimic different applications (e.g.,
the need to use some commands more frequently than others). Furthermore, the
Cybathlon has the potential to showcase such devices to the general public.
PMID- 29375295
TI - Frontal Control Process in Intentional Forgetting: Electrophysiological Evidence.
AB - In this study, we aimed to seek for the neural evidence of the inhibition control
process in directed forgetting (DF). We adopted a modified item-method DF
paradigm, in which four kinds of cues were involved. In some trials, the words
were followed by only a forgetting (F) cue. In the other trials, after a word was
presented, a maintenance (M) cue was presented, followed by an explicit
remembering (M-R) cue or an forgetting (M-F) cue. Data from 19 healthy adult
participants showed that, (1) compared with the remembering cue (i.e., M-R cue),
forgetting cues (i.e., M-F cue and F cue) evoked enhanced frontal N2 and reduced
parietal P3 and late positive complex (LPC) components, indicating that the
forgetting cues might trigger a more intensive cognitive control process and that
fewer amounts of cognitive resources were recruited for the further rehearsal
process. (2) Both the M cue and the F cue evoked enhanced N2 and decreased P3 and
LPC components than the M-R or M-F cue. These results might indicate that
compared with the M-R and M-F cues, both the M and F cues evoked a more intensive
cognitive control process and decreased attentional resource allocation process.
(3) The F cue evoked a decreased P2 component and an enhanced N2 component
relative to the other cues (i.e., M-R, M-F, M), indicating that the F cue
received fewer amounts of attentional resources and evoked a more intensive
cognitive control process. Taken together, forgetting cues were associated with
enhanced N2 activity relative to the maintenance rehearsal process or the
remembering process, suggesting an enhanced cognitive control process under DF.
This cognitive control process might reflect the role of inhibition in DF as
attempting to suppress the ongoing encoding.
PMID- 29375296
TI - Pannexin 1 Is Critically Involved in Feedback from Horizontal Cells to Cones.
AB - Retinal horizontal cells (HCs) feed back negatively to cone photoreceptors and in
that way generate the center/surround organization of bipolar cell receptive
fields. The mechanism by which HCs inhibit photoreceptors is a matter of debate.
General consensus exists that horizontal cell activity leads to the modulation of
the cone Ca-current. This modulation has two components, one fast and the other
slow. Several mechanisms for this modulation have been proposed: a fast ephaptic
mechanism, and a slow pH mediated mechanism. Here we test the hypothesis that the
slow negative feedback signal from HCs to cones is mediated by Panx1 channels
expressed at the tips of the dendrites of horizontal cell. We generated zebrafish
lacking Panx1 and found that the slow component of the feedback signal was
strongly reduced in the mutants showing that Panx1 channels are a fundamental
part of the negative feedback pathway from HCs to cones.
PMID- 29375297
TI - Macrophage-Mediated Glial Cell Elimination in the Postnatal Mouse Cochlea.
AB - Hearing relies on the transmission of auditory information from sensory hair
cells (HCs) to the brain through the auditory nerve. This relay of information
requires HCs to be innervated by spiral ganglion neurons (SGNs) in an exclusive
manner and SGNs to be ensheathed by myelinating and non-myelinating glial cells.
In the developing auditory nerve, mistargeted SGN axons are retracted or pruned
and excessive cells are cleared in a process referred to as nerve refinement.
Whether auditory glial cells are eliminated during auditory nerve refinement is
unknown. Using early postnatal mice of either sex, we show that glial cell
numbers decrease after the first postnatal week, corresponding temporally with
nerve refinement in the developing auditory nerve. Additionally, expression of
immune-related genes was upregulated and macrophage numbers increase in a manner
coinciding with the reduction of glial cell numbers. Transient depletion of
macrophages during early auditory nerve development, using transgenic
CD11bDTR/EGFP mice, resulted in the appearance of excessive glial cells.
Macrophage depletion caused abnormalities in myelin formation and transient edema
of the stria vascularis. Macrophage-depleted mice also showed auditory function
impairment that partially recovered in adulthood. These findings demonstrate that
macrophages contribute to the regulation of glial cell number during postnatal
development of the cochlea and that glial cells play a critical role in hearing
onset and auditory nerve maturation.
PMID- 29375299
TI - Disturbed Processing of Contextual Information in HCN3 Channel Deficient Mice.
AB - Hyperpolarization-activated cyclic nucleotide-gated channels (HCNs) in the
nervous system are implicated in a variety of neuronal functions including
learning and memory, regulation of vigilance states and pain. Dysfunctions or
genetic loss of these channels have been shown to cause human diseases such as
epilepsy, depression, schizophrenia, and Parkinson's disease. The physiological
functions of HCN1 and HCN2 channels in the nervous system have been analyzed
using genetic knockout mouse models. By contrast, there are no such genetic
studies for HCN3 channels so far. Here, we use a HCN3-deficient (HCN3-/-) mouse
line, which has been previously generated in our group to examine the expression
and function of this channel in the CNS. Specifically, we investigate the role of
HCN3 channels for the regulation of circadian rhythm and for the determination of
behavior. Contrary to previous suggestions we find that HCN3-/- mice show normal
visual, photic, and non-photic circadian function. In addition, HCN3-/- mice are
impaired in processing contextual information, which is characterized by
attenuated long-term extinction of contextual fear and increased fear to a
neutral context upon repeated exposure.
PMID- 29375298
TI - Evidence for a Pan-Neurodegenerative Disease Response in Huntington's and
Parkinson's Disease Expression Profiles.
AB - Huntington's and Parkinson's Diseases (HD and PD) are neurodegenerative disorders
that share some pathological features but are disparate in others. For example,
while both diseases are marked by aberrant protein aggregation in the brain, the
specific proteins that aggregate and types of neurons affected differ. A better
understanding of the molecular similarities and differences between these two
diseases may lead to a more complete mechanistic picture of both the individual
diseases and the neurodegenerative process in general. We sought to characterize
the common transcriptional signature of HD and PD as well as genes uniquely
implicated in each of these diseases using mRNA-Seq data from post mortem human
brains in comparison to neuropathologically normal controls. The enriched
biological pathways implicated by HD differentially expressed genes show
remarkable consistency with those for PD differentially expressed genes and
implicate the common biological processes of neuroinflammation, apoptosis,
transcriptional dysregulation, and neuron-associated functions. Comparison of the
differentially expressed (DE) genes highlights a set of consistently altered
genes that span both diseases. In particular, processes involving nuclear factor
kappa-light-chain-enhancer of activated B cells (NFkB) and transcription factor
cAMP response element-binding protein (CREB) are the most prominent among the
genes common to HD and PD. When the combined HD and PD data are compared to
controls, relatively few additional biological processes emerge as significantly
enriched, suggesting that most pathways are independently seen within each
disorder. Despite showing comparable numbers of DE genes, DE genes unique to HD
are enriched in far more coherent biological processes than the DE genes unique
to PD, suggesting that PD may represent a more heterogeneous disorder. The
complexity of the biological processes implicated by this analysis provides
impetus for the development of better experimental models to validate the
results.
PMID- 29375300
TI - The Effect of MCM3AP-AS1/miR-211/KLF5/AGGF1 Axis Regulating Glioblastoma
Angiogenesis.
AB - Glioblastoma (GBM) is the most aggressive and malignant primary tumor.
Angiogenesis plays a critical role in the progression of GBM. Previous studies
have indicated that long non-coding RNAs (lncRNAs) are abnormally expressed in
various cancers and participate in the regulation of the malignant behaviors of
tumors. The present study demonstrated that lncRNA antisense 1 to Micro
chromosome maintenance protein 3-associated protein (MCM3AP-AS1) was upregulated
whereas miR-211 was downregulated in glioma-associated endothelial cells (GECs).
Knockdown of MCM3AP-AS1 suppressed the cell viability, migration, and tube
formation of GECs and played a role in inhibiting angiogenesis of GBM in vitro.
Furthermore, knockdown of MCM3AP-AS1 increased the expression of miR-211.
Luciferase reporter assay implicated that miR-211 targeted KLF5 3'-UTR and
consequently inhibited KLF5 expression. Besides, in this study we found that
MCM3AP-AS1 knockdown decreased KLF5 and AGGF1 expression by upregulating miR-211.
In addition, KLF5 was associated with the promoter region of AGGF1. Knockdown of
KLF5 decreased AGGF1 expression by transcriptional repression, and also inhibited
the activation of PI3K/AKT and ERK1/2 signaling pathways. Overall, this study
reveals that MCM3AP-AS1/miR-211/KLF5/AGGF1 axis plays a prominent role in the
regulation of GBM angiogenesis and also serves as new therapeutic target for the
anti-angiogenic therapy of glioma.
PMID- 29375301
TI - The GlyT1 Inhibitor Bitopertin Ameliorates Allodynia and Hyperalgesia in Animal
Models of Neuropathic and Inflammatory Pain.
AB - Background: Chronic pain conditions are difficult to treat and the therapeutic
outcome is frequently unsatisfactory. Changes in excitation/inhibition balance
within the dorsal horn contribute to the establishment and persistence of chronic
pain. Thus, facilitation of inhibitory neurotransmission is a promising approach
to treat chronic pain pharmacologically. Glycine transporter 1 (GlyT1) plays an
important role in regulating extracellular glycine concentrations. Aim of the
present study therefore was to investigate whether the specific GlyT1 inhibitor
bitopertin (RG1678; RO4917838) might constitute a novel treatment for chronic
pain by facilitating glycinergic inhibition. Methods: Mechanical allodynia and
thermal hyperalgesia were induced by chronic constriction injury of the sciatic
nerve or carrageenan injections into the plantar surface of the hind paw in
rodents. The effect of acute and long-term bitopertin application on the reaction
threshold to mechanical and thermal stimuli was determined. General activity was
determined in open field experiments. The glycine concentration in cerebrospinal
fluid and blood was measured by HPLC. Results: Systemic application of bitopertin
in chronic pain conditions lead to a significant increase of the reaction
thresholds to mechanical and thermal stimuli in a time and dose-dependent manner.
Long-term application of bitopertin effectuated stable beneficial effects over 4
weeks. Bitopertin did not alter reaction thresholds to stimuli in control animals
and had no effect on general locomotor activity and anxiety but lead to an
increased glycine concentration in cerebrospinal fluid. Conclusion: These
findings suggest that inhibition of the GlyT1 by bitopertin represents a
promising new approach for the treatment of chronic pain.
PMID- 29375302
TI - A Novel RNA Editing Sensor Tool and a Specific Agonist Determine Neuronal Protein
Expression of RNA-Edited Glycine Receptors and Identify a Genomic APOBEC1
Dimorphism as a New Genetic Risk Factor of Epilepsy.
AB - C-to-U RNA editing of glycine receptors (GlyR) can play an important role in
disease progression of temporal lobe epilepsy (TLE) as it may contribute in a
neuron type-specific way to neuropsychiatric symptoms of the disease. It is
therefore necessary to develop tools that allow identification of neuron types
that express RNA-edited GlyR protein. In this study, we identify NH4 as agonist
of C-to-U RNA edited GlyRs. Furthermore, we generated a new molecular C-to-U RNA
editing sensor tool that detects Apobec-1- dependent RNA editing in HEPG2 cells
and rat primary hippocampal neurons. Using this sensor combined with NH4
application, we were able to identify C-to-U RNA editing-competent neurons and
expression of C-to-U RNA-edited GlyR protein in neurons. Bioinformatic analysis
of 1,000 Genome Project Phase 3 allele frequencies coding for human Apobec-1 80M
and 80I variants showed differences between populations, and the results revealed
a preference of the 80I variant to generate RNA-edited GlyR protein. Finally, we
established a new PCR-based restriction fragment length polymorphism (RFLP)
approach to profile mRNA expression with regard to the genetic APOBEC1 dimorphism
of patients with intractable temporal lobe epilepsy (iTLE) and found that the
patients fall into two groups. Patients with expression of the Apobec-1 80I
variant mostly suffered from simple or complex partial seizures, whereas patients
with 80M expression exhibited secondarily generalized seizure activity. Thus, our
method allows the characterization of Apobec-1 80M and 80l variants in the brain
and provides a new way to epidemiologically and semiologically classify iTLE
according to the two different APOBEC1 alleles. Together, these results
demonstrate Apobec-1-dependent expression of RNA-edited GlyR protein in neurons
and identify the APOBEC1 80I/M-coding alleles as new genetic risk factors for
iTLE patients.
PMID- 29375304
TI - Icariin Reduces Dopaminergic Neuronal Loss and Microglia-Mediated Inflammation in
Vivo and in Vitro.
AB - Parkinson's disease (PD) is one of the most common neurodegenerative diseases
characterized with a gradual loss of midbrain substantia nigra (SN) dopamine (DA)
neurons. An excessive evidence demonstrated that microglia-mediated inflammation
might be involved in the pathogenesis of PD. Thus, inhibition of
neuroinflammation might possess a promising potential for PD treatment. Icariin
(ICA), a single active component extracted from the Herba Epimedii, presents
amounts of pharmacological properties, such as anti-inflammation, anti-oxidant,
and anti-aging. Recent studies show ICA produced neuroprotection against brain
dysfunction. However, the mechanisms underlying ICA-exerted neuroprotection are
fully illuminated. In the present study, two different neurotoxins of 6
hydroxydopamine (6-OHDA) and lipopolysaccharide (LPS)-induced rat midbrain DA
neuronal damage were applied to investigate the neuroprotective effects of ICA.
In addition, primary rat midbrain neuron-glia co-cultures were performed to
explore the mechanisms underlying ICA-mediated DA neuroprotection. In vitro data
showed that ICA protected DA neurons from LPS/6-OHDA-induced DA neuronal damage
and inhibited microglia activation and pro-inflammatory factors production via
the suppression of nuclear factor-kappaB (NF-kappaB) pathway activation. In
animal results, ICA significantly reduced microglia activation and significantly
attenuated LPS/6-OHDA-induced DA neuronal loss and subsequent animal behavior
changes. Together, ICA could protect DA neurons against LPS- and 6-OHDA-induced
neurotoxicity both in vivo and in vitro. These actions might be closely
associated with the inhibition of microglia-mediated neuroinflammation.
PMID- 29375303
TI - Fragile X Mental Retardation Protein Regulates Activity-Dependent Membrane
Trafficking and Trans-Synaptic Signaling Mediating Synaptic Remodeling.
AB - Fragile X syndrome (FXS) is the leading monogenic cause of autism and
intellectual disability. The disease arises through loss of fragile X mental
retardation protein (FMRP), which normally exhibits peak expression levels in
early-use critical periods, and is required for activity-dependent synaptic
remodeling during this transient developmental window. FMRP canonically binds
mRNA to repress protein translation, with targets that regulate cytoskeleton
dynamics, membrane trafficking, and trans-synaptic signaling. We focus here on
recent advances emerging in these three areas from the Drosophila disease model.
In the well-characterized central brain mushroom body (MB) olfactory
learning/memory circuit, FMRP is required for activity-dependent synaptic
remodeling of projection neurons innervating the MB calyx, with function tightly
restricted to an early-use critical period. FMRP loss is phenocopied by
conditional removal of FMRP only during this critical period, and rescued by FMRP
conditional expression only during this critical period. Consistent with FXS
hyperexcitation, FMRP loss defects are phenocopied by heightened sensory
experience and targeted optogenetic hyperexcitation during this critical period.
FMRP binds mRNA encoding Drosophila ESCRTIII core component Shrub (human CHMP4
homolog) to restrict Shrub translation in an activity-dependent mechanism only
during this same critical period. Shrub mediates endosomal membrane trafficking,
and perturbing Shrub expression is known to interfere with neuronal process
pruning. Consistently, FMRP loss and Shrub overexpression targeted to projection
neurons similarly causes endosomal membrane trafficking defects within synaptic
boutons, and genetic reduction of Shrub strikingly rescues Drosophila FXS model
defects. In parallel work on the well-characterized giant fiber (GF) circuit,
FMRP limits iontophoretic dye loading into central interneurons, demonstrating an
FMRP role controlling core neuronal properties through the activity-dependent
repression of translation. In the well-characterized Drosophila neuromuscular
junction (NMJ) model, developmental synaptogenesis and activity-dependent
synaptic remodeling both require extracellular matrix metalloproteinase (MMP)
enzymes interacting with the heparan sulfate proteoglycan (HSPG) glypican dally
like protein (Dlp) to restrict trans-synaptic Wnt signaling, with FXS
synaptogenic defects alleviated by both MMP and HSPG reduction. This new
mechanistic axis spanning from activity to FMRP to HSPG-dependent MMP regulation
modulates activity-dependent synaptogenesis. We discuss future directions for
these mechanisms, and intersecting research priorities for FMRP in glial and
signaling interactions.
PMID- 29375305
TI - Tonically Active alpha2 Subunit-Containing Glycine Receptors Regulate the
Excitability of Striatal Medium Spiny Neurons.
AB - Medium spiny neurons (MSNs) of the dorsal striatum represent the first relay of
cortico-striato-thalamic loop, responsible for the initiation of voluntary
movements and motor learning. GABAergic transmission exerts the main inhibitory
control of MSNs. However, MSNs also express chloride-permeable glycine receptors
(GlyRs) although their subunit composition and functional significance in the
striatum is unknown. Here, we studied the function of GlyRs in MSNs of young
adult mice. We show that MSNs express functional GlyRs, with alpha2 being the
main agonist binding subunit. These receptors are extrasynaptic and depolarizing
at resting state. The pharmacological inhibition of GlyRs, as well as
inactivation of the GlyR alpha2 subunit gene hyperpolarize the membrane potential
of MSNs and increase their action potential firing offset. Mice lacking GlyR
alpha2 showed impaired motor memory consolidation without any changes in the
initial motor performance. Taken together, these results demonstrate that
tonically active GlyRs regulate the firing properties of MSNs and may thus affect
the function of basal ganglia.
PMID- 29375306
TI - SIRT1/PGC-1alpha Signaling Promotes Mitochondrial Functional Recovery and Reduces
Apoptosis after Intracerebral Hemorrhage in Rats.
AB - Silent information regulator 1 (SIRT1) exerts neuroprotection in many
neurodegenerative diseases. However, it is not clear if SIRT1 has protective
effects after intracerebral hemorrhage (ICH)-induced brain injury in rats. Thus,
our goal was to examine the influence of SIRT1 on ICH injuries and any underlying
mechanisms of this influence. Brain injury was induced by autologous arterial
blood (60 MUL) injection into rat brains, and data show that activation of SIRT1
with SRT1720 (5 mg/kg) restored nuclear SIRT1, deacetylation of PGC-1alpha, and
mitochondrial biogenesis and decreased mortality, behavioral deficits, and brain
water content without significant changes in phosphorylated AMP-activated protein
kinase (pAMPK) induced by ICH. Activation of SIRT1 with SRT1720 also restored
mitochondrial electron transport chain proteins and decreased apoptotic proteins
in ICH; however, these changes were reversed after ICH. In contrast, treatment
with PGC-1alpha siRNA yielded opposite effects. To explore the protective effects
of SIRT1 after ICH, siRNAs were used to knockdown SIRT1. Treatment with SIRT1
siRNA increased mortality, behavioral deficits, brain water content,
mitochondrial dysfunction, and neurocyte apoptosis after ICH. Thus, activation of
SIRT1 promotes recovery of mitochondrial protein and function by increasing
mitochondrial biogenesis and reduces apoptosis after ICH via the PGC-1alpha
mitochondrial pathway. These data may suggest a new therapeutic approach for ICH
injuries.
PMID- 29375308
TI - A Protein Aggregation Inhibitor, Leuco-Methylthioninium
Bis(Hydromethanesulfonate), Decreases alpha-Synuclein Inclusions in a Transgenic
Mouse Model of Synucleinopathy.
AB - alpha-Synuclein (alpha-Syn) aggregation is a pathological feature of
synucleinopathies, neurodegenerative disorders that include Parkinson's disease
(PD). We have tested whether N,N,N',N'-tetramethyl-10H-phenothiazine-3,7
diaminium bis(hydromethanesulfonate) (leuco-methylthioninium
bis(hydromethanesulfonate); LMTM), a tau aggregation inhibitor, affects alpha-Syn
aggregation in vitro and in vivo. Both cellular and transgenic models in which
the expression of full-length human alpha-Syn (h-alpha-Syn) fused with a signal
sequence peptide to promote alpha-Syn aggregation were used. Aggregated alpha-Syn
was observed following differentiation of N1E-115 neuroblastoma cells transfected
with h-alpha-Syn. The appearance of aggregated alpha-Syn was inhibited by LMTM,
with an EC50 of 1.1 MUM, with minimal effect on h-alpha-Syn mRNA levels being
observed. Two independent lines of mice (L58 and L62) transgenic for the same
fusion protein accumulated neuronal h-alpha-Syn that, with aging, developed into
fibrillary inclusions characterized by both resistance to proteinase K (PK)
cleavage and their ability to bind thiazin red. There was a significant decrease
in alpha-Syn-positive neurons in multiple brain regions following oral treatment
of male and female mice with LMTM administered daily for 6 weeks at 5 and 15 mg
MT/kg. The early aggregates of alpha-Syn and the late-stage fibrillar inclusions
were both susceptible to inhibition by LMTM, a treatment that also resulted in
the rescue of movement and anxiety-related traits in these mice. The results
suggest that LMTM may provide a potential disease modification therapy in PD and
other synucleinopathies through the inhibition of alpha-Syn aggregation.
PMID- 29375309
TI - P2X7 Nucleotide and EGF Receptors Exert Dual Modulation of the Dual-Specificity
Phosphatase 6 (MKP-3) in Granule Neurons and Astrocytes, Contributing to Negative
Feedback on ERK Signaling.
AB - Extracellular signal-regulated kinases 1 and 2 (ERK1/2) play a central role in
the intracellular signaling of P2X7 nucleotide receptors in neurons and glial
cells. Fine spatio-temporal tuning of mitogen-activated protein (MAP) kinases is
essential to regulate their biological activity. MAP kinase phosphatases (MKPs)
are dual specificity protein phosphatases (DUSPs) that dephosphorylate
phosphothreonine and phosphotyrosine residues in MAP kinases. This study focuses
on how DUSP, DUSP6/MKP3, a phosphatase specific for ERK1/2 is regulated by the
P2X7 nucleotide receptor in cerebellar granule neurons and astrocytes.
Stimulation with the specific P2X7 agonist, BzATP, or epidermal growth factor
(EGF) (positive control for ERK activation) regulates the levels of DUSP6 in a
time dependent manner. Both agonists promote a decline in DUSP6 protein, reaching
minimal levels after 30 min yet recovering to basal levels after 1 h. The initial
loss of protein occurs through proteasomal degradation, as confirmed in
experiments with the proteasome inhibitor, MG-132. Studies carried out with
Actinomycin D demonstrated that the enhanced transcription of the Dusp6 gene is
responsible for recovering the DUSP6 protein levels. Interestingly, ERK1/2
proteins are involved in the biphasic regulation of the protein phosphatase,
being required for both the degradation and the recovery phase. We show that
direct Ser197 phosphorylation of DUSP6 by ERK1/2 proteins could be part of the
mechanism regulating their cytosolic levels, at least in glial cells. Thus, the
ERK1/2 activated by P2X7 receptors exerts positive feedback on these kinase's own
activity, promoting the degradation of one of their major inactivators in the
cytosolic compartment, DUSP6, both in granule neurons and astrocytes. This
feedback loop seems to function as a common universal mechanism to regulate ERK
signaling in neural and non-neural cells.
PMID- 29375307
TI - AMPA Receptor Trafficking in Natural and Pathological Aging.
AB - alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid receptors (AMPARs)
enable most excitatory transmission in the brain and are crucial for mediating
basal synaptic strength and plasticity. Because of the importance of their
function, AMPAR dynamics, activity and subunit composition undergo a tight
regulation which begins as early as prenatal development and continues through
adulthood. Accumulating evidence suggests that the precise regulatory mechanisms
involved in orchestrating AMPAR trafficking are challenged in the aging brain. In
turn dysregulation of AMPARs can be linked to most neurological and
neurodegenerative disorders. Understanding the mechanisms that govern AMPAR
signaling during natural and pathological cognitive decline will guide the
efforts to develop most effective ways to tackle neurodegenerative diseases which
are one of the primary burdens afflicting an increasingly aging population. In
this review, I provide a brief overview of the molecular mechanisms involved in
AMPAR trafficking highlighting what is currently known about how these processes
change with age and disease. As a particularly well-studied example of AMPAR
dysfunction in pathological aging I focus in Alzheimer's disease (AD) with
special emphasis in how the production of neurofibrillary tangles (NFTs) and
amyloid-beta plaques may contribute to disruption in AMPAR function.
PMID- 29375310
TI - Negative Effects of Chronic Rapamycin Treatment on Behavior in a Mouse Model of
Fragile X Syndrome.
AB - Fragile X syndrome (FXS), the most common form of inherited intellectual
disability, is also highly associated with autism spectrum disorders (ASD). It is
caused by expansion of a CGG repeat sequence on the X chromosome resulting in
silencing of the FMR1 gene. This is modeled in the mouse by deletion of Fmr1
(Fmr1 KO). Fmr1 KO mice recapitulate many of the behavioral features of the
disorder including seizure susceptibility, hyperactivity, impaired social
behavior, sleep problems, and learning and memory deficits. The mammalian target
of rapamycin pathway (mTORC1) is upregulated in Fmr1 KO mice and is thought to be
important for the pathogenesis of this disorder. We treated Fmr1 KO mice
chronically with an mTORC1 inhibitor, rapamycin, to determine if rapamycin
treatment could reverse behavioral phenotypes. We performed open field, zero
maze, social behavior, sleep, passive avoidance, and audiogenic seizure testing.
We found that pS6 was upregulated in Fmr1 KO mice and normalized by rapamycin
treatment, but, except for an anxiogenic effect, it did not reverse any of the
behavioral phenotypes examined. In fact, rapamycin treatment had an adverse
effect on sleep and social behavior in both control and Fmr1 KO mice. These
results suggest that targeting the mTOR pathway in FXS is not a good treatment
strategy and that other pathways should be considered.
PMID- 29375311
TI - Profiling and Co-expression Network Analysis of Learned Helplessness Regulated
mRNAs and lncRNAs in the Mouse Hippocampus.
AB - Although studies provide insights into the neurobiology of stress and depression,
the exact molecular mechanisms underlying their pathologies remain largely
unknown. Long non-coding RNA (lncRNA) has been implicated in brain functions and
behavior. A potential link between lncRNA and psychiatric disorders has been
proposed. However, it remains undetermined whether IncRNA regulation, in the
brain, contributes to stress or depression pathologies. In this study, we used a
valid animal model of depression-like symptoms; namely learned helplessness, RNA
seq, Gene Ontology and co-expression network analyses to profile the expression
pattern of lncRNA and mRNA in the hippocampus of mice. We identified 6346
differentially expressed transcripts. Among them, 340 lncRNAs and 3559 protein
coding mRNAs were differentially expressed in helpless mice in comparison with
control and/or non-helpless mice (inescapable stress resilient mice). Gene
Ontology and pathway enrichment analyses indicated that induction of helplessness
altered expression of mRNAs enriched in fundamental biological functions
implicated in stress/depression neurobiology such as synaptic, metabolic, cell
survival and proliferation, developmental and chromatin modification functions.
To explore the possible regulatory roles of the altered lncRNAs, we constructed
co-expression networks composed of the lncRNAs and mRNAs. Among our
differentially expressed lncRNAs, 17% showed significant correlation with genes.
Functional co-expression analysis linked the identified lncRNAs to several
cellular mechanisms implicated in stress/depression neurobiology. Importantly,
57% of the identified regulatory lncRNAs significantly correlated with 18
different synapse-related functions. Thus, the current study identifies for the
first time distinct groups of lncRNAs regulated by induction of learned
helplessness in the mouse brain. Our results suggest that lncRNA-directed
regulatory mechanisms might contribute to stress-induced pathologies; in
particular, to inescapable stress-induced synaptic modifications.
PMID- 29375312
TI - The Beta Adrenergic Receptor Blocker Propranolol Counteracts Retinal Dysfunction
in a Mouse Model of Oxygen Induced Retinopathy: Restoring the Balance between
Apoptosis and Autophagy.
AB - In a mouse model of oxygen induced retinopathy (OIR), beta adrenergic receptor
(BAR) blockade has been shown to recover hypoxia-associated retinal damages.
Although the adrenergic signaling is an important regulator of apoptotic and
autophagic processes, the role of BARs in retinal cell death remains to be
elucidated. The present study was aimed at investigating whether ameliorative
effects of BAR blockers may occur through their coordinated action on apoptosis
and autophagy. To this aim, retinas from control and OIR mice untreated or
treated with propranolol, a non-selective BAR1/2 blocker, were characterized in
terms of expression and localization of apoptosis and autophagy markers. The
effects of propranolol on autophagy signaling were also evaluated and specific
autophagy modulators were used to get functional information on the autophagic
effects of BAR antagonism. Finally, propranolol effects on neurodegenerative
processes were associated to an electrophysiological investigation of retinal
function by recording electroretinogram (ERG). We found that retinas of OIR mice
are characterized by increased apoptosis and decreased autophagy, while
propranolol reduces apoptosis and stimulates autophagy. In particular,
propranolol triggers autophagosome formation in bipolar, amacrine and ganglion
cells that are committed to die by apoptosis in response to hypoxia. Also our
data argue that propranolol, through the inhibition of the Akt-mammalian target
of rapamycin pathway, activates autophagy which decreases retinal cell death. At
the functional level, propranolol recovers dysfunctional ERG by recovering the
amplitude of a- and b-waves, and oscillatory potentials, thus indicating an
efficient restoring of retinal transduction. Overall, our results demonstrate
that BAR1/2 are key regulators of retinal apoptosis/autophagy, and that BAR1/2
blockade leads to autophagy-mediated neuroprotection. Reinstating the balance
between apoptotic and autophagic machines may therefore be viewed as a future
goal in the treatment of retinopathies.
PMID- 29375313
TI - Proteomic and Metabolomic Analyses of Vanishing White Matter Mouse Astrocytes
Reveal Deregulation of ER Functions.
AB - Vanishing white matter (VWM) is a leukodystrophy with predominantly early
childhood onset. Affected children display various neurological signs, including
ataxia and spasticity, and die early. VWM patients have bi-allelic mutations in
any of the five genes encoding the subunits of the eukaryotic translation factor
2B (eIF2B). eIF2B regulates protein synthesis rates under basal and cellular
stress conditions. The underlying molecular mechanism of how mutations in eIF2B
result in VWM is unknown. Previous studies suggest that brain white matter
astrocytes are primarily affected in VWM. We hypothesized that the translation
rate of certain astrocytic mRNAs is affected by the mutations, resulting in
astrocytic dysfunction. Here we subjected primary astrocyte cultures of wild type
(wt) and VWM (2b5ho ) mice to pulsed labeling proteomics based on stable isotope
labeling with amino acids in cell culture (SILAC) with an L-azidohomoalanine
(AHA) pulse to select newly synthesized proteins. AHA was incorporated into newly
synthesized proteins in wt and 2b5ho astrocytes with similar efficiency, without
affecting cell viability. We quantified proteins synthesized in astrocytes of wt
and 2b5ho mice. This proteomic profiling identified a total of 80 proteins that
were regulated by the eIF2B mutation. We confirmed increased expression of PROS1
in 2b5ho astrocytes and brain. A DAVID enrichment analysis showed that
approximately 50% of the eIF2B-regulated proteins used the secretory pathway. A
small-scale metabolic screen further highlighted a significant change in the
metabolite 6-phospho-gluconate, indicative of an altered flux through the pentose
phosphate pathway (PPP). Some of the proteins migrating through the secretory
pathway undergo oxidative folding reactions in the endoplasmic reticulum (ER),
which produces reactive oxygen species (ROS). The PPP produces NADPH to remove
ROS. The proteomic and metabolomics data together suggest a deregulation of ER
function in 2b5ho mouse astrocytes.
PMID- 29375315
TI - The Calcineurin Inhibitor FK506 Prevents Cognitive Impairment by Inhibiting
Reactive Astrogliosis in Pilocarpine-Induced Status Epilepticus Rats.
AB - Status epilepticus (SE) is a severe clinical manifestation of epilepsy
accompanying with cognitive impairment and brain damage. Astrocyte activation
occurs following seizures and plays an important role in epilepsy-induced
pathological injury, including cognitive impairment. FK506, an immunosuppressant
used in clinical settings to prevent allograft rejection, has been shown to
exhibit neuroprotective effects in central nervous system diseases. The present
study was designed to investigate the effect of FK506 on cognitive impairment in
a lithium-pilocarpine-induced SE rat model. It's found that FK506 treatment
significantly increased the latency period to seizures and decreased the maximal
intensity of seizures. FK506 treatment also markedly increased the surviving
cells and reduced the neuron apoptosis after seizures. Meanwhile, FK506 treatment
reduced the escape latency and prolonged the swimming distance in the Morris
water maze test. In addition, FK506 treatment down-regulated the expression level
of GFAP, a specific marker of astrocytes. In conclusion, FK506 could prevent and
recover cognitive impairment by inhibiting reactive astrogliosis in pilocarpine
induced status epilepticus rats, suggesting that FK506 may be a promising agent
for the treatment of epilepsy.
PMID- 29375314
TI - Intrinsic and Extrinsic Neuromodulation of Olfactory Processing.
AB - Neuromodulation is a ubiquitous feature of neural systems, allowing flexible,
context specific control over network dynamics. Neuromodulation was first
described in invertebrate motor systems and early work established a basic
dichotomy for neuromodulation as having either an intrinsic origin (i.e., neurons
that participate in network coding) or an extrinsic origin (i.e., neurons from
independent networks). In this conceptual dichotomy, intrinsic sources of
neuromodulation provide a "memory" by adjusting network dynamics based upon
previous and ongoing activation of the network itself, while extrinsic
neuromodulators provide the context of ongoing activity of other neural networks.
Although this dichotomy has been thoroughly considered in motor systems, it has
received far less attention in sensory systems. In this review, we discuss
intrinsic and extrinsic modulation in the context of olfactory processing in
invertebrate and vertebrate model systems. We begin by discussing presynaptic
modulation of olfactory sensory neurons by local interneurons (LNs) as a
mechanism for gain control based on ongoing network activation. We then discuss
the cell-class specific effects of serotonergic centrifugal neurons on olfactory
processing. Finally, we briefly discuss the integration of intrinsic and
extrinsic neuromodulation (metamodulation) as an effective mechanism for exerting
global control over olfactory network dynamics. The heterogeneous nature of
neuromodulation is a recurring theme throughout this review as the effects of
both intrinsic and extrinsic modulation are generally non-uniform.
PMID- 29375317
TI - Neuregulin 1 Reduces Motoneuron Cell Death and Promotes Neurite Growth in an in
Vitro Model of Motoneuron Degeneration.
AB - Amyotrophic Lateral Sclerosis (ALS) is a devastating neurodegenerative disorder
with no effective treatment currently available. Although the mechanisms of
motoneuron (MN) death are still unclear, glutamate excitotoxicity and
neuroinflammatory reaction are two main features in the neurodegenerative process
of ALS. Neuregulin 1 (NRG1) is a trophic factor highly expressed in MNs and
neuromuscular junctions. Several recent evidences suggest that NRG1 and their
ErbB receptors are involved in ALS. However, further knowledge is still needed to
clarify the role of the NRG1-ErbB pathway on MN survival. In this study we used
an in vitro model of spinal cord organotypic cultures (SCOCs) subject to chronic
excitotoxicity caused by DL-threo-beta-hydroxyaspartic acid (THA) to characterize
the effect of NRG1 on MN survival. Our results show that addition of recombinant
human NRG1 (rhNRG1) to the medium significantly increased MN survival through the
activation of ErbB receptors which was ablated with lapatinib (LP), an ErbB
inhibitor, and reduced microglial reactivity overcoming the excitotoxicity
effects. rhNRG1 activated the pro-survival PI3K/AKT pathway and restored the
autophagic flux in the spinal cord culture. Moreover, addition of rhNRG1 to the
medium promoted motor and sensory neurite outgrowth. These findings indicate that
increasing NRG1 at the spinal cord is an interesting approach for promoting MN
protection and regeneration.
PMID- 29375318
TI - Neuroprotection Exerted by Netrin-1 and Kinesin Motor KIF1A in Secondary Brain
Injury following Experimental Intracerebral Hemorrhage in Rats.
AB - Binding of extracellular netrin-1 to its receptors, deleted in colorectal cancer
(DCC) and uncoordinated gene 5H2 (UNC5H2), inhibits apoptosis mediated by these
receptors. A neuron-specific kinesin motor protein, KIF1A, has been shown to
participate in netrin-1 secretion. This study aimed to identify the roles of
netrin-1 and KIF1A in secondary brain injury after intracerebral hemorrhage (ICH)
and the potential mechanisms. An autologous blood ICH model was established in
adult male Sprague-Dawley rats, and cultured neurons were exposed to OxyHb to
mimic ICH conditions in vitro. Mouse recombinant netrin-1, expression vectors
encoding KIF1A, and KIF1A-specific siRNAs were administered
intracerebroventricularly. After ICH, protein levels of netrin-1, DCC, and UNC5H2
increased, while protein levels of KIF1A decreased. Levels of UNC5H2 and DCC
bound to netrin-1 increased after ICH but were significantly lower than the
increase in total amount of protein. Administration of recombinant netrin-1
attenuated neuronal apoptosis and degeneration in ICH rats. Moreover, KIF1A
overexpression increased concentrations of netrin-1 in cerebrospinal fluid and
cell culture supernatant and exerted neuroprotective effects via netrin-1 and its
receptor pathways. KIF1A plays a critical role in netrin-1 secretion by neurons.
An increase in protein levels of netrin-1 may be a neuroprotective strategy after
ICH. However, this process is almost completely abolished by ICH-induced loss of
KIF1A. An exogenous increase of KIF1A may be a potential strategy for
neuroprotection via the netrin-1 pathway.
PMID- 29375316
TI - Biomaterial-Supported Cell Transplantation Treatments for Spinal Cord Injury:
Challenges and Perspectives.
AB - Spinal cord injury (SCI), resulting in para- and tetraplegia caused by the
partial or complete disruption of descending motor and ascending sensory neurons,
represents a complex neurological condition that remains incurable. Following
SCI, numerous obstacles comprising of the loss of neural tissue (neurons,
astrocytes, and oligodendrocytes), formation of a cavity, inflammation, loss of
neuronal circuitry and function must be overcome. Given the multifaceted primary
and secondary injury events that occur with SCI treatment options are likely to
require combinatorial therapies. While several methods have been explored, only
the intersection of two, cell transplantation and biomaterial implantation, will
be addressed in detail here. Owing to the constant advance of cell culture
technologies, cell-based transplantation has come to the forefront of SCI
treatment in order to replace/protect damaged tissue and provide physical as well
as trophic support for axonal regrowth. Biomaterial scaffolds provide cells with
a protected environment from the surrounding lesion, in addition to bridging
extensive damage and providing physical and directional support for axonal
regrowth. Moreover, in this combinatorial approach cell transplantation improves
scaffold integration and therefore regenerative growth potential. Here, we review
the advances in combinatorial therapies of Schwann cells (SCs), astrocytes,
olfactory ensheathing cells (OECs), mesenchymal stem cells, as well as neural
stem and progenitor cells (NSPCs) with various biomaterial scaffolds.
PMID- 29375319
TI - Preserved Function of Afferent Parvalbumin-Positive Perisomatic Inhibitory
Synapses of Dentate Granule Cells in Rapidly Kindled Mice.
AB - Parvalbumin- (PV-) containing basket cells constitute perisomatic GABAergic
inhibitory interneurons innervating principal cells at perisomatic area, a
strategic location that allows them to efficiently control the output and
synchronize oscillatory activity at gamma frequency (30-90 Hz) oscillations. This
oscillatory activity can convert into higher frequency epileptiform activity, and
therefore could play an important role in the generation of seizures. However,
the role of endogenous modulators of seizure activity, such as Neuropeptide Y
(NPY), has not been fully explored in at PV input and output synapses. Here,
using selective optogenetic activation of PV cells in the hippocampus, we show
that seizures, induced by rapid kindling (RK) stimulations, enhance gamma
aminobutyric acid (GABA) release from PV cells onto dentate gyrus (DG) granule
cells (GC). However, PV-GC synapses did not differ between controls and kindled
animals in terms of GABA release probability, short-term plasticity and
sensitivity to NPY. Kinetics of gamma-aminobutyric acid A (GABA-A) mediated
currents in postsynaptic GC were also unaffected. When challenged by repetitive
high-frequency optogenetic stimulations, PV synapses in kindled animals responded
with enhanced GABA release onto GC. These results unveil a mechanism that might
possibly contribute to the generation of abnormal synchrony and maintenance of
epileptic seizures.
PMID- 29375320
TI - Systematic Analysis of mRNA and miRNA Expression of 3D-Cultured Neural Stem Cells
(NSCs) in Spaceflight.
AB - Recently, with the development of the space program there are growing concerns
about the influence of spaceflight on tissue engineering. The purpose of this
study was thus to determine the variations of neural stem cells (NSCs) during
spaceflight. RNA-Sequencing (RNA-Seq) based transcriptomic profiling of NSCs
identified many differentially expressed mRNAs and miRNAs between space and earth
groups. Subsequently, those genes with differential expression were subjected to
bioinformatic evaluation using gene ontology (GO), Kyoto Encyclopedia of Genes
and Genomes pathway (KEGG) and miRNA-mRNA network analyses. The results showed
that NSCs maintain greater stemness ability during spaceflight although the
growth rate of NSCs was slowed down. Furthermore, the results indicated that NSCs
tended to differentiate into neuron in outer space conditions. Detailed genomic
analyses of NSCs during spaceflight will help us to elucidate the molecular
mechanisms behind their differentiation and proliferation when they are in outer
space.
PMID- 29375322
TI - Intense Activity of the Raphe Spinal Pathway Depresses Motor Activity via a
Serotonin Dependent Mechanism.
AB - Motor fatigue occurring during prolonged physical activity has both peripheral
and central origins. It was previously demonstrated that the excitability of
motoneurons was decreased when a spillover of serotonin could activate
extrasynaptic 5-HT1A receptors at the axon initial segment (AIS) of motoneurons.
Here we investigated the impact of massive synaptic release of serotonin on motor
behavior in an integrated preparation of the adult turtle performing fictive
scratching behaviors. We found that a prolonged electrical stimulation of the
raphe spinal pathway induced a reversible inhibition of the motor behavior that
lasted several tens of seconds. The effect disappeared when the spinal cord was
perfused with an antagonist for 5-HT1A receptors. By demonstrating a direct
impact of serotonin on motor behavior, we suggest a central role of this
monoamine behind central fatigue.
PMID- 29375321
TI - Temporal Progression of Retinal Progenitor Cell Identity: Implications in Cell
Replacement Therapies.
AB - Retinal degenerative diseases, which lead to the death of rod and cone
photoreceptor cells, are the leading cause of inherited vision loss worldwide.
Induced pluripotent or embryonic stem cells (iPSCs/ESCs) have been proposed as a
possible source of new photoreceptors to restore vision in these conditions. The
proof of concept studies carried out in mouse models of retinal degeneration over
the past decade have highlighted several limitations for cell replacement in the
retina, such as the low efficiency of cone photoreceptor production from stem
cell cultures and the poor integration of grafted cells in the host retina.
Current protocols to generate photoreceptors from stem cells are largely based on
the use of extracellular factors. Although these factors are essential to induce
the retinal progenitor cell (RPC) fate from iPSCs/ESCs, developmental studies
have shown that RPCs alter fate output as a function of time (i.e., their
temporal identity) to generate the seven major classes of retinal cell types,
rather than spatial position. Surprisingly, current stem cell differentiation
protocols largely ignore the intrinsic temporal identity of dividing RPCs, which
we argue likely explains the low efficiency of cone production in such cultures.
In this article, we briefly review the mechanisms regulating temporal identity in
RPCs and discuss how they could be exploited to improve cone photoreceptor
production for cell replacement therapies.
PMID- 29375323
TI - Fear Learning Regulates Cortical Sensory Representations by Suppressing
Habituation.
AB - Projections from auditory cortex to the amygdala are thought to contribute to the
induction of auditory fear learning. In addition, fear conditioning has been
found to enhance cortical responses to conditioned tones, suggesting that
cortical plasticity contributes to fear learning. However, the functional role of
auditory cortex in the retrieval of fear memories is unclear and how fear
learning regulates cortical sensory representations is not well understood. To
address these questions, we use acute optogenetic silencing and chronic two
photon calcium imaging in mouse auditory cortex during fear learning.
Longitudinal imaging of neuronal ensemble activity reveals that discriminative
fear learning modulates cortical sensory representations via the suppression of
cortical habituation.
PMID- 29375324
TI - Neuronal Assemblies Evidence Distributed Interactions within a Tactile
Discrimination Task in Rats.
AB - Accumulating evidence suggests that neural interactions are distributed and
relate to animal behavior, but many open questions remain. The neural assembly
hypothesis, formulated by Hebb, states that synchronously active single neurons
may transiently organize into functional neural circuits-neuronal assemblies
(NAs)-and that would constitute the fundamental unit of information processing in
the brain. However, the formation, vanishing, and temporal evolution of NAs are
not fully understood. In particular, characterizing NAs in multiple brain regions
over the course of behavioral tasks is relevant to assess the highly distributed
nature of brain processing. In the context of NA characterization, active tactile
discrimination tasks with rats are elucidative because they engage several
cortical areas in the processing of information that are otherwise masked in
passive or anesthetized scenarios. In this work, we investigate the dynamic
formation of NAs within and among four different cortical regions in long-range
fronto-parieto-occipital networks (primary somatosensory, primary visual,
prefrontal, and posterior parietal cortices), simultaneously recorded from seven
rats engaged in an active tactile discrimination task. Our results first confirm
that task-related neuronal firing rate dynamics in all four regions is
significantly modulated. Notably, a support vector machine decoder reveals that
neural populations contain more information about the tactile stimulus than the
majority of single neurons alone. Then, over the course of the task, we identify
the emergence and vanishing of NAs whose participating neurons are shown to
contain more information about animal behavior than randomly chosen neurons.
Taken together, our results further support the role of multiple and distributed
neurons as the functional unit of information processing in the brain (NA
hypothesis) and their link to active animal behavior.
PMID- 29375325
TI - Development of Circumventricular Organs in the Mirror of Zebrafish Enhancer-Trap
Transgenics.
AB - The circumventricular organs (CVOs) are small structures lining the cavities of
brain ventricular system. They are associated with the semitransparent regions of
the blood-brain barrier (BBB). Hence it is thought that CVOs mediate biochemical
signaling and cell exchange between the brain and systemic blood. Their
classification is still controversial and development not fully understood
largely due to an absence of tissue-specific molecular markers. In a search for
molecular determinants of CVOs we studied the green fluorescent protein (GFP)
expression pattern in several zebrafish enhancer trap transgenics including
Gateways (ET33-E20) that has been instrumental in defining the development of
choroid plexus. In Gateways the GFP is expressed in regions of the developing
brain outside the choroid plexus, which remain to be characterized. The
neuroanatomical and histological analysis suggested that some previously
unassigned domains of GFP expression may correspond to at least six other CVOs
the organum vasculosum laminae terminalis (OVLT), subfornical organ (SFO),
paraventricular organ (PVO), pineal (epiphysis), area postrema (AP) and median
eminence (ME). Two other CVOs, parapineal and subcommissural organ (SCO) were
detected in other enhancer-trap transgenics. Hence enhancer-trap transgenic lines
could be instrumental for developmental studies of CVOs in zebrafish and
understanding of the molecular mechanism of disease such a hydrocephalus in
human. Their future analysis may shed light on general and specific molecular
mechanisms that regulate development of CVOs.
PMID- 29375331
TI - Bidirectional Control of Reversal in a Dual Action Task by Direct and Indirect
Pathway Activation in the Dorsolateral Striatum in Mice.
AB - The striatum is a key brain structure involved in the processing of cognitive
flexibility, which results from the balance between the flexibility demanded for
novel learning of motor actions and the inflexibility required to preserve
previously learned actions. In particular, the dorsolateral portion of the
striatum (DLS) is engaged in the learning of action sequence. This process is
temporally driven by fine adjustments in the function of the two main neuronal
populations of the striatum, known as the direct pathway medium spiny neurons
(dMSNs) and indirect pathway medium spiny neurons (iMSNs). Here, using
optogenetics, behavioral, and electrophysiological tools, we addressed the
relative role of both neuronal populations in the acquisition of a reversal dual
action sequence in the DLS. While the channelrhodopsin-induced activation of
dMSNs and iMSNs of the DLS did not induce changes in the learning rate of the
sequence, the specific activation of the dMSNs of the DLS facilitated the
acquisition of a reversal dual action sequence; the activation of iMSNs induced a
significant deficit in the acquisition of the same task. Taken together our
results indicate an antagonistic relationship between dMSNs and iMSNs on the
acquisition of a reversal dual action sequence.
PMID- 29375326
TI - Stereological Assessments of Neuronal Pathology in Auditory Cortex in
Schizophrenia.
AB - It has long been known that auditory processing is disrupted in schizophrenia.
More recently, postmortem studies have provided direct evidence that
morphological alterations to neurons in auditory cortex are implicated in the
pathophysiology of this illness, confirming previous predictions. Potential
neural substrates for auditory impairment and gray matter loss in auditory cortex
in schizophrenia have been identified, described, and are the focus of this
review article. Pyramidal cell somal volume is reduced in auditory cortex, as are
dendritic spine density and number in schizophrenia. Pyramidal cells are not lost
in this region in schizophrenia, indicating that dendritic spine reductions
reflect fewer spines per pyramidal cell, consistent with the reduced neuropil
hypothesis of schizophrenia. Stereological methods have aided in the proper
collection, reporting and interpretation of this data. Mechanistic studies
exploring relationships between genetic risk for schizophrenia and altered
dendrite morphology represent an important avenue for future research in order to
further elucidate cellular pathology in auditory cortex in schizophrenia.
PMID- 29375328
TI - Glial- and Neuronal-Specific Expression of CCL5 mRNA in the Rat Brain.
AB - Chemokine (C-C motif) ligand 5 (CCL5) belongs to a group of chemokines that play
a role in the peripheral immune system, mostly as chemoattractant molecules, and
mediate tactile allodynia. In the central nervous system (CNS), CCL5 and its
receptors have multiple functions, including promoting neuroinflammation, insulin
signaling, neuromodulator of synaptic activity and neuroprotection against a
variety of neurotoxins. Evidence has also suggested that this chemokine may
regulate opioid response. The multifunctional profile of CCL5 might correlate
with its ability to bind different chemokine receptors, as well as with its
unique cellular expression. In this work, we have used fluorescence in situ
hybridization combined with immunohistochemistry to examine the expression
profile of CCL5 mRNA in the adult rat brain and provide evidence of its cellular
localization. We have observed that the highest expression of CCL5 mRNA occurs in
all major fiber tracts, including the corpus callosum, anterior commissure, and
cerebral peduncle. In these tracts, CCL5 mRNA was localized in oligodendrocytes,
astrocytes and microglia. Astrocytic and microglial expression was also evident
in several brain areas including the cerebral cortex, caudate/putamen,
hippocampus, and thalamus. Furthermore, using a specific neuronal marker, we
observed CCL5 mRNA expression in discrete layers of the cortex and hippocampus.
Interestingly, in the midbrain, CCL5 mRNA co-localized with tyrosine hydroxylase
(TH) positive cells of the ventral tegmental area, suggesting that CCL5 might be
expressed by a subset of dopaminergic neurons of the mesolimbic system. The
expression of CCL5 mRNA and protein, together with its receptors, in selected
brain cell populations proposes that this chemokine could be involved in
neuronal/glial communication.
PMID- 29375330
TI - Evidence of Rapid Modulation by Social Information of Subjective, Physiological,
and Neural Responses to Emotional Expressions.
AB - Recent research suggests that conceptual or emotional factors could influence the
perceptual processing of stimuli. In this article, we aimed to evaluate the
effect of social information (positive, negative, or no information related to
the character of the target) on subjective (perceived and felt valence and
arousal), physiological (facial mimicry) as well as on neural (P100 and N170)
responses to dynamic emotional facial expressions (EFE) that varied from neutral
to one of the six basic emotions. Across three studies, the results showed
reduced ratings of valence and arousal of EFE associated with incongruent social
information (Study 1), increased electromyographical responses (Study 2), and
significant modulation of P100 and N170 components (Study 3) when EFE were
associated with social (positive and negative) information (vs. no information).
These studies revealed that positive or negative social information reduces
subjective responses to incongruent EFE and produces a similar neural and
physiological boost of the early perceptual processing of EFE irrespective of
their congruency. In conclusion, the article suggests that the presence of
positive or negative social context modulates early physiological and neural
activity preceding subsequent behavior.
PMID- 29375327
TI - Ultrastructural Features of Neurovascular Units in a Rat Model of Chronic
Compressive Spinal Cord Injury.
AB - Chronic spinal cord compression is the most common cause of spinal cord
impairment worldwide. Objective of this study is to assess the ultrastructural
features of the neurovascular unit (NVU) in a rat model of chronic compressive
spinal cord injury, 24 SD rats were divided into two groups: the control group (n
= 12), and the compression group (n = 12). A C6 semi-laminectomy was performed in
the control group, whereas a water-absorbent polyurethane polymer was implanted
into the C6 epidural space in the compression group. The Basso Beattie Bresnahan
(BBB) scores and the somatosensory evoked potentials (SEP) were used to evaluate
neurological functions. Transmission Electron Microscopy (TEM) was performed to
investigate the change of NVU at the 28th day after modeling. Compared with the
control group, the compression group shows a significant reduction (P < 0.05) of
BBB score and a significant severity (P < 0.05) of abnormal SEP. TEM results of
the compression group showed a striking increase in endothelial caveolae and
vacuoles; a number of small spaces in tight junctions; a significant increase in
pericyte processing area and vessel coverage; an expansion of the basement
membrane region; swollen astrocyte endfeet and mitochondria; and the degeneration
of neurons and axons. Our study revealed that damage to NVU components occurred
followed by chronic compressive spinal cord injury. Several compensatory changes
characterized by thicker endothelium, expansive BM, increased pericyte processing
area and vessel coverage were also observed.
PMID- 29375329
TI - Ventromedial Hypothalamus and the Generation of Aggression.
AB - Aggression is a costly behavior, sometimes with severe consequences including
death. Yet aggression is prevalent across animal species ranging from insects to
humans, demonstrating its essential role in the survival of individuals and
groups. The question of how the brain decides when to generate this costly
behavior has intrigued neuroscientists for over a century and has led to the
identification of relevant neural substrates. Various lesion and electric
stimulation experiments have revealed that the hypothalamus, an ancient structure
situated deep in the brain, is essential for expressing aggressive behaviors.
More recently, studies using precise circuit manipulation tools have identified a
small subnucleus in the medial hypothalamus, the ventrolateral part of the
ventromedial hypothalamus (VMHvl), as a key structure for driving both aggression
and aggression-seeking behaviors. Here, we provide an updated summary of the
evidence that supports a role of the VMHvl in aggressive behaviors. We will
consider our recent findings detailing the physiological response properties of
populations of VMHvl cells during aggressive behaviors and provide new
understanding regarding the role of the VMHvl embedded within the larger whole
brain circuit for social sensation and action.
PMID- 29375332
TI - Metacognitive Aspects of Executive Function Are Highly Associated with Social
Functioning on Parent-Rated Measures in Children with Autism Spectrum Disorder.
AB - Autism Spectrum Disorder (ASD) is characterized by social dysfunction. Even
though executive dysfunction has been recognized as important in understanding
ASD, the findings are inconsistent. This might be due to different definitions of
executive function (EF), which part of EF that has been studied, structured vs.
unstructured tasks, inclusion of different moderators (age, IQ, sex) and
different diagnostic categories within the spectrum. The main finding is that
people with ASD have more EF difficulties than normal controls and more
difficulties on open-end tasks than on structured cognitive tasks. Since some EF
difficulties may not be observable in a laboratory setting, informant measures
might have higher ecological validity than neuropsychological tests. Evidence
suggests that executive dysfunctions are associated with social impairments, but
few studies have investigated the details of this relationship, and it remains
unclear what types of EF deficits are relevant for the social problems of
individuals with ASD. Here we investigated which EF domains were associated with
various domains of social function on parent-rated measures. A total of 86
children and adolescents with a diagnosis of ASD were included and tested for
general cognitive abilities. Parents completed the Behavior Rating Inventory of
Executive Function (BRIEF) and the Social Responsiveness Scale (SRS). Multiple
regression analysis revealed significant associations between SRS scores and age,
sex, total IQ and the BRIEF indexes. The Metacognition Index from the BRIEF added
significantly to the prediction of the SRS total score and the subscales Social
Communication, Social Motivation and Autistic Mannerisms. The findings suggest
that metacognitive aspects of EF are of particular importance for social
abilities in children and adolescents with ASD. Earlier research has shown that
typically developing (TD) children have a different relationship between EF and
social function than children with ASD. They found that in TD children the EF
domain related to behavioral regulation was most important to social function.
The results from the current study may have implications for understanding the
cognitive components of the social problems that define ASD, and may be relevant
in developing more targeted clinical EF interventions related to core ASD
dysfunctions.
PMID- 29375333
TI - Olanzapine Reverses MK-801-Induced Cognitive Deficits and Region-Specific
Alterations of NMDA Receptor Subunits.
AB - Cognitive dysfunction constitutes an essential component in schizophrenia for its
early presence in the pathophysiology of the disease and close relatedness to
life quality of patients. To develop effective treatment of cognitive deficits,
it is important to understand their neurobiological causes and to identify
potential therapeutic targets. In this study, adopting repeated MK-801 treatment
as an animal model of schizophrenia, we investigated whether antipsychotic drugs,
olanzapine and haloperidol, can reverse MK-801-induced cognitive deficits and how
the reversal processes recruited proteins involved in glutamate neurotransmission
in rat medial prefrontal cortex (mPFC) and hippocampus. We found that low-dose
chronic MK-801 treatment impaired object-in-context recognition memory and
reversal learning in the Morris water maze, leaving reference memory relatively
unaffected, and that these cognitive deficits can be partially reversed by
olanzapine, not haloperidol, treatment. At the molecular level, chronic MK-801
treatment resulted in the reduction of multiple N-methyl-D-aspartate (NMDA)
receptor subunits in rat mPFC and olanzapine, not haloperidol, treatment restored
the levels of GluN1 and phosphorylated GluN2B in this region. Taken together, MK
801-induced cognitive deficits may be associated with region-specific changes in
NMDA receptor subunits and the reversal of specific NMDA receptor subunits may
underlie the cognition-enhancing effects of olanzapine.
PMID- 29375334
TI - Associative Agreement as a Predictor of Naming Ability in Alzheimer's Disease: A
Case for the Semantic Nature of Associative Links.
AB - We aimed to address the long-standing issue of the nature of the relationships
that link a cue word to words associated with it. In keeping with a recently
proposed neuropsychological model of semantic memory (Zannino et al., 2015), we
provide support for the hypothesis that associative links are semantic in nature
and not lexical. In support of this hypothesis, we demonstrate a relationship in
healthy subjects between the probability of producing word X in response to cue
word Y in a free association task and the probability of using word X to describe
the meaning of word Y. Furthermore, we provide evidence that associative measures
are altered in people suffering from Alzheimer's disease (AD) and predict their
level of performance in a picture-naming task. We provide a parsimonious account
of the experimental data gathered form these different sources of evidence
according to the hypothesis that the links between a cue word and its associates
can be viewed as binding a concept (the cue) to pieces of information regarding
its meaning (the associates).
PMID- 29375335
TI - Associations between Functional Connectivity Dynamics and BOLD Dynamics Are
Heterogeneous Across Brain Networks.
AB - Functional brain imaging has revealed two types of dynamic patterns of brain in
the resting-state: the dynamics of spontaneous brain activities and the dynamics
of functional interconnections between spontaneous brain activities. Although
these two types of brain dynamics are usually investigated separately in the
literature, recent functional magnetic resonance imaging (fMRI) studies have
shown that they exhibit similar spatial patterns, suggesting the dynamics of
spontaneous brain activities and the dynamics of their interconnections are
associated with each other. In this study, we characterized the local blood
oxygenation level dependent (BOLD) dynamics and the functional connectivity
dynamics (FCD) in the resting-state, and then investigated their between-region
associations. Time-varying FC was estimated as time-varying correlation
coefficients using a sliding-window method, and the temporal variability of BOLD
and time-varying FC were used to quantify the BOLD dynamics and the FCD,
respectively. Our results showed that the BOLD dynamics and the FCD exhibit
similar spatial patterns, and they are significantly associated across brain
regions. Importantly, such associations are opposite for different types of FC
(e.g., within-network FCD are negatively correlated with the BOLD dynamics but
the between-network FCD are positively correlated with the BOLD dynamics), and
they are spatially heterogeneous across brain networks. The identified
heterogeneous associations between the BOLD dynamics and the FCD appear to convey
related or even distinct information and might underscore the potential mechanism
of brain coordination and co-evolution.
PMID- 29375336
TI - The Cultivation of Pure Altruism via Gratitude: A Functional MRI Study of Change
with Gratitude Practice.
AB - Gratitude is an emotion and a trait linked to well-being and better health, and
welcoming benefits to oneself is instrumentally valuable. However, theoretical
and empirical work highlights that gratitude is more fully understood as an
intrinsically valuable moral emotion. To understand the role of neural reward
systems in the association between gratitude and altruistic motivations we tested
two hypotheses: First, whether self-reported propensity toward gratitude relates
to fMRI-derived indicators of "pure altruism," operationalized as the neural
valuation of passive, private transfers to a charity versus to oneself. In young
adult female participants, self-reported gratitude and altruism were associated
with "neural pure altruism" in ventromedial prefrontal cortex (VMPFC) and nucleus
accumbens. Second, whether neural pure altruism can be increased through
practicing gratitude. In a double-blind study, we randomly assigned participants
to either a gratitude-journal or active-neutral control journal group for 3
weeks. Relative to pre-test levels, gratitude journaling increased the neural
pure altruism response in the VMPFC. We posit that as a context-dependent value
sensitive cortical region, the VMPFC supports change with gratitude practice, a
change that is larger for benefits to others versus oneself.
PMID- 29375337
TI - Quantification of Movement-Related EEG Correlates Associated with Motor Training:
A Study on Movement-Related Cortical Potentials and Sensorimotor Rhythms.
AB - The ability to learn motor tasks is important in both healthy and pathological
conditions. Measurement tools commonly used to quantify the neurophysiological
changes associated with motor training such as transcranial magnetic stimulation
and functional magnetic resonance imaging pose some challenges, including safety
concerns, utility, and cost. EEG offers an attractive alternative as a
quantification tool. Different EEG phenomena, movement-related cortical
potentials (MRCPs) and sensorimotor rhythms (event-related desynchronization-ERD,
and event-related synchronization-ERS), have been shown to change with motor
training, but conflicting results have been reported. The aim of this study was
to investigate how the EEG correlates (MRCP and ERD/ERS) from the motor cortex
are modulated by short (single session in 14 subjects) and long (six sessions in
18 subjects) motor training. Ninety palmar grasps were performed before and after
1 * 45 (or 6 * 45) min of motor training with the non-dominant hand (laparoscopic
surgery simulation). Four channels of EEG were recorded continuously during the
experiments. The MRCP and ERD/ERS from the alpha/mu and beta bands were
calculated and compared before and after the training. An increase in the MRCP
amplitude was observed after a single session of training, and a decrease was
observed after six sessions. For the ERD/ERS analysis, a significant change was
observed only after the single training session in the beta ERD. In conclusion,
the MRCP and ERD change as a result of motor training, but they are subject to a
marked intra- and inter-subject variability.
PMID- 29375338
TI - Characteristics of Resting-State Functional Connectivity in Intractable
Unilateral Temporal Lobe Epilepsy Patients with Impaired Executive Control
Function.
AB - Executive control function (ECF) deficit is a common complication of temporal
lobe epilepsy (TLE). Characteristics of brain network connectivity in TLE with
ECF dysfunction are still unknown. The aim of this study was to investigate
resting-state functional connectivity (FC) changes in patients with unilateral
intractable TLE with impaired ECF. Forty right-handed patients with left TLE
confirmed by comprehensive preoperative evaluation and postoperative pathological
findings were enrolled. The patients were divided into normal ECF (G1) and
decreased ECF (G2) groups according to whether they showed ECF impairment on the
Wisconsin Card Sorting Test (WCST). Twenty-three healthy volunteers were
recruited as the healthy control (HC) group. All subjects underwent resting-state
functional magnetic resonance imaging (rs-fMRI). Group-information-guided
independent component analysis (GIG-ICA) was performed to estimate resting-state
networks (RSNs) for all subjects. General linear model (GLM) was employed to
analyze intra-network FC (p < 0.05, false discovery rate, FDR correction) and
inter-network FC (p < 0.05, Bonferroni correction) of RSN among three groups.
Pearson correlations between FC and neuropsychological tests were also determined
through partial correlation analysis (p < 0.05). Eleven meaningful RSNs were
identified from 40 left TLE and 23 HC subjects. Comparison of intra-network FC of
all 11 meaningful RSNs did not reveal significant difference among the three
groups (p > 0.05, FDR correction). For inter-network analysis, G2 exhibited
decreased FC between the executive control network (ECN) and default-mode network
(DMN) when compared with G1 (p = 0.000, Bonferroni correction) and HC (p = 0.000,
Bonferroni correction). G1 showed no significant difference of FC between ECN and
DMN when compared with HC. Furthermore, FC between ECN and DMN had significant
negative correlation with perseverative responses (RP), response errors (RE) and
perseverative errors (RPE) and had significant positive correlation categories
completed (CC) in both G1 and G2 (p < 0.05). No significant difference of
Montreal Cognitive Assessment (MoCA) was found between G1 and G2, while
intelligence quotient (IQ) testing showed significant difference between G1and
G2.There was no correlation between FC and either MoCA or IQ performance. Our
findings suggest that ECF impairment in unilateral TLE is not confined to the
diseased temporal lobe. Decreased FC between DMN and ECN may be an important
characteristic of RSN in intractable unilateral TLE.
PMID- 29375339
TI - Aberrant Functional Network Connectivity as a Biomarker of Generalized Anxiety
Disorder.
AB - Neural disruptions during emotion regulation are common of generalized anxiety
disorder (GAD). Identifying distinct functional and effective connectivity
patterns in GAD may provide biomarkers for their diagnoses. This study aims to
investigate the differences of features of brain network connectivity between GAD
patients and healthy controls (HC), and to assess whether those differences can
serve as biomarkers to distinguish GAD from controls. Independent component
analysis (ICA) with hierarchical partner matching (HPM-ICA) was conducted on
resting-state functional magnetic resonance imaging data collected from 20 GAD
patients with medicine-free and 20 matched HC, identifying nine highly
reproducible and significantly different functional brain connectivity patterns
across diagnostic groups. We then utilized Granger causality (GC) to study the
effective connectivity between the regions that identified by HPM-ICA. The linear
discriminant analysis was finally used to distinguish GAD from controls with
these measures of neural connectivity. The GAD patients showed stronger
functional connectivity in amygdala, insula, putamen, thalamus, and posterior
cingulate cortex, but weaker in frontal and temporal cortex compared with
controls. Besides, the effective connectivity in GAD was decreased from the
cortex to amygdala and basal ganglia. Applying the ICA and GC features to the
classifier led to a classification accuracy of 87.5%, with a sensitivity of 90.0%
and a specificity of 85.0%. These findings suggest that the presence of emotion
dysregulation circuits may contribute to the pathophysiology of GAD, and these
aberrant brain features may serve as robust brain biomarkers for GAD.
PMID- 29375340
TI - Motor Imagery as a Function of Disease Severity in Multiple Sclerosis: An fMRI
Study.
AB - Motor imagery (MI) is defined as mental execution without any actual movement.
While healthy adults usually show temporal equivalence, i.e., isochrony, between
the mental simulation of an action and its actual performance, neurological
disorders are associated with anisochrony. Unlike in patients with stroke and
Parkinson disease, only a few studies have investigated differences of MI ability
in multiple sclerosis (MS). However, the relationship among disease severity,
anisochrony and brain activation patterns during MI has not been investigated
yet. Here, we propose to investigate MI in MS patients using fMRI during a
behavioral task executed with dominant/non-dominant hand and to evaluate whether
anisochrony is associated with disease severity. Thirty-seven right-handed MS
patients, 17 with clinically isolated syndrome (CIS) suggestive of MS and 20 with
relapsing-remitting MS (RR-MS) and 20 right-handed healthy controls (HC)
underwent fMRI during a motor task consisting in the actual or imaged movement of
squeezing a foam ball with the dominant and non-dominant hand. The same tasks
were performed outside the MRI room to record the number of actual and imagined
ball squeezes, and calculate an Index of performance (IP) based on the ratio
between actual and imagined movements. IP showed that a progressive loss of
ability in simulating actions (i.e., anisochrony) more pronounced for non
dominant hand, was found as function of the disease course. Moreover, anisochrony
was associated with activation of occipito-parieto-frontal areas that were more
extensive at the early stages of the disease, probably in order to counteract the
changes due to MS. However, the neural engagement of compensatory brain areas
becomes more difficult with more challenging tasks, i.e., dominant vs. non
dominant hand, with a consequent deficit in behavioral performance. These results
show a strict association between MI performance and disease severity, suggesting
that, at early stages of the disease, anisochrony in MI could be considered as
surrogate behavioral marker of MS severity.
PMID- 29375341
TI - Functional Connectivity Alterations between Networks and Associations with Infant
Immune Health within Networks in HIV Infected Children on Early Treatment: A
Study at 7 Years.
AB - Although HIV has been shown to impact brain connectivity in adults and youth, it
is not yet known to what extent long-term early antiretroviral therapy (ART) may
alter these effects, especially during rapid brain development in early
childhood. Using both independent component analysis (ICA) and seed-based
correlation analysis (SCA), we examine the effects of HIV infection in
conjunction with early ART on resting state functional connectivity (FC) in 7
year old children. HIV infected (HIV+) children were from the Children with HIV
Early Antiretroviral Therapy (CHER) trial and all initiated ART before 18 months;
uninfected children were recruited from an interlinking vaccine trial. To better
understand the effects of current and early immune health on the developing
brain, we also investigated among HIV+ children the association of FC at 7 years
with CD4 count and CD4%, both in infancy (6-8 weeks) and at scan. Although we
found no differences within any ICA-generated resting state networks (RSNs)
between HIV+ and uninfected children (27 HIV+, 18 uninfected), whole brain
connectivity to seeds located at RSN connectivity peaks revealed several loci of
FC differences, predominantly from seeds in midline regions (posterior cingulate
cortex, paracentral lobule, cuneus, and anterior cingulate). Reduced long-range
connectivity and increased short-range connectivity suggest developmental delay.
Within the HIV+ children, clinical measures at age 7 years were not associated
with FC values in any of the RSNs; however, poor immune health during infancy was
associated with localized FC increases in the somatosensory, salience and basal
ganglia networks. Together these findings suggest that HIV may affect brain
development from its earliest stages and persist into childhood, despite early
ART.
PMID- 29375342
TI - Allostatic Load Is Linked to Cortical Thickness Changes Depending on Body-Weight
Status.
AB - Objective: Overweight (body mass index or BMI >= 25 kg/m2) and stress interact
with each other in complex ways. Overweight promotes chronic low-inflammation
states, while stress is known to mediate caloric intake. Both conditions are
linked to several avoidable health problems and to cognitive decline, brain
atrophy, and dementia. Since it was proposed as a framework for the onset of
mental illness, the allostatic load model has received increasing attention.
Although changes in health and cognition related to overweight and stress are
well-documented separately, the association between allostatic load and brain
integrity has not been addressed in depth, especially among overweight subjects.
Method: Thirty-four healthy overweight-to-obese and 29 lean adults underwent
blood testing, neuropsychological examination, and magnetic resonance imaging to
assess the relationship between cortical thickness and allostatic load,
represented as an index of 15 biomarkers (this is, systolic and diastolic
arterial tension, glycated hemoglobin, glucose, creatinine, total cholesterol,
HDL and LDL cholesterol, triglycerides, c-reactive protein, interleukin-6,
insulin, cortisol, fibrinogen, and leptin). Results: Allostatic load indexes
showed widespread positive and negative significant correlations (p < 0.01) with
cortical thickness values depending on body-weight status. Conclusion: The
increase of allostatic load is linked to changes in the gray matter composition
of regions monitoring behavior, sensory-reward processing, and general cognitive
function.
PMID- 29375343
TI - A Novel Early Diagnosis System for Mild Cognitive Impairment Based on Local
Region Analysis: A Pilot Study.
AB - Alzheimer's disease (AD) is an irreversible neurodegenerative disorder that
accounts for 60-70% of cases of dementia in the elderly. An early diagnosis of AD
is usually hampered for many reasons including the variable clinical and
pathological features exhibited among affected individuals. This paper presents a
computer-aided diagnosis (CAD) system with the primary goal of improving the
accuracy, specificity, and sensitivity of diagnosis. In this system, PiB-PET
scans, which were obtained from the ADNI database, underwent five essential
stages. First, the scans were standardized and de-noised. Second, an Automated
Anatomical Labeling (AAL) atlas was utilized to partition the brain into 116
regions or labels that served for local (region-based) diagnosis. Third, scale
invariant Laplacian of Gaussian (LoG) was used, per brain label, to detect the
discriminant features. Fourth, the regions' features were analyzed using a
general linear model in the form of a two-sample t-test. Fifth, the support
vector machines (SVM) and their probabilistic variant (pSVM) were constructed to
provide local, followed by global diagnosis. The system was evaluated on scans of
normal control (NC) vs. mild cognitive impairment (MCI) (19 NC and 65 MCI scans).
The proposed system showed superior accuracy, specificity, and sensitivity as
compared to other related work.
PMID- 29375344
TI - Self-guided Positive Imagery Training: Effects beyond the Emotions-A Loreta
Study.
AB - Previously we demonstrated that a 12-week lasting self-guided positive imagery
training had a positive effect on the psycho-emotional state of healthy subjects
and was associated with an increase in functional connectivity in the brain. Here
we repeated the previous project, but expanded the study, testing the hypothesis
that training can also affect cognitive functions. Twenty subjects (half of them
with subthreshold depression according CES-D) participated in the program of
positive imagery training for 12 weeks. The schedule began with group training
for 2 days, followed by training at home. Evaluations of cognitive functions and
electroencephalographic (EEG) activity were conducted during three examinations
as follows: E0-baseline (1 month before the training); E1-pre-training and E2
post-training. CNS Vital Signs battery was used to test the following cognitive
domains: verbal and visual memory, executive functions, cognitive flexibility,
social acuity, non-verbal reasoning. EEGs (19-channel) were recorded at rest with
closed eyes and analyzed with Low-resolution electromagnetic tomography software.
One-way repeated measures ANOVA, followed by pairwise comparison showed a
significant increase after training (E2 vs. E1; E2 vs. E0) in the number of
correct hits for positive emotions received during perception of emotions test
(POET); after the sample was split according to the initial presence of
depressive symptoms, the effect was present only in the subgroup with
subthreshold depressive symptomatology. Post-training (E2 vs. E1; E2 vs. E0) the
number of correct answers on non-verbal reasoning test increased; this effect was
observed only in the subgroup that does have any depressive symptoms. Comparison
of EEG post-training vs. pre-training demonstrated a significant reduction in
current source density (CSD) after the training in the left hemisphere (insular
cortex, frontal and temporal lobes in delta, theta and alpha1 bands). The
observed changes were presented only in the subgroup with initial subthreshold
depressive symptomatology. A negative correlation was found between POET and CSD
in the left insular cortex for theta band. No significant differences were
observed when data from EEG and cognitive tests obtained during pre-training were
compared with baseline values. Potential use of training for the rehabilitation
of various disturbances with cognitive and emotional deficits is discussed.
PMID- 29375345
TI - Melatonin Secretion during a Short Nap Fosters Subsequent Feedback Learning.
AB - Sleep helps to protect and renew hippocampus-dependent declarative learning. Less
is known about forms of learning that mainly engage the dopaminergic reward
system. Animal studies showed that exogenous melatonin modulates the responses of
the dopaminergic reward system and acts as a neuroprotectant promoting memory. In
humans, melatonin is mainly secreted in darkness during evening hours supporting
sleep. In this study, we investigate the effects of a short period of daytime
sleep (nap) and endogenous melatonin on reward learning. Twenty-seven healthy,
adult students took part in an experiment, either taking a 90-min afternoon nap
or watching videos (within-subject design). Before and after the sleep vs. wake
interval, saliva melatonin levels and reward learning were measured, and in the
nap condition, a polysomnogram was obtained. Reward learning was assessed using a
two-alternative probabilistic reinforcement-learning task. Sleep itself and
subjective arousal or valence had no significant effects on reward learning.
However, this study showed for the first time that an afternoon nap can elicit a
small but significant melatonin response in about 41% of the participants and
that the magnitude of the melatonin response predicts subsequent reward learning.
Only in melatonin responders did a short nap improve reward learning. The
difference between melatonin-responders and non-responders occurred very early
during learning indicating that melatonin might have improved working memory
rather than reward learning. Future studies should use paradigms differentiating
working memory and reward learning to clarify which aspect of human feedback
learning might profit from melatonin.
PMID- 29375346
TI - Modulating Spatial Processes and Navigation via Transcranial Electrical
Stimulation: A Mini Review.
AB - Transcranial electrical stimulation (tES) uses low intensity current to alter
neuronal activity in superficial cortical regions, and has gained popularity as a
tool for modulating several aspects of perception and cognition. This mini-review
article provides an overview of tES and its potential for modulating spatial
processes underlying successful navigation, including spatial attention, spatial
perception, mental rotation and visualization. Also considered are recent
advances in empirical research and computational modeling elucidating several
stable cortical-subcortical networks with dynamic involvement in spatial
processing and navigation. Leveraging these advances may prove valuable for using
tES, particularly transcranial direct and alternating current stimulation
(tDCS/tACS), to indirectly target subcortical brain regions by altering neuronal
activity in distant yet functionally connected cortical areas. We propose future
research directions to leverage these advances in human neuroscience.
PMID- 29375347
TI - The Effects of Theta and Gamma tACS on Working Memory and Electrophysiology.
AB - A single blind sham-controlled study was conducted to explore the effects of
theta and gamma transcranial alternating current stimulation (tACS) on offline
performance on working memory tasks. In order to systematically investigate how
specific parameters of tACS affect working memory, we manipulated the frequency
of stimulation (theta frequency vs. gamma frequency), the type of task (n-back
vs. change detection task) and the content of the tasks (verbal vs. figural
stimuli). A repeated measures design was used that consisted of three sessions:
theta tACS, gamma tACS and sham tACS. In total, four experiments were conducted
which differed only with respect to placement of tACS electrodes (bilateral
frontal, bilateral parietal, left fronto-parietal and right-fronto parietal).
Healthy female students (N = 72) were randomly assigned to one of these groups,
hence we were able to assess the efficacy of theta and gamma tACS applied over
different brain areas, contrasted against sham stimulation. The pre-post/sham
resting electroencephalogram (EEG) analysis showed that theta tACS significantly
affected theta amplitude, whereas gamma tACS had no significant effect on EEG
amplitude in any of the frequency bands of interest. Gamma tACS did not
significantly affect working memory performance compared to sham, and theta tACS
led to inconsistent changes in performance on the n-back tasks. Active theta tACS
significantly affected P3 amplitude and latency during performance on the n-back
tasks in the bilateral parietal and right-fronto parietal protocols.
PMID- 29375348
TI - Linear Representation of Emotions in Whole Persons by Combining Facial and Bodily
Expressions in the Extrastriate Body Area.
AB - Our human brain can rapidly and effortlessly perceive a person's emotional state
by integrating the isolated emotional faces and bodies into a whole. Behavioral
studies have suggested that the human brain encodes whole persons in a holistic
rather than part-based manner. Neuroimaging studies have also shown that body
selective areas prefer whole persons to the sum of their parts. The body
selective areas played a crucial role in representing the relationships between
emotions expressed by different parts. However, it remains unclear in which
regions the perception of whole persons is represented by a combination of faces
and bodies, and to what extent the combination can be influenced by the whole
person's emotions. In the present study, functional magnetic resonance imaging
data were collected when participants performed an emotion distinction task.
Multi-voxel pattern analysis was conducted to examine how the whole person-evoked
responses were associated with the face- and body-evoked responses in several
specific brain areas. We found that in the extrastriate body area (EBA), the
whole person patterns were most closely correlated with weighted sums of face and
body patterns, using different weights for happy expressions but equal weights
for angry and fearful ones. These results were unique for the EBA. Our findings
tentatively support the idea that the whole person patterns are represented in a
part-based manner in the EBA, and modulated by emotions. These data will further
our understanding of the neural mechanism underlying perceiving emotional
persons.
PMID- 29375349
TI - Correlation of BOLD Signal with Linear and Nonlinear Patterns of EEG in Resting
State EEG-Informed fMRI.
AB - Concurrent EEG and fMRI acquisitions in resting state showed a correlation
between EEG power in various bands and spontaneous BOLD fluctuations. However,
there is a lack of data on how changes in the complexity of brain dynamics
derived from EEG reflect variations in the BOLD signal. The purpose of our study
was to correlate both spectral patterns, as linear features of EEG rhythms, and
nonlinear EEG dynamic complexity with neuronal activity obtained by fMRI. We
examined the relationships between EEG patterns and brain activation obtained by
simultaneous EEG-fMRI during the resting state condition in 25 healthy right
handed adult volunteers. Using EEG-derived regressors, we demonstrated a
substantial correlation of BOLD signal changes with linear and nonlinear features
of EEG. We found the most significant positive correlation of fMRI signal with
delta spectral power. Beta and alpha spectral features had no reliable effect on
BOLD fluctuation. However, dynamic changes of alpha peak frequency exhibited a
significant association with BOLD signal increase in right-hemisphere areas.
Additionally, EEG dynamic complexity as measured by the HFD of the 2-20 Hz EEG
frequency range significantly correlated with the activation of cortical and
subcortical limbic system areas. Our results indicate that both spectral features
of EEG frequency bands and nonlinear dynamic properties of spontaneous EEG are
strongly associated with fluctuations of the BOLD signal during the resting state
condition.
PMID- 29375350
TI - Sex Differences in Categorical Adaptation for Faces and Chinese Characters during
Early Perceptual Processing.
AB - Previous event-related potential studies support sex differences in the N170
response during face and word processing; however, it remains unclear whether
N170 categorical adaptation for faces and words is different between women and
men. Using an adaptation paradigm, in which an adaptor and subsequent test
stimulus are presented during each trial, the present study investigated N170
categorical adaptation for faces and Chinese characters in both women and men.
The results demonstrated that the N170 amplitude elicited by test stimuli in
within-category condition was lower than in control category condition, and this
was observed during both face and Chinese character processing in women and men.
In addition, we found that men have greater N170 categorical adaptation for face
and word processing than women. There was also a significant correlation between
N170 categorical adaptation indices for face and Chinese character processing in
men, which did not occur in women. These findings suggest that men and women
process repeated faces or words differently.
PMID- 29375351
TI - Neural Correlates of Response Inhibition and Conflict Control on Facial
Expressions.
AB - Response inhibition and conflict control on affective information can be regarded
as two important emotion regulation and cognitive control processes. The
emotional Go/Nogo flanker paradigm was adopted and participant's event-related
potentials (ERPs) were analyzed to investigate how response inhibition and
conflict control interplayed. The behavioral findings revealed that participants
showed higher accuracy to identify happy faces in congruent condition relative to
that in incongruent condition. The electrophysiological results manifested that
response inhibition and conflict control interplayed during the
detection/conflict monitoring stage, and Nogo-N2 was more negative in the
incongruent trials than the congruent trials. With regard to the inhibitory
control/conflict resolution stage, Nogo responses induced greater frontal P3 and
parietal P3 responses than Go responses did. The difference waveforms of N2 and
parietal P3 showed that response inhibition and conflict control had distinct
processes, and the multiple responses requiring both conflict control and
response inhibition processes induced stronger monitoring and resolution
processes than conflict control. The current study manifested that response
inhibition and conflict control on emotional information required separable
neural mechanisms during emotion regulation processes.
PMID- 29375352
TI - Two Different Populations within the Healthy Elderly: Lack of Conflict Detection
in Those at Risk of Cognitive Decline.
AB - During healthy aging, inhibitory processing is affected at the sensorial,
perceptual, and cognitive levels. The assessment of event-related potentials
(ERPs) during the Stroop task has been used to study age-related decline in the
efficiency of inhibitory processes. Studies using ERPs have found that the P300
amplitude increases and the N500 amplitude is attenuated in healthy elderly
adults compared to those in young adults. On the other hand, it has been reported
that theta excess in resting EEG with eyes closed is a good predictor of
cognitive decline during aging 7 years later, while a normal EEG increases the
probability of not developing cognitive decline. The behavioral and ERP responses
during a Counting-Stroop task were compared between 22 healthy elderly subjects
with normal EEG (Normal-EEG group) and 22 healthy elderly subjects with an excess
of EEG theta activity (Theta-EEG group). Behaviorally, the Normal-EEG group
showed a higher behavioral interference effect than the Theta-EEG group. ERP
patterns were different between the groups, and two facts are highlighted: (a)
the P300 amplitude was higher in the Theta-EEG group, with both groups showing a
P300 effect in almost all electrodes, and (b) the Theta-EEG group did not show an
N500 effect. These results suggest that the diminishment in inhibitory control
observed in the Theta-EEG group may be compensated by different processes in
earlier stages, which would allow them to perform the task with similar
efficiency to that of participants with a normal EEG. This study is the first to
show that healthy elderly subjects with an excess of theta EEG activity not only
are at risk of developing cognitive decline but already have a cognitive
impairment.
PMID- 29375353
TI - Positive Classification Advantage: Tracing the Time Course Based on Brain
Oscillation.
AB - The present study aimed to explore the modulation of frequency bands (alpha,
beta, theta) underlying the positive facial expressions classification advantage
within different post-stimulus time intervals (100-200 ms, 200-300 ms, 300-400
ms). For this purpose, we recorded electroencephalogram (EEG) activity during an
emotion discrimination task for happy, sad and neutral faces. The correlation
between the non-phase-locked power of frequency bands and reaction times (RTs)
was assessed. The results revealed that beta played a major role in positive
classification advantage (PCA) within the 100-200 and 300-400 ms intervals,
whereas theta was important within the 200-300 ms interval. We propose that the
beta band modulated the neutral and emotional face classification process, and
that the theta band modulated for happy and sad face classification.
PMID- 29375354
TI - The Increased Sex Differences in Susceptibility to Emotional Stimuli during
Adolescence: An Event-Related Potential Study.
AB - The present study investigated how pubertal development and sex interact to
influence humans' emotion susceptibility during adolescence. Event-related
potentials were recorded for highly emotional, mildly emotional and neutral
stimuli in positive and negative blocks, when 73 adolescents (36 pre-/early
pubertal students, 19 boys, 10-12 years old; 37 mid-/late pubertal students, 18
boys, 11-13 years old) performed an implicit emotion task. Behavioral analysis
showed higher positive mood ratings for pre-/early compared to mid-/late pubertal
subjects, irrespective of sex and block. ERP analysis demonstrated increasing
Late Positive Potential (LPP) amplitudes from neutral, Mildly Positive (MP) to
Highly Positive (HP) stimuli in pre-/early pubertal, but not in mid-/late
pubertal adolescents. However, girls exhibited higher P3a amplitudes during mid
/late relative to pre-/early puberty for negative stimuli irrespective of
intensity; while this puberty effect was absent in boys. In addition, girls
compared to boys exhibited a more pronounced LPP enhancement effect for Highly
Negative (HN) stimuli and a lower threshold of responding to negative stimuli in
P3b amplitudes, regardless of puberty. These results suggest that, though there
is a puberty-independent sensitivity to negative stimuli in girls relative to
boys, puberty selectively intensifies girls' attention bias for negative stimuli
and reduces experiential sensitivity to positive stimuli in both sexes. The
implication of these results for the sex-related psychopathology during
adolescence were discussed.
PMID- 29375356
TI - Classification of Alzheimer's Disease, Mild Cognitive Impairment, and Cognitively
Unimpaired Individuals Using Multi-feature Kernel Discriminant Dictionary
Learning.
AB - Accurate classification of either patients with Alzheimer's disease (AD) or
patients with mild cognitive impairment (MCI), the prodromal stage of AD, from
cognitively unimpaired (CU) individuals is important for clinical diagnosis and
adequate intervention. The current study focused on distinguishing AD or MCI from
CU based on the multi-feature kernel supervised within-Class-similar
discriminative dictionary learning algorithm (MKSCDDL), which we introduced in a
previous study, demonstrating that MKSCDDL had superior performance in face
recognition. Structural magnetic resonance imaging (sMRI), fluorodeoxyglucose
(FDG) positron emission tomography (PET), and florbetapir-PET data from the
Alzheimer's Disease Neuroimaging Initiative (ADNI) database were all included for
classification of AD vs. CU, MCI vs. CU, as well as AD vs. MCI (113 AD patients,
110 MCI patients, and 117 CU subjects). By adopting MKSCDDL, we achieved a
classification accuracy of 98.18% for AD vs. CU, 78.50% for MCI vs. CU, and
74.47% for AD vs. MCI, which in each instance was superior to results obtained
using several other state-of-the-art approaches (MKL, JRC, mSRC, and mSCDDL). In
addition, testing time results outperformed other high quality methods.
Therefore, the results suggested that the MKSCDDL procedure is a promising tool
for assisting early diagnosis of diseases using neuroimaging data.
PMID- 29375357
TI - Image Processing for Bioluminescence Resonance Energy Transfer Measurement-BRET
Analyzer.
AB - A growing number of tools now allow live recordings of various signaling pathways
and protein-protein interaction dynamics in time and space by ratiometric
measurements, such as Bioluminescence Resonance Energy Transfer (BRET) Imaging.
Accurate and reproducible analysis of ratiometric measurements has thus become
mandatory to interpret quantitative imaging. In order to fulfill this necessity,
we have developed an open source toolset for Fiji-BRET-Analyzer-allowing a
systematic analysis, from image processing to ratio quantification. We share this
open source solution and a step-by-step tutorial at
https://github.com/ychastagnier/BRET-Analyzer. This toolset proposes (1) image
background subtraction, (2) image alignment over time, (3) a composite
thresholding method of the image used as the denominator of the ratio to refine
the precise limits of the sample, (4) pixel by pixel division of the images and
efficient distribution of the ratio intensity on a pseudocolor scale, and (5)
quantification of the ratio mean intensity and standard variation among pixels in
chosen areas. In addition to systematize the analysis process, we show that the
BRET-Analyzer allows proper reconstitution and quantification of the ratiometric
image in time and space, even from heterogeneous subcellular volumes. Indeed,
analyzing twice the same images, we demonstrate that compared to standard
analysis BRET-Analyzer precisely define the luminescent specimen limits,
enlightening proficient strengths from small and big ensembles over time. For
example, we followed and quantified, in live, scaffold proteins interaction
dynamics in neuronal sub-cellular compartments including dendritic spines, for
half an hour. In conclusion, BRET-Analyzer provides a complete, versatile and
efficient toolset for automated reproducible and meaningful image ratio analysis.
PMID- 29375358
TI - Dynamic Hebbian Cross-Correlation Learning Resolves the Spike Timing Dependent
Plasticity Conundrum.
AB - Spike Timing-Dependent Plasticity has been found to assume many different forms.
The classic STDP curve, with one potentiating and one depressing window, is only
one of many possible curves that describe synaptic learning using the STDP
mechanism. It has been shown experimentally that STDP curves may contain multiple
LTP and LTD windows of variable width, and even inverted windows. The underlying
STDP mechanism that is capable of producing such an extensive, and apparently
incompatible, range of learning curves is still under investigation. In this
paper, it is shown that STDP originates from a combination of two dynamic Hebbian
cross-correlations of local activity at the synapse. The correlation of the
presynaptic activity with the local postsynaptic activity is a robust and
reliable indicator of the discrepancy between the presynaptic neuron and the
postsynaptic neuron's activity. The second correlation is between the local
postsynaptic activity with dendritic activity which is a good indicator of
matching local synaptic and dendritic activity. We show that this simple time
independent learning rule can give rise to many forms of the STDP learning curve.
The rule regulates synaptic strength without the need for spike matching or other
supervisory learning mechanisms. Local differences in dendritic activity at the
synapse greatly affect the cross-correlation difference which determines the
relative contributions of different neural activity sources. Dendritic activity
due to nearby synapses, action potentials, both forward and back-propagating, as
well as inhibitory synapses will dynamically modify the local activity at the
synapse, and the resulting STDP learning rule. The dynamic Hebbian learning rule
ensures furthermore, that the resulting synaptic strength is dynamically stable,
and that interactions between synapses do not result in local instabilities. The
rule clearly demonstrates that synapses function as independent localized
computational entities, each contributing to the global activity, not in a simply
linear fashion, but in a manner that is appropriate to achieve local and global
stability of the neuron and the entire dendritic structure.
PMID- 29375355
TI - Computational Foundations of Natural Intelligence.
AB - New developments in AI and neuroscience are revitalizing the quest to
understanding natural intelligence, offering insight about how to equip machines
with human-like capabilities. This paper reviews some of the computational
principles relevant for understanding natural intelligence and, ultimately,
achieving strong AI. After reviewing basic principles, a variety of computational
modeling approaches is discussed. Subsequently, I concentrate on the use of
artificial neural networks as a framework for modeling cognitive processes. This
paper ends by outlining some of the challenges that remain to fulfill the promise
of machines that show human-like intelligence.
PMID- 29375359
TI - Toward an Improvement of the Analysis of Neural Coding.
AB - Machine learning and artificial intelligence have strong roots on principles of
neural computation. Some examples are the structure of the first perceptron,
inspired in the retina, neuroprosthetics based on ganglion cell recordings or
Hopfield networks. In addition, machine learning provides a powerful set of tools
to analyze neural data, which has already proved its efficacy in so distant
fields of research as speech recognition, behavioral states classification, or
LFP recordings. However, despite the huge technological advances in neural data
reduction of dimensionality, pattern selection, and clustering during the last
years, there has not been a proportional development of the analytical tools used
for Time-Frequency (T-F) analysis in neuroscience. Bearing this in mind, we
introduce the convenience of using non-linear, non-stationary tools, EMD
algorithms in particular, for the transformation of the oscillatory neural data
(EEG, EMG, spike oscillations...) into the T-F domain prior to its analysis with
machine learning tools. We support that to achieve meaningful conclusions, the
transformed data we analyze has to be as faithful as possible to the original
recording, so that the transformations forced into the data due to restrictions
in the T-F computation are not extended to the results of the machine learning
analysis. Moreover, bioinspired computation such as brain-machine interface may
be enriched from a more precise definition of neuronal coding where non
linearities of the neuronal dynamics are considered.
PMID- 29375360
TI - Improving Fine Control of Grasping Force during Hand-Object Interactions for a
Soft Synergy-Inspired Myoelectric Prosthetic Hand.
AB - The concept of postural synergies of the human hand has been shown to potentially
reduce complexity in the neuromuscular control of grasping. By merging this
concept with soft robotics approaches, a multi degrees of freedom soft-synergy
prosthetic hand [SoftHand-Pro (SHP)] was created. The mechanical innovation of
the SHP enables adaptive and robust functional grasps with simple and intuitive
myoelectric control from only two surface electromyogram (sEMG) channels.
However, the current myoelectric controller has very limited capability for fine
control of grasp forces. We addressed this challenge by designing a hybrid-gain
myoelectric controller that switches control gains based on the sensorimotor
state of the SHP. This controller was tested against a conventional single-gain
(SG) controller, as well as against native hand in able-bodied subjects. We used
the following tasks to evaluate the performance of grasp force control: (1) pick
and place objects with different size, weight, and fragility levels using power
or precision grasp and (2) squeezing objects with different stiffness. Sensory
feedback of the grasp forces was provided to the user through a non-invasive,
mechanotactile haptic feedback device mounted on the upper arm. We demonstrated
that the novel hybrid controller enabled superior task completion speed and fine
force control over SG controller in object pick-and-place tasks. We also found
that the performance of the hybrid controller qualitatively agrees with the
performance of native human hands.
PMID- 29375362
TI - Age-Modulated Associations between KIBRA, Brain Volume, and Verbal Memory among
Healthy Older Adults.
AB - The resource modulation hypothesis suggests that the influence of genes on
cognitive functioning increases with age. The KIBRA single nucleotide
polymorphism rs17070145, associated with episodic memory and working memory, has
been suggested to follow such a pattern, but few studies have tested this
assertion directly. The present study investigated the relationship between KIBRA
alleles (T carriers vs. CC homozygotes), cognitive performance, and brain volumes
in three groups of cognitively healthy adults-middle aged (ages 52-64, n = 38),
young old (ages 65-72, n = 45), and older old (ages 73-92, n = 62)-who were
carefully matched on potentially confounding variables including apolipoprotein
epsilon4 status and hypertension. Consistent with our prediction, T carriers
maintained verbal memory performance with increasing age while CC homozygotes
declined. Voxel-based morphometric analysis of magnetic resonance images showed
an advantage for T carriers in frontal white matter volume that increased with
age. Focusing on the older old group, this advantage for T carriers was also
evident in left lingual gyrus gray matter and several additional frontal white
matter regions. Contrary to expectations, neither KIBRA nor the interaction
between KIBRA and age predicted hippocampal volumes. None of the brain regions
investigated showed a CC homozygote advantage. Taken together, these data suggest
that KIBRA results in decreased verbal memory performance and lower brain volumes
in CC homozygotes compared to T carriers, particularly among the oldest old,
consistent with the resource modulation hypothesis.
PMID- 29375361
TI - Salience Network and Depressive Severities in Parkinson's Disease with Mild
Cognitive Impairment: A Structural Covariance Network Analysis.
AB - Purpose: In Parkinson's disease with mild cognitive impairment (PD-MCI), we
investigated the clinical significance of salience network (SN) in depression and
cognitive performance. Methods: Seventy seven PD-MCI patients that fulfilled
multi-domain and non-amnestic subtype were included. Gray matter structural
covariance networks were constructed by 3D T1-magnetic resonance imaging and seed
based analysis. The patients were divided into two groups by psychiatric
interviews and screening of Geriatric Depression Scale (GDS): PD-MCI with
depression (PD-MCI-D) or without depression (PD-MCI-ND). The seed or peak cluster
volume, or the significant differences in the regression slopes in each seed-peak
cluster correlation, were used to evaluate the significance with the
neurobehavioral scores. Results: This study is the first to demonstrate that the
PD-MCI-ND group presented a larger number of voxels of structural covariance in
SN than the PD-MCI-D group. The right fronto-insular seed volumes and the peak
cluster of left lingual gyrus showed significant inverse correlation with the
Geriatric Depression Scale (GDS; r = -0.231, P = 0.046). Conclusions: This study
is the first to validate the clinical significance of the SN in PD-MCI-D. The
right insular seed value and the SN correlated with the severity of depression in
PD-MCI.
PMID- 29375363
TI - Physiological Aging Influence on Brain Hemodynamic Activity during Task
Switching: A fNIRS Study.
AB - Task-switching (TS) paradigm is a well-known validated tool useful for exploring
the neural substrates of cognitive control, in particular the activity of the
lateral and medial prefrontal cortex. This work is aimed at investigating how
physiological aging influences hemodynamic response during the execution of a
color-shape TS paradigm. A multi-channel near infrared spectroscopy (fNIRS) was
used to measure hemodynamic activity in 27 young (30.00 +/- 7.90 years) and 11
elderly participants (57.18 +/- 9.29 years) healthy volunteers (55% male, age
range: (19-69) years) during the execution of a TS paradigm. Two holders were
placed symmetrically over the left/right hemispheres to record cortical activity
[oxy-(HbO) and deoxy-hemoglobin (HbR) concentration] of the dorso-lateral
prefrontal cortex (DLPFC), the dorsal premotor cortex (PMC), and the dorso-medial
part of the superior frontal gyrus (sFG). TS paradigm requires participants to
repeat the same task over a variable number of trials, and then to switch to a
different task during the trial sequence. A two-sample t-test was carried out to
detect differences in cortical responses between groups. Multiple linear
regression analysis was used to evaluate the impact of age on the prefrontal
neural activity. Elderly participants were significantly slower than young
participants in both color- (p < 0.01, t = -3.67) and shape-single tasks (p =
0.026, t = -2.54) as well as switching (p = 0.026, t = -2.41) and repetition
trials (p = 0.012, t = -2.80). Differences in cortical activation between groups
were revealed for HbO mean concentration of switching task in the PMC (p = 0.048,
t = 2.94). In the whole group, significant increases of behavioral performance
were detected in switching trials, which positively correlated with aging.
Multivariate regression analysis revealed that the HbO mean concentration of
switching task in the PMC (p = 0.01, beta = -0.321) and of shape single-task in
the sFG (p = 0.003, beta = 0.342) were the best predictors of age effects. Our
findings demonstrated that TS might be a reliable instrument to gather a measure
of cognitive resources in older people. Moreover, the fNIRS-related brain
activity extracted from frontoparietal cortex might become a useful indicator of
aging effects.
PMID- 29375364
TI - Disparate Effects of Lithium and a GSK-3 Inhibitor on Neuronal Oscillatory
Activity in Prefrontal Cortex and Hippocampus.
AB - Glycogen synthase kinase-3 (GSK-3) plays a critical role in cognitive dysfunction
associated with Alzheimer's disease (AD), yet the mechanism by which GSK-3 alters
cognitive processes in other disorders, such as schizophrenia, remains unknown.
In the present study, we demonstrated a role for GSK-3 in the direct regulation
of neuronal oscillations in hippocampus (HIP) and prelimbic cortex (PL). A
comparison of the GSK-3 inhibitors SB 216763 and lithium demonstrated disparate
effects of the drugs on spatial memory and neural oscillatory activity in HIP and
PL. SB 216763 administration improved spatial memory whereas lithium treatment
had no effect. Analysis of neuronal local field potentials in anesthetized
animals revealed that whereas both repeated SB 216763 (2.5 mg/kg) and lithium
(100 mg/kg) induced a theta frequency spike in HIP at approximately 10 Hz, only
SB 216763 treatment induced an overall increase in theta power (4-12 Hz) compared
to vehicle. Acute administration of either drug suppressed slow (32-59 Hz) and
fast (61-100 Hz) gamma power. In PL, both drugs induced an increase in theta
power. Repeated SB 216763 increased HIP-PL coherence across all frequencies
except delta, whereas lithium selectively suppressed delta coherence. These
findings demonstrate that GSK-3 plays a direct role in the regulation of theta
oscillations in regions critically involved in cognition, and highlight a
potential mechanism by which GSK-3 may contribute to cognitive decline in
disorders of cognitive dysfunction.
PMID- 29375365
TI - Declines in Connected Language Are Associated with Very Early Mild Cognitive
Impairment: Results from the Wisconsin Registry for Alzheimer's Prevention.
AB - Changes to everyday spoken language ("connected language") are evident in persons
with AD dementia, yet little is known about when these changes are first
detectable on the continuum of cognitive decline. The aim of this study was to
determine if participants with very early, subclinical memory declines were also
showing declines in connected language. We analyzed connected language samples
obtained from a simple picture description task at two time points in 264
participants from the Wisconsin Registry for Alzheimer's Prevention (WRAP). In
parallel, participants were classified as either "Cognitively Healthy" or "Early
Mild Cognitive Impairment" based on longitudinal neuropsychological test
performance. Linear mixed effects models were used to analyze language parameters
that were extracted from the connected language samples using automated feature
extraction. Participants with eMCI status declined faster in features of speech
fluency and semantic content than those who were cognitively stable. Measures of
lexical diversity and grammatical complexity were not associated with eMCI status
in this group. These findings provide novel insights about the relationship
between cognitive decline and everyday language, using a quick, inexpensive, and
performance-based method.
PMID- 29375367
TI - Commentary: Comparison of the Long-Term Effect of Positioning the Cathode in tDCS
in Tinnitus Patients.
PMID- 29375366
TI - Sex Hormones and Healthy Psychological Aging in Women.
AB - Besides their key role in reproduction, estrogens have effects in several organs
in the body, as confirmed by the identification of estrogen receptors (ER) in
multiple tissues. Experimental evidence has shown that estrogens have significant
impacts on the central nervous system (CNS), and a key question is to what extent
the fall in estrogen levels in the blood that occurs with increasing age,
particularly around and following the menopause, has an impact on the cognitive
function and psychological health of women, specifically regarding mood. This
review will consider direct effects of menopausal changes in estrogens on the
brain, including cognitive function and mood. Secondary pathways whereby health
factors affected by changes in estrogens may interact with CNS functions, such as
cardiovascular factors, will be reviewed as well insofar as they also have an
impact on cognitive function. Finally, because decline in estrogens may induce
changes in the CNS, there is interest in clarifying whether hormone therapy may
offer a beneficial balance and the impact of hormone therapy on cognition will
also be considered.
PMID- 29375368
TI - The Correlation between Early Stages of Life Exposed to Chinese Famine and
Cognitive Decline in Adulthood: Nutrition of Adulthood Plays an Important Role in
the Link?
AB - Objective: The aim of this study was to investigate whether people exposed to the
Chinese Famine in fetal period or in multiple stages of childhood are associated
with cognitive decline in adulthood. Furthermore, the nutritional environment of
adulthood was explored as an important factor in this correlation. Methods: 1162
adults born between 1952 and 1964 were recruited. They were divided into five
groups which were non-exposed group, fetal-exposed group, early childhood-exposed
group, mid childhood-exposed group and late childhood-exposed group. Cognitive
function was measured by using a comprehensive neuropsychological battery test,
including Montreal cognitive assessment-Beijing version, mini-mental state
examination, auditory verbal learning test, digit span forward, digit span
backward, trail making test, and digit symbol test. Semi-quantified food
frequency questionnaire (FFQ) was used to assess the dietary nutrition in their
adulthood. The dietary nutrient consumption pattern was identified by Two-step
and K-means cluster analysis. Results: The significant differences in cognitive
function were manifested in different groups. Compared with non-exposed group,
subjects in fetal-exposed group had a higher risk of mild cognitive impairment
(MCI) (OR 1.51 95% CI 1.02-2.23, P = 0.039) and global cognitive decline (OR 1.68
59% CI 1.02-2.77, P = 0.044). The similar result was also observed in subjects of
early childhood-exposed group. Otherwise, subjects who were classified in high
nutrient consumption pattern had higher risk of cognitive decline. Moreover, the
higher consumption of several nutrients such as fat, carbohydrate and manganese
were associated with worse performance on digit span forward, digit span
backward, trail making test A, trail making test B and digit symbol. Conclusion:
Early stages of life exposed to the Chinese Famine were associated with higher
risk of cognitive decline in adulthood. The stronger associations were manifested
in the people with high nutrient consumption pattern. The consumption of fat,
carbohydrate and manganese were associated with multiple domains cognitive
decline.
PMID- 29375369
TI - Innovations in Doctoral Training and Research on Tinnitus: The European School on
Interdisciplinary Tinnitus Research (ESIT) Perspective.
AB - Tinnitus is a common medical condition which interfaces many different
disciplines, yet it is not a priority for any individual discipline. A change in
its scientific understanding and clinical management requires a shift toward
multidisciplinary cooperation, not only in research but also in training. The
European School for Interdisciplinary Tinnitus research (ESIT) brings together a
unique multidisciplinary consortium of clinical practitioners, academic
researchers, commercial partners, patient organizations, and public health
experts to conduct innovative research and train the next generation of tinnitus
researchers. ESIT supports fundamental science and clinical research projects in
order to: (1) advancing new treatment solutions for tinnitus, (2) improving
existing treatment paradigms, (3) developing innovative research methods, (4)
performing genetic studies on, (5) collecting epidemiological data to create new
knowledge about prevalence and risk factors, (6) establishing a pan-European data
resource. All research projects involve inter-sectoral partnerships through
practical training, quite unlike anything that can be offered by any single
university alone. Likewise, the postgraduate training curriculum fosters a deep
knowledge about tinnitus whilst nurturing transferable competencies in personal
qualities and approaches needed to be an effective researcher, knowledge of the
standards, requirements and professionalism to do research, and skills to work
with others and to ensure the wider impact of research. ESIT is the seed for
future generations of creative, entrepreneurial, and innovative researchers,
trained to master the upcoming challenges in the tinnitus field, to implement
sustained changes in prevention and clinical management of tinnitus, and to shape
doctoral education in tinnitus for the future.
PMID- 29375371
TI - Commentary: Combating Sale of Counterfeit and Falsified Medicines Online: A
Losing Battle.
PMID- 29375370
TI - The Evaluation of Geroprotective Effects of Selected Flavonoids in Drosophila
melanogaster and Caenorhabditis elegans.
AB - Flavonoids is an intensively studied group of natural compounds with antioxidant,
antineoplastic, antihyperglycemic, cardioprotective, and neuroprotective
properties. The present study intends to investigate the geroprotective action of
three selected flavonoids (naringin, luteolin, chrysin) in two model organisms,
Caenorhabditis elegans and Drosophila melanogaster. Luteolin and chrysin were
shown to improve lifespan parameters when administered to both model organisms.
The observed positive effects of these flavonoids in D. melanogaster were limited
to females and were not associated with reduced fecundity or locomotor
impairment. The life-extending effects of flavonoids were observed in N2 wild
type worms but absent in aak-2(gt33) mutants implying that these effects can be
associated with AMP-activated protein kinase activity. Naringin improved lifespan
parameters of C. elegans, but had no effect on D. melanogaster females; in some
cases, naringin was found to decrease the lifespan of males. Compared to chrysin
and luteolin, however, naringin more effectively activates Nrf2 target genes
(particularly, GstD1) under oxidative stress. Then we compared molecular
mechanisms of studied compounds and a well-known geroprotector rapamycin, using
software tool GeroScope. There are no transcriptomic data on luteolin or chrysin
provided by LINCS Project database. The bioinformatics comparison of
transcriptomics data for A549 and MCF7 human cell lines treated with rapamycin or
naringin revealed that these compounds share just a few common signaling pathways
and quite distinct in their geroprotective action. Thus, based on C. elegans
effects of naringin, luteolin, chrysin on lifespan we have revealed new potential
geroprotectors.
PMID- 29375372
TI - Computational Model of Antidepressant Response Heterogeneity as Multi-pathway
Neuroadaptation.
AB - Current hypotheses cannot fully explain the clinically observed heterogeneity in
antidepressant response. The therapeutic latency of antidepressants suggests that
therapeutic outcomes are achieved not by the acute effects of the drugs, but
rather by the homeostatic changes that occur as the brain adapts to their chronic
administration. We present a computational model that represents the known
interactions between the monoaminergic neurotransmitter-producing brain regions
and associated non-monoaminergic neurotransmitter systems, and use the model to
explore the possible ways in which the brain can homeostatically adjust to
chronic antidepressant administration. The model also represents the neuron
specific neurotransmitter receptors that are known to adjust their strengths
(expressions or sensitivities) in response to chronic antidepressant
administration, and neuroadaptation in the model occurs through sequential
adjustments in these receptor strengths. The main result is that the model can
reach similar levels of adaptation to chronic administration of the same
antidepressant drug or combination along many different pathways, arriving
correspondingly at many different receptor strength configurations, but not all
of those adapted configurations are also associated with therapeutic elevations
in monoamine levels. When expressed as the percentage of adapted configurations
that are also associated with elevations in one or more of the monoamines, our
modeling results largely agree with the percentage efficacy rates of
antidepressants and antidepressant combinations observed in clinical trials. Our
neuroadaptation model provides an explanation for the clinical reports of
heterogeneous outcomes among patients chronically administered the same
antidepressant drug regimen.
PMID- 29375374
TI - Traditional Herbal Medicine-Derived Sulforaphene LFS-01 Reverses Colitis in Mice
by Selectively Altering the Gut Microbiota and Promoting Intestinal Gamma-Delta T
Cells.
AB - Sulforaphene (LFS-01) is a natural compound derived from traditional herbal
medicine. Here, we show that oral administration of LFS-01 is able to
dramatically alter the skewed gut microbiota and reverse colitis in model mice
associated with an increase of intestinal gammadeltaT cells. Through 16S rDNA
sequencing, we showed that LFS-01 can selectively suppress enteric pathogens such
as Escherichia-Shigella and Helicobacter whereas the protective strains including
Lactobacillus and Lachnospiraceae were significantly expanded after LFS-01
treatment. Interestingly, we demonstrated that LFS-01 administration can
significantly promote the IL-17+gammadeltaT cells in model mice in response to
the expanded Lactobacillus. We verified that the intracellular components of
Lactobacillus can stimulate the growth of IL-17+gammadeltaT cells upon
preincubation. The increased IL-17A after LFS-01 treatment in turn recovers the
disrupted occludin subcellular location and protects the epithelial barrier in
the colon of model mice. Remarkably, LFS-01 does not show apparent toxicity to
animals and we demonstrated that LFS-01 also exerts strong protective effects in
TNBS-induced colitis rats. Therefore, LFS-01 holds great promise for the
treatment of inflammatory bowel disease (IBD) and warrants translation for use in
clinical trials. Our work provided a new avenue for the treatment of IBD based on
the strategy of harnessing intestinal symbiosis.
PMID- 29375373
TI - Pathophysiological Role of Purines and Pyrimidines in Neurodevelopment: Unveiling
New Pharmacological Approaches to Congenital Brain Diseases.
AB - In recent years, a substantial body of evidence has emerged demonstrating that
purine and pyrimidine synthesis and metabolism play major roles in controlling
embryonic and fetal development and organogenesis. Dynamic and time-dependent
changes in the expression of purine metabolizing enzymes (such as
ectonucleotidases and adenosine deaminase) represent a key checkpoint for the
correct sequential generation of the different signaling molecules, that in turn
activate their specific membrane receptors. In neurodevelopment, Ca2+ release
from radial glia mediated by P2Y1 purinergic receptors is fundamental to allow
neuroblast migration along radial glia processes, and their correct positioning
in the different layers of the developing neocortex. Moreover, ATP is involved in
the development of synaptic transmission and contributes to the establishment of
functional neuronal networks in the developing brain. Additionally, several
purinergic receptors (spanning from adenosine to P2X and P2Y receptor subtypes)
are differentially expressed by neural stem cells, depending on their maturation
stage, and their activation tightly regulates cell proliferation and
differentiation to either neurons or glial cells, as well as their correct
colonization of the developing telencephalon. The purinergic control of
neurodevelopment is not limited to prenatal life, but is maintained in postnatal
life, when it plays fundamental roles in controlling oligodendrocyte maturation
from precursors and their terminal differentiation to fully myelinating cells.
Based on the above-mentioned and other literature evidence, it is now
increasingly clear that any defect altering the tight regulation of purinergic
transmission and of purine and pyrimidine metabolism during pre- and post-natal
brain development may translate into functional deficits, which could be at the
basis of severe pathologies characterized by mental retardation or other
disturbances. This can occur either at the level of the recruitment and/or
signaling of specific nucleotide or nucleoside receptors or through genetic
alterations in key steps of the purine salvage pathway. In this review, we have
provided a critical analysis of what is currently known on the pathophysiological
role of purines and pyrimidines during brain development with the aim of
unveiling new future strategies for pharmacological intervention in different
neurodevelopmental disorders.
PMID- 29375375
TI - Single- and Multiple-Dose Trials to Determine the Pharmacokinetics, Safety,
Tolerability, and Sex Effect of Oral Ginsenoside Compound K in Healthy Chinese
Volunteers.
AB - Background and objectives: Ginsenoside compound K (CK) is a candidate drug for
rheumatoid arthritis therapy. The objective of this study was to investigate the
pharmacokinetic properties, safety and tolerability of CK. Methods: In
randomized, double-blind trials, 76 healthy Chinese subjects received 1 of 7
single oral doses (25, 50, 100, 200, 400, 600, 800 mg) of CK or placebo under
fasting condition, and another 36 subjects received repeated oral doses (100,
200, or 400 mg) of CK or placebo for up to 9 days a week after a corresponding
single dose, after breakfast. Both sexes were equally represented in the two
trials. Pharmacokinetic parameters of CK and its metabolite 20(S)-protopanaxadiol
(PPD) were calculated and statistically analyzed according to the plasma
concentration data. Tolerability was evaluated by adverse events (AEs) and
laboratory examinations. Results: The range of time to maximum concentration
(Tmax) was 1.5-6.0 h, with a linear increase in the exposure of CK over the dose
range of 100-400 mg. Steady state was reached after the 7th administration, and
the accumulation index range was 2.60-2.78. Sex differences were characterized by
a higher exposure in females than males with the single administration after
breakfast. In addition, no severe AEs were observed. Conclusion: CK was safe and
well-tolerated over the treatment period. The sex- and food-related impacts on CK
pharmacokinetics need further investigations to be validated. (Registration
number: ChiCTR-TRC-14004824 and ChiCTR-IPR-15006107,
http://www.chictr.org.cn/index.aspx).
PMID- 29375376
TI - Omeprazole Inhibits Cell Proliferation and Induces G0/G1 Cell Cycle Arrest
through Up-regulating miR-203a-3p Expression in Barrett's Esophagus Cells.
AB - Existing data suggest that proton pump inhibitors (PPIs), particularly
omeprazole, have significant anti-tumor action in monotherapy and or combination
chemotherapy. Hedgehog (Hh) signaling pathway represents a leading candidate as a
molecular mediator of Barrett's esophagus (BE). Studies have indicated reduced
miRNAs in BE progression, however, little is known about the latent anti-neoplasm
effects of miRNAs in BE cells. Here, we investigated whether omeprazole could
inhibit BE progression by regulating Hh pathway and explored the promising Hh
targeted miRNAs in BE cells. We conducted qRT-PCR and immunoblotting assay to
evaluate the effects of omeprazole on the expression of Hh signaling components
and miR-203a-3p in CP-A and CP-B cells. The promising target genes of miR-203a-3p
were predicted by bioinformatics methods, and verified by luciferase assays and
qRT-PCR. The effects of omeprazole on BE cell proliferation and cell cycle
distribution were determined. The overexpression or silencing of miR-203a-3p was
performed to test its anti-proliferative effects. Finally, rescue experiments
that miR-203a-3p inhibitor alleviated the effects of omeprazole on decreasing the
levels of Gli1 mRNA, protein and luciferase were performed. Mechanistic studies
showed that omeprazole could inhibit the expression of Gli1 and the nuclear
localization of Gli1. Moreover, we determined that omeprazole could selectively
up-regulated the expression of miR-203a-3p, and Gli1 was a bona fide target of
miR-203a-3p. miR-203a-3p inhibitor alleviated the suppressing effects of
omeprazole on Gli1 luciferase activity, mRNA and protein level. The functional
assay suggested that omeprazole could dose-dependently inhibit BE cell growth and
induce cell cycle arrest in G0/G1 phase. Additionally, overexpression and
silencing of miR-203a-3p in BE cells disrupted cell cycle progress, resulting in
suppressing and accelerating cell proliferation, respectively. Taken together,
these data provide a novel mechanism of potentially anti-neoplastic effects for
omeprazole through modulation of miR-203a-3p expression and thus suppressing
Hh/Gli1 signaling in BE cells.
PMID- 29375377
TI - Cisplatin and Pemetrexed Activate AXL and AXL Inhibitor BGB324 Enhances
Mesothelioma Cell Death from Chemotherapy.
AB - Reactive oxygen species (ROS) can promote or inhibit tumorigenesis. In
mesothelioma, asbestos exposure to serous membranes induces ROS through iron
content and chronic inflammation, and ROS promote cell survival signaling in
mesothelioma. Moreover, a current chemotherapy regimen for mesothelioma
consisting of a platinum and antifolate agent combination also induce ROS.
Mesothelioma is notoriously chemotherapy-resistant, and we propose that ROS
induced by cisplatin and pemetrexed may promote cell survival signaling pathways,
which ultimately may contribute to chemotherapy resistance. In The Cancer Genome
Atlas datasets, we found AXL kinase expression is relatively high in mesothelioma
compared to other cancer samples. We showed that ROS induce the phosphorylation
of AXL, which was blocked by the selective inhibitor BGB324 in VMC40 and P31
mesothelioma cells. We also showed that cisplatin and pemetrexed induce the
phosphorylation of AXL and Akt, which was also blocked by BGB324 as well as by N
acetylcysteine antioxidant. AXL knockdown in these cells enhances sensitivity to
cisplatin and pemetrexed. Similarly, AXL inhibitor BGB324 also enhances
sensitivity to cisplatin and pemetrexed. Finally, higher synergy was observed
when cells were pretreated with BGB324 before adding chemotherapy. These results
demonstrate cisplatin and pemetrexed induce ROS that activate AXL, and blocking
AXL activation enhances the efficacy of cisplatin and pemetrexed. These results
suggest AXL inhibition combined with the current chemotherapy regimen may
represent an effective strategy to enhance the efficacy of chemotherapy in
mesothelioma. This is the first study, to our knowledge, on chemotherapy-induced
activation of AXL and cell survival pathways associated with ROS signaling.
PMID- 29375378
TI - Predicting Value of ALCAM as a Target Gene of microRNA-483-5p in Patients with
Early Recurrence in Hepatocellular Carcinoma.
AB - The long-term survival rate of hepatocellular carcinoma (HCC) is poor. One of the
reasons for the poor rate of survival is the high rate of recurrence caused by
intrahepatic metastas is that adversely affects long-term outcome. Many studies
have indicated that microRNAs play an important role in HCC, but there has been
no research of clonal origins on recurrent HCC (RHCC) by analzing microRNAs. In
the present study, we found that miR-483-5p was significantly upregulated in RHCC
tissues of short-term recurrence (<= 2 years) by miRNA microarray screening, and
can significantly promote migration and invasion of HCC cells in vitro and
increase intrahepatic metastasis in nude mice in vivo. Furthermore, we
demonstrated that activated leukocyte cell adhesion molecule (ALCAM), which
significantly suppressed migration and invasion of HCC cells, was a direct target
of miR-483-5p, and the re-introduction of ALCAM expression could antagonize the
promoting effects of miR-483-5p on the capacity of HCC cells for migration and
invasion. In addition, expression level of ALCAM was negatively correlated with
microvascular invasion and tumor size recognized as prognostic factors. The cases
which were negative for ALCAM expression had shorter time to recurrence than
positive cases, and univariate and multivariate survival analyses showed that
ALCAM was an independent risk factor of HCC recurrence. qRT-PCR and Western
blotting showed that the expression of EMT related genes (MMP-2, MMP-9, E-caherin
and vimentin) significantly changed as a result of interfering or overexpression
of ALCAM, and ALCAM was significantly associated with EMT in HCC. These results
suggest that the miR-483-5p/ALCAM axis is an important regulator in invasion and
metastasis and biomarker for recurrence risk assessment of HCC.
PMID- 29375379
TI - Scutellarin Suppresses NLRP3 Inflammasome Activation in Macrophages and Protects
Mice against Bacterial Sepsis.
AB - The NLRP3 inflammasome plays a critical role in mediating the innate immune
defense against pathogenic infections, but aberrant activation of NLRP3
inflammasome has been linked to a variety of inflammatory diseases. Thus
targeting the NLRP3 inflammasome represents a promising therapeutic for the
treatment of such diseases. Scutellarin is a flavonoid isolated from Erigeron
breviscapus (Vant.) Hand.-Mazz. and has been reported to exhibit potent anti
inflammatory activities, but the underlying mechanism is only partly understood.
In this study, we aimed to investigate whether scutellarin could affect the
activation of NLRP3 inflammasome in macrophages. The results showed that
scutellarin dose-dependently reduced caspase-1 activation and decreased mature
interleukin-1beta (IL-1beta) release in lipopolysaccharide (LPS)-primed
macrophages upon ATP or nigericin stimulation, indicating that scutellarin
inhibited NLRP3 inflammasome activation in macrophages. Consistent with this,
scutellarin also suppressed pyroptotic cell death in LPS-primed macrophages
treated with ATP or nigericin. ATP or nigericin-induced ASC speck formation and
its oligomerization were blocked by scutellarin pre-treatment. Intriguingly,
scutellarin augmented PKA-specific phosphorylation of NLRP3 in LPS-primed
macrophages, which was completely blocked by selective PKA inhibitor H89,
suggesting that PKA signaling had been involved in the action of scutellarin to
suppress NLRP3 inflammasome activation. Supporting this, the inhibitory effect of
scutellarin on NLRP3 inflammasome activation was completely counteracted by H89
or adenyl cyclase inhibitor MDL12330A. As NLRP3-dependent release of IL-1beta has
a critical role in sepsis, the in vivo activity of scutellarin was assayed in a
mouse model of bacterial sepsis, which was established by intraperitoneally
injection of a lethal dose of viable Escherichia coli. Oral administration of
scutellarin significantly improved the survival of mice with bacterial sepsis. In
line with this, scutellarin treatment significantly reduced serum IL-1beta levels
and attenuated the infiltration of inflammatory cells in the liver of E. coli
infected mice. These data indicated that scutellarin suppressed NLRP3
inflammasome activation in macrophages by augmenting PKA signaling, highlighting
its potential therapeutic application for treating NLRP3-related inflammatory
diseases.
PMID- 29375380
TI - Steroidal Pyrimidines and Dihydrotriazines as Novel Classes of Anticancer Agents
against Hormone-Dependent Breast Cancer Cells.
AB - Most breast and prostate tumors are hormone-dependent, making it possible to use
hormone therapy in patients with these tumors. The design of effective endocrine
drugs that block the growth of tumors and have no severe side effects is a
challenge. Thereupon, synthetic steroids are promising therapeutic drugs for the
treatment of diseases such as hormone-dependent breast and prostate cancers.
Here, we describe novel series of steroidal pyrimidines and dihydrotriazines with
anticancer activities. A flexible approach to unknown pyrimidine and
dihydrotriazine derivatives of steroids with selective control of the
heterocyclization pattern is disclosed. A number of 18-nor-5alpha-androsta-2,13
diene[3,2-d]pyrimidine, androsta-2-ene[3,2-d]pyrimidine, Delta1, 3, 5(10)
estratrieno[16,17-d]pyrimidine, and 17-chloro-16-dihydrotriazine steroids were
synthesized by condensations of amidines with beta-chlorovinyl aldehydes derived
from natural hormones. The synthesized compounds were screened for cytotoxicity
against breast cancer cells and showed IC50 values of 7.4 MUM and higher.
Compounds were tested against prostate cancer cells and exhibited
antiproliferative activity with IC50 values of 9.4 MUM and higher comparable to
that of cisplatin. Lead compound 4a displayed selectivity in ERalpha-positive
breast cancer cells. At 10 MUM concentration, this heterosteroid inhibited 50% of
the E2-mediated ERalpha activity and led to partial ERalpha down-regulation. The
ERalpha reporter assay and immunoblotting were supported by the docking study,
which showed the probable binding mode of compound 4a to the estrogen receptor
pocket. Thus, heterosteroid 4a proved to be a selective ERalpha modulator with
the highest antiproliferative activity against hormone-dependent breast cancer
and can be considered as a candidate for further anticancer drug development. In
total, the synthesized heterosteroids may be considered as new promising classes
of active anticancer agents.
PMID- 29375381
TI - MicroRNA-145 Increases the Apoptosis of Activated Hepatic Stellate Cells Induced
by TRAIL through NF-kappaB Signaling Pathway.
AB - During the liver fibrosis recovery stage tumor necrosis factor-related apoptosis
inducing ligand (TRAIL) can effectively induce apoptosis of activated hepatic
stellate cells (HSCs). Normal hepatic stellate cells are resistant to TRAIL
cytotoxicity. Therefore, enhancing the sensitivity of TRAIL-induced apoptosis of
HSCs may be useful to treat hepatic fibrogenesis. Here, we demonstrated that miR
145 and TRAIL were down-regulated in both liver fibrosis tissue samples and
transforming growth factor-beta1 induced HSCs, concomitant with increased the
expression of ZEB2. In addition, we found that mimics-mediated over-expression of
miR-145 led to resistance to the ZEB2 expression and up-regulation of the TRAIL
induced apoptosis after treatment of LX-2 cells with TRAIL. Furthermore, ZEB2
siRNA transfected LX-2 cells showed the increased sensitivity to TRAIL-induced
apoptosis. Whereas, opposite results were obtained in miR-145-inhibitor group or
ZEB2 plasmid group. Moreover, miR-145 regulated ZEB2 gene expression by
specifically interacting with the 3'-UTR of ZEB2 mRNA to inhibit the expression
of ZEB2. Further studies showed that the over-expression of ZEB2 could inhibit
TRAIL-induced apoptosis via inhibiting nuclear factor-kappaB (NF-kappaB)
signaling pathway in LX-2 cells. Collectively, our data suggest that up
regulation of miR-145 can down-regulate ZEB2 expression, consequently promoting
TRAIL-induced apoptosis in LX-2 cells through NF-kappaB signaling pathway, which
facilitates the resolution of liver fibrosis.
PMID- 29375382
TI - Bergenin, Acting as an Agonist of PPARgamma, Ameliorates Experimental Colitis in
Mice through Improving Expression of SIRT1, and Therefore Inhibiting NF-kappaB
Mediated Macrophage Activation.
AB - Bergenin, isolated from the herb of Saxifraga stolonifera Curt. (Hu-Er-Cao), has
anti-inflammatory, antitussive and wound healing activities. The aim of the
present study was to identify the effect of bergenin on experimental colitis, and
explored the related mechanisms. Our results showed that oral administration of
bergenin remarkably alleviated disease symptoms of mice with dextran sulfate
sodium (DSS)-induced colitis, evidenced by reduced DAI scores, shortening of
colon length, MPO activity and pathologic abnormalities in colons. Bergenin
obviously inhibited the mRNA and protein expressions of IL-6 and TNF-alpha in
colon tissues, but not that of mucosal barrier-associated proteins occludin, E
cadherin and MUC-2. In vitro, bergenin significantly inhibited the expressions of
IL-6 and TNF-alpha as well as nuclear translocation and DNA binding activity of
NF-kappaB-p65 in lipopolysaccharide (LPS)-stimulated peritoneal macrophages and
RAW264.7 cells, which was almost reversed by addition of PPARgamma antagonist
GW9662 and siPPARgamma. Subsequently, bergenin was identified as a PPARgamma
agonist. It could enter into macrophages, bind with PPARgamma, promote nuclear
translocation and transcriptional activity of PPARgamma, and increase mRNA
expressions of CD36, LPL and ap2. In addition, bergenin significantly up
regulated expression of SIRT1, inhibited acetylation of NF-kappaB-p65 and
increased association NF-kappaB-p65 and IkappaBalpha. Finally, the correlation
between activation of PPARgamma and attenuation of colitis, inhibition of IL-6
and TNF-alpha expressions, NF-kappaB-p65 acetylation and nuclear translocation,
and up-regulation of SIRT1 expression by bergenin was validated in mice with DSS
induced colitis and/or LPS-stimulated macrophages. In summary, bergenin could
ameliorate colitis in mice through inhibiting the activation of macrophages via
regulating PPARgamma/SIRT1/NF-kappaB-p65 pathway. The findings can provide
evidence for the further development of bergenin as an anti-UC drug, and offer a
paradigm for the recognization of anti-UC mechanisms of compound with similar
structure occurring in traditional Chinese medicines.
PMID- 29375383
TI - Human UDP-Glucuronosyltransferase 2B4 and 2B7 Are Responsible for Naftopidil
Glucuronidation in Vitro.
AB - Naftopidil (NAF) is widely used for the treatment of benign prostatic hyperplasia
and prevention of prostate cancer in elderly men. These patients receive a
combination of drugs, which involves high risk for drug-drug interaction. NAF
exhibits superior efficacy but must be administered at a much higher dosage than
other therapeutic drugs. We previously showed that extensive glucuronidation of
NAF enantiomers caused poor bioavailability. However, the metabolic pathway and
mechanism of action of NAF enantiomer remain to be elucidated. The present study
was performed to identify the human UDP-glucuronosyltransferases (UGTs)
responsible for the glucuronidation of NAF enantiomers and to investigate the
potential inhibition of UGT activity by NAF. The major metabolic sites examined
were liver and kidney, which were compared with intestine. Screening of 12
recombinant UGTs showed that UGT2B7 primarily contributed to the metabolism of
both enantiomers. Moreover, enzyme kinetics for R(+)-NAF, UGT2B7 (mean Km, 21
MUM; mean Vmax, 1043 pmol/min/mg) showed significantly higher activity than
observed for UGT2B4 and UGT1A9. UGT2B4 (mean Km, 55 MUM; mean Vmax, 1976
pmol/min/mg) and UGT2B7 (mean Km, 38 MUM; mean Vmax, 1331 pmol/min/mg) showed
significantly higher catalysis of glucuronidation of S(-)-NAF than UGT1A9. In
human liver microsomes, R(+)-NAF and S(-)-NAF also inhibited UGT1A9: mean Ki
values for R(+)-NAF and S(-)-NAF were 10.0 MUM and 11.5 MUM, respectively. These
data indicate that UGT2B7 was the principal enzyme mediating glucuronidation of
R(+)-NAF and S(-)-NAF. UGT2B4 plays the key role in the stereoselective
metabolism of NAF enantiomers. R(+)-NAF and S(-)-NAF may inhibit UGT1A9.
Understanding the metabolism of NAF enantiomers, especially their interactions
with metabolic enzymes, will help to elucidate potential drug-drug interactions
and to optimize the administration of this medicine.
PMID- 29375385
TI - Adenine Nucleotides Attenuate Murine T Cell Activation Induced by Concanavalin A
or T Cell Receptor Stimulation.
AB - Extracellular ATP and its metabolites affect various cellular immune responses,
including T cell function, but there are apparently conflicting reports
concerning the effects of adenine nucleotides on T cells. For example, it has
been reported that ATP-mediated activation of P2 receptor is involved in T cell
activation; activation of adenosine receptors suppresses T cell function; and 1
mM ATP induces T cell death via activation of P2X7 receptor. Therefore, in this
work we investigated in detail the effects of 100-250 MUM ATP, ADP, or AMP on
murine T cell activation. First, an in vitro study showed that pretreatment of
murine splenic T cells with 100-250 MUM ATP, ADP, or AMP significantly suppressed
the concanavalin A (ConA)-induced release of cytokines, including IL-2. This
suppression was not due to induction of cell death via the P2X7 receptor or to an
immunosuppressive effect of adenosine. ATP attenuated the expression of CD25, and
decreased the cell proliferation ability of activated T cells. The release of IL
2 by ConA-stimulated lymphocytes was suppressed by post-treatment with ATP, as
well as by pretreatment. These results suggest that exogenous ATP suppresses the
activation of T cells. Secondly, we evaluated the effect of ATP in a ConA-treated
mice. Treatment with ATP attenuated the increase of IL-2 concentration in the
blood. Overall, these results suggest that adenine nucleotides might have
potential as supplemental therapeutic agents for T cell-mediated immune diseases,
by suppressing T cell activation.
PMID- 29375384
TI - The Role of Adenosine Receptors in Psychostimulant Addiction.
AB - Adenosine receptors (AR) are a family of G-protein coupled receptors, comprised
of four members, named A1, A2A, A2B, and A3 receptors, found widely distributed
in almost all human body tissues and organs. To date, they are known to
participate in a large variety of physiopathological responses, which include
vasodilation, pain, and inflammation. In particular, in the central nervous
system (CNS), adenosine acts as a neuromodulator, exerting different functions
depending on the type of AR and consequent cellular signaling involved. In terms
of molecular pathways and second messengers involved, A1 and A3 receptors inhibit
adenylyl cyclase (AC), through Gi/o proteins, while A2A and A2B receptors
stimulate it through Gs proteins. In the CNS, A1 receptors are widely distributed
in the cortex, hippocampus, and cerebellum, A2A receptors are localized mainly in
the striatum and olfactory bulb, while A2B and A3 receptors are found at low
levels of expression. In addition, AR are able to form heteromers, both among
themselves (e.g., A1/A2A), as well as with other subtypes (e.g., A2A/D2), opening
a whole range of possibilities in the field of the pharmacology of AR. Nowadays,
we know that adenosine, by acting on adenosine A1 and A2A receptors, is known to
antagonistically modulate dopaminergic neurotransmission and therefore reward
systems, being A1 receptors colocalized in heteromeric complexes with D1
receptors, and A2A receptors with D2 receptors. This review documents the present
state of knowledge of the contribution of AR, particularly A1 and A2A, to
psychostimulants-mediated effects, including locomotor activity, discrimination,
seeking and reward, and discuss their therapeutic relevance to psychostimulant
addiction. Studies presented in this review reinforce the potential of A1
agonists as an effective strategy to counteract psychostimulant-induced effects.
Furthermore, different experimental data support the hypothesis that A2A/D2
heterodimers are partly responsible for the psychomotor and reinforcing effects
of psychostimulant drugs, such as cocaine and amphetamine, and the stimulation of
A2A receptor is proposed as a potential therapeutic target for the treatment of
drug addiction. The overall analysis of presented data provide evidence that
excitatory modulation of A1 and A2A receptors constitute promising tools to
counteract psychostimulants addiction.
PMID- 29375386
TI - The Case for TAAR1 as a Modulator of Central Nervous System Function.
AB - TAAR1 is widely expressed across the mammalian brain, particularly in limbic and
monoaminergic areas, allegedly involved in mood, attention, memory, fear, and
addiction. However, the subcellular distribution of TAAR1 is still unclear, since
TAAR1 signal is largely intracellular. In vitro, TAAR1 is activated with
nanomolar to micromolar affinity by some endogenous amines, particularly p
tyramine, beta-phenylethylamine, and 3-iodothyronamine (T1AM), the latter
representing a novel branch of thyroid hormone signaling. In addition, TAAR1
responds to a number of psychoactive drugs, i.e., amphetamines, ergoline
derivatives, bromocriptine and lisuride. Trace amines have been identified as
neurotransmitters in invertebrates, and they are considered as potential
neuromodulators. In particular, beta-phenylethylamine and p-tyramine have been
reported to modify the release and/or the response to dopamine, norepinephrine,
acetylcholine and GABA, while evidence of cross-talk between TAAR1 and other
aminergic receptors has been provided. Systemic or intracerebroventricular
injection of exogenous T1AM produced prolearning and antiamnestic effects,
reduced pain threshold, decreased non-REM sleep, and modulated the firing rate of
adrenergic neurons in locus coeruleus. However each of these substances may have
additional molecular targets, and it is unclear whether their endogenous levels
are sufficient to produce significant TAAR1 activation in vivo. TAAR1 knock out
mice show a worse performance in anxiety and working memory tests, and they are
more prone to develop ethanol addiction. They also show increased locomotor
response to amphetamine, and decreased stereotypical responses induced by
apomorphine. Notably, human genes for TAARs cluster on chromosome 6 at q23,
within a region whose mutations have been reported to confer susceptibility to
schizophrenia and bipolar disorder. For human TAAR1, around 200 non-synonymous
and 400 synonymous single nucleotide polymorphisms have been identified, but
their functional consequences have not been extensively investigated yet. In
conclusion, the bulk of evidence points to a significant physiological role of
TAAR1 in the modulation of central nervous system function and a potential
pharmacological role of TAAR1 agonists in neurology and/or psychiatry. However,
the specific effects of TAAR1 stimulation are still controversial, and many
crucial issues require further investigation.
PMID- 29375387
TI - The Role of Ferroptosis in Cancer Development and Treatment Response.
AB - Ferroptosis is a process driven by accumulated iron-dependent lipid ROS that
leads to cell death, which is a distinct regulated cell death comparing to other
cell death. The lethal metabolic imbalance resulted from GSH depletion or
inactivation of glutathione peroxidase 4 is the executor of ferroptosis within
the cancer cell. Small molecules-induced ferroptosis has a strong inhibition of
tumor growth and enhances the sensitivity of chemotherapeutic drugs, especially
in the condition of drug resistance. These evidences have highlighted the
importance of ferroptosis in cancer therapeutics, but the roles of ferroptosis in
tumorigenesis and development remain unclear. This article provides an overview
of the mechanisms of ferroptosis, highlights the role of ferroptosis in cancer
and discusses strategies for therapeutic modulation.
PMID- 29375389
TI - No Change in Bicarbonate Transport but Tight-Junction Formation Is Delayed by
Fluoride in a Novel Ameloblast Model.
AB - We have recently developed a novel in vitro model using HAT-7 rat ameloblast
cells to functionally study epithelial ion transport during amelogenesis. Our
present aims were to identify key transporters of bicarbonate in HAT-7 cells and
also to examine the effects of fluoride exposure on vectorial bicarbonate
transport, cell viability, and the development of transepithelial resistance. To
obtain monolayers, the HAT-7 cells were cultured on Transwell permeable filters.
We monitored transepithelial resistance (TER) as an indicator of tight junction
formation and polarization. We evaluated intracellular pH changes by
microfluorometry using the fluorescent indicator BCECF. Activities of ion
transporters were tested by withdrawal of various ions from the bathing medium,
by using transporter specific inhibitors, and by activation of transporters with
forskolin and ATP. Cell survival was estimated by alamarBlue assay. Changes in
gene expression were monitored by qPCR. We identified the activity of several ion
transporters, NBCe1, NHE1, NKCC1, and AE2, which are involved in intracellular pH
regulation and vectorial bicarbonate and chloride transport. Bicarbonate
secretion by HAT-7 cells was not affected by acute fluoride exposure over a wide
range of concentrations. However, tight-junction formation was inhibited by 1 mM
fluoride, a concentration which did not substantially reduce cell viability,
suggesting an effect of fluoride on paracellular permeability and tight-junction
formation. Cell viability was only reduced by prolonged exposure to fluoride
concentrations greater than 1 mM. In conclusion, cultured HAT-7 cells are
functionally polarized and are able to transport bicarbonate ions from the
basolateral to the apical fluid spaces. Exposure to 1 mM fluoride has little
effect on bicarbonate secretion or cell viability but delays tight-junction
formation, suggesting a novel mechanism that may contribute to dental fluorosis.
PMID- 29375388
TI - The Potential of Nanotechnology in Medically Assisted Reproduction.
AB - Reproductive medicine is a field of science which searches for new alternatives
not only to help couples achieve pregnancy and preserve fertility, but also to
diagnose and treat diseases which can impair the normal operation of the
reproductive tract. Assisted reproductive technology (ART) is a set of
methodologies applied to cases related to infertility. Despite being highly
practiced worldwide, ART presents some challenges, which still require special
attention. Nanotechnology, as a tool for reproductive medicine, has been
considered to help overcome some of those impairments. Over recent years,
nanotechnology approaches applied to reproductive medicine have provided
strategies to improve diagnosis and increase specificity and sensitivity. For in
vitro embryo production, studies in non-human models have been used to deliver
molecules to gametes and embryos. The exploration of nanotechnology for ART would
bring great advances. In this way, experiments in non-human models to test the
development and safety of new protocols using nanomaterials are very important
for informing potential future employment in humans. This paper presents recent
developments in nanotechnology regarding impairments still faced by ART: ovary
stimulation, multiple pregnancy, and genetic disorders. New perspectives for
further use of nanotechnology in reproductive medicine studies are also
discussed.
PMID- 29375390
TI - The Effects of Tai Chi Training in Patients with Heart Failure: A Systematic
Review and Meta-Analysis.
AB - Heart Failure (HF) is associated with significantly high morbidity and mortality.
We performed a meta-analysis and updated new evidences from randomized controlled
trials (RCTs) to determine the effects of Tai Chi (TC) in patients with HF.
Electronic literature search of Medline, PubMed, EMBASE, the Cochrane Library,
China national knowledge infrastructure (CNKI), and Wan Fang Database was
conducted from inception of their establishment until 2017. And we also searched
Clinical Trials Registries (https://clinicaltrials.gov/ and www.controlled
trials.com) for on-going studies. A total of 11 trials with 656 patients were
available for analysis. The results suggested that TC was associated with an
obviously improved 6-min walk distance [6MWD, weighted mean difference (WMD)
65.29 m; 95% CI 32.55-98.04] and quality of life (Qol, WMD -11.52 points; 95% CI
16.5 to -6.98) and left ventricular ejection fraction (LVEF, WMD 9.94%; 95% CI
6.95 to 12.93). TC was shown to reduce serum B-type natriuretic peptide [BNP,
standard mean difference (SMD) -1.08 pg/mL; 95% CI -1.91 to -0.26] and heart rate
(HR, WMD -2.52 bpm; 95% CI -3.49 to -1.55). In summary, our meta-analysis
demonstrated the clinical evidence about TC for HF is inconclusive. TC could
improve 6MWD, Qol and LVEF in patients with HF and may reduce BNP and HR.
However, there is a lack of evidence to support TC altering other important long
term clinical outcomes so far. Further larger and more sustainable RCTs are
urgently needed to investigate the effects of TC.
PMID- 29375391
TI - Angiotensin-(1-7) Inhibits Thrombin-Induced Endothelial Phenotypic Changes and
Reactive Oxygen Species Production via NADPH Oxidase 5 Downregulation.
AB - Background and Aims: The angiotensin-(1-7)/angiotensin-converting enzyme 2/Mas
receptor axis counter-regulates the detrimental effects of angiotensin II.
Beneficial effects of angiotensin-(1-7), including anti-inflammation, oxidative
stress reduction, and anti-thrombosis, have been reported. Previous studies
documented that ramipril decreased thrombin generation in human hypertension and
that the anti-thrombotic effects of captopril and losartan were angiotensin-(1-7)
dependent, suggesting an interaction between thrombin and angiotensin-(1-7).
However, it is not clear whether angiotensin-(1-7) can alleviate the endothelial
phenotypic changes induced by thrombin. We have previously documented
cytoskeleton remodeling, cell adhesion, and cell migration as dominant altered
phenotypes in thrombin-stimulated human aortic endothelial cells (HAECs). In this
study, we investigated whether angiotensin-(1-7) can modulate thrombin-induced
phenotypic changes. Furthermore, we investigated whether NAPDH oxidase 5 (Nox5)
produced reactive oxygen species (ROS) play a significant role in angiotensin-(1
7)-mediated phenotypic changes. Methods: HAECs were pretreated with 100 nM
angiotensin-(1-7) for 1 h, followed by stimulation with 2 units/mL thrombin for
different times. Immunofluorescent assay, monocyte adhesion assay, wound-healing
assay, ROS assay, real-time PCR, Western blotting, and Nox5 siRNA transfection
were conducted. HAECs were pretreated with the ROS scavenger N-acetylcysteine
(NAC) to determine whether thrombin-induced phenotypic changes depended on ROS
production. Results: Angiotensin-(1-7) prevented thrombin-induced actin
cytoskeleton derangements, monocyte adhesion, and migratory impairment. Nox5
siRNA transfection confirmed that thrombin-induced Nox5 expression stimulated ROS
production and increased HO-1/NQO-1/ICAM-1/VCAM-1 gene expression, all of which
were decreased by angiotensin-(1-7). Phenotypic changes induced by thrombin were
prevented by NAC pretreatment. Conclusion: Angiotensin-(1-7) prevents actin
cytoskeleton derangement, monocyte adhesion, and migration impairment induced by
thrombin via downregulation of ROS production. In addition, thrombin-induced Nox5
expression is involved in the production of ROS, and angiotensin-(1-7) decreases
ROS through its inhibitory effect on Nox5 expression.
PMID- 29375392
TI - Effects of Soccer Training on Anthropometry, Body Composition, and Physical
Fitness during a Soccer Season in Female Elite Young Athletes: A Prospective
Cohort Study.
AB - The objectives of this study were to (i) describe soccer training (e.g., volume,
types), anthropometry, body composition, and physical fitness and (ii) compute
associations between soccer training data and relative changes of anthropometry,
body composition, and physical fitness during a soccer season in female elite
young athletes. Seasonal training (i.e., day-to-day training volume/types) as
well as variations in anthropometry (e.g., body height/mass), body composition
(e.g., lean body/fat mass), and physical fitness (e.g., muscle strength/power,
speed, balance) were collected from 17 female elite young soccer players (15.3 +/
0.5 years) over the training periods (i.e., preparation, competition,
transition) of a soccer season that resulted in the German championship title in
under-17 female soccer. Training volume/types, anthropometrics, body composition,
and physical fitness significantly varied over a soccer season. During the two
preparation periods, higher volumes in resistance and endurance training were
performed (2.00 <= d <= 18.15; p < 0.05), while higher sprint and tactical
training volumes were applied during the two competition periods (2.22 <= d <=
11.18; p < 0.05). Body height and lean body mass increased over the season (2.50
<= d <= 3.39; p < 0.01). In terms of physical fitness, significant performance
improvements were found over the soccer season in measures of balance, endurance,
and sport-specific performance (2.52 <= d <= 3.95; p < 0.05). In contrast, no
statistically significant changes were observed for measures of muscle
power/endurance, speed, and change-of-direction speed. Of note, variables of
muscle strength (i.e., leg extensors) significantly decreased (d = 2.39; p <
0.01) over the entire season. Our period-specific sub-analyses revealed
significant performance improvements during the first round of the season for
measures of muscle power/endurance, and balance (0.89 <= d <= 4.01; p < 0.05).
Moreover, change-of-direction speed significantly declined after the first round
of the season, i.e., transition period (d = 2.83; p < 0.01). Additionally,
significant medium-to-large associations were observed between training and
anthropometrics/body composition/physical fitness (-0.541 <= r <= 0.505). Soccer
training and/or growth/maturation contributed to significant variations in
anthropometry, body composition, and physical fitness outcomes throughout the
different training periods over the course of a soccer season in female elite
young soccer players. However, changes in components of fitness were inconsistent
(e.g., power, speed, strength). Thus, training volume and/or types should be
carefully considered in order to develop power-, speed- or strength-related
fitness measures more efficiently throughout the soccer season.
PMID- 29375393
TI - Evaluation of Short and Long Term Cold Stress Challenge of Nerve Grow Factor,
Brain-Derived Neurotrophic Factor, Osteocalcin and Oxytocin mRNA Expression in
BAT, Brain, Bone and Reproductive Tissue of Male Mice Using Real-Time PCR and
Linear Correlation Analysis.
AB - The correlation between the Ngf/p75ntr-Ntrk1 and Bdnf, Osteocalcin-Ost/Gprc6a and
Oxytocin-Oxt/Oxtr genes, was challenged investigating their mRNA levels in 3
months-old mice after cold-stress (CS). Uncoupling protein-1 (Ucp-1) was used as
positive control. Control mice were maintained at room temperature T = 25 degrees
C, CS mice were maintained at T = 4 degrees C for 6 h and 5-days (N = 15 mice).
RT-PCR experiments showed that Ucp-1 and Ngf genes were up-regulated after 6 h CS
in brown adipose tissues (BAT), respectively, by 2 and 1.5-folds; Ucp-1 was
upregulated also after 5-days, while Ngfr (p75ntr) and Ntrk1 genes were
downregulated after 6 h and 5-days CS in BAT. NGF and P75NTR were upregulated in
bone and testis following 5-days, and P75NTR in testis after 6 h CS. Bdnf was
instead up-regulated in bone following 5-days CS and down-regulated in testis.
OST was upregulated by 16 and 3-fold in bone and BAT, respectively, following 5
days CS. Gprc6a was upregulated after 6 h in brain, while Bglap (Ost) gene was
downregulated. Oxt gene was upregulated by 5-fold following 5-days CS in bone.
Oxtr was upregulated by 0.5 and 0.3-fold, respectively, following 6 h and 5-days
CS in brain. Oxtr and Oxt were downregulated in testis and in BAT. The changes in
the expression levels of control genes vs. genes following 6 h and 5-days CS were
correlated in all tissues, but not in BAT. Correlation in BAT was improved
eliminating Ngfr (p75ntr) data. The correlation in brain was lost eliminating
Oxtr data. In sum, Ucp-1 potentiation in BAT after cold stress is associated with
early Ngf-response in the same tissue and trophic action in bone and testis. In
contrast, BDNF exerts bone and neuroprotective effects. Similarly to Ucp-1, Bglap
(Ost) signaling is enhanced in bone and BAT while it may exert local
neuroprotective effects thought its receptor. Ngfr (p75ntr) regulates the
adaptation to CS through a feed-back loop in BAT. Oxtr regulates the gene
response to CS through a feed-forward loop in brain. Overall these results expand
the understanding of the physiology of these molecules under metabolic
thermogenesis.
PMID- 29375395
TI - Carbonyl Emissions in E-cigarette Aerosol: A Systematic Review and Methodological
Considerations.
AB - Carbonyl emissions from tobacco cigarettes represent a substantial health risk
contributing to smoking-related morbidity and mortality. As expected, this is an
important research topic for tobacco harm reduction products, in an attempt to
compare the relative risk of these products compared to tobacco cigarettes. In
this study, a systematic review of the literature available on PubMed was
performed analyzing the studies evaluating carbonyl emissions from e-cigarettes.
A total of 32 studies were identified and presented. We identified a large
diversity of methodologies, with substantial discrepancies in puffing patterns,
aerosol collection and analytical methods as well as reported units of
measurements. Such discrepancies make comparisons difficult, and in some cases
the accuracy of the findings cannot be determined. Importantly, control for the
generation of dry puffs was not performed in the vast majority of studies,
particularly in studies using variable power devices, which could result in
testing conditions and reported carbonyl levels that have no clinical relevance
or context. Some studies have been replicated, verifying the presence of dry puff
conditions. Whenever realistic use conditions were ensured, carbonyl emissions
from e-cigarettes were substantially lower than tobacco cigarette smoke, while
newer generation (bottom-coil, cotton wick) atomizers appeared to emit minimal
levels of carbonyls with questionable clinical significance in terms of health
risk. However, extremely high levels of carbonyl emissions were reported in some
studies, and all these studies need to be replicated because of potentially
important health implications.
PMID- 29375394
TI - Left-Ventricular Energetics in Pulmonary Arterial Hypertension-Induced Right
Ventricular Hypertrophic Failure.
AB - Pulmonary arterial hypertension (PAH) alters the geometries of both ventricles of
the heart. While the right ventricle (RV) hypertrophies, the left ventricle (LV)
atrophies. Multiple lines of clinical and experimental evidence lead us to
hypothesize that the impaired stroke volume and systolic pressure of the LV are a
direct consequence of the effect of pressure overload in the RV, and that atrophy
in the LV plays only a minor role. In this study, we tested this hypothesis by
examining the mechanoenergetic response of the atrophied LV to RV hypertrophy in
rats treated with monocrotaline. Experiments were performed across multiple
scales: the whole-heart in vivo and ex vivo, and its trabeculae in vitro. Under
the in vivo state where the RV was pressure-overloaded, we measured reduced
systemic blood pressure and LV ventricular pressure. In contrast, under both ex
vivo and in vitro conditions, where the effect of RV pressure overload was
circumvented, we found that LV was capable of developing normal systolic pressure
and stress. Nevertheless, LV atrophy played a minor role in that LV stroke volume
remained lower, thereby contributing to lower LV mechanical work output.
Concomitantly lower oxygen consumption and change of enthalpy were observed, and
hence LV energy efficiency was unchanged. Our internally consistent findings
between working-heart and trabecula experiments explain the rapid improvement of
LV systolic function observed in patients with chronic pulmonary hypertension
following surgical relief of RV pressure overload.
PMID- 29375396
TI - Individual-Based Modeling Approach to Assessment of the Impacts of Landscape
Complexity and Climate on Dispersion, Detectability and Fate of Incipient Medfly
Populations.
AB - The objective of the presented study was to demonstrate the potential of a bottom
up "ethological" approach and individual-based model of Markov-like stochastic
processes, employed to gain insights into the factors driving behavior and fate
of the invasive propagule, which determine the initial stages of pest invasion
and "cryptic" existence of the localized, ultra-low density incipient pest
populations. The applied model, PESTonFARM, is driven by the parameters derived
directly from the behavior and biology of the target insect species, and
spatiotemporal traits of the local terrain and climate. The model projections are
actively generated by behavior of the primary causative actors of the invasion
processes-individual "virtual" insects-members of the initial propagules or
incipient populations. Algorithms of the model were adjusted to reflect behavior
and ecology of the Mediterranean fruit fly, Ceratitis capitata, used as a case
example in the presented study. The model was parametrized based on compiled
published experimental information about C. capitata behavior and development,
and validated using published data from dispersion and trapping studies. The
model reliably simulated behavior, development and dispersion of individual
members of an invasive cohort, and allowed to quantify pest establishment and
detection chances in landscapes of varying spatiotemporal complexity, host
availability and climates. The results support the common view that, under
optimal conditions (farmland with continuous fruit availability and suitable
climate), even a single propagule of medium size (100 females) usually results in
pest establishment and detection within the first year post-invasion. The results
demonstrate, however, that under specific sub-optimal conditions determined by
the local climate, weather fluctuations and landscape topography (e.g., sub
urban), the incipient cryptic populations may occasionally continue for several
generations, and remain undetected by typical pest surveillance grids for the
periods extending beyond 2-years post-invasion.
PMID- 29375397
TI - The Cerebral Brain-Derived Neurotrophic Factor Pathway, Either Neuronal or
Endothelial, Is Impaired in Rats with Adjuvant-Induced Arthritis. Connection with
Endothelial Dysfunction.
AB - Cognitive abilities are largely dependent on activation of cerebral tropomyosin
related kinase B receptors (TrkB) by brain-derived neurotrophic factor (BDNF)
that is secreted under a bioactive form by both neurons and endothelial cells. In
addition, there is mounting evidence for a link between endothelial function and
cognition even though the underlying mechanisms are not well known. Therefore, we
investigated the cerebral BDNF pathway, either neuronal or endothelial, in
rheumatoid arthritis (RA) that combines both endothelial dysfunction (ED) and
impaired cognition. Adjuvant-induced arthritis (AIA) in rats was used as a model
of RA. Clinical inflammatory symptoms were evaluated from an arthritis score and
brains were collected at day 31 +/- 2 post-immunization. Neuronal expression of
BDNF and TrkB phosphorylated at tyrosine 816 (p-TrkB) was examined in brain
slices. Endothelial BDNF and p-TrkB expression was examined on both brain slices
(hippocampal arterioles) and isolated cerebral microvessels-enriched fractions
(vessels downstream to arterioles). The connection between endothelial nitric
oxide (NO) and BDNF production was explored on the cerebrovascular fractions
using endothelial NO synthase (eNOS) levels as a marker of NO production, Nomega
Nitro-L-arginine methyl ester hydrochloride (L-NAME) as a NOS inhibitor and
glyceryl-trinitrate as a slow releasing NO donor. Brain slices displayed lower
BDNF and p-TrkB staining in both neurons and arteriolar endothelial cells in AIA
than in control rats. For endothelial cells but not neurons, a strong correlation
was observed between BDNF and p-TrkB staining. Of note, a strong correlation was
also observed between neuronal p-TrkB and endothelial BDNF staining. In cerebral
microvessels-enriched fractions, AIA led to decreased BDNF and eNOS levels with a
positive association between the 2 parameters. These effects coincided with
decreased BDNF and p-TrkB staining in endothelial cells. The exposure of AIA
cerebrovascular fractions to GTN increased BDNF levels while the exposure of
control fractions to L-NAME decreased BDNF levels. Changes in the cerebral BDNF
pathway were not associated with arthritis score. The present study reveals that
AIA impairs the endothelial and neuronal BDNF/TrkB pathway, irrespective of the
severity of inflammatory symptoms but dependent on endothelial NO production.
These results open new perspectives for the understanding of the link between ED
and impaired cognition.
PMID- 29375398
TI - Dynamic Changes in Chemosensory Gene Expression during the Dendrolimus punctatus
Mating Process.
AB - The insect chemosensory system is pivotal for interactions with their
environments, and moths have especially sensitive olfaction. Exploration of the
connection between the plasticity of olfactory-guided and molecular level
pathways in insects is important for understanding the olfactory recognition
mechanisms of insects. The pine caterpillar moth, Dendrolimus punctatus Walker,
is a dominant conifer defoliator in China, and mating is the priority for adults
of this species, during which sex pheromone recognition and oviposition site
location are the main activities; these activities are all closely related to
chemosensory genes. Thus, we aimed to identify chemosensory related genes and
monitor the spectrum of their dynamic expression during the entire mating process
in D. punctatus. In this study, we generated transcriptome data from male and
female adult D. punctatus specimens at four mating stages: eclosion, calling,
copulation, and post-coitum. These data were analyzed using bioinformatics tools
to identify the major olfactory-related gene families and determine their
expression patterns during mating. Levels of odorant binding proteins (OBPs),
chemosensory proteins (CSPs), and odorant receptors (ORs) were closely correlated
with mating behavior. Comparison with ORs from other Dendrolimus and Lepidoptera
species led to the discovery of a group of ORs specific to Dendrolimus.
Furthermore, we identified several genes encoding OBPs and ORs that were
upregulated after mating in females; these genes may mediate the location of host
plants for oviposition via plant-emitted volatiles. This work will facilitate
functional research into D. punctatus chemosensory genes, provide information
about the relationship between chemosensory genes and important physiological
activities, and promote research into the mechanisms underlying insect olfactory
recognition.
PMID- 29375399
TI - Inflammatory and Oxidative Responses Induced by Exposure to Commonly Used e
Cigarette Flavoring Chemicals and Flavored e-Liquids without Nicotine.
AB - Background: The respiratory health effects of inhalation exposure to e-cigarette
flavoring chemicals are not well understood. We focused our study on the immuno
toxicological and the oxidative stress effects by these e-cigarette flavoring
chemicals on two types of human monocytic cell lines, Mono Mac 6 (MM6) and U937.
The potential to cause oxidative stress by these flavoring chemicals was assessed
by measuring the production of reactive oxygen species (ROS). We hypothesized
that the flavoring chemicals used in e-juices/e-liquids induce an inflammatory
response, cellular toxicity, and ROS production. Methods: Two monocytic cell
types, MM6 and U937 were exposed to commonly used e-cigarette flavoring
chemicals; diacetyl, cinnamaldehyde, acetoin, pentanedione, o-vanillin, maltol
and coumarin at different doses between 10 and 1,000 MUM. Cell viability and the
concentrations of the secreted inflammatory cytokine interleukin 8 (IL-8) were
measured in the conditioned media. Cell-free ROS produced by these commonly used
flavoring chemicals were also measured using a 2',7'dichlorofluorescein diacetate
probe. These DCF fluorescence data were expressed as hydrogen peroxide (H2O2)
equivalents. Cytotoxicity due to the exposure to selected e-liquids was assessed
by cell viability and the IL-8 inflammatory cytokine response in the conditioned
media. Results: Treatment of the cells with flavoring chemicals and flavored e
liquid without nicotine caused cytotoxicity dose-dependently. The exposed
monocytic cells secreted interleukin 8 (IL-8) chemokine in a dose-dependent
manner compared to the unexposed cell groups depicting a biologically significant
inflammatory response. The measurement of cell-free ROS by the flavoring
chemicals and e-liquids showed significantly increased levels of H2O2 equivalents
in a dose-dependent manner compared to the control reagents. Mixing a variety of
flavors resulted in greater cytotoxicity and cell-free ROS levels compared to the
treatments with individual flavors, suggesting that mixing of multiple flavors of
e-liquids are more harmful to the users. Conclusions: Our data suggest that the
flavorings used in e-juices can trigger an inflammatory response in monocytes,
mediated by ROS production, providing insights into potential pulmonary toxicity
and tissue damage in e-cigarette users.
PMID- 29375400
TI - Age-Related Changes in the Expression of the Circadian Clock Protein PERIOD in
Drosophila Glial Cells.
AB - Circadian clocks consist of molecular negative feedback loops that coordinate
physiological, neurological, and behavioral variables into "circa" 24-h rhythms.
Rhythms in behavioral and other circadian outputs tend to weaken during aging, as
evident in progressive disruptions of sleep-wake cycles in aging organisms.
However, less is known about the molecular changes in the expression of clock
genes and proteins that may lead to the weakening of circadian outputs. Western
blot studies have demonstrated that the expression of the core clock protein
PERIOD (PER) declines in the heads of aged Drosophila melanogaster flies. This
age-related decline in PER does not occur in the central pacemaker neurons but
has been demonstrated so far in retinal photoreceptors. Besides photoreceptors,
clock proteins are also expressed in fly glia, which play important roles in
neuronal homeostasis and are further categorized into subtypes based on
morphology and function. While previous studies of mammalian glial cells have
demonstrated the presence of functional clocks in astrocytes and microglia, it is
not known which glial cell types in Drosophila express clock proteins and how
their expression may change in aged individuals. Here, we conducted
immunocytochemistry experiments to identify which glial subtypes express PER
protein suggestive of functional circadian clocks. Glial cell subtypes that
showed night-time accumulation and day-time absence in PER consistent with
oscillations reported in the pacemaker neurons were selected to compare the level
of PER protein between young and old flies. Our data demonstrate that some glial
subtypes show rhythmic PER expression and the relative PER levels become dampened
with advanced age. Identification of glial cell types that display age-related
dampening of PER levels may help to understand the cellular changes that
contribute to the loss of homeostasis in the aging brain.
PMID- 29375402
TI - Mood Instability Is a Precursor of Relationship and Marital Difficulties: Results
from Prospective Data from the British Health and Lifestyle Surveys.
AB - The DSM system implies that affective instability is caused by reactivity to
interpersonal events. We used the British Health and Lifestyle Survey that
surveyed community residents in 1984 and again in 1991 to study competing
hypotheses: that mood instability (MI) leads to interpersonal difficulties or
vice versa. We analyzed data from 5,352 persons who participated in both waves of
the survey. Factor analysis of the Eysenck Personality Inventory neuroticism
scale was used to derive a 4-item scale for MI. We used depression measures that
were previously derived by factor analyzing the General Health Questionnaire. We
tested the competing hypotheses by regressing variables at follow-up against
baseline variables. The results showed that MI in 1984 clearly predicted the
development of interpersonal problems in 1991. After adjusting for depression,
depression becomes the main predictor of spousal difficulties, but MI remains a
predictor of interpersonal difficulties with family and friends. Attempts to
investigate the reverse hypothesis were ambiguous. The clinical implication is
that when MI and interpersonal problems are reported, the MI should be treated
first, or at least concurrently.
PMID- 29375401
TI - Neurological Soft Signs in Schizophrenia: An Update on the State- versus Trait
Perspective.
AB - Background: Neurological soft signs (NSS) represent minor neurological signs,
which indicate non-specific cerebral dysfunction. In schizophrenia, their
presence has been documented extensively across all stages of the disease. Until
recently, NSS were considered an endophenotype or a trait phenomenon. During the
past years, however, researchers report fluctuations of the NSS scores. Aims: To
further clarify the question whether NSS exhibit state or trait components or
both, studies that have investigated NSS longitudinally were reviewed. Method:
Studies which have assessed NSS longitudinally in adults suffering from
schizophrenia, were searched for. The time frame was January 1966 to June 2017.
Studies on teenagers were excluded because of interferences between brain
maturation and pathology. Results: Twenty-nine follow-up studies were identified.
They included patients during different stages of their illness and mainly used
established instruments for NSS assessment. Patients with a first episode or a
remitting course predominantly show a decrease of NSS over time, whereas a
worsening of NSS can be found in the chronically ill. It was shown that change of
NSS total scores over time is predominantly caused by motor system subscales and
to a lesser extent by sensory integration scales. With respect to medication, the
majority of studies agree on a relationship between medication response and
improvement of NSS while the type of antipsychotic does not seem to play a major
role. Moreover, where information on side-effects is given, it does not favor a
strong relationship with NSS. However, NSS seem to correlate with negative and
cognitive symptoms. Conclusion: Studies manifest a conformity regarding the
presence of NSS in schizophrenia patients on the one hand. On the other hand,
fluctuations of NSS scores have been widely described in subgroups. Taken
together results strongly support a state-trait dichotomy of NSS. Thus, the usage
of NSS as an endophenotype has to be called into question.
PMID- 29375403
TI - Stress and Disease Onset in Antineutrophil Cytoplasmic Antibody-Associated
Vasculitis.
AB - Objective: To explore the potential contribution of stress as a trigger for
disease onset in patients with antineutrophil cytoplasmic antibody (ANCA)
associated vasculitis (AAV). Methods: 53 AAV and 85 rheumatoid arthritis (RA)
patients as well as 53 healthy controls (HC) were thoroughly asked for the number
and impact of stressful life events, coping strategies, and available social
support 12 months prior to disease onset. Anxiety, depression, personality
dimensions, insomnia, and fatigue were also determined. Results: AAV patients
reported higher scoring of the impact of stressful life events compared to the RA
and HC group prior to disease onset (2.8 +/- 3.1 vs 1.8 +/- 2.1 vs 1.7 +/- 2.3, p
values: 0.047 and 0.053, respectively). While the number of reported stressful
events was found to be significantly higher in AAV vs RA patients but not HC,
certain coping strategies and social support features were more commonly
implemented by AAV patients compared to HC, but not RA patients. As far as
personality and other psychosocial characteristics, AAV patients displayed
significantly higher psychoticism traits compared to RA, with no other
differences being detected between AAV patients and both RA and HC. After
adjusting for potential cofounders, scoring of the impact of stressful life
events >3 was independently associated with AAV development compared to both RA
and HC [ORs (95% CI): 4.6 (1.6-13.4) and 4.4 (1.0-19.0), respectively].
Conclusion: The perceived impact of stressful life events prior to disease onset
emerged as a contributing factor for AAV development.
PMID- 29375405
TI - Assessing Social Cognition of Persons with Schizophrenia in a Chinese Population:
A Pilot Study.
AB - Social cognition is a core limiting factor of functional recovery among persons
with schizophrenia. However, there is a lack of standardized and culturally
relevant assessment tools for evaluating social cognitive performance in Chinese
persons with schizophrenia. The purposes of this study were to (1) develop and
validate two social cognitive instruments, the Chinese Facial Emotion
Identification Test (C-FEIT) and the Chinese Social Cognition and Screening
Questionnaire (C-SCSQ), that assess three key domains of social cognition and (2)
to evaluate preliminary psychometric properties of the two assessments. The
results demonstrated that the C-FEIT and the social cognitive subscales of C-SCSQ
possess satisfactory content-related validity and test-retest reliability (ICC
ranging from 0.76 to 0.85). Subscales of the C-FEIT and the C-SCSQ showed low to
medium correlation with two concurrent neurocognitive measures (absolute values
of r ranging from 0.22 to 0.45) and concurrent measures of functional performance
(absolute values of r ranging from 0.22 to 0.46). Our findings generally support
the use of the C-FEIT and the C-SCSQ as reliable and valid tools for assessing
emotion perception, theory of mind (intention-inferencing), and hostile
attributional style, which are the key outcome indicators of social cognitive
interventions for persons with schizophrenia.
PMID- 29375404
TI - Default Mode Network Aberrant Connectivity Associated with Neurological Soft
Signs in Schizophrenia Patients and Unaffected Relatives.
AB - Brain connectivity and neurological soft signs (NSS) are reportedly abnormal in
schizophrenia and unaffected relatives, suggesting they might be useful
neurobiological markers of the illness. NSS are discrete sensorimotor impairments
thought to correspond to deviant brain development. Although NSS support the
hypothesis that schizophrenia involves disruption in functional circuits
involving several hetero modal association areas, little is known about the
relationship between NSS and brain connectivity. We explored functional
connectivity abnormalities of the default mode network (DMN) related to NSS in
schizophrenia. A cross-sectional study was performed with 27 patients diagnosed
with schizophrenia, 23 unaffected relatives who were unrelated to the
schizophrenia subjects included in the study, and 35 healthy controls. Subjects
underwent magnetic resonance imaging scans including a functional resting-state
acquisition and NSS evaluation. Seed-to-voxel and independent component analyses
were used to study brain connectivity. NSS scores were significantly different
between groups, ranging from a higher to lower scores for patients, unaffected
relatives, and healthy controls, respectively (analysis of variance effect of
group F = 56.51, p < 0.001). The connectivity analysis revealed significant
hyperconnectivity in the fusiform gyrus, insular and dorsolateral prefrontal
cortices, inferior and middle frontal gyri, middle and superior temporal gyri,
and posterior cingulate cortex [minimum p-family wise error (FWE) < 0.05 for all
clusters] in patients with schizophrenia as compared with in controls. Also,
unaffected relatives showed hyperconnectivity in relation to controls in the
supramarginal association and dorsal posterior cingulate cortices (p-FWE < 0.05
for all clusters) in patients with schizophrenia as compared with in controls.
Also, unaffected relatives showed hyperconnectivity in relation to controls in
the supramarginal association and dorsal posterior cingulate cortices (p-FWE =
0.001) and in the anterior prefrontal cortex (42 voxels, p-FWE = 0.047). A
negative correlation was found between left caudate connectivity and NSS [p-FWE =
0.044, cluster size (k) = 110 voxels]. These findings support the theory of
widespread abnormal connectivity in schizophrenia, reinforcing DMN
hyperconnectivity and NSS as neurobiological markers of schizophrenia. The
results also indicate the caudate nucleus as the gateway to the motor
consequences of abnormal DMN connectivity.
PMID- 29375406
TI - DNA Methylation at the DAT Promoter and Risk for Psychopathology:
Intergenerational Transmission between School-Age Youths and Their Parents in a
Community Sample.
AB - Background: The effect of gene polymorphisms and promoter methylation, associated
with maladaptive developmental outcomes, vary depending on environmental factors
(e.g., parental psychopathology). Most studies have focused on 0- to 5-year-old
children, adolescents, or adults, whereas there is dearth of research on school
age youths and pre-adolescents. Methods: In a sample of 21 families recruited at
schools, we addressed parents' psychopathological symptoms (through SCL-90-R);
offspring emotional-behavioral functioning (through CBCL-6-18); dopamine
transporter gene (DAT1) for epigenetic status of the 5'-untranslated region (UTR)
and for genotype, i.e., variable number of tandem repeats polymorphism at the 3'
UTR. Possible associations were explored between bio-genetic and psychological
characteristics within the same individual and between triplets of children,
mothers, and fathers. Results: DAT methylation of CpG at positions M1, M6, and M7
in mothers was correlated with maternal (phobic) anxiety, whereas in fathers'
position M6 was related to paternal depression, anxiety, hostility, psychoticism,
and higher Global Severity Index (GSI). No significant correlations were found
between maternal and offspring DAT methylation. Significant correlations were
found between fathers' methylation at CpG M1 and children's methylation at CpG
M6. Linear regressions showed that mothers and fathers' GSI predicted children's
methylation at CpG sites M2, M3, and M6, whereas fathers' GSI predicted
children's methylation at CpG sites, particularly M1, M2, and M6. Moreover,
offspring methylation of DAT at CpG M2 predicted somatic complaint, internalizing
and attention problems; methylation of DAT at CpG M6 predicted withdraw.
Conclusion: This study may have important clinical implication for the prevention
and treatment of emotional-behavioral difficulties in children, as it adds to
previous knowledge about the role of genetic and environmental factors in
predicting psychopathological symptoms within non-clinical populations.
PMID- 29375407
TI - Antenatal Training with Music and Maternal Talk Concurrently May Reduce Autistic
Like Behaviors at around 3 Years of Age.
AB - Antenatal training through music and maternal talk to the unborn fetus is a topic
of general interest for parents-to-be in China, but we still lack a comprehensive
assessment of their effects on the development of autistic-like behaviors during
early childhood. During 2014-2016, 34,749 parents of children around the age of 3
years who were enrolled at kindergarten in the Longhua district of Shenzhen
participated in this study. Self-administered questionnaires regarding
demographics, antenatal music training, and maternal talk to the fetus during
pregnancy were completed by the children's primary caregivers. Autistic-like
behaviors were assessed using the Autism Behavioral Checklist. Tobit regression
analyses revealed that antenatal music training and maternal talk to the fetus
was associated with a reduction in autistic-like behaviors in children, with a
dose-dependent relationship. Furthermore, factorial analysis of covariance
indicated a significant interaction effect between antenatal music training and
maternal talk to the fetus on the autistic-like behaviors and found that children
who often experienced antenatal music training and maternal talk concurrently had
the lowest risk of autistic-like behaviors, while children who were never exposed
to maternal talk and only sometimes experienced antenatal music training had the
highest risk. Our results suggest that antenatal training through both music and
maternal talk to the unborn fetus might reduce the risk of children's autistic
like behaviors at around 3 years of age.
PMID- 29375409
TI - Systematic Observation: Relevance of This Approach in Preschool Executive
Function Assessment and Association with Later Academic Skills.
AB - Executive functions (EFs) are high-level cognitive processes that allow us to
coordinate our actions, thoughts, and emotions, enabling us to perform complex
tasks. An increasing number of studies have highlighted the role of EFs in
building a solid foundation for subsequent development and learning and shown
that EFs are associated with good adjustment and academic skills. The main
objective of this study was to analyze whether EF levels in 44 Spanish children
in the last year of preschool were associated with levels of literacy and math
skills the following year, that is, in the first year of compulsory education. We
used a multi-method design, which consisted of systematic observation to observe
preschool children during play and selective methodology to assess their reading,
writing, and math skills in the first year of compulsory primary education.
General linear modeling was used to estimate the percentage of variability in
academic skills in the first year of primary school that was explained by
preschool EF abilities. The results showed that preschool EF level, together with
participants and the instrument used to assess academic skills, explained 99% of
the variance of subsequent academic performance. Another objective was to
determine whether our findings were generalizable to the reference population. To
make this determination, we estimated the optimal sample size for assessing
preschool EFs. To do this, we performed a generalizability analysis. The
resulting generalizability coefficient showed that our sample of 44 students was
sufficient for assessing preschool EFs. Therefore, our results are generalizable
to the reference population. Our results are consistent with previous reports
that preschool EF abilities may be associated with subsequent literacy and math
skills. Early assessment of EFs may therefore contribute to identifying children
who are likely to experience later learning difficulties and guide the design of
suitable interventions for the optimization of EFs.
PMID- 29375408
TI - Mephedrone (4-Methylmethcathinone): Acute Behavioral Effects, Hyperthermic, and
Pharmacokinetic Profile in Rats.
AB - Mephedrone (MEPH) is a synthetic cathinone derivative with effects that mimic
MDMA and/or cocaine. Our study in male Wistar rats provides detailed
investigations of MEPH's and its primary metabolite nor-mephedrone's (nor-MEPH)
pharmacokinetics and bio-distribution to four different substrates (serum, brain,
lungs, and liver), as well as comparative analysis of their effects on locomotion
[open field test (OFT)] and sensorimotor gating [prepulse inhibition of acoustic
startle reaction (PPI ASR)]. Furthermore, in order to mimic the crowded condition
where MEPH is typically taken (e.g., clubs), the acute effect of MEPH on
thermoregulation in singly- and group-housed rats was evaluated. Pharmacokinetics
of MEPH and nor-MEPH after MEPH (5 mg/kg, sc.) were analyzed over 8 h using
liquid chromatography with mass spectrometry. MEPH (2.5, 5, or 20 mg/kg, sc.) and
nor-MEPH (5 mg/kg, sc.) were administered 5 or 40 min before the behavioral
testing in the OFT and PPI ASR; locomotion and its spatial distribution, ASR,
habituation and PPI itself were quantified. The effect of MEPH on rectal
temperature was measured after 5 and 20 mg/kg, sc. Both MEPH and nor-MEPH were
detected in all substrates, with the highest levels detected in lungs. Mean
brain: serum ratios were 1:1.19 (MEPH) and 1:1.91 (nor-MEPH), maximum
concentrations were observed at 30 min; at 2 and 4 h after administration, nor
MEPH concentrations were higher compared to the parent drug. While neither of the
drugs disrupted PPI, both increased locomotion and affected its spatial
distribution. The effects of MEPH were dose dependent, rapid, and short-lasting,
and the intensity of locomotor stimulant effects was comparable between MEPH and
nor-MEPH. Despite the disappearance of behavioral effects within 40 min after
administration, MEPH induced rectal temperature elevations that persisted for 3 h
even in singly housed rats. To conclude, we observed a robust, short-lasting, and
most likely synergistic stimulatory effect of both drugs which corresponded to
brain pharmacokinetics. The dissociation between the duration of behavioral and
hyperthermic effects is indicative of the possible contribution of nor-MEPH or
other biologically active metabolites. This temporal dissociation may be related
to the risk of prolonged somatic toxicity when stimulatory effects are no longer
present.
PMID- 29375410
TI - Math Anxiety Is Related to Some, but Not All, Experiences with Math.
AB - Math anxiety has been defined as unpleasant feelings of tension and anxiety that
hinder the ability to deal with numbers and math in a variety of situations.
Although many studies have looked at situational and demographic factors
associated with math anxiety, little research has looked at the self-reported
experiences with math that are associated with math anxiety. The present study
used a mixed-methods design and surveyed 131 undergraduate students about their
experiences with math through elementary school, junior high, and high school,
while also assessing math anxiety, general anxiety, and test anxiety. Some
reported experiences (e.g., support in high school, giving students plenty of
examples) were significantly related to the level of math anxiety, even after
controlling for general and test anxiety, but many other factors originally
thought to be related to math anxiety did not demonstrate a relation in this
study. Overall, this study addresses a gap in the literature and provides some
suggestive specifics of the kinds of past experiences that are related to math
anxiety and those that are not.
PMID- 29375411
TI - Electrocortical N400 Effects of Semantic Satiation.
AB - Semantic satiation is characterised by the subjective and temporary loss of
meaning after high repetition of a prime word. To study the nature of this
effect, previous electroencephalography (EEG) research recorded the N400, an ERP
component that is sensitive to violations of semantic context. The N400 is
characterised by a relative negativity to words that are unrelated vs. related to
the semantic context. The semantic satiation hypothesis predicts that the N400
should decrease with high repetition. However, previous findings have been
inconsistent. Because of these inconsistent findings and the shortcomings of
previous research, we used a modified design that minimises confounding effects
from non-semantic processes. We recorded 64-channel EEG and analysed the N400 in
a semantic priming task in which the primes were repeated 3 or 30 times.
Critically, we separated low and high repetition trials and excluded response
trials. Further, we varied the physical features (letter case and format) of
consecutive primes to minimise confounding effects from perceptual habituation.
For centrofrontal electrodes, the N400 was reduced after 30 repetitions (vs. 3
repetitions). Explorative source reconstructions suggested that activity
decreased after 30 repetitions in bilateral inferior temporal gyrus, the right
posterior section of the superior and middle temporal gyrus, right supramarginal
gyrus, bilateral lateral occipital cortex, and bilateral lateral orbitofrontal
cortex. These areas overlap broadly with those typically involved in the N400,
namely middle temporal gyrus and inferior frontal gyrus. The results support the
semantic rather than the perceptual nature of the satiation effect.
PMID- 29375412
TI - The Neural Basis of Social Influence in a Dictator Decision.
AB - Humans tend to reduce inequitable distributions. Previous neuroimaging studies
have shown that inequitable decisions are related to brain regions that
associated with negative emotion and signaling conflict. In the highly complex
human social environment, our opinions and behaviors can be affected by social
information. In current study, we used a modified dictator game to investigate
the effect of social influence on making an equitable decision. We found that the
choices of participants in present task was influenced by the choices of peers.
However, participants' decisions were influenced by equitable rather than
inequitable group choices. fMRI results showed that brain regions that related to
norm violation and social conflict were related to the inequitable social
influence. The neural responses in the dorsomedial prefrontal cortex, rostral
cingulate zone, and insula predicted subsequent conforming behavior in
individuals. Additionally, psychophysiological interaction analysis revealed that
the interconnectivity between the dorsal striatum and insula was elevated in
advantageous inequity influence versus no-social influence conditions. We found
decreased functional connectivity between the medial prefrontal cortex and
insula, supplementary motor area, posterior cingulate gyrus and dorsal anterior
cingulate cortex in the disadvantageous inequity influence versus no-social
influence conditions. This suggests that a disadvantageous inequity influence may
decrease the functional connectivity among brain regions that are related to
reward processes. Thus, the neural mechanisms underlying social influence in an
equitable decision may be similar to those implicated in social norms and reward
processing.
PMID- 29375413
TI - Steps to Ensure a Successful Implementation of Occupational Health and Safety
Interventions at an Organizational Level.
AB - There is increasing meta-analytic evidence that addresses the positive impact of
evidence-based occupational health and safety interventions on employee health
and well-being. However, such evidence is less clear when interventions are
approached at an organizational level and are aimed at changing organizational
policies and processes. Given that occupational health and safety interventions
are usually tailored to specific organizational contexts, generalizing and
transferring such interventions to other organizations is a complex endeavor. In
response, several authors have argued that an evaluation of the implementation
process is crucial for assessing the intervention's effectiveness and for
understanding how and why the intervention has been (un)successful. Thus, this
paper focuses on the implementation process and attempts to move this field
forward by identifying the main factors that contribute toward ensuring a greater
success of occupational health and safety interventions conducted at the
organizational level. In doing so, we propose some steps that can guide a
successful implementation. These implementation steps are illustrated using
examples of evidence-based best practices reported in the literature that have
described and systematically evaluated the implementation process behind their
interventions during the last decade.
PMID- 29375414
TI - Infants' Motor Proficiency and Statistical Learning for Actions.
AB - Prior research has shown that infants learn statistical regularities in action
sequences better than they learn non-action event sequences. This is consistent
with current theories claiming that the same mechanism guides action observation
and action execution. The current eye-tracking study tested the prediction, based
on these theories, that infants' ability to learn statistical regularities in
action sequences is modulated by their own motor abilities. Eight- to eleven
month-old infants observed an action sequence containing two deterministic action
pairs (i.e., action A always followed by action B) embedded within an otherwise
random sequence. One pair was performed with a whole-hand grasp. The second pair
was performed with a pincer grasp, a fine motor skill that emerges around 9
months of age. Infants were then categorized into groups according to which grasp
was dominant in their motor repertoire. Predictive looks to correct upcoming
actions during the deterministic pairs were analyzed to measure whether infants
learned and anticipated the sequence regularities. Findings indicate that infants
learned the statistical regularities: across motor groups, they made more correct
than incorrect predictive fixations to upcoming actions. Overall, learning was
not significantly modulated by their dominant grasping abilities. However,
infants with a dominant pincer grasp showed an earlier increase in correct
predictions for the pincer grasp pair and not the whole-hand grasp. Likewise,
infants with a dominant whole-hand grasp showed an early increase in correct
predictions for the pair performed with a whole-hand grasp, and not the pincer
grasp. Together, these findings suggest that infants' ability to learn action
sequences is facilitated when the observed action matches their own action
repertoire. However, findings cannot be explained entirely by motor accounts, as
infants also learned the actions less congruent with their own abilities.
Findings are discussed in terms of the interplay between the motor system and
additional non-motor resources during the acquisition of new motor skills in
infancy.
PMID- 29375415
TI - Well-being and Anticipation for Future Positive Events: Evidences from an fMRI
Study.
AB - Anticipation for future confers great benefits to human well-being and mental
health. However, previous work focus on how people's well-being correlate with
brain activities during perception of emotional stimuli, rather than anticipation
for the future events. Here, the current study investigated how well-being
relates to neural circuitry underlying the anticipating process of future desired
events. Using event-related functional magnetic resonance imaging, 40
participants were scanned while they were performing an emotion anticipation
task, in which they were instructed to anticipate the positive or neutral events.
The results showed that bilateral medial prefrontal cortex (MPFC) were activated
during anticipation for positive events relative to neutral events, and the
enhanced brain activation in MPFC was associated with higher level of well-being.
The findings suggest a neural mechanism by which the anticipation process to
future desired events correlates to human well-being, which provide a future
oriented view on the neural sources of well-being.
PMID- 29375416
TI - Spontaneous Brain Activity Did Not Show the Effect of Violent Video Games on
Aggression: A Resting-State fMRI Study.
AB - A great many of empirical researches have proved that longtime exposure to
violent video game can lead to a series of negative effects. Although research
has focused on the neural basis of the correlation between violent video game and
aggression, little is known whether the spontaneous brain activity is associated
with violent video game exposure. To address this question, we measured the
spontaneous brain activity using resting-state functional magnetic resonance
imaging (fMRI). We used the amplitude of low-frequency fluctuations (ALFF) and
fractional ALFF (fALFF) to quantify spontaneous brain activity. The results
showed there is no significant difference in ALFF, or fALFF, between violent
video game group and the control part, indicating that long time exposure to
violent video games won't significantly influence spontaneous brain activity,
especially the core brain regions such as execution control, moral judgment and
short-term memory. This implies the adverse impact of violent video games is
exaggerated.
PMID- 29375417
TI - Backward Dependencies and in-Situ wh-Questions as Test Cases on How to Approach
Experimental Linguistics Research That Pursues Theoretical Linguistics Questions.
AB - The empirical study of language is a young field in contemporary linguistics.
This being the case, and following a natural development process, the field is
currently at a stage where different research methods and experimental approaches
are being put into question in terms of their validity. Without pretending to
provide an answer with respect to the best way to conduct linguistics related
experimental research, in this article we aim at examining the process that
researchers follow in the design and implementation of experimental linguistics
research with a goal to validate specific theoretical linguistic analyses. First,
we discuss the general challenges that experimental work faces in finding a
compromise between addressing theoretically relevant questions and being able to
implement these questions in a specific controlled experimental paradigm. We
discuss the Granularity Mismatch Problem (Poeppel and Embick, 2005) which
addresses the challenges that research that is trying to bridge the
representations and computations of language and their
psycholinguistic/neurolinguistic evidence faces, and the basic assumptions that
interdisciplinary research needs to consider due to the different conceptual
granularity of the objects under study. To illustrate the practical implications
of the points addressed, we compare two approaches to perform linguistic
experimental research by reviewing a number of our own studies strongly grounded
on theoretically informed questions. First, we show how linguistic phenomena
similar at a conceptual level can be tested within the same language using
measurement of event-related potentials (ERP) by discussing results from two ERP
experiments on the processing of long-distance backward dependencies that involve
coreference and negative polarity items respectively in Dutch. Second, we examine
how the same linguistic phenomenon can be tested in different languages using
reading time measures by discussing the outcome of four self-paced reading
experiments on the processing of in-situ wh-questions in Mandarin Chinese and
French. Finally, we review the implications that our findings have for the
specific theoretical linguistics questions that we originally aimed to address.
We conclude with an overview of the general insights that can be gained from the
role of structural hierarchy and grammatical constraints in processing and the
existing limitations on the generalization of results.
PMID- 29375418
TI - Influences of Product Temperature on Emotional Responses to, and Sensory
Attributes of, Coffee and Green Tea Beverages.
AB - Coffee and green tea are popular beverages consumed at both hot and cold
temperatures. When people consume hot beverages concurrently with other
activities, they may experience at different temperatures over the period of
consumption. However, there has been limited research investigating the effects
of product temperatures on emotional responses and sensory attributes of
beverages. This study aimed to determine whether emotional responses to, and
sensory attributes of, brewed coffee and green tea vary as a function of sample
temperature. Using a check-all-that-apply (CATA) method, 157 participants (79 for
coffee and 78 for green tea) were asked to evaluate either coffee or green tea
samples served at cold (5 degrees C), ambient (25 degrees C), and hot (65 degrees
C) temperatures with respect to emotional responses and sensory attributes. The
results showed that sample temperature could have significant influences on
emotional responses to, and sensory attributes of, coffee and green tea samples.
More specifically, 6 and 18 sensory attributes of coffee and green tea samples,
respectively, significantly differed with sample temperature. Beverage samples
evaluated at 65 degrees C were characterized, regardless of activation/arousal
level, by positive emotional responses terms and favorable sensory attributes.
While beverages evaluated at 25 degrees C were associated more with negative
emotional responses with low activation/arousal, those evaluated at 5 degrees C
were more frequently characterized as having negative emotional responses with
high activation/arousal. Sensory and emotional drivers of liking for both coffee
and green tea differed both with sample temperature and gender. While both
emotional responses and sensory attributes were identified as drivers of liking
among females, only emotional responses were identified as drivers of liking
among males. In conclusion, this study provides empirical evidence that both
emotional responses to, and sensory attributes of, coffee and green tea beverages
can vary with sample temperatures. To provide a better understanding of product
characteristics, emotional responses to, and sensory attributes of, coffee or
green tea beverages should be tested over a wider range of product temperatures.
PMID- 29375419
TI - Reflective and Non-conscious Responses to Exercise Images.
AB - Images portraying exercise are commonly used to promote exercise behavior and to
measure automatic associations of exercise (e.g., via implicit association
tests). The effectiveness of these promotion efforts and the validity of
measurement techniques partially rely on the untested assumption that the images
being used are perceived by the general public as portrayals of exercise that is
pleasant and motivating. The aim of this study was to investigate how content of
images impacted people's automatic and reflective evaluations of exercise images.
Participants (N = 90) completed a response time categorization task (similar to
the implicit association test) to capture how automatically people perceived each
image as relevant to Exercise or Not exercise. Participants also self-reported
their evaluations of the images using visual analog scales with the anchors:
Exercise/Not exercise, Does not motivate me to exercise/Motivates me to exercise,
Pleasant/Unpleasant, and Energizing/Deactivating. People tended to more strongly
automatically associate images with exercise if the images were of an outdoor
setting, presented sport (as opposed to active labor or gym-based) activities,
and included young (as opposed to middle-aged) adults. People tended to
reflectively find images of young adults more motivating and relevant to exercise
than images of older adults. The content of exercise images is an often
overlooked source of systematic variability that may impact measurement validity
and intervention effectiveness.
PMID- 29375420
TI - Rapid L2 Word Learning through High Constraint Sentence Context: An Event-Related
Potential Study.
AB - Previous studies have found quantity of exposure, i.e., frequency of exposure
(Horst et al., 1998; Webb, 2008; Pellicer-Sanchez and Schmitt, 2010), is
important for second language (L2) contextual word learning. Besides this factor,
context constraint and L2 proficiency level have also been found to affect
contextual word learning (Pulido, 2003; Tekmen and Daloglu, 2006; Elgort et al.,
2015; Ma et al., 2015). In the present study, we adopted the event-related
potential (ERP) technique and chose high constraint sentences as reading
materials to further explore the effects of quantity of exposure and proficiency
on L2 contextual word learning. Participants were Chinese learners of English
with different English proficiency levels. For each novel word, there were four
high constraint sentences with the critical word at the end of the sentence.
Learners read sentences and made semantic relatedness judgment afterwards, with
ERPs recorded. Results showed that in the high constraint condition where each
pseudoword was embedded in four sentences with consistent meaning, N400 amplitude
upon this pseudoword decreased significantly as learners read the first two
sentences. High proficiency learners responded faster in the semantic relatedness
judgment task. These results suggest that in high quality sentence contexts, L2
learners could rapidly acquire word meaning without multiple exposures, and L2
proficiency facilitated this learning process.
PMID- 29375421
TI - The Influence of Personal Well-Being on Learning Achievement in University
Students Over Time: Mediating or Moderating Effects of Internal and External
University Engagement.
AB - The current study examined the relationship between students' personal well-being
and their learning achievement during university study, and whether such
relationship would be mediated or moderated by university engagement. A total of
434 university students from one public university in Hong Kong participated in
the study. The participants completed an online survey consisting of personal
well-being (cognitive behavioral competence and general positive youth
development), university engagement, and learning achievement measures (personal
growth, and accumulated GPA as academic achievement) at four time points with a 1
year interval. Results showed that personal well-being measured at the beginning
of university study positively predicted students' personal growth and academic
achievement after 3 years' study. While the internal dimensions of university
engagement (academic challenge and learning with peers) showed longitudinal
significant mediational effect, the external dimensions (experience with faculty
and campus environment) did not have significant longitudinal moderating effect.
Nevertheless, external dimensions of student engagement also showed direct effect
on personal growth and academic achievement. The long-standing positive effects
of personal well-being on university engagement and subsequently, learning
achievement during university years call for more attention to the promotion of
holistic development among university students in Hong Kong.
PMID- 29375422
TI - Etiological Beliefs, Treatments, Stigmatizing Attitudes toward Schizophrenia.
What Do Italians and Israelis Think?
AB - Background: Although scientific research on the etiology of mental disorders has
improved the knowledge of biogenetic and psychosocial aspects related to the
onset of mental illness, stigmatizing attitudes and behaviors are still very
prevalent and pose a significant social problem. Aim: The aim of this study was
to deepen the knowledge of how attitudes toward people with mental illness are
affected by specific personal beliefs and characteristics, such as culture and
religion of the perceiver. More precisely, the main purpose is the definition of
a structure of variables, namely perceived dangerousness, social closeness, and
avoidance of the ill person, together with the beliefs about the best treatment
to be undertaken and the sick person' gender, capable of describing the
complexity of the stigma construct in particular as far as schizophrenia is
concerned. Method: The study involved 305 university students, 183 from the
University of Padua, Italy, and 122 from the University of Haifa, Israel. For the
analyses, a latent class analysis (LCA) approach was chosen to identify a latent
categorical structure accounting for the covariance between the observed
variables. Such a latent structure was expected to be moderated by cultural
background (Italy versus Israel) and religious beliefs, whereas causal beliefs,
recommended treatment, dangerousness, social closeness, and public avoidance were
the manifest variables, namely the observed indicators of the latent variable.
Results: Two sets of results were obtained. First, the relevance of the manifest
variables as indicators of the hypothesized latent variable was highlighted.
Second, a two-latent-class categorical dimension represented by prejudicial
attitudes, causal beliefs, and treatments concerning schizophrenia was found.
Specifically, the differential effects of the two cultures and the religious
beliefs on the latent structure and their relations highlighted the relevance of
the observed variables as indicators of the expected latent variable. Conclusion:
The present study contributes to the improvement of the understanding of how
attitudes toward people with mental illness are affected by specific personal
beliefs and characteristics of the perceiver. The definition of a structure of
variables capable of describing the complexity of the stigma construct in
particular as far as schizophrenia is concerned was achieved from a cross
cultural perspective.
PMID- 29375423
TI - Effect of Passive Hyperthermia on Working Memory Resources during Simple and
Complex Cognitive Tasks.
AB - The aim of this study was to verify the hypothesis that hyperthermia represents a
cognitive load limiting available resources for executing concurrent cognitive
tasks. Electroencephalographic activity (EEG: alpha and theta power) was obtained
in 10 hyperthermic participants in HOT (50 degrees C, 50% RH) conditions and in a
normothermic state in CON (25 degrees C, 50% RH) conditions in counterbalanced
order. In each trial, EEG was measured over the frontal lobe prior to task
engagement (PRE) in each condition and during simple (One Touch Stockings of
Cambridge, OTS-4) and complex (OTS-6) cognitive tasks. Core (39.5 +/- 0.5 vs.
36.9 +/- 0.2 degrees C) and mean skin (39.06 +/- 0.3 vs. 31.6 +/- 0.6 degrees C)
temperatures were significantly higher in HOT than CON (p < 0.005). Theta power
significantly increased with task demand (p = 0.017, eta2 = 0.36) and was
significantly higher in HOT than CON (p = 0.041, eta2 = 0.39). The difference
between HOT and CON was large (eta2 = 0.40) and significant (p = 0.036) PRE,
large (eta2 = 0.20) but not significant (p = 0.17) during OTS-4, and disappeared
during OTS-6 (p = 0.87, eta2 = 0.00). Those changes in theta power suggest that
hyperthermia may act as an additional cognitive load. However, this load
disappeared during OTS-6 together with an impaired performance, suggesting a
potential saturation of the available resources.
PMID- 29375424
TI - Consequences of Team Job Demands: Role Ambiguity Climate, Affective Engagement,
and Extra-Role Performance.
AB - In the absence of clearly established procedures in the workplace, employees will
experience a negative affective state. This situation influences their well-being
and their intention to behave in ways that benefit the organization beyond their
job demands. This impact is more relevant on teamwork where members share the
perception of ambiguity through emotional contagion (role ambiguity climate). In
the framework of the job demands-resources model, the present study analyzes how
high levels of role ambiguity climate can have such an effect to reduce employee
affective engagement. Over time it has been associated with negative results for
the organization due to a lack of extra-role performance. The sample included 706
employees from a multinational company, who were divided into 11 work teams. In
line with the formulated hypotheses, the results confirm the negative influence
of the role ambiguity climate on extra-role performance, and the mediated effect
of affective engagement in the relationship between the role ambiguity climate
and extra-role performance. These findings indicate that the role ambiguity
climate is related to the adequate or inadequate functioning of employees within
a work context.
PMID- 29375425
TI - Quality of Maternal Parenting of 9-Month-Old Infants Predicts Executive Function
Performance at 2 and 3 Years of Age.
AB - Whereas the effects of maternal parenting quality during infants' 2nd year on
later executive function (EF) have been studied extensively, less is known about
the impact of maternal parenting quality during the 1st year. The aim of this
study was to examine whether maternal parenting during infants' 1st year
predicted EF performance at 2 and 3 years of age in a Chinese sample. Data were
collected from 96 mother-infant dyads (42 males) when the infants were 6, 9, 25,
and 38 months old. Cognitive development as a control variable was measured with
the Bayley Scales of Infant Development II at 6 months. At 9 months, three
aspects of maternal parenting quality (sensitivity, mind-mindedness, and
encouragement of autonomy) were assessed with MBQS, mind-mindedness coding
system, and encouragement of autonomy coding schema within a 15-min mother-infant
interaction. Three aspects of EF (working memory, inhibitory control, and delay
EF) were measured at 25 and 38 months with age-appropriate tasks. Hierarchical
regression analysis showed that maternal mind-mindedness had a more important
effect than did the encouragement of autonomy and maternal sensitivity during
infants' preverbal period. More precisely, maternal mind-mindedness at 9 months
predicted inhibitory control at 2 and 3 years, and maternal encouragement of
autonomy predicted performance on delay EF tasks at 3 years, maternal sensitivity
had no observed effect on children's EF. This study suggests that maternal
parenting quality during the 1st year (maternal mind-mindedness and encouragement
of autonomy, but not maternal sensitivity) impacts later EF development.
PMID- 29375426
TI - Affordances and Landscapes: Overcoming the Nature-Culture Dichotomy through Niche
Construction Theory.
AB - In this paper we reject the nature-culture dichotomy by means of the idea of
affordance or possibility for action, which has important implications for
landscape theory. Our hypothesis is that, just as the idea of affordance can
serve to overcome the subjective-objective dichotomy, the ideas of landscape and
ecological niche, properly defined, would allow us to also transcend the nature
culture dichotomy. First, we introduce an overview of landscape theory,
emphasizing processual landscape theory as the most suitable approach for
satisfying both cultural and naturalist approaches. After that, we introduce the
idea of affordance and we analyze a tension between sociocultural and
transcultural affordances (affordances that depend on cultural conventions and
affordances that depend on lawful information and bodily aspects of agents). This
tension has various implications for landscape theory and ecological niches. Our
proposal is that sociocultural and transcultural aspects of affordances could be
systematically accommodated if we apply niche construction theory (the theory
that explains the process by which organisms modify their selective environments)
as a methodological framework for explaining the emergence of ecological niches.
This approach will lead us to an integrative account of landscapes as the
products of the interaction between human and environmental elements, making it a
clear example of a concept that transcends the nature-culture dichotomy.
PMID- 29375427
TI - Measuring the Psychological Distance between an Organization and Its Members-The
Construction and Validation of a New Scale.
AB - There exists a lack of specific research methods to estimate the relationship
between an organization and its employees, which has long challenged research in
the field of organizational management. Therefore, this article introduces
psychological distance concept into the research of organizational behavior,
which can define the concept of psychological distance between employees and an
organization and describe a level of perceived correspondence or interaction
between subjects and objects. We developed an employee-organization psychological
distance (EOPD) scale through both qualitative and quantitative analysis methods.
As indicated by the research results based on grounded theory (10 employee in
depth interview records and 277 opening questionnaires) and formal investigation
(544 questionnaires), this scale consists of six dimensions: experiential
distance, behavioral distance, emotional distance, cognitive distance, spatial
temporal distance, and objective social distance based on 44 items. Finally, we
determined that the EOPD scale exhibited acceptable reliability and validity
using confirmatory factor analysis. This research may establish a foundation for
future research on the measurement of psychological relationships between
employees and organizations.
PMID- 29375428
TI - An Intervention to Optimize Coach Motivational Climates and Reduce Athlete
Willingness to Dope (CoachMADE): Protocol for a Cross-Cultural Cluster Randomized
Control Trial.
AB - Field-based anti-doping interventions in sport are scarce and focus on athletes.
However, coaches are recognized as one of the most significant source of
influence in terms of athletes' cognitions, affect, and behavior. In this paper,
we present the protocol for a cluster randomized control trial which aims to
contrast the relative effects of a 'motivation and anti-doping' intervention
program for coaches against an information-based anti-doping control program. In
developing the motivation content of our intervention, we drew from Self
Determination Theory. The project is currently ongoing in Australia and has
recently started in the United Kingdom and Greece. We aim to recruit 120 coaches
and approximately 1200 of their athletes across the three countries. Various
assessments will be taken from both coaches and athletes prior to the
intervention, immediately after the 12-week intervention and at a 2-month follow
up. The intervention comprises face-to-face workshops and weekly activities which
are supported by printed and online material. The project aims to identify
communication strategies that coaches can use to support athletes' motivation in
sport and also to promote self-determined reasons for athletes to comply with
doping regulations. Trial Registration: The trial is registered with the
Australia and New Zealand Clinical Trials Registry (ANZCTR): ACTRN12616001688471.
PMID- 29375429
TI - Can Music Foster Learning - Effects of Different Text Modalities on Learning and
Information Retrieval.
AB - This study investigates the possibilities of fostering learning based on
differences in recall and comprehension after learning with texts which were
presented in one of three modalities: either in a spoken, written, or sung
version. All three texts differ regarding their processing, especially when
considering working memory. Overall, we assume the best recall performance after
learning with the written text and the best comprehension performance after
learning with the sung text, respectively, compared to both other text
modalities. We also analyzed whether the melody of the sung material functions as
a mnemonic aid for the learners in the sung text condition. If melody and text of
the sung version are closely linked, presentation of the melody during the post
test phase could foster text retrieval. 108 students either learned from a sung
text performed by a professional singer, a printed text, or the same text read
out loud. Half of the participants worked on the post-test while listening to the
melody used for the musical learning material and the other half did not listen
to a melody. The written learning modality led to significantly better recall
than with the spoken (d = 0.97) or sung text (d = 0.78). However, comprehension
after learning with the sung modality was significantly superior compared to when
learning with the written learning modality (d = 0.40). Reading leads to more
focus on details, which is required to answer recall questions, while listening
fosters a general understanding of the text, leading to higher levels of
comprehension. Listening to the melody during the post-test phase negatively
affected comprehension, irrespective of the modality during the learning phase.
This can be explained by the seductive detail effect, as listening to the melody
during the post-test phase may distract learners from their main task. In
closing, theoretical and practical implications are discussed.
PMID- 29375431
TI - A Computerized Version of the Scrambled Sentences Test.
AB - The scrambled sentences test (SST), an experimental procedure that involves
participants writing down their cognitions, has been used to elicit individual
differences in depressiveness and vulnerability to depression. We describe here a
modification of the SST to adapt it to computerized administration, with a
particular view of its use in large samples and functional neuroimaging
applications. In a first study with the computerized version, we reproduce the
preponderance of positive cognitions in the healthy and the inverse association
of these cognitions with individual measures of depressiveness. We also report a
tendency of self-referential cognitions to elicit higher positive cognition
rates. In a second study, we describe the patterns of neural activations elicited
by emotional and neutral sentences in a functional neuroimaging study, showing
that it replicates and extends previous findings obtained with the original
version of the SST. During the formation of emotional cognitions, ventral areas
such as the ventral anterior cingulus and the supramarginal gyrus were relatively
activated. This activation pattern speaks for the recruitment of mechanisms
coordinating motivational and associative processes in the formation of value
based decisions.
PMID- 29375430
TI - Altered Neural Activity during Irony Comprehension in Unaffected First-Degree
Relatives of Schizophrenia Patients-An fMRI Study.
AB - Irony is a type of figurative language in which the literal meaning of the
expression is the opposite of what the speaker intends to communicate. Even
though schizophrenic patients are known as typically impaired in irony
comprehension and in the underlying neural functions, to date no one has explored
the neural correlates of figurative language comprehension in first-degree
relatives of schizophrenic patients. In the present study, we examined the neural
correlates of irony understanding in schizophrenic patients and in unaffected
first-degree relatives of patients compared to healthy adults with functional
MRI. Our aim was to investigate if possible alterations of the neural circuits
supporting irony comprehension in first-degree relatives of patients with
schizophrenia would fulfill the familiality criterion of an endophenotype. We
examined 12 schizophrenic patients, 12 first-degree relatives of schizophrenia
patients and 12 healthy controls with functional MRI while they were performing
irony and control tasks. Different phases of irony processing were examined, such
as context processing and ironic statement comprehension. Patients had
significantly more difficulty understanding irony than controls or relatives.
Patients also showed markedly different neural activation pattern compared to
controls in both stages of irony processing. Although no significant differences
were found in the performance of the irony tasks between the control group and
the relative group, during the fMRI analysis, the relatives showed stronger brain
activity in the left dorsolateral prefrontal cortex during the context processing
phase of irony tasks than the control group. However, the controls demonstrated
higher activations in the left dorsomedial prefrontal cortex and in the right
inferior frontal gyrus during the ironic statement phase of the irony tasks than
the relative group. Our results show that despite good task performance, first
degree relatives of schizophrenia patients had alterations in the neural circuits
during irony processing. Thus, we suggest that neural alteration of irony
comprehension could be a potential endophenotypic marker of schizophrenia.
PMID- 29375432
TI - The Confluence of Perceiving and Thinking in Consciousness Phenomenology.
AB - The processual relation of thinking and perceiving shall be examined from a
historical perspective as well as on the basis of methodically conducted first
person observation. Historically, these two psychological aspects of human
knowledge and corresponding philosophical positions have predominant alternating
phases. At certain historical points, thinking and perceiving tend to converge,
while in the interim phases they seem to diverge with an emphasis on one of them.
While at the birth of modern science, for instance, these two forms of mental
life were deeply interlinked, today they seem to be separated more than ever
before - as a number of scientific crises have shown. Turning from the outer to
the inner aspect of this issue, a phenomenological view becomes relevant. In
terms of the consciousness phenomenology developed by Steiner (1861-1925) and
Witzenmann's (1905-1988) Structure Phenomenology, this article will show how a
methodical integration of thinking and perceiving can be carried out on the basis
of first-person observation. In the course of a skilled introspective or
meditative self-observation the individual's own mental micro-actions of
separating and integrating come into view, jointly constituting what we usually
call thinking and perceiving. Consequently, this approach includes a conceptual
as well as a perceptual dimension the experimental confluence of which ties in
with the methodological core principle of modern natural science. At the same
time, making this principle explicit may open the way to a further development of
human consciousness and its scientific delineation.
PMID- 29375434
TI - The Effects of Organizational Justice on Positive Organizational Behavior:
Evidence from a Large-Sample Survey and a Situational Experiment.
AB - Employees' positive organizational behavior (POB) is not only to promote
organizational function but also improve individual and organizational
performance. As an important concept in organizational research, organizational
justice is thought to be a universal predictor of employee and organizational
outcomes. The current set of two studies examined the effects of organizational
justice (OJ) on POB of employees with two different studies, a large-sample
survey and a situational experiment. In study 1, a total of 2,566 employees from
45 manufacturing enterprises completed paper-and-pencil questionnaires assessing
organizational justice (OJ) and positive organizational behavior (POB) of
employees. In study 2, 747 employees were randomly sampled to participate in the
situational experiment with 2 * 2 between-subjects design. They were asked to
read one of the four situational stories and to image that this situation happen
to the person in the story or them, and then they were asked to imagine how the
person in the story or they would have felt and what the person or they
subsequently would have done. The results of study 1 suggested that OJ was
correlated with POB of employees and OJ is a positive predictor of POB. The
results of study 2 suggested that OJ had significant effects on POB and negative
organizational behavior (NOB). Procedural justice accounted for significantly
more variance than distributive justice in POB of employees. Distributive justice
and procedural justice have different influences on POB and NOB in terms of
effectiveness and direction. The effect of OJ on POB was greater than that of
NOB. In addition, path analysis indicated that the direct effect of OJ on POB was
smaller than its indirect effect. Thus, many intermediary effects could possibly
be between them.
PMID- 29375433
TI - Trajectories of Early Childhood Developmental Skills and Early Adolescent
Psychotic Experiences: Findings from the ALSPAC UK Birth Cohort.
AB - Objective: The aim of this study was to use prospective data from the Avon
Longitudinal Study of Parents and Children (ALSPAC) to examine association
between trajectories of early childhood developmental skills and psychotic
experiences (PEs) in early adolescence. Method: This study examined data from n =
6790 children from the ALSPAC cohort who participated in a semi-structured
interview to assess PEs at age 12. Child development was measured using parental
report at 6, 18, 30, and 42 months of age using a questionnaire of items adapted
from the Denver Developmental Screening Test - II. Latent class growth analysis
was used to generate trajectories over time for measures of fine and gross motor
development, social, and communication skills. Logistic regression was used to
investigate associations between developmental trajectories in each of these
early developmental domains and PEs at age 12. Results: The results provided
evidence that decline rather than enduringly poor social (adjusted OR = 1.28, 95%
CI = 1.10-1.92, p = 0.044) and communication skills (adjusted OR 1.12, 95% CI =
1.03-1.22, p = 0.010) is predictive of suspected or definite PEs in early
adolescence, than those with stable and/or improving skills. Motor skills did not
display the same pattern of association; although gender specific effects
provided evidence that only declining pattern of fine motor skills was associated
with suspected and definite PEs in males compared to females (interaction OR =
1.47, 95% CI = 1.09-1.97, p = 0.012). Conclusion: Findings suggest that decline
rather than persistent impairment in social and communication skills were most
predictive of PEs in early adolescence. Findings are discussed in terms of
study's strengths, limitations, and clinical implications.
PMID- 29375435
TI - An Experimental Evaluation of Competing Age-Predictions of Future Time
Perspective between Workplace and Retirement Domains.
AB - Future time perspective (FTP) is defined as "perceptions of the future as being
limited or open-ended" (Lang and Carstensen, 2002; p. 125). The construct figures
prominently in both workplace and retirement domains, but the age-predictions are
competing: Workplace research predicts decreasing FTP age-change, in contrast,
retirement scholars predict increasing FTP age-change. For the first time, these
competing predictions are pitted in an experimental manipulation of subjective
life expectancy (SLE). A sample of N = 207 older adults (age 45-60) working full
time (>30-h/week) were randomly assigned to SLE questions framed as either 'Live
to' or 'Die-by' to evaluate competing predictions for FTP. Results indicate
general support for decreasing age-change in FTP, indicated by independent-sample
t-tests showing lower FTP in the 'Die-by' framing condition. Further general
linear model analyses were conducted to test for interaction effects of
retirement planning with experimental framings on FTP and intended retirement;
While retirement planning buffered FTP's decrease, simple-effects also revealed
that retirement planning increased intentions for sooner retirement, but lack of
planning increased intentions for later retirement. Discussion centers on
practical implications of our findings and consequences validity evidence in
future empirical research of FTP in both workplace and retirement domains.
PMID- 29375436
TI - How Person-Organization Fit Impacts Employees' Perceptions of Justice and Well
Being.
AB - Regulatory fit theory predicts that when individuals adopt strategies that
sustain their motivational orientations, they feel right about what is happening.
Our aim was to test these predictions at the person-organization level. Across
three studies, we expected and found that a feeling right experience that results
from a match between an employee and an organizational climate produces
perceptions that the company's prevailing procedures are fair. In Study 1 (N =
300), a survey among employees of distinct companies, we observed that the more
organizational characteristics matched individual promotion and prevention focus
of the employees, the more the employees perceived their workplace as just. Study
2 (N = 139), a randomized-control experiment, replicated this pattern by
demonstrating that individuals with a predominant promotion focus assigned
fairness to the organizational conduct most strongly when they recalled events
characterizing a promotion-oriented environment; on the contrary, individuals
with a predominant prevention focus deemed their workplace most fair when they
were asked to recall prevention-related conduct of their company. In Study 3 (N =
376), a cross-sectional field study, we found that regulatory non-fit was
associated with lower procedural justice perceptions and this, in turn, related
to higher burnout. Theoretical and practical implications of applying regulatory
fit theory to person-organization relationships are discussed.
PMID- 29375437
TI - Residents' Dissatisfaction and All-Cause Mortality. Evidence from 74 European
Cities.
AB - Background: About 2/3 of the Europeans reside in cities. Thus, we must expand our
knowledge on how city characteristics affect health and well-being. Perceptions
about cities' resources and functioning might be related with health, as they
capture subjective experiences of the residents. We characterized the health
status of 74 European cities, using all-cause mortality as indicator, and
investigated the association of mortality with residents' dissatisfaction with
key domains of urban living. Methods: We considered 74 European cities from 29
countries. Aggregated data on residents' dissatisfaction was obtained from the
Flash Eurobarometer, Quality of life in European cities (2004-2015). For each
city a global dissatisfaction score and a dissatisfaction score by domain
(environment, social, economic, healthcare, and infrastructures/services) were
calculated. Data on mortality and population was obtained from the Eurostat.
Standardized Mortality Ratios, SMR, and 95% Confidence Intervals (95% CI) were
calculated. The association between dissatisfaction scores and SMR was estimated
using Generalized Linear Models. Results: SMR varied markedly (range: 73.2
146.5), being highest in Eastern Europe and lowest in the South and Western
European cities. Residents' dissatisfaction levels also varied greatly. We found
a significant association between city SMR and residents' dissatisfaction with
healthcare (beta = 0.334; IC 95% 0.030-0.639) and social environment (beta =
0.239; IC 95% 0.015-0.464). No significant association was found with the
dissatisfaction scores related with the physical and economic environment and the
infrastructures/services. Conclusions: We found a significant association between
city levels of mortality and residents' dissatisfaction with certain urban
features, suggesting subjective assessments can be also used to comprehend urban
health.
PMID- 29375438
TI - Measuring Perceptual Consciousness.
PMID- 29375439
TI - Association between Social Anxiety and Visual Mental Imagery of Neutral Scenes:
The Moderating Role of Effortful Control.
AB - According to cognitive theories, verbal processing attenuates emotional
processing, whereas visual imagery enhances emotional processing and contributes
to the maintenance of social anxiety. Individuals with social anxiety report
negative mental images in social situations. However, the general ability of
visual mental imagery of neutral scenes in individuals with social anxiety is
still unclear. The present study investigated the general ability of non
emotional mental imagery (vividness, preferences for imagery vs. verbal
processing, and object or spatial imagery) and the moderating role of effortful
control in attenuating social anxiety. The participants (N = 231) completed five
questionnaires. The results showed that social anxiety was not necessarily
associated with all aspects of mental imagery. As suggested by theories, social
anxiety was not associated with a preference for verbal processing. However,
social anxiety was positively correlated with the visual imagery scale,
especially the object imagery scale, which concerns the ability to construct
pictorial images of individual objects. Further, it was negatively correlated
with the spatial imagery scale, which concerns the ability to process information
about spatial relations between objects. Although object imagery and spatial
imagery positively and negatively predicted the degree of social anxiety,
respectively, these effects were attenuated when socially anxious individuals had
high effortful control. Specifically, in individuals with high effortful control,
both object and spatial imagery were not associated with social anxiety. Socially
anxious individuals might prefer to construct pictorial images of individual
objects in natural scenes through object imagery. However, even in individuals
who exhibit these features of mental imagery, effortful control could inhibit the
increase in social anxiety.
PMID- 29375440
TI - An Analysis of the Associations among Cognitive Impulsiveness, Reasoning Process,
and Rational Decision Making.
AB - Impulsivity may lead to several unfortunate consequences and maladaptive
behaviors for both clinical and nonclinical people. It has a key role in many
forms of psychopathology. Although literature has discussed the negative impact
of impulsivity, few have emphasized the relationship between cognitive
impulsiveness and decision making. The aim of this study is to investigate the
effects of cognitive impulsiveness on decision making and explore the strategies
used by participants to solve problems. For this purpose, we apply two measures
of impulsivity: the self-report Barratt Impulsiveness Scale (BIS-11) and the
performance based Cognitive Reflection Test (CRT). Moreover, we evaluate
participants' reasoning processes employed to answer CRT questions based on the
calculation expressions, data organization, and erasures they made while
answering the CRT (note that we utilized the instruments using pen and paper).
These reasoning processes are related to the role of executive functions in
decision making, and its relationship with impulsiveness. The sample consists of
191 adults, who were either professionals or undergraduate students from the
fields of business, management, or accounting. The results show that cognitive
impulsiveness may negatively affect decision making, and that those who presented
the calculation to answer the CRT questions made better decisions. Moreover,
there was no difference in the strategies used by impulsive vs. nonimpulsive
participants during decision making. Finally, people who inhibited their
immediate answers to CRT questions performed better during decision making.
PMID- 29375441
TI - Comparing Repetition Priming Effects in Words and Arithmetic Equations: Robust
Priming Regardless of Color or Response Hand Change.
AB - Previous studies have shown that stimulus repetition can lead to reliable
behavioral improvements. Although this repetition priming (RP) effect has been
reported in a number of paradigms using a variety of stimuli including words,
objects, and faces, only a few studies have investigated mathematical cognition
involving arithmetic computation, and no prior research has directly compared RP
effects in a linguistic task with an arithmetic task. In two experiments, we used
a within-subjects design to investigate and compare the magnitude of RP, and the
effects of changing the color or the response hand for repeated, otherwise
identical, stimuli in a word and an arithmetic categorization task. The results
show that the magnitude of RP was comparable between the two tasks and that
changing the color or the response hand had a negligible effect on priming in
either task. These results extended previous findings in mathematical cognition.
They also indicate that priming does not vary with stimulus domain. The
implications of the results were discussed with reference to both facilitation of
component processes and episodic memory retrieval of stimulus-response binding.
PMID- 29375443
TI - Commentary: Mental Toughness and Individual Differences in Learning, Educational
and Work Performance, Psychological Well-being, and Personality: A Systematic
Review.
PMID- 29375442
TI - Computer-Based Training in Math and Working Memory Improves Cognitive Skills and
Academic Achievement in Primary School Children: Behavioral Results.
AB - Student academic achievement has been positively related to further development
outcomes, such as the attainment of higher educational, employment, and
socioeconomic aspirations. Among all the academic competences, mathematics has
been identified as an essential skill in the field of international leadership as
well as for those seeking positions in disciplines related to science,
technology, and engineering. Given its positive consequences, studies have
designed trainings to enhance children's mathematical skills. Additionally, the
ability to regulate and control actions and cognitions, i.e., executive functions
(EF), has been associated with school success, which has resulted in a strong
effort to develop EF training programs to improve students' EF and academic
achievement. The present study examined the efficacy of a school computer-based
training composed of two components, namely, working memory and mathematics
tasks. Among the advantages of using a computer-based training program is the
ease with which it can be implemented in school settings and the ease by which
the difficulty of the tasks can be adapted to fit the child's ability level. To
test the effects of the training, children's cognitive skills (EF and IQ) and
their school achievement (math and language grades and abilities) were evaluated.
The results revealed a significant improvement in cognitive skills, such as non
verbal IQ and inhibition, and better school performance in math and reading among
the children who participated in the training compared to those children who did
not. Most of the improvements were related to training on WM tasks. These
findings confirmed the efficacy of a computer-based training that combined WM and
mathematics activities as part of the school routines based on the training's
impact on children's academic competences and cognitive skills.
PMID- 29375444
TI - Perspectives on Early Power Mobility Training, Motivation, and Social
Participation in Young Children with Motor Disabilities.
AB - The efficacy of traditional training programs (e.g., neurodevelopmental therapy)
in promoting independent mobility and early child development across all three
International Classification of Functioning, Disability, and Health levels lacks
rigorous research support. Therefore, early power mobility training needs to be
considered as a feasible intervention for very young children who are unlikely to
achieve independent mobility. This perspective article has three aims: (1) to
provide empirical evidence of differences in early independent mobility,
motivation, daily life activities, and social participation between young
children with typical development and motor disabilities; (2) to discuss the
contemporary concepts of and approaches to early power mobility training for
young children with motor disabilities and the current need for changes to such
training; and (3) to provide recommendations for early power mobility training in
pediatric rehabilitation. Independent mobility is critical for social
participation; therefore, power mobility can be accessible and implemented as
early as possible, specifically for infants who are at risk for mobility or
developmental delay. To maximize the positive effects of independent mobility on
children's social participation, early power mobility training must consider
their levels of functioning, the amount of exploration and contextual factors,
including individual and environmental factors.
PMID- 29375445
TI - EMDR as Add-On Treatment for Psychiatric and Traumatic Symptoms in Patients with
Substance Use Disorder.
AB - Background: Substance use disorders (SUD) are patterns of substance use leading
to severe impairment on social, working and economic levels. In vivo and clinical
findings have enhanced the role of the brain's stress-related system in
maintaining SUD behaviors. Several studies have also revealed a high prevalence
of post-traumatic symptoms among SUD patients, suggesting that a trauma-informed
treatment approach could lead to better treatment outcomes. However, only few
studies have evaluated the use of eye movement desensitization and reprocessing
(EMDR) in SUD without consistent results. The aim of the present pilot study was
to assess the efficacy of a combined trauma-focused (TF) and addiction-focused
(AF) EMDR intervention in treating post-traumatic and stress-related symptoms of
patients with SUD. Methods: Forty patients with different SUD were enrolled in
the study. Twenty patients underwent treatment as usual (TAU), the other 20
patients were treated with TAU plus 24 weekly sessions of EMDR. All patients were
assessed before and after intervention for several psychological dimensions using
specific tools (i.e., BDI-II, DES, IES-R, STAI, and SCL-90-GSI). A repeated
measure MANOVA was performed to evaluate both between groups (TAU + EMDR vs. TAU)
and within group (pre- vs. post-intervention) effects and interactions. A
secondary outcome was the dichotomous variable yielded by the urine drug testing
immunoassay (yes/no). Results: The RM-MANOVA revealed both a significant pre-post
main effect (p < 0.001), and a significant group-by-time main effect (p < 0.001).
Significant improvements on IES-R, DES, and SCL-90-GSI scales were shown in both
groups according to time effects (p < 0.05). However, significant greater effects
were found for TAU + EMDR group than TAU group. No differences were found between
TAU and TAU + EMDR groups in terms of urine drug immunoassay results before and
after the interventions. Conclusions: The TAU + EMDR group showed a significant
improvement of post-traumatic and dissociative symptoms, accompanied by a
reduction in anxiety and overall psychopathology levels, whereas TAU group showed
a significant reduction only in post-traumatic symptoms. Although our results can
only be considered preliminary, this study suggests that a combined TF- and AF-
EMDR protocol is an effective and well-accepted add-on treatment for patients
with SUD.
PMID- 29375446
TI - Emotional Arousal at Memory Encoding Enhanced P300 in the Concealed Information
Test.
AB - Previous studies have reported that the concealed information test (CIT) is a
reliable and powerful method for detecting information. However, the external
validity of the CIT studies has not been fully proven. In particular, few studies
have examined the effects of emotional arousal at memory encoding on
physiological responses in the CIT. The present study investigated the influence
on the CIT of the magnitude of emotional arousal at memory encoding of a mock
crime, using the P300 component of the event-related brain potential (ERP). In
accord with the assumptions of excitation-transfer theory, we presented
emotionally arousing pictures before a mock crime. Participants were randomly
assigned to either a high emotional arousal group (n = 10) or a low emotional
arousal group (n = 11), viewing pictures expected to arouse emotion at a high or
low level, respectively. Subsequently, all participants enacted the same mock
crime, in which they were instructed to stab a pillow with a sharp-edged tool
(e.g., a kitchen knife or ice pick) as if harassing a mannequin lying on a bed.
After the antecedent emotional experience, the P300-based CIT was conducted.
Participants in the high arousal group showed significantly greater P300
amplitudes in response to a probe stimulus compared with the low arousal group.
No differences were found between the groups in response to irrelevant stimuli.
These results support the notion that emotional arousal influences the P300 in
the CIT paradigm.
PMID- 29375447
TI - Burnout, Depression, and Borderline Personality: A 1,163-Participant Study.
AB - We examined the association of burnout with borderline personality (BP) traits in
a study of 1,163 educational staff (80.9% women; mean age: 42.96). Because
burnout has been found to overlap with depression, parallel analyses of burnout
and depression were conducted. Burnout symptoms were assessed with the Shirom
Melamed Burnout Measure, depressive symptoms with the PHQ-9, and BP traits with
the Borderline Personality Questionnaire. Burnout was found to be associated with
BP traits, controlling for neuroticism and history of depressive disorders. In
women, burnout was linked to both the "affective insecurity" and the
"impulsiveness" component of BP. In men, only the link between burnout and
"affective insecurity" reached statistical significance. Compared to participants
with "low" BP scores, participants with "high" BP scores reported more burnout
symptoms, depressive symptoms, neuroticism, and occupational stress and less
satisfaction with life. Disattenuated correlations between burnout and depression
were close to 1, among both women (0.91) and men (0.94). The patterns of
association of burnout and depression with the main study variables were similar,
pointing to overlapping nomological networks. Burnout symptoms were only partly
attributed to work by our participants. Our findings suggest that burnout is
associated with BP traits through burnout-depression overlap.
PMID- 29375448
TI - Social Context Disambiguates the Interpretation of Laughter.
AB - Despite being a pan-cultural phenomenon, laughter is arguably the least
understood behaviour deployed in social interaction. As well as being a response
to humour, it has other important functions including promoting social
affiliation, developing cooperation and regulating competitive behaviours. This
multi-functional feature of laughter marks it as an adaptive behaviour central to
facilitating social cohesion. However, it is not clear how laughter achieves this
social cohesion. We consider two approaches to understanding how laughter
facilitates social cohesion - the 'representational' approach and the 'affect
induction' approach. The representational approach suggests that laughter conveys
information about the expresser's emotional state, and the listener decodes this
information to gain knowledge about the laugher's felt state. The affect
induction approach views laughter as a tool to influence the affective state of
listeners. We describe a modified version of the affect-induction approach, in
which laughter is combined with additional factors - including social context,
verbal information, other social signals and knowledge of the listener's
emotional state - to influence an interaction partner. This view asserts that
laughter by itself is ambiguous: the same laughter may induce positive or
negative affect in a listener, with the outcome determined by the combination of
these additional factors. Here we describe two experiments exploring which of
these approaches accurately describes laughter. Participants judged the
genuineness of audio-video recordings of social interactions containing laughter.
Unknown to the participants the recordings contained either the original laughter
or replacement laughter from a different part of the interaction. When
replacement laughter was matched for intensity, genuineness judgements were
similar to judgements of the original unmodified recordings. When replacement
laughter was not matched for intensity, genuineness judgements were generally
significantly lower. These results support the affect-induction view of laughter
by suggesting that laughter is inherently underdetermined and ambiguous, and that
its interpretation is determined by the context in which it occurs.
PMID- 29375449
TI - Grade Expectations: Rationality and Overconfidence.
AB - Confidence and overconfidence are essential aspects of human nature, but
measuring (over)confidence is not easy. Our approach is to consider students'
forecasts of their exam grades. Part of a student's grade expectation is based on
the student's previous academic achievements; what remains can be interpreted as
(over)confidence. Our results are based on a sample of about 500 second-year
undergraduate students enrolled in a statistics course in Moscow. The course
contains three exams and each student produces a forecast for each of the three
exams. Our models allow us to estimate overconfidence quantitatively. Using these
models we find that students' expectations are not rational and that most
students are overconfident, in agreement with the general literature. Less
obvious is that overconfidence helps: given the same academic achievement
students with larger confidence obtain higher exam grades. Female students are
less overconfident than male students, their forecasts are more rational, and
they are also faster learners in the sense that they adjust their expectations
more rapidly.
PMID- 29375450
TI - Friendly Home and Inhabitants' Morality: Mutual Relationships.
AB - The study is aimed at investigating the connection between the friendliness of
the home environment and the moral motives' level. The friendliness of the home
environment includes two aspects: the number of functions provided by home
(functionality) and the congruence of these functions with inhabitants' needs
(relevance). The theoretical framework of the study was formed by research and
ideas emphasizing the interplay between people and their environments. We
hypothesized that the friendliness of the home environment and inhabitants' moral
motives would have a reciprocal relationship: the friendlier the home the higher
the inhabitants' moral motives' level, and, vice versa, the higher the person's
moral motives' level the more positive home image. The respondents were 550
students (25% male). The Home Environment Functionality Questionnaire, the Home
Environment Relevance Questionnaire, and the Moral Motivation Model Scale were
used. As expected, it was found that the friendliness of the home environment and
the inhabitants' moral motives are in reciprocal synergetic relationships.
Relevance formed more nuanced correlation patterns with moral motives than
functionality did. Functionality predicted moral motives poorly whereas moral
motives predicted functionality strongly. Finally, relevance and moral motives
were found to be in mutual relationships whereas the perceived functionality was
predicted by moral motives only.
PMID- 29375451
TI - Acceptance and Commitment Therapy for Health Behavior Change: A Contextually
Driven Approach.
AB - Promoting health behavior change presents an important challenge to theory and
research in the field of health psychology. In this paper, we introduce a context
driven approach, the Acceptance and Commitment Therapy (ACT) model which is built
on Relational Frame Theory. The ACT-based intervention aims to promote
individuals' new health behavior patterns through the improvement of the key
construct of psychological flexibility, which is defined as the ability to
contact the present moment more fully with acceptance and mindfulness as a
conscious human being. Building on the psychological flexibility model,
implemented through the six core ACT processes, individuals improve maintenance
of long term health behavior change through committed acts in service of chosen
values while acknowledging and accepting the existence of contrary thoughts,
rules, and emotions as part of themselves but not determinant of their behaviors.
Taking advantage of this context-driven approach of health behavior change, we
recommend researchers and practitioners to design their health behavior change
intervention programs based on ACT.
PMID- 29375452
TI - The Effects of Lexical Pitch Accent on Infant Word Recognition in Japanese.
AB - Learners of lexical tone languages (e.g., Mandarin) develop sensitivity to tonal
contrasts and recognize pitch-matched, but not pitch-mismatched, familiar words
by 11 months. Learners of non-tone languages (e.g., English) also show a tendency
to treat pitch patterns as lexically contrastive up to about 18 months. In this
study, we examined if this early-developing capacity to lexically encode pitch
variations enables infants to acquire a pitch accent system, in which pitch-based
lexical contrasts are obscured by the interaction of lexical and non-lexical
(i.e., intonational) features. Eighteen 17-month-olds learning Tokyo Japanese
were tested on their recognition of familiar words with the expected pitch or the
lexically opposite pitch pattern. In early trials, infants were faster in
shifting their eyegaze from the distractor object to the target object than in
shifting from the target to distractor in the pitch-matched condition. In later
trials, however, infants showed faster distractor-to-target than target-to
distractor shifts in both the pitch-matched and pitch-mismatched conditions. We
interpret these results to mean that, in a pitch-accent system, the ability to
use pitch variations to recognize words is still in a nascent state at 17 months.
PMID- 29375453
TI - Understanding Freshness Perception from the Cognitive Mechanisms of Flavor: The
Case of Beverages.
AB - Freshness perception has received recent consideration in the field of consumer
science mainly because of its hedonic dimension, which is assumed to influence
consumers' preference and behavior. However, most studies have considered
freshness as a multisensory attribute of food and beverage products without
investigating the cognitive mechanisms at hand. In the present review, we endorse
a slightly different perspective on freshness. We focus on (i) the multisensory
integration processes that underpin freshness perception, and (ii) the top-down
factors that influence the explicit attribution of freshness to a product by
consumers. To do so, we exploit the recent literature on the cognitive
underpinnings of flavor perception as a heuristic to better characterize the
mechanisms of freshness perception in the particular case of beverages. We argue
that the lack of consideration of particular instances of flavor, such as
freshness, has resulted in a lack of consensus about the content and structure of
different types of flavor representations. We then enrich these theoretical
analyses, with a review of the cognitive mechanisms of flavor perception: from
multisensory integration processes to the influence of top-down factors (e.g.,
attentional and semantic). We conclude that similarly to flavor, freshness
perception is characterized by hybrid content, both perceptual and semantic, but
that freshness has a higher-degree of specificity than flavor. In particular,
contrary to flavor, freshness is characterized by specific functions (e.g.,
alleviation of oropharyngeal symptoms) and likely differs from flavor with
respect to the weighting of each sensory contributor, as well as to its
subjective location. Finally, we provide a comprehensive model of the cognitive
mechanisms that underlie freshness perception. This model paves the way for
further empirical research on particular instances of flavor, and will enable
advances in the field of food and beverage cognition.
PMID- 29375454
TI - When Do Morally Motivated Innovators Elicit Inspiration Instead of Irritation?
AB - Innovators (i.e., consumers who are the first to adopt an innovation) are pivotal
for the societal diffusion of sustainable innovations. But when are innovators
most influential? Recent work suggests that morally motivated innovators (i.e.,
consumers who adopt an innovation out of concern for the welfare of others) can
make fellow consumers who have not yet adopted that innovation feel morally
inadequate. As a self-defense mechanism, those fellow consumers might dismiss
these innovators and their choices. As a result, ironically, morally motivated
innovators might discourage others to adopt sustainable innovations. In an
experimental study, we replicate this pattern, but also show that moral
innovators can elicit a more positive response as well. Specifically, our results
offer initial evidence that morally motivated innovators may be more inspiring
than self-interested innovators, provided that their actions do not directly pose
a threat to the moral self-concept of observers. In sum, our research sheds
empirical light on the conditions under which innovators are likely to
facilitate, rather than slow down the transition to a more sustainable society.
PMID- 29375455
TI - Test-Retest Reliability of Measures Commonly Used to Measure Striatal Dysfunction
across Multiple Testing Sessions: A Longitudinal Study.
AB - Cognitive impairment is common amongst many neurodegenerative movement disorders
such as Huntington's disease (HD) and Parkinson's disease (PD) across multiple
domains. There are many tasks available to assess different aspects of this
dysfunction, however, it is imperative that these show high test-retest
reliability if they are to be used to track disease progression or response to
treatment in patient populations. Moreover, in order to ensure effects of
practice across testing sessions are not misconstrued as clinical improvement in
clinical trials, tasks which are particularly vulnerable to practice effects need
to be highlighted. In this study we evaluated test-retest reliability in mean
performance across three testing sessions of four tasks that are commonly used to
measure cognitive dysfunction associated with striatal impairment: a combined
Simon Stop-Signal Task; a modified emotion recognition task; a circle tracing
task; and the trail making task. Practice effects were seen between sessions 1
and 2 across all tasks for the majority of dependent variables, particularly
reaction time variables; some, but not all, diminished in the third session. Good
test-retest reliability across all sessions was seen for the emotion recognition,
circle tracing, and trail making test. The Simon interference effect and stop
signal reaction time (SSRT) from the combined-Simon-Stop-Signal task showed
moderate test-retest reliability, however, the combined SSRT interference effect
showed poor test-retest reliability. Our results emphasize the need to use
control groups when tracking clinical progression or use pre-baseline training on
tasks susceptible to practice effects.
PMID- 29375456
TI - Self-face Captures, Holds, and Biases Attention.
AB - The implicit self-recognition process may take place already in the pre-attentive
stages of perception. After a silent stimulus has captured attention, it is
passed on to the attentive stage where it can affect decision making and
responding. Numerous studies show that the presence of self-referential
information affects almost every cognitive level. These effects may share a
common and fundamental basis in an attentional mechanism, conceptualized as
attentional bias: the exaggerated deployment of attentional resources to a
salient stimulus. A gold standard in attentional bias research is the dot-probe
paradigm. In this task, a prominent stimulus (cue) and a neutral stimulus are
presented in different spatial locations, followed by the presentation of a
target. In the current study we aimed at investigating whether the self-face
captures, holds and biases attention when presented as a task-irrelevant
stimulus. In two dot-probe experiments coupled with the event-related potential
(ERP) technique we analyzed the following relevant ERPs components: N2pc and SPCN
which reflect attentional shifts and the maintenance of attention, respectively.
An inter-stimulus interval separating face-cues and probes (800 ms) was
introduced only in the first experiment. In line with our predictions, in
Experiment 1 the self-face elicited the N2pc and the SPCN component. In
Experiment 2 in addition to N2pc, an attentional bias was observed. Our results
indicate that unintentional self-face processing disables the top-down control
setting to filter out distractors, thus leading to the engagement of attentional
resources and visual short-term memory.
PMID- 29375457
TI - Self-control in Online Discussions: Disinhibited Online Behavior as a Failure to
Recognize Social Cues.
AB - In an online experiment we examined the role of self-control in recognizing
social cues in the context of disinhibited online behavior (e.g., flaming and
trolling). We temporarily lowered participants' self-control capacity with an ego
depletion paradigm (i.e., color Stroop task). Next, we measured participants'
sensitivity to social cues with an emotional Stroop task containing neutral,
negative, and taboo words. Sensitivity to social cues is represented by the
increase in reaction time to negative and especially taboo words compared to
neutral words. As expected, undepleted participants were slower to process the
color of negative and taboo words. By contrast, depleted participants (i.e.,
those with lowered self-control capacity) did not react differently to taboo or
negative words than they did to neutral words. The experiment illustrates that
self-control failure may manifest itself in a failure to recognize social cues.
The finding underlines the importance of self-control in understanding
disinhibited online behavior: Many instances of disinhibited online behavior may
occur not because people are unable to control themselves, but because they do
not realize that a situation calls for self-control in the first place.
PMID- 29375458
TI - A Systematic Review of Non-Traumatic Spinal Cord Injuries in Sub-Saharan Africa
and a Proposed Diagnostic Algorithm for Resource-Limited Settings.
AB - Background: Non-traumatic myelopathy is common in Africa and there are geographic
differences in etiology. Clinical management is challenging due to the broad
differential diagnosis and the lack of diagnostics. The objective of this
systematic review is to determine the most common etiologies of non-traumatic
myelopathy in sub-Saharan Africa to inform a regionally appropriate diagnostic
algorithm. Methods: We conducted a systemic review searching Medline and Embase
databases using the following search terms: "Non traumatic spinal cord injury" or
"myelopathy" with limitations to epidemiology or etiologies and Sub-Saharan
Africa. We described the frequencies of the different etiologies and proposed a
diagnostic algorithm based on the most common diagnoses. Results: We identified
19 studies all performed at tertiary institutions; 15 were retrospective and 13
were published in the era of the HIV epidemic. Compressive bone lesions accounted
for more than 48% of the cases; a majority were Pott's disease and metastatic
disease. No diagnosis was identified in up to 30% of cases in most studies; in
particular, definitive diagnoses of non-compressive lesions were rare and a
majority were clinical diagnoses of transverse myelitis and HIV myelopathy. Age
and HIV were major determinants of etiology. Conclusion: Compressive myelopathies
represent a majority of non-traumatic myelopathies in sub-Saharan Africa, and
most were due to Pott's disease. Non-compressive myelopathies have not been well
defined and need further research in Africa. We recommend a standardized approach
to management of non-traumatic myelopathy focused on identifying treatable
conditions with tests widely available in low-resource settings.
PMID- 29375460
TI - Spontaneous Intracerebral Hemorrhage: Should We Operate?
PMID- 29375459
TI - A Comparative Study of Feature Selection Methods for the Discriminative Analysis
of Temporal Lobe Epilepsy.
AB - It is crucial to differentiate patients with temporal lobe epilepsy (TLE) from
the healthy population and determine abnormal brain regions in TLE. The cortical
features and changes can reveal the unique anatomical patterns of brain regions
from structural magnetic resonance (MR) images. In this study, structural MR
images from 41 patients with left TLE, 34 patients with right TLE, and 58 normal
controls (NC) were acquired, and four kinds of cortical measures, namely cortical
thickness, cortical surface area, gray matter volume (GMV), and mean curvature,
were explored for discriminative analysis. Three feature selection methods
including the independent sample t-test filtering, the sparse-constrained
dimensionality reduction model (SCDRM), and the support vector machine-recursive
feature elimination (SVM-RFE) were investigated to extract dominant features
among the compared groups for classification using the support vector machine
(SVM) classifier. The results showed that the SVM-RFE achieved the highest
performance (most classifications with more than 84% accuracy), followed by the
SCDRM, and the t-test. Especially, the surface area and GMV exhibited prominent
discriminative ability, and the performance of the SVM was improved significantly
when the four cortical measures were combined. Additionally, the dominant regions
with higher classification weights were mainly located in the temporal and the
frontal lobe, including the entorhinal cortex, rostral middle frontal,
parahippocampal cortex, superior frontal, insula, and cuneus. This study
concluded that the cortical features provided effective information for the
recognition of abnormal anatomical patterns and the proposed methods had the
potential to improve the clinical diagnosis of TLE.
PMID- 29375461
TI - In Vivo Tau Imaging for a Diagnostic Platform of Tauopathy Using the rTg4510
Mouse Line.
AB - Association of tau deposition with neurodegeneration in Alzheimer's disease (AD)
and related tau-positive neurological disorders collectively referred to as
tauopathies indicates contribution of tau aggregates to neurotoxicity. The
discovery of tau gene mutations in FTDP-17-tau kindreds has provided unequivocal
evidence that tau abnormalities alone can induce neurodegenerative disorders.
Therefore, visualization of tau accumulation would offer a reliable, objective
index to aid in the diagnosis of tauopathy and to assess the disease progression.
Positron emission tomography (PET) imaging of tau lesions is currently available
using several tau PET ligands. Because most tau PET ligands have the property of
an extrinsic fluorescent dye, these ligands are considered to be useful for both
PET and fluorescence imaging. In addition, small-animal magnetic resonance
imaging (MRI) is available for both structural and functional imaging. Using
these advanced imaging techniques, in vivo studies on a mouse model of tauopathy
will provide significant insight into the translational research of
neurodegenerative diseases. In this review, we will discuss the utilities of PET,
MRI, and fluorescence imaging for evaluating the disease progression of
tauopathy.
PMID- 29375462
TI - The Applicability of Rhythm-Motor Tasks to a New Dual Task Paradigm for Older
Adults.
AB - Given the interplay between cognitive and motor functions during walking,
cognitive demands required during gait have been investigated with regard to dual
task performance. Along with the needs to understand how the type of concurrent
task while walking affects gait performance, there are calls for diversified dual
tasks that can be applied to older adults with varying levels of cognitive
decline. Therefore, this study aimed to examine how rhythm-motor tasks affect
dual task performance and gait control, compared to a traditional cognitive-motor
task. Also, it examined whether rhythm-motor tasks are correlated with
traditional cognitive-motor task performance and cognitive measures. Eighteen
older adults without cognitive impairment participated in this study. Each
participant was instructed to walk at self-paced tempo without performing a
concurrent task (single walking task) and walk while separately performing two
types of concurrent tasks: rhythm-motor and cognitive-motor tasks. Rhythm-motor
tasks included instrument playing (WalkIP), matching to rhythmic cueing (WalkRC),
and instrument playing while matching to rhythmic cueing (WalkIP+RC). The
cognitive-motor task involved counting forward by 3s (WalkCount.f3). In each
condition, dual task costs (DTC), a measure for how dual tasks affect gait
parameters, were measured in terms of walking speed and stride length. The ratio
of stride length to walking speed, a measure for dynamic control of gait, was
also examined. The results of this study demonstrated that the task type was
found to significantly influence these measures. Rhythm-motor tasks were found to
interfere with gait parameters to a lesser extent than the cognitive-motor task
(WalkCount.f3). In terms of ratio measures, stride length remained at a similar
level, walking speed greatly decreased in the WalkCount.f3 condition. Significant
correlations between dual task-related measures during rhythm-motor and cognitive
motor tasks support the potential of applying rhythm-motor tasks to dual task
methodology. This study presents how rhythm-motor tasks demand cognitive control
at different levels than those engaged by cognitive-motor tasks. It also
indicates how these new dual tasks can effectively mediate dual task performance
indicative of fall risks, while requiring increased cognitive resources but
facilitating gait control as a compensatory strategy to maintain gait stability.
PMID- 29375463
TI - Subjective Positive and Negative Sleep Variables Differentially Affect Cellular
Immune Activity in a Breast Cancer Survivor: A Time-series Analysis Approach.
AB - This study on a breast cancer survivor suffering from cancer-related fatigue
(CaRF) and depression investigated the bidirectional relationship between
cellular immune activity and subjective sleep. The 49-year-old patient (breast
cancer diagnosis 5 years before the study, currently in remission) collected her
full urine output for 28 days in 12-h intervals (8:00 p.m. to 8:00 a.m. and 8:00
a.m. to 8:00 p.m.). These urine samples were used to determine urinary neopterin
(cellular immune activation marker) and creatinine concentrations via high
pressure liquid chromatography (HPLC). Each morning, the patient answered
questions on five sleep variables: sleep quality (SQ), sleep recreational value
(SRV), total sleep time (TST), total wake time (TWT), and awakenings during sleep
period (ADS). For the purpose of this study, the time series of the nighttime
urinary neopterin levels and the five sleep variables were determined. Using
centered moving average (CMA) smoothing and cross-correlational analysis, this
study showed that increases in the positive sleep variables SQ and SRV were
followed by urinary neopterin concentration decreases after 96-120 h (SQ, lag 4:
r = -0.411; p = 0.044; SRV: lag 4: r = -0.472; p = 0.021) and 120-144 h (SRV, lag
5: r = -0.464; p = 0.026). Increases in the negative sleep variable TWT, by
contrast, were followed by increases in urinary neopterin concentrations 72-96 h
later (lag 3: r = 0.522; p = 0.009). No systematic effects in the other
direction, i.e., from urinary neopterin levels to sleep, were observed in this
study. Although preliminary, the findings of this study highlight the benefit of
carefully investigating temporal delays and directions of effects when studying
the dynamic relationship between sleep and immune variables in the natural
context of everyday life.
PMID- 29375464
TI - A Meta-Analysis of Adenosine A2A Receptor Antagonists on Levodopa-Induced
Dyskinesia In Vivo.
AB - Background: Long-term use of levodopa (l-dopa) is inevitably complicated with
highly disabling fluctuations and drug-induced dyskinesias, which pose major
challenges to the existing drug therapy of Parkinson's disease. Methods: In this
study, we conducted a systematic review and meta-analysis to assess the efficacy
of A2A receptor antagonists on reducing l-dopa-induced dyskinesias (LID).
Results: Nine studies with a total of 152 animals were included in this meta
analysis. Total abnormal involuntary movements (AIM) score, locomotor activity,
and motor disability were reported as outcome measures in 5, 5, and 3 studies,
respectively. Combined standardized mean difference (SMD) estimates were
calculated using a random-effects model. We pooled the whole data and found that,
when compared to l-dopa alone, A2A receptor antagonists plus l-dopa treatment
showed no effect on locomotor activity (SMD -0.00, 95% confidence interval (CI):
2.52 to 2.52, p = 1.0), superiority in improvement of motor disability (SMD
5.06, 95% CI: -9.25 to -0.87, p = 0.02) and more effective in control of AIM (SMD
-1.82, 95% CI: -3.38 to -0.25, p = 0.02). Conclusion: To sum up, these results
demonstrated that A2A receptor antagonists appear to have efficacy in animal
models of LID. However, large randomized clinical trials testing the effects of
A2A receptor antagonists in LID patients are always warranted.
PMID- 29375466
TI - Magnetic Resonance Imaging-Based Prediction of the Relationship between Whiplash
Injury and Temporomandibular Disorders.
AB - Purpose: Whiplash injury can cause internal derangement of the temporomandibular
joint (TMJ) and lead to temporomandibular disorders (TMDs). Our aim was to
evaluate whether the initial clinical findings in TMD patients with whiplash
injury are correlated with their magnetic resonance imaging (MRI)
characteristics. Materials and methods: This case-control study involved 219
patients (135 women, 84 men; mean age: 37.84 years) who visited our orofacial
pain clinic with TMD; TMD was diagnosed using the diagnostic criteria for TMD
Axis I. Patients were categorized into three groups based on the presence and
type of macrotrauma: in the "wTMD" group, patients had suffered whiplash injury;
patients in the "pTMD" group had post-traumatic TMD; the "iTMD" group comprised
patients who had presented with TMD symptoms and had sustained no macrotrauma. We
investigated the presence of disk displacement, effusion, disk deformity, and
condylar degeneration, and changes in the lateral pterygoid muscle (LPM). To
evaluate the severity of TMD pain and objectively analyze symptoms, we used a
visual analog scale (VAS), palpation index (PI), neck PI, dysfunction index, and
craniomandibular index (CMI). Results: The VAS scores, and the severity indexes
of the TMD including PI, neck PI, and CMI were highest in the wTMD patients.
Atrophy of the LPM was most commonly seen in the wTMD group, as was disk
deformity. In wTMD patients only, VAS score was significantly correlated with
stress; it was correlated with headache in wTMD and iTMD patients. The clinical
symptoms of TMD were not correlated with MRI findings in the wTMD group. However,
alterations in the LPM were strongly correlated with disk displacement.
Conclusion: If clinicians recognize alterations in the LPM and disk displacement
in the TMJ, they will better understand the clinical symptoms and pathophysiology
of TMD with whiplash injury. Whiplash injury may lead to TMD via different
mechanisms from other macrotraumas.
PMID- 29375467
TI - Using Corticomuscular Coherence to Reflect Function Recovery of Paretic Upper
Limb after Stroke: A Case Study.
AB - Purpose: Motor deficits after stroke are supposed to arise from the reduced
neural drive from the brain to muscles. This study aimed to demonstrate the
feasibility of reflecting the motor function improvement after stroke with the
measurement of corticomuscular coherence (CMC) in an individual subject. Method:
A stroke patient was recruited to participate in an experiment before and after
the function recovery of his paretic upper limb, respectively. An elbow flexion
task with a constant muscle contraction level was involved in the experiment.
Electromyography and electroencephalography signals were recorded simultaneously
to estimate the CMC. The non-parameter statistical analysis was used to test the
significance of CMC differences between the first and second times of
experiments. Result: The strongest corticomuscular coupling emerged at the motor
cortex contralateral to the contracting muscles for both the affected and
unaffected limbs. The strength of the corticomuscular coupling between activities
from the paretic limb muscles and the contralateral motor cortex for the second
time of experiment increased significantly compared with that for the first time.
However, the CMC of the unaffected limb had no significant changes between two
times of experiments. Conclusion: The results demonstrated that the increased
corticomuscular coupling strength resulted from the motor function restoration of
the paretic limb. The measure of CMC can reflect the recovery of motor function
after stroke by quantifying interactions between activities from the motor cortex
and controlled muscles.
PMID- 29375468
TI - Quality of Life Assessment in Multiple Sclerosis: Different Perception between
Patients and Neurologists.
AB - Background: In recent years, neurologists are noticing that evaluation of
multiple sclerosis (MS) patients based on combining relapses, disability
progression, and magnetic resonance imaging activity may be insufficient to
adequately assess suboptimal responses to available therapy. Inclusion of quality
of life (QoL) parameters may contribute to breach this gap. Objective: To
evaluate agreement levels between doctor and patient perception of QoL in MS.
Methods: A total of 700 MS patients and 300 neurologists were invited to
participate in a cross-sectional study by answering an e-mail questionnaire. The
survey collected information on demographical data and included the Short Form
questionnaire (SF-36). After completing the questionnaire, patients were given a
standard written description of each of the subdomains assessed by SF-36 and
asked to identify which three were the most important determinants of their
overall health-related QoL. Results: A total of 135 neurologists and 380 MS
patients responded the survey. Study population mean age was 42.1 +/- 10.5 years,
with 61% presenting relapsing-remitting MS. SF-36 results were physical function
68.4 +/- 30, physical role limitation 56.8 +/- 41.7, vitality 47.6 +/- 21.4, pain
71.2 +/- 26.1, social function 72.6 +/- 28.6, emotional role limitation 63.2 +/-
39.8, mental health 60 +/- 14.1, and general health 55.8 +/- 22. Doctors
considered physical function (75%) and physical role limitation (70%) as the most
important QoL determinants in MS, followed by emotional role limitation (52%).
Patients however, assigned significantly different levels of importance to
physical function (58%), and physical role limitation (46%) and considered
vitality (52%) more important than their physicians (p < 0.001). Important to
note, the results of SF-36 questionnaire were highly correlated with the
perception gap between patients and neurologists (r = 0.89; p = 0.0004).
Conclusion: Concerns on QoL in MS are different for patients and physicians. It
is essential to enhance communication in order to better understand actual
patient needs.
PMID- 29375470
TI - Editorial: Imaging in Acute Stroke-New Options and State of the Art.
PMID- 29375465
TI - Application of Metabolomics in Alzheimer's Disease.
AB - Progress toward the development of efficacious therapies for Alzheimer's disease
(AD) is halted by a lack of understanding early underlying pathological
mechanisms. Systems biology encompasses several techniques including genomics,
epigenomics, transcriptomics, proteomics, and metabolomics. Metabolomics is the
newest omics platform that offers great potential for the diagnosis and prognosis
of neurodegenerative diseases as an individual's metabolome reflects alterations
in genetic, transcript, and protein profiles and influences from the environment.
Advancements in the field of metabolomics have demonstrated the complexity of
dynamic changes associated with AD progression underscoring challenges with the
development of efficacious therapeutic interventions. Defining systems-level
alterations in AD could provide insights into disease mechanisms, reveal sex
specific changes, advance the development of biomarker panels, and aid in
monitoring therapeutic efficacy, which should advance individualized medicine.
Since metabolic pathways are largely conserved between species, metabolomics
could improve the translation of preclinical research conducted in animal models
of AD into humans. A summary of recent developments in the application of
metabolomics to advance the AD field is provided below.
PMID- 29375469
TI - Dietary Iron Repletion following Early-Life Dietary Iron Deficiency Does Not
Correct Regional Volumetric or Diffusion Tensor Changes in the Developing Pig
Brain.
AB - Background: Iron deficiency is the most common micronutrient deficiency worldwide
and children are at an increased risk due to the rapid growth occurring during
early life. The developing brain is highly dynamic, requires iron for proper
function, and is thus vulnerable to inadequate iron supplies. Iron deficiency
early in life results in altered myelination, neurotransmitter synthesis, neuron
morphology, and later-life cognitive function. However, it remains unclear if
dietary iron repletion after a period of iron deficiency can recover structural
deficits in the brain. Method: Twenty-eight male pigs were provided either a
control diet (CONT; n = 14; 23.5 mg Fe/L milk replacer) or an iron-deficient diet
(ID; n = 14; 1.56 mg Fe/L milk replacer) for phase 1 of the study, from postnatal
day (PND) 2 until 32. Twenty pigs (n = 10/diet from phase 1) were used in phase 2
of the study from PND 33 to 61, all pigs were provided a common iron sufficient
diet, regardless of their early-life dietary iron status. All pigs remaining in
the study were subjected to magnetic resonance imaging (MRI) at PND 32 and again
at PND 61 using structural imaging sequences and diffusion tensor imaging (DTI)
to assess volumetric and microstructural brain development, respectively. Data
were analyzed using a two-way ANOVA to assess the main and interactive effects of
early-life iron status and time. Results: An interactive effect was observed for
absolute whole brain volumes, in which whole brain volumes of ID pigs were
smaller at PND 32 but were not different than CONT pigs at PND 61. Analysis of
brain region volumes relative to total brain volume indicated interactive effects
(i.e., diet * day) in the cerebellum, olfactory bulb, and putamen-globus
pallidus. Main effects of early-life iron status, regardless of imaging time
point, were noted for decreased relative volumes of the left hippocampus, right
hippocampus, thalamus, and increased relative white matter volume in ID pigs
compared with CONT pigs. DTI indicated interactive effects for fractional
anisotropy (FA) in the whole brain, left cortex, and right cortex. Main effects
of early-life iron status, regardless of imaging time point, were observed for
decreased FA values in the caudate, cerebellum, and internal capsule in ID pigs
compared with CONT pigs. All comparisons described above were significant at P <
0.05. Conclusion: Results from this study indicate that dietary iron repletion is
able to compensate for reduced absolute brain volumes early in life; however,
microstructural changes and altered relative brain volumes persist despite iron
repletion.
PMID- 29375471
TI - Cerebral Ischemia Increases Small Ubiquitin-Like Modifier Conjugation within
Human Penumbral Tissue: Radiological-Pathological Correlation.
AB - Posttranslational modification by small ubiquitin-like modifier (SUMO) regulates
myriad physiological processes within cells and has been demonstrated to be
highly activated in murine brains after cerebral ischemia. Numerous in vitro and
murine in vivo studies have demonstrated that this increased SUMO conjugation is
an endogenous neuroprotective stress response that has potential in being
leveraged to develop novel therapies for ischemic stroke. However, SUMO
activation has not yet been studied in poststroke human brains, presenting a
clear limitation in translating experimental successes in murine models to human
patients. Accordingly, here, we present a case wherein the brain tissue of a
stroke patient (procured shortly after death) was processed by multiplex
immunohistochemistry to investigate SUMO activation.
PMID- 29375472
TI - Dietary Patterns and Fractures Risk in the Elderly.
AB - Purpose: Although the role of dietary factors in the prevention of bone loss and
fractures has been investigated in many studies, few studies have examined the
association between dietary patterns and total body bone density. Our aim was to
determine the relations between dietary patterns and whole-body bone mineral
density (WB-BMD) and the association between dietary patterns, fractures, and
multiple fractures in the elderly. Methods: This cross-sectional study included
177 individuals aged >=65 years. A dual X-ray absorptiometry scan was performed
to measure BMD. Dietary patterns were ascertained by a combination of dietary
intake assessment and principal components analysis. Results: Only three dietary
patterns correlated with whole-body bone density. The multivariate-adjusted mean
bone density across tertiles of these dietary patterns showed that the highest
tertile of both the patterns 1 and 2 had a significantly higher bone density than
the lowest tertile (pattern 1: 1.021 +/- 0.01 and 1.070 +/- 0.01 g/cm2 for T1 and
T3, respectively; p = 0.043; pattern 2: 1.023 +/- 0.01, and 1.081 +/- 0.01 g/cm2
for T1 and T3, respectively; p = 0.003). We also find significant gender
difference in these results. The highest adherence to the dietary pattern 5 was
associated with decreased odds of having fractures (OR = 0.20, p = 0.009), and
adherence to the pattern 1 was negatively associated with multiple fractures.
Conclusion: A high adherence to the dietary pattern 1 (high intake of grains,
fish and olive oil) was associated with a high BMD and a low number of fractures.
The highest adherence to the dietary pattern 5 (legumes and wine) was associated
with decreased odds of having fractures. Our finding would suggest a potential
bone-preserving properties of specific dietary patterns in the elderly.
PMID- 29375473
TI - Eel Kisspeptins: Identification, Functional Activity, and Inhibition on both
Pituitary LH and GnRH Receptor Expression.
AB - The European eel (Anguilla anguilla) presents a blockade of sexual maturation at
a prepubertal stage due to a deficient production of gonadotropins. We previously
initiated, in the eel, the investigation of the kisspeptin system, one of the
major gatekeepers of puberty in mammals, and we predicted the sequence of two
Kiss genes. In the present study, we cloned and sequenced Kiss1 and Kiss2 cDNAs
from the eel brain. The tissue distributions of Kiss1 and Kiss2 transcripts, as
investigated by quantitative real-time PCR, showed that both genes are primarily
expressed in the eel brain and pituitary. The two 10-residue long sequences
characteristic of kisspeptin, eel Kp1(10) and Kp2(10), as well as two longer
sequences, predicted as mature peptides, eel Kp1(15) and Kp2(12), were
synthesized and functionally analyzed. Using rat Kiss1 receptor-transfected
Chinese hamster ovary cells, we found that the four synthesized eel peptides were
able to induce [Ca2+]i responses, indicating their ability to bind mammalian
KissR-1 and to activate second messenger pathways. In primary culture of eel
pituitary cells, all four peptides were able to specifically and dose-dependently
inhibit lhbeta expression, without any effect on fshbeta, confirming our previous
data with heterologous kisspeptins. Furthermore, in this eel in vitro system, all
four peptides inhibited the expression of the type 2 GnRH receptor (gnrh-r2). Our
data revealed a dual inhibitory effect of homologous kisspeptins on both
pituitary lhbeta and gnrh-r2 expression in the European eel.
PMID- 29375474
TI - Recent Advances in Thyroid Hormone Regulation: Toward a New Paradigm for Optimal
Diagnosis and Treatment.
AB - In thyroid health, the pituitary hormone thyroid-stimulating hormone (TSH) raises
glandular thyroid hormone production to a physiological level and enhances
formation and conversion of T4 to the biologically more active T3.
Overstimulation is limited by negative feedback control. In equilibrium defining
the euthyroid state, the relationship between TSH and FT4 expresses clusters of
genetically determined, interlocked TSH-FT4 pairs, which invalidates their
statistical correlation within the euthyroid range. Appropriate reactions to
internal or external challenges are defined by unique solutions and homeostatic
equilibria. Permissible variations in an individual are much more closely
constrained than over a population. Current diagnostic definitions of subclinical
thyroid dysfunction are laboratory based, and do not concur with treatment
recommendations. An appropriate TSH level is a homeostatic concept that cannot be
reduced to a fixed range consideration. The control mode may shift from feedback
to tracking where TSH becomes positively, rather than inversely related with FT4.
This is obvious in pituitary disease and severe non-thyroid illness, but extends
to other prevalent conditions including aging, obesity, and levothyroxine (LT4)
treatment. Treatment targets must both be individualized and respect altered
equilibria on LT4. To avoid amalgamation bias, clinically meaningful
stratification is required in epidemiological studies. In conclusion, pituitary
TSH cannot be readily interpreted as a sensitive mirror image of thyroid function
because the negative TSH-FT4 correlation is frequently broken, even inverted, by
common conditions. The interrelationships between TSH and thyroid hormones and
the interlocking elements of the control system are individual, dynamic, and
adaptive. This demands a paradigm shift of its diagnostic use.
PMID- 29375475
TI - Interactions between Genetics and Sugar-Sweetened Beverage Consumption on Health
Outcomes: A Review of Gene-Diet Interaction Studies.
AB - The consumption of sugar-sweetened beverages (SSB), which includes soft drinks,
fruit drinks, and other energy drinks, is associated with excess energy intake
and increased risk for chronic metabolic disease among children and adults. Thus,
reducing SSB consumption is an important strategy to prevent the onset of chronic
diseases, and achieve and maintain a healthy body weight. The mechanisms by which
excessive SSB consumption may contribute to complex chronic diseases may
partially depend on an individual's genetic predisposition. Gene-SSB interaction
investigations, either limited to single genetic loci or including multiple
genetic variants, aim to use genomic information to define mechanistic pathways
linking added sugar consumption from SSBs to those complex diseases. The purpose
of this review is to summarize the available gene-SSB interaction studies
investigating the relationships between genetics, SSB consumption, and various
health outcomes. Current evidence suggests there are genetic predispositions for
an association between SSB intake and adiposity; evidence for a genetic
predisposition between SSB and type 2 diabetes or cardiovascular disease is
limited.
PMID- 29375477
TI - Open-Label, Randomized, Two-Way, Crossover Study Assessing the Bioequivalence of
the Liquid Formulation versus the Freeze-Dried Formulation of Recombinant Human
FSH and Recombinant Human LH in a Fixed 2:1 Combination (Pergoveris(r)) in
Pituitary-Suppressed Healthy Women.
AB - This was a Phase I, open-label, randomized, two-period, two-sequence crossover
study [ClinicalTrials.gov NCT02317809
(https://www.clinicaltrials.gov/ct2/show/NCT02317809); EudraCT 2014-003506-32]
assessing the bioequivalence of the liquid and freeze-dried formulations of fixed
dose, fixed-ratio (2:1) combination recombinant human follicle-stimulating
hormone plus recombinant human luteinizing hormone (r-hFSH/r-hLH). The safety and
tolerability of the two formulations were also assessed. Healthy premenopausal
women were randomized to one of two crossover dosing schedules. Subjects in
Treatment Sequence 1 received a single subcutaneous dose (900/450 IU r-hFSH/r
hLH) of the liquid formulation of r-hFSH/r-hLH on Day 1 of Dose Period 1 and,
after a washout period of at least 14 days, a single subcutaneous dose (900/450
IU r-hFSH/r-hLH) of the freeze-dried formulation of r-hFSH/r-hLH (reconstituted
in water for injection prior to administration) on Day 1 of Dose Period 2.
Subjects in Treatment Sequence 2 received the treatments in reverse order. The
primary endpoints were AUC0-t (area under the serum concentration-time curve from
time 0 to the time of the last quantifiable concentration) and Cmax (maximum
serum concentration) for FSH and LH, both baseline corrected. A total of 34
subjects were randomized, and 22 subjects were included in the bioequivalence
evaluation. Overall, the mean observed PK profiles and individual PK parameters
were comparable for the liquid and freeze-dried formulations, although a median
difference in the tmax (time to reach maximum observed concentration) of FSH of
~4.5 h was observed between the formulations. The calculated 90% confidence
intervals of the mean liquid formulation/freeze-dried formulation ratios for Cmax
and AUC0-t were within the bioequivalence range (80-125%) for both LH and FSH,
confirming bioequivalence between the two formulations. The safety and
tolerability profiles of the two formulations were similar. The liquid
formulation can, therefore, be expected to provide the same efficacy as the
freeze-dried formulation, with no differences in tolerability.
PMID- 29375476
TI - Alterations in Metabolism and Diurnal Rhythms following Bilateral Surgical
Removal of the Superior Cervical Ganglia in Rats.
AB - Mammalian circadian rhythms are controlled by a master pacemaker located in the
suprachiasmatic nuclei (SCN), which is synchronized to the environment by photic
and nonphotic stimuli. One of the main functions of the SCN is to regulate
peripheral oscillators to set temporal variations in the homeostatic control of
physiology and metabolism. In this sense, the SCN coordinate the activity/rest
and feeding/fasting rhythms setting the timing of food intake, energy
expenditure, thermogenesis, and active and basal metabolism. One of the major
time cues to the periphery is the nocturnal melatonin, which is synthesized and
secreted by the pineal gland. Under SCN control, arylalkylamine N
acetyltransferase (AA-NAT)-the main enzyme regulating melatonin synthesis in
vertebrates-is activated at night by sympathetic innervation that includes the
superior cervical ganglia (SCG). Bilateral surgical removal of the superior
cervical ganglia (SCGx) is considered a reliable procedure to completely prevent
the nocturnal AA-NAT activation, irreversibly suppressing melatonin rhythmicity.
In the present work, we studied the effects of SCGx on rat metabolic parameters
and diurnal rhythms of feeding and locomotor activity. We found a significant
difference between SCGx and sham-operated rats in metabolic variables such as an
increased body weight/food intake ratio, increased adipose tissue, and decreased
glycemia with a normal glucose tolerance. An analysis of locomotor activity and
feeding rhythms showed an increased daytime (lights on) activity (including food
consumption) in the SCGx group. These alterations suggest that superior cervical
ganglia-related feedback mechanisms play a role in SCN-periphery phase
coordination and that SCGx is a valid model without brain-invasive surgery to
explore how sympathetic innervation affects daily (24 h) patterns of activity,
food consumption and, ultimately, its role in metabolism homeostasis.
PMID- 29375478
TI - Short-Chain Fatty Acids Differentially Affect Intracellular Lipolysis in a Human
White Adipocyte Model.
AB - Background and aims: Gut-derived short-chain fatty acids (SCFA), formed by
microbial fermentation of dietary fibers, are believed to be involved in the
etiology of obesity and diabetes. Previous data from our group showed that
colonic infusions of physiologically relevant SCFA mixtures attenuated whole-body
lipolysis in overweight men. To further study potential mechanisms involved in
the antilipolytic properties of SCFA, we aimed to investigate the in vitro
effects of SCFA incubations on intracellular lipolysis and signaling using a
human white adipocyte model, the human multipotent adipose tissue-derived stem
(hMADS) cells. Methods: hMADS adipocytes were incubated with mixtures of acetate,
propionate, and butyrate or single SCFA (acetate, propionate and butyrate) in
concentrations ranging between 1 umol/L and 1 mmol/L. Glycerol release and lipase
activation was investigated during basal conditions and following beta-adrenergic
stimulation. Results: SCFA mixtures high in acetate and propionate decreased
basal glycerol release, when compared to control (P < 0.05), while mixtures high
in butyrate had no effect. Also, beta-adrenergic receptor mediated glycerol
release was not significantly altered following incubation with SCFA mixtures.
Incubation with only acetate decreased basal (1 umol/L) and beta-adrenergically
(1 umol/L and 1 mmol/L) mediated glycerol release when compared with control (P <
0.05). In contrast, butyrate (1 umol/L) slightly increased basal and beta
adrenergically mediated glycerol release compared with control (P < 0.05), while
propionate had no effect on lipolysis. The antilipolytic effect of acetate was
accompanied by a reduced phosphorylation of hormone-sensitive lipase (HSL) at
serine residue 650. In addition, inhibition of Gi G proteins following pertussis
toxin treatment prevented the antilipolytic effect of acetate. Conclusion: The
present data demonstrated that acetate was mainly responsible for the
antilipolytic effects of SCFA and acts via attenuation of HSL phosphorylation in
a Gi-coupled manner in hMADS adipocytes. Therefore, the modulation of colonic and
circulating acetate may be an important target to modulate human adipose tissue
lipid metabolism.
PMID- 29375479
TI - Short Stature Diagnosis and Referral.
AB - The "360 degrees GH in Europe" meeting, which examined various aspects of GH
diseases, was held in Lisbon, Portugal, in June 2016. The Merck KGaA (Germany)
funded meeting comprised three sessions entitled "Short Stature Diagnosis and
Referral," "Optimizing Patient Management," and "Managing Transition." Each
session had three speaker presentations, followed by a discussion period, and is
reported as a manuscript, authored by the speakers. The first session examined
current processes of diagnosis and referral by endocrine specialists for
pediatric patients with short stature. Requirements for referral vary widely, by
country and by patient characteristics such as age. A balance must be made to
ensure eligible patients get referred while healthcare systems are not over
burdened by excessive referrals. Late referral and diagnosis of non-GH deficiency
conditions can result in increased morbidity and mortality. The consequent delays
in making a diagnosis may compromise the effectiveness of GH treatment.
Algorithms for growth monitoring and evaluation of skeletal disproportions can
improve identification of non-GH deficiency conditions. Performance and
validation of guidelines for diagnosis of GH deficiency have not been
sufficiently tested. Provocative tests for investigation of GH deficiency remain
equivocal, with insufficient information on variations due to patient
characteristics, and cutoff values for definition differ not only by country but
also by the assay used. When referring and diagnosing causes of short stature in
pediatric patients, clinicians need to rely on many factors, but the most
essential is clinical experience.
PMID- 29375480
TI - Multiple Salivary Cortisol Measurements Are a Useful Tool to Optimize Metyrapone
Treatment in Patients with Cushing's Syndromes Treatment: Case Presentations.
AB - Measuring salivary cortisol is both convenient and non-invasive for patients;
however, its usefulness as a marker for monitoring medical therapy has not yet
been established. The aim of this study was to assess the utility of multiple
salivary cortisol measurements in patients with Cushing's syndrome (CS) during
medical therapy. Six patients with CS (three with cortisol-secreting
adrenocortical adenoma and three with ACTH-secreting pituitary adenoma) were
recruited. Samples for morning serum cortisol, urinary free cortisol (UFC), and
multiple salivary cortisol levels were collected before and during metyrapone
treatment. The area under the curve (AUC) and mean value (MV) of daily salivary
cortisol levels were calculated. In five out of six patients, UFC were
normalized; however, multiple salivary cortisol measurements revealed an impaired
diurnal cortisol rhythm in these patients. To verify the usefulness of multiple
salivary cortisol measurements, we performed a prospective case study of a
patient in whom the excess secretion of cortisol was not controlled (UFC 211
MUg/day) with 2,250 mg/day in four divided doses of metyrapone. Multiple
measurements of salivary cortisol revealed that cortisol levels elevated before
the next administration. Accordingly, we shortened the interval by increasing the
number of administration from four to five times per day, with a slight increment
of daily dose of 2,500 mg. These optimizations resulted in a drastic improvement
of diurnal pattern as well as UFC level (101 MUg/day). Changes in both the MV and
AUC of salivary cortisol levels were more correlated with those in UFC levels
(Correlation coefficient 0.75, p = 0.007, and 0.70, p = 0.017) than those in the
morning serum cortisol levels (0.42, p = 0.200), indicating that multiple
salivary cortisol measurements reflect more precisely the excess secretion of
cortisol. Our preliminary data suggest that multiple salivary cortisol
measurements can be a useful tool to visualize the diurnal cortisol rhythm and to
determine the dose and timing of metyrapone during the treatment in patients with
CS.
PMID- 29375481
TI - Hallmarks of Human Small Antral Follicle Development: Implications for Regulation
of Ovarian Steroidogenesis and Selection of the Dominant Follicle.
AB - Regulation of human ovarian steroidogenesis differs from other species and
precise knowledge on how human small antral follicles (hSAF) develop and acquire
competence for continued growth and steroid output is still incomplete. The
present study has characterized almost 1,000 normal hSAF collected in connection
with cryopreservation of ovarian tissue for fertility preservation. The antral
follicles (ranging from 3 to 13 mm) were generally aspirated from one ovary
surgically removed during the natural cycle, and the follicular fluid (FF) and
the granulosa cells (GC) were isolated and snap-frozen. In FF, the following
hormones were measured: inhibin-B, inhibin-A, AMH, follistatin, PAPP-A,
estradiol, progesterone, testosterone, and androstenedione. In GC, mRNA gene
expressions using q-PCR were measured for the following genes: FSHR, AMH, CYP19,
and AR. All samples in which one of the abovementioned parameters was measured
were included, but typically multiple parameters were measured. Highly
significant differences in concentration and follicular content in relation to
follicular diameter were found for all measured hormones despite massive
variability in-between follicles for any given diameter. The results demonstrate
that profound changes take place in the hormonal microenvironment around
follicular diameters of 8-11 mm corresponding to when follicular selection
occurs. At this point, inhibin-B and inhibin-A showed distinct peaks concomitant
with a significant reduction in both AMH protein and mRNA expression.
Concentrations of inhibins, androgens, FSHR, and AR were intimately associated,
and it is suggested that inhibin-B in combination with PAPP-A and thereby IGF2
activity exerts important paracrine signaling at follicular selection. At the
same time upregulation of estradiol synthesis and CYP19 mRNA expression increased
steroid output profoundly. Furthermore, the highly significant association
between FSHR and AR mRNA gene expression enforces important functions of
androgens in follicular development. Collectively, these data reintroduce the
understanding of the follicular phase as two parted in which regulation of
steroidogenesis differs. The profound changes taking place around follicular
selection highlight important paracrine actions of TGF-beta family members and
IGFs for securing dominance of the selected follicle.
PMID- 29375483
TI - Variations of the Organic Matter Composition in the Sea Surface Microlayer: A
Comparison between Open Ocean, Coastal, and Upwelling Sites Off the Peruvian
Coast.
AB - The sea surface microlayer (SML) is the thin boundary layer between the ocean and
the atmosphere, making it important for air-sea exchange processes. However,
little is known about what controls organic matter composition in the SML. In
particular, there are only few studies available on the differences of the SML of
various oceanic systems. Here, we compared the organic matter and neuston species
composition in the SML and the underlying water (ULW) at 11 stations with varying
distance from the coast in the Peruvian upwelling regime, a system with high
emissions of climate relevant trace gases, such as N2O and CO2. In the open
ocean, organic carbon, and amino acids were highly enriched in the SML compared
to the ULW. The enrichment decreased at the coastal stations and vanished in the
upwelling regime. At the same time, the degradation of organic matter increased
from the open ocean to the upwelling stations. This suggests that in the open
ocean, upward transport processes or new production of organic matter within the
SML are faster than degradation processes. Phytoplankton was generally not
enriched in the SML, one group though, the Trichodesmium-like TrL (possibly
containing Trichodesmium), were enriched in the open ocean but not in the
upwelling region indicating that they find a favorable habitat in the open ocean
SML. Our data show that the SML is a distinct habitat; its composition is more
similar among different systems than between SML and ULW of a single station.
Generally the enrichment of organic matter is assumed to be reduced when
encountering low primary production and high wind speeds. However, our study
shows the highest enrichments of organic matter in the open ocean which had the
lowest primary production and the highest wind speeds.
PMID- 29375482
TI - Dual Actions of Mammalian and Piscine Gonadotropin-Inhibitory Hormones, RFamide
Related Peptides and LPXRFamide Peptides, in the Hypothalamic-Pituitary-Gonadal
Axis.
AB - Gonadotropin-inhibitory hormone (GnIH) is a hypothalamic neuropeptide that
decreases gonadotropin synthesis and release by directly acting on the
gonadotrope or by decreasing the activity of gonadotropin-releasing hormone
(GnRH) neurons. GnIH is also called RFamide-related peptide in mammals or
LPXRFamide peptide in fishes due to its characteristic C-terminal structure. The
primary receptor for GnIH is GPR147 that inhibits cAMP production in target
cells. Although most of the studies in mammals, birds, and fish have shown the
inhibitory action of GnIH in the hypothalamic-pituitary-gonadal (HPG) axis,
several in vivo studies in mammals and many in vivo and in vitro studies in fish
have shown its stimulatory action. In mouse, although the firing rate of the
majority of GnRH neurons is decreased, a small population of GnRH neurons is
stimulated by GnIH. In hamsters, GnIH inhibits luteinizing hormone (LH) release
in the breeding season when their endogenous LH level is high but stimulates LH
release in non-breeding season when their LH level is basal. Besides different
effects of GnIH on the HPG axis depending on the reproductive stages in fish,
higher concentration or longer duration of GnIH administration can stimulate
their HPG axis. These results suggest that GnIH action in the HPG axis is
modulated by sex-steroid concentration, the action of neuroestrogen synthesized
by the activity of aromatase stimulated by GnIH, estrogen membrane receptor,
heteromerization and internalization of GnIH, GnRH, and estrogen membrane
receptors. The inhibitory and stimulatory action of GnIH in the HPG axis may have
a physiological role to maintain reproductive homeostasis according to
developmental and reproductive stages.
PMID- 29375484
TI - Exogenous Nitrogen Addition Reduced the Temperature Sensitivity of Microbial
Respiration without Altering the Microbial Community Composition.
AB - Atmospheric nitrogen (N) deposition is changing in both load quantity and
chemical composition. The load effects have been studied extensively, whereas the
composition effects remain poorly understood. We conducted a microcosm experiment
to study how N chemistry affected the soil microbial community composition
characterized by phospholipid fatty acids (PLFAs) and activity indicated by
microbial CO2 release. Surface and subsurface soils collected from an old-growth
subtropical forest were supplemented with three N-containing materials (ammonium,
nitrate, and urea) at the current regional deposition load (50 kg ha-1 yr-1) and
incubated at three temperatures (10, 20, and 30 degrees C) to detect the
interactive effects of N deposition and temperature. The results showed that the
additions of N, regardless of form, did not alter the microbial PLFAs at any of
the three temperatures. However, the addition of urea significantly stimulated
soil CO2 release in the early incubation stage. Compared with the control, N
addition consistently reduced the temperature dependency of microbial
respiration, implying that N deposition could potentially weaken the positive
feedback of the warming-stimulated soil CO2 release to the atmosphere. The
consistent N effects for the surface and subsurface soils suggest that the
effects of N on soil microbial communities may be independent of soil chemical
contents and stoichiometry.
PMID- 29375485
TI - EPSP of L. casei BL23 Protected against the Infection Caused by Aeromonas veronii
via Enhancement of Immune Response in Zebrafish.
AB - Aquaculture is the fastest-growing food production sector in the world, and it
supplies nearly 50% of the global food fish supply. However, disease outbreaks
have become a major problem in the fish farming industry. The beneficial
contribution of probiotic bacteria to aquatic animals' health has been widely
described, and they have been widely used in aquaculture for disease control and
growth promotion. However, the action of probiotic bacterial components and
mechanisms underlying protection against pathogens afforded by probiotic bacteria
remain poorly understood. In the present study, we pre-colonized zebrafish larvae
(before hatching) with 17 potential probiotic bacterial strains and screened for
those possessing anti-infective effects against Aeromonas veronii. We found that
Lactobacillus casei BL23 significantly increased the survival of zebrafish larvae
upon A. veronii infection. Using a germ-free (GF) zebrafish model and gut
microbiota transplant experiment, we showed that L. casei BL23 per se has anti
infective effects in zebrafish larvae, which does not involve microbiota.
Furthermore, we identified an exopolysaccharide-protein complex (EPSP) extracted
from L. casei BL23 cells, which consisted of a 40-45 KD size protein and an
exopolysaccharide composed of alpha-Rha, alpha-Glc, beta-GlcNAc, and beta-GalNAc.
EPSP significantly increased the survival rate of GF zebrafish at a dose of 10-20
MUg/ml after A. veronii infection (P < 0.01). In addition, the EPSP induced a
higher expression of TLR1 and TLR2, and modulated the expression profile of pro
inflammatory and anti-inflammatory cytokines in zebrafish liver (ZFL) cells. Our
data indicated that the anti-infective effect of EPSP from L. casei BL23 was
mediated by enhancement of immune responses in zebrafish, which might involve the
TLR1/TLR2 signal pathway.
PMID- 29375486
TI - Combination Strategies to Enhance the Efficacy of Antimicrobial Peptides against
Bacterial Biofilms.
AB - The great clinical significance of biofilm-associated infections and their
inherent recalcitrance to antibiotic treatment urgently demand the development of
novel antibiofilm strategies. In this regard, antimicrobial peptides (AMPs) are
increasingly recognized as a promising template for the development of
antibiofilm drugs. Indeed, owing to their main mechanism of action, which relies
on the permeabilization of bacterial membranes, AMPs exhibit a strong
antimicrobial activity also against multidrug-resistant bacteria and slow-growing
or dormant biofilm-forming cells and are less prone to induce resistance compared
to current antibiotics. Furthermore, the antimicrobial potency of AMPs can be
highly increased by combining them with conventional (antibiotics) as well as
unconventional bioactive molecules. Combination treatments appear particularly
attractive in the case of biofilms since the heterogeneous nature of these
microbial communities requires to target cells in different metabolic states
(e.g., actively growing cells, dormant cells) and environmental conditions (e.g.,
acidic pH, lack of oxygen or nutrients). Therefore, the combination of different
bioactive molecules acting against distinct biofilm components has the potential
to facilitate biofilm control and/or eradication. The aim of this review is to
highlight the most promising combination strategies developed so far to enhance
the therapeutic potential of AMPs against bacterial biofilms. The rationale
behind and beneficial outcomes of using AMPs in combination with conventional
antibiotics, compounds capable of disaggregating the extracellular matrix,
inhibitors of signaling pathways involved in biofilm formation (i.e., quorum
sensing), and other peptide-based molecules will be presented and discussed.
PMID- 29375487
TI - Characterization of Antimicrobial-Producing Beneficial Bacteria Isolated from
Huanglongbing Escape Citrus Trees.
AB - The microbiome associated with crop plants has a strong impact on their health
and productivity. Candidatus Liberibacter asiaticus (Las), the bacterial pathogen
responsible for Huanglongbing (HLB) disease, lives inside the phloem of citrus
plants including the root system. It has been suggested that Las negatively
affects citrus microbiome. On the other hand, members of citrus microbiome also
influence the interaction between Las and citrus. Here, we report the isolation
and characterization of multiple putative beneficial bacteria from healthy citrus
rhizosphere. Firstly, six bacterial strains showing antibacterial activity
against two bacteria closely related to Las: Agrobacterium tumefaciens and
Sinorhizobium meliloti were selected. Among them, Burkholderia metallica strain
A53 and Burkholderia territorii strain A63 are within the beta-proteobacteria
class, whereas Pseudomonas granadensis strain 100 and Pseudomonas geniculata
strain 95 are within the gamma-proteobacteria class. Additionally, two gram
positive bacteria Rhodococcus jialingiae strain 108 and Bacillus pumilus strain
104 were also identified. Secondly, antimicrobial activity against three fungal
pathogens: Alternaria alternata, Colletotrichum acutatum, Phyllosticta
citricarpa, and two oomycetes: Phytophthora nicotianae and Phytophthora
palmivora. Four bacterial strains Burkholderia territorii A63, Burkholderia
metallica A53, Pseudomonas geniculata 95, and Bacillus pumilus 104 were shown to
have antagonistic activity against the citrus root pathogen Phytophthora
nicotianae based on dual culture antagonist assays and compartmentalized petri
dish assays. The four selected bacteria were sequenced. Genes involved in
phosphate solubilization, siderophore production and iron acquisition, volatile
organic compound production, osmoprotection and osmotic tolerance, phytohormone
production, antagonism, and nutrient competition were predicted and discussed
related to the beneficial traits.
PMID- 29375489
TI - Editorial: Eukaryotic Microbes Store Nitrate for "Breathing" in Anoxia.
PMID- 29375488
TI - Identification and Characterization of 5' Untranslated Regions (5'UTRs) in
Zymomonas mobilis as Regulatory Biological Parts.
AB - Regulatory RNA regions within a transcript, particularly in the 5' untranslated
region (5'UTR), have been shown in a variety of organisms to control the
expression levels of these mRNAs in response to various metabolites or
environmental conditions. Considering the unique tolerance of Zymomonas mobilis
to ethanol and the growing interest in engineering microbial strains with
enhanced tolerance to industrial inhibitors, we searched natural cis-regulatory
regions in this microorganism using transcriptomic data and bioinformatics
analysis. Potential regulatory 5'UTRs were identified and filtered based on
length, gene function, relative gene counts, and conservation in other organisms.
An in vivo fluorescence-based screening system was developed to confirm the
responsiveness of 36 5'UTR candidates to ethanol, acetate, and xylose stresses.
UTR_ZMO0347 (5'UTR of gene ZMO0347 encoding the RNA binding protein Hfq) was
found to down-regulate downstream gene expression under ethanol stress. Genomic
deletion of UTR_ZMO0347 led to a general decrease of hfq expression at the
transcript level and increased sensitivity for observed changes in Hfq expression
at the protein level. The role of UTR_ZMO0347 and other 5'UTRs gives us insight
into the regulatory network of Z. mobilis in response to stress and unlocks new
strategies for engineering robust industrial strains as well as for harvesting
novel responsive regulatory biological parts for controllable gene expression
platforms in this organism.
PMID- 29375490
TI - The Negative Effects of KPN00353 on Glycerol Kinase and Microaerobic 1,3
Propanediol Production in Klebsiella pneumoniae.
AB - 1,3-Propanediol (1,3-PD) is a valuable chemical intermediate in the synthesis of
polyesters, polyethers, and polyurethanes, which have applications in various
products such as cloth, bottles, films, tarpaulins, canoes, foam seals, high
resilience foam seating, and surface coatings. Klebsiella pneumoniae can produce
1,3-PD from glycerol. In this study, KPN00353, an EIIA homologue in the
phosphoenolpyruvate (PEP):carbohydrate phosphotransferase system (PTS), was found
to play a negative regulatory role in 1,3-PD production under microaerobic
conditions via binding to glycerol kinase (GlpK). The primary sequence of
KPN00353 is similar to those of the fructose-mannitol EIIA (EIIFru and EIIAMtl)
family. The interaction between KPN00353 and GlpK resulted in inhibition of the
synthesis of glycerol-3-phosphate (G3P) and correlated with reductions in
glycerol uptake and the production of 1,3-PD. Based on structure modeling, we
conclude that residue H65 of KPN00353 plays an important role in the interaction
with GlpK. We mutated this histidine residue to aspartate, glutamate, arginine
and glutamine to assess the effects of each KPN00353 variant on the interaction
with GlpK, on the synthesis of G3P and on the production of 1,3-PD. Our results
illuminate the role of KPN00353 in 1,3-PD production by K. pneumoniae under
microaerobic conditions.
PMID- 29375491
TI - The P-Type ATPase PA1429 Regulates Quorum-Sensing Systems and Bacterial
Virulence.
AB - Pseudomonas aeruginosa is becoming an increasingly prevalent pathogen, capable of
causing numerous life threatening infections in immunocompromised patients. The
three hierarchically arranged quorum sensing (QS) systems, namely las, rhl, and
pqs play key roles in coordinating virulence expression in P. aeruginosa.
However, the regulatory mechanisms of the pqs system have not been fully
elucidated. To identify new genes involved in synthesis of the Pseudomonas
quinolone signal (PQS), a transposon mutagenesis library was constructed. PA1429
was found to inhibit PQS biosynthesis. The PA1429 deletion mutant also exhibited
increased bacterial motility, biofilm formation, and virulence in a mouse model
of acute lung infection. Interestingly, it also displayed reduced tolerance to
oxidative stress. Mutated pqsH in the PA1429 deletion background restored
bacterial susceptibility to H2O2. In addition, our data showed that PA1429
repressed the expression of las and rhl systems. Overall, these results provide
new insights into the complex regulatory networks of quorum-sensing and virulence
expression in P. aeruginosa.
PMID- 29375492
TI - Characterization of Pathogenic Vibrio parahaemolyticus from the Chesapeake Bay,
Maryland.
AB - Vibrio parahaemolyticus is the leading cause of bacterial gastroenteritis
associated with seafood consumption in the United States. Here we investigated
the presence of virulence factors and genetic diversity of V. parahaemolyticus
isolated from water, oyster, and sediment samples from the Chesapeake Bay,
Maryland. Of more than 2,350 presumptive Vibrio collected, more than half were
confirmed through PCR as V. parahaemolyticus, with 10 encoding both tdh and trh
and 6 encoding only trh. Potentially pathogenic V. parahaemolyticus were then
serotyped with O1:KUT and O3:KUT predominant. Furthermore, pulsed-field gel
electrophoresis was performed and the constructed dendrogram displayed high
diversity, as did results from multiple-locus VNTR analysis. Vibrio
parahaemolyticus was readily isolated from Chesapeake Bay waters but was less
frequently isolated from oyster and sediment samples collected during this study.
Potentially pathogenic V. parahaemolyticus was isolated in fewer numbers and the
isolates displayed expansive diversity. Although characteristics of the
pathogenic V. parahaemolyticus were highly variable and the percent of pathogenic
V. parahaemolyticus detected was low, it is important to note that, pathogenic V.
parahaemolyticus are present in the Chesapeake Bay, warranting seafood monitoring
to minimize risk of disease for the public, and to reduce the economic burden of
V. parahaemolyticus related illness.
PMID- 29375493
TI - The Effect of Seed-Borne Fungi and Epichloe Endophyte on Seed Germination and
Biomass of Elymus sibiricus.
AB - The interactive effects of asexual Epichloe (formerly known as Neotyphodium)
endophytes isolated from Hordeum brevisubulatum, Elymus tangutorum and
Achnatherum inebrians, and seed-borne fungi on Elymus sibiricus seeds, were
determined by an in vitro study using supernatants from liquid cultures of the
endophyte strains. In an 8 week greenhouse study, the effects on the seedlings
growth was measured. The in vitro study was carried out with the seed-borne fungi
Alternaria alternata, Bipolaris sorokiniana, Fusarium avenaceum, and a Fusarium
sp. isolated from E. sibiricus. Different concentrations and combinations of the
liquid cultures of endophytic fungi enhanced the interim germination, germination
rate, length of coleoptile and radicle, and seedling dry weight of E. sibiricus
under stress from seed-borne fungi. In the greenhouse study, different
concentrations of the supernatant of the endophytes from H. brevisubulatum and E.
tangutorum but not A. inebrians, signficantly (P < 0.05) enhanced E. sibiricus
seed germination. There was no significant (P > 0.05) increase of the tiller
numbers after 2 weeks. However, later on, there were significant (P < 0.05)
increases in tiller number (4-8 weeks), seedling height (2-8 weeks) and dry
weight (2-8 weeks). The application of Epichloe endophyte culture supernatants
was an effective strategy to improve seed germination and growth under greenhouse
conditions.
PMID- 29375495
TI - Virome Characterization of a Collection of S. sclerotiorum from Australia.
AB - Sclerotinia sclerotiorum is a devastating plant pathogen that attacks numerous
economically important broad acre and vegetable crops worldwide. Mycoviruses are
widespread viruses that infect fungi, including S. sclerotiorum. As there were no
previous reports of the presence of mycoviruses in this pathogen in Australia,
studies were undertaken using RNA_Seq analysis to determine the diversity of
mycoviruses in 84 Australian S. sclerotiorum isolates collected from various
hosts. After RNA sequences were subjected to BLASTp analysis using NCBI database,
285 contigs representing partial or complete genomes of 57 mycoviruses were
obtained, and 34 of these (59.6%) were novel viruses. These 57 viruses were
grouped into 10 distinct lineages, namely Endornaviridae (four novel
mycoviruses), Genomoviridae (isolate of SsHADV-1), Hypoviridae (two novel
mycoviruses), Mononegavirales (four novel mycovirusess), Narnaviridae (10 novel
mycoviruses), Partitiviridae (two novel mycoviruses), Ourmiavirus (two novel
mycovirus), Tombusviridae (two novel mycoviruses), Totiviridae (one novel
mycovirus), Tymovirales (five novel mycoviruses), and two non-classified
mycoviruses lineages (one Botrytis porri RNA virus 1, one distantly related to
Aspergillus fumigatus tetramycovirus-1). Twenty-five mitoviruses were determined
and mitoviruses were dominant in the isolates tested. This is not only the first
study to show existence of mycoviruses in S. sclerotiorum in Australia, but
highlights how they are widespread and that many novel mycoviruses occur there.
Further characterization of these mycoviruses is warranted, both in terms of
exploring these novel mycoviruses for innovative biocontrol of Sclerotinia
diseases and in enhancing our overall knowledge on viral diversity, taxonomy,
ecology, and evolution.
PMID- 29375494
TI - Prospects for Fungal Bioremediation of Acidic Radioactive Waste Sites:
Characterization and Genome Sequence of Rhodotorula taiwanensis MD1149.
AB - Highly concentrated radionuclide waste produced during the Cold War era is stored
at US Department of Energy (DOE) production sites. This radioactive waste was
often highly acidic and mixed with heavy metals, and has been leaking into the
environment since the 1950s. Because of the danger and expense of cleanup of such
radioactive sites by physicochemical processes, in situ bioremediation methods
are being developed for cleanup of contaminated ground and groundwater. To date,
the most developed microbial treatment proposed for high-level radioactive sites
employs the radiation-resistant bacterium Deinococcus radiodurans. However, the
use of Deinococcus spp. and other bacteria is limited by their sensitivity to low
pH. We report the characterization of 27 diverse environmental yeasts for their
resistance to ionizing radiation (chronic and acute), heavy metals, pH minima,
temperature maxima and optima, and their ability to form biofilms. Remarkably,
many yeasts are extremely resistant to ionizing radiation and heavy metals. They
also excrete carboxylic acids and are exceptionally tolerant to low pH. A special
focus is placed on Rhodotorula taiwanensis MD1149, which was the most resistant
to acid and gamma radiation. MD1149 is capable of growing under 66 Gy/h at pH 2.3
and in the presence of high concentrations of mercury and chromium compounds, and
forming biofilms under high-level chronic radiation and low pH. We present the
whole genome sequence and annotation of R. taiwanensis strain MD1149, with a
comparison to other Rhodotorula species. This survey elevates yeasts to the
frontier of biology's most radiation-resistant representatives, presenting a
strong rationale for a role of fungi in bioremediation of acidic radioactive
waste sites.
PMID- 29375496
TI - iTRAQ-Based Proteomic Analysis of Sublethally Injured Escherichia coli O157:H7
Cells Induced by High Pressure Carbon Dioxide.
AB - High pressure carbon dioxide (HPCD) could cause sublethally injured cells (SICs),
which may cause food poisoning and spoilage during food storage and limit its
application. Therefore, the formation of SICs of Escherichia coli O157:H7 was
investigated by isobaric tag for relative and absolute quantification (iTRAQ)
proteomic methods in this study for better controlling the SICs induced by HPCD.
A total of 2,446 proteins was identified by iTRAQ, of which 93 and 29 were
significantly differentially expressed in the SICs compared with live control
cells (CKL) and dead control cells (CKD), respectively. Among the 93
differentially expressed proteins (DEP) in the SICs compared with CKL, 65
proteins showed down-regulation and 28 showed up-regulation. According to the
comprehensive proteome coverage analysis, the SICs survived under HPCD by
reducing carbohydrate decomposing, lipid transport and metabolism, amino acid
transport and metabolism, transcription and translation, DNA replication and
repair. Besides, the SICs showed stress response, DNA damage response and an
increased carbohydrate transport, peptidoglycan synthesis and disulfide bond
formation to HPCD. Among the 29 DEP in the SICs compared with CKD, 12 proteins
showed down-regulation and 17 showed up-regulation. According to the
comprehensive proteome coverage analysis, the SICs survived under HPCD by
accumulation of cell protective agents like carbohydrates and amino acids, and
decreasing transcription and translation activities. Results showed that the
formation of the SICs with low metabolic activity and high survival ability was a
survival strategy for E. coli O157:H7 against HPCD.
PMID- 29375497
TI - Abundance of Secreted Proteins of Trichoderma reesei Is Regulated by Light of
Different Intensities.
AB - In Trichoderma reesei light is an important factor in the regulation of glycoside
hydrolase gene expression. We therefore investigated the influence of different
light intensities on cellulase activity and protein secretion. Differentially
secreted proteins in light and darkness as identified by mass spectrometry
included members of different glycoside hydrolase families, such as CBH1, Cel3A,
Cel61B, XYN2, and XYN4. Several of the associated genes showed light-dependent
regulation on the transcript level. Deletion of the photoreceptor genes blr1 and
blr2 resulted in a diminished difference of protein abundance between light and
darkness. The amount of secreted proteins including that of the major exo-acting
beta-1,4-glucanases CBH1 and CBH2 was generally lower in light-grown cultures
than in darkness. In contrast, cbh1 transcript levels increased with increasing
light intensity from 700 to 2,000 lux but dopped at high light intensity (5,000
lux). In the photoreceptor mutants Deltablr1 and Deltablr2 cellulase activity in
light was reduced compared to activity in darkness, showing a discrepancy between
transcript levels and secreted cellulase activity. Furthermore, evaluation of
different light sensitivities revealed an increased light tolerance with respect
to cellulase expression of QM9414 compared to its parental strain QM6a.
Investigation of one of the differentially expressed proteins between light and
darkness, CLF1, revealed its function as a factor involved in regulation of
secreted protease activity. T. reesei secretes a different set of proteins in
light compared to darkness, this difference being mainly due to the function of
the major known photoreceptors. Moreover, cellulase regulation is adjusted to
light intensity and improved light tolerance was correlated with increased
cellulase production. Our findings further support the hypothesis of a light
intensity dependent post-transcriptional regulation of cellulase gene expression
in T. reesei.
PMID- 29375498
TI - In Vitro and in Silico Evidence of Phosphatase Diversity in the Biomineralizing
Bacterium Ramlibacter tataouinensis.
AB - Microbial phosphatase activity can trigger the precipitation of metal-phosphate
minerals, a process called phosphatogenesis with global geochemical and
environmental implications. An increasing diversity of phosphatases expressed by
diverse microorganisms has been evidenced in various environments. However, it is
challenging to link the functional properties of genomic repertoires of
phosphatases with the phosphatogenesis capabilities of microorganisms. Here, we
studied the betaproteobacterium Ramlibacter tataouinensis (Rta), known to
biomineralize Ca-phosphates in the environment and the laboratory. We
investigated the functional repertoire of this biomineralization process at the
cell, genome and molecular level. Based on a mineralization assay, Rta is shown
to hydrolyse the phosphoester bonds of a wide range of organic P molecules.
Accordingly, its genome has an unusually high diversity of phosphatases: five
genes belonging to two non-homologous families, phoD and phoX, were detected.
These genes showed diverse predicted cis-regulatory elements. Moreover, they
encoded proteins with diverse structural properties according to molecular
models. Heterologously expressed PhoD and PhoX in Escherichia coli had different
profiles of substrate hydrolysis. As evidenced for Rta cells, recombinant E. coli
cells induced the precipitation of Ca-phosphate mineral phases, identified as
poorly crystalline hydroxyapatite. The phosphatase genomic repertoire of Rta
(containing phosphatases of both the PhoD and PhoX families) was previously
evidenced as prevalent in marine oligotrophic environments. Interestingly, the
Tataouine sand from which Rta was isolated showed similar P-depleted, but Ca-rich
conditions. Overall, the diversity of phosphatases in Rta allows the hydrolysis
of a broad range of organic P substrates and therefore the release of
orthophosphates (inorganic phosphate) under diverse trophic conditions. Since the
release of orthophosphates is key to the achievement of high saturation levels
with respect to hydroxyapatite and the induction of phosphatogenesis, Rta appears
as a particularly efficient driver of this process as shown experimentally.
PMID- 29375500
TI - Experimental Aspects Suggesting a "Fluxus" of Information in the Virions of
Herpes Simplex Virus Populations.
AB - Our perspective on nature has changed throughout history and at the same time has
affected directly or indirectly our perception of biological processes. In that
sense, the "fluxus" of information in a viral population arises a result of a
much more complex process than the encoding of a protein by a gene, but as the
consequence of the interaction between all the components of the genome and its
products: DNA, RNA, and proteins and its modulation by the environment. Even
modest "agents of life" like viruses display an intricate way to express their
information. This conclusion can be withdrawn from the huge quantity of data
furnished by new and potent technologies available now to analyze viral
populations. Based on this premise, evolutive processes for viruses are now
interpreted as a simultaneous and coordinated phenomenon that leads to global
(i.e., not gradual or 'random') remodeling of the population. Our system of study
involves the modulation of herpes simplex virus populations through the selective
pressure exerted by carrageenans, natural compounds that interfere with virion
attachment to cells. On this line, we demonstrated that the passaging of virus in
the presence of carrageenans leads to the appearance of progeny virus
phenotipically different from the parental seed, particularly, the emergence of
syncytial (syn) variants. This event precedes the emergence of mutations in the
population which can be readily detected five passages after from the moment of
the appearance of syn virus. This observation can be explained taking into
consideration that the onset of phenotypic changes may be triggered by
"environmental-sensitive" glycoproteins. These "environmental-sensitive"
glycoproteins may act by themselves or may transmit the stimulus to "adapter"
proteins, particularly, proteins of the tegument, which eventually modulate the
expression of genomic products in the "virocell." The modulation of the RNA
network is a common strategy of the virocell to respond to environmental changes.
This "fast" adaptive mechanism is followed eventually by the appearance of
mutations in the viral genome. In this paper, we interpret these findings from a
philosophical and scientific point of view interconnecting epigenetic action,
exerted by carragenans from early RNA network-DNA interaction to late DNA
mutation. The complexity of HSV virion structure is an adequate platform to
envision new studies on this topic that may be complemented in a near future
through the analysis of the genetic dynamics of HSV populations.
PMID- 29375499
TI - Identification of the Autochaperone Domain in the Type Va Secretion System
(T5aSS): Prevalent Feature of Autotransporters with a beta-Helical Passenger.
AB - Autotransporters (ATs) belong to a family of modular proteins secreted by the
Type V, subtype a, secretion system (T5aSS) and considered as an important source
of virulence factors in lipopolysaccharidic diderm bacteria (archetypical Gram
negative bacteria). While exported by the Sec pathway, the ATs are further
secreted across the outer membrane via their own C-terminal translocator forming
a beta-barrel, through which the rest of the protein, namely the passenger, can
pass. In several ATs, an autochaperone domain (AC) present at the C-terminal
region of the passenger and upstream of the translocator was demonstrated as
strictly required for proper secretion and folding. However, considering it was
functionally characterised and identified only in a handful of ATs, wariness
recently fells on the commonality and conservation of this structural element in
the T5aSS. To circumvent the issue of sequence divergence and taking advantage of
the resolved three-dimensional structure of some ACs, identification of this
domain was performed following structural alignment among all AT passengers
experimentally resolved by crystallography before searching in a dataset of 1523
ATs. While demonstrating that the AC is indeed a conserved structure found in
numerous ATs, phylogenetic analysis further revealed a distribution into deeply
rooted branches, from which emerge 20 main clusters. Sequence analysis revealed
that an AC could be identified in the large majority of SAATs (self-associating
ATs) but not in any LEATs (lipase/esterase ATs) nor in some PATs (protease
autotransporters) and PHATs (phosphatase/hydrolase ATs). Structural analysis
indicated that an AC was present in passengers exhibiting single-stranded right
handed parallel beta-helix, whatever the type of beta-solenoid, but not with
alpha-helical globular fold. From this investigation, the AC of type 1 appears as
a prevalent and conserved structural element exclusively associated to beta
helical AT passenger and should promote further studies about the protein
secretion and folding via the T5aSS, especially toward alpha-helical AT
passengers.
PMID- 29375501
TI - Impact of Seed Exudates on Growth and Biofilm Formation of Bacillus
amyloliquefaciens ALB629 in Common Bean.
AB - We aimed to unravel the events which favor the seed-rhizobacterium Bacillus
amyloliquefaciens strain ALB629 (hereafter ALB629) interaction and which may
interfere with the rhizobacterium colonization and growth on the spermosphere of
common bean. Seed exudates from common bean were tested in vitro for ALB629
biofilm formation and bacterial growth. Furthermore, the performance of ALB629 on
plant-related variables under drought stress was checked. Seed exudates (1 and 5%
v/v) increased ALB629 biofilm formation. Additionally, the colony forming units
for ALB629 increased both in culture and on the bean seed surface. The bean seed
exudates up-regulated biofilm operons in ALB629 TasA and EpsD by ca. two and
sixfold, respectively. The high-performance liquid chromatography (HPLC)-coupled
with MS showed that malic acid is present as a major organic acid component in
the seed exudates. Seeds treated with ALB629 and amended with malic acid resulted
in seedlings with a higher bacterial concentration, induced plant drought
tolerance, and promoted plant growth. We showed that seed exudates promote growth
of ALB629 and malic acid was identified as a major organic acid component in the
bean seed exudates. Our results also show that supplementation of ALB629 induced
drought tolerance and growth in plants. The research pertaining to the biological
significance of seed exudates in plant-microbe interaction is unexplored field
and our work shows the importance of seed exudates in priming both growth and
tolerance against abiotic stress.
PMID- 29375502
TI - Yeast Starter as a Biotechnological Tool for Reducing Copper Content in Wine.
AB - Copper is widely used in agriculture as a traditional fungicide in organic
farming to control downy mildew on grapes, consequently it is possible to find
this metal during all stages of the vinification process. Low amounts of copper
play a key role on the function of key cell enzymes, whereas excess quantities
can exert amount-dependent cytotoxicity, resulting in general cellular damage.
Nowadays the excessive copper ions in wines is removed by addition of adsorbents,
but these additives can influence the sensory characteristics of wine, as well as
detrimental to the health of consumers. It is well known that high concentrations
of Cu2+ can be toxic to yeasts, inhibiting growth and activity, causing sluggish
fermentation and reducing alcohol production. In this study, 47 S. cerevisiae
strains were tested for copper tolerance by two different tests, growth on copper
added medium and fermentative activity in copper added grape must. The results
obtained by the two different tests were comparable and the high strain
variability found was used to select four wild strains, possessing this
characteristic at the highest (PP1-13 and A20) and the lowest level (MPR2-24 and
A13). The selected strains were tested in synthetic and natural grape must
fermentation for ability to reduce copper content in wine. The determination of
copper content in wines and yeast cells revealed that at the lowest copper
residual in wine corresponded the highest content in yeast cells, indicating a
strong strain ability to reduce the copper content in wine. This effect was
inversely correlated with strain copper resistance and the most powerful strain
in copper reduction was the most sensitive strain, MPR2-24. This wild strain was
finally tested as starter culture in cellar pilot scale fermentation in
comparison to a commercial starter, confirming the behavior exhibited at lab
scale. The use of this wild strain to complete the alcoholic fermentation and
remove the copper from wine represents a biotechnological sustainable approach,
as alternative to the chemical-physical methods, ensuring at the same time a
completed alcoholic fermentation and organoleptic quality of wine.
PMID- 29375503
TI - Bovine Lactoferrin and Lactoferrin-Derived Peptides Inhibit the Growth of Vibrio
cholerae and Other Vibrio species.
AB - Vibrio is a genus of Gram-negative bacteria, some of which can cause serious
infectious diseases. Vibrio infections are associated with the consumption of
contaminated food and classified in Vibrio cholera infections and non-cholera
Vibrio infections. In the present study, we investigate whether bovine
lactoferrin (bLF) and several synthetic peptides corresponding to bLF sequences,
are able to inhibit the growth or have bactericidal effect against V. cholerae
and other Vibrio species. The antibacterial activity of LF and LF-peptides was
assessed by kinetics of growth or determination of colony forming unit in
bacteria treated with the peptides and antibiotics. To get insight in the mode of
action, the interaction between bLF and bLF-peptides (coupled to FITC) and V.
cholera was evaluated. The damage of effector-induced bacterial membrane
permeability was measured by inclusion of the fluorescent dye propidium iodide
using flow cytometry, whereas the bacterial ultrastructural damage in bacteria
treated was observed by transmission electron microscopy. The results showed that
bLF and LFchimera inhibited the growth of the V. cholerae strains; LFchimera
permeabilized the bacteria which membranes were seriously damaged. Assays with a
multidrug-resistant strain of Vibrio species indicated that combination of sub
lethal doses of LFchimera with ampicillin or tetracycline strongly reduced the
concentration of the antibiotics to reach 95% growth inhibition. Furthermore,
LFchimera were effective to inhibit the V. cholerae counts and damage due to this
bacterium in a model mice. These data suggest that LFchimera and bLF are
potential candidates to combat the V. cholerae and other multidrug resistant
Vibrio species.
PMID- 29375504
TI - Probing the Structures of Viral RNA Regulatory Elements with SHAPE and Related
Methodologies.
AB - Viral RNAs were selected by evolution to possess maximum functionality in a
minimal sequence. Depending on the classification of the virus and the type of
RNA in question, viral RNAs must alternately be replicated, spliced, transcribed,
transported from the nucleus into the cytoplasm, translated and/or packaged into
nascent virions, and in most cases, provide the sequence and structural
determinants to facilitate these processes. One consequence of this compact
multifunctionality is that viral RNA structures can be exquisitely complex, often
involving intermolecular interactions with RNA or protein, intramolecular
interactions between sequence segments separated by several thousands of
nucleotides, or specialized motifs such as pseudoknots or kissing loops. The
fluidity of viral RNA structure can also present a challenge when attempting to
characterize it, as genomic RNAs especially are likely to sample numerous
conformations at various stages of the virus life cycle. Here we review advances
in chemoenzymatic structure probing that have made it possible to address such
challenges with respect to cis-acting elements, full-length viral genomes and
long non-coding RNAs that play a major role in regulating viral gene expression.
PMID- 29375505
TI - The Role of NADPH Oxidase in the Inhibition of Trichophyton rubrum by 420-nm
Intense Pulsed Light.
AB - Objectives: To evaluate the effect of intense pulsed light (IPL) on Trichophyton
rubrum and investigate its mechanism of action. Methods: The viability of fungi
treated with IPL alone and with IPL combined with an NADPH oxidase inhibitor
(DPI) pretreatment was determined by MTT assays. The reactive oxygen species
(ROS) were quantified with a DCFH-DA fluorescent probe. Malondialdehyde (MDA)
content and superoxide dismutase (SOD) and glutathione peroxidase (GSH-Px)
activities were determined by commercial kits. The transcription of the Nox gene
was quantified using quantitative real-time PCR (qRT-PCR) analysis, and
micromorphology was observed using scanning electron microscopy (SEM). In
addition, fungal keratinase activity was detected by measuring dye release from
keratin azure. Results: The growth declined with statistical significance after 6
h of treatment (P < 0.001). The ROS and MDA content increased after IPL
treatment, whereas the SOD and GSH-Px activity decreased. Nox gene expression was
upregulated, and the micromorphology was damaged. Keratinase activity decreased.
Fungi that received DPI pretreatment exhibited contrasting outcomes. Conclusion:
We found that 420-nm IPL significantly inhibited the growth and pathogenicity of
T. rubrum in vitro. A suggested mechanism involves Nox as a factor that mediates
420-nm IPL-induced oxidative damage of T. rubrum.
PMID- 29375506
TI - Genomic Analysis of Two Phylogenetically Distinct Nitrospira Species Reveals
Their Genomic Plasticity and Functional Diversity.
AB - The genus Nitrospira represents a dominant group of nitrite-oxidizing bacteria in
natural and engineered ecosystems. This genus is phylogenetically divided into
six lineages, for which vast phylogenetic and functional diversity has been
revealed by recent molecular ecophysiological analyses. However, the genetic
basis underlying these phenotypic differences remains largely unknown because of
the lack of genome sequences representing their diversity. To gain a more
comprehensive understanding of Nitrospira, we performed genomic comparisons
between two Nitrospira strains (ND1 and NJ1 belonging to lineages I and II,
respectively) previously isolated from activated sludge. In addition, the genomes
of these strains were systematically compared with previously reported six
Nitrospira genomes to reveal their similarity and presence/absence of several
functional genes/operons. Comparisons of Nitrospira genomes indicated that their
genomic diversity reflects phenotypic differences and versatile nitrogen
metabolisms. Although most genes involved in key metabolic pathways were
conserved between strains ND1 and NJ1, assimilatory nitrite reduction pathways of
the two Nitrospira strains were different. In addition, the genomes of both
strains contain a phylogenetically different urease locus and we confirmed their
ureolytic activity. During gene annotation of strain NJ1, we found a gene cluster
encoding a quorum-sensing system. From the enriched supernatant of strain NJ1, we
successfully identified seven types of acyl-homoserine lactones with a range of
C10-C14. In addition, the genome of strain NJ1 lacks genes relevant to flagella
and the clustered regularly interspaced short palindromic repeat (CRISPR)-Cas
(CRISPR-associated genes) systems, whereas most nitrifying bacteria including
strain ND1 possess these genomic elements. These findings enhance our
understanding of genomic plasticity and functional diversity among members of the
genus Nitrospira.
PMID- 29375507
TI - Phagocytic and Bactericidal Properties of Channel Catfish Peritoneal Macrophages
Exposed to Edwardsiella ictaluri Live Attenuated Vaccine and Wild-Type Strains.
AB - Edwardsiella ictaluri (E. ictaluri), a Gram-negative, intracellular, facultative
bacterium, is the causative agent of enteric septicemia of catfish (ESC), which
is one of the most significant diseases of farmed channel catfish. Macrophages
have a critical role in major defense mechanisms against bacterial infections by
migrating to the site of infection, engulfing and killing pathogens, and priming
adaptive immune responses. Vaccination of catfish with E. ictaluri live
attenuated vaccine (LAV) strains increased the efficiency of phagocytosis and
bacterial killing in catfish peritoneal macrophages compared in vitro with
macrophages from non-vaccinated fish. Recently, our group developed several
protective LAV strains from E. ictaluri. However, their effects on the antigen
uptake and bacterial killing in catfish macrophages have not been evaluated. In
this study, we assessed the phagocytic and bactericidal activity of peritoneal
macrophages in the uptake of E. ictaluri wild-type (WT) and two LAV strains. We
found that phagocytosis of LAV strains was significantly higher compared to their
WT counterpart in peritoneal macrophages. Moreover, the uptake of E. ictaluri
opsonized with sera from vaccinated catfish was more efficient than when
opsonized with sera from sham-vaccinated fish. Notably, catfish macrophages did
not lose their phagocytic properties at 4 degrees C, as described previously in
mammalian and zebrafish models. Also, opsonization of E. ictaluri with
inactivated sera from vaccinated and sham-vaccinated catfish decreased
significantly phagocytic uptake of bacteria at 32 degrees C, and virtually
suppressed endocytosis at 4 degrees C, suggesting the important role of
complement-dependent mechanisms in catfish macrophage phagocytosis. In
conclusion, our data on enhanced phagocytic capacity and effective killing
ability in macrophages of vaccine strains suggested the LAVs' advantage if
processed and presented in the form of peptides to specific lymphocytes of an
adaptive immune system and emphasize the importance of macrophage-mediated
immunity against ESC. Furthermore, we showed the role of complement-dependent
mechanisms in the phagocytic uptakes of E. ictaluri in catfish peritoneal
macrophages at 4 and 32 degrees C. Finally, LAV vaccine-induced bacterial
phagocytosis and killing properties of peritoneal macrophages emphasized the
importance of the innate immune responses in ESC.
PMID- 29375508
TI - Breast Milk Is a Potential Reservoir for Livestock-Associated Staphylococcus
aureus and Community-Associated Staphylococcus aureus in Shanghai, China.
AB - Breast milk is the first choice in feeding newborn infants and provides multiple
benefits for their growth and development. Staphylococcus aureus usually exists
in breast milk and is considered one of the most important causative infective
agents. To be effective in preventing and controlling S. aureus infections among
infants, the aim of this study was to determine the occurrence and molecular
characteristics of S. aureus isolated from 1102 samples of breast milk between
2015 and 2016 in Shanghai, China. Out of 71 S. aureus strains isolated, 15
(21.1%, 15/71) were MRSA and all the strains were characterized by spa typing,
Multi-Locus Sequence Typing, SCCmec typing, antibiotic resistance testing and
virulence-associated genes. A total of 18 distinct sequence types (STs) and 36
spa types were identified within the 71 isolates, among which the most frequently
represented was ST398 (19.7%, 14/71), followed by ST7 (18.3%, 13/71), ST59
(16.9%, 12/71). The three predominant STs accounted for more than one half of all
S. aureus isolates. The most prevalent spa types were t091 (12.7%, 9/71),
followed by t571 (8.5%, 6/71), t189 (7.0%, 5/71), t034 (5.6%, 4/71), t437 (5.6%,
4/71), and t701 (4.2%, 3/71). All MRSA isolates belonged to SCCmec IV and V,
accounting for 66.7 and 33.3% respectively. Notably, 23 (32.4%) S. aureus strains
were multidrug resistance (MDR), including 4 (5.6%) MRSA and 19 (26.8%) MSSA
strains, and MDR isolates were mostly resistant to penicillin, erythromycin and
clindamycin. All isolates exhibited simultaneous carriage of at least 5 of 33
possible virulence genes and the most prevalent genes detected were icaA (100%),
clfA (100%), hla (100%), sdrC (94.4%), hlg2 (88.7%), lukE (57.8%). 39 (54.9%,
39/71) isolates, including 9 (12.7%) of MRSA isolates, harbored >=10 tested
virulence genes evaluated in this study. The pvl gene was detected in 8 strains,
which represented 5 different STs, with ST59 being the most one. Overall, our
findings showed that S. aureus strains isolated from breast milk were mainly MSSA
(78.9%, 56/71) and exhibited high genetic diversity in Shanghai area of China.
Breast milk was a reservoir for LA-SA (ST398) and CA-SA (ST59), which was likely
a vehicle for transmission of multidrug-resistant S. aureus and MRSA lineages.
This is a potential public health risk and highlights the need for good hygiene
practices to reduce the risk of infant infections.
PMID- 29375509
TI - Phytochemicals from Camellia nitidissima Chi Flowers Reduce the Pyocyanin
Production and Motility of Pseudomonas aeruginosa PAO1.
AB - Camellia nitidissima Chi, known as a medicinal and edible plant in China,
exhibits multiple bioactivities, especially antibacterial activity. In this
study, we investigated the inhibitory effects of the dichloromethane fraction
(DF) of C. nitidissima Chi flowers on the pyocyanin production, swarming
motility, and swimming motility of Pseudomonas aeruginosa PAO1, at sub-minimum
inhibitory concentrations. Results showed that the DF had a remarkable inhibitory
effect on pyocyanin production without influencing P. aeruginosa PAO1 growth, and
concentration-dependent inhibitory effects on swarming and swimming motility. The
half maximal inhibitory concentrations (IC50) were 0.158 +/- 0.009, 0.139 +/-
0.004, and 0.334 +/- 0.049 mg/mL for pyocyanin production, swarming motility, and
swimming motility, respectively. Real-time RT-PCR showed that the DF
significantly down-regulated the expressions of lasR (p < 0.05) and rhlR (p <
0.01). In addition, gallic acid, catechin, ellagic acid, chlorogenic acid,
quercetin, and kaempferol were identified in the DF by HPLC Triple TOF MS/MS
analysis. All six identified compounds showed inhibitory effects on pyocyanin
production, swarming motility, and swimming motility, though ellagic acid showed
the strongest effects, with IC50 values of 0.067 +/- 0.002, 0.024 +/- 0.008, and
0.020 +/- 0.003 mg/mL, respectively. Thus, the inhibitory effects on P.
aeruginosa PAO1 virulence factors might be attributable to these six and/or other
compounds in the DF of C. nitidissima Chi flowers. Consequently, the C.
nitidissima Chi flower, especially the DF, might be a potential quorum sensing
inhibitor of P. aeruginosa PAO1.
PMID- 29375510
TI - Differential NtcA Responsiveness to 2-Oxoglutarate Underlies the Diversity of C/N
Balance Regulation in Prochlorococcus.
AB - Previous studies showed differences in the regulatory response to C/N balance in
Prochlorococcus with respect to other cyanobacteria, but no information was
available about its causes, or the ecological advantages conferred to thrive in
oligotrophic environments. We addressed the changes in key enzymes (glutamine
synthetase, isocitrate dehydrogenase) and the ntcA gene (the global nitrogen
regulator) involved in C/N metabolism and its regulation, in three model
Prochlorococcus strains: MED4, SS120, and MIT9313. We observed a remarkable level
of diversity in their response to azaserine, a glutamate synthase inhibitor which
increases the concentration of the key metabolite 2-oxoglutarate, used to sense
the C/N balance by cyanobacteria. Besides, we studied the binding between the
global nitrogen regulator (NtcA) and the promoter of the glnA gene in the same
Prochlorococcus strains, and its dependence on the 2-oxoglutarate concentration,
by using isothermal titration calorimetry, surface plasmon resonance, and
electrophoretic mobility shift. Our results show a reduction in the
responsiveness of NtcA to 2-oxoglutarate in Prochlorococcus, especially in the
MED4 and SS120 strains. This suggests a trend to streamline the regulation of C/N
metabolism in late-branching Prochlorococcus strains (MED4 and SS120), in
adaptation to the rather stable conditions found in the oligotrophic ocean gyres
where this microorganism is most abundant.
PMID- 29375511
TI - Identification, Comparison, and Validation of Robust Rumen Microbial Biomarkers
for Methane Emissions Using Diverse Bos Taurus Breeds and Basal Diets.
AB - Previous shotgun metagenomic analyses of ruminal digesta identified some
microbial information that might be useful as biomarkers to select cattle that
emit less methane (CH4), which is a potent greenhouse gas. It is known that
methane production (g/kgDMI) and to an extent the microbial community is
heritable and therefore biomarkers can offer a method of selecting cattle for low
methane emitting phenotypes. In this study a wider range of Bos Taurus cattle,
varying in breed and diet, was investigated to determine microbial communities
and genetic markers associated with high/low CH4 emissions. Digesta samples were
taken from 50 beef cattle, comprising four cattle breeds, receiving two basal
diets containing different proportions of concentrate and also including feed
additives (nitrate or lipid), that may influence methane emissions. A combination
of partial least square analysis and network analysis enabled the identification
of the most significant and robust biomarkers of CH4 emissions (VIP > 0.8) across
diets and breeds when comparing all potential biomarkers together. Genes
associated with the hydrogenotrophic methanogenesis pathway converting carbon
dioxide to methane, provided the dominant biomarkers of CH4 emissions and
methanogens were the microbial populations most closely correlated with CH4
emissions and identified by metagenomics. Moreover, these genes grouped together
as confirmed by network analysis for each independent experiment and when
combined. Finally, the genes involved in the methane synthesis pathway explained
a higher proportion of variation in CH4 emissions by PLS analysis compared to
phylogenetic parameters or functional genes. These results confirmed the
reproducibility of the analysis and the advantage to use these genes as robust
biomarkers of CH4 emissions. Volatile fatty acid concentrations and ratios were
significantly correlated with CH4, but these factors were not identified as
robust enough for predictive purposes. Moreover, the methanotrophic Methylomonas
genus was found to be negatively correlated with CH4. Finally, this study
confirmed the importance of using robust and applicable biomarkers from the
microbiome as a proxy of CH4 emissions across diverse production systems and
environments.
PMID- 29375512
TI - Comparative Genomics of Thalassobius Including the Description of Thalassobius
activus sp. nov., and Thalassobius autumnalis sp. nov.
AB - A taxogenomic study was conducted to describe two new Thalassobius species and to
analyze the internal consistency of the genus Thalassobius along with Shimia and
Thalassococcus. Strains CECT 5113T, CECT 5114, CECT 5118T, and CECT 5120 were
isolated from coastal Mediterranean seawater, Spain. Cells were Gram-negative,
non- motile coccobacilli, aerobic chemoorganotrophs, with an optimum temperature
of 26 degrees C and salinity of 3.5-5%. Major cellular fatty acids of strains
CECT 5113T and CECT 5114 were C18 : 1 omega7c/omega6c and C10 : 0 3OH, G+C
content was 54.4-54.5 mol% and were able to utilize propionate, L-threonine, L-
arginine, and L-aspartate as carbon sources. They exhibited 98.3% 16S rRNA gene
sequence similarity, 75.0-75.1 ANIb and 19.5-20.9 digital DDH to type strain of
their closest species, Thalassobius maritimus. Based on these data, strains CECT
5113T and CECT 5114 are recognized as a new species, for which the name
Thalassobius activus is proposed, with strain CECT 5113T (=LMG 29900T) as type
strain. Strains CECT 5118T and CECT 5120 were found to constitute another new
species, with major cellular fatty acids C18 : 1 omega7c/omega6c and C18 : 1
omega7c 11-methyl and a G+C content of 59.8 mol%; they were not able to utilize
propionate, L-threonine, L- arginine or L-aspartate. Their closest species was
Thalassobius mediterraneus, with values of 99.6% 16S rRNA gene sequence
similarity, 79.1% ANIb and 23.2% digital DDH compared to the type strain, CECT
5383T. The name Thalassobius autumnalis is proposed for this second new species,
with strain CECT 5118T (=LMG 29904T) as type strain. To better determine the
phylogenetic relationship of the two new species, we submitted 12 genomes
representing species of Thalassobius, Shimia, and Thalassoccocus, to a
phylogenomic analysis based on 54 single protein-encoding genes (BCG54). The
resulting phylogenomic tree did not agree with the current genera classification,
as Thalassobius was divided in three clades, Thalassobius sensu stricto (T.
mediterraneus, T. autumnalis sp. nov., and T. gelatinovorus), Thalassobius
aestuarii plus the three Shimia spp (S. marina, S. haliotis, and Shimia sp.
SK013) and finally, Thalasobius maritimus plus T. activus sp. nov. Thalassococcus
halodurans remained apart from the two genera. Phenotypic inferences from
explored genomes are presented.
PMID- 29375513
TI - High Hydrostatic Pressure Inducible Trimethylamine N-Oxide Reductase Improves the
Pressure Tolerance of Piezosensitive Bacteria Vibrio fluvialis.
AB - High hydrostatic pressure (HHP) exerts severe effects on cellular processes
including impaired cell division, abolished motility and affected enzymatic
activities. Transcriptomic and proteomic analyses showed that bacteria switch the
expression of genes involved in multiple energy metabolism pathways to cope with
HHP. We sought evidence of a changing bacterial metabolism by supplying
appropriate substrates that might have beneficial effects on the bacterial
lifestyle at elevated pressure. We isolated a piezosensitive marine bacterium
Vibrio fluvialis strain QY27 from the South China Sea. When trimethylamine N
oxide (TMAO) was used as an electron acceptor for energy metabolism, QY27
exhibited a piezophilic-like phenotype with an optimal growth at 30 MPa. Raman
spectrometry and biochemistry analyses revealed that both the efficiency of the
TMAO metabolism and the activity of the TMAO reductase increased under high
pressure conditions. Among the two genes coding for TMAO reductase catalytic
subunits, the expression level and enzymatic activity of TorA was up-regulated by
elevated pressure. Furthermore, a genetic interference assay with the CRISPR
dCas9 system demonstrated that TorA is essential for underpinning the improved
pressure tolerance of QY27. We extended the study to Vibrio fluvialis type strain
ATCC33809 and observed the same phenotype of TMAO-metabolism improved the
pressure tolerance. These results provide compelling evidence for the determinant
role of metabolism in the adaption of bacteria to the deep-sea ecosystems with
HHP.
PMID- 29375514
TI - Metabolomics Investigation of an Association of Induced Features and
Corresponding Fungus during the Co-culture of Trametes versicolor and Ganoderma
applanatum.
AB - The co-culture of Trametes versicolor and Ganoderma applanatum is a model of
intense basidiomycete interaction, which induces many newly synthesized or highly
produced features. Currently, one of the major challenges is an identification of
the origin of induced features during the co-culture. Herein, we report a 13C
dynamic labeling analysis used to determine an association of induced features
and corresponding fungus even if the identities of metabolites were not available
or almost nothing was known of biochemical aspects. After the co-culture of T.
versicolor and G. applanatum for 10 days, the mycelium pellets of T. versicolor
and G. applanatum were sterilely harvested and then mono-cultured in the liquid
medium containing half fresh medium with 13C-labeled glucose as carbon source and
half co-cultured supernatants collected on day 10. 13C-labeled metabolome
analyzed by LC-MS revealed that 31 induced features including 3-phenyllactic acid
and orsellinic acid were isotopically labeled in the mono-culture after the co
culture stimulation. Twenty features were derived from T. versicolor, 6 from G.
applanatum, and 5 features were synthesized by both T. versicolor and G.
applanatum. 13C-labeling further suggested that 12 features such as previously
identified novel xyloside [N-(4-methoxyphenyl)formamide 2-O-beta-D-xyloside] were
likely induced through the direct physical interaction of mycelia. Use of
molecular network analysis combined with 13C-labeling provided an insight into
the link between the generation of structural analogs and producing fungus.
Compound 1 with m/z 309.0757, increased 15.4-fold in the co-culture and observed
13C incorporation in the mono-culture of both T. versicolor and G. applanatum,
was purified and identified as a phenyl polyketide, 2,5,6-trihydroxy-4, 6
diphenylcyclohex-4-ene-1,3-dione. The biological activity study indicated that
this compound has a potential to inhibit cell viability of leukemic cell line
U937. The current work sets an important basis for further investigations
including novel metabolites discovery and biosynthetic capacity improvement.
PMID- 29375515
TI - Detection of Merkel Cell Polyomavirus in Seborrheic Keratosis.
AB - Seborrheic keratosis (SK) is the most common benign cutaneous neoplasm. A subset
shows increased p16 expression. Since SK shares several features with verruca
vulgaris, e.g., increased p16 expression, human papillomaviruses (HPV) have been
suggested as possible causal agents. However, a relevant association could not be
established between HPV and SK. In the present study we aimed to investigate the
presence of Merkel cell polyomavirus (MCPyV) in relation to p16 expression in SK.
P16 expression was investigated using immunohistochemistry (IHC). Presence of
MCPyV was assessed in 23 formalin-fixed paraffin-embedded tissue samples of SK by
molecular techniques (i.e., PCR and FISH) and IHC. 16/23 SK showed strong to
moderate p16 expression. 6/23 of SK were MCPyV positive by PCR which was
confirmed by FISH. Of interest, two samples with strong FISH signals also showed
MCPyV expression as tested by IHC. Samples with weaker signal intensity were
negative in IHC. P16 expression was not associated with the presence of MCPyV.
Concluding, the detection of MCPyV DNA by PCR and FISH in SK reflects the
widespread prevalence of MCPyV in the skin. However, low detection rates exclude
MCPyV as a major pathogenic factor in SK, most likely representing a coincidental
infection. P16 IHC does not appear as useful adjunctive surrogate marker for the
presence of MCPyV in SK.
PMID- 29375516
TI - Synergistic Interactions within a Multispecies Biofilm Enhance Individual Species
Protection against Grazing by a Pelagic Protozoan.
AB - Biofilm formation has been shown to confer protection against grazing, but little
information is available on the effect of grazing on biofilm formation and
protection in multispecies consortia. With most biofilms in nature being composed
of multiple bacterial species, the interactions and dynamics of a multispecies
bacterial biofilm subject to grazing by a pelagic protozoan predator were
investigated. To this end, a mono and multispecies biofilms of four bacterial
soil isolates, namely Xanthomonas retroflexus, Stenotrophomonas rhizophila,
Microbacterium oxydans and Paenibacillus amylolyticus, were constructed and
subjected to grazing by the ciliate Tetrahymena pyriformis. In monocultures,
grazing strongly reduced planktonic cell numbers in P. amylolyticus and S.
rhizophila and also X. retroflexus. At the same time, cell numbers in the
underlying biofilms increased in S. rhizophila and X. retroflexus, but not in P.
amylolyticus. This may be due to the fact that while grazing enhanced biofilm
formation in the former two species, no biofilm was formed by P. amylolyticus in
monoculture, either with or without grazing. In four-species biofilms, biofilm
formation was higher than in the best monoculture, a strong biodiversity effect
that was even more pronounced in the presence of grazing. While cell numbers of
X. retroflexus, S. rhizophila, and P. amylolyticus in the planktonic fraction
were greatly reduced in the presence of grazers, cell numbers of all three
species strongly increased in the biofilm. Our results show that synergistic
interactions between the four-species were important to induce biofilm formation,
and suggest that bacterial members that produce more biofilm when exposed to the
grazer not only protect themselves but also supported other members which are
sensitive to grazing, thereby providing a "shared grazing protection" within the
four-species biofilm model. Hence, complex interactions shape the dynamics of the
biofilm and enhance overall community fitness under stressful conditions such as
grazing. These emerging inter- and intra-species interactions could play a vital
role in biofilm dynamics in natural environments like soil or aquatic systems.
PMID- 29375517
TI - Interactions of Alphavirus nsP3 Protein with Host Proteins.
AB - Alphaviruses are members of the Togaviridae family and are grouped into two
categories: arthritogenic and encephalitic. Arthritogenic alphavirus infections,
as the name implies, are associated with arthritic outcomes while encephalitic
alphavirus infections can lead to encephalitic outcomes in the infected host. Of
the non-structural proteins (nsPs) that the viruses code for, nsP3 is the least
understood in terms of function. Alphavirus nsP3s are characterized by regions
with significantly conserved domain structure along with regions of high
variability. Interactions of nsP3 with several host proteins have been documented
including, stress granule-related proteins, dead box proteins, heat shock
proteins, and kinases. In some cases, in addition to the interaction, requirement
of the interaction to support infection has been demonstrated. An understanding
of the proteomic network of nsP3 and the mechanisms by which these interactions
support the establishment of a productive infection would make alphavirus nsP3 an
interesting target for design of effective medical countermeasures.
PMID- 29375518
TI - Rifampicin-Manuka Honey Combinations Are Superior to Other Antibiotic-Manuka
Honey Combinations in Eradicating Staphylococcus aureus Biofilms.
AB - Chronic wound infections are a major burden to both society and the health care
industry. Bacterial biofilms are the major cause of chronic wound infections and
are notoriously recalcitrant to treatments with antibiotics, making them
difficult to eradicate. Thus, new approaches are required to combat biofilms in
chronic wounds. One possible approach is to use drug combination therapies.
Manuka honey has potent broad-spectrum antibacterial activity and has previously
shown synergistic activity in combination with antibiotics against common wound
pathogens, including Staphylococcus aureus. In addition, manuka honey exhibits
anti-biofilm activity, thereby warranting the investigation of its potential as a
combination therapy with antibiotics for the topical treatment of biofilm-related
infections. Here we report the first use of MacSynergy II to investigate the
response of established S. aureus (strain NCTC 8325) biofilms to treatment by
combinations of Medihoney (medical grade manuka honey) and conventional
antibiotics that are used for preventing or treating infections: rifampicin,
oxacillin, fusidic acid, clindamycin, and gentamicin. Using checkerboard
microdilution assays, viability assays and MacSynergy II analysis we show that
the Medihoney-rifampicin combination was more effective than combinations using
the other antibiotics against established staphylococcal biofilms. Medihoney and
rifampicin were strongly synergistic in their ability to reduce both biofilm
biomass and the viability of embedded S. aureus cells at a level that is likely
to be significant in vivo. Other combinations of Medihoney and antibiotic
produced an interesting array of effects: Medihoney-fusidic acid treatment showed
minor synergistic activity, and Medihoney-clindamycin, -gentamicin, and
oxacillin combinations showed overall antagonistic effects when the honey was
used at sub-inhibitory concentration, due to enhanced biofilm formation at these
concentrations which could not be counteracted by the antibiotics. However, these
combinations were not antagonistic when honey was used at the inhibitory
concentration. Confocal scanning laser microscopy confirmed that different honey
antibiotic combination treatments could eradicate biofilms. Our results suggest
that honey has potential as an adjunct treatment with rifampicin for chronic
wounds infected with staphylococcal biofilms. We also show that MacSynergy II
allows a comprehensive examination of the synergistic effects of honey-antibiotic
combinations, and can help to identify doses for clinical use.
PMID- 29375519
TI - Additive Effects of Quorum Sensing Anti-Activators on Pseudomonas aeruginosa
Virulence Traits and Transcriptome.
AB - In the opportunistic pathogen Pseudomonas aeruginosa, quorum sensing (QS) via
acyl-homoserine lactone (AHL) signals coordinates virulence gene expression. AHL
signals must reach a critical threshold before enough is bound by cognate
regulators LasR and RhlR to drive transcription of target genes. In addition,
three anti-activator proteins, QteE, QscR, and QslA, sequester QS regulators to
increase the threshold for induction and delay expression of QS target genes. It
remains unclear how multiple anti-activators work together to achieve the quorum
threshold. Here, we employed a combination of mutational, kinetic, phenotypic,
and transcriptomic analysis to examine regulatory effects and interactions of the
three distinct anti-activators. We observed combinatorial, additive effects on QS
gene expression. As measured by reporter gene fusion, individual deletion of each
anti-activator gene increased lasB expression and QS-controlled virulence factor
production. Deletion of qslA in combination with the deletion of any other anti
activator gene resulted in the greatest increase and earliest activation of lasB
gene expression. Western analysis revealed that relative increases in soluble
LasR in anti-activator mutants correlate with increased lasB expression and QS
controlled virulence factor production. RNA-seq of the previously uncharacterized
QslA and QteE regulons revealed overlapping, yet distinct groups of
differentially expressed genes. Simultaneous inactivation of qteE and qslA had
the largest effect on gene expression with 999 genes induced and 798 genes
repressed in the double mutant vs. wild-type. We found that LasR and RhlR
activated QS genes formed a subset of the genes induced in the qteE, qslA, and
double mutant. The activation of almost all of these QS genes was advanced from
stationary phase to log phase in the qteE qslA double mutant. Taken together, our
results identify additive effects of anti-activation on QS gene expression,
likely via LasR and RhlR, but do not rule out QS-independent effects.
PMID- 29375520
TI - Aquaporin-4 Is Downregulated in the Basolateral Membrane of Ileum Epithelial
Cells during Enterotoxigenic Escherichia coli-Induced Diarrhea in Mice.
AB - Enterotoxigenic Escherichia coli (ETEC) are opportunistic pathogens that colonize
the small intestine, produce enterotoxins and induce diarrhea. Some aquaporins
(AQPs), such as AQP3 and AQP8, have been reported to participate in diarrhea by
decreasing cellular influx in the gastrointestinal (GI) tract. AQP4 is another
important water channel in the GI tract, but its role in ETEC-induced diarrhea
has not been reported. Here, we demonstrated the potential roles of AQP4 in ETEC
induced diarrhea. Reverse transcription-polymerase chain reaction (RT-PCR) and
western blotting showed that AQP4 was expressed in the mouse ileum, but not in
the duodenum or jejunum while immunohistochemical staining showed that AQP4
localized to the basolateral membrane of ileum epithelial cells. Using an ETEC
induced mice diarrhea model, we demonstrated that both AQP4 mRNA level and the
AQP4 protein level in the ileum decreased gradually over a time course of 7 days.
These results suggest that AQP4 plays a role in the pathogenesis of ETEC-induced
diarrhea by mediating water transport.
PMID- 29375521
TI - Investigation of the Role of Genes Encoding Zinc Exporters zntA, zitB, and fieF
during Salmonella Typhimurium Infection.
AB - The transition metal zinc is involved in crucial biological processes in all
living organisms and is essential for survival of Salmonella in the host.
However, little is known about the role of genes encoding zinc efflux
transporters during Salmonella infection. In this study, we constructed deletion
mutants for genes encoding zinc exporters (zntA, zitB, and fieF) in the wild-type
(WT) strain Salmonella enterica serovar Typhimurium (S. Typhimurium) 4/74. The
mutants 4/74DeltazntA and 4/74DeltazntA/zitB exhibited a dramatic growth delay
and abrogated growth ability, respectively, in Luria Bertani medium supplemented
with 0.25 mM ZnCl2 or 1.5 mM CuSO4 compared to the WT strain. In order to
investigate the role of genes encoding zinc exporters on survival of S.
Typhimurium inside cells, amoeba and macrophage infection models were used. No
significant differences in uptake or survival were detected for any of the
mutants compared to the WT during infection of amoebae. In natural resistance
associated macrophage protein 1 (Nramp1)-negative J774.1 murine macrophages,
significantly higher bacterial counts were observed for the mutant strains
4/74DeltazntA and 4/74DeltazntA/zitB compared to the WT at 4 h post-infection
although the fold net replication was similar between all the strains. All four
tested mutants (4/74DeltazntA, 4/74DeltazitB, 4/74DeltafieF, and
4/74DeltazntA/zitB) showed enhanced intracellular survival capacity within the
modified Nramp1-positive murine RAW264.7 macrophages at 20 h post-infection. The
fold net replication was also significantly higher for 4/74DeltazntA,
4/74DeltazitB, and 4/74DeltazntA/zitB mutants compared to the WT. Intriguingly,
the ability to survive and cause infection was significantly impaired in all the
three mutants tested (4/74DeltazntA, 4/74DeltazitB, and 4/74DeltazntA/zitB) in
C3H/HeN mice, particularly the double mutant 4/74DeltazntA/zitB was severely
attenuated compared to the WT in all the three organs analyzed. These findings
suggest that these genes encoding zinc exporters, especially zntA, contribute to
the resistance of S. Typhimurium to zinc and copper stresses during infection.
PMID- 29375523
TI - Quantitative Proteomic Analysis of Four Developmental Stages of Saprolegnia
parasitica.
AB - Several water mold species from the Saprolegnia genus infect fish, amphibians,
and crustaceans in natural ecosystems and aquaculture farms. Saprolegnia
parasitica is one of the most severe fish pathogens. It is responsible for
millions of dollars of losses to the aquaculture industry worldwide. Here, we
have performed a proteomic analysis, using gel-based and solution (iTRAQ)
approaches, of four defined developmental stages of S. parasitica grown in vitro,
i.e., the mycelium, primary cysts, secondary cysts and germinated cysts, to gain
greater insight into the types of proteins linked to the different stages. A
relatively high number of kinases as well as virulence proteins, including the
ricin B lectin, disintegrins, and proteases were identified in the S. parasitica
proteome. Many proteins associated with various biological processes were
significantly enriched in different life cycle stages of S. parasitica. Compared
to the mycelium, most of the proteins in the different cyst stages showed similar
enrichment patterns and were mainly related to energy metabolism, signal
transduction, protein synthesis, and post-translational modifications. The
proteins most enriched in the mycelium compared to the cyst stages were
associated with amino acid metabolism, carbohydrate metabolism, and mitochondrial
energy production. The data presented expand our knowledge of metabolic pathways
specifically linked to each developmental stage of this pathogen.
PMID- 29375524
TI - Phage Therapy as a Promising New Treatment for Lung Infection Caused by
Carbapenem-Resistant Acinetobacter baumannii in Mice.
AB - Carbapenem-resistant Acinetobacter baumannii (CRAB) which is noted as a major
pathogen associated with healthcare-associated infections has steadily developed
beyond antibiotic control. Lytic bacteriophages with the characteristics of
infecting and lysing specific bacteria have been used as a potential alternative
to traditional antibiotics to solve multidrug-resistant bacterial infections.
Here, we isolated A. baumannii-specific lytic phages and evaluated their
potential therapeutic effect against lung infection caused by CRAB clinical
strains. The combined lysis spectrum of four lytic phages' ranges was 87.5% (42
of 48) against CRAB clinical isolates. Genome sequence and analysis indicated
that phage SH-Ab15519 is a novel phage which does not contain the virulence or
antibiotic resistance genes. In vivo study indicated that phage SH-Ab15519
administered intranasally can effectively rescue mice from lethal A. baumannii
lung infection without deleterious side effects. Our work explores the potential
use of phages as an alternative therapeutic agent against the lung infection
caused by CRAB strains.
PMID- 29375522
TI - Identification of lptA, lpxE, and lpxO, Three Genes Involved in the Remodeling of
Brucella Cell Envelope.
AB - The brucellae are facultative intracellular bacteria that cause a worldwide
extended zoonosis. One of the pathogenicity mechanisms of these bacteria is their
ability to avoid rapid recognition by innate immunity because of a reduction of
the pathogen-associated molecular pattern (PAMP) of the lipopolysaccharide (LPS),
free-lipids, and other envelope molecules. We investigated the Brucella homologs
of lptA, lpxE, and lpxO, three genes that in some pathogens encode enzymes that
mask the LPS PAMP by upsetting the core-lipid A charge/hydrophobic balance.
Brucella lptA, which encodes a putative ethanolamine transferase, carries a frame
shift in B. abortus but not in other Brucella spp. and phylogenetic neighbors
like the opportunistic pathogen Ochrobactrum anthropi. Consistent with the
genomic evidence, a B. melitensis lptA mutant lacked lipid A-linked ethanolamine
and displayed increased sensitivity to polymyxin B (a surrogate of innate
immunity bactericidal peptides), while B. abortus carrying B. melitensis lptA
displayed increased resistance. Brucella lpxE encodes a putative phosphatase
acting on lipid A or on a free-lipid that is highly conserved in all brucellae
and O. anthropi. Although we found no evidence of lipid A dephosphorylation, a B.
abortus lpxE mutant showed increased polymyxin B sensitivity, suggesting the
existence of a hitherto unidentified free-lipid involved in bactericidal peptide
resistance. Gene lpxO putatively encoding an acyl hydroxylase carries a frame
shift in all brucellae except B. microti and is intact in O. anthropi. Free-lipid
analysis revealed that lpxO corresponded to olsC, the gene coding for the
ornithine lipid (OL) acyl hydroxylase active in O. anthropi and B. microti, while
B. abortus carrying the olsC of O. anthropi and B. microti synthesized
hydroxylated OLs. Interestingly, mutants in lptA, lpxE, or olsC were not
attenuated in dendritic cells or mice. This lack of an obvious effect on
virulence together with the presence of the intact homolog genes in O. anthropi
and B. microti but not in other brucellae suggests that LptA, LpxE, or OL beta
hydroxylase do not significantly alter the PAMP properties of Brucella LPS and
free-lipids and are therefore not positively selected during the adaptation to
intracellular life.
PMID- 29375526
TI - Alphavirus Replicon DNA Vectors Expressing Ebola GP and VP40 Antigens Induce
Humoral and Cellular Immune Responses in Mice.
AB - Ebola virus (EBOV) causes severe hemorrhagic fevers in humans, and no approved
therapeutics or vaccine is currently available. Glycoprotein (GP) is the major
protective antigen of EBOV, and can generate virus-like particles (VLPs) by co
expression with matrix protein (VP40). In this study, we constructed a
recombinant Alphavirus Semliki Forest virus (SFV) replicon vector DREP to express
EBOV GP and matrix viral protein (VP40). EBOV VLPs were successfully generated
and achieved budding from 293 cells after co-transfection with DREP-based GP and
VP40 vectors (DREP-GP+DREP-VP40). Vaccination of BALB/c mice with DREP-GP, DREP
VP40, or DREP-GP+DREP-VP40 vectors, followed by immediate electroporation
resulted in a mixed IgG subclass production, which recognized EBOV GP and/or VP40
proteins. This vaccination regimen also led to the generation of both Th1 and Th2
cellular immune responses in mice. Notably, vaccination with DREP-GP and DREP
VP40, which produces both GP and VP40 antigens, induced a significantly higher
level of anti-GP IgG2a antibody and increased IFN-gamma secreting CD8+ T-cell
responses relative to vaccination with DREP-GP or DREP-VP40 vector alone. Our
study indicates that co-expression of GP and VP40 antigens based on the SFV
replicon vector generates EBOV VLPs in vitro, and vaccination with recombinant
DREP vectors containing GP and VP40 antigens induces Ebola antigen-specific
humoral and cellular immune responses in mice. This novel approach provides a
simple and efficient vaccine platform for Ebola disease prevention.
PMID- 29375525
TI - Applications for Bacteriophage Therapy during Pregnancy and the Perinatal Period.
AB - Pregnant women and their unborn children are a population that is particularly
vulnerable to bacterial infection. Physiological changes that occur during
pregnancy affect the way women respond to such infections and the options that
clinicians have for treatment. Antibiotics are still considered the best option
for active infections and a suitable prophylaxis for prevention of potential
infections, such as vaginal/rectal Streptococcus agalactiae colonization prior to
birth. The effect of such antibiotic use on the developing fetus, however, is
still largely unknown. Recent research has suggested that the fetal gut
microbiota plays a critical role in fetal immunologic programming. Hence, even
minor alterations in this microbiota may have potentially significant downstream
effects. An ideal antibacterial therapeutic for administration during pregnancy
would be one that is highly specific for its target, leaving the surrounding
microbiota intact. This review first provides a basic overview of the challenges
a clinician faces when administering therapeutics to a pregnant patient and then
goes on to explore common bacterial infections in pregnancy, use of antibiotics
for treatment/prevention of such infections and the consequences of such
treatment for the mother and infant. With this background established, the review
then explores the potential for use of bacteriophage (phage) therapy as an
alternative to antibiotics during the antenatal period. Many previous reviews
have highlighted the revitalization of and potential for phage therapy for
treatment of a range of bacterial infections, particularly in the context of the
increasing threat of widespread antibiotic resistance. However, information on
the potential for the use of phage therapeutics in pregnancy is lacking. This
review aims to provide a thorough overview of studies of this nature and discuss
the feasibility of bacteriophage use during pregnancy to treat and/or prevent
bacterial infections.
PMID- 29375527
TI - Exogenous Fecal Microbiota Transplantation from Local Adult Pigs to Crossbred
Newborn Piglets.
AB - This study was conducted to investigate the effect of exogenous fecal microbiota
transplantation on gut bacterial community structure, gut barrier and growth
performance in recipient piglets. Twelve litters of Duroc * Landrace * Yorkshire
piglets of the same birth and parity were weighed and divided into two groups.
One group (recipient piglets) was inoculated orally with fecal microbiota
suspension of healthy adult Jinhua pigs daily from day 1 to day 11. The other
(control) was given orally the same volume of sterile physiological saline at the
same time. The experiment lasted 27 days. The results showed that the relative
abundance of Firmicutes, Prevotellaceae, Lachnospiraceae, Ruminococcus,
Prevotella, and Oscillospira in the colon of recipient piglets was increased.
Proteobacteria, Fusobacteriaceae, Clostridiaceae, Pasteuriaceae, Alcaligenaceae,
Bacteroidaceae, Veillonellaceae, Sutterella, Escherichia, and Bacteroides in the
colon of recipient piglets were decreased. An average daily weight gain of
recipient piglets was increased, and diarrhea incidence of the recipient was
decreased during the trial. Intestinal morphology and tight junction barrier of
recipient piglets were improved. The optical density of sIgA+ cells, the number
of goblet cells and relative expressions of MUC2 in the intestinal mucosa of
recipient piglets were enhanced. Protein expressions of beta-defensin 2 and mRNA
expressions of TLR2 and TLR4 in the intestinal mucosa of recipient piglets were
also increased. These findings supported that the exogenous fecal microbiota had
significant effects on animal's growth performance, intestinal barrier function,
and innate immune via modulating the composition of the gut microbiota.
PMID- 29375528
TI - Tomato Apical Leaf Curl Virus: A Novel, Monopartite Geminivirus Detected in
Tomatoes in Argentina.
AB - Plant viruses that are members of the Geminiviridae family have circular single
stranded DNA (ssDNA) genome and are responsible for major crop diseases
worldwide. We have identified and characterized a novel monopartite geminivirus
infecting tomato in Argentina. The full-length genome was cloned and sequenced.
The genome-wide pairwise identity calculation that resulted in a maximum of 63%
identity with all of other known geminiviruses indicated that it is a new
geminivirus species. Biolistic infected plants presented interveinal yellowing,
apical leaf curling and extreme root hypotrophy. Thus, the name proposed for this
species is tomato apical leaf curl virus (ToALCV). The phylogenetic inferences
suggested different evolutionary relationships for the replication-associated
protein (Rep) and the coat protein (CP). Besides, the sequence similarity network
(SSN) protein analyses showed that the complementary-sense gene products (RepA,
Rep and C3) are similar to capulavirus while the viron-sense gene products (CP,
MP and V3) are similar to topocuvirus, curtovirus and becurtovirus. Based on the
data presented, ToALCV genome appears to have "modular organization" supported by
its recombination origin. Analyses of the specificity-determining positions
(SDPs) of the CP of geminiviruses defined nine subgroups that include
geminiviruses that share the same type of insect vector. Our sequences were
clustered with the sequences of topocuvirus, whose vector is the treehopper,
Micrutalis malleifera. Also, a set of the highest scored amino acid residues was
predicted for the CP, which could determine differences in virus transmission
specificity. We predict that a treehopper could be the vector of ToALCV, but
transmission assays need to be performed to confirm this. Given everything we
demonstrate in this paper, ToALCV can be considered a type member of a new
putative genus of the Geminiviridae family.
PMID- 29375529
TI - Low Light Availability Alters Root Exudation and Reduces Putative Beneficial
Microorganisms in Seagrass Roots.
AB - Seagrass roots host a diverse microbiome that is critical for plant growth and
health. Composition of microbial communities can be regulated in part by root
exudates, but the specifics of these interactions in seagrass rhizospheres are
still largely unknown. As light availability controls primary productivity,
reduced light may impact root exudation and consequently the composition of the
root microbiome. Hence, we analyzed the influence of light availability on root
exudation and community structure of the root microbiome of three co-occurring
seagrass species, Halophila ovalis, Halodule uninervis and Cymodocea serrulata.
Plants were grown under four light treatments in mesocosms for 2 weeks; control
(100% surface irradiance (SI), medium (40% SI), low (20% SI) and fluctuating
light (10 days 20% and 4 days 100%). 16S rDNA amplicon sequencing revealed that
microbial diversity, composition and predicted function were strongly influenced
by the presence of seagrass roots, such that root microbiomes were unique to each
seagrass species. Reduced light availability altered seagrass root exudation, as
characterized using fluorescence spectroscopy, and altered the composition of
seagrass root microbiomes with a reduction in abundance of potentially beneficial
microorganisms. Overall, this study highlights the potential for above-ground
light reduction to invoke a cascade of changes from alterations in root exudation
to a reduction in putative beneficial microorganisms and, ultimately, confirms
the importance of the seagrass root environment - a critical, but often
overlooked space.
PMID- 29375530
TI - Production of the Plant Hormone Auxin by Salmonella and Its Role in the
Interactions with Plants and Animals.
AB - The ability of human enteric pathogens to colonize plants and use them as
alternate hosts is now well established. Salmonella, similarly to phytobacteria,
appears to be capable of producing the plant hormone auxin via an indole-3
pyruvate decarboxylase (IpdC), a key enzyme of the IPyA pathway. A deletion of
the Salmonella ipdC significantly reduced auxin synthesis in laboratory culture.
The Salmonella ipdC gene was expressed on root surfaces of Medicago truncatula.
M. truncatula auxin-responsive GH3::GUS reporter was activated by the wild type
Salmonella, and not but the ipdC mutant, implying that the bacterially produced
IAA (Indole Acetic Acid) was detected by the seedlings. Seedling infections with
the wild type Salmonella caused an increase in secondary root formation, which
was not observed in the ipdC mutant. The wild type Salmonella cells were detected
as aggregates at the sites of lateral root emergence, whereas the ipdC mutant
cells were evenly distributed in the rhizosphere. However, both strains appeared
to colonize seedlings well in growth pouch experiments. The ipdC mutant was also
less virulent in a murine model of infection. When mice were infected by oral
gavage, the ipdC mutant was as proficient as the wild type strain in colonization
of the intestine, but it was defective in the ability to cross the intestinal
barrier. Fewer cells of the ipdC mutant, compared with the wild type strain, were
detected in Peyer's patches, spleen and in the liver. Orthologs of ipdC are found
in all Salmonella genomes and are distributed among many animal pathogens and
plant-associated bacteria of the Enterobacteriaceae, suggesting a broad
ecological role of the IpdC-catalyzed pathway.
PMID- 29375531
TI - Leaf-FISH: Microscale Imaging of Bacterial Taxa on Phyllosphere.
AB - Molecular methods for microbial community characterization have uncovered
environmental and plant-associated factors shaping phyllosphere communities.
Variables undetectable using bulk methods can play an important role in shaping
plant-microbe interactions. Microscale analysis of bacterial dynamics in the
phyllosphere requires imaging techniques specially adapted to the high
autoflouresence and 3-D structure of the leaf surface. We present an easily
transferable method (Leaf-FISH) to generate high-resolution tridimensional images
of leaf surfaces that allows simultaneous visualization of multiple bacterial
taxa in a structurally informed context, using taxon-specific fluorescently
labeled oligonucleotide probes. Using a combination of leaf pretreatments coupled
with spectral imaging confocal microscopy, we demonstrate the successful imaging
bacterial taxa at the genus level on cuticular and subcuticular leaf areas. Our
results confirm that different bacterial species, including closely related
isolates, colonize distinct microhabitats in the leaf. We demonstrate that highly
related Methylobacterium species have distinct colonization patterns that could
not be predicted by shared physiological traits, such as carbon source
requirements or phytohormone production. High-resolution characterization of
microbial colonization patterns is critical for an accurate understanding of
microbe-microbe and microbe-plant interactions, and for the development of foliar
bacteria as plant-protective agents.
PMID- 29375532
TI - Composition and Functional Specialists of the Gut Microbiota of Frogs Reflect
Habitat Differences and Agricultural Activity.
AB - The physiological impact of agricultural pollution, habitat disturbance, and food
source variability on amphibian remains poorly understood. By comparing the
composition and predicted functions of gut microbiota of two frog species from
forest and farmland, we quantified the effects of the exogenous environment and
endogenous filters on gut microbiota and the corresponding functions. However,
compositional differences of the gut microbiota between the frog species were not
detected, even when removing roughly 80-88% of the confounding effect produced by
common and shared bacteria (i.e., generalists) and those taxa deemed too rare.
The habitat effect accounted for 14.1% of the compositional difference of gut
microbial specialists, but host and host * habitat effects were not significant.
Similar trends of a significant habitat effect, at an even higher level (26.0%),
for the physiological and metabolic functions of gut microbiota was predicted. A
very obvious skewing of the relative abundance of functional groups toward
farmland habitats reflects the highly diverse bacterial functions of farmland
frogs, in particular related to pathogenic disease and pesticide degradation,
which may be indication of poor adaptation or strong selective pressure against
disease. These patterns reflect the impacts of agricultural activities on frogs
and how such stresses may be applied in an unequal manner for different frog
species.
PMID- 29375534
TI - Evolution of Yeast Consortia during the Fermentation of Kalamata Natural Black
Olives upon Two Initial Acidification Treatments.
AB - The objective of this study was to elucidate the yeast consortia structure and
dynamics during Greek-style processing of Kalamata natural black olives in
different brine solutions. Olives were subjected to spontaneous fermentation in
7% (w/v) NaCl brine solution (control treatment) or brine acidified with (a) 0.5%
(v/v) vinegar, and (b) 0.1% (v/v) lactic acid at the onset of fermentation.
Changes in microbial counts, pH, acidity, organic acids, sugars, and alcohols
were analyzed for a period of 187 days. Yeast consortia diversity was evaluated
at days 4, 34, 90, 140, and 187 of fermentation. A total of 260 isolates were
characterized at sub-species level by rep-PCR genomic fingerprinting with the
oligo-nucleotide primer (GTG)5. The characterization of yeast isolates at species
level was performed by sequencing of the D1/D2 domain of 26S rRNA gene. Results
showed that yeasts dominated the process presenting a relatively broad range of
biodiversity composed of 11 genera and 21 species. No lactic acid bacteria (LAB)
or Enterobacteriaceae could be enumerated after 20 and 10 days of fermentation,
respectively. The dominant yeast species at the beginning were Aureobasidium
pullulans for control and vinegar acidification treatments, and Candida
naeodendra for lactic acid treatment. Between 34 and 140 days the dominant
species were Candida boidinii, Candida molendinolei and Saccharomyces cerevisiae.
In the end of fermentation the dominant species in all processes were C. boidinii
and C. molendinolei, followed by Pichia manshurica and S. cerevisiae in lactic
acid acidification treatment, P. manshurica in vinegar acidification treatment,
and Pichia membranifaciens in control fermentation.
PMID- 29375533
TI - Surface Sensing for Biofilm Formation in Pseudomonas aeruginosa.
AB - Aggregating and forming biofilms on biotic or abiotic surfaces are ubiquitous
bacterial behaviors under various conditions. In clinical settings, persistent
presence of biofilms increases the risks of healthcare-associated infections and
imposes huge healthcare and economic burdens. Bacteria within biofilms are
protected from external damage and attacks from the host immune system and can
exchange genomic information including antibiotic-resistance genes. Dispersed
bacterial cells from attached biofilms on medical devices or host tissues may
also serve as the origin of further infections. Understanding how bacteria
develop biofilms is pertinent to tackle biofilm-associated infections and
transmission. Biofilms have been suggested as a continuum of growth modes for
adapting to different environments, initiating from bacterial cells sensing their
attachment to a surface and then switching cellular physiological status for
mature biofilm development. It is crucial to understand bacterial gene regulatory
networks and decision-making processes for biofilm formation upon initial surface
attachment. Pseudomonas aeruginosa is one of the model microorganisms for
studying bacterial population behaviors. Several hypotheses and studies have
suggested that extracellular macromolecules and appendages play important roles
in bacterial responses to the surface attachment. Here, I review recent studies
on potential molecular mechanisms and signal transduction pathways for P.
aeruginosa surface sensing.
PMID- 29375535
TI - Comprehensive Proteomic Analysis of Lysine Acetylation in the Foodborne Pathogen
Trichinella spiralis.
AB - Lysine acetylation is a dynamic and highly conserved post-translational
modification that plays a critical role in regulating diverse cellular processes.
Trichinella spiralis is a foodborne parasite with a considerable socio-economic
impact. However, to date, little is known regarding the role of lysine
acetylation in this parasitic nematode. In this study, we utilized a proteomic
approach involving anti-acetyl lysine-based enrichment and highly sensitive mass
spectrometry to identify the global acetylated proteome and investigate lysine
acetylation in T. spiralis. In total, 3872 lysine modification sites were
identified in 1592 proteins that are involved in a wide variety of biological
processes. Consistent with the results of previous studies, a large number of the
acetylated proteins appear to be involved in metabolic and biosynthetic
processes. Interestingly, according to the functional enrichment analysis, 29
acetylated proteins were associated with phagocytosis, suggesting an important
role of lysine acetylation in this process. Among the identified proteins, 15
putative acetylation motifs were detected. The presence of serine downstream of
the lysine acetylation site was commonly observed in the regions surrounding the
sites. Moreover, protein interaction network analysis revealed that various
interactions are regulated by protein acetylation. These data represent the first
report of the acetylome of T. spiralis and provide an important resource for
further explorations of the role of lysine acetylation in this foodborne
pathogen.
PMID- 29375536
TI - Transcriptional Modulation of Penicillin-Binding Protein 1b, Outer Membrane
Protein P2 and Efflux Pump (AcrAB-TolC) during Heat Stress Is Correlated to
Enhanced Bactericidal Action of Imipenem on Non-typeable Haemophilus influenzae.
AB - Objective: The purpose of the present study was to investigate the penicillin
binding proteins (PBPs), drug influx and efflux modulations during heat stress
and their effects on the bactericidal action of imipenem on non-typeable
Haemophilus influenzae (NTHi). Methods: The two NTHi clinical isolates (GE47 and
GE88, imipenem MICs by E-test > 32 MUg/mL) examined in this study were collected
at Geneva University Hospitals. The imipenem killing activity was assessed after
incubation of the NTHi strains at either 37 or 42 degrees C for 3 h with
increasing concentrations of imipenem. The detection of PBPs was carried out by
Bocillin-FL. Global transcriptional changes were monitored by RNA-seq after pre
incubation of bacterial cells at either 37 or 42 degrees C, and the expression
levels of relevant target genes were confirmed by qRT-PCR. Results: Quantitation
of NTHi viable cells after incubation with 0.25 MUg/mL of imipenem for 3 h
revealed more than a twofold decrease in GE47 and GE88 viable cells at 42 degrees
C as compared to 37 degrees C. Transcriptome analysis showed that under heat
stress conditions, there were 141 differentially expressed genes with a |
log2(fold change)| > 1, including 67 up-regulated and 74 down-regulated genes.
The expression levels of ponB (encoding PBP1b) and acrR (regulator of AcrAB-TolC
efflux pump) were significantly increased at 42 degrees C. In contrast, the
transcript levels of ompP2 (encoding the outer membrane protein P2) and acrB gene
(encoding AcrB) were significantly lower under heat stress condition. Conclusion:
This study shows that the transcriptional modulation of ponB, ompP2, acrR, and
acrB in the heat stress response is correlated to enhanced antimicrobial effects
of imipenem on non-typeable H. influenzae.
PMID- 29375537
TI - Overcoming Antimicrobial Resistance in Bacteria Using Bioactive Magnetic
Nanoparticles and Pulsed Electromagnetic Fields.
AB - Nisin is a known bacteriocin, which exhibits a wide spectrum of antimicrobial
activity, while commonly being inefficient against Gram-negative bacteria. In
this work, we present a proof of concept of novel antimicrobial methodology using
targeted magnetic nisin-loaded nano-carriers [iron oxide nanoparticles (NPs) (11
13 nm) capped with citric, ascorbic, and gallic acids], which are activated by
high pulsed electric and electromagnetic fields allowing to overcome the nisin
resistance of bacteria. As a cell model the Gram-positive bacteria Bacillus
subtilis and Gram-negative Escherichia coli were used. We have applied 10 and 30
kV cm-1 electric field pulses (100 MUs * 8) separately and in combination with
two pulsed magnetic field protocols: (1) high dB/dt 3.3 T * 50 and (2) 10 mT, 100
kHz, 2 min protocol to induce additional permeabilization and local magnetic
hyperthermia. We have shown that the high dB/dt pulsed magnetic fields increase
the antimicrobial efficiency of nisin NPs similar to electroporation or magnetic
hyperthermia methods and a synergistic treatment is also possible. The results of
our work are promising for the development of new methods for treatment of the
drug-resistant foodborne pathogens to minimize the risks of invasive infections.
PMID- 29375538
TI - Classical Swine Fever Virus Infection and Its NS4A Protein Expression Induce IL-8
Production through MAVS Signaling Pathway in Swine Umbilical Vein Endothelial
Cells.
AB - Classical swine fever virus (CSFV) infection causes a severe disease of pigs,
which is characterized by hemorrhage, disseminated intravascular coagulation, and
leucopenia. IL-8, a main chemokine and activator of neutrophils, regulates the
permeability of endothelium, which may be related to the hemorrhage upon CSFV
infection. Until now, the molecular mechanisms of IL-8 regulation during CSFV
infection are poorly defined. Here, we showed that CSFV infection induced IL-8
production and the upregulation of IL-8 required virus replication in swine
umbilical vein endothelial cells (SUVECs). Additionally, MAVS expression was
increased and was required for IL-8 production upon CSFV infection. Moreover, ROS
was involved in CSFV-induced IL-8 production. Subsequent studies demonstrated
that ROS was involved in MAVS-induced IL-8 production and CSFV induced ROS
production through MAVS pathway. These results indicate that CSFV induces IL-8
production through MAVS pathway and production of ROS. The role of NS4A in the
pathogenesis of CSFV is not well-understood. In this study, we further
demonstrated that CSFV NS4A induced IL-8 production through enhancing MAVS
pathway and promoted CSFV replication. In addition, we discovered that CSFV NS4A
was localized in the cell nucleus and cytoplasm, including endoplasmic reticulum
(ER) and mitochondria. Taken together, these results provide insights into the
mechanisms of IL-8 regulation and NS4A functions during CSFV infection.
PMID- 29375539
TI - Preliminary Comparison of Oral and Intestinal Human Microbiota in Patients with
Colorectal Cancer: A Pilot Study.
AB - In this study Next-Generation Sequencing (NGS) was used to analyze and compare
human microbiota from three different compartments, i.e., saliva, feces, and
cancer tissue (CT), of a selected cohort of 10 Italian patients with colorectal
cancer (CRC) vs. 10 healthy controls (saliva and feces). Furthermore, the
Fusobacterium nucleatum abundance in the same body site was investigated through
real-time quantitative polymerase chain reaction (qPCR) to assess the association
with CRC. Differences in bacterial composition, F. nucleatum abundance in healthy
controls vs. CRC patients, and the association of F. nucleatum with clinical
parameters were observed. Taxonomic analysis based on 16S rRNA gene, revealed the
presence of three main bacterial phyla, which includes about 80% of reads:
Firmicutes (39.18%), Bacteroidetes (30.36%), and Proteobacteria (10.65%). The
results highlighted the presence of different bacterial compositions; in
particular, the fecal samples of CRC patients seemed to be enriched with
Bacteroidetes, whereas in the fecal samples of healthy controls Firmicutes were
one of the major phyla detected though these differences were not statistically
significant. The CT samples showed the highest alpha diversity values. These
results emphasize a different taxonomic composition of feces from CRC compared to
healthy controls. Despite the low number of samples included in the study, these
results suggest the importance of microbiota in the CRC progression and could
pave the way to the development of therapeutic interventions and novel microbial
related diagnostic tools in CRC patients.
PMID- 29375540
TI - Common Variable Immunodeficiency Non-Infectious Disease Endotypes Redefined Using
Unbiased Network Clustering in Large Electronic Datasets.
AB - Common variable immunodeficiency (CVID) is increasingly recognized for its
association with autoimmune and inflammatory complications. Despite recent
advances in immunophenotypic and genetic discovery, clinical care of CVID remains
limited by our inability to accurately model risk for non-infectious disease
development. Herein, we demonstrate the utility of unbiased network clustering as
a novel method to analyze inter-relationships between non-infectious disease
outcomes in CVID using databases at the United States Immunodeficiency Network
(USIDNET), the centralized immunodeficiency registry of the United States, and
Partners, a tertiary care network in Boston, MA, USA, with a shared electronic
medical record amenable to natural language processing. Immunophenotypes were
comparable in terms of native antibody deficiencies, low titer response to
pneumococcus, and B cell maturation arrest. However, recorded non-infectious
disease outcomes were more substantial in the Partners cohort across the spectrum
of lymphoproliferation, cytopenias, autoimmunity, atopy, and malignancy. Using
unbiased network clustering to analyze 34 non-infectious disease outcomes in the
Partners cohort, we further identified unique patterns of lymphoproliferative
(two clusters), autoimmune (two clusters), and atopic (one cluster) disease that
were defined as CVID non-infectious endotypes according to discrete and non
overlapping immunophenotypes. Markers were both previously described {high serum
IgE in the atopic cluster [odds ratio (OR) 6.5] and low class-switched memory B
cells in the total lymphoproliferative cluster (OR 9.2)} and novel [low serum C3
in the total lymphoproliferative cluster (OR 5.1)]. Mortality risk in the
Partners cohort was significantly associated with individual non-infectious
disease outcomes as well as lymphoproliferative cluster 2, specifically (OR 5.9).
In contrast, unbiased network clustering failed to associate known comorbidities
in the adult USIDNET cohort. Together, these data suggest that unbiased network
clustering can be used in CVID to redefine non-infectious disease inter
relationships; however, applicability may be limited to datasets well annotated
through mechanisms such as natural language processing. The lymphoproliferative,
autoimmune, and atopic Partners CVID endotypes herein described can be used
moving forward to streamline genetic and biomarker discovery and to facilitate
early screening and intervention in CVID patients at highest risk for autoimmune
and inflammatory progression.
PMID- 29375541
TI - Metabolic Regulation of Innate Lymphoid Cell-Mediated Tissue Protection-Linking
the Nutritional State to Barrier Immunity.
AB - Innate lymphoid cells (ILC) are a recently described group of tissue-resident
immune cells that play essential roles in maintaining and protecting the tissue
barrier against invading pathogens. Extensive research has revealed that ILC
mediated immune responses are controlled by dietary components and metabolites.
An additional role of ILC as important direct regulators of host metabolism and
glucose tolerance is emerging. This suggests that ILC may act as key dietary
sensors integrating nutritional and metabolic stress to facilitate both
maintenance of barrier sites and a coordinated immune response protecting these
tissues. In this respect, investigations have begun to determine how different
ILC responses are metabolically fueled and the impact of nutrient availability on
the regulation of ILC function. Here, we discuss the current literature
concerning dietary and metabolic control of ILC. In particular, we address
whether the dietary and metabolic control of ILC and their simultaneous influence
on host metabolism may function as a coordinated program of barrier defense.
PMID- 29375542
TI - Stability-Diversity Tradeoffs Impose Fundamental Constraints on Selection of
Synthetic Human VH/VL Single-Domain Antibodies from In Vitro Display Libraries.
AB - Human autonomous VH/VL single-domain antibodies (sdAbs) are attractive
therapeutic molecules, but often suffer from suboptimal stability, solubility and
affinity for cognate antigens. Most commonly, human sdAbs have been isolated from
in vitro display libraries constructed via synthetic randomization of rearranged
VH/VL domains. Here, we describe the design and characterization of three novel
human VH/VL sdAb libraries through a process of: (i) exhaustive biophysical
characterization of 20 potential VH/VL sdAb library scaffolds, including
assessment of expression yield, aggregation resistance, thermostability and
tolerance to complementarity-determining region (CDR) substitutions; (ii) in
vitro randomization of the CDRs of three VH/VL sdAb scaffolds, with tailored
amino acid representation designed to promote solubility and expressibility; and
(iii) systematic benchmarking of the three VH/VL libraries by panning against
five model antigens. We isolated >=1 antigen-specific human sdAb against four of
five targets (13 VHs and 7 VLs in total); these were predominantly monomeric, had
antigen-binding affinities ranging from 5 nM to 12 uM (average: 2-3 uM), but had
highly variable expression yields (range: 0.1-19 mg/L). Despite our efforts to
identify the most stable VH/VL scaffolds, selection of antigen-specific binders
from these libraries was unpredictable (overall success rate for all library
target screens: ~53%) with a high attrition rate of sdAbs exhibiting false
positive binding by ELISA. By analyzing VH/VL sdAb library sequence composition
following selection for monomeric antibody expression (binding to protein A/L
followed by amplification in bacterial cells), we found that some VH/VL sdAbs had
marked growth advantages over others, and that the amino acid composition of the
CDRs of this set of sdAbs was dramatically restricted (bias toward Asp and His
and away from aromatic and hydrophobic residues). Thus, CDR sequence clearly
dramatically impacts the stability of human autonomous VH/VL immunoglobulin
domain folds, and sequence-stability tradeoffs must be taken into account during
the design of such libraries.
PMID- 29375544
TI - Nanoporous Microneedle Arrays Effectively Induce Antibody Responses against
Diphtheria and Tetanus Toxoid.
AB - The skin is immunologically very potent because of the high number of antigen
presenting cells in the dermis and epidermis, and is therefore considered to be
very suitable for vaccination. However, the skin's physical barrier, the stratum
corneum, prevents foreign substances, including vaccines, from entering the skin.
Microneedles, which are needle-like structures with dimensions in the micrometer
range, form a relatively new approach to circumvent the stratum corneum, allowing
for minimally invasive and pain-free vaccination. In this study, we tested
ceramic nanoporous microneedle arrays (npMNAs), representing a novel microneedle
based drug delivery technology, for their ability to deliver the subunit vaccines
diphtheria toxoid (DT) and tetanus toxoid (TT) intradermally. First, the piercing
ability of the ceramic (alumina) npMNAs, which contained over 100 microneedles
per array, a length of 475 um, and an average pore size of 80 nm, was evaluated
in mouse skin. Then, the hydrodynamic diameters of DT and TT and the loading of
DT, TT, and imiquimod into, and subsequent release from the npMNAs were assessed
in vitro. It was shown that DT and TT were successfully loaded into the tips of
the ceramic nanoporous microneedles, and by using near-infrared fluorescently
labeled antigens, we found that DT and TT were released following piercing of the
antigen-loaded npMNAs into ex vivo murine skin. Finally, the application of DT-
and TT-loaded npMNAs onto mouse skin in vivo led to the induction of antigen
specific antibodies, with titers similar to those obtained upon subcutaneous
immunization with a similar dose. In conclusion, we show for the first time, the
potential of npMNAs for intradermal (ID) immunization with subunit vaccines,
which opens possibilities for future ID vaccination designs.
PMID- 29375543
TI - Tolerance through Education: How Tolerogenic Dendritic Cells Shape Immunity.
AB - Dendritic cells (DCs) are central players in the initiation and control of
responses, regulating the balance between tolerance and immunity. Tolerogenic DCs
are essential in the maintenance of central and peripheral tolerance by induction
of clonal T cell deletion and T cell anergy, inhibition of memory and effector T
cell responses, and generation and activation of regulatory T cells. Therefore,
tolerogenic DCs are promising candidates for specific cellular therapy of
allergic and autoimmune diseases and for treatment of transplant rejection.
Studies performed in rodents have demonstrated the efficacy and feasibility of
tolerogenic DCs for tolerance induction in various inflammatory diseases. In the
last years, numerous protocols for the generation of human monocyte-derived
tolerogenic DCs have been established and some first phase I trials have been
conducted in patients suffering from autoimmune disorders, demonstrating the
safety and efficiency of this cell-based immunotherapy. This review gives an
overview about methods and protocols for the generation of human tolerogenic DCs
and their mechanisms of tolerance induction with the focus on interleukin-10
modulated DCs. In addition, we will discuss the prerequisites for optimal
clinical grade tolerogenic DC subsets and results of clinical trials with
tolerogenic DCs in autoimmune diseases.
PMID- 29375545
TI - Inhibitors of Apoptosis Protein Antagonists (Smac Mimetic Compounds) Control
Polarization of Macrophages during Microbial Challenge and Sterile Inflammatory
Responses.
AB - Apoptosis is a physiological cell death process essential for development, tissue
homeostasis, and for immune defense of multicellular animals. Inhibitors of
apoptosis proteins (IAPs) regulate apoptosis in response to various cellular
assaults. Using both genetic and pharmacological approaches we demonstrate here
that the IAPs not only support opportunistic survival of intracellular human
pathogens like Chlamydia pneumoniae but also control plasticity of iNOS+ M1
macrophage during the course of infection and render them refractory for immune
stimulation. Treatment of Th1 primed macrophages with birinapant (IAP-specific
antagonist) inhibited NO generation and relevant proteins involved in innate
immune signaling. Accordingly, birinapant promoted hypoxia, angiogenesis, and
tumor-induced M2 polarization of iNOS+ M1 macrophages. Interestingly, birinapant
driven changes in immune signaling were accompanied with changes in the
expression of various proteins involved in the metabolism, and thus revealing the
new role of IAPs in immune metabolic reprogramming in committed macrophages.
Taken together, our study reveals the significance of IAP targeting approaches
(Smac mimetic compounds) for the management of infectious and inflammatory
diseases relying on macrophage plasticity.
PMID- 29375546
TI - Cu,Zn Superoxide Dismutase Genes in Tribolium castaneum: Evolution, Molecular
Characterisation, and Gene Expression during Immune Priming.
AB - The production of reactive oxygen species (ROS) is a normal consequence of the
aerobic cell metabolism. Despite their high and potentially detrimental
reactivity with various biomolecules, the endogenous production of ROS is a vital
part of physiological, immunological, and molecular processes that contribute to
fitness. The role of ROS in host-parasite interactions is frequently defined by
their contribution to innate immunity as effectors, promoting parasite death
during infections. In vertebrates, ROS and antioxidant system enzymes, such as
superoxide dismutase (SOD) are also involved in acquired immune memory, where
they are responsible for T-cell signalling, activation, proliferation, and
viability. Based on recent findings, ROS are now also assumed to play a role in
immune priming, i.e., a form of memory in invertebrates. In this study, the
potential involvement of Cu,Zn SODs in immunity of the red flour beetle Tribolium
castaneum is described for the first time, applying an approach that combines an
in silico gene characterisation with an in vivo immune priming experiment using
the Gram-positive entomopathogen Bacillus thuringiensis. We identified an
unusually high number of three different transcripts for extracellular SOD and
found that priming leads to a fine-tuned modulation of SOD expression,
highlighting the potential of physiological co-adaptations for immune phenotypes.
PMID- 29375547
TI - An Activating Janus Kinase-3 Mutation Is Associated with Cytotoxic T Lymphocyte
Antigen-4-Dependent Immune Dysregulation Syndrome.
AB - Heterozygous mutations in the cytotoxic T lymphocyte antigen-4 (CTLA-4) are
associated with lymphadenopathy, autoimmunity, immune dysregulation, and
hypogammaglobulinemia in about 70% of the carriers. So far, the incomplete
penetrance of CTLA-4 haploinsufficiency has been attributed to unknown genetic
modifiers, epigenetic changes, or environmental effects. We sought to identify
potential genetic modifiers in a family with differential clinical penetrance of
CTLA-4 haploinsufficiency. Here, we report on a rare heterozygous gain-of
function mutation in Janus kinase-3 (JAK3) (p.R840C), which is associated with
the clinical manifestation of CTLA-4 haploinsufficiency in a patient carrying a
novel loss-of-function mutation in CTLA-4 (p.Y139C). While the asymptomatic
parents carry either the CTLA-4 mutation or the JAK3 variant, their son has
inherited both heterozygous mutations and suffers from hypogammaglobulinemia
combined with autoimmunity and lymphoid hyperplasia. Although the patient's lymph
node and spleen contained many hyperplastic germinal centers with follicular
helper T (TFH) cells and immunoglobulin (Ig) G-positive B cells, plasma cell, and
memory B cell development was impaired. CXCR5+PD-1+TIGIT+ TFH cells contributed
to a large part of circulating T cells, but they produced only very low amounts
of interleukin (IL)-4, IL-10, and IL-21 required for the development of memory B
cells and plasma cells. We, therefore, suggest that the combination of the loss
of-function mutation in CTLA-4 with the gain-of-function mutation in JAK3 directs
the differentiation of CD4 T cells into dysfunctional TFH cells supporting the
development of lymphadenopathy, hypogammaglobulinemia, and immunodeficiency.
Thus, the combination of rare genetic heterozygous variants that remain
clinically unnoticed individually may lead to T cell hyperactivity, impaired
memory B cell, and plasma cell development resulting finally in combined
immunodeficiency.
PMID- 29375548
TI - Elevated Mitochondrial Reactive Oxygen Species and Cellular Redox Imbalance in
Human NADPH-Oxidase-Deficient Phagocytes.
AB - Chronic granulomatous disease (CGD) is caused by mutations in genes that encode
the NADPH-oxidase and result in a failure of phagocytic cells to produce reactive
oxygen species (ROS) via this enzyme system. Patients with CGD are highly
susceptible to infections and often suffer from inflammatory disorders; the
latter occurs in the absence of infection and correlates with the spontaneous
production of inflammatory cytokines. This clinical feature suggests that NADPH
oxidase-derived ROS are not required for, or may even suppress, inflammatory
processes. Experimental evidence, however, implies that ROS are in fact required
for inflammatory cytokine production. By using a myeloid cell line devoid of a
functional NADPH-oxidase and primary CGD cells, we analyzed intracellular
oxidants, signs of oxidative stress, and inflammatory cytokine production.
Herein, we demonstrate that phagocytes lacking a functional NADPH-oxidase, namely
primary CGD phagocytes and a gp91phox-deficient cell line, display elevated
levels of ROS derived from mitochondria. Accordingly, these cells, despite
lacking the major source of cellular ROS, display clear signs of oxidative
stress, including an induced expression of antioxidants and altered oxidation of
cell surface thiols. These observed changes in redox state were not due to
abnormalities in mitochondrial mass or membrane integrity. Finally, we
demonstrate that increased mitochondrial ROS enhanced phosphorylation of ERK1/2,
and induced production of IL8, findings that correlate with previous observations
of increased MAPK activation and inflammatory cytokine production in CGD cells.
Our data show that elevated baseline levels of mitochondria-derived oxidants lead
to the counter-intuitive observation that CGD phagocytes are under oxidative
stress and have enhanced MAPK signaling, which may contribute to the elevated
basal production of inflammatory cytokines and the sterile inflammatory
manifestations in CGD.
PMID- 29375550
TI - Alkaline pH Promotes NADPH Oxidase-Independent Neutrophil Extracellular Trap
Formation: A Matter of Mitochondrial Reactive Oxygen Species Generation and
Citrullination and Cleavage of Histone.
AB - pH is highly variable in different tissues and affects many enzymatic reactions
in neutrophils. In response to calcium ionophores such as A23187 and ionomycin,
neutrophils undergo nicotinamide adenine dinucleotide phosphate oxidase (NOX)
independent neutrophil extracellular trap (NET) formation (NETosis). However, how
pH influences calcium-dependent Nox-independent NET formation is not well
understood. We hypothesized that increasing pH promotes Nox-independent NET
formation by promoting calcium influx, mitochondrial reactive oxygen species
(mROS) generation, histone citrullination, and histone cleavage. Here, we show
that stimulating human neutrophils isolated from peripheral blood with calcium
ionophore A23187 or ionomycin in the media with increasing extracellular pH (6.6,
6.8, 7.0, 7.2, 7.4, 7.8) drastically increases intracellular pH within in 10-20
min. These intracellular pH values are much higher compared to unstimulated cells
placed in the media with corresponding pH values. Raising pH slightly drastically
increases intracellular calcium concentration in resting and stimulated
neutrophils, respectively. Like calcium, mROS generation also increases with
increasing pH. An mROS scavenger, MitoTempo, significantly suppresses calcium
ionophore-mediated NET formation with a greater effect at higher pH, indicating
that mROS production is at least partly responsible for pH-dependent suppression
of Nox-independent NETosis. In addition, raising pH increases PAD4 activity as
determined by the citrullination of histone (CitH3) and histone cleavage
determined by Western blots. The pH-dependent histone cleavage is reproducibly
very high during ionomycin-induced NETosis compared to A23187-induced NETosis.
Little or no histone cleavage was noted in unstimulated cells, at any pH. Both
CitH3 and cleavage of histones facilitate DNA decondensation. Therefore, alkaline
pH promotes intracellular calcium influx, mROS generation, PAD4-mediated CitH3
formation, histone 4 cleavage and eventually NET formation. Calcium-mediated NET
formation and CitH3 formation are often related to sterile inflammation. Hence,
understanding these important mechanistic steps helps to explain how pH regulates
NOX-independent NET formation, and modifying pH may help to regulate NET
formation during sterile inflammation or potential damage caused by compounds
such as ionomycin, secreted by Streptomyces, a group of Gram-positive bacteria
well known for producing antibiotics.
PMID- 29375552
TI - Chronic Active Epstein-Barr Virus Disease.
AB - Chronic active Epstein-Barr virus (CAEBV) disease is a rare disorder in which
persons are unable to control infection with the virus. The disease is
progressive with markedly elevated levels of EBV DNA in the blood and
infiltration of organs by EBV-positive lymphocytes. Patients often present with
fever, lymphadenopathy, splenomegaly, EBV hepatitis, or pancytopenia. Over time,
these patients develop progressive immunodeficiency and if not treated, succumb
to opportunistic infections, hemophagocytosis, multiorgan failure, or EBV
positive lymphomas. Patients with CAEBV in the United States most often present
with disease involving B or T cells, while in Asia, the disease usually involves
T or NK cells. The only proven effective treatment for the disease is
hematopoietic stem cell transplantation. Current studies to find a cause of this
disease focus on immune defects and genetic abnormalities associated with the
disease.
PMID- 29375551
TI - Engineering of Fc Fragments with Optimized Physicochemical Properties Implying
Improvement of Clinical Potentials for Fc-Based Therapeutics.
AB - Therapeutic monoclonal antibodies and Fc-fusion proteins are successfully used in
treatment of various diseases mainly including cancer, immune disease, and viral
infection, which belong to the Fc-based therapeutics. In recent years, engineered
Fc-derived antibody domains have also shown potential for Fc-based therapeutics.
To increase the druggability of Fc-based therapeutic candidates, many efforts
have been made in optimizing physicochemical properties and functions mediated by
Fc fragment. The desired result is that we can simultaneously obtain Fc variants
with increased physicochemical properties in vitro and capacity of mediating
appropriate functions in vivo. However, changes of physicochemical properties of
Fc may result in alternation of Fc-mediated functions and vice versa, which leads
to undesired outcomes for further development of Fc-based therapeutics.
Therefore, whether modified Fc fragments are suitable for achievement of expected
clinical results or not needs to be seriously considered. Now, this question
comes to be noticed and should be figured out to make better translation from the
results of laboratory into clinical applications. In this review, we summarize
different strategies on engineering physicochemical properties of Fc, and
preliminarily elucidate the relationships between modified Fc in vitro and the
subsequent therapeutic influence in vivo.
PMID- 29375553
TI - GATA2 Deficiency and Epstein-Barr Virus Disease.
AB - GATA2 is a transcription factor that binds to the promoter of hematopoietic
genes. Mutations in one copy of the gene are associated with haploinsufficiency
and reduced levels of protein. This results in reduced numbers of several cell
types important for immune surveillance including dendritic cells, monocytes,
CD4, and NK cells, as well as impaired NK cell function. Recently, GATA2 has been
associated with several different presentations of severe Epstein-Barr virus
(EBV) disease including primary infection requiring repeated hospitalizations,
chronic active EBV disease, EBV-associated hydroa vacciniforme with
hemophagocytosis, and EBV-positive smooth muscle tumors. EBV was found
predominantly in B cells in each of the cases in which it was studied, unlike
most cases of chronic active EBV disease in which the virus is usually present in
T or NK cells. The variety of EBV-associated diseases seen in patients with GATA2
deficiency suggest that additional forms of severe EBV disease may be found in
patients with GATA2 deficiency in the future.
PMID- 29375554
TI - Expression of Membrane-Bound CC Chemokine Ligand 20 on Follicular T Helper Cells
in T-B-Cell Conjugates.
AB - The CC chemokine receptor 6 (CCR6) and its sole chemokine ligand CC chemokine
ligand 20 (CCL20) display an emerging role in the coordination of humoral immune
responses. Recent studies demonstrate a role of this chemokine axis in the
migration of B cells to key immunological sites during an immune response, and
facilitating the generation of high-quality antibodies. Very little, however, is
known about CCL20 and its role in these functions. We undertook a preliminary
investigation into the expression and function of CCL20 and demonstrate its well
noted upregulation in the spleen during immunization. Furthermore, we show that
most follicular T helper (Tfh) cells can be CCR6+ and can produce CCL20.
Surprisingly, CCL20 cannot only be found in the cytoplasm but also on the surface
of these cells and their precursors. Analysis of T-B-cell conjugates revealed
that mature Tfh cells, but not their precursors, are highly enriched in the
conjugates. Further functional studies are needed to unravel the precise role of
CCL20 in coordinating T and B cell interactions during the humoral immune
response.
PMID- 29375555
TI - Genomic Region Containing Toll-Like Receptor Genes Has a Major Impact on Total
IgM Antibodies Including KLH-Binding IgM Natural Antibodies in Chickens.
AB - Natural antibodies (NAb) are antigen binding antibodies present in individuals
without a previous exposure to this antigen. Keyhole limpet hemocyanin (KLH)
binding NAb levels were previously associated with survival in chickens. This
suggests that selective breeding for KLH-binding NAb may increase survival by
means of improved general disease resistance. Genome-wide association studies
(GWAS) were performed to identify genes underlying genetic variation in NAb
levels. The studied population consisted of 1,628 adolescent layer chickens with
observations for titers of KLH-binding NAb of the isotypes IgM, IgA, IgG, the
total KLH-binding (IgT) NAb titers, total antibody concentrations of the isotypes
IgM, IgA, IgG, and the total antibodies concentration in plasma. GWAS were
performed using 57,636 single-nucleotide polymorphisms (SNP). One chromosomal
region on chromosome 4 was associated with KLH-binding IgT NAb, and total IgM
concentration, and especially with KLH-binding IgM NAb. The region of interest
was fine mapped by imputing the region of the study population to whole genome
sequence, and subsequently performing an association study using the imputed
sequence variants. 16 candidate genes were identified, of which FAM114A1, Toll
like receptor 1 family member B (TLR1B), TLR1A, Kruppel-like factor 3 (KLF3)
showed the strongest associations. SNP located in coding regions of the candidate
genes were checked for predicted changes in protein functioning. One SNP (at
69,965,939 base pairs) received the maximum impact score from two independent
prediction tools, which makes this SNP the most likely causal variant. This SNP
is located in TLR1A, which suggests a fundamental role of TLR1A on regulation of
IgM levels (i.e., KLH-binding IgM NAb, and total IgM concentration), or B cells
biology, or both. This study contributes to increased understanding of (genetic)
regulation of KLH-binding NAb levels, and total antibody concentrations.
PMID- 29375549
TI - Blood Gene Expression Predicts Bronchiolitis Obliterans Syndrome.
AB - Bronchiolitis obliterans syndrome (BOS), the main manifestation of chronic lung
allograft dysfunction, leads to poor long-term survival after lung
transplantation. Identifying predictors of BOS is essential to prevent the
progression of dysfunction before irreversible damage occurs. By using a large
set of 107 samples from lung recipients, we performed microarray gene expression
profiling of whole blood to identify early biomarkers of BOS, including samples
from 49 patients with stable function for at least 3 years, 32 samples collected
at least 6 months before BOS diagnosis (prediction group), and 26 samples at or
after BOS diagnosis (diagnosis group). An independent set from 25 lung recipients
was used for validation by quantitative PCR (13 stables, 11 in the prediction
group, and 8 in the diagnosis group). We identified 50 transcripts differentially
expressed between stable and BOS recipients. Three genes, namely POU class 2
associating factor 1 (POU2AF1), T-cell leukemia/lymphoma protein 1A (TCL1A), and
B cell lymphocyte kinase, were validated as predictive biomarkers of BOS more
than 6 months before diagnosis, with areas under the curve of 0.83, 0.77, and
0.78 respectively. These genes allow stratification based on BOS risk (log-rank
test p < 0.01) and are not associated with time posttransplantation. This is the
first published large-scale gene expression analysis of blood after lung
transplantation. The three-gene blood signature could provide clinicians with new
tools to improve follow-up and adapt treatment of patients likely to develop BOS.
PMID- 29375558
TI - Editorial: CD4 T Follicular Helper Cells in HIV.
PMID- 29375556
TI - A Phase 2a Randomized Study to Evaluate the Safety and Immunogenicity of the
1790GAHB Generalized Modules for Membrane Antigen Vaccine against Shigella sonnei
Administered Intramuscularly to Adults from a Shigellosis-Endemic Country.
AB - Shigellosis is a mild-to-severe diarrheal infection, caused by the genus
Shigella, and is responsible for significant morbidity and mortality worldwide.
We evaluated the safety and immunogenicity of an investigational Shigella sonnei
vaccine (1790GAHB) based on generalized modules for membrane antigens (GMMA) in
Kenya, a Shigella-endemic country. This phase 2a, observer-blind, controlled
randomized study (NCT02676895) enrolled 74 healthy adults aged 18-45 years, of
whom 72 were vaccinated. Participants received, in a 1:1:1 ratio, two
vaccinations with the 1790GAHB vaccine at doses of either 1.5/25 MUg of O antigen
(OAg)/protein (group 1.5/25 MUg) or 5.9/100 MUg (group 5.9/100 MUg) at day (D) 1
and D29, or vaccination with a quadrivalent meningococcal vaccine at D1 and
tetanus, diphtheria, and acellular pertussis vaccine at D29 (control group).
Solicited and unsolicited adverse events (AEs), serious AEs (SAEs), and AEs of
special interest (neutropenia and reactive arthritis) were collected. Anti-S.
sonnei lipopolysaccharide (LPS) serum immunoglobulin G (IgG) geometric mean
concentrations (GMC) were evaluated at D1, D29, and D57 and compared to anti-S.
sonnei LPS antibody levels in convalescent patients naturally exposed to S.
sonnei. The percentages of participants with seroresponse were also calculated.
The most frequently reported solicited local and systemic AEs across all groups
were pain and headache, respectively. Only one case of severe systemic reaction
was reported (severe headache after first vaccination in group 5.9/100 MUg).
Seven and three episodes of neutropenia, assessed as probably or possibly related
to vaccination respectively, were reported in the investigational and control
groups, respectively. No other SAEs were reported. Despite very high baseline
anti-S. sonnei LPS serum IgG levels, the 1790GAHB vaccine induced robust antibody
responses. At D29, GMC increased 2.10- and 4.43-fold from baseline in groups
1.5/25 and 5.9/100 MUg, respectively, whereas no increase was observed in the
control group. Antibody titers at D57 were not statistically different from those
at D29. Seroresponse was 68% at D29 and 90% at D57 in group 1.5/25 MUg, and 96%
after each vaccination in group 5.9/100 MUg. The 1790GAHB vaccine was well
tolerated and highly immunogenic in a population of African adults, regardless of
the GMMA OAg/protein content used.
PMID- 29375557
TI - Antibiotic-Induced Pathobiont Dissemination Accelerates Mortality in Severe
Experimental Pancreatitis.
AB - Although antibiotic-induced dysbiosis has been demonstrated to exacerbate
intestinal inflammation, it has been suggested that antibiotic prophylaxis may be
beneficial in certain clinical conditions such as acute pancreatitis (AP).
However, whether broad-spectrum antibiotics, such as meropenem, influence the
dissemination of multidrug-resistant (MDR) bacteria during severe AP has not been
addressed. In the currently study, a mouse model of obstructive severe AP was
employed to investigate the effects of pretreatment with meropenem on bacteria
spreading and disease outcome. As expected, animals subjected to biliopancreatic
duct obstruction developed severe AP. Surprisingly, pretreatment with meropenem
accelerated the mortality of AP mice (survival median of 2 days) when compared to
saline-pretreated AP mice (survival median of 7 days). Early mortality was
associated with the translocation of MDR strains, mainly Enterococcus gallinarum
into the blood stream. Induction of AP in mice with guts that were enriched with
E. gallinarum recapitulated the increased mortality rate observed in the
meropenem-pretreated AP mice. Furthermore, naive mice challenged with a mouse or
a clinical strain of E. gallinarum succumbed to infection through a mechanism
involving toll-like receptor-2. These results confirm that broad-spectrum
antibiotics may lead to indirect detrimental effects during inflammatory disease
and reveal an intestinal pathobiont that is associated with the meropenem
pretreatment during obstructive AP in mice.
PMID- 29375559
TI - The Different Functional Distribution of "Not Effector" T Cells (Treg/Tnull) in
Colorectal Cancer.
AB - Colorectal cancer (CRC) is the third most common cancer worldwide, ranking as
high as the second leading cause of cancer-related deaths in industrialized
countries. Consistent with immunosurveillance theory, the immune system is
crucial to protect the host from developing tumors, and the major players in
tumoral immunity are effector T cells. Anyway, cancer cells develop strategies of
immunoevasion influencing the cancer-specific lymphocyte priming, activation, and
effector function. Therefore, the T cell subsets that mature during the stages of
tumor growth, differently contribute to disease progression and/or regression. In
our study, we analyzed the intra-tumoral and peripheral T cell subsets'
distribution in 30 patients with CRC, in order to clarify their functional role
toward cancer. We found that percentage of infiltrating effector T cells
decreased in cancer tissue than in healthy mucosa and that the tumor
microenvironment negatively influences the cytolytic activity of T lymphocytes
reactive to cancer cells. Moreover, we found that the tumor tissue was
infiltrated by a large amount of "not effector" T (neT) cells with a regulatory
or an anergic profile, which are unable to kill cancer cells, may be contributing
to the CRC promotion. The presence of neT cells was investigated also in the
peripheral blood of CRC patients, demonstrating that the peripheral T regulatory
cells can inhibit the proliferation of effector T cells, confirming their
immunosuppressive properties. Finally, monitoring the changes in circulating neT
cells' frequencies after the tumor removal, we confirmed the role of cancer in
the modulation of immune system, in particular, in supporting a Tregs-mediated
immunosuppression.
PMID- 29375560
TI - Excess of Mortality in Adults and Elderly and Circulation of Subtypes of
Influenza Virus in Southern Brazil.
AB - Purpose: In the elderly population, the influenza infection and its clinical
complications are important causes of hospitalization and death, particularly, in
longer-lived age. The objective of this study is to analyze the impact of
influenza virus circulation on mortality in the elderly and adults, in years with
different predominant virus strains. Methods: We performed a time trend study to
evaluated excess of mortality for pneumonia and influenza, respiratory disease,
and all-causes in southern region of Brazil, from 2002 to 2015. After considering
other models, we opted for Serfling regression. Excess of death rates per 100,000
inhabitants were analyzed in specific age groups (24-59, 60-69, 70-79, >=80
years) and by year of occurrence. Mortality information were taken from Brazilian
Mortality Information System and etiological data were accessed in Sentinel
Virological Surveillance database, getting the weekly positivity of the
immunofluorescence tests for influenza A (H1N1, H3N2), and B. Results: In
southern Brazil, there is an evident seasonal pattern of all death outcomes among
different age groups in the dry and cold season (April-September). The highest
excess mortality rates occurs among older, particularly in years of circulation
of influenza AH3N2, especially among people >=80 years, in 2003 and 2007-years of
great severity of influenza activity. After 2009, with the introduction of the
pandemic influenza AH1N1, we observed a lower impact on the mortality of the
elderly compared to <60 years. Discussion: A cross reactivity antibody response
from past exposure probably provided protection against disease in the elderly.
Despite not controlling for comorbidities, climate, and vaccination, for the >70
years, ratio of respiratory diseases excess mortality rates between AH1N1 (2009)
and severe year of H3N2 (2007) shows protection in the pandemic year and great
vulnerability during AH3N2 virus predominance. Conclusion: The reduced immune
response to infection, and to vaccination, and presence of comorbidities
recommend a special attention to this age group in Brazil. Besides medical
assistance, the timeliness of vaccine campaigns, its composition, and etiological
surveillance of respiratory diseases are some of the preventive and public health
measures.
PMID- 29375562
TI - Simultaneous Ablation of Uterine Natural Killer Cells and Uterine Mast Cells in
Mice Leads to Poor Vascularization and Abnormal Doppler Measurements That
Compromise Fetal Well-being.
AB - Intrauterine growth restriction (IUGR) is a serious pregnancy complication with
short- and long-term health consequences. The mechanisms underlying this
condition are not well understood. Animal models are the basis for understanding
the causes of IUGR and for developing useful therapeutic strategies. Here, we
aimed to ascertain the in utero growth of fetuses from NK (natural killer
cells)/MC (mast cells)-deficient mothers that give birth to growth-restricted
pups and to determine the time point at which IUGR starts. We used high frequency
ultrasound imaging to follow-up fetal and placenta size and employed Doppler
measurements to document blood supply to the fetus in females that were deficient
for NK cells and MCs. In mice lacking NKs and MCs, we observed significantly
reduced implantation sizes from mid gestation onward, which was further
associated with smaller placentas. Additionally, NK/MC-deficiency was associated
with absent and reversed end diastolic flow in umbilical arteries of the fetuses
and an increased systolic/diastolic ratio as well as an elevated resistance
index. Together, our results indicate that NKs/MCs promote blood flow, placental
growth, and subsequent fetal development. The results of this study offer new
insights as to how fetal growth is affected in vivo in NK/MC-deficient mice,
whose pups are growth restricted at birth. The use of IUGR models and modern
technologies enabling the in vivo follow-up of fetal development are important
tools for understanding mechanisms behind pregnancy complications that in the
future may lead to the development of effective therapies.
PMID- 29375561
TI - Chondroitin Sulfate Proteoglycan 4 and Its Potential As an Antibody Immunotherapy
Target across Different Tumor Types.
AB - Overexpression of the chondroitin sulfate proteoglycan 4 (CSPG4) has been
associated with the pathology of multiple types of such as melanoma, breast
cancer, squamous cell carcinoma, mesothelioma, neuroblastoma, adult and pediatric
sarcomas, and some hematological cancers. CSPG4 has been reported to exhibit a
role in the growth and survival as well as in the spreading and metastasis of
tumor cells. CSPG4 is overexpressed in several malignant diseases, while it is
thought to have restricted and low expression in normal tissues. Thus, CSPG4 has
become the target of numerous anticancer treatment approaches, including
monoclonal antibody-based therapies. This study reviews key potential anti-CSPG4
antibody and immune-based therapies and examines their direct
antiproliferative/metastatic and immune activating mechanisms of action.
PMID- 29375563
TI - MicroRNA 27a-3p Regulates Antimicrobial Responses of Murine Macrophages Infected
by Mycobacterium avium subspecies paratuberculosis by Targeting Interleukin-10
and TGF-beta-Activated Protein Kinase 1 Binding Protein 2.
AB - Mycobacterium avium subspecies paratuberculosis (MAP) persistently survive and
replicate in mononuclear phagocytic cells by adopting various strategies to
subvert host immune response. Interleukin-10 (IL-10) upregulation via inhibition
of macrophage bactericidal activity is a critical step for MAP survival and
pathogenesis within the host cell. Mitogen-activated protein kinase p38 signaling
cascade plays a crucial role in the elevation of IL-10 and progression of MAP
pathogenesis. The contribution of microRNAs (miRNAs) and their influence on the
activation of macrophages during MAP pathogenesis are still unclear. In the
current study, we found that miRNA-27a-3p (miR-27a) expression is downregulated
during MAP infection both in vivo and in vitro. Moreover, miR-27a is also
downregulated in toll-like receptor 2 (TLR2)-stimulated murine macrophages
(RAW264.7 and bone marrow-derived macrophage). ELISA and real-time qRT-PCR
results confirm that overexpression of miR-27a inhibited MAP-induced IL-10
production in macrophages and upregulated pro-inflammatory cytokines, while miR
27a inhibitor counteracted these effects. Luciferase reporter assay results
revealed that IL-10 and TGF-beta-activated protein kinase 1 binding protein 2
(TAB 2) are potential targets of miR-27a. In addition, we demonstrated that miR
27a negatively regulates TAB 2 expression and diminishes TAB 2-dependent p38/JNK
phosphorylation, ultimately downregulating IL-10 expression in MAP-infected
macrophages. Furthermore, overexpression of miR-27a significantly inhibited the
intracellular survival of MAP in infected macrophages. Our data show that miR-27a
augments antimicrobial activities of macrophages and inhibits the expression of
IL-10, demonstrating that miR-27a regulates protective innate immune responses
during MAP infection and can be exploited as a novel therapeutic target in the
control of intracellular pathogens, including paratuberculosis.
PMID- 29375565
TI - Platycodin D Inhibits Inflammatory Response in LPS-Stimulated Primary Rat
Microglia Cells through Activating LXRalpha-ABCA1 Signaling Pathway.
AB - Platycodin D (PLD), an effective triterpenesaponin extracted from Platycodon
grandiflorum, has been known to have anti-inflammatory effect. In the present
study, we investigate the anti-inflammatory effects of PLD on LPS-induced
inflammation in primary rat microglia cells. The results showed that PLD
significantly inhibited LPS-induced ROS, TNF-alpha, IL-6, and IL-1beta production
in primary rat microglia cells. PLD also inhibited LPS-induced NF-kappaB
activation. Furthermore, our results showed that PLD prevented LPS-induced TLR4
translocation into lipid rafts via disrupting the formation of lipid rafts by
inducing cholesterol efflux. In addition, PLD could activate LXRalpha-ABCA1
signaling pathway which induces cholesterol efflux from cells. The inhibition of
inflammatory cytokines by PLD could be reversed by SiRNA of LXRalpha. In
conclusion, these results indicated that PLD prevented LPS-induced inflammation
by activating LXRalpha-ABCA1 signaling pathway, which disrupted lipid rafts and
prevented TLR4 translocation into lipid rafts, thereby inhibiting LPS-induced
inflammatory response.
PMID- 29375564
TI - New Insights into the Immunobiology of Mononuclear Phagocytic Cells and Their
Relevance to the Pathogenesis of Cardiovascular Diseases.
AB - Macrophages are the primary immune cells that reside within the myocardium,
suggesting that these mononuclear phagocytes are essential in the orchestration
of cardiac immunity and homeostasis. Independent of the nature of the injury, the
heart triggers leukocyte activation and recruitment. However, inflammation is
harmful to this vital terminally differentiated organ with extremely poor
regenerative capacity. As such, cardiac tissue has evolved particular strategies
to increase the stress tolerance and minimize the impact of inflammation. In this
sense, growing evidences show that mononuclear phagocytic cells are particularly
dynamic during cardiac inflammation or infection and would actively participate
in tissue repair and functional recovery. They respond to soluble mediators such
as metabolites or cytokines, which play central roles in the timing of the
intrinsic cardiac stress response. During myocardial infarction two distinct
phases of monocyte influx have been identified. Upon infarction, the heart
modulates its chemokine expression profile that sequentially and actively
recruits inflammatory monocytes, first, and healing monocytes, later. In the same
way, a sudden switch from inflammatory macrophages (with microbicidal effectors)
toward anti-inflammatory macrophages occurs within the myocardium very shortly
after infection with Trypanosoma cruzi, the causal agent of Chagas
cardiomyopathy. While in sterile injury, healing response is necessary to stop
tissue damage; during an intracellular infection, the anti-inflammatory milieu in
infected hearts would promote microbial persistence. The balance of mononuclear
phagocytic cells seems to be also dynamic in atherosclerosis influencing plaque
initiation and fate. This review summarizes the participation of mononuclear
phagocyte system in cardiovascular diseases, keeping in mind that the immune
system evolved to promote the reestablishment of tissue homeostasis following
infection/injury, and that the effects of different mediators could modulate the
magnitude and quality of the immune response. The knowledge of the effects
triggered by diverse mediators would serve to identify new therapeutic targets in
different cardiovascular pathologies.
PMID- 29375566
TI - Evidence for B Cell Exhaustion in Chronic Graft-versus-Host Disease.
AB - Chronic graft-versus-host disease (cGvHD) remains a major complication of
allogeneic hematopoietic stem cell transplantation (HSCT). A number of studies
support a role for B cells in the pathogenesis of cGvHD. In this study, we report
the presence of an expanded population of CD19+CD21- B cells with features of
exhaustion in the peripheral blood of patients with cGvHD. CD21- B cells were
significantly increased in patients with active cGvHD compared to patients
without cGvHD and healthy controls (median 12.2 versus 2.12 versus 3%,
respectively; p < 0.01). Compared with naive (CD27-CD21+) and classical memory
(CD27+CD21+) B cells, CD19+CD21- B cells in cGvHD were CD10 negative, CD27
negative and CD20hi, and exhibited features of exhaustion, including increased
expression of multiple inhibitory receptors such as FCRL4, CD22, CD85J, and
altered expression of chemokine and adhesion molecules such as CD11c, CXCR3,
CCR7, and CD62L. Moreover, CD21- B cells in cGvHD patients were functionally
exhausted and displayed poor proliferative response and calcium mobilization in
response to B-cell receptor triggering and CD40 ligation. Finally, the
frequencies of circulating CD21- B cells correlated with cGvHD severity in
patients after HSCT. Our study further characterizes B cells in chronic cGVHD and
supports the use of CD21-CD27-CD10- B cell frequencies as a biomarker of disease
severity.
PMID- 29375568
TI - Detection of Pathogen Exposure in African Buffalo Using Non-Specific Markers of
Inflammation.
AB - Detecting exposure to new or emerging pathogens is a critical challenge to
protecting human, domestic animal, and wildlife health. Yet, current techniques
to detect infections typically target known pathogens of humans or economically
important animals. In the face of the current surge in infectious disease
emergence, non-specific disease surveillance tools are urgently needed. Tracking
common host immune responses indicative of recent infection may have potential as
a non-specific diagnostic approach for disease surveillance. The challenge to
immunologists is to identify the most promising markers, which ideally should be
highly conserved across pathogens and host species, become upregulated rapidly
and consistently in response to pathogen invasion, and remain elevated beyond
clearance of infection. This study combined an infection experiment and a
longitudinal observational study to evaluate the utility of non-specific markers
of inflammation [NSMI; two acute phase proteins (haptoglobin and serum amyloid
A), two pro-inflammatory cytokines (IFNgamma and TNF-alpha)] as indicators of
pathogen exposure in a wild mammalian species, African buffalo (Syncerus caffer).
Specifically, in the experimental study, we asked (1) How quickly do buffalo
mount NSMI responses upon challenge with an endemic pathogen, foot-and-mouth
disease virus; (2) for how long do NSMI remain elevated after viral clearance
and; (3) how pronounced is the difference between peak NSMI concentration and
baseline NSMI concentration? In the longitudinal study, we asked (4) Are elevated
NSMI associated with recent exposure to a suite of bacterial and viral
respiratory pathogens in a wild population? Among the four NSMI that we tested,
haptoglobin showed the strongest potential as a surveillance marker in African
buffalo: concentrations quickly and consistently reached high levels in response
to experimental infection, remaining elevated for almost a month. Moreover,
elevated haptoglobin was indicative of recent exposure to two respiratory
pathogens assessed in the longitudinal study. We hope this work motivates studies
investigating suites of NSMI as indicators for pathogen exposure in a broader
range of both pathogen and host species, potentially transforming how we track
disease burden in natural populations.
PMID- 29375567
TI - Immunomodulatory Therapy of Visceral Leishmaniasis in Human Immunodeficiency
Virus-Coinfected Patients.
AB - Patients with visceral leishmaniasis (VL)-human immunodeficiency virus (HIV)
coinfection experience increased drug toxicity and treatment failure rates
compared to VL patients, with more frequent VL relapse and death. In the era of
VL elimination strategies, HIV coinfection is progressively becoming a key
challenge, because HIV-coinfected patients respond poorly to conventional VL
treatment and play an important role in parasite transmission. With limited
chemotherapeutic options and a paucity of novel anti-parasitic drugs, new
interventions that target host immunity may offer an effective alternative. In
this review, we first summarize current views on how VL immunopathology is
significantly affected by HIV coinfection. We then review current clinical and
promising preclinical immunomodulatory interventions in the field of VL and
discuss how these may operate in the context of a concurrent HIV infection.
Caveats are formulated as these interventions may unpredictably impact the
delicate balance between boosting of beneficial VL-specific responses and
deleterious immune activation/hyperinflammation, activation of latent provirus or
increased HIV-susceptibility of target cells. Evidence is lacking to prioritize a
target molecule and a more detailed account of the immunological status induced
by the coinfection as well as surrogate markers of cure and protection are still
required. We do, however, argue that virologically suppressed VL patients with a
recovered immune system, in whom effective antiretroviral therapy alone is not
able to restore protective immunity, can be considered a relevant target group
for an immunomodulatory intervention. Finally, we provide perspectives on the
translation of novel theories on synergistic immune cell cross-talk into an
effective treatment strategy for VL-HIV-coinfected patients.
PMID- 29375569
TI - The Yin and Yang of Invariant Natural Killer T Cells in Tumor Immunity
Suppression of Tumor Immunity in the Intestine.
AB - CD1d-restricted invariant natural killer T (iNKT) cells are known as early
responding, potent regulatory cells of immune responses. Besides their
established role in the regulation of inflammation and autoimmune disease,
numerous studies have shown that iNKT cells have important functions in tumor
immunosurveillance and control of tumor metastasis. Tumor-infiltrating T helper 1
(TH1)/cytotoxic T lymphocytes have been associated with a positive prognosis.
However, inflammation has a dual role in cancer and chronic inflammation is
believed to be a driving force in many cancers as exemplified in patients with
inflammatory bowel disease that have an increased risk of colorectal cancer.
Indeed, NKT cells promote intestinal inflammation in human ulcerative colitis,
and the associated animal model, indicating that NKT cells may favor tumor
development in intestinal tissue. In contrast to other cancers, recent data from
animal models suggest that iNKT cells promote tumor formation in the intestine by
supporting an immunoregulatory tumor microenvironment and suppressing TH1
antitumor immunity. Here, we review the role of iNKT cells in suppression of
tumor immunity in light of iNKT-cell regulation of intestinal inflammation. We
also discuss suppression of immunity in other situations as well as factors that
may influence whether iNKT cells have a protective or an immunosuppressive and
tumor-promoting role in tumor immunity.
PMID- 29375570
TI - From Human Megakaryocytes to Platelets: Effects of Aspirin on High-Mobility Group
Box 1/Receptor for Advanced Glycation End Products Axis.
AB - Platelets (PLTs) are the major source of high-mobility group box 1 (HMGB1), a
protein that is involved in sterile inflammation of blood vessels and thrombosis.
Megakaryocytes (MKs) synthesize HMGB1 and transfer both protein and mRNA into
PLTs and PLT-derived microvesicles (MV). Free HMGB1 found in supernatants of in
vitro differentiated MKs and in a megakaryoblastic cell line (DAMI cells).
Aspirin "in vivo" and "in vitro" not only reduces HMGB1 and receptor for advanced
glycation end products expression on MKs and PLTs but also drives the movement of
HMGB1 from MKs into PLTs and PLT-derived MV. These findings suggest that
consumption of low doses of aspirin reduces the risk of atherosclerosis
complications as well as reducing PLT aggregation by the inhibition of COX-1.
PMID- 29375572
TI - The Role of Lipid Metabolism in T Lymphocyte Differentiation and Survival.
AB - The differentiation and effector functions of both the innate and adaptive immune
system are inextricably linked to cellular metabolism. The features of metabolism
which affect both arms of the immune system include metabolic substrate
availability, expression of enzymes, transport proteins, and transcription
factors which control catabolism of these substrates, and the ability to perform
anabolic metabolism. The control of lipid metabolism is central to the
appropriate differentiation and functions of T lymphocytes, and ultimately to the
maintenance of immune tolerance. This review will focus on the role of fatty acid
(FA) metabolism in T cell differentiation, effector function, and survival. FAs
are important sources of cellular energy, stored as triglycerides. They are also
used as precursors to produce complex lipids such as cholesterol and membrane
phospholipids. FA residues also become incorporated into hormones and signaling
moieties. FAs signal via nuclear receptors and their channeling, between storage
as triacyl glycerides or oxidation as fuel, may play a role in survival or death
of the cell. In recent years, progress in the field of immunometabolism has
highlighted diverse roles for FA metabolism in CD4 and CD8 T cell differentiation
and function. This review will firstly describe the sensing and modulation of the
environmental FAs and lipid intracellular signaling and will then explore the key
role of lipid metabolism in regulating the balance between potentially damaging
pro-inflammatory and anti-inflammatory regulatory responses. Finally the complex
role of extracellular FAs in determining cell survival will be discussed.
PMID- 29375573
TI - Unraveling Natural Killer T-Cells Development.
AB - Natural killer T-cells are a subset of innate-like T-cells with the ability to
bridge innate and adaptive immunity. There is great interest in harnessing these
cells to improve tumor therapy; however, greater understanding of invariant NKT
(iNKT) cell biology is needed. The first step is to learn more about NKT
development within the thymus. Recent studies suggest lineage separation of
murine iNKT cells into iNKT1, iNKT2, and iNKT17 cells instead of shared
developmental stages. This review will focus on these new studies and will
discuss the evidence for lineage separation in contrast to shared developmental
stages. The author will also highlight the classifications of murine iNKT cells
according to identified transcription factors and cytokine production, and will
discuss transcriptional and posttranscriptional regulations, and the role of
mammalian target of rapamycin. Finally, the importance of these findings for
human cancer therapy will be briefly discussed.
PMID- 29375571
TI - The Troika Host-Pathogen-Extrinsic Factors in Tuberculosis: Modulating
Inflammation and Clinical Outcomes.
AB - The already enormous burden caused by tuberculosis (TB) will be further
aggravated by the association of this disease with modern epidemics, as human
immunodeficiency virus and diabetes. Furthermore, the increasingly aging
population and the wider use of suppressive immune therapies hold the potential
to enhance the incidence of TB. New preventive and therapeutic strategies based
on recent advances on our understanding of TB are thus needed. In particular,
understanding the intricate network of events modulating inflammation in TB will
help to build more effective vaccines and host-directed therapies to stop TB.
This review integrates the impact of host, pathogen, and extrinsic factors on
inflammation and the almost scientifically unexplored complexity emerging from
the interactions between these three factors. We highlight the exciting data
showing a contribution of this troika for the clinical outcome of TB and the need
of incorporating it when developing novel strategies to rewire the immune
response in TB.
PMID- 29375574
TI - The Role of Skin and Orogenital Microbiota in Protective Immunity and Chronic
Immune-Mediated Inflammatory Disease.
AB - The skin and orogenital mucosae, which constitute complex protective barriers
against infection and injuries, are not only the first to come into contact with
pathogens but are also colonized by a set of microorganisms that are essential to
maintain a healthy physiological environment. Using 16S ribosomal RNA metagenomic
sequencing, scientists recognized that the microorganism colonization has greater
diversity and variability than previously assumed. These microorganisms, such as
commensal bacteria, affect the host's immune response against pathogens and
modulate chronic inflammatory responses. Previously, a single pathogen was
thought to cause a single disease, but current evidence suggests that dysbiosis
of the tissue microbiota may underlie the disease status. Dysbiosis results in
aberrant immune responses at the surface and furthermore, affects the systemic
immune response. Hence, understanding the initial interaction between the barrier
surface immune system and local microorganisms is important for understanding the
overall systemic effects of the immune response. In this review, we describe
current evidence for the basis of the interactions between pathogens, microbiota,
and immune cells on surface barriers and offer explanations for how these
interactions may lead to chronic inflammatory disorders.
PMID- 29375575
TI - Differences in Expansion Potential of Naive Chimeric Antigen Receptor T Cells
from Healthy Donors and Untreated Chronic Lymphocytic Leukemia Patients.
AB - Introduction: Therapy with chimeric antigen receptor T (CART) cells for
hematological malignancies has shown promising results. Effectiveness of CART
cells may depend on the ratio of naive (TN) vs. effector (TE) T cells, TN cells
being responsible for an enduring antitumor activity through maturation.
Therefore, we investigated factors influencing the TN/TE ratio of CART cells.
Materials and methods: CART cells were generated upon transduction of peripheral
blood mononuclear cells with a CD19.CAR-CD28-CD137zeta third generation
retroviral vector under two different stimulating culture conditions: anti
CD3/anti-CD28 antibodies adding either interleukin (IL)-7/IL-15 or IL-2. CART
cells were maintained in culture for 20 days. We evaluated 24 healthy donors
(HDs) and 11 patients with chronic lymphocytic leukemia (CLL) for the composition
of cell subsets and produced CART cells. Phenotype and functionality were tested
using flow cytometry and chromium release assays. Results: IL-7/IL-15
preferentially induced differentiation into TN, stem cell memory (TSCM: naive
CD27+ CD95+), CD4+ and CXCR3+ CART cells, while IL-2 increased effector memory
(TEM), CD56+ and CD4+ T regulatory (TReg) CART cells. The net amplification of
different CART subpopulations derived from HDs and untreated CLL patients was
compared. Particularly the expansion of CD4+ CARTN cells differed significantly
between the two groups. For HDs, this subtype expanded >60-fold, whereas CD4+
CARTN cells of untreated CLL patients expanded less than 10-fold. Expression of
exhaustion marker programmed cell death 1 on CARTN cells on day 10 of culture was
significantly higher in patient samples compared to HD samples. As the percentage
of malignant B cells was expectedly higher within patient samples, an excessive
amount of B cells during culture could account for the reduced expansion
potential of CARTN cells in untreated CLL patients. Final TN/TE ratio stayed <0.3
despite stimulation condition for patients, whereas this ratio was >2 in samples
from HDs stimulated with IL-7/IL-15, thus demonstrating efficient CARTN
expansion. Conclusion: Untreated CLL patients might constitute a challenge for
long-lasting CART effects in vivo since only a low number of TN among the CART
product could be generated. Depletion of malignant B cells before starting CART
production might be considered to increase the TN/TE ratio within the CART
product.
PMID- 29375576
TI - M1 and M2 Monocytes in Rheumatoid Arthritis: A Contribution of Imbalance of M1/M2
Monocytes to Osteoclastogenesis.
AB - Objectives: We investigated the relationships among M1 monocytes, M2 monocytes,
osteoclast (OC) differentiation ability, and clinical characteristics in patients
with rheumatoid arthritis (RA). Methods: Peripheral blood mononuclear cells
(PBMCs) were isolated from RA patients and healthy donors, and we then
investigated the number of M1 monocytes or M2 monocytes by fluorescence-activated
cell sorting. We also obtained and cultured CD14-positive cells from PBMCs from
RA patients and healthy donors to investigate OC differentiation in vitro.
Results: Forty RA patients and 20 healthy donors were included. Twenty-two
patients (55%) were anticitrullinated protein antibody (ACPA) positive. The
median M1/M2 ratio was 0.59 (0.31-1.11, interquartile range). There were no
significant differences between the RA patients and healthy donors. There was a
positive correlation between the M1/M2 ratio and the differentiated OC number in
vitro in RA patients (rho = 0.81, p < 0.001). The ACPA-positive patients had
significantly higher M1/M2 ratios in vivo (p = 0.028) and significantly greater
numbers of OCs in vitro (p = 0.005) than the ACPA-negative patients.
Multivariable regression analysis revealed that the M1/M2 ratio was the sole
significant contribution factor to in vitro osteoclastogenesis. RA patients with
M1/M2 ratios >1 (having relatively more M1 monocytes) had higher C-reactive
protein and erythrocyte sedimentation rates than RA patients with M1/M2 ratios
<=1. M1-dominant monocytes in vitro produced higher concentrations of interleukin
6 upon stimulation with lipopolysaccharide than M2 monocytes. Conclusion: M1/M2
monocytes imbalance strongly contributes to osteoclastogenesis of RA patients.
Our findings cast M1 and M2 monocyte subsets in a new light as a new target of
treatments for RA to prevent progression of osteoclastic bone destruction.
PMID- 29375578
TI - An Explorative Biomarker Study for Vaccine Responsiveness after a Primary
Meningococcal Vaccination in Middle-Aged Adults.
AB - Introduction: Prevention of infectious diseases in the elderly is essential to
establish healthy aging. Yet, immunological aging impairs successful vaccination
of the elderly. Predictive biomarkers for vaccine responsiveness in middle-aged
adults may help to identify responders and non-responders before reaching old
age. Therefore, we aimed to determine biomarkers associated with low and high
responsiveness toward a primary vaccination in middle-aged adults, for which a
tetravalent meningococcal vaccine was used as a model. Methods: Middle-aged
adults (50-65 years of age, N = 100), receiving a tetravalent meningococcal
vaccination, were divided into low and high responders using the functional
antibody titers at 28 days postvaccination. A total of 48 parameters, including
absolute numbers of immune cells and serum levels of cytokines and biochemical
markers, were determined prevaccination in all participants. Heat maps and
multivariate redundancy analysis (RDA) were used to reveal immune phenotype
characteristics and associations of the low and high responders. Results: Several
significant differences in prevaccination immune markers were observed between
the low and high vaccine responders. Moreover, RDA analysis revealed a
significant association between the prevaccination immune phenotype and vaccine
responsiveness. In particular, our analysis pointed at high numbers of CD4 T
cells, especially naive CD4 and regulatory T subsets, to be associated with low
vaccine responsiveness. In addition, low responders showed lower prevaccination
IL-1Ra levels than high responders. Conclusion: This explorative biomarker study
shows associations between the prevaccination immune phenotype and vaccine
responsiveness after a primary meningococcal vaccination in middle-aged adults.
Consequently, these results provide a basis for predictive biomarker discovery
for vaccine responsiveness that will require validation in larger cohort studies.
PMID- 29375577
TI - Immunosenescence and Inflamm-Aging As Two Sides of the Same Coin: Friends or
Foes?
AB - The immune system is the most important protective physiological system of the
organism. It has many connections with other systems and is, in fact, often
considered as part of the larger neuro-endocrine-immune axis. Most experimental
data on immune changes with aging show a decline in many immune parameters when
compared to young healthy subjects. The bulk of these changes is termed
immunosenescence. Immunosenescence has been considered for some time as
detrimental because it often leads to subclinical accumulation of pro
inflammatory factors and inflamm-aging. Together, immunosenescence and inflamm
aging are suggested to stand at the origin of most of the diseases of the
elderly, such as infections, cancer, autoimmune disorders, and chronic
inflammatory diseases. However, an increasing number of immune-gerontologists
have challenged this negative interpretation of immunosenescence with respect to
its significance in aging-related alterations of the immune system. If one
considers these changes from an evolutionary perspective, they can be viewed
preferably as adaptive or remodeling rather than solely detrimental. Whereas it
is conceivable that global immune changes may lead to various diseases, it is
also obvious that these changes may be needed for extended survival/longevity.
Recent cumulative data suggest that, without the existence of the
immunosenescence/inflamm-aging duo (representing two sides of the same
phenomenon), human longevity would be greatly shortened. This review summarizes
recent data on the dynamic reassessment of immune changes with aging.
Accordingly, attempts to intervene on the aging immune system by targeting its
rejuvenation, it may be more suitable to aim to maintain general homeostasis and
function by appropriately improving immune-inflammatory-functions.
PMID- 29375579
TI - Hepatitis B Virus Vaccination in HIV-1-Infected Young Adults: A Tool to Reduce
the Size of HIV-1 Reservoirs?
AB - During anti-retroviral therapy (ART) HIV-1 persists in cellular reservoirs,
mostly represented by CD4+ memory T cells. Several approaches are currently being
undertaken to develop a cure for HIV-1 infection through elimination (or
reduction) of these reservoirs. Few studies have so far been conducted to assess
the possibility of reducing the size of HIV-1 reservoirs through vaccination in
virologically controlled HIV-1-infected children. We recently conducted a
vaccination study with a combined hepatitis A virus (HAV) and hepatitis B virus
(HBV) vaccine in 22 HIV-1-infected children. We assessed the size of the virus
reservoir, measured as total HIV-1 DNA copies in blood cells, pre- and
postvaccination. In addition, we investigated by immunostaining whether the
frequencies of CD4+ and CD8+ T cells and parameters of immune activation and
proliferation on these cells were modulated by vaccination. At 1 month from the
last vaccination dose, we found that 20 out of 22 children mounted a serological
response to HBV; a majority of children had antibodies against HAV at baseline.
The number of HIV-1 DNA copies in blood at 1 month postvaccination was reduced in
comparison to baseline although this reduction was not statistically significant.
A significant reduction of HIV-1 DNA copies in blood following vaccination was
found in 12 children. The frequencies of CD4+ (naive, effector memory) and CD8+
(central memory) T-cell subpopulations changed following vaccinations and a
reduction in the activation and proliferation pattern of these cells was also
noticed. Multivariate linear regression analysis revealed that the frequency of
CD8+ effector memory T cells prior to vaccination was strongly predictive of the
reduction of HIV-1 DNA copies in blood following vaccination of the 22 HIV-1
infected children. The results of this study suggest a beneficial effect of
vaccination to reduce the size of virus reservoir in HIV-1-infected children
receiving ART. A reduced frequency of activated CD4+ cells and an increase in
central memory CD8+ T cells were associated with this finding. Further studies
should assess whether vaccination is a possible tool to reduce HIV-1 reservoirs.
PMID- 29375580
TI - Increased Frequency of Peripheral B and T Cells Expressing Granulocyte Monocyte
Colony-Stimulating Factor in Rheumatoid Arthritis Patients.
AB - Objectives: Granulocyte monocyte colony-stimulating factor (GM-CSF) is currently
considered a crucial inflammatory mediator and a novel therapeutic target in
rheumatoid arthritis (RA), despite the fact that its precise cellular sources
remain uncertain. We studied the expression of GM-CSF in peripheral lymphocytes
from RA patients and its change with antirheumatic therapies. Methods:
Intracellular GM-CSF expression was assessed by flow cytometry in stimulated
peripheral B (CD19+) and T (CD3+) cells from RA patients (n = 40), disease (n =
31 including osteoarthritis n = 15, psoriatic arthritis n = 10, and systemic
rheumatic diseases n = 6) and healthy (n = 16) controls. The phenotype of GM-CSF+
B cells was assessed as well as longitudinal changes in GM-CSF+ lymphocytes
during methotrexate (MTX, n = 10) or anti-tumor necrosis factor (anti-TNF, n =
10) therapy. Results: Among untreated RA patients with active disease (Disease
Activity Score 28-C-reactive protein = 5.6 +/- 0.89) an expanded population of
peripheral GM-CSF+ B (4.1 +/- 2.2%) and T (3.4 +/- 1.6%) cells was detected
compared with both disease (1.7 +/- 0.9%, p < 0.0001 and 1.7 +/- 1.3%, p <
0.0001, respectively) and healthy (0.3 +/- 0.2%, p < 0.0001 and 0.6 +/- 0.6%, p <
0.0001) controls. RA GM-CSF+ B cells displayed more commonly a plasmablast or
transitional phenotype (37.12 +/- 18.34% vs. 14.26 +/- 9.46%, p = 0.001 and 30.49
+/- 15.04% vs. 2.45 +/- 1.84%, p < 0.0001, respectively) and less a memory
phenotype (21.46 +/- 20.71% vs. 66.99 +/- 16.63%, p < 0.0001) compared to GM-CSF-
cells. GM-CSF expression in RA patients did not correlate to disease duration,
activity or serological status. Anti-TNF treatment led to a statistically
significant decrease in GM-CSF+ B and T cells while MTX had no significant
effect. Discussion: This is the first study showing an expanded population of GM
CSF+ B and T lymphocytes in patients with active RA which declined after anti-TNF
therapy.
PMID- 29375581
TI - The Interface between Fungal Biofilms and Innate Immunity.
AB - Fungal biofilms are communities of adherent cells surrounded by an extracellular
matrix. These biofilms are commonly found during infection caused by a variety of
fungal pathogens. Clinically, biofilm infections can be extremely difficult to
eradicate due to their resistance to antifungals and host defenses. Biofilm
formation can protect fungal pathogens from many aspects of the innate immune
system, including killing by neutrophils and monocytes. Altered immune
recognition during this phase of growth is also evident by changes in the
cytokine profiles of monocytes and macrophages exposed to biofilm. In this
manuscript, we review the host response to fungal biofilms, focusing on how these
structures are recognized by the innate immune system. Biofilms formed by
Candida, Aspergillus, and Cryptococcus have received the most attention and are
highlighted. We describe common themes involved in the resilience of fungal
biofilms to host immunity and give examples of biofilm defenses that are pathogen
specific.
PMID- 29375582
TI - Impairment of Several Immune Functions and Redox State in Blood Cells of
Alzheimer's Disease Patients. Relevant Role of Neutrophils in Oxidative Stress.
AB - Since aging is considered the most risk factor for sporadic Alzheimer's Disease
(AD), the age-related impairment of the immune system (immunosenescence), based
on a chronic oxidative-inflammatory stress situation, could play a key role in
the development and progression of AD. Although AD is accompanied by systemic
disturbance, reflecting the damage in the brain, the changes in immune response
and redox-state in different types of blood cells in AD patients have been
scarcely studied. The aim was to analyze the variations in several immune
functions and oxidative-inflammatory stress and damage parameters in both
isolated peripheral neutrophils and mononuclear blood cells, as well as in whole
blood cells, from patients diagnosed with mild (mAD) and severe AD, and of age
matched controls (elderly healthy subjects) as well as of adult controls. The
cognitive decline of all subjects was determined by Mini-Mental State Examination
(MMSE) test (mAD stage was established at 20 <= MMSE <= 23 score; AD stage at <18
MMSE; elderly subjects >27 MMSE). The results showed an impairment of the immune
functions of human peripheral blood neutrophils and mononuclear cells of mAD and
AD patients in relation to healthy elderly subjects, who showed the typical
immunosenescence in comparison with the adult individuals. However, several
alterations were only observed in severe AD patients (lower chemotaxis,
lipopolysaccharide lymphoproliferation, and interleukin (IL)-10 release; higher
basal proliferation, tumor necrosis factor (TNF)-alpha release, and IL-10/TNF
alpha ratio), others only in mAD subjects (higher adherence), meanwhile others
appeared in both mAD and AD patients (lower phytohemaglutinin lymphoproliferation
and higher IL-6 release). This impairment of immune functions could be mediated
by: (1) the higher oxidative stress and damage also observed in blood cells from
mAD and AD patients and in isolated neutrophils [lower glutathione (GSH) levels,
high oxidized glutathione (GSSG)/GSH ratio, and GSSG and malondialdehyde
contents], and (2) the higher release of basal pro-inflammatory cytokines (IL-6
and TNF-alpha) found in AD patients. Because the immune system parameters studied
are markers of health and rate of aging, our results supported an accelerated
immunosenescence in AD patients. We suggest the assessment of oxidative stress
and function parameters in peripheral blood cells as well as in isolated
neutrophils and mononuclear cells, respectively, as possible markers of AD
progression.
PMID- 29375583
TI - The Expanding Therapeutic Perspective of CCR5 Blockade.
AB - CCR5 and its interaction with chemokine ligands have been crucial for
understanding and tackling HIV-1 entry into target cells. However, over time,
CCR5 has witnessed an impressive transition from being considered rather
unimportant in physiology and pathology to becoming central in a growing number
of pathophysiological conditions. It now turns out that the massive efforts
devoted to combat HIV-1 entry by interfering with CCR5, and the subsequent
production of chemokine ligand variants, small chemical compounds, and other
molecular entities and strategies, may set the therapeutic standards for a wealth
of different pathologies. Expressed on various cell types, CCR5 plays a vital
role in the inflammatory response by directing cells to sites of inflammation.
Aside HIV-1, CCR5 has been implicated in other infectious diseases and non
infectious diseases such as cancer, atherosclerosis, and inflammatory bowel
disease. Individuals carrying the CCR5Delta32 mutation live a normal life and are
warranted a natural barrier to HIV-1 infection. Therefore, CCR5 antagonism and
gene-edited knockout of the receptor gained growing interest for the therapeutic
role that CCR5 blockade may play in the attenuation of the severity or
progression of numerous diseases.
PMID- 29375584
TI - A Novel Vaccine Delivery Model of the Apicomplexan Eimeria tenella Expressing
Eimeria maxima Antigen Protects Chickens against Infection of the Two Parasites.
AB - Vaccine delivery is critical in antigen discovery and vaccine efficacy and
safety. The diversity of infectious diseases in humans and livestock has required
the development of varied delivery vehicles to target different pathogens. In
livestock animals, previous strategies for the development of coccidiosis
vaccines have encountered several hurdles, limiting the development of multiple
species vaccine formulations. Here, we describe a novel vaccine delivery system
using transgenic Eimeria tenella expressing immunodominant antigens of Eimeria
maxima. In this delivery system, the immune mapped protein 1 of E. maxima
(EmIMP1) was delivered by the closely related species of E. tenella to the host
immune system during the whole endogenous life cycle. The overexpression of the
exogenous antigen did not interfere with the reproduction and immunogenicity of
transgenic Eimeria. After immunization with the transgenic parasite, we detected
EmIMP1's and E. maxima oocyst antigens' specific humoral and cellular immune
responses. In particular, we observed partial protection of chickens immunized
with transgenic E. tenella against subsequent E. maxima infections. Our results
demonstrate that the transgenic Eimeria parasite is an ideal coccidia antigen
delivery vehicle and represents a new type of coccidiosis vaccines. In addition,
this model could potentially be used in the development of malaria live
sporozoite vaccines, in which antigens from different strains can be expressed in
the vaccine strain.
PMID- 29375585
TI - Streptococcus pneumoniae Attenuated Strain SPY1 with an Artificial Mineral Shell
Induces Humoral and Th17 Cellular Immunity and Protects Mice against Pneumococcal
Infection.
AB - Streptococcus pneumoniae is a major pathogen leading to substantial morbidity and
mortality in children under 5 years of age. Vaccination is an effective strategy
to prevent S. pneumoniae infection. SPY1 is a pneumococcal vaccine candidate
strain obtained in our previous study. To improve its stability and
immunogencity, in this study, we constructed the SPY1DeltalytA strain that lacks
autolysin activity and was coated with an artificial exterior surface calcium
phosphate shell by in situ mineralization. The resulting strain SPY1DeltalytACaPi
displayed enhanced thermal stability enabling storage at 37 degrees C for 1 week.
Furthermore, mucosal and subcutaneous immunization with the SPY1DeltalytACaPi
strain induced better protective effects than SPY1DeltalytA in anti-colonization
after challenging with 19F and anti-invasion by D39 in mice. Subcutaneous
immunization with SPY1DeltalytACaPi elicited higher IgG level while mucosal
immunization primarily elicited an immune response which is supposed to be
related to Th17 cells. Taken together, the mineralized strain may be a promising
candidate for an attenuated S. pneumoniae vaccine.
PMID- 29375586
TI - Host-Derived Leukotriene B4 Is Critical for Resistance against Invasive Pulmonary
Aspergillosis.
AB - Aspergillus fumigatus is a mold that causes severe pulmonary infections. Our
knowledge of how immune competent hosts maintain control of fungal infections
while constantly being exposed to fungi is rapidly emerging. It is known that
timely neutrophil recruitment to and activation in the lungs is critical to the
host defense against development of invasive pulmonary aspergillosis, but the
inflammatory sequelae necessary remains to be fully defined. Here, we show that 5
Lipoxygenase (5-LO) and Leukotriene B4 (LTB4) are critical for leukocyte
recruitment and resistance to pulmonary A. fumigatus challenge in a fungal-strain
dependent manner. 5-LO activity was needed in radiosensitive cells for an optimal
anti-fungal response and in vivo LTB4 production was at least partially dependent
on myeloid-derived hypoxia inducible factor-1alpha. Overall, this study reveals a
role for host-derived leukotriene synthesis in innate immunity to A. fumigatus.
PMID- 29375587
TI - Drought Sensitivity of the Carbon Isotope Composition of Leaf Dark-Respired CO2
in C3 (Leymus chinensis) and C4 (Chloris virgata and Hemarthria altissima)
Grasses in Northeast China.
AB - Whether photosynthetic pathway differences exist in the amplitude of nighttime
variations in the carbon isotope composition of leaf dark-respired CO2
(delta13Cl) and respiratory apparent isotope fractionation relative to biomass
(DeltaR,biomass) in response to drought stress is unclear. These differences, if
present, would be important for the partitioning of C3-C4 mixed ecosystem C
fluxes. We measured delta13Cl, the delta13C of biomass and of potential
respiratory substrates and leaf gas exchange in one C3 (Leymus chinensis) and two
C4 (Chloris virgata and Hemarthria altissima) grasses during a manipulated
drought period. For all studied grasses, delta13Cl decreased from 21:00 to 03:00
h. The magnitude of the nighttime shift in delta13Cl decreased with increasing
drought stress. The delta13Cl values were correlated with the delta13C of
respiratory substrates, whereas the magnitude of the nighttime shift in delta13Cl
strongly depended on the daytime carbon assimilation rate and the range of
nighttime variations in the respiratory substrate content. The DeltaR,biomass in
the C3 and C4 grasses varied in opposite directions with the intensification of
the drought stress. The contribution of C4 plant-associated carbon flux is likely
to be overestimated if carbon isotope signatures are used for the partitioning of
ecosystem carbon exchange and the delta13C of biomass is used as a substitute for
leaf dark-respired CO2. The detected drought sensitivities in delta13Cl and
differences in respiratory apparent isotope fractionation between C3 and C4
grasses have marked implications for isotope partitioning studies at the
ecosystem level.
PMID- 29375589
TI - Bryophytes and Organic layers Control Uptake of Airborne Nitrogen in Low-N
Environments.
AB - The effects of atmospheric nitrogen (N) deposition on ecosystem functioning
largely depend on the retention of N in different ecosystem compartments, but
accumulation and partitioning processes have rarely been quantified in long-term
field experiments. In the present study we analysed for the first time decadal
scale flows and allocation patterns of N in a heathland ecosystem that has been
subject to airborne N inputs over decades. Using a long-term 15N tracer
experiment, we quantified N retention and flows to and between ecosystem
compartments (above-ground/below-ground vascular biomass, moss layer, soil
horizons, leachate). After 9 years, about 60% of the added 15N-tracer remained in
the N cycle of the ecosystem. The moss layer proved to be a crucial link between
incoming N and its allocation to different ecosystem compartments (in terms of a
short-term capture, but long-term release function). However, about 50% of the
15N captured and released by the moss layer was not compensated for by a
corresponding increase in recovery rates in any other compartment, probably due
to denitrification losses from the moss layer in the case of water saturation
after rain events. The O-horizon proved to be the most important long-term sink
for added 15N, as reflected by an increase in recovery rates from 18 to 40%
within 8 years. Less than 2.1% of 15N were recovered in the podzol-B-horizon,
suggesting that only negligible amounts of N were withdrawn from the N cycle of
the ecosystem. Moreover, 15N recovery was low in the dwarf shrub above-ground
biomass (<3.9% after 9 years) and in the leachate (about 0.03% within 1 year),
indicating still conservative N cycles of the ecosystem, even after decades of N
inputs beyond critical load thresholds. The continuous accumulation of reactive
forms of airborne N suggests that critical load-estimates need to account for
cumulative effects of N additions into ecosystems.
PMID- 29375588
TI - Advanced DNA-Based Point-of-Care Diagnostic Methods for Plant Diseases Detection.
AB - Diagnostic technologies for the detection of plant pathogens with point-of-care
capability and high multiplexing ability are an essential tool in the fight to
reduce the large agricultural production losses caused by plant diseases. The
main desirable characteristics for such diagnostic assays are high specificity,
sensitivity, reproducibility, quickness, cost efficiency and high-throughput
multiplex detection capability. This article describes and discusses various DNA
based point-of care diagnostic methods for applications in plant disease
detection. Polymerase chain reaction (PCR) is the most common DNA amplification
technology used for detecting various plant and animal pathogens. However,
subsequent to PCR based assays, several types of nucleic acid amplification
technologies have been developed to achieve higher sensitivity, rapid detection
as well as suitable for field applications such as loop-mediated isothermal
amplification, helicase-dependent amplification, rolling circle amplification,
recombinase polymerase amplification, and molecular inversion probe. The
principle behind these technologies has been thoroughly discussed in several
review papers; herein we emphasize the application of these technologies to
detect plant pathogens by outlining the advantages and disadvantages of each
technology in detail.
PMID- 29375590
TI - Characterization of Linkage Disequilibrium and Population Structure in a Mungbean
Diversity Panel.
AB - Mungbean [Vigna radiata (L.) R. Wilczek var. radiata] is an important grain
legume globally, providing a high-quality plant protein source largely produced
and consumed in South and East Asia. This study aimed to characterize a mungbean
diversity panel consisting of 466 cultivated accessions and demonstrate its
utility by conducting a pilot genome-wide association study of seed coat color.
In addition 16 wild accessions were genotyped for comparison and in total over
22,000 polymorphic genome-wide SNPs were identified and used to analyze the
genetic diversity, population structure, linkage disequilibrium (LD) of mungbean.
Polymorphism was lower in the cultivated accessions in comparison to the wild
accessions, with average polymorphism information content values 0.174, versus
0.305 in wild mungbean. LD decayed in ~100 kb in cultivated lines, a distance
higher than the linkage decay of ~60 kb estimated in wild mungbean. Four distinct
subgroups were identified within the cultivated lines, which broadly corresponded
to geographic origin and seed characteristics. In a pilot genome-wide association
mapping study of seed coat color, five genomic regions associated were
identified, two of which were close to seed coat color genes in other species.
This mungbean diversity panel constitutes a valuable resource for genetic
dissection of important agronomical traits to accelerate mungbean breeding.
PMID- 29375592
TI - Novel Insights into the Influence of Seed Sarcotesta Photosynthesis on
Accumulation of Seed Dry Matter and Oil Content in Torreya grandis cv.
"Merrillii".
AB - Seed oil content is an important trait of nut seeds, and it is affected by the
import of carbon from photosynthetic sources. Although green leaves are the main
photosynthetic organs, seed sarcotesta photosynthesis also supplies assimilates
to seed development. Understanding the relationship between seed photosynthesis
and seed development has theoretical and practical significance in the
cultivation of Torreya grandis cv. "Merrillii." To assess the role of seed
sarcotesta photosynthesis on the seed development, anatomical and physiological
traits of sarcotesta were measured during two growing seasons in the field.
Compared with the attached current-year leaves, the sarcotesta had higher gross
photosynthetic rate at the first stage of seed development. At the late second
stage of seed development, sarcotesta showed down-regulation of PSII activity, as
indicated by significant decrease in the following chlorophyll fluorescence
parameters: the maximum PSII efficiency (Fv/Fm ), the PSII quantum yield (Phi
PSII ), and the photosynthetic quenching coefficient (qP). The ribulose 1, 5
bisphosphate carboxylase (Rubisco) activity, the total chlorophyll content
(Chl(a+b)) and nitrogen content in the sarcotesta were also significantly
decreased during that period. Treatment with DCMU [3-(3,4-dichlorophenyl)-1,1
dimethylurea] preventing seed photosynthesis decreased the seed dry weight and
the oil content by 25.4 and 25.5%, respectively. We conclude that seed
photosynthesis plays an important role in the dry matter accumulation at the
first growth stage. Our results also suggest that down-regulation of seed
photosynthesis is a plant response to re-balance the source-sink ratio at the
second growth stage. These results suggest that seed photosynthesis is important
for biomass accumulation and oil synthesis of the Torreya seeds. The results will
facilitate achieving higher yields and oil contents in nut trees by selection for
higher seed photosynthesis cultivars.
PMID- 29375593
TI - Editorial: Plant Phenotyping and Phenomics for Plant Breeding.
PMID- 29375591
TI - The Integration of Electrical Signals Originating in the Root of Vascular Plants.
AB - Plants have developed different signaling systems allowing for the integration of
environmental cues to coordinate molecular processes associated to both early
development and the physiology of the adult plant. Research on systemic signaling
in plants has traditionally focused on the role of phytohormones as long-distance
signaling molecules, and more recently the importance of peptides and miRNAs in
building up this communication process has also been described. However, it is
well-known that plants have the ability to generate different types of long-range
electrical signals in response to different stimuli such as light, temperature
variations, wounding, salt stress, or gravitropic stimulation. Presently, it is
unclear whether short or long-distance electrical communication in plants is
linked to nutrient uptake. This review deals with aspects of sensory input in
plant roots and the propagation of discrete signals to the plant body. We discuss
the physiological role of electrical signaling in nutrient uptake and how
nutrient variations may become an electrical signal propagating along the plant.
PMID- 29375594
TI - Improving Crop Yield and Nutrient Use Efficiency via Biofertilization-A Global
Meta-analysis.
AB - The application of microbial inoculants (biofertilizers) is a promising
technology for future sustainable farming systems in view of rapidly decreasing
phosphorus stocks and the need to more efficiently use available nitrogen (N).
Various microbial taxa are currently used as biofertilizers, based on their
capacity to access nutrients from fertilizers and soil stocks, to fix atmospheric
nitrogen, to improve water uptake or to act as biocontrol agents. Despite the
existence of a considerable knowledge on effects of specific taxa of
biofertilizers, a comprehensive quantitative assessment of the performance of
biofertilizers with different traits such as phosphorus solubilization and N
fixation applied to various crops at a global scale is missing. We conducted a
meta-analysis to quantify benefits of biofertilizers in terms of yield increase,
nitrogen and phosphorus use efficiency, based on 171 peer reviewed publications
that met eligibility criteria. Major findings are: (i) the superiority of
biofertilizer performance in dry climates over other climatic regions (yield
response: dry climate +20.0 +/- 1.7%, tropical climate +14.9 +/- 1.2%, oceanic
climate +10.0 +/- 3.7%, continental climate +8.5 +/- 2.4%); (ii) meta-regression
analyses revealed that yield response due to biofertilizer application was
generally small at low soil P levels; efficacy increased along higher soil P
levels in the order arbuscular mycorrhizal fungi (AMF), P solubilizers, and N
fixers; (iii) meta-regressions showed that the success of inoculation with AMF
was greater at low organic matter content and at neutral pH. Our comprehensive
analysis provides a basis and guidance for proper choice and application of
biofertilizers.
PMID- 29375595
TI - No Time to Waste: Transcriptome Study Reveals that Drought Tolerance in Barley
May Be Attributed to Stressed-Like Expression Patterns that Exist before the
Occurrence of Stress.
AB - Plant survival in adverse environmental conditions requires a substantial change
in the metabolism, which is reflected by the extensive transcriptome rebuilding
upon the occurrence of the stress. Therefore, transcriptomic studies offer an
insight into the mechanisms of plant stress responses. Here, we present the
results of global gene expression profiling of roots and leaves of two barley
genotypes with contrasting ability to cope with drought stress. Our analysis
suggests that drought tolerance results from a certain level of transcription of
stress-influenced genes that is present even before the onset of drought. Genes
that predispose the plant to better drought survival play a role in the
regulatory network of gene expression, including several transcription factors,
translation regulators and structural components of ribosomes. An important group
of genes is involved in signaling mechanisms, with significant contribution of
hormone signaling pathways and an interplay between ABA, auxin, ethylene and
brassinosteroid homeostasis. Signal transduction in a drought tolerant genotype
may be more efficient through the expression of genes required for environmental
sensing that are active already during normal water availability and are related
to actin filaments and LIM domain proteins, which may function as osmotic
biosensors. Better survival of drought may also be attributed to more effective
processes of energy generation and more efficient chloroplasts biogenesis.
Interestingly, our data suggest that several genes involved in a photosynthesis
process are required for the establishment of effective drought response not only
in leaves, but also in roots of barley. Thus, we propose a hypothesis that root
plastids may turn into the anti-oxidative centers protecting root macromolecules
from oxidative damage during drought stress. Specific genes and their potential
role in building up a drought-tolerant barley phenotype is extensively discussed
with special emphasis on processes that take place in barley roots. When
possible, the interconnections between particular factors are emphasized to draw
a broader picture of the molecular mechanisms of drought tolerance in barley.
PMID- 29375596
TI - Nictaba Homologs from Arabidopsis thaliana Are Involved in Plant Stress
Responses.
AB - Plants are constantly exposed to a wide range of environmental stresses, but
evolved complicated adaptive and defense mechanisms which allow them to survive
in unfavorable conditions. These mechanisms protect and defend plants by using
different immune receptors located either at the cell surface or in the
cytoplasmic compartment. Lectins or carbohydrate-binding proteins are widespread
in the plant kingdom and constitute an important part of these immune receptors.
In the past years, lectin research has focused on the stress-inducible lectins.
The Nicotiana tabacum agglutinin, abbreviated as Nictaba, served as a model for
one family of stress-related lectins. Here we focus on three non-chimeric Nictaba
homologs from Arabidopsis thaliana, referred to as AN3, AN4, and AN5. Confocal
microscopy of ArathNictaba enhanced green fluorescent protein (EGFP) fusion
constructs transiently expressed in N. benthamiana or stably expressed in A.
thaliana yielded fluorescence for AN4 and AN5 in the nucleus and the cytoplasm of
the plant cell, while fluorescence for AN3 was only detected in the cytoplasm. RT
qPCR analysis revealed low expression for all three ArathNictabas in different
tissues throughout plant development. Stress application altered the expression
levels, but all three ArathNictabas showed a different expression pattern.
Pseudomonas syringae infection experiments with AN4 and AN5 overexpression lines
demonstrated a significantly higher tolerance of several transgenic lines to P.
syringae compared to wild type plants. Finally, AN4 was shown to interact with
two enzymes involved in plant defense, namely TGG1 and BGLU23. Taken together,
our data suggest that the ArathNictabas represent stress-regulated proteins with
a possible role in plant stress responses. On the long term this research can
contribute to the development of more stress-resistant plants.
PMID- 29375597
TI - Cadmium Stress Leads to Rapid Increase in RNA Oxidative Modifications in Soybean
Seedlings.
AB - Increase in the level of reactive oxygen species (ROS) is a common response to
stress factors, including exposure to metals. ROS over-production is associated
with oxidation of lipids, proteins, and nucleic acids. It is suggested that the
products of oxidation are not solely the markers of oxidative stress but also
signaling elements. For instance, it has been shown in animal models that mRNA
oxidation is a selective process engaged in post-transcriptional regulation of
genes expression and that it is associated with the development of symptoms of
several neurodegenerative disorders. In the present study, we examined the impact
of short-term cadmium (Cd) stress on the level of two RNA oxidation markers: 8
hydroxyguanosine (8-OHG) and apurinic/apyrimidinic sites (AP-sites, abasic
sites). In the case of 8-OHG, a significant increase was observed after 3 h of
exposure to moderate Cd concentration (10 mg/l). In turn, high level of AP-sites,
accompanied by strong ROS accumulation and lipid peroxidation, was noted only
after 24 h of treatment with higher Cd concentration (25 mg/l). This is the first
report showing induction of RNA oxidations in plants response to stress factors.
The possible signaling and gene regulatory role of oxidatively modified
transcripts is discussed.
PMID- 29375598
TI - OsWRKY67 Plays a Positive Role in Basal and XA21-Mediated Resistance in Rice.
AB - WRKY proteins play important roles in transcriptional reprogramming in plants in
response to various stresses including pathogen attack. In this study, we
functionally characterized a rice WRKY gene, OsWRKY67, whose expression is
upregulated against pathogen challenges. Activation of OsWRKY67 by T-DNA tagging
significantly improved the resistance against two rice pathogens, Magnaporthe
oryzae and Xanthomonas oryzae pv. oryzae (Xoo). Reactive oxygen species (ROS)
rapidly accumulated in OsWRKY67 activation mutant lines in response to elicitor
treatment, compared with the controls. Overexpression of OsWRKY67 in rice
confirmed enhanced disease resistance, but led to a restriction of plant growth
in transgenic lines with high levels of OsWRKY67 protein. OsWRKY67 RNAi lines
significantly reduced resistance to M. oryzae and Xoo isolates tested, and
abolished XA21-mediated resistance, implying the possibility of broad-spectrum
resistance from OsWRKY67. Transcriptional activity and subcellular localization
assays indicated that OsWRKY67 is present in the nucleus where it functions as a
transcriptional activator. Quantitative PCR revealed that the pathogenesis
related genes, PR1a, PR1b, PR4, PR10a, and PR10b, are upregulated in OsWRKY67
overexpression lines. Therefore, these results suggest that OsWRKY67 positively
regulates basal and XA21-mediated resistance, and is a promising candidate for
genetic improvement of disease resistance in rice.
PMID- 29375599
TI - Genetic Transformation System for Woody Plant Tripterygium wilfordii and Its
Application to Product Natural Celastrol.
AB - Tripterygium wilfordii is a perennial woody liana medicinal plant with several
crucial biological activities. Although studies on tissue culture have previously
been conducted, research on genetic transformation is much more challenging and
therefore results in slower progress. In the present study, a highly efficient
transformation system involving the particle bombardment of T. wilfordii with the
reporter egfp gene using the PDS-1000/He system was established. A total of seven
parameters affecting the genetic transformation were investigated using an L18 (6
* 36)-type orthogonal array. The result indicated that DNA delivery conditions of
3-cm target distance, 1100 psi helium pressure, 28 mmHg chamber vacuum pressure,
three times number of bombardment, CaCl2 as precipitation agent, 2 MUg plasmid
DNA concentration and 48 h post-bombardment incubation time were optimal for T.
wilfordii cell suspensions transformation. The average transformation efficiency
was 19.17%. Based on this transformation system, the overexpression of two T.
wilfordii farnesyl pyrophosphate synthase genes (TwFPSs) was performed in cell
suspensions. Integration of the TwFPSs in the genome was verified by PCR analysis
and also by Southern blotting using hygromycin gene as a probe. Real-time
quantitative PCR analysis showed that the expression of TwFPS1&2 was highly up
regulated in transgenic cell suspensions compared with control cells. The
detection of metabolites showed that TwFPS1&2 could highly increase the celastrol
content (973.60 MUg/g) in transgenic cells. These results indicated that this
transformation system is an effective protocol for characterizing the function of
genes in the terpenoid biosynthetic pathway.
PMID- 29375600
TI - Drought Stress and Root-Associated Bacterial Communities.
AB - Root-associated bacterial communities play a vital role in maintaining health of
the plant host. These communities exist in complex relationships, where
composition and abundance of community members is dependent on a number of
factors such as local soil chemistry, plant genotype and phenotype, and
perturbations in the surrounding abiotic environment. One common perturbation,
drought, has been shown to have drastic effects on bacterial communities, yet
little is understood about the underlying causes behind observed shifts in
microbial abundance. As drought may affect root bacterial communities both
directly by modulating moisture availability, as well as indirectly by altering
soil chemistry and plant phenotypes, we provide a synthesis of observed trends in
recent studies and discuss possible directions for future research that we hope
will provide for more knowledgeable predictions about community responses to
future drought events.
PMID- 29375601
TI - Arabidopsis G-Protein beta Subunit AGB1 Interacts with BES1 to Regulate
Brassinosteroid Signaling and Cell Elongation.
AB - In Arabidopsis, brassinosteroids (BR) are major growth-promoting hormones, which
integrate with the heterotrimeric guanine nucleotide-binding protein (G-protein)
signals and cooperatively modulate cell division and elongation. However, the
mechanisms of interaction between BR and G-protein are not well understood. Here,
we show that the G-protein beta subunit AGB1 directly interacts with the BR
transcription factor BES1 in vitro and in vivo. An AGB1-null mutant, agb1-2,
displays BR hyposensitivity and brassinazole (BRZ, BR biosynthesis inhibitor)
hypersensitivity, which suggests that AGB1 positively mediates the BR signaling
pathway. Moreover, we demonstrate that AGB1 synergistically regulates expression
of BES1 target genes, including the BR biosynthesis genes CPD and DWF4 and the
SAUR family genes required for promoting cell elongation. Further, Western blot
analysis of BES1 phosphorylation states indicates that the interaction between
AGB1 and BES1 alters the phosphorylation status of BES1 and increases the ratio
of dephosphorylated to phosphorylated BES1, which leads to accumulation of
dephosphorylated BES1 in the nucleus. Finally, AGB1 promotes BES1 binding to BR
target genes and stimulates the transcriptional activity of BES1. Taken together,
our results demonstrate that AGB1 positively regulates cell elongation by
affecting the phosphorylation status and transcriptional activity of BES1.
PMID- 29375603
TI - Ca Distribution Pattern in Litchi Fruit and Pedicel and Impact of Ca Channel
Inhibitor, La3.
AB - Calcium (Ca) deficiency in fruit causes various physiological disorders leading
to quality loss. However, disorders related to Ca deficiency are not simply
caused by a shortage of calcium supply. Ca distribution is also an important
relation. This study examined Ca distribution pattern in fruit and pedicel in
litchi (Litchi chinensis Sonn.) and the influence of Ca channel inhibitor La3+ on
fruit Ca uptake and distribution. In situ distribution of Ca in the phloem and
xylem tissues of the pedicel was visualized by Ca mapping with X-ray
microanalyzer. Ca2+ analogy Sr2+ was used to trace Ca2+ transport pathway to
fruit as well as distribution pattern. The results showed Ca was more distributed
in the pericarp, especially the distal part. Ca level in the bark/phloem was
always significantly higher than in the xylem and increased with stem age,
suggesting constant influx of Ca into the phloem from the xylem. La3+ increased
the ratio of Ca in the xylem to that in the bark in the pedicel and significantly
reduced Ca accumulation by 55.6% in fruit, suggesting influx of Ca into the
symplast was involved in fruit Ca uptake. Sr2+ introduced from fruit stalk was
found to be transported to fruit through the phloem as Sr was largely distributed
in the phloem, and fruit stalk girdling significantly reduced Sr accumulation in
the pericarp. Ca mapping across the pedicel revealed Ca-rich sites in the
parenchyma cells in the phloem and along the cambium, where abundant Ca oxalate
crystals were found. The results suggested extensive influx of Ca from
xylem/apoplast pathway into the phloem/symplast pathway in the pedicel, which
enables phloem/symplast pathway to contribute a considerable part to Ca uptake in
litchi fruit.
PMID- 29375602
TI - Genetic Architecture of Capitate Glandular Trichome Density in Florets of
Domesticated Sunflower (Helianthus annuus L.).
AB - Capitate glandular trichomes (CGT), one type of glandular trichomes, are most
common in Asteraceae species. CGT can produce various secondary metabolites such
as sesquiterpene lactones (STLs) and provide durable resistance to insect pests.
In sunflower, CGT-based host resistance is effective to combat the specialist
pest, sunflower moth. However, the genetic basis of CGT density is not well
understood in sunflower. In this study, we identified two major QTL controlling
CGT density in sunflower florets by using a F4 mapping population derived from
the cross HA 300 * RHA 464 with a genetic linkage map constructed from genotyping
by-sequencing data and composed of 2121 SNP markers. One major QTL is located on
chromosome 5, which explained 11.61% of the observed phenotypic variation, and
the second QTL is located on chromosome 6, which explained 14.06% of the observed
phenotypic variation. The QTL effects and the association between CGT density and
QTL support interval were confirmed in a validation population which included 39
sunflower inbred lines with diverse genetic backgrounds. We also identified two
strong candidate genes in the QTL support intervals, and the functions of their
orthologs in other plant species suggested their potential roles in regulating
capitate glandular trichome density in sunflower. Our results provide valuable
information to sunflower breeding community for developing host resistance to
sunflower insect pests.
PMID- 29375604
TI - UDP-Glucose: A Potential Signaling Molecule in Plants?
AB - This perspective paper focuses on the most recent results suggesting a potential
role for UDP-Glucose as a signaling molecule in plants. In animals, UDP-Glucose
is well-established as an extracellular signaling molecule that is sensed by G
protein coupled receptors, activating several downstream defense mechanisms.
Recent studies have shown that abnormal growth occurred in both vegetative and
reproductive tissue of plants with reduced UDP-Glucose levels, and this could be
rescued by exogenous UDP-Glucose. In plants with increased biomass accumulation,
the genes involved in UDP-Glucose production were up-regulated. However,
excessive endogenous accumulation of UDP-Glucose induced programmed cell death
(PCD), and this could also be obtained by exogenous UDP-Glucose application.
Plants with decreased UDP-glucose were insensitive to pathogen induced PCD. We
speculate that UDP-Glucose acts as an extracellular signaling molecule in plants,
and that it may be perceived as a damage-associated molecular pattern.
PMID- 29375605
TI - Overlapping Residual Herbicides for Control of Photosystem (PS) II- and 4
Hydroxyphenylpyruvate Dioxygenase (HPPD)-Inhibitor-Resistant Palmer amaranth
(Amaranthus palmeri S. Watson) in Glyphosate-Resistant Maize.
AB - A Palmer amaranth (Amaranthus palmeri S. Watson) biotype has evolved resistance
to photosystem (PS) II- (atrazine) and 4-hydroxyphenylpyruvate dioxygenase (HPPD)
inhibiting herbicides (mesotrione, tembotrione, and topramezone) in maize seed
production field in Nebraska, USA. The objectives of this study were to determine
the effect of soil residual pre-emergence (PRE) herbicides followed by (fb) tank
mixture of residual and foliar active post-emergence (POST) herbicides on PS-II-
and HPPD-inhibitor-resistant Palmer amaranth control, maize yield, and net
economic returns. Field experiments were conducted in a grower's field infested
with PS II- and HPPD-inhibitor-resistant Palmer amaranth near Shickley in
Fillmore County, Nebraska, USA in 2015 and 2016. The contrast analysis suggested
that saflufenacil plus dimethenamid-P or pyroxasulfone plus saflufenacil applied
PRE provided 80-82% Palmer amaranth control compared to 65 and 39% control with
saflufenacil and pyroxasulfone applied alone at 3 weeks after PRE (WAPRE),
respectively. Among the PRE fb POST herbicide programs, 95-98% Palmer amaranth
control was achieved with pyroxasulfone plus safluefenacil, or saflufenacil plus
dimethenamid-P applied PRE, fb glyphosate plus topramezone plus dimethenamid-P
plus atrazine, glyphosate plus diflufenzopyr plus dicamba plus pyroxasulfone,
glyphosate plus diflufenzopyr plus pendimethalin, or glyphosate plus
diflufenzopyr plus dicamba plus atrazine applied POST at 3 weeks after POST
(WAPOST) through maize harvest. Based on contrast analysis, PRE fb POST programs
provided 77-83% Palmer amaranth control at 3 WAPOST through maize harvest
compared to 12-15% control with PRE-only and 66-84% control with POST-only
programs. Similarly, PRE fb POST programs provided 99% biomass reduction at 6
WAPOST compared to PRE-only (28%) and POST-only (87%) programs. PRE fb POST
programs provided higher maize yield (13,617 kg ha-1) and net return (US $1,724
ha-1) compared to the PRE-only (2,656 kg ha-1; US $285 ha-1) and POST-only
(11,429 kg ha-1; US $1,539 ha-1) programs. The results indicated that effective
control of multiple herbicide-resistant Palmer amaranth can be achieved with PRE
fb POST programs that include herbicides with overlapping residual activity to
maintain season-long control.
PMID- 29375607
TI - Non-targeted Colonization by the Endomycorrhizal Fungus, Serendipita vermifera,
in Three Weeds Typically Co-occurring with Switchgrass.
AB - Serendipita vermifera (=Sebacina vermifera; isolate MAFF305830) is a mycorrhizal
fungus originally isolated from the roots of an Australian orchid that we have
previously shown to be beneficial in enhancing biomass yield and drought
tolerance in switchgrass, an important bioenergy crop for cellulosic ethanol
production in the United States. However, almost nothing is known about how this
root-associated fungus proliferates and grows through the soil matrix. Such
information is critical to evaluate the possibility of non-target effects, such
as unintended spread to weedy plants growing near a colonized switchgrass plant
in a field environment. A microcosm experiment was conducted to study movement of
vegetative mycelia of S. vermifera between intentionally inoculated switchgrass
(Panicum virgatum L.) and nearby weeds. We constructed size-exclusion microcosms
to test three different common weeds, large crabgrass (Digitaria sanguinalis L.),
Texas panicum (Panicum texanum L.), and Broadleaf signalgrass (Brachiaria
platyphylla L.), all species that typically co-occur in Southern Oklahoma and
potentially compete with switchgrass. We report that such colonization of non
target plants by S. vermifera can indeed occur, seemingly via co-mingled root
systems. As a consequence of colonization, significant enhancement of growth was
noted in signalgrass, while a mild increase (albeit not significant) was evident
in crabgrass. Migration of the fungus seems unlikely in root-free bulk soil, as
we failed to see transmission when the roots were kept separate. This research is
the first documentation of non-targeted colonization of this unique root
symbiotic fungus and highlights the need for such assessments prior to deployment
of biological organisms in the field.
PMID- 29375606
TI - Genome-Wide Association Study Identifying Candidate Genes Influencing Important
Agronomic Traits of Flax (Linum usitatissimum L.) Using SLAF-seq.
AB - Flax (Linum usitatissimum L.) is an important cash crop, and its agronomic traits
directly affect yield and quality. Molecular studies on flax remain inadequate
because relatively few flax genes have been associated with agronomic traits or
have been identified as having potential applications. To identify markers and
candidate genes that can potentially be used for genetic improvement of crucial
agronomic traits, we examined 224 specimens of core flax germplasm; specifically,
phenotypic data for key traits, including plant height, technical length, number
of branches, number of fruits, and 1000-grain weight were investigated under
three environmental conditions before specific-locus amplified fragment
sequencing (SLAF-seq) was employed to perform a genome-wide association study
(GWAS) for these five agronomic traits. Subsequently, the results were used to
screen single nucleotide polymorphism (SNP) loci and candidate genes that
exhibited a significant correlation with the important agronomic traits. Our
analyses identified a total of 42 SNP loci that showed significant correlations
with the five important agronomic flax traits. Next, candidate genes were
screened in the 10 kb zone of each of the 42 SNP loci. These SNP loci were then
analyzed by a more stringent screening via co-identification using both a general
linear model (GLM) and a mixed linear model (MLM) as well as co-occurrences in at
least two of the three environments, whereby 15 final candidate genes were
obtained. Based on these results, we determined that UGT and PL are candidate
genes for plant height, GRAS and XTH are candidate genes for the number of
branches, Contig1437 and LU0019C12 are candidate genes for the number of fruits,
and PHO1 is a candidate gene for the 1000-seed weight. We propose that the
identified SNP loci and corresponding candidate genes might serve as a biological
basis for improving crucial agronomic flax traits.
PMID- 29375608
TI - Long-lasting Corolla Cultivars in Japanese Azaleas: A Mutant AP3/DEF Homolog
Identified in Traditional Azalea Cultivars from More Than 300 Years Ago.
AB - Floral shape in higher plants typically requires genetic regulation through MADS
transcription factors. In Japan, hundreds of azalea cultivars including flower
shape mutations have been selected from the diversity of endogenous species and
natural hybrids since the early 17th century, the Edo era (1603-1867). The long
lasting trait, known as "Misome-sho" in Japanese, has been identified in several
species and cultivar groups of evergreen azaleas (Rhododendron L.) from three
hundred years ago in Japan. However, the natural mutation conferring the long
lasting trait in azalea remains unknown. Here, we showed MADS-box gene mutations
in long-lasting flowers, R. kaempferi 'Nikko-misome,' R. macrosepalum 'Kocho
zoroi,' R. indicum 'Chojyu-ho,' and R. * hannoense 'Amagi-beni-chojyu.' All of
the long-lasting flowers exhibited small-sized corollas with stomata during long
blooming. In the long-lasting flowers, transcript of the APETALA3 (AP3)/DEFICIENS
(DEF) homolog was reduced, and an LTR-retrotransposon was independently inserted
into exons 1, 2, and 7 or an unknown sequence in exon 1 in gDNA of each cultivar.
This insertion apparently abolished the normal mRNA sequence of the AP3/DEF
homolog in long-lasting flowers. Also, long-lasting flowers were shown from F2
hybrids that had homozygous ap3/def alleles. Therefore, we concluded that the
loss of function of the AP3/DEF homolog through a transposable element insertion
may confer a stable long-lasting mutation in evergreen azaleas.
PMID- 29375609
TI - Two Nucleolar Proteins, GDP1 and OLI2, Function As Ribosome Biogenesis Factors
and Are Preferentially Involved in Promotion of Leaf Cell Proliferation without
Strongly Affecting Leaf Adaxial-Abaxial Patterning in Arabidopsis thaliana.
AB - Leaf abaxial-adaxial patterning is dependent on the mutual repression of leaf
polarity genes expressed either adaxially or abaxially. In Arabidopsis thaliana,
this process is strongly affected by mutations in ribosomal protein genes and in
ribosome biogenesis genes in a sensitized genetic background, such as asymmetric
leaves2 (as2). Most ribosome-related mutants by themselves do not show leaf
abaxialization, and one of their typical phenotypes is the formation of pointed
rather than rounded leaves. In this study, we characterized two ribosome-related
mutants to understand how ribosome biogenesis is linked to several aspects of
leaf development. Previously, we isolated oligocellula2 (oli2) which exhibits the
pointed-leaf phenotype and has a cell proliferation defect. OLI2 encodes a
homolog of Nop2 in Saccharomyces cerevisiae, a ribosome biogenesis factor
involved in pre-60S subunit maturation. In this study, we found another pointed
leaf mutant that carries a mutation in a gene encoding an uncharacterized protein
with a G-patch domain. Similar to oli2, this mutant, named g-patch domain
protein1 (gdp1), has a reduced number of leaf cells. In addition, gdp1 oli2
double mutants showed a strong genetic interaction such that they synergistically
impaired cell proliferation in leaves and produced markedly larger cells. On the
other hand, they showed additive phenotypes when combined with several known
ribosomal protein mutants. Furthermore, these mutants have a defect in pre-rRNA
processing. GDP1 and OLI2 are strongly expressed in tissues with high cell
proliferation activity, and GDP1-GFP and GFP-OLI2 are localized in the nucleolus.
These results suggest that OLI2 and GDP1 are involved in ribosome biogenesis. We
then examined the effects of gdp1 and oli2 on adaxial-abaxial patterning by
crossing them with as2. Interestingly, neither gdp1 nor oli2 strongly enhanced
the leaf polarity defect of as2. Similar results were obtained with as2 gdp1 oli2
triple mutants although they showed severe growth defects. These results suggest
that the leaf abaxialization phenotype induced by ribosome-related mutations is
not merely the result of a general growth defect and that there may be a
sensitive process in the ribosome biogenesis pathway that affects adaxial-abaxial
patterning when compromised by a mutation.
PMID- 29375610
TI - Current Knowledge and Computational Techniques for Grapevine Meta-Omics Analysis.
AB - Growing grapevine (Vitis vinifera) is a key contribution to the economy of many
countries. Tools provided by genomics and bioinformatics did help researchers in
obtaining biological knowledge about the different cultivars. Several genetic
markers for common diseases were identified. Recently, the impact of microbiome
has been proved to be of fundamental importance both in humans and in plants for
its ability to confer protection or induce diseases. In this review we report
current knowledge about grapevine microbiome, together with a description of the
available computational methodologies for meta-omics analysis.
PMID- 29375611
TI - Rhizome Fragmentation by Vertical Disks Reduces Elymus repens Growth and Benefits
Italian Ryegrass-White Clover Crops.
AB - Tillage controls perennial weeds, such as Elymus repens, partly because it
fragments their underground storage organs. However, tillage is difficult to
combine with a growing crop, which limits its application. The aim of this study
was to evaluate how soil vertical cutting with minimum soil disturbance and
mowing affect the growth and competitive ability of E. repens in a grass-clover
crop. A tractor-drawn prototype with vertical disks was used to fragment E.
repens rhizomes with minimal soil and crop disturbance. In experiments performed
in 2014 and 2015 at a field site close to Uppsala, Sweden, the rhizomes were
fragmented before crop sowing (ERF), during crop growth (LRF), or both (ERF+LRF).
Fragmentation was combined with repeated mowing (yes/no) and four companion crop
treatments (none, Italian ryegrass, white clover, and grass/clover mixture). The
results showed that in the grass-clover crop, rhizome fragmentation reduced E.
repens rhizome biomass production and increased Italian ryegrass shoot biomass.
ERF and LRF both reduced E. repens rhizome biomass by about 38% compared with the
control, while ERF+LRF reduced it by 63%. Italian ryegrass shoot biomass was
increased by 78% by ERF, 170% by LRF and 200% by ERF+LRF. Repeated mowing
throughout the experiment reduced E. repens rhizome biomass by about 75%.
Combining repeated mowing with rhizome fragmentation did not significantly
increase the control effect compared to mowing alone. We concluded that rhizome
fragmentation using vertical disks can be used both before sowing and during crop
growth to enhance the controlling effect of grass-clover crops on E. repens.
PMID- 29375612
TI - Corrigendum: Deep Plant Phenomics: A Deep Learning Platform for Complex Plant
Phenotyping Tasks.
AB - [This corrects the article on p. 1190 in vol. 8, PMID: 28736569.].
PMID- 29375614
TI - Phosphorus Alters Starch Morphology and Gene Expression Related to Starch
Biosynthesis and Degradation in Wheat Grain.
AB - Phosphorus is an essential plant macronutrient which profoundly affects the yield
and quality of wheat starch. In this study, scanning electron microscopy showed
that P fertilizer amount (0, 46, and 92 kg P ha-1) had no significant effect on
the shape of starch granules in wheat (cv. Xindong 20) grain. However, confocal
laser scanning microscopy with 3-(4-carboxybenzoyl) quinoline-2-carboxaldehyde
and methanolic merbromin stains indicated that P amount influenced the
microstructure of the starch granules. Starch granules from the 46 kg P ha-1
treatment released significantly more reducing sugars than those from the 0 and
92 kg P ha-1 treatments during digestion with alpha-amylase and amyloglucosidase
digestion. Phosphorus application (especially the 46 kg P ha-1 treatments)
significantly increased the relative expression of genes related to starch
synthesis (especially during early to mid-grain filling) and starch degradation
(especially during mid- and late grain filling). Phosphorus application also
increased the transcript abundance of amylase genes at the periphery of the
endosperm. We propose that P application, especially the 46 kg P ha-1 treatment,
enhanced channels in wheat starch granules. These channels facilitated the
transport of substances required for starch biosynthesis, thus increasing starch
accumulation in wheat endosperm. These results provide insight into the potential
mechanisms through which P influences the microstructure and biosynthesis of
wheat starch.
PMID- 29375613
TI - Plant Nucleolar Stress Response, a New Face in the NAC-Dependent Cellular Stress
Responses.
AB - The nucleolus is the most prominent nuclear domain, where the core processes of
ribosome biogenesis occur vigorously. All these processes are finely orchestrated
by many nucleolar factors to build precisely ribosome particles. In animal cells,
perturbations of ribosome biogenesis, mostly accompanied by structural disorders
of the nucleolus, cause a kind of cellular stress to induce cell cycle arrest,
senescence, or apoptosis, which is called nucleolar stress response. The best
characterized pathway of this stress response involves p53 and MDM2 as key
players. p53 is a crucial transcription factor that functions in response to not
only nucleolar stress but also other cellular stresses such as DNA damage stress.
These cellular stresses release p53 from the inhibition by MDM2, an E3 ubiquitin
ligase targeting p53, in various ways, which leads to p53-dependent activation of
a set of genes. In plants, genetic impairments of ribosome biogenesis factors or
ribosome components have been shown to cause characteristic phenotypes, including
a narrow and pointed leaf shape, implying a common signaling pathway connecting
ribosomal perturbations and certain aspects of growth and development. Unlike
animals, however, plants have neither p53 nor MDM2 family proteins. Then the
question arises whether plant cells have a nucleolar stress response pathway. In
recent years, it has been reported that several members of the plant-specific
transcription factor family NAC play critical roles in the pathways responsive to
various cellular stresses. In this mini review, we outline the plant cellular
stress response pathways involving NAC transcription factors with reference to
the p53-MDM2-dependent pathways of animal cells, and discuss the possible
involvement of a plant-unique, NAC-mediated pathway in the nucleolar stress
response in plants.
PMID- 29375615
TI - Vegetable Grafting: A Toolbox for Securing Yield Stability under Multiple Stress
Conditions.
PMID- 29375616
TI - Retraction: Brassica juncea Lines with Substituted Chimeric GFP-CENH3 Give
Haploid and Aneuploid Progenies on Crossing with Other Lines.
AB - [This retracts the article on p. 2019 in vol. 7, PMID: 28111587.].
PMID- 29375618
TI - Corrigendum: Pleiotropic Meta-Analyses of Longitudinal Studies Discover Novel
Genetic Variants Associated with Age-Related Diseases.
AB - [This corrects the article on p. 179 in vol. 7, PMID: 27790247.].
PMID- 29375617
TI - Growth Hormone, Insulin-Like Growth Factor-1, Insulin Resistance, and Leukocyte
Telomere Length as Determinants of Arterial Aging in Subjects Free of
Cardiovascular Diseases.
AB - Background: Increased arterial stiffness (AS), intima-media thickness (IMT), and
the presence of atherosclerotic plaques (PP) have been considered as important
aspects of vascular aging. It is well documented that the cardiovascular system
is an important target organ for growth hormone (GH) and insulin-like growth
factor (IGF)-1 in humans, and GH /IGF-1 deficiency significantly increases the
risk for cardiovascular diseases (CVD). The telomere length of peripheral blood
leukocytes (LTL) is a biomarker of cellular senescence and that has been proposed
as an independent predictor of (CVD). The aim of this study is to determine the
role of GH/IGF-1, LTL and their interaction cardiovascular risk factors (CVRF) in
the vascular aging. Methods: The study group included 303 ambulatory participants
free of known CVD (104 males and 199 females) with a mean age of 51.8 +/- 13.3
years. All subjects had one or more CVRF [age, smoking, arterial hypertension,
obesity, dyslipidemia, fasting hyperglycemia, insulin resistance-HOMA
(homeostatic model assessment) >2.5, or high glycated hemoglobin]. The study
sample was divided into the two groups according to age as "younger" (m <= 45
years, f <= 55 years) and "older" (m > 45 years, f > 55 years). IMT and PP were
determined by ultrasonography, AS was determined by measuring the carotid-femoral
pulse wave velocity (c-f PWV) using the SphygmoCor system (AtCor Medical). LTL
was determined by PCR. Serum IGF-1 and GH concentrations we measured by
immunochemiluminescence analysis. Results: Multiple linear regression analysis
with adjustment for CVRF indicated that HOMA, GH, IGF-1, and LTL had an
independent relationship with all the arterial wall parameters investigated in
the younger group. In the model with c-f PWV as a dependent variable, p < 0.001
for HOMA, p = 0.03 for GH, and p = 0.004 for LTL. In the model with IMT as a
dependent variable, p = 0.0001 for HOMA, p = 0.044 for GH, and p = 0.004 for IGF
1. In the model with the number of plaques as a dependent variable, p = 0.0001
for HOMA, and p = 0.045 for IGF-1. In the older group, there were no independent
significant associations between GH/IGF-1, LTL, HOMA, and arterial wall
characteristics. Conclusions: GH/IGF-1, IR, HOMA, and LTL were the important
parameters of arterial aging in younger healthy participants.
PMID- 29375619
TI - DNA Methylation and Hydroxymethylation in Primary Colon Cancer and Synchronous
Hepatic Metastasis.
AB - Colon cancer is one of the most frequent solid tumor and simultaneous diagnosis
of primary colon cancer and liver metastases occurs in about one fourth of cases.
The current knowledge on epigenetic signatures, especially those related to
hydroxymethylation in primary cancer tissue, synchronous metastasis, and blood
circulating cells is lacking. This study aimed to investigate both methylcytosine
(mCyt) and hydroxymethylcytosine (hmCyt) status in the DNA of individual patients
from colon cancer tissue, synchronous liver metastases, and in cancer-free colon
and liver tissues and leukocytes. Patients undergoing curative surgery (n = 16)
were enrolled and their laboratory and clinical history data collected. The
contents of mCyt and hmCyt were determined by a liquid chromatography/mass
spectrometry (LC/MS/MS) method in DNA extracted from primary colon cancer,
synchronous hepatic metastatic tissues and homologous cancer-free tissues, i.e.,
colon and liver tissues as well as leukocytes. The mCyt and hmCyt levels were
compared between cancerous and cancer-free tissues, and correlations between
leukocytes and colon/liver tissues for both the mCyt and hmCyt levels were
evaluated. The mCyt levels were similar in primary colon cancer and liver
metastasis tissues (4.69 +/- 0.37% vs. 4.77 +/- 0.38%, respectively, p = 0.535),
and both primary and metastatic tissues were hypomethylated compared to cancer
free colon (4.98 +/- 0.26%). The difference in the mCyt content between cancerous
and cancer-free colon tissues was significantly lower in primary colon cancer (p
= 0.004), but not in liver metastasis (p = 0.148). The hmCyt content was similar
in primary colon cancer compared to liver metastasis (0.035%, C.I. 0.024-0.052%
versus 0.035%, C.I. 0.021-0.058%, respectively, p = 0.905) and markedly depleted
compared to the cancer-free colon (0.081%, C.I. 0.055-0.119%) with a
statistically significant difference (p < 0.05) for both comparisons. The mCyt
levels showed a borderline correlation between leukocytes and colon cancer tissue
(Pearson's correlation coefficient = 0.51, p = 0.052) while no correlations were
detected for the hmCyt levels. In conclusion, primary colon cancer and
synchronous liver metastasis tissues showed a similar epigenetic status but were
significantly hypomethylated and hypohydroxymethylated as compared to homologous
cancer-free colon tissues.
PMID- 29375620
TI - Preoperative Anaemia and Associated Postoperative Outcomes in Noncardiac Surgery
Patients in Central Region of Ghana.
AB - Introduction: Several studies suggest that preoperative anaemia (PA) is
associated with adverse postoperative outcomes, but little is known about these
outcomes in the Central Region of Ghana. This study aims to determine the
prevalence of PA among noncardiac surgical patients and its implications for
their postoperative outcomes. Methods: This study was designed as an
observational study; data including demographics and clinical and laboratory
results were collected from the patients' records and through interviews.
Results: A total of 893 inpatient surgical cases undergoing elective and
emergency operations, aged 15 years and above with mean age of 44.2 +/- 17.0 yrs,
were enrolled. The prevalence of PA was 54.3%, mostly microcytic with or without
hypochromia (57.2%). The prevalence was higher in females than males (p <=
0.001). Preoperative anaemia was significantly associated with prolonged length
of hospital stay (OR: 2.12 (95% CI: 1.49-3.10)). Allogeneic blood transfusion
significantly prolonged the length of hospital stay (OR 4.48 (95% CI: 2.67
7.51)). 15.5% of the anaemic patients received oral iron supplements compared to
2.2% of nonanaemic patients (p <= 0.001). Conclusion: Preoperative anaemia is
common among noncardiac surgical patients. It is independently and significantly
associated with prolonged hospital stay leading to the use of increased
healthcare resources. It is also the main predictor for perioperative allogeneic
blood transfusions and the use of haematinics.
PMID- 29375621
TI - Molecular Genetic Analysis of Human Endometrial Mesenchymal Stem Cells That
Survived Sublethal Heat Shock.
AB - High temperature is a critical environmental and personal factor. Although heat
shock is a well-studied biological phenomenon, hyperthermia response of stem
cells is poorly understood. Previously, we demonstrated that sublethal heat shock
induced premature senescence in human endometrial mesenchymal stem cells (eMSC).
This study aimed to investigate the fate of eMSC-survived sublethal heat shock
(SHS) with special emphasis on their genetic stability and possible malignant
transformation using methods of classic and molecular karyotyping, next
generation sequencing, and transcriptome functional analysis. G-banding revealed
random chromosome breakages and aneuploidy in the SHS-treated eMSC. Molecular
karyotyping found no genomic imbalance in these cells. Gene module and protein
interaction network analysis of mRNA sequencing data showed that compared to
untreated cells, SHS-survived progeny revealed some difference in gene
expression. However, no hallmarks of cancer were found. Our data identified
downregulation of oncogenic signaling, upregulation of tumor-suppressing and
prosenescence signaling, induction of mismatch, and excision DNA repair. The
common feature of heated eMSC is the silence of MYC, AKT1/PKB oncogenes, and
hTERT telomerase. Overall, our data indicate that despite genetic instability,
SHS-survived eMSC do not undergo transformation. After long-term cultivation,
these cells like their unheated counterparts enter replicative senescence and
die.
PMID- 29375622
TI - The Application of Stem Cells from Different Tissues to Cartilage Repair.
AB - The degeneration of articular cartilage represents an ongoing challenge at the
clinical and basic level. Tissue engineering and regenerative medicine using
stem/progenitor cells have emerged as valid alternatives to classical reparative
techniques. This review offers a brief introduction and overview of the field,
highlighting a number of tissue sources for stem/progenitor cell populations.
Emphasis is given to recent developments in both clinical and basic sciences. The
relative strengths and weaknesses of each tissue type are discussed.
PMID- 29375624
TI - Cell Therapy for Refractory Angina: A Reappraisal.
AB - Cardiac cell-based therapy has emerged as a novel therapeutic option for patients
dealing with untreatable refractory angina (RA). However, after more than a
decade of controlled studies, no definitive consensus has been reached regarding
clinical efficacy. Although positive results in terms of surrogate endpoints have
been suggested by early and phase II clinical studies as well as by meta
analyses, the more recent reports lacked the provision of definitive response in
terms of hard clinical endpoints. Regrettably, pivotal trials designed to
conclusively determine the efficacy of cell-based therapeutics in such a
challenging clinical condition are therefore still missing. Considering this, a
comprehensive reappraisal of cardiac cell-based therapy role in RA seems
warranted and timely, since a number of crucial cell- and patient-related aspects
need to be systematically analysed. As an example, the large variability in
efficacy endpoint selection appears to be a limiting factor for the advancement
of cardiac cell-based therapy in the field. This review will provide an overview
of the key elements that may have influenced the results of cell-based trials in
the context of RA, focusing in particular on the understanding at which the
extent of angina-related endpoints may predict cell-based therapeutic efficacy.
PMID- 29375623
TI - Nanotechnology-Based Cardiac Targeting and Direct Cardiac Reprogramming: The
Betrothed.
AB - Cardiovascular diseases represent the first cause of morbidity in Western
countries, and chronic heart failure features a significant health care burden in
developed countries. Efforts in the attempt of finding new possible strategies
for the treatment of CHF yielded several approaches based on the use of stem
cells. The discovery of direct cardiac reprogramming has unveiled a new approach
to heart regeneration, allowing, at least in principle, the conversion of one
differentiated cell type into another without proceeding through a pluripotent
intermediate. First developed for cancer treatment, nanotechnology-based
approaches have opened new perspectives in many fields of medical research,
including cardiovascular research. Nanotechnology could allow the delivery of
molecules with specific biological activity at a sustained and controlled rate in
heart tissue, in a cell-specific manner. Potentially, all the mediators and
structural molecules involved in the fibrotic process could be selectively
targeted by nanocarriers, but to date, only few experiences have been made in
cardiac research. This review highlights the most prominent concepts that
characterize both the field of cardiac reprogramming and a nanomedicine-based
approach to cardiovascular diseases, hypothesizing a possible synergy between
these two very promising fields of research in the treatment of heart failure.
PMID- 29375626
TI - Producing Stem Cell-Based Transplants for Future Therapeutic Purposes.
PMID- 29375625
TI - Effect of Uniaxial Tensile Cyclic Loading Regimes on Matrix Organization and
Tenogenic Differentiation of Adipose-Derived Stem Cells Encapsulated within 3D
Collagen Scaffolds.
AB - Adipose-derived mesenchymal stem cells have become a popular cell choice for
tendon repair strategies due to their relative abundance, ease of isolation, and
ability to differentiate into tenocytes. In this study, we investigated the solo
effect of different uniaxial tensile strains and loading frequencies on the
matrix directionality and tenogenic differentiation of adipose-derived stem cells
encapsulated within three-dimensional collagen scaffolds. Samples loaded at 0%,
2%, 4%, and 6% strains and 0.1 Hz and 1 Hz frequencies for 2 hours/day over a 7
day period using a custom-built uniaxial tensile strain bioreactor were
characterized in terms of matrix organization, cell viability, and
musculoskeletal gene expression profiles. The results displayed that the collagen
fibers of the loaded samples exhibited increased matrix directionality with an
increase in strain values. Gene expression analyses demonstrated that ASC
encapsulated collagen scaffolds loaded at 2% strain and 0.1 Hz frequency showed
significant increases in extracellular matrix genes and tenogenic differentiation
markers. Importantly, no cross-differentiation potential to osteogenic,
chondrogenic, and myogenic lineages was observed at 2% strain and 0.1 Hz
frequency loading condition. Thus, 2% strain and 0.1 Hz frequency were identified
as the appropriate mechanical loading regime to induce tenogenic differentiation
of adipose-derived stem cells cultured in a three-dimensional environment.
PMID- 29375627
TI - Shared Care for Suicidal Youth.
PMID- 29375628
TI - Improving Access to Child and Adolescent Mental Health Care: The Choice and
Partnership Approach.
AB - Objective: The Choice and Partnership Approach (CAPA) is designed to improve
access and quality of pediatric mental health care. We tested whether CAPA
improved access in an academic pediatric hospital. Method: We used de-identified
administrative data to compare access pre- (2011) and post-CAPA (2013). Results:
Wait time to first appointment in 2011 was 225.3 days (95% CI = [211.0, 239.6], N
= 364), compared to 93.0 days (95% CI = [89.2, 96.8], N = 838) in 2013 (p<.001).
Mean wait time between the first and second appointments was 59.2 days (95% CI =
[46.5, 71.9], N = 86) in 2011, compared to 95.9 days (95% CI = [90.3, 101.5], N =
487) in 2013 (p < .001). However, overall mean wait time from referral to second
appointment decreased from 271.2 days (95% CI = [236.5, 305.9], N = 86) in 2011
to 168.9 days (95% CI = [161.6, 176.2], N = 487) in 2013 (p < .001). Provider
productivity increased from 32.6 to 57.0 first appointments/FTE/year. Depending
on the question, 65 to 95% of parents and children gave positive answers about
CAPA. Conclusions: CAPA implementation was associated with more patients served,
decreased waiting time to first appointment, and higher productivity.
PMID- 29375629
TI - Clinical Utility of Screening for Anxiety and Depression in Children with
Tourette Syndrome.
AB - Background: Tourette syndrome (TS) is often co-morbid with attention deficit
hyperactivity disorder (ADHD) and obsessive compulsive disorder (OCD). Studies of
TS, anxiety and depression have found variable results depending on study
methodology and sample characteristics. Our aim was to examine the clinical
utility of routine screening for anxiety and depression in children with TS.
Methods: Using a clinic-based sample, we evaluated the proportion of children
with TS meeting diagnostic criteria for ADHD, OCD, generalized anxiety disorder
(GAD), separation anxiety disorder (SAD), and major depressive disorder (MDD);
the frequency of above average anxiety and depressive symptoms using the
Multidimensional Anxiety Scale for Children (MASC) and the Children's Depression
Inventory (CDI); and the association between diagnoses and symptom severity.
Results: One hundred twenty six children were included (mean age 10.7 years). The
most common comorbid disorder was ADHD (37%), followed by GAD (21%), OCD (10%),
MDD (2%) and SAD (2%). On the MASC, the separation anxiety/panic subscale score
was higher than all other subscale scores (p<0.0001). Clinically significant
anxiety symptoms were present in 20% of the sample based on the MASC Anxiety
Disorders Index, while 6% were identified as potentially clinically depressed
based on the CDI Total Score. Yale Global Tic Severity Scale scores were
positively correlated with total scores on the MASC (r=0.22, p=0.03) and CDI
(r=0.37, p=0.0002). Conclusions: Routine screening children with TS for anxiety
is warranted given the rate of comorbidity. Screening for depression in TS will
have a higher yield in adolescents, adults, and children with more severe tics.
PMID- 29375630
TI - Youths' Experiences of School Re-Integration Following Psychiatric
Hospitalization.
AB - Objective: The purpose of this study was to report youths' experiences of school
re-integration post-discharge from psychiatric hospital. No reports of school
reintegration from the patient perspective could be located; hence, a second
objective was to explore school-related and clinical factors associated with
youth who reported an unfavourable school re-integration experiences. Methods:
Patients were recruited while in hospital for acute psychiatric care. They
provided informed consent to be contacted post discharge regarding their
perceptions of their school reintegration experience. About ten weeks after
discharge youth were surveyed via telephone (n=40) or online (n=22) surveys.
Results: Of the 121 youth who agreed to be contacted after discharge, 62 youth
completed the post-discharge survey. Almost half (n=29) of the youth reported
that they had considerable difficulty with school reintegration. Youth reported
managing social situations, academic pressures and emotions as substantial
problems. Youth who reported a negative transition experience had significantly
worse scores on self-reported Total and emotion subscale of the Strength and
Difficulties Questionnaire, and concern about the impact of emotions on school re
entry, and significantly less psychiatrist-reported improvement than youth who
reported a neutral or positive transition experience. There were no differences
between the two groups on school-related variables. Youth with prior admission
reported greater difficulties with peers and significantly worse clinical factors
than youth without prior admissions. Conclusions: High emotional difficulty
scores and concern about the impact of emotions on reintegration prior to
discharge distinguished youths with negative school reintegration experiences.
These patients may benefit from greater assistance with managing their emotional
problems, and coping and social skills training before and during school
reintegration.
PMID- 29375631
TI - Emergency Department Referrals for Adolescent Urgent Psychiatric Consultation:
Comparison of Clinical Characteristics of Repeat-presentations and Single
presentation.
AB - Objective: a) to examine the demographic and clinical characteristics of repeat
presentations to an adolescent urgent psychiatric clinic, and b) to compare them
with single-time presentation. Method: This 18-month retrospective study compared
repeat-presenters to age and gender matched single-time presenters. Demographic
variables included age gender and ethnicity. Clinical variables included reason
for referral, family history, diagnosis, recommendations and compliance. Data
were analyzed using descriptive statistics, McNemar's Chi-square tests for
matched pairs, and conditional logistic regression. Results: Of 624 assessments
24% (N=151) were repeat-presentations. Compared with single-presentation, repeat
presentation group had a higher proportion of Aboriginal youth (X2 (1) = 108.28 p
< 0.01), a higher proportion in special educational placement (X2 (1) = 6.82, p <
0.01), a higher proportion with a family history of anxiety disorders (X2 (1) =
10.62, p = 0.01) and substance use disorder (X2 (1) = 18.99, p < 0.01).
Conditional logistic regression results suggested that repeat-presentation group
had higher odds of past hospital admission (OR: 3.50, p < 0.01) higher odds of
family history of mood disorders (OR: 4.86, p < 0.01) and of antisocial disorders
(OR: 4.97, p = 0.02), and lower odds of recommendation compliance (OR: 0.10, p <
0.01). Conclusion: Repeat-presentations for urgent psychiatric consultation
constitute a quarter of referrals to the urgent psychiatric clinic. Identifying
and addressing factors that contribute to repeat-presentations may, assist in
improving treatment compliance by ensuring focused interventions and service
delivery for these youth. In turn, this will improve access to the limited urgent
services for other youth.
PMID- 29375632
TI - The Experience of Psychiatric Care of Adolescents with Anxiety-based School
Refusal and of their Parents: A Qualitative Study.
AB - Objective: Anxiety-based school refusal in adolescence is a complex, sometimes
difficult to treat disorder that can have serious academic and psychiatric
consequences. The objective of this qualitative study was to explore how teens
with this problem and their parents experience the psychiatric care received.
Methods: This qualitative multicenter study took place in France, where we
conducted semi-structured interviews with adolescents receiving psychiatric care
for anxiety-based school refusal and with their parents. Data collection by
purposive sampling continued until we reached theoretical sufficiency. Data
analysis was thematic. Results: This study included 20 adolescents aged 12 to 18
years and 21 parents. Two themes emerged from the analysis: (1) the goals of
psychiatric care with two sub-themes, "self-transformation" and problem solving;
and, (2) the therapeutic levers identified as effective with two sub-themes: time
and space and relationships. Conclusion: Our results show a divergence between
parents and teens in their representations of care and especially of its goals.
Therapeutic and research implications about the terms of return to school within
psychiatric care and also the temporality of care are discussed.
PMID- 29375633
TI - Open Trial of Family-Based Treatment of Anorexia Nervosa for Transition Age
Youth.
AB - Objective: This pilot study conducted an open trial of a manualized adaptation to
Family-Based Treatment for Transition Age Youth (FBT-TAY) for Anorexia Nervosa
(AN). The aims were: (1) determine the acceptability of FBT for TAY; and, (2)
establish preliminary effect sizes for the impact of FBT-TAY on eating disorder
behaviour and weight restoration. Method: Twenty-six participants across two
paediatric and one adult hospital site were recruited to participate.
Participants completed the Eating Disorder Examination Questionnaire (EDE-Q) at
the start of treatment, the end-of-treatment, and three-month follow-up. Results:
FBT-TAY is an acceptable and feasible treatment to all study therapists as
evidenced by their fidelity to the model. FBT-TAY is a feasible and acceptable
intervention to transition age youth, given only 27.27% chose treatment as usual
over FBT-TAY. Participants presented significant improvement at end-of-treatment
and three-months post-treatment (p < .001; ES = 0.34) from baseline on the EDE-Q
Global Score. Participants also achieved and maintained weight restoration at the
end-of-treatment and three-months post-treatment when compared to baseline (p <
.0001, ES = 0.54). Conclusions: FBT-TAY, the first manualized AN treatment for
TAY, demonstrated feasibility and acceptability with therapists and participants
as well as improvement for participants in EDE-Q global score and weight. Given
the current dearth of effective treatments for TAY with AN, FBT-TAY is a
promising adaptation of FBT. A larger clinical trial with a 12-month follow-up is
recommended.
PMID- 29375634
TI - Returning to Stimulants in Children with Treatment Resistant ADHD: A Case Series.
AB - Objective: To present a case series of children retrialed on stimulants after
initial poor stimulant responses given the paucity of information on the
usefulness of this strategy. Methods: Health records from an ADHD medication
service were obtained for six children who: (i) were medication naive at service
entry; (ii) had trials of at least one stimulant from each stimulant class; (iii)
subsequently received a non-stimulant ADHD medication; and, (iv) were then
retried on stimulants. Results: Initial stimulant discontinuation was a function
of adverse effects and/or limited symptom improvement. Minimal response and/or
adverse effects to non-stimulants contributed to the decision to retry
stimulants. Final ADHD symptom ratings by parents and teachers were significantly
better than baseline for this cohort. Three were discharged on stimulants, two as
monotherapy. Conclusion: Further study is required to develop evidence-based
treatment algorithms for treatment resistant ADHD. Retrying a stimulant may be
one option.
PMID- 29375635
TI - Comparing Self-Concept Among Youth Currently Receiving Inpatient Versus
Outpatient Mental Health Services.
AB - Objective: This study compared levels of self-concept among youth who were
currently receiving inpatient versus outpatient mental health services. Method:
Forty-seven youth were recruited from the Child & Youth Mental Health Program at
McMaster Children's Hospital. Self-concept was measured using the Self-Perception
Profile for Children and Adolescents. Results: The mean age was 14.5 years and
most participants were female (70.2%). ANOVAs comparing self-concept with
population norms showed large significant effects (d = 0.77 to 1.93) indicating
compromised self-concept among youth receiving mental health services. Regression
analyses controlling for patient age, sex, family income, and diagnoses of major
depressive disorder, generalized social phobia, and generalized anxiety showed
that the inpatient setting was a significant predictor of lower global self-worth
(beta=-.26; p=.035). Conclusions: Compared to outpatients, inpatients generally
reported lower self-concept, but differences were significant only for global
self-worth. Future research replicating this finding and assessing its clinical
significance is encouraged.
PMID- 29375637
TI - INSTRUCTIONS DESTINEES AUX AUTEURS.
PMID- 29375638
TI - Comparative Researches of Semen Arecae and Charred Semen Arecae on
Gastrointestinal Motility, Motilin, Substance P, and CCK in Chronically Stressed
Rats.
AB - Aims: To compare the effects of Semen Arecae (SA) and Charred Semen Arecae (CSA)
on gastrointestinal motility, motilin, substance P (SP), and cholecystokinin
(CCK) in chronically stressed rats. Methods: Rats were randomly divided into
control group and stress group. Rats in stress group were randomly exposed to a
variety of unpredictable stimulations for 21 days. Then, the rats were treated
orally with distilled water, SA, CSA, and mosapride for 7 days. Gastric residue
rate and intestinal propulsion rate were evaluated. Serum levels of motilin and
SP were measured by enzyme-linked immunosorbent assay (ELISA). CCK mRNA was
quantified by using quantitative real-time PCR (qRT-PCR). Results: Both SA and
CSA improved the intestinal propulsion and reduced the gastric residue in
chronically stressed rats. Furthermore, the serum levels of motilin and SP were
significantly higher and the CCK mRNA expressions in intestine and hypothalamus
were downregulated in SA and CSA groups. Furthermore, it was found that CSA is
more effective. Conclusion: Both SA and CSA enhanced gastrointestinal motility
and increased serum levels of motilin and SP in chronically stressed rats via
downregulating CCK mRNA expressions in intestine and hypothalamus. Importantly,
CSA possessed more effective promoting effects.
PMID- 29375639
TI - Effects of the Aqueous Extract from Tabebuia roseoalba and Phenolic Acids on
Hyperuricemia and Inflammation.
AB - Tabebuia species (Bignoniaceae) have long been used in folk medicine as anti
inflammatory, antirheumatic, antimicrobial, and antitumor. The aim of this study
was to investigate if aqueous extract from the leaves (AEL) of Tabebuia roseoalba
(Ridl.) Sandwith, Bignoniaceae, and its constituents could be useful to decrease
serum uric acid levels and restrain the gout inflammatory process. HPLC analysis
identified caffeic acid and chlorogenic acid in AEL. Antihyperuricemic effects
and inhibition of liver XOD (xanthine oxidoreductase) by AEL and identified
compounds were evaluated in hyperuricemic mice. Anti-inflammatory activity was
evaluated on MSU (monosodium urate) crystal-induced paw edema. In addition, AEL
antioxidant activity in vitro was evaluated. AEL, caffeic, and chlorogenic acids
were able to reduce serum uric acid levels in hyperuricemic mice probably through
inhibition of liver xanthine oxidase activity and significantly decreased the paw
edema induced by MSU crystals. AEL showed significant antioxidant activity in all
evaluated assays. The results show that the AEL of Tabebuia roseoalba can be a
promising agent for treatment for gout and inflammatory diseases. We suggest that
caffeic and chlorogenic acids may be responsible for the activities demonstrated
by the species.
PMID- 29375640
TI - Study on Quality Standard of Processed Curcuma Longa Radix.
AB - To control the quality of Curcuma Longa Radix by establishing quality standards,
this paper increased the contents of extract and volatile oil determination.
Meanwhile, the curcumin was selected as the internal marker, and the relative
correlation factors (RCFs) of demethoxycurcumin and bisdemethoxycurcumin were
established by high performance liquid chromatography (HPLC). The contents of
multicomponents were calculated based on their RCFs. The rationality and
feasibility of the methods were evaluated by comparison of the quantitative
results between external standard method (ESM) and quantitative analysis of
multicomponents by single-marker (QAMS). Ethanol extracts ranged from 9.749 to
15.644% and the mean value was 13.473%. The volatile oil ranged from 0.45 to 0.90
mL/100 g and the mean value was 0.66 mL/100 g. This method was accurate and
feasible and could provide a reference for further comprehensive and effective
control of the quality standard of Curcuma Longa Radix and its processed
products.
PMID- 29375641
TI - The Effects of Aromatherapy on Intensive Care Unit Patients' Stress and Sleep
Quality: A Nonrandomised Controlled Trial.
AB - Background: Stress has both physiological and psychological effects and can
negatively impact patients' treatment and recovery. We examined whether the
aromatherapy alleviated patients' stress and improved their sleep quality and
provided data that can be utilized in clinical settings. Methods: This was a
nonrandomised controlled experimental study. Participants included lucid adult
patients who were admitted to the intensive care unit and had spent more than two
nights there. The experimental treatment required participants to engage in deep
breathing with essential oils as part of the aromatherapy. The control group was
instructed to go to sleep without receiving the lavender aroma oil. Results: The
experimental group and control group showed a significant difference in perceived
stress (F = 60.11, p < .001), objective stress index (F = 25.65, p < .001),
systolic blood pressure (F = 9.09, p < .001), diastolic blood pressure (F = 2.47,
p = .046), heart rate (F = 5.71, p < .001), and sleep quality (F = 109.46, p <
.001). Conclusions: The results revealed that aromatherapy alleviated stress and
improved sleep quality in intensive care unit patients after 2 days of the
experimental treatment. These results demonstrate that aromatherapy affects
stress and sleep quality, thus indicating its value in nursing interventions.
This trial is registered with KCT0002344.
PMID- 29375642
TI - In Vitro Control of Uropathogenic Microorganisms with the Ethanolic Extract from
the Leaves of Cochlospermum regium (Schrank) Pilger.
AB - The roots of Cochlospermum regium, popularly known as "algodaozinho-do-cerrado,"
are used for the treatment of genitourinary infections. However, the removal of
their subterranean structures results in the death of the plant, and the use of
the leaves becomes a viable alternative. Therefore, the antimicrobial activity of
Cochlospermum regium leaf's ethanolic extract and its action on the biofilm
formation of microorganisms associated with urinary infection were evaluated. The
total phenolic compounds, flavoids, and tannins were quantified using the
reagents Folin-Ciocalteu, aluminum chloride, and vanillin, respectively. The
antimicrobial activity was evaluated by the broth microdilution method and the
effect of the extract in the biofilm treatment was measured by the drop plate
method. Cytotoxicity was evaluated by the method based on the reduction of MTS
and the mutagenicity by the Ames test. The ethanolic extract of C. regium leaves
presented 87.4 mg/EQ of flavonoids, 167.2 mg/EAG of total phenolic compounds, and
21.7 mg/ECA of condensed tannins. It presented reduction of the biofilm formation
for E. coli and C. tropicalis and antimicrobial action of 1 mg/mL and 0.5 mg/mL,
respectively. The extract showed no cytotoxicity and mutagenicity at the
concentrations tested. This study demonstrated that C. regium leaves are a viable
option for the treatment of genitourinary infections and for the species
preservation.
PMID- 29375643
TI - Experimental Study on the Expression of IL-1beta and bFGF in Wound Healing
Process of Rabbit Cutaneous Infective Wound in Liu-He-Dan.
AB - Objective: This study applied Liu-He-Dan (LHD) to treat the infective wounds of
rabbits to explore the mechanism of LHD in promoting wound healing. Method: Five
circular infective incisions were generated on the back of each rabbit. Wound
dressings were performed every day since postoperative day 1. Ten rabbits were
euthanized on days 3, 7, 14, and 21. Each specimen was divided into two parts,
one was used for detecting interleukin-1 beta (IL-1beta), and the other one was
used for detecting basic fibroblast growth factor (bFGF). Result: The content of
IL-1beta in the model group was higher than those in the other groups (P < 0.05).
The content of IL-1beta in the treatment group was lower than the other groups on
days 14 and 21. The expression of bFGF in treatment group is significant on days
3, 7, and 14, compared with traditional Chinese medicine group and model group.
The expression of bFGF has no significant difference with Western group.
Conclusion: The research approved that LHD could specifically suppress the
expression of IL-1beta and upregulate the expression of bFGF in the wound,
decreasing the release of inflammatory factor of the infective wounds and
promoting the healing of the infective wounds.
PMID- 29375644
TI - Urinary and Serum Metabolomics Analyses Uncover That Total Glucosides of Paeony
Protect Liver against Acute Injury Potentially via Reprogramming of Multiple
Metabolic Pathways.
AB - Total glucosides of paeony (TGP) have been confirmed to be hepatoprotective.
However, the underlying mechanism is largely unclear. In this study, we
investigated the metabolic profiles of urine and serum in rats with carbon
tetrachloride- (CCl4-) induced experimental liver injury and TGP administration
by using ultra-performance liquid chromatography-mass spectrometry (UPLC-MS). The
vehicle or a single dose of TGP was intragastrically administered to Wistar rats
once a day for 14 consecutive days. To induce ALI, 50% CCl4 was injected
intraperitoneally into these rats 2 hours after the last time administration of
saline of TGP at the 14th day. The results indicated that TGP administration
could protect rats from CCl4-induced ALI and alanine aminotransferase (ALT) and
aspartate aminotransferase (AST) elevation, as well as hepatocyte apoptosis and
inflammation. Furthermore, metabolomics analysis showed that TGP treatment
significantly attenuated CCl4-triggered deregulation of multiple metabolites in
both urine and serum, including glycine, alanine, proline, and glutamine.
Metabolite set enrichment and pathway analyses demonstrated that amino acid
cycling and glutathione metabolism were two main pathways involved in CCl4
induced experimental liver injury and TGP administration. Taken together, these
findings revealed that regulation of metabolites potentially plays a pivotal role
in the protective effect of TGP on ALI.
PMID- 29375645
TI - N-Butanol and Aqueous Fractions of Red Maca Methanolic Extract Exerts Opposite
Effects on Androgen and Oestrogens Receptors (Alpha and Beta) in Rats with
Testosterone-Induced Benign Prostatic Hyperplasia.
AB - Benign Prostatic Hyperplasia (BPH) affects, worldwide, 50% of 60-year-old men.
The Peruvian plant red maca (Lepidium meyenii) inhibits BPH in rodents. This
study aimed to determine the effects of methanolic red maca extract and its n
butanol and aqueous fractions on expression of androgen and oestrogen receptors
in rats with testosterone enanthate-induced BPH. Thirty-six rats in six groups
were studied. Control group received 2 mL of vehicle orally and 0.1 mL of
propylene glycol intramuscularly. The second group received vehicle orally and
testosterone enanthate (TE) (25 mg/0.1 mL) intramuscularly in days 1 and 7. The
other four groups were BPH-induced with TE and received, during 21 days, 3.78
mg/mL of finasteride, 18.3 mg/mL methanol extract of red maca, 2 mg/mL of n
butanol fraction, or 16.3 mg/mL of aqueous fraction from red maca. Treatments
with red maca extract and its n-butanol but not aqueous fraction reduced prostate
weight similar to finasteride. All maca treated groups restored the expression of
ERbeta, but only the aqueous fraction increased androgen receptors and ERalpha.
In conclusion, butanol fraction of red maca reduced prostate size in BPH by
restoring expression of ERbeta without affecting androgen receptors and ERalpha.
This effect was not observed with aqueous fraction of methanolic extract of red
maca.
PMID- 29375647
TI - The use of plant models in deep learning: an application to leaf counting in
rosette plants.
AB - Deep learning presents many opportunities for image-based plant phenotyping. Here
we consider the capability of deep convolutional neural networks to perform the
leaf counting task. Deep learning techniques typically require large and diverse
datasets to learn generalizable models without providing a priori an engineered
algorithm for performing the task. This requirement is challenging, however, for
applications in the plant phenotyping field, where available datasets are often
small and the costs associated with generating new data are high. In this work we
propose a new method for augmenting plant phenotyping datasets using rendered
images of synthetic plants. We demonstrate that the use of high-quality 3D
synthetic plants to augment a dataset can improve performance on the leaf
counting task. We also show that the ability of the model to generate an
arbitrary distribution of phenotypes mitigates the problem of dataset shift when
training and testing on different datasets. Finally, we show that real and
synthetic plants are significantly interchangeable when training a neural network
on the leaf counting task.
PMID- 29375646
TI - Estimated dietary iodine intake as a predictor of placental size: evidence from
the ELSPAC study.
AB - Background: The relationship between low iodine status and pregnancy-associated
comorbidities has been known for decades. The relationship between iodine intake
and placental pathologies is, however, far less clear. This study was designed to
examine the relationship between dietary iodine intake and placental size while
also focusing on typical adverse pregnancy outcomes. Method: The dietary iodine
intake of 4711 pregnant women enrolled in the Czech part of the European
Longitudinal Study of Pregnancy and Childhood (ELSPAC) in 1990-1991 was
established using a 145-item food frequency questionnaire. Multivariate linear
regression models were used to estimate the relationship between dietary iodine
intake during pregnancy and placental weight. Additional models were constructed
to investigate the relationship between estimated dietary iodine intake and
adverse birth outcomes. Results: The estimated average iodine intake in the
ELSPAC cohort was 106.6 MUg/day. In the fully adjusted model, estimated dietary
iodine intake was found to be significantly negatively associated with placental
weight (beta = -0.025, 95% CI: -0.044; -0.006, p = 0.011). Moreover, estimated
dietary iodine intake was found to be significantly positively associated with
the birth weight / placental weight ratio in the fully adjusted model (beta =
0.024, 95% CI: 0.004; 0.043, p = 0.016). Conclusions: This study provides
evidence of a relationship between estimated dietary iodine intake and placental
weight and the birth weight / placental weight ratio. Additional research is
warranted to provide more insight into the role of iodine in early as well as
late placentation.
PMID- 29375648
TI - Improved non-destructive 2D and 3D X-ray imaging of leaf venation.
AB - Background: Leaf venation traits are important for many research fields such as
systematics and evolutionary biology, plant physiology, climate change, and
paleoecology. In spite of an increasing demand for vein trait data, studies are
often still data-limited because the development of methods that allow rapid
generation of large sets of vein data has lagged behind. Recently, non
destructive X-ray technology has proven useful as an alternative to traditional
slow and destructive chemical-based methods. Non-destructive techniques more
readily allow the use of herbarium specimens, which provide an invaluable but
underexploited resource of vein data and related environmental information. The
utility of 2D X-ray technology and microfocus X-ray computed tomography, however,
has been compromised by insufficient image resolution. Here, we advanced X-ray
technology by increasing image resolution and throughput without the application
of contrast agents. Results: For 2D contact microradiography, we developed a
method which allowed us to achieve image resolutions of up to 7 um, i.e. a 3.6
fold increase compared to the industrial standard (25 um resolution). Vein
tracing was further optimized with our image processing standards that were
specifically adjusted for different types of leaf structure and the needs of
higher imaging throughput. Based on a test dataset, in 91% of the samples the 7
um approach led to a significant improvement in estimations of minor vein density
compared to the industrial standard. Using microfocus X-ray computed tomography,
very high-resolution images were obtained from a virtual 3D-2D transformation
process, which was superior to that of 3D images. Conclusions: Our 2D X-ray
method with a significantly improved resolution advances rapid non-destructive
bulk scanning at a quality that in many cases is sufficient to determine key
venation traits. Together with our high-resolution microfocus X-ray computed
tomography method, both non-destructive approaches will help in vein trait data
mining from museum collections, which provide an underexploited resource of
historical and recent data on environmental and evolutionary change. In spite of
the significant increase in effective image resolution, a combination of high
throughput and full visibility of the vein network (including the smallest veins
and their connectivity) remains challenging, however.
PMID- 29375649
TI - High-throughput analysis of amino acids in plant materials by single quadrupole
mass spectrometry.
AB - Background: The amino acid profile of plants is an important parameter in
assessments of their growth potential, resource-use efficiency and/or quality as
food and feed. Screening studies may involve large number of samples but the
classical amino acid analysis is limited by the fact that it is very time
consuming with typical chromatographic run times of 70 min or more. Results: We
have here developed a high-throughput method for analysis of amino acid profiles
in plant materials. The method combines classical protein hydrolysis and
derivatization with fast separation by UHPLC and detection by a single quadrupole
(QDa) mass spectrometer. The chromatographic run time is reduced to 10 min and
the precision, accuracy and sensitivity of the method are in line with other
recent methods utilizing advanced and more expensive mass spectrometers. The
sensitivity of the method is at least a factor 10 better than that of methods
relying on detection by fluorescence or UV. It is possible to downscale sample
size to 20 mg without compromising reproducibility, which makes the method ideal
for analysis of very small sample amounts. Conclusion: The developed method
allows high-throughput analysis of amino acid profiles in plant materials. The
analysis is robust and accurate as well as compatible with both free amino acids
and protein hydrolysates. The QDa detector offers high sensitivity and accuracy,
while at the same time being relatively simple to operate and cheap to purchase,
thus significantly reducing the overall analytical costs compared to methods
based on more advanced mass spectrometers.
PMID- 29375650
TI - Molecular Adsorbent Recirculating System as a Diagnostic and Therapeutic
Modality.
AB - Despite the many advancements in liver transplantation (LT), mortality in
patients with hepatic failure remains high, and to date, many patients die while
awaiting LT. The molecular adsorbent recirculating system (MARS(r)) is an
extracorporeal liver support system intended to provide short-term metabolic
detoxification, often as a vital bridge to LT. We report the case of a 41-year
old non-Hispanic White male who developed worsening multi-factorial
encephalopathy in the setting of decompensated alcoholic cirrhosis. He continued
to deteriorate despite supportive medical therapy, and extensive investigation
for alternative causes of encephalopathy aside from hepatic was unrevealing; as a
result, there was concern that his encephalopathy was due to irreversible causes
from which he may not recover appropriately following LT. We herein: i) describe
the implementation of MARS as a diagnostic intervention for encephalopathy of
uncertain etiology in a patient with end stage liver disease who, on the basis of
prompt psychomotor improvement, underwent LT 19 days post-MARS implementation
with an excellent clinical outcome and thus ii) propose the use of extracorporeal
liver support not only as a short-term bridge but also as a diagnostic (and
potentially therapeutic) measure in cases of cryptogenic encephalopathy,
particularly in the setting of advanced liver disease.
PMID- 29375651
TI - Computational FEM Model, Phantom and Ex Vivo Swine Breast Validation of an
Optimized Double-Slot Microcoaxial Antenna Designed for Minimally Invasive Breast
Tumor Ablation: Theoretical and Experimental Comparison of Temperature, Size of
Lesion, and SWR, Preliminary Data.
AB - Malignant neoplasms are one of the principal world health concerns and breast
cancer is the most common type of cancer in women. Advances in cancer detection
technologies allow treating it in early stages; however, it is necessary to
develop treatments which carry fewer complications and aesthetic repercussions.
This work presents a feasibility study for the use of microwave ablation as a
novel technique for breast cancer treatment. A microwave applicator design is
also being proposed for this purpose. The coupling of the designed antenna was
predicted with computer simulation. The standing wave ratio obtained through
simulation was 1.87 and the result of experimental validation was 1.04. The
optimized antenna has an optimal coupling (SWR = 1.04) so ablation temperatures
can be achieved in a relatively short time using low power. Varying the time and
power, the heating pattern can be changed to treat different tumors. However, as
some discrepancies are still present, a deeper study of the dielectric properties
and their variation with temperature is required.
PMID- 29375652
TI - Using Distributed Data over HBase in Big Data Analytics Platform for Clinical
Services.
AB - Big data analytics (BDA) is important to reduce healthcare costs. However, there
are many challenges of data aggregation, maintenance, integration, translation,
analysis, and security/privacy. The study objective to establish an interactive
BDA platform with simulated patient data using open-source software technologies
was achieved by construction of a platform framework with Hadoop Distributed File
System (HDFS) using HBase (key-value NoSQL database). Distributed data structures
were generated from benchmarked hospital-specific metadata of nine billion
patient records. At optimized iteration, HDFS ingestion of HFiles to HBase store
files revealed sustained availability over hundreds of iterations; however, to
complete MapReduce to HBase required a week (for 10 TB) and a month for three
billion (30 TB) indexed patient records, respectively. Found inconsistencies of
MapReduce limited the capacity to generate and replicate data efficiently. Apache
Spark and Drill showed high performance with high usability for technical support
but poor usability for clinical services. Hospital system based on patient
centric data was challenging in using HBase, whereby not all data profiles were
fully integrated with the complex patient-to-hospital relationships. However, we
recommend using HBase to achieve secured patient data while querying entire
hospital volumes in a simplified clinical event model across clinical services.
PMID- 29375654
TI - Human papillomavirus genotype distribution in cervical cancer biopsies from
Nepalese women.
AB - Background: Cervical cancer (CC) is the leading cause of morbidity and mortality
from cancer in Nepalese women. Nearly all cases of CC are caused by infection
with certain genotypes of human papillomavirus (HPV). Data on HPV genotype
distribution in Nepalese CC patients is sparse. We aimed to determine the
distribution of HPV genotypes in biopsies of CC tissue from Nepalese women.
Methods: This study examined 248 archived paraffin-embedded tissue specimens from
CC cases from patients of B.P. Koirala Memorial Cancer Hospital, Bharatpur,
Chitwan, Nepal. DNA was extracted from the biopsies and HPV detection performed
by PCR. HPV genotyping was then carried out by a reverse line hybridization
technique capable of identifying 36 distinct HPV genotypes. Results: Most of the
samples were from tumors that had been designated by hospital pathologists as
squamous cell carcinoma (77.6%). 165 of the 248 samples contained DNA of
sufficient quality for rigorous PCR testing. All the analyzable specimens were
positive for HPV. The most common HPV genotypes, in decreasing order of frequency
were 16, 18, 45, 33, 52, 56 and 31; most were found as single infections (94.5%).
Together, HPV types 16, 18, and 45 were found in 92% of the tumor samples.
Conclusion: This study strengthens the knowledge-base of HPV genotype
distribution in CC cases in Nepal. Hopefully, this information will be useful to
the medical community and public health policy-makers in generating improved HPV
surveillance, -prevention and -treatment strategies in Nepal.
PMID- 29375655
TI - BUILDing SCHOLARS: enhancing diversity among U.S. biomedical researchers in the
Southwest.
AB - Background and purpose: With funding from the National Institutes of Health,
BUILDing SCHOLARS was established at The University of Texas at El Paso with the
goal of implementing, evaluating and sustaining a suite of institutional, faculty
and student development interventions in order to train the next generation of
biomedical researchers from the U.S. Southwest region, where the need is dire
among underserved communities. The focus is on supporting the infrastructure
necessary to train and mentor students so they persist on pathways across a range
of biomedical research fields. The purpose of this article is to highlight the
design and implementation of BUILDing SCHOLARS' key interventions, which offer a
systemic student training model for the U.S. Southwest. In-depth reporting of
evaluation results is reserved for other technical publications. Program and key
highlights: BUILDing SCHOLARS uses a comprehensive regional approach to
undergraduate training through a multi-institution consortium that includes 12
research partners and various pipeline partners across Texas, New Mexico, and
Arizona. Through faculty collaborations and undergraduate research training, the
program integrates social and behavioral sciences and biomedical engineering
while emphasizing seven transdisciplinary nodes of biomedical research excellence
that are common across partner institutions: addiction, cancer, degenerative and
chronic diseases, environmental health, health disparities, infectious diseases,
and translational biomedicine. Key interventions aim to: (1) improve
institutional capacities by expanding undergraduate research training
infrastructures; (2) develop an intra- and cross-institutional mentoring-driven
"community of practice" to support undergraduate student researchers; (3) broaden
the pool of student participants, improve retention, and increase matriculation
into competitive graduate programs; and (4) support faculty and postdoctoral
personnel by training them in research pedagogy and mentoring techniques and
providing them with resources for increasing their research productivity. Student
training activities focus on early interventions to maximize retention and on
enabling students to overcome common barriers by addressing their educational
endowments, science socialization, network development, family expectations, and
material resources. Over the long term, BUILDing SCHOLARS will help increase the
diversity of the biomedical research workforce in the U.S. by meeting the needs
of students from the Southwest region and by serving as a model for other
institutions.
PMID- 29375653
TI - Seeing the unseen of Chinese herbal medicine processing (Paozhi): advances in new
perspectives.
AB - Processing (Paozhi) represents a unique Chinese pharmaceutic technique to
facilitate the use of Chinese herbal medicines (CHMs) for a specific clinical
need in the guidance of Traditional Chinese Medicine (TCM) theory. Traditionally,
most CHMs require a proper processing to meet the needs of specific clinical
syndromes before being prescribed by TCM practitioners. During processing,
significant changes in chemical profiles occur, which inevitably influence the
associated pharmacological properties of a CHM. However, although processing is
formed in a long-term practice, the underlying mechanisms remain unclear for most
CHMs. The deepening understanding of the mechanism of processing would provide
scientific basis for standardization of processing. This review introduced the
role of processing in TCM and several typical methods of processing. We also
summarized the up-to-date efforts on the mechanistic study of CHM processing. The
processing mechanisms mainly include the following aspects: (i) directly reducing
contents of toxic constituents; (ii) structural transformation of constituents;
(iii) improving solubility of constituents; (iv) physically changing the existing
form of constituents; (v) and influence by excipients. These progress may give
new insights into future researches.
PMID- 29375656
TI - BUILDing BLaST: promoting rural students' biomedical research careers using a
culturally responsive, one health approach.
AB - Background and purpose: Most postsecondary institutions in the state of Alaska
(USA) have a broad mission to serve diverse students, many of whom come from
schools in rural villages that are accessible only by plane, boat, or snowmobile.
The major research university, the University of Alaska in Fairbanks (UAF),
serves a population whereby 40% are from groups recognized as underrepresented in
the biomedical workforce. The purpose of this article is to describe the Building
Infrastructure Leading to Diversity (BUILD)-supported program in the state of
Alaska that seeks to engage students from rural areas with a culturally relevant
approach that is centered on the One Health paradigm, integrating human, animal,
and environmental health. Program and key highlights: The Biomedical Learning and
Student Training (BLaST) program distinguished by broad themes that address
recruitment, retention, and success of students in biomedical programs,
especially for students from rural backgrounds. Targeted rural outreach
emphasizes that biomedical research includes research on the integration of
human, animal, and environmental health. This One Health perspective gives
personal relevance and connection to biomedical research. This outreach is
expected to benefit student recruitment, as well as foster family and community
support for pursuit of college degrees. BLaST promotes integration of research
into undergraduate curricula through curriculum development, and by creating a
new class of instructors, laboratory research and teaching technicians, who
provide research mentorship, course instruction, and comprehensive advising.
Finally, BLaST facilitates early and sustained undergraduate research experiences
in collaborations with graduate students and faculty. Implications: BLaST's
approach is highly adapted to the Alaskan educational and physical environment,
but components and concepts could be adapted to other rural areas as a means to
engage students from rural backgrounds, who often have a closer relationship with
the natural environment than urban students.
PMID- 29375657
TI - Using collaborative approaches with a multi-method, multi-site, multi-target
intervention: evaluating the National Research Mentoring Network.
AB - Background and purpose: The NIH-funded National Research Mentoring Network (NRMN)
aims to increase the representation and success of underrepresented groups (URGs)
in biomedical research by enhancing the training and career development of
individuals from diverse backgrounds, communities, and cultures. The national
scope of NRMN, its wide array of innovative programs in mentor and mentee
matching and training across the career spectrum, requires a collaborative
evaluation strategy that addresses both internal and external evaluation needs.
Due to the variety of programs implemented for each target group, the NRMN
program is responsible for its own process and short-term outcome evaluations and
the national Coordination and Evaluation Center (CEC) is responsible for
assessing the medium and long-term effectiveness of the implemented strategies
and program sustainability. Using a collaborative, utilization-focused evaluation
framework, both internal NRMN evaluators and the CEC are working to translate
findings into information that can be used to make both short term and long-term
decisions about the efficacy and reach of the NRMN model. This important
information can then inform efforts to institutionalize the current programs and
potentially replicate them elsewhere. Program and key highlights: The overall
evaluation of NRMN is guided by both outcome and process questions that are
tailored for each target group. The different target groups include faculty and
others who serve as mentors, mentees across academic training and career stages,
and researchers without a history of independently funded research. NRMN is also
building the capacity for training those pursuing biomedical careers by
developing "master trainers" for both mentoring and grantsmanship programs in
organizations and institutions that can support expanded training efforts aimed
at diversifying the biomedical workforce. Implications: Results of this
evaluation will be used to inform the design and implementation of sustainable,
effective, and comprehensive mentoring and career development initiatives that
promote diversity in the biomedical research workforce. Our collaborative
evaluation design, theoretically-derived measurement instruments, efficient data
systems, and timely reporting serve as an example of how to put evaluation
principles described into practice for large, multi-site, and multi-dimensional
research training programs like NRMN.
PMID- 29375658
TI - A participatory approach to evaluating a national training and institutional
change initiative: the BUILD longitudinal evaluation.
AB - Background and purpose: The National Institutes of Health (NIH) funds training
programs to increase the numbers and skills of scientists who obtain NIH research
grants, but few programs have been rigorously evaluated. The sizeable recent NIH
investment in developing programs to increase the diversity of the NIH-funded
workforce, implemented through the Diversity Program Consortium (DPC), is unusual
in that it also funds a Consortium-wide evaluation plan, which spans the
activities of the 10 BUilding Infrastructure Leading to Diversity (BUILD)
awardees and the National Research Mentoring Network (NRMN). The purpose of this
article is to describe the evaluation design and innovations of the BUILD Program
on students, faculty, and institutions of the 10 primarily undergraduate BUILD
sites. Key highlights of the project: Our approach to this multi-methods quasi
experimental longitudinal evaluation emphasizes stakeholder participation and
collaboration. The evaluation plan specifies the major evaluation questions and
key short- to long-term outcome measures (or Hallmarks of Success). The
Coordination and Evaluation Center (CEC) embarked on a comprehensive evaluation
strategy by developing a set of logic models that incorporate the Hallmarks of
Success and other outcomes that were collaboratively identified by the DPC. Data
were collected from each BUILD site through national surveys from the Higher
Education Research Institute at UCLA (HERI), annual followup surveys that align
with the HERI instruments, site visits and case studies, program encounter data
("tracker" data), and institutional data. The analytic approach involves
comparing changes in Hallmarks (key outcomes) within institutions for biomedical
students who participated versus those who did not participate in the BUILD
program at each institution, as well as between institution patterns of
biomedical students at the BUILD sites, and matched institutions that were not
BUILD grantees. Case studies provide insights into the institutionalization of
these new programs and help to explain the processes that lead to the observed
outcomes. Implications: Ultimately, the results of the consortium-wide evaluation
will be used to inform national policy in higher education and will provide
relevant examples of institutional and educational programmatic changes required
to diversify the biomedical workforce in the USA.
PMID- 29375659
TI - Enhancing research careers: an example of a US national diversity-focused, grant
writing training and coaching experiment.
AB - Background and purpose: Preparing a successful research proposal is one of the
most complex skills required of professional scientists, yet this skill is rarely
if ever, taught. A major goal of the National Research Mentoring Network (NRMN)
in the United States (U.S.) is to support the professional advancement of
postdoctoral fellows and junior faculty from diverse populations by offering
intensive coaching in the development of grant proposals early in their careers.
This article highlights the National Institutes of Health's (NIH) NRMN initiative
to prepare diverse constituencies of early-stage biomedicine scientists for
research careers by implementation of an evidence-based nationwide program of
comprehensive grant writing and professional development. Program and key
highlights: NRMN delivers four unique but complementary coaching models: the
Proposal Preparation Program from the University of Minnesota (UMN); Grantwriters
Coaching Groups from Northwestern University (NU); Grantwriting Uncovered:
Maximizing Strategies, Help, Opportunities, Experiences from the University of
Colorado Anschutz Medical Campus (UC) and Washington State University (WSU); and
Steps Towards Academic Research from the University of North Texas Health Science
Center (UNTHSC). Because these programs cater to scientists at different career
stages, rather than employ a single approach, each is uniquely tailored to test
its efficacy at the national level. The first two models prioritize scientists
with reasonably well-developed research projects who are ready to write proposals
for specific NIH research competitions. The other two models target postdoctoral
fellows and early-career faculty who need more extensive guidance in proposal
development plans. To achieve scalability, all programs also recruit faculty as
Coaches-in-Training to learn approaches and acquire particular group facilitation
skills required by each model. Implications: These efforts exemplify NRMN's
potential to enhance the career development of diverse trainees on a national
scale, building research skills, competitiveness for obtaining faculty positions
and capacities that will result in high quality research proposals from a diverse
pool of applicants, thereby advancing innovations in science and diversifying the
U.S. biomedical workforce.
PMID- 29375660
TI - An entrepreneurial training model to enhance undergraduate training in biomedical
research.
AB - Background: Undergraduate students who are interested in biomedical research
typically work on a faculty member's research project, conduct one distinct task
(e.g., running gels), and, step by step, enhance their skills. This
"apprenticeship" model has been helpful in training many distinguished scientists
over the years, but it has several potential drawbacks. For example, the students
have limited autonomy, and may not understand the big picture, which may result
in students giving up on their goals for a research career. Also, the model is
costly and may greatly depend on a single mentor. Key highlights: The NIH
Building Infrastructure Leading to Diversity (BUILD) Initiative has been
established to fund innovative undergraduate research training programs and
support institutional and faculty development of the recipient university. The
training model at Morgan State University (MSU), namely "A Student-Centered
Entrepreneurship Development training model" (ASCEND), is one of the 10 NIH BUILD
funded programs, and offers a novel, experimental "entrepreneurial" training
approach. In the ASCEND training model, the students take the lead. They own the
research, understand the big picture, and experience the entire scope of the
research process, which we hypothesize will lead to a greater sense of self
efficacy and research competency, as well as an enhanced sense of science
identity. They are also immersed in environments with substantial peer support,
where they can exchange research ideas and share experiences. This is important
for underrepresented minority students who might have fewer role models and less
peer support in conducting research. Implications: In this article, we describe
the MSU ASCEND entrepreneurial training model's components, rationale, and
history, and how it may enhance undergraduate training in biomedical research
that may be of benefit to other institutions. We also discuss evaluation methods,
possible sustainability solutions, and programmatic challenges that can affect
all types of science training interventions.
PMID- 29375661
TI - BUILD EXITO: a multi-level intervention to support diversity in health-focused
research.
AB - Background and purpose: As part of the NIH BUILD initiative to diversify the
scientific workforce, the EXITO project is a large multi-institutional effort to
provide comprehensive support and training for undergraduates from traditionally
underrepresented student populations who aspire to health-related research
careers. Portland State University, a major public urban university that
prioritizes student access and opportunity, and Oregon Health & Science
University, a research-intensive academic health center, lead the EXITO network
comprised of eleven 2-year and 4-year institutions of higher education spanning
Oregon, Washington, Alaska, Hawaii, Guam, American Samoa, and the Northern
Mariana Islands. The EXITO project aims for impact in biomedical research by
training diverse scholars from indigenous and underserved communities affected by
adverse health disparities. Project approach: Guided by socio-ecological theory,
the EXITO project is a multi-level intervention offering a three-year research
training pathway for scholars in the biomedical, behavioral, health, and social
sciences. Fundamental components of the model include student outreach and
engagement, integrated curricular enhancements, intensive research experiences,
multi-faceted developmental mentoring, supportive community and services, and
rigorous evaluation and quality improvement. EXITO also advances faculty and
institutional development in these domains by holding curriculum development
conferences, creating research learning communities, awarding pilot project
research funding, providing mentor training and ongoing support, collaborating
with other research equity programs, and developing campus infrastructure and
services to support scholars with diverse backgrounds and needs. Highlights: The
large and geographically broad network of EXITO institutions engages a range of
diverse students, including indigenous populations and students beginning post
secondary education at community colleges. The EXITO model specifically
accommodates many students transferring from 2-year partner institutions and
facilitates seamless transfer to the 4-year institution. EXITO features several
approaches to research training, including supported summer entry into research
placements, the incorporation of responsible conduct of research content into
general education curriculum, and the intentional matching of scholars with three
types of mentors (e.g., peer, career, research). Implications: EXITO provides an
example of a comprehensive research training initiative for traditionally
underrepresented students that can be implemented across a diverse range of 2
year and 4-year institutions.
PMID- 29375662
TI - Critical race theory as a bridge in science training: the California State
University, Northridge BUILD PODER program.
AB - Background and purpose: Unconscious bias and explicit forms of discrimination
continue to pervade academic institutions. Multicultural and diversity training
activities have not been sufficient in making structural and social changes
leading to equity, therefore, a new form of critical consciousness is needed to
train diverse scientists with new research questions, methods, and perspectives.
The purpose of this paper is to describe Building Infrastructure Leading to
Diversity (BUILD); Promoting Opportunities for Diversity in Education and
Research (PODER), which is an undergraduate biomedical research training program
based on transformative framework rooted in Critical Race Theory (CRT). Key
highlights: By employing a CRT-informed curriculum and training in BUILD PODER,
students are empowered not only to gain access but also to thrive in graduate
programs and beyond. Poder means "power" or "to be able to" in Spanish.
Essentially, we are "building power" using students' strengths and empowering
them as learners. The new curriculum helps students understand institutional
policies and practices that may prevent them from persisting in higher education,
learn to become their own advocates, and successfully confront social barriers
and instances of inequities and discrimination. To challenge these barriers and
sustain campus changes in support of students, BUILD PODER works toward changing
campus culture and research mentoring relationships. By joining with ongoing
university structures such as the state university Graduation Initiative, we
include CRT tenets into the campus dialogue and stimulate campus-wide discussions
around institutional change. Strong ties with five community college partners
also enrich BUILD PODER's student body and strengthen mentor diversity.
Preliminary evaluation data suggest that BUILD PODER's program has enhanced the
racial/ethnic consciousness of the campus community, is effective in encouraging
more egalitarian and respectful faculty-student relationships, and is a rigorous
program of biomedical research training that supports students as they achieve
their goals. Implications: Biomedical research programs may benefit from a
reanalysis of the fit between current training programs and student strengths. By
incorporating the voices of talented youth, drawing upon their native strengths,
we will generate a new science that links biomedical research to community health
and social justice, generating progress toward health equity through a promising
new generation of scholars.
PMID- 29375664
TI - A research-based inter-institutional collaboration to diversify the biomedical
workforce: ReBUILDetroit.
AB - Background and purpose: Faced with decades of severe economic decline, the city
of Detroit, Michigan (USA) is on the cusp or reinventing itself. A Consortium was
formed of three higher education institutions that have an established mission to
serve an urban population and a vested interest in the revitalization of the
health, welfare, and economic opportunity in the Detroit metro region that is
synergistic with national goals to diversify the biomedical workforce. The
purpose of this article is to describe the rationale, approach, and model of the
Research Enhancement for BUILDing Detroit (ReBUILDetroit) Consortium, as a cross
campus collaborative for students, faculty, and institutional development. The
ReBUILDetroit program is designed to transform the culture of higher education in
Detroit, Michigan by educating and training students from diverse and socio
economically disadvantaged backgrounds to become the next generation of
biomedical researchers. Key program highlights: Marygrove College, University of
Detroit Mercy, and Wayne State University established a Consortium to create and
implement innovative, evidence-based and cutting-edge programming. Specific
elements include: (1) a pre-college summer enrichment experience; (2) an inter
institutional curricular re-design of target foundational courses in biology,
chemistry and social science using the Research Coordination Network (RCN) model;
and (3) cross-institutional summer faculty-mentored research projects for
ReBUILDetroit Scholars starting as rising sophomores. Student success support
includes intentional and intrusive mentoring, financial support, close faculty
engagement, ongoing workshops to overcome academic and non-academic barriers, and
cohort building activities across the Consortium. Institutional supports,
integral to program creation and sustainability, include creating faculty
learning communities grounded in professional development opportunities in
pedagogy, research and mentorship, and developing novel partnerships and
accelerated pipeline programming across the Consortium. This article highlights
the development, implementation and evolution of high-impact practices critical
for student learning, research-based course development, and the creation of
inter-institutional learning communities as a direct result of ReBUILDetroit.
Implications: Our cross-institutional collaboration and leveraging of resources
in a difficult economic environment, drawing students from high schools with a
myriad of strengths and challenges, serves as a model for higher education
institutions in large, urban centers who are seeking to diversify their
workforces and provide additional opportunities for upward mobility among diverse
populations.
PMID- 29375665
TI - Think 500, not 50! A scalable approach to student success in STEM.
AB - Background: UMBC, a diverse public research university, "builds" upon its
reputation in producing highly capable undergraduate scholars to create a
comprehensive new model, STEM BUILD at UMBC. This program is designed to help
more students develop the skills, experience and motivation to excel in science,
technology, engineering, and mathematics (STEM). This article provides an in
depth description of STEM BUILD at UMBC and provides the context of this
initiative within UMBC's vision and mission. Key highlights: The STEM BUILD model
targets promising STEM students who enter as freshmen or transfer students and do
not qualify for significant university or other scholarship support. Of primary
importance to this initiative are capacity, scalability, and institutional
sustainability, as we distill the advantages and opportunities of UMBC's
successful scholars programs and expand their application to more students. The
general approach is to infuse the mentoring and training process into the fabric
of the undergraduate experience while fostering community, scientific identity,
and resilience. At the heart of STEM BUILD at UMBC is the development of BUILD
Group Research (BGR), a sequence of experiences designed to overcome the
challenges that undergraduates without programmatic support often encounter
(e.g., limited internship opportunities, mentorships, and research positions for
which top STEM students are favored). BUILD Training Program (BTP) Trainees serve
as pioneers in this initiative, which is potentially a national model for
universities as they address the call to retain and graduate more students in
STEM disciplines - especially those from underrepresented groups. As such, BTP is
a research study using random assignment trial methodology that focuses on the
scalability and eventual incorporation of successful measures into the
traditional format of the academy. Implications: Critical measures to transform
institutional culture include establishing an extensive STEM Living and Learning
Community to increase undergraduate retention, expanding the adoption of "active
learning" pedagogies to increase the efficiency of learning, and developing
programs to train researchers to effectively mentor a greater portion of the
student population. The overarching goal of STEM BUILD at UMBC is to retain
students in STEM majors and better prepare them for post baccalaureate, graduate,
or professional programs as well as careers in biomedical and behavioral
research.
PMID- 29375666
TI - Enabling full representation in science: the San Francisco BUILD project's agents
of change affirm science skills, belonging and community.
AB - Background: The underrepresentation of minority students in the sciences
constrains innovation and productivity in the U.S. The SF BUILD project mission
is to remove barriers to diversity by taking a "fix the institution" approach
rather than a "fix the student" one. SF BUILD is transforming education,
research, training, and mentoring at San Francisco State University, a premiere
public university that primarily serves undergraduates and ethnic minority
students. It boasts a large number of faculty members from underrepresented
groups (URGs), including many of the project leaders. These leaders collaborate
with faculty at the University of California San Francisco (UCSF), a world-class
medical research institution, to implement SF BUILD. Key highlights: Together,
the campus partners are committed to creating intellectually safe and affirming
environments grounded in the Signaling Affirmation for Equity (SAFE) model, which
is based on robust psychosocial evidence on stereotype threat and its
consequences. The SAFE model dictates a multilevel approach to increasing intent
to pursue a biomedical career, persistence in STEM fields, and productivity (e.g.
publications, presentations, and grants) by implementing transformative
activities at the institutional, faculty, and student levels. These activities
(1) increase knowledge of the stereotype threat phenomenon; (2) affirm communal
and altruistic goals of students and faculty to "give back" to their communities
in classrooms and research activities; and (3) establish communities of students,
faculty and administrators as "agents of change." Agents of change are persons
committed to establishing and maintaining SAFE environments. In this way, SF
BUILD advances the national capacity to address biomedical questions relevant to
communities of color by enabling full representation in science. Implications:
This chapter describes the theoretical and historical context that drive the
activities, research and evaluation of the SF BUILD project, and highlights
attributes that other institutions can use for institutional change. While this
paper is grounded in psychosocial theory, it also provides practical solutions
for broadening participation.
PMID- 29375667
TI - Advancing research opportunities and promoting pathways in graduate education: a
systemic approach to BUILD training at California State University, Long Beach
(CSULB).
AB - Background and purpose: First-generation college graduates, racial and ethnic
minorities, people with disabilities, and those from disadvantaged backgrounds
are gravely underrepresented in the health research workforce representing
behavioral health sciences and biomedical sciences and engineering (BHS/BSE).
Furthermore, relative to their peers, very few students from these
underrepresented groups (URGs) earn scientific bachelor's degrees with even fewer
earning doctorate degrees. Therefore, programs that engage and retain URGs in
health-related research careers early on in their career path are imperative to
promote the diversity of well-trained research scientists who have the ability to
address the nation's complex health challenges in an interdisciplinary way. The
purpose of this paper is to describe the challenges, lessons learned, and
sustainability of implementing a large-scale, multidisciplinary research
infrastructure at California State University, Long Beach (CSULB) - a minority
serving institution - through federal funding received by the National Institutes
of Health (NIH) Building Infrastructure Leading to Diversity (BUILD) Initiative.
Program and key highlights: The CSULB BUILD initiative consists of developing a
research infrastructure designed to engage and retain URGs on the research career
path by providing them with the research training and skills needed to make them
highly competitive for doctoral programs and entry into the research workforce.
This initiative unites many research disciplines using basic, applied, and
translational approaches to offer insights and develop technologies addressing
prominent community and national health issues from a multidisciplinary
perspective. Additionally, this initiative brings together local (e.g., high
school, community college, doctoral research institutions) and national (e.g.,
National Research Mentoring Network) collaborative partners to alter how we
identify, develop, and implement resources to enhance student and faculty
research. Finally, this initiative establishes a student research training
program that engages URGs earlier in their academic development, is larger and
multidisciplinary in scope, and is responsive to the life contexts and promotes
the cultural capital that URGs bring to their career path. Implications: Although
there have been many challenges to planning for and developing CSULB BUILD's
large-scale, multidisciplinary research infrastructure, there have been many
lessons learned in the process that could aid other campuses in the development
and sustainability of similar research programs.
PMID- 29375668
TI - Evaluating efforts to diversify the biomedical workforce: the role and function
of the Coordination and Evaluation Center of the Diversity Program Consortium.
AB - Background: The National Institutes of Health (NIH)-funded Diversity Program
Consortium (DPC) includes a Coordination and Evaluation Center (CEC) to conduct a
longitudinal evaluation of the two signature, national NIH initiatives - the
Building Infrastructure Leading to Diversity (BUILD) and the National Research
Mentoring Network (NRMN) programs - designed to promote diversity in the NIH
funded biomedical, behavioral, clinical, and social sciences research workforce.
Evaluation is central to understanding the impact of the consortium activities.
This article reviews the role and function of the CEC and the collaborative
processes and achievements critical to establishing empirical evidence regarding
the efficacy of federally-funded, quasi-experimental interventions across
multiple sites. The integrated DPC evaluation is particularly significant because
it is a collaboratively developed Consortium Wide Evaluation Plan and the first
hypothesis-driven, large-scale systemic national longitudinal evaluation of
training programs in the history of NIH/National Institute of General Medical
Sciences. Key highlights: To guide the longitudinal evaluation, the CEC-led
literature review defined key indicators at critical training and career
transition points - or Hallmarks of Success. The multidimensional, comprehensive
evaluation of the impact of the DPC framed by these Hallmarks is described. This
evaluation uses both established and newly developed common measures across
sites, and rigorous quasi-experimental designs within novel multi-methods
(qualitative and quantitative). The CEC also promotes shared learning among
Consortium partners through working groups and provides technical assistance to
support high-quality process and outcome evaluation internally of each program.
Finally, the CEC is responsible for developing high-impact dissemination channels
for best practices to inform peer institutions, NIH, and other key national and
international stakeholders. Implications: A strong longitudinal evaluation across
programs allows the summative assessment of outcomes, an understanding of factors
common to interventions that do and do not lead to success, and elucidates the
processes developed for data collection and management. This will provide a
framework for the assessment of other training programs and have national
implications in transforming biomedical research training.
PMID- 29375669
TI - Building integrated pathways to independence for diverse biomedical researchers:
Project Pathways, the BUILD program at Xavier University of Louisiana.
AB - Background and purpose: Xavier University of Louisiana is a historically Black
and Catholic university that is nationally recognized for its science,
technology, engineering and mathematics (STEM) curricula. Approximately 73% of
Xavier's students are African American, and about 77% major in the biomedical
sciences. Xavier is a national leader in the number of STEM majors who go on to
receive M.D. degrees and Ph.D. degrees in science and engineering. Despite
Xavier's advances in this area, African Americans still earn about 7.5% of the
Bachelor's degrees, less than 8% of the Master's degrees, and less than 5% of the
doctoral degrees conferred in STEM disciplines in the United States.
Additionally, although many well-prepared, highly-motivated students are
attracted by Xavier's reputation in the sciences, many of these students, though
bright and capable, come from underperforming public school systems and receive
substandard preparation in STEM disciplines. The purpose of this article is to
describe how Xavier works to overcome unequal education backgrounds and
socioeconomic challenges to develop student talent through expanding biomedical
training opportunities and build on an established reputation in science
education. Program and key highlights: The National Institutes of Health
(NIH)/National Institute of General Medical Sciences (NIGMS)-funded BUILD
(Building Infrastructure Leading to Diversity) Program at Xavier University of
Louisiana, Project Pathways, is a highly-innovative program designed to broaden
the career interests of students early on, and to engage them in activities that
entice them to continue their education towards biomedical research careers.
Project strategies involve a transformation of Xavier's academic and non-academic
programs through the redesign, supplementation and integration of academic
advising, tutoring, career services, personal counseling, undergraduate research
training, faculty research mentoring, and development of new biomedical and
research skills courses. The Program also focuses on mentor training and
providing faculty members with opportunities to improve their teaching skills as
well as their research competitiveness. In addition to the wide range of
activities supported by BUILD within the institution, Xavier University is
partnering with a number of major research universities across the nation to
achieve Project Pathways' goals. Implications: The strategies developed by
Project Pathways are designed to address the challenges and barriers Xavier
students face as they work towards graduate studies and entering the biomedical
workforce. Xavier University of Louisiana has a long history of providing high
quality, rigorous education to African American students in a very supportive
environment with highly dedicated faculty and staff. The program highlighted here
could be used by other institutions as a model program for assisting students in
STEM and other biomedical fields of study to successfully matriculate through
college and graduate school and develop their research careers.
PMID- 29375663
TI - A new approach to mentoring for research careers: the National Research Mentoring
Network.
AB - Background and purpose: Effective mentorship is critical to the success of early
stage investigators, and has been linked to enhanced mentee productivity, self
efficacy, and career satisfaction. The mission of the National Research Mentoring
Network (NRMN) is to provide all trainees across the biomedical, behavioral,
clinical, and social sciences with evidence-based mentorship and professional
development programming that emphasizes the benefits and challenges of diversity,
inclusivity, and culture within mentoring relationships, and more broadly the
research workforce. The purpose of this paper is to describe the structure and
activities of NRMN. Key highlights: NRMN serves as a national training hub for
mentors and mentees striving to improve their relationships by better aligning
expectations, promoting professional development, maintaining effective
communication, addressing equity and inclusion, assessing understanding,
fostering independence, and cultivating ethical behavior. Training is offered in
person at institutions, regional training, or national meetings, as well as via
synchronous and asynchronous platforms; the growing training demand is being met
by a cadre of NRMN Master Facilitators. NRMN offers career stage-focused coaching
models for grant writing, and other professional development programs. NRMN
partners with diverse stakeholders from the NIH-sponsored Diversity Program
Consortium (DPC), as well as organizations outside the DPC to work
synergistically towards common diversity goals. NRMN offers a virtual portal to
the Network and all NRMN program offerings for mentees and mentors across career
development stages. NRMNet provides access to a wide array of mentoring
experiences and resources including MyNRMN, Guided Virtual Mentorship Program,
news, training calendar, videos, and workshops. National scale and sustainability
are being addressed by NRMN "Coaches-in-Training" offerings for more senior
researchers to implement coaching models across the nation. "Shark Tanks" provide
intensive review and coaching for early career health disparities investigators,
focusing on grant writing for graduate students, postdoctoral trainees, and
junior faculty. Implications: Partners from diverse perspectives are building the
national capacity and sparking the institutional changes necessary to truly
diversify and transform the biomedical research workforce. NRMN works to leverage
resources towards the goals of sustainability, scalability, and expanded reach.
PMID- 29375670
TI - Chromothripsis 18 in multiple myeloma patient with rapid extramedullary relapse.
AB - Background: Catastrophic chromosomal event known as chromothripsis was proven to
be a significant hallmark of poor prognosis in several cancer diseases. While
this phenomenon is very rare in among multiple myeloma (MM) patients, its
presence in karyotype is associated with very poor prognosis. Case presentation:
In our case, we report a 62 year female patient with rapid progression of
multiple myeloma (MM) into extramedullary disease and short overall survival (OS
= 23 months). I-FISH investigation revealed presence of gain 1q21 and
hyperdiploidy (+ 5,+ 9,+ 15) in 82% and 86%, respectively, while IgH
rearrangements, del(17)(p13) and del(13)(q14) were evaluated as negative.Whole
genome profiling using array-CGH showed complex genomic changes including
hyperdiploidy (+ 3,+ 5,+ 9,+ 11, + 15,+ 19), monosomy X, structural gains (1q21
1q23.1, 1q32-1q44, 16p13.13-16p11.2) and losses (1q23.1-1q32.1; 8p23.3-8p11.21)
of genetic material and chromothripsis in chromosome 18 with 6 breakpoint areas.
Next-generation sequencing showed a total of 338 variants with 1.8% (6/338) of
pathological mutations in NRAS (c.181C > A; p.Gln61Lys) or variants of unknown
significance in TP53, CUX1 and POU4F1. Conclusions: Our findings suggest that
presence of chromothripsis should be considered as another important genetic
hallmark of poor prognosis in MM patients and utilization of genome-wide
screening techniques such as array-CGH and NGS improves the clinical diagnostics
of the disease.
PMID- 29375672
TI - Complete genome sequence of Lactobacillus pentosus SLC13, isolated from mustard
pickles, a potential probiotic strain with antimicrobial activity against
foodborne pathogenic microorganisms.
AB - Background: Lactobacillus pentosus SLC13 is a high exopolysaccharide (EPS)
producing strain with broad-spectrum antimicrobial activity and the ability to
grow in simulated gastrointestinal conditions. SLC13 was isolated from mustard
pickles in Taiwan for potential probiotic applications. To better understand the
molecular base for its antimicrobial activity and high EPS production, entire
genome of SLC13 was determined by PacBio SMRT sequencing. Results: L. pentosus
SLC13 contains a genome with a 3,520,510-bp chromosome and a 62,498-bp plasmid.
GC content of the complete genome was 46.5% and that of plasmid pSLC13 was 41.3%.
Sequences were annotated at the RAST prokaryotic genome annotation server, and
the results showed that the genome contained 3172 coding sequences and 82 RNA
genes. Seventy-six protein-coding sequences were identified on the plasmid
pSLC13. A plantaricin gene cluster, which is responsible for bacteriosins
biosynthesis and could be associated with its broad-spectrum antimicrobial
activity, was identified based on comparative genomic analysis. Two gene clusters
involved in EPS production were also identified. Conclusion: This genomic
sequence might contribute to a future application of this strain as probiotic in
productive livestock potentially inhibiting competing and pathogenic organisms.
PMID- 29375671
TI - Management of hypothyroidism with combination thyroxine (T4) and triiodothyronine
(T3) hormone replacement in clinical practice: a review of suggested guidance.
AB - Background: Whilst trials of combination levothyroxine/liothyronine therapy
versus levothyroxine monotherapy for thyroid hormone replacement have not shown
any superiority, there remains a small subset of patients who do not feel well on
monotherapy. Whilst current guidelines do not suggest routine use of combination
therapy they do acknowledge a trial in such patients may be appropriate. It
appears that use of combination therapy and dessicated thyroid extract is not
uncommon but often being used by non-specialists and not adequately monitored.
This review aims to provide practical advice on selecting patients, determining
dose and monitoring of such a trial. Main body: It is important to select the
correct patient for a trial so as to not delay diagnosis or potentially worsen an
undiagnosed condition. An appropriate starting dose may be calculated but
accuracy is limited by available formulations and cost. Monitoring of thyroid
function, benefits and adverse effects are vital in the trial setting given lack
of evidence of safe long term use. Also important is that patients understand set
up of the trial, potential risks involved and give consent. Conclusion: Whilst
evidence is lacking on whether a small group of patients may benefit from
combination therapy a trial may be indicated in those who remain symptomatic
despite adequate levothyroxine monotherapy. This should be undertaken by
clinicians experienced in the field with appropriate monitoring for adverse
outcomes in both short and long term.
PMID- 29375673
TI - Reduced feeding activity of soil detritivores under warmer and drier conditions.
AB - Anthropogenic warming is projected to trigger positive feedbacks to climate by
enhancing carbon losses from the soil1. While such losses are, in part, owing to
increased decomposition of organic matter by invertebrate detritivores, it is
unknown how detritivore feeding activity will change with warming2, especially
under drought conditions. Here, using four year manipulation experiments in two
North American boreal forests, we investigate how temperature (ambient, +1.7
degrees C, +3.4 degrees C) and rainfall (ambient, -40% summer precipitation)
perturbations influence detritivore feeding activity. In contrast to general
expectations1,3, warming had negligible net effects on detritivore feeding
activity at ambient precipitation. However, when combined with precipitation
reductions, warming decreased feeding activity by ~14%. As across all plots and
dates, detritivore feeding activity was positively associated to bulk soil
microbial respiration, our results suggest slower rates of decomposition of soil
organic matter, and thus reduced positive feedbacks to climate under
anthropogenic climate change.
PMID- 29375674
TI - Recent perspectives of pediatric mitochondrial diseases.
AB - Mitochondrial disorders are amongst the most common groups of inborn errors of
metabolism. They are caused by deficiencies in the final pathway of the cellular
energy production, the mitochondrial respiratory chain. The disorders are
clinically and genetically heterogeneous and the aetiology could be found in the
mitochondrial, or in the nuclear genome. We searched important e-databases for
the collection of latest literature on the mitochondrial disease especially in
pediatric population. Most of the studies in the recent past have focused on the
understanding of the clinical phenotypes and pathophysiological mechanisms. Leigh
syndrome is a common severe, neurodegenerative disease of early childhood. A
defect in the POLG gene is another common observation in most of the cases
leading to Alpers syndrome. The review concludes that pediatric mitochondrial
disorders are severe, progressive and usually multi-systemic. Further, whole
genome sequencing is an excellent diagnostic option.
PMID- 29375675
TI - Puerarin promotes the proliferation and differentiation of MC3T3-E1 cells via
microRNA-106b by targeting receptor activator of nuclear factor-kappaB ligand.
AB - Puerarin, an isoflavone-C-glucoside extracted from the root of Pueraria Labata
(Willd.) Ohwi, is one of the most important crude herbs used in Chinese medicine
for various medicinal purposes. Accumulating evidence has indicated that puerarin
suppresses bone resorption and promotes bone formation. However, the molecular
mechanism involved in puerarin-associated bone formation is unclear. The present
study aimed to investigate the molecular mechanism of puerarin-induced osteoblast
proliferation and differentiation. The study showed that puerarin treatment
differentially affected cell proliferation in a time-dependent manner. Notably,
at a concentration of 20 uM, puerarin significantly promoted cell proliferation
in comparison with the control (P<0.01). Furthermore, puerarin promoted MC3T3-E1
cell differentiation at an appropriate concentration. In addition, miR-106b was
significantly upregulated in MC3T3-E1 cells following treatment with 20 uM
puerarin (P<0.01), and a known target for miR-106b, receptor activator of nuclear
factor-kappaB ligand (RANKL) was demonstrated using the luciferase reporter
assay. Furthermore, inhibition of miR-106b significantly reversed the promotion
of cell differentiation induced by puerarin in MC3T3-E1 cells (P<0.01). In
conclusion, the present study demonstrated that puerarin exerts its role in MC3T3
E1 osteogenesis through miR-106b by targeting RANKL. The findings suggest that
puerarin may be considered a promising anti-osteoporotic agent for the treatment
of osteoporosis.
PMID- 29375676
TI - Fibroblast growth factor 21 inhibition aggravates cardiac dysfunction in diabetic
cardiomyopathy by improving lipid accumulation.
AB - Diabetic cardiomyopathy (DCM) is one of the major causes of morbidity and
mortality in diabetic patients. Recent studies have demonstrated an increased
level of fibroblast growth factor 21 (FGF21) in the plasma of DCM patients, and
FGF21 has been proven to be a cardiovascular protector of the heart. The present
study aimed to further investigate the pathogenic role of FGF21 in DCM,
hypothesizing that a lack of FGF21 may promote the progression of DCM by
regulating the lipid metabolism, cardiac hypertrophy and cardiac fibrosis, thus
deteriorating the cardiac dysfunction. A total of 44 mice were randomly assigned
into the normal (n=6), DCM (n=6), normal + scrambled siRNA (n=6), DCM + scrambled
siRNA (n=6), normal + FGF21 siRNA (n=10) and DCM + FGF21 siRNA (n=10) groups.
Type 1 diabetes mellitus was induced to mice in the DCM groups by streptozotocin
injection, while FGF21 expression was inhibited by FGF21 siRNA. Normal and DCM
mice administrated with scrambled siRNA were respectively regarded as the
controls for the normal + FGF21 siRNA and DCM + FGF21 siRNA groups. In the DCM
group, FGF21 inhibition promoted cardiac hypertrophy and fibrosis, and the
expression levels of their indicators, including atrial natriuretic factor, alpha
skeletal actin, collagen type I and III, and transforming growth factor-beta,
increased, leading to further decreased cardiac function. In addition, FGF21
inhibition in DCM mice elevated the quantity of lipid droplets and the
concentration of heart triglycerides, plasma triglycerides and cholesterol
levels, accompanied by downregulation of peroxisome proliferator-activated
receptor gamma co-activator 1alpha (PGC-1alpha) and upregulation of cluster of
differentiation (CD)36. Thus, the results indicated that FGF21 inhibition
exacerbates the cardiac dysfunction by aggravating the lipid accumulation through
regulating the expression levels of PGC-1alpha and CD36. In conclusion, it is
suggested that FGF21 may be a potentially useful agent in the treatment of DCM.
PMID- 29375677
TI - Quantifying the degradation of degradable implants and bone formation in the
femoral condyle using micro-CT 3D reconstruction.
AB - Degradation limits the application of magnesium alloys, and evaluation methods
for non-traumatic in vivo quantification of implant degradation and bone
formation are imperfect. In the present study, a micro-arc-oxidized AZ31
magnesium alloy was used to evaluate the degradation of implants and new bone
formation in 60 male New Zealand white rabbits. Degradation was monitored by
weighing the implants prior to and following implantation, and by performing
micro-computed tomography (CT) scans and histological analysis after 1, 4, 12,
24, 36, and 48 weeks of implantation. The results indicated that the implants
underwent slow degradation in the first 4 weeks, with negligible degradation in
the first week, followed by significantly increased degradation during weeks 12
24 (P<0.05), and continued degradation until the end of the 48-week experimental
period. The magnesium content decreased as the implant degraded (P<0.05);
however, the density of the material exhibited almost no change. Micro-CT results
also demonstrated that pin volume, pin mineral density, mean 'pin thickness',
bone surface/bone volume and trabecular separation decreased over time (P<0.05),
and that the pin surface area/pin volume, bone volume fraction, trabecular
thickness, trabecular number and tissue mineral density increased over time
(P<0.05), indicating that the number of bones and density of new bone increased
as magnesium degraded. These results support the positive effect of magnesium on
osteogenesis. However, from the maximum inner diameter of the new bone loop and
diameter of the pin in the same position, the magnesium alloy was not capable of
creating sufficient bridges between the bones and biomaterials when there were
preexisting gaps. Histological analyses indicated that there were no inflammatory
responses around the implants. The results of the present study indicate that a
micro-arc-oxidized AZ31 magnesium alloy is safe in vivo and efficiently degraded.
Furthermore, the novel bone formation increased as the implant degraded. The
findings concluded that micro-CT, which is useful for providing non-traumatic, in
vivo, quantitative and precise data, has great value for exploring the
degradation of implants and novel bone formation.
PMID- 29375678
TI - Aberrant DNA methylation associated with Alzheimer's disease in the superior
temporal gyrus.
AB - Abnormal DNA methylation patterns have been demonstrated to be associated with
the pathogenesis of Alzheimer's disease (AD). The present study aimed to identify
differential methylation in the superior temporal gyrus (STG) of patients with
late-onset AD based on epigenome-wide DNA methylation data by bioinformatics
analysis. The genome-wide DNA methylation data in the STG region of 34 patients
with late-onset AD and 34 controls without dementia were recruited from the Gene
Expression Omnibus database. Through systemic quality control, differentially
methylated CpG sites were determined by the Student's t-test and mean methylation
value differences between the two conditions. Hierarchical clustering analysis
was applied to assess the classification performance of differentially methylated
CpGs. Functional analysis was performed to investigate the biological functions
of the genes associated with differentially methylated CpGs. A total of 17,895
differentially methylated CpG sites were initially identified, including 11,822
hypermethylated CpGs and 6,073 hypomethylated CpGs. Further analysis examined
2,211 differentially methylated CpGs (covering 1,991 genes). AD subjects
demonstrated distinctive DNA methylation patterns when compared with the
controls, with a classification accuracy value of 1. Hypermethylation was mainly
detected for genes regulating the cell cycle progression, whereas hypomethylation
was observed in genes involved in transcription factor binding. The present study
demonstrated widespread and distinctive DNA methylation alterations in late-onset
AD. Identification of AD-associated epigenetic biomarkers may allow for the
development of novel diagnostic and therapeutic targets.
PMID- 29375679
TI - The efficacy of homemade tolcapone in the treatment of patients with Parkinsons
disease.
AB - The aim of the study was to investigate the efficacy of homemade tolcapone in
treatment of patients with Parkinson's disease (PD). Eighty patients with PD were
subjected to randomized, double-blind, placebo-controlled and parallel-group
study. PD patients were randomly divided into the tolcapone treatment group (41
cases) and placebo group (39 cases). Each patient received 1 pill of tolcapone or
placebo, 3 times per day for 26 weeks. Efficacy was evaluated using the
internationally used unified Parkinson's disease rating scale (UPDRS) scoring
system for PD symptoms. After the treatment for 26 weeks, the cognitive function,
tremor, muscle stiffness, voluntary movement and autonomic nerve symptoms were
compared between the two groups using UPDRS scores. Compared with the placebo
group, cognitive function, muscle stiffness and voluntary movement reduction were
significantly improved in patients of the tolcapone group (P<0.05). However, no
significant differences in UPDRS scores of autonomic nerve symptoms and tremor
were found between two groups after treatment (P>0.05). Tolcapone, a catechol-O
methyl transferase (COMT) inhibitor, can improve the motor function of patients
with PD, especially exercise and muscle stiffness. Tolcapone can also improve the
cognitive function of patients.
PMID- 29375680
TI - Mitochondrial factor and cell cytokines associate with TCM syndrome scale in
vascular dementia patients.
AB - Mitochondrial factor and cell cytokines play important roles in the incidence of
vascular dementia (VD), but their correlations with inflammatory and
mitochondrial factors and the role of both in the kidney essence deficiency
pattern and phlegm turbidity blocking orifice pattern are not clear. This study
was aimed at studying the correlations between the serum mitochondrial factor and
cell cytokines with TCM Syndrome Scale in vascular dementia. According to the
inclusion criteria we collected 108 vascular dementia patients which were divided
into the kidney essence deficiency pattern and phlegm turbidity blocking orifice
pattern based on the TCM Syndrome Scale. We measured serum tumor necrosis factor
alpha (TNF-alpha), interleukin-6 (IL-6), interleukin-18 (IL-18) concentration
using an enzyme-linked immunosorbent assay (ELISA) and serum malondialdelyde
(MDA) and superoxide dismutase (SOD) was quantified according to instructions of
kits from the 108 patients (45 in kidney essence deficiency pattern, 63 in phlegm
turbidity blocking orifice pattern). The scale scores were assessed using TCM
Syndrome Scale, MMSE, Hachinski, Barthel, BBS, CDR. There was a significant
difference on the scores of CDR and the factors of the memory, judgment, social
affairs, personal care, family and hobbies among the two groups, The means of
kidney essence deficiency group was higher than that of phlegm turbidity blocking
orifice pattern group. IL-18 and SOD in the phlegm turbidity blocking orifice
group was higher than those in the kidney essence deficiency pattern; IL-6 in
phlegm turbidity blocking orifice pattern group was lower than that in the kidney
essence deficiency pattern. By logistic regression analysis, we demonstrated that
high concentration of IL-6, TNF-alpha, and MDA were associated with increased TCM
syndrome scores in two groups, while IL-6, IL-18, TNF-alpha, SOD were associated
with decreased MMSE, Barthel. Our study support the notion that IL-6 plays a more
important role in the integral of kidney essence deficiency pattern, IL-18 is a
more important factor in phlegm turbidity blocking orifice pattern. The results
can be used as the theoretical basis of traditional Chinese Medicine prescription
and pharmacological research in future, through decrease in IL-6 lever and
increase in SOD in integral kidney essence deficiency pattern, and IL-18 as the
main anti inflammation index in phlegm turbidity blocking orifice pattern.
PMID- 29375681
TI - Alendronate promotes osteoblast differentiation and bone formation in ovariectomy
induced osteoporosis through interferon-beta/signal transducer and activator of
transcription 1 pathway.
AB - Alendronate is commonly used for the treatment of postmenopausal osteoporosis;
however, the underlying pathological molecular mechanisms of its action remain
unclear. In the present study, the alendronate-treated signaling pathway in bone
metabolism in rats with ovariectomy induced by osteoporosis was investigated.
Rats with osteoporosis were orally administered alendronate or phosphate-buffered
saline (control). In addition, the interferon-beta (IFN-beta)/signal transducer
and activator of transcription 1 (STAT1) signaling pathway was investigated in
osteoblasts following treatment with alendronate in vitro and in vivo. During the
differentiation period, IFN-beta (100 ng/ml) was used to treat the osteoblast
cells, and the activity, viability and bone metabolism-associated gene expression
levels (STAT1, p-STAT1, Fra1, TRAF6 and SOCS1) were analyzed in osteoblast cells.
Histopathological changes were used to evaluate osteoblasts, osteoclasts,
inflammatory phase of bone healing and osteonecrotic areas. The results
demonstrated that alendronate significantly inhibited the activity of
osteoporotic osteoclasts by stimulating expression of IFN-beta, as well as
markedly improved the viability and activity of osteoblasts compared with the
control group. In addition, alendronate increased the expression and
phosphorylation levels of STAT1 in osteoclasts, enhanced osteoblast
differentiation, upregulated the expression levels of alkaline phosphatase and
osteocalcin, and increased the expression of osteoblast differentiation
associated genes (osteocalcin, osterix and Runx2). Inhibition of IFN-beta
expression canceled the benefits of alendronate-mediated osteoblast
differentiation. Notably, alendronate enhanced bone formation in rats with
osteoporosis induced by ovariectomy. In conclusion, these findings suggest that
alendronate can regulate osteoblast differentiation and bone formation in rats
with osteoporosis induced by ovariectomy through upregulation of IFN-beta/STAT1
signaling pathway.
PMID- 29375682
TI - Long non-coding RNA MDC1-AS inhibits human gastric cancer cell proliferation and
metastasis through an MDC1-dependent mechanism.
AB - Gastric cancer is the third leading cause of cancer-associated mortality
worldwide and is one of the most common malignancies in China. However, the
molecular mechanisms underlying the tumorigenesis of gastric cancer remain
largely unclear. Long non-coding (Lnc)RNAs have been demonstrated to serve
significant roles in the tumorigenesis of various types of cancer. The present
study aimed to explore the role of the LncRNA mediator of DNA damage checkpoint
protein 1-antisense RNA (MDC1-AS), the antisense transcript of MDC1, in human
gastric cancer. The results revealed that the expression of MDC1-AS in human
gastric cancer was significantly suppressed in vivo and in vitro. In addition,
overexpression of MDC1-AS in the poorly differentiated gastric cancer cell line
MKN28 significantly inhibited cell proliferation and metastasis, while the
knockdown of MDC1-AS in well-differentiated MKN45 gastric cancer cells
significantly increased proliferation and metastasis. The knockdown of MDC1
relieved the inhibitory effect of MDC1-AS on MKN28 cell proliferation and
metastasis, while the overexpression of MDC1 attenuated the stimulatory effect of
MDC1-AS knockdown in MKN45 cells. Thus, the present study demonstrated that MDC1
AS had an inhibitory on gastric tumorigenesis through an MDC1-dependent
mechanism. This indicates that MDC1-AS is a potential novel therapeutic target
for the diagnosis and treatment of human gastric cancer in the clinic.
PMID- 29375683
TI - Toll-like receptor 2 downregulates the cholesterol efflux by activating the
nuclear factor-kappaB pathway in macrophages and may be a potential therapeutic
target for the prevention of atherosclerosis.
AB - Atherosclerosis is a chronic inflammatory disease, which is triggered by lipid
retention. Toll-like receptor 2 (TLR2) is a novel target for therapeutic
intervention in atherosclerosis. In addition, nuclear factor-kappaB (NF-kappaB)
serves important roles in stress response and inflammation. The present study
investigated whether TLR2 is involved in the activation of cholesterol efflux in
macrophages by regulating the NF-kappaB pathway. The human monocytic THP-1 cell
line and murine macrophage RAW264.7 cell line were treated with 50 ug/ml oxidized
low-density lipoprotein (ox-LDL) for 48 h in order to obtain macrophage foam
cells. The cholesterol efflux of the cell lines under exogenous TLR2 treatment
was assessed by liquid scintillation counting. Furthermore, the protein and mRNA
expression levels of ATP binding cassette transporter A1 (ABCA1), ABCG1 and
scavenger receptor B1 (SR-B1) were examined by western blot and quantitative
polymerase chain reaction assays, respectively. To detect the effect of NF-kappaB
on cholesterol efflux, the cells were divided into three groups, including the
control, 10 ng/ml lipopolysaccharides (LPS; 24 h) and 10 ng/ml LPS + 50 uM
pyrrolidinedithiocarbamate (PDTC; 24 h) groups. The results indicated that ox-LDL
induced foam cell formation in the THP-1 and RAW264.7 cells, while TLR2
significantly decreased the cholesterol efflux in dose- and time-dependent
manners. Accordingly, TLR2 reduced ABCA1, ABCG1 and SR-B1 expression at the
transcriptional and translational levels in a dose-dependent manner. In addition,
application of PDTC (an NF-kappaB specific inhibitor) markedly suppressed the LPS
induced downregulation of cholesterol efflux. These data revealed that TLR2 may
be involved in the activation of cholesterol efflux in macrophages by regulating
the NF-kappaB signaling pathway.
PMID- 29375684
TI - Herba Gelsemii elegantis is detoxified by ramulus et folium Mussaendae
pubescentis extract by modulating hepatic cytochrome P450 and glutathione S
transferase enzymes in rats.
AB - Herba Gelsemii elegantis (GE) has been frequently used as a Chinese folk medicine
but has high acute toxicity. In Traditional Chinese Medicine, it may be
detoxified by Ramulus et Folium Mussaendae pubescentis (MP), but the
detoxification mechanism has remained elusive. The present study aimed to
evaluate the detoxification mechanisms by which MP modulates the effect of GE in
rats, including the inhibition of hepatic cytochrome P (CYP)450 and glutathione S
transferase (GST) enzymes. Male Sprague Dawley rats were orally administered GE
at three doses (0.36, 0.43 or 0.54 g/kg) alone and, at the highest dose, in
combination with MP (21.6 g/kg) every day for 7 consecutive days. The control
group of animals received the same volume of saline. The mRNA and protein
expression of hepatic CYPs representative of two subfamilies (CYP2E1 and CYP1A2)
were separately assessed by reverse-transcription quantitative polymerase chain
reaction (RT-qPCR), western blot and immunohistochemistry assays. The mRNA and
protein expression as well as enzyme activity of hepatic GST were assessed by RT
qPCR, western blot and colorimetric assays, respectively. The results indicated
that GE significantly inhibited CYP2E1 mRNA and protein expression in a dose
dependent manner. Co-administration of MP increased CYP2E1 mRNA and protein
expression as compared with the high GE dose alone. Cells expressing CYP2E1,
located around the hepatic vascular plexus under a clear background, were
identified by immunohistochemical staining. The results for CYP1A2 were similar
to those for CYP2E1. At all concentrations used, GE significantly inhibited GST
mu 1 (GSTm1) mRNA and protein expression in a dose-dependent manner, as compared
with the control. Combination of GE and MP increased the mRNA and protein
expression of GSTm1 as compared with the high dose of GE. However, the
differences in GST-pi mRNA and protein expression between the GE and GE + MP
groups were not significant. Of note, rats co-treated with MP were significantly
protected from the decrease in GST activity produced by GE. The present study
indicated that co-administration of GE and MP upregulated the activities of
CYP450 and GST enzymes when compared with GE alone. This modulation may explain
for the effect of MP in reducing the acute toxicity of GE.
PMID- 29375685
TI - BNIP3/Bcl-2-mediated apoptosis induced by cyclic tensile stretch in human
cartilage endplate-derived stem cells.
AB - The present study aimed to investigate the molecular mechanisms of cyclic stretch
induced apoptosis in human intervertebral disc cartilage endplate-derived stem
cells (CESCs). CESCs were stretched by the Flexercell-4000TM Tension Plus system,
the effect on cell viability was measured by a Cell Counting Kit-8 assay, while
cell apoptosis was detected by flow cytometry. Western blot analysis was used to
evaluate the expression of B-cell lymphoma 2 (Bcl-2)/adenovirus E1B 19 kDa
interacting protein 3 (BNIP3), Bcl-2, Bcl-2 homologous antagonist killer (Bak),
Bcl-2-associated X protein (Bax), Bcl extra large (Bcl-xl) and the activity of
caspase-3, while Z-VAD-FMK was used to inhibit caspase-3. Compared with the
control group, the cell viability decreased in a time-dependent manner after
stretching. Furthermore, cell apoptosis and the activity of caspase-3 were
increased in a time-dependent manner. The ratio of pro-death factor BNIP3 to anti
apoptotic protein Bcl-2 was significantly increased. When cells were stretched
for 36 h, the apoptosis-associated proteins Bak and Bax were increased, while Bcl
xl was decreased. The viability and apoptotic ratio of stretched cells were
significantly restored after caspase-3 was repressed. In conclusion, cyclic
tensile stretch induced apoptosis of CESCs, which was probably due to
upregulation of the expression of BNIP3.
PMID- 29375686
TI - Correlation between RAGE gene promoter methylation and diabetic retinal
inflammation.
AB - The methylation status of the receptor for advanced glycation end products (RAGE)
gene promoter in peripheral blood mononuclear cells (PBMCs) of type 2 diabetic
retinopathy (DR) patients was evaluated to investigate the correlation between
RAGE gene promoter methylation and diabetic retinal inflammation. Eighty patients
admitted and diagnosed as type 2 DR in Qilu Hospital, Shandong University during
the period from October, 2013 to October, 2015 were enrolled in this study. They
were the observation group and 40 healthy subjects were enrolled in the control
group. PBMCs were collected from patients using density gradient centrifugation,
and the methylation status of RAGE gene promoters was detected using methylation
specific PCP (MSP). Interleukin-1beta (IL-1beta), IL-6 and tumor necrosis factor
alpha (TNF-alpha) levels of in the serum were measured using enzyme-linked
immunosorbent assay (ELISA). PBMCs in patients with positive RAGE gene promoter
methylation were isolated and cultured and RAGE gene promoter methylation was
inhibited using the demethylating agent, 5'-aza-2'-deoxycytidine (5-aza-dC). The
methylation status of RAGE gene promoters in PBMCs was detected via MSP. IL
1beta, IL-6 and TNF-alpha levels in the supernatant of PBMC culture solution were
evaluated using ELISA. MSP results showed that there were 26 cases (32.50%) of
RAGE gene promoter methylation in PBMCs in DR patients. RAGE gene promoters were
methylated in all normal healthy subjects. IL-1beta, IL-6 and TNF-alpha levels in
serum for positive RAGE gene promoter methylation group were significantly lower
than those in negative RAGE gene promoter methylation group (p<0.01). 5-aza-dC
inhibited the RAGE gene promoter methylation of PBMCs in patients with positive
RAGE gene promoter methylation. The inhibition of methylation in RAGE gene
promoter increased the levels of IL-1beta, IL-6 and TNF-alpha in supernatant of
culture solution. In conclusion, RAGE gene promoter hypomethylation was detected
in DR patients, indicating that RAGE gene promoter methylation could inhibit the
diabetic retinal inflammation.
PMID- 29375688
TI - The safety and efficacy of MPR-CTU combined with precise intraoperative
ultrasonography guided flexible ureteroscope in the treatment of renal cystic
disease.
AB - The safety and efficacy of multi-planar reconstruction (MPR) image post
processing technique-computed tomography (CT) urography (CTU) combined with
precise intraoperative ultrasonography guided flexible ureteroscope in renal cyst
incision and drainage in the treatment of cystic diseases of kidney were
evaluated. A total of 68 patients were randomly divided into control and
observation group (n=34). All the patients were treated with renal cyst incision
and drainage under flexible ureteroscope. The control group was under ultrasound
guidance. The observation group was combined with MPR-CTU, the safety and
efficacy was compared. There was no significant difference between the two groups
in the success rate and the time of cyst treatment (P>0.05). The incidence of
intraoperative and postoperative complications of the observation group was
significantly lower than that of the control group. After 1 month follow-up, the
total effective rate of the observation group was significantly higher than that
of the control group, the difference was statistically significant (P<0.05).
Conclusion MPR-CTU technique combined with intraoperative ultrasonography to
guide cyst incision and drainage under flexible ureteroscope for renal cystic
disease has a high safety and efficacy, and it is worthy of clinical application.
PMID- 29375687
TI - Morphological and molecular comparisons between tibialis anterior muscle and
levator veli palatini muscle: A preliminary study on their augmentation
potential.
AB - Tibialis anterior (TA) muscle and other somite-derived limb muscles remain the
prototype in skeletal muscle study. The majority of head muscles, however,
develop from branchial arches and maintain a number of heterogeneities in
comparison with their limb counterparts. Levator veli palatini (LVP) muscle is a
deep-located head muscle responsible for breathing, swallowing and speech, and is
central to cleft palate surgery, yet lacks morphological and molecular
investigation. In the present study, multiscale in vivo analyses were performed
to compare TA and LVP muscle in terms of their myofiber composition, in-situ stem
cell population and augmentation potential. TA muscle was identified to be
primarily composed of type 2B myofibers while LVP muscle primarily consisted of
type 2A and 2X myofibers. In addition, LVP muscle maintained a higher percentage
of centrally-nucleated myofibers and a greater population of satellite cells.
Notably, TA and LVP muscle responded to exogenous Wnt7a stimulus in different
ways. Three weeks after Wnt7a administration, TA muscle exhibited an increase in
myofiber number and a decrease in myofiber size, while LVP muscle demonstrated no
significant changes in myofiber number or myofiber size. These results suggested
that LVP muscle exhibits obvious differences in comparison with TA muscle.
Therefore, knowledge acquired from TA muscle studies requires further testing
before being applied to LVP muscle.
PMID- 29375689
TI - Treatment of glaucomatous optic nerve damage using ginsenoside Rg1 mediated by
ultrasound targeted microbubble destruction.
AB - The treatment of glaucomatous optic nervedamage using ginsenoside Rg1 mediated by
ultrasound targeted microbubbles destruction was evaluated. Thirty healthy New
Zealand white rabbits were subjected to injection of 0.3% carbomer solution to
establish glaucomatous optic nerve damage model. Rabbits were divided into 5
groups: control group, model group, model group + intravitreal injection of nerve
growth factor (NGF) group, model group + intravitreal injection of ginsenoside
Rg1 group (Rg1 group), model group + intravitreal injection of ginsenoside Rg1 +
ultrasound microbubble group (ultrasound group), model group + ultrasound
targeted microbubble destruction (ultrasound group). Intraocular pressures were
compared at 1, 2 and 4 weeks after model establishment. Rabbits were sacrificed 4
weeks after model establishment to collect retinal tissue for H&E staining.
Histological changes were observed and the retinal thickness was measured.
Contents of malondialdehyde (MDA), superoxide dismutase (SOD) and nitric oxide
(NO) were measured by ELISA. Intraocular pressure was significantly higher in
model group than in control group at 1 week (P<0.05). Intraocular pressure was
significantly lower in the ultrasound group than in NGF group and Rg1 group at
all time-points (P<0.05). The number of ganglion cells in model group was
decreased significantly. Number of nuclear layer cells was significantly reduced.
Thickest retina was found in control group and model group was the thinnest
(P<0.05). Contents of MDA and NO in model group were significantly higher than
those in NCF group and Rg1 group. SOD content in control group was higher than
that in ultrasound group and model group (P<0.05). In conclusion, treatment of
glaucomatous optic nerve damage using ginsenoside Rg1 mediated by ultrasound
targeted microbubble destruction can reduce the level of oxidative stress,
relieve intraocular pressure and reduce ganglion cell damage.
PMID- 29375690
TI - Effect of SLC34A2 gene mutation on extracellular phosphorus transport in PAM
alveolar epithelial cells.
AB - A mutation in the IIb sodium phosphate transporter SLC34A2 gene has recently been
described in pulmonary alveolar microlithiasis (PAM) patients. Experiments in
this study were aimed at confirming the role of the gene product in PAM by
comparing phosphorylated products in extracellular fluid of alveolar epithelial
cells overexpressing the SLC34A2 gene or its mutated version. Eukaryotic
expression vectors were constructed and transfected into A549 human alveolar
epithelial cells. There were three groups of cells including those transfected
with empty vector plasmid pcDNA3.1(+) (plasmid control group), those transfected
with normal SLC34A2 gene expressed from pcDNA3.1 (normal control group), and
those transfected with a version of the PAM SLC34A2 gene linked to the
pcDNA3.1(+) (PAM group). Transfection efficiencies were detected by reverse
transcription-polymerase chain reaction (RT-PCR). At 48 h after transfection, the
concentration of inorganic phosphorus in the culture medium was detected using an
automatic biochemical analyzer. Our results showed the concentration of inorganic
phosphorus in the supernatant of the normal control group was significantly lower
than that in the plasmid control and PAM groups (P<0.01), and the concentration
in the PAM group was significantly lower than that in the plasmid control group
(P<0.01). Based on our findings it is possible that the SLC34A2 gene mutation is
the cause of the pathogenic changes observed in PAM patients, given that the
function of the phosphate transporter seems to be affected and it is conceivable
that it would lead to extracellular fluid alterations in vivo.
PMID- 29375692
TI - Management of upper urinary tract calculi in crossed fused renal ectopic anomaly.
AB - The aim of the present study was to summarize the management of upper urinary
tract calculi in crossed fused renal ectopia (CFRE). Two patients were
retrospectively studied in Xiangya Hospital (Changsha, China) and all relevant
literature published in English between 1996 and 2016 was reviewed. All patients,
including those reported in the literature, were characterized by age, sex,
manifestation, therapy history, ectopic side, stone location, surgery and
outcome. The patients had a mean age of 42.3+/-18.5 years, a male: Female ratio
of 5:4 and the ratio of renal ectopic side was 9:8 (left:right). All patients
suffered from different degrees of pain on the affected side, with or without
hematuria. Up to 89% of patients presented with renal stones. These patients
received treatments including conservative management in 2, extracorporeal shock
wave lithotripsy (ESWL) in 2, percutaneous nephrolithotomy (PCNL) in 11,
laparoscope nephrolithotomy in 1 and retrograde intrarenal surgery (RIRS) in 3.
Complete stone clearance was achieved in 14 patients (73.7%). In addition, 3
patients had a history of failed ESWL. No obvious intraoperative or postoperative
complications occurred. The results suggested that, for the treatment of CFRE
with upper urinary tract calculi, conservative treatment and ESWL are
insufficient. PCNL is a safe and effective treatment for renal calculus, and
laparoscopic nephrolithotomy is an alternative choice for treating large or
staghorn renal stones. RIRS may become the first line of treatment for renal
stones (<=3.5 cm) due to its multiple merits, including higher stone-free rates,
minimal invasion and fewer complications.
PMID- 29375693
TI - Simvastatin improves intracerebral hemorrhage through NF-kappaB-mediated
apoptosis via the MyD88/TRIF signaling pathway.
AB - The aim was to investigate the neuroprotective effects and potential mechanism
mediated by simvastatin in a mouse model of intracerebral hemorrhage. CD-1 mice
were subjected to infusion of collagenase type IV into the left striatum in order
to induce intracerebral hemorrhage. Western blot analysis, the TUNEL assay and
the modified neurological severity score were used in the present study to
analyze the efficacy of simvastatin for intracerebral hemorrhage. The results
demonstrated that simvastatin treatment improved the cerebral water content and
blood-brain barrier disruption in the intracerebral hemorrhage animals.
Intracerebral hemorrhage-induced neuronal cell death was downregulated by
simvastatin treatment compared with the vehicle-treated model group. In addition,
the expression levels of aquaporin-4, matrix metallopeptidase 9 and caspase-3
were downregulated and B-cell lymphoma-2 was upregulated by simvastatin treatment
compared with the vehicle-treated model. Simvastatin treatment also significantly
reduced the Evans blue leakage into the injured hemispheres and improved motor
function. Mechanism analysis further indicated that simvastatin treatment
downregulated nuclear factor (NF)-kappaB expression, and upregulated the myeloid
differentiation primary response 88 (MyD88) and TIR domain-containing adaptor
protein inducing interferon-beta (TRIF) expression levels in neuronal cells in
experimental mice. Furthermore, the results revealed that NF-kappaB
overexpression abolished the simvastatin-downregulated MyD88 and TRIF expression
levels, as well as the apoptosis of neuronal cells. In conclusion, these results
indicated that simvastatin was able to attenuate brain edema and reduce cellular
apoptosis by suppressing the NF-kappaB-mediated MyD88/TRIF signaling pathway
subsequent to the induction of intracerebral hemorrhage in mice.
PMID- 29375691
TI - Synergistic neuroprotective effects of Geniposide and ursodeoxycholic acid in
hypoxia-reoxygenation injury in SH-SY5Y cells.
AB - Endoplasmic reticulum stress (ERS) and autophagy activation play important roles
in the process of cerebral ischemia/reperfusion (I/R) injury. The synergistic
protective effects of Geniposide and ursodeoxycholic acid against cellular
apoptosis caused by oxygen-glucose deprivation-reoxygenation (OGD/R) were
investigated using a Cell Counting Kit-8 assay, lactate dehydrogenase (LDH)
assay, flow cytometry, quantitative polymerase chain reaction (qPCR), and western
blotting to examine cellular viability, apoptosis, reactive oxygen species (ROS)
levels, mRNA and protein levels, respectively, in relation to ERS and autophagy.
We found that pretreatment with Geniposide improved cellular viability. Moreover,
treatment with a combination of Geniposide and Tauroursodeoxycholic acid (TUDCA)
(GT) protected injured cells better than Geniposide alone. Further studies showed
that the increase in cellular ROS levels, and the overexpression of mRNA and
proteins related to OGD/R-induced ERS and autophagy, were both counteracted by
GT. Our study indicates that the protective effects of GT on OGD/R-induced
apoptosis in SH-SY5Y cells are associated with the inhibition of ERS and
autophagy.
PMID- 29375694
TI - Histological study of postnatal development of mouse tongues.
AB - Numerous factors, including trauma, tumors and myophagism, may lead to tongue
defects, which are mostly repaired via muscular flaps. However, these methods
cannot restore the muscular function and gustation function of the tongue.
Intensive research on tongue development may offer useful clues for tongue
regeneration based on tissue engineering or stem cell therapy. In the present
study, staining results revealed that tongue muscle fibers became larger, mature
and stronger, and the foliate and fungiform papillae also became mature from
newborn to adult C57BL/6J genetic background mice. Immunofluorescence staining
and polymerase chain reaction results revealed that C-kit was dynamically
expressed in muscle cells, as well as in foliate and fungiform papilla cells from
newborn to adult stages. The expression level decreased from P1 to P15 and
increased at P90. The immunofluorescence staining results revealed that Ki-67 was
expressed in muscle cells and papilla cells from newborn to adult stages, and
high expression was observed at P6 and P15. In addition, the immunofluorescence
staining results also demonstrated that msh homeobox 2 (Msx2) was dynamically
expressed in postnatal tongue muscle cells; however, almost no expression was
detected in papilla cells. There was relative high expression level of Msx2 at P1
and P6 stages, but this gradually decreased from P15, and it was expressed
primarily in the muscle cells located in the marginal zone of the tongue at P90.
These findings suggest that the amount of c-kit-expressing precursor cells in
tongue muscle and papilla cells increases to promote tongue development at the
early postnatal stage and to maintain homeostasis and functional adaptation of
the tongue in the adult stage. Furthermore, Msx2 may serve an important role in
postnatal tongue muscle development. The present study also suggests that C-kit
and Msx2 may be used as cell markers for postnatal tongue regeneration and self
repair, and may provide an approach for developing treatment methods for tongue
diseases with a postnatal onset.
PMID- 29375695
TI - Influence of cartilage interstitial fluid on gene expression in cruciate ligament
fibroblasts.
AB - Loading of articular cartilage during motion squeezes the fluid from the
cartilage, termed cartilage interstitial fluid (CIF), which was found to
influence gene expression in synovial membrane cells. After crucial ligaments
damage, these cells are exposed to synovial fluid containing factors released
from articular cartilage; the aim of the present study was to establish the
influence of CIF and factors present in CIF (CIF-like cocktails) on crucial
ligament fibroblasts. CIF was squeezed from articular-epiphyseal cartilage
complexes of newborn rats. Fibroblasts were obtained from crucial ligaments of
adult rat knee joints. Cells were cultured in control medium, CIF and CIF-like
cocktails, and the expression of selected genes was evaluated using quantitative
PCR. CIF stimulated the expression of HAS1, HAS2, aggrecan, lubricin, MMP3, TIMP3
and TGFbeta1. Expression of collagen type I, versican, MMP2, TIMP2, TNF and
IL1beta was inhibited. The CIF-like cocktail stimulated HAS1, HAS2, collagen type
I, versican, aggrecan, lubricin, TIMP1, TGFbeta1, IL1beta, IL6 and inhibited of
MMP3 and TNF expression. Both agents exerted similar effects on the expression of
HAS2, aggrecan, lubricin, TGFbeta1 and TNF. CIF contains inhibitory and
stimulatory factors affecting gene expression in crucial ligament fibroblasts and
some of them were not included in the CIF-like cocktail. Due to the powerful
influence of CIF on crucial ligament fibroblasts and the synovial membrane,
further studies on its composition are needed. An improved CIF like-cocktail
could be applied in the treatment of various joint or tendon ailments.
PMID- 29375696
TI - Epidemiological study of high-risk human papillomavirus infection in subjects
with abnormal cytological findings in cervical cancer screening.
AB - The present study aimed to determine the genotype and age distribution of high
risk human papillomavirus (HR-HPV) and evaluate HPV-DNA in subjects with abnormal
cervical cytology results by using crowd-based cervical cancer screening cytology
data. The Thinprep liquid-based cytologic test (TCT) was performed from January
2013 to January 2014 in the permanent residents of Liaocheng (China) aged 21-65
years who were married or had sexual intercourse. The number of screened women
totaled 20,017, among whom 937 had abnormal results, 785 of which were recalled.
For subjects in the age range of 21-65 years, an HR-HPV typing test using the
fluorescence hybridization method. Among the 785 cases with abnormal TCT
findings, repeated testing identified atypical squamous cells of unknown
significance/atypical glandular cells in 478, low-grade squamous intraepithelial
lesions in 175, high squamous intraepithelial lesions in 127 and squamous cell
carcinoma/adenocarcinoma in 5 cases. Among these types, infection rates of HR-HPV
were 50.2, 77.1, 89.0 and 100%, respectively. Of the 785 cases with abnormal TCT
results, 493 (62.8%) were HR-HPV-positive. A total of 16 types of HR-HPV were
detected: HPV-16, -18, -31, -33, -35, -39, -45, -51-53, -56, -58, -59, -66, 68
and 73. Subjects infected with >=2 types were defined as having a multi-type
infection. The infection rate was high in the age groups of 26-30 and 51-55
years, accounting for 87.7% (71/81) and 79.7% (51/64), respectively, while it was
lower in the >55 years group at 28.6% (14/54). The top five types of HR-HPV
(stated in a decreasing order regarding positivity rate) were HPV16 (21.5%,
169/785), HPV52 (12.2%, 96/785), HPV58 (9.8%, 77/785), HPV33 (9.7%, 76/785) and
HPV18 (7.5%, 59/785). Single-type infection was encountered in 45.0% (353/785)
and multi-type infection in 17.8% (140/785), among which 98 cases had a two-type
infection, 37 had a three-type infection, 2 had a four-type infection, 2 had a
five-type infection and 1 case had a six-type infection. In the present study,
differences in multi-type HR-HPV infection between groups with different TCT
results were statistically significant. In conclusion, compared with CTC
screening on its own, complementary HR-HPV testing is an effective method for
screening for cervical cancer. The infection rate of HPV16, -52, -58, -33 and -18
was high among patients with cervical cytological abnormalities. Multi-type
infection adds to the risk of malignancies. In Liaocheng, high-risk groups were
aged 26-30 and 51-55. Attention should be paid during the screening and follow-up
visits of these groups.
PMID- 29375698
TI - An oral formulation of cilostazol nanoparticles enhances intestinal drug
absorption in rats.
AB - Cilostazol (CLZ) is an anti-platelet agent that is generally used after the onset
of cerebral infarction. However, CLZ is a poorly water-soluble drug and a
strategy for increasing its bioavailability is required. In the present study,
novel oral formulations were designed containing CLZ solid nanoparticles to
improve bioavailability. The present study investigated the therapeutic effect of
the oral formulations containing CLZ nanoparticles on ischemic stroke using a
cerebral ischemia/reperfusion-induced injury model (MCAO/reperfusion mice). The
oral formulation containing CLZ nanoparticles (CLZ/Rnano tablet) was prepared
using a combination of recrystallization and ball milling with the following
ingredients: CLZ, docusate sodium, methylcellulose, 2-hydoxypropyl-beta
cyclodextrin, gum arabic, polyvinylpyrrolidone, and mannitol. The particle size
after re-dispersion of the CLZ/Rnano tablet was 64+/-47 nm (mean +/- standard
deviation). The CLZ areas under the concentration-time curve (AUC) and mean
residence time (MRT) in rats that were administered CLZ/Rnano tablets were
significantly greater compared with those in rats that were administered
CLZ/Rmicro tablets. Results indicated, the AUC after administration of CLZ/Rnano
tablets was 3.1-fold higher compared with that after administration of the
commercially available CLZ OD tablet. In addition, oral administration with
CLZ/Rnano tablets ameliorated neurological deficits caused by ischemic stroke in
MCAO/reperfusion mice. It is possible that the oral formulation containing CLZ
nanoparticles will be useful for the treatment of patients with ischemic stroke
and that these findings will provide significant information that can be used to
improve the drug with low bioavailability.
PMID- 29375697
TI - Primary chylopericardium: A case report and literature review.
AB - Primary chylopericardium (CP) is a rare clinical condition in which chylous fluid
containing high concentrations of triglyceride accumulates in the pericardial
cavity. The present study reports a case of CP that was successfully treated by
reconstruction surgery of thoracic duct. To improve the ability to diagnosis and
treat this rare disease, the current study also systematically extracted 104
reported cases of primary or idiopathic CP from the past 60 years (January 1950
to December of 2015), and reviewed the clinical manifestation, etiology,
diagnosis and treatment of these cases. The age at diagnosis varied between 6
weeks and 79 years with a mean age of 27.95+/-16.50 years. Asymptomatic patients
accounted for 39.42% of cases, while the most common initial symptoms were
dyspnea (44.23%) and coughing (10.58%). Jugular venous distention and distant
heart sound was identified in 23 (22.12%) and 34 cases (32.69%), respectively.
Cardiomegaly in X-ray scans was detected in the majority of patients (93.27%). In
addition, lymphoscintigraphy and lymphangiography were helpful in identifying the
source of chyle. Regarding the etiology, idiopathic cases accounted for 35.56% of
the included cases in the present study. The most important cause of primary CP
was abnormal connection or accumulation of lymph fluid in the pericardium
(37.50%). Conservative therapy included low-fat or medium-chain triglyceride
diet, as well as total parenteral nutrition. The majority of patients (71.2%)
required surgery for definitive therapy, and thoracic duct ligation was the most
preferred surgical procedure, performed in 44.23% of cases. Follow-up was
reported in 64 cases, and all patients survived during the mean follow-up period
of 12 months. Therefore, it is suggested that surgical management is the most
successful treatment method and is associated with a favorable prognosis.
PMID- 29375699
TI - microRNA-503 suppresses the migration, proliferation and colony formation of
prostate cancer cells by targeting tumor protein D52 like 2.
AB - The present study investigated the expression of microRNA-503 (miR-503) and its
effect and mechanism of action on prostate cancer. Tumor tissues and tumor
adjacent tissues were collected from 20 patients with prostate cancer. TargetScan
was used to predict the miRNA molecule that interacts with tumor protein D52 like
2 (TPD52L2). DU145 cells were transfected with a negative control, miR-503 mimic
or miR-503 inhibitor. DU145 cells that had not undergone transfection were used
as a control. Levels of miR-503 and TPD52L2 mRNA were determined using reverse
transcription-quantitative polymerase chain reaction and the expression of
TPD52L2 protein was measured using western blot analysis. The migration ability
of DU145 cells was evaluated using a Transwell assay and cell proliferation was
examined using an MTT assay. A flat plate colony formation test was conducted to
examine the colony formation rate of DU145 cells. The current study demonstrated
that TPD52L2 expression is increased while miR-503 expression is decreased in
prostate cancer tissues. Overexpression of miR-503 inhibited the transcription
and translation of TPD52L2 in DU145 cells and reduced cell migration,
proliferation and colony formation. By contrast, inhibition of miR-503 expression
increased the expression of TPD52L2 in DU145 cells and increased cell migration,
proliferation and colony formation. The present study demonstrated that miR-503
is an oncogene that regulates the migration, proliferation and colony formation
of prostate cancer cells by targeting the TPD52L2 gene. Thus, miR-503 has the
potential to become a target for the molecular treatment and prognosis of
prostate cancer in the future.
PMID- 29375700
TI - Expression of miR-625 and Fas in cervical vertebral cartilage endplate.
AB - The aim of the present study was to assess miR-625 and Fas expression in normal
and degenerative cervical cartilage endplate (CEP) tissues. Following biof
informatics analysis, the Fas gene was predicted to be one of the targets of miR
625. Quantitative PCR (qPCR) and western blotting were used to detect miR-625 and
Fas expression in normal and degenerative CEP. A luciferase reporter assay was
used to identify whether miR-625 could directly target the 3' untranslated region
(3'-UTR) of Fas. Lentiviral overexpression and/or inhibition vectors of miR-625
(pre-miR-625)/antigomiR-625 were constructed to determine whether overexpression
or inhibition of miR-625 could affect Fas and B-cell lymphoma 2 (Bcl-2)
expression in cartilaginous endplate cells (CECs) and tissues. qPCR analysis
demonstrated that miR-625 expression in degenerative CEP was significantly lower
than in normal CEP tissue, while the production of Fas in degenerated CEP was
significantly higher. Results from western blotting also showed a significant
increase in Fas expression in degenerative CEP. miR-625 can bind directly to the
3'-UTR of the Fas gene. However, this inhibition was attenuated by a target
mutation in the miR-625-binding site of the 3'-UTR of Fas mRNA. In addition,
following transfection of CECs with pre-miR-625 and antigomiR-625, expression of
Fas significantly decreased and increased, respectively, and Bcl-2 expression was
upregulated and downregulated, respectively. Upregulation of miR-625 can inhibit
Fas expression and further affect Bcl-2 expression in CEP degeneration,
suggesting that miR-625-mediated inhibition of the Fas gene is important in
cervical degeneration.
PMID- 29375701
TI - Monitoring of peripheral blood cluster of differentiation 4+ adenosine
triphosphate activity and CYP3A5 genotype to determine the pharmacokinetics,
clinical effects and complications of tacrolimus in patients with autoimmune
diseases.
AB - A total of 25 patients with autoimmune diseases receiving tacrolimus were
screened using a peripheral blood cluster of differentiation 4+ adenosine
triphosphate (ATP) activity assay (IMK assay) between October 2013 and July 2014.
The autoimmune diseases of patients were as follows: Rheumatoid arthritis (n=15),
lupus nephritis (n=6), ulcerative colitis (n=2) and myasthenia gravis (n=2).
Patients were divided into two groups based on CYP3A5 genotype [expression of *1
allele: Expressor (EX; n=6) and non-expressor (NEX; n=19)]. The tacrolimus
concentration and concentration/dose ratio was significantly lower in the EX
group compared with the NEX group (P=0.0108 and 0.0056, respectively). In
addition, all enrolled patients that presented with adverse effects belonged to
the NEX group. No significant associations were observed between IMK ATP levels
and the concentration or dose of tacrolimus (P=0.1092 and 0.6999, respectively).
However, the IMK ATP high-level group exhibited a significantly higher occurrence
rate of insufficient effect when compared with the normal and low-level groups
(P=0.0014). In conclusion, the clearance of tacrolimus in patients with
autoimmune diseases was affected by the CYP3A5 genotype, as previously reported
in organ transplant patients. The IMK ATP level may indicate the clinical
response irrespective of tacrolimus concentration.
PMID- 29375702
TI - Clinical efficacy of recombinant human latrophilin 3 antibody in the treatment of
pediatric asthma.
AB - Pediatric asthma is a chronic pulmonary inflammatory disease featuring
hypersecretion of mucus and inflammation in the airway, resulting in dysfunction
of the airway smooth muscle. Previous evidence demonstrated that latrophilins, a
novel family of receptors, present a beneficial effect on airway smooth muscle
cells. In the present study, the therapeutic effects of recombinant human
latrophilin 3 (rhLPHN3) antibody (Ab) in patients with pediatric asthma were
investigated, and the molecular mechanism underlying the function of LPHN3 in the
treatment of asthma in clinical practice was examined. A total of 342 pediatric
asthma cases were recruited and randomly divided into three groups, receiving
treatment with rhLPHN3 Ab (n=134), salbutamol (n=108) or montelukast (n=100) by
nasal aerosolization. Each group received the respective clinically tested dose
for 16 weeks. Inflammatory factors interleukin (IL)-10, IL-17, IL-4, matrix
metallopeptidase-9 (MMP-9), interferon-gamma (IFN-gamma) and transforming growth
factor-beta (TGF-beta) levels in peripheral blood mononuclear cells were analyzed
prior to and post treatment. The clinical outcomes revealed that pathological
alterations were significantly improved following treatment with rhLPHN3 Ab for
patients with pediatric asthma when compared with those receiving salbutamol and
montelukast. It was also observed that rhLPHN3 Ab downregulated the plasma
concentration levels of IL-10, IL-17, IL-4 and MMP-9, and upregulated IFN-gamma
and TGF-beta levels in the three groups. In addition, clinical data demonstrated
that rhLPHN3 Ab significantly promoted E-selectin and mucin 5AC expression, as
well as improved the activation of nuclear factor (NF)-kappaB p65 DNA binding
activity and the phosphorylation levels of protein kinase A. Furthermore, rhLPHN3
Ab markedly improved adhesion and proliferation of airway smooth muscle cells,
which led to promotion of the contraction of these cells. In conclusion, these
clinical data suggest that rhLPHN3 Ab serves an important role in the inhibition
of inflammatory mediators through downregulation of NF-kappaB signaling pathway,
which contributes to airway remodeling and bronchodilation in patients with
pediatric asthma.
PMID- 29375703
TI - miR-30 decreases multidrug resistance in human gastric cancer cells by modulating
cell autophagy.
AB - Chemotherapy is an important treatment modality for gastric cancer, and multidrug
resistance (MDR) represents a major obstacle for successful cancer chemotherapy.
There is a lack of research on whether microRNA (miR)-30a regulation affects the
chemosensitivity of resistant gastric cancer cells, and mechanisms underlying the
effects of miR-30a on drug resistance and cell autophagy require further
investigation. In the present study, the expression of miR-30a and its effects in
cisplatin (CDDP)-resistant human gastric cancer cells were investigated. A CDDP
resistant variant of the SGC-7901 cell line (SGC-7901/CDDP) was established by
exposing the cells to gradually increasing drug concentrations, and miR-30a
expression was detected by reverse transcription-semi quantitative polymerase
chain reaction (RT-sqPCR). To examine the effect of miR-30a expression in the SGC
7901/CDDP cells, miR30a mimics or negative control miRNA were transfected into
the cells, and a Cell Counting Kit-8 assay was performed to analyze the
chemosensitivity of the different cell groups. RT-sqPCR and western blot analysis
were also used to measure MDR1 mRNA and P-glycoprotein expression, and the light
chain (LC)3-II/LC3-I ratio. Furthermore, apoptosis induced by the
chemotherapeutic CDDP in the different groups was assessed using flow cytometry.
The results demonstrated that low expression of miR-30a was associated with
chemoresistance in gastric cancer cells, and in the chemoresistant cell line
SGC7901/CDDP, CDDP-induced apoptosis was weakened. Additionally, it was
demonstrated that the LC3-II/LC3-I ratio was elevated in SGC7901/CDDP cells
compared with chemosensitive SGC7901 cells (P<0.001), which could be attenuated
by upregulating miR-30a expression (P<0.001 vs. SGC7901/CDDP control cells).
These results suggested that autophagy may contribute to drug resistance in
gastric cancer cells, and that the reduction of LC3-II in response to miR-30a
overexpression may inhibit chemoresistance-associated autophagy in gastric cancer
cells.
PMID- 29375704
TI - Diagnosis and treatment of a carotid body tumor: A case report of a rare
bilateral tumor.
AB - In the present case report, a rare bilateral carotid body tumor (CBT) and the
imaging and pathological features of a CBT are described. In the present report,
a rare case of bilateral carotid body tumor, which developed in the bifurcation
of the common carotid artery, and the clinical manifestations, imaging and
pathological features of this CBT are summarized. The imaging cannot validate the
diagnosis; however, imaging identified that the tumor exhibited an intact
envelope. Immunohistochemical staining revealed that the tumor cells were
strongly positive for cluster of differentiation 56, Syn and protein S-100,
moderately positive for transcription factor E3, negative for cytokeratin and
epithelial membrane antigen, and partial cells were weakly positive for Desmir
(<5%). In view of the clinical and pathological features of the carotid body
tumor, surgery is hypothesized to be the optimal treatment and may enable the
tumor to be resected completely. Refined surgical techniques provide the security
of safe resection and decrease the risk of complications occurring.
PMID- 29375705
TI - Novel function of E26 transformation-specific domain-containing protein ELK3 in
lymphatic endothelial cells.
AB - Lymphatic endothelial cells (LEC) are major components of the tumor
microenvironment and, due to the relative leakiness of lymphatic vessels compared
with blood vessels, are essential for tumor dissemination and metastasis. In the
present study, small interfering RNA-mediated suppression of E26 transformation
specific domain-containing protein Elk-3 (ELK3) inhibited the proliferation,
migration and tube-forming ability of LEC. Suppression of ELK3 decreased vascular
endothelial-cadherin expression levels and increased the phosphorylation of beta
catenin. Furthermore, vascular endothelial growth factor receptor-3 (VEGFR-3)
mRNA and protein expression levels were suppressed by the transfection of LEC
with siELK3. As VEGFR-3 serves a major role in lymphangiogenesis, ELK3 may be a
novel therapeutic target to inhibit tumor dissemination through the lymphatic
system.
PMID- 29375706
TI - Gamma Knife Perfexion(r) radiosurgery and endo diode laser thermotherapy for
choroidal melanoma with technical analysis: A case report.
AB - Radiosurgery serves an important function in the treatment of patients with
intraocular tumors and preserves visual function via organ conservation.
Therefore, it is important to ensure the safety and precision of GK-SRS as a
primary treatment for intraocular tumors. The present case study described a 57
year-old female with uveal melanoma treated with GK-SRS. Retrobulbar anesthesia
following fixation of the treated eye, via the suture of two of the extraocular
muscles to the stereotactic frame, was performed to immobilize the eye during
treatment. Computed tomography (CT) scans were performed following eye fixation,
immediately prior to and following GK-SRS, to validate the accuracy of the tumor
localization. The eye movement analysis revealed that the gravity center point
deviations of the tumor and lens during treatment were <0.110 mm. At least 95% of
the tumor volume was covered by the prescription dose according to three sets of
CT images. The patient underwent a trans pars plana vitrectomy owing to a right
eye vitreous hemorrhage. A 37-month follow-up assessment revealed tumor
shrinkage, and the disappearance of the serous retinal detachments was noted on
the basis of ophthalmoscopy and orbital magnetic resonance imaging. No major
complications developed during the follow-up period. Using our treatment
protocol, GK-SRS is a non-invasive procedure which is used as a brief single
fraction treatment for intraocular tumor. The eye fixation method used in the
present study has high accuracy.
PMID- 29375707
TI - Effect of Ku80 on the radiosensitization of cisplatin in the cervical carcinoma
cell line HeLa.
AB - Cisplatin chemotherapy in combination with radiotherapy is the primary
therapeutic strategy for the treatment of cervical cancer; however, the
underlying molecular mechanism for cisplatin radiosensitization remains unknown.
The aim of the present study was to investigate the effect of Ku80, a DNA double
strand break (DSB) repair protein, on cisplatin radiosensitization in cervical
cancer. The pre-established Ku80 suppression cervical cancer cell line HeLa/Ku80
siRNA and the normal HeLa cell line underwent 6 MV X-ray irradiation (6 Gy)
individually or in combination with 5 ug/ml cisplatin treatment. Alterations in
apoptosis, the cell cycle and gammaH2AX expression were detected. Following
irradiation individually and combined with cisplatin, compared with normal HeLa
cells, HeLa/Ku80-siRNAexhibited an increased rate of apoptosis (P<0.05). It was
identified that the earlier cisplatin was administered following irradiation, the
higher the rate of apoptosis. Cell cycle analysis indicated that, following
irradiation combined with cisplatin, the cells were arrested in G1 and S phase
rather than in G2/M phase following irradiation alone. Microscopic imaging of
immunofluorescence staining and western blotting identified that HeLa/Ku80-siRNA
cells exhibited more gammaH2AX foci remaining following treatment with
irradiation and cisplatin, particularly in the group treated with 6 Gy
irradiation for 1 h together with 23 h of exposure to cisplatin. Irradiation in
combination with cisplatin promoted the apoptosis of HeLa cells in association
with the inhibition of Ku80, and it was identified that the earlier cisplatin was
administered following irradiation, the more apoptosis was induced. This maybe
because irradiation combined with cisplatin is able to arrest cells in G1 and S
phase to rapidly repair damaged DNA, and the lack of Ku80 induces the inability
to repair DSB, resulting in increased apoptosis. The results of the present study
suggest that Ku80 may be a potent molecular target in cisplatin
radiosensitization.
PMID- 29375708
TI - TP53-induced glycolysis and apoptosis regulator is indispensable for mitochondria
quality control and degradation following damage.
AB - Mitochondria have been described as 'the powerhouse of the cell' as the organelle
generates the majority of adenosine triphosphate (ATP) in cells to support life.
Mitochondria can be damaged due to stress, for example by reactive oxygen species
(ROS). TP53-induced glycolysis and apoptosis regulator (TIGAR) serves a role in
suppressing ROS damage and may protect mitochondria integrity. In the present
study, the localization of TIGAR on mitochondria in 5-8F cells was demonstrated.
Furthermore, it was indicated that the knockdown of TIGAR using lentivirus-short
hairpin RNA induces the loss of mitochondrial membrane potential and cytochrome c
leakage. However, these damaged mitochondria were not degraded in cells, but
exhibited an abnormal appearance as indicated by mitochondrial swelling, crista
collapse and vacuolization, with physiological dysfunction marked by reduced ATP
production. Therefore, TIGAR maybe an indispensable protein for mitochondrial
protection and degradation following cellular damage.
PMID- 29375709
TI - Puerarin inhibits bladder cancer cell proliferation through the mTOR/p70S6K
signaling pathway.
AB - Puerarin, as a novel oncotherapeutic agent, may exert anticancer effects and
inhibit the proliferation of cancer cells. To explore the effects of puerarin on
human bladder cancer cells, and to elucidate the potential mechanism underlying
these effects, a Cell Counting Kit-8 assay was used to examine the proliferation
of T24 and EJ cells following puerarin treatment. The effects of puerarin
treatment on the cell cycle were detected by flow cytometry (FCM), while puerarin
induced cell apoptosis was detected by terminal deoxynucleotidyl transferase dUTP
nick end labeling and FCM, and the cellular ultrastructural morphological changes
were observed by transmission electron microscopy. Cell invasion was examined
using a Transwell assay with Matrigel. The expression levels of mechanistic
target of rapamycin (mTOR), phosphorylated (p)-mTOR, p70-S6 kinase (p70S6K) and p
p70S6K proteins in the mTOR signaling pathway were then assessed by western
blotting. The results demonstrated that puerarin may inhibit bladder cancer cell
viability, block the cell cycle in the G0/G1 phase and induce apoptosis in
bladder cancer cells. The expression levels of p-mTOR and p-p70S6K proteins were
downregulated, while no change was observed in the expression levels of mTOR and
p70S6K proteins when T-24 and EJ cells were treated by puerarin. In the present
study, puerarin was demonstrated to inhibit the viability of human bladder cancer
cells. These effects may be due to the puerarin-induced downregulation of
proteins in the mTOR/p70S6K signaling pathway, and the present study may provide
the experimental basis for puerarin to be considered as a promising novel anti
tumor drug for the treatment of bladder cancer.
PMID- 29375710
TI - S100A11 promotes human pancreatic cancer PANC-1 cell proliferation and is
involved in the PI3K/AKT signaling pathway.
AB - S100A11, a member of S100 calcium-binding protein family, is associated with the
numerous processes of tumorigenesis and metastasis. In the present study, the
role of S100A11, and its possible underlying mechanisms in cell proliferation,
apoptosis and cell cycle distribution in human pancreatic cancer were explored.
Immunohistochemical analyses of S100A11 and phosphorylated (p)-AKT
serine/threonine kinase (AKT) were performed in 30 resected specimens from
patients with pancreatic cancer. PANC-1 cells were transfected with pcDNA3.1
S100A11 or treated with 50 umol/l LY294002 for 48 h. Cell proliferation was
determined using a cell counting kit-8 assay, whereas apoptosis and cell cycle
distribution were determined by flow cytometry analysis. The mRNA and protein
levels of S100A11, and AKT were determined using semi quantitative reverse
transcription-polymerase chain reaction and western blot analyses, respectively.
Pearson correlation analysis revealed that the expression levels of S100A11 and p
AKT were positively correlated (r, 0.802; P<0.05). Compared with the control
group, S100A11 overexpression significantly promoted PANC-1 cell proliferation
and reduced the percentage of early apoptotic cells. Flow cytometric analysis
indicated that the proportion of PANC-1 cells in the S phase was significantly
elevated and cell percentage in the G0/G1 phase declined in response to S100A11
overexpression (all P<0.05). S100A11 overexpression also significantly increased
AKT mRNA and p-AKT protein expression levels (both P<0.05). The phosphoinositide
3-kinase (PI3K) inhibitor, LY294002, significantly inhibited PANC-1 cell
proliferation, promoted apoptosis and caused G1/S phase arrest in PANC-1 cells
(all P<0.05). These findings together suggest that S100A11 promotes the viability
and proliferation of human pancreatic cancer PANC-1 cells through the
upregulation of the PI3K/AKT signaling pathway. Thus, S100A11 may be considered
as a novel drug target for targeted therapy of pancreatic cancer.
PMID- 29375711
TI - An option for delta-shaped gastroduodenostomy in totally laparoscopic distal
gastrectomy for gastric cancer: A single-layer suturing technique for the stapler
entry hole using knotless barbed sutures combined with the application of
additional knotted sutures.
AB - We report an option for delta-shaped gastroduodenostomy in totally laparoscopic
distal gastrectomy (TLDG) for gastric cancer. We detail a single-layer suturing
technique for the endoscopic linear stapler entry hole using knotless barbed
sutures combined with the application of additional knotted sutures. From June
2013 to February 2017, we performed TLDG with delta-shaped gastroduodenostomy in
20 patients with gastric cancer. The linear stapler was closed and fired to
attach the posterior walls of the remnant stomach and the duodenum together.
After creating a good view of the greater curvature side of the entry hole for
the stapler by retracting the knotted suture on the lesser curvature side toward
the ventral side, we performed single-layer entire-thickness continuous suturing
of this hole using a 15-cm-long barbed suture running from the greater curvature
side to the lesser curvature side. We placed the second and third stitches
between the seromuscular layer of the remnant stomach and the entire-thickness
layer of the duodenum while suturing the duodenal mucosa as minutely as possible.
In addition, we routinely added one or two entire-thickness knotted sutures at
the site near the greater curvature side. We placed similar additional knotted
sutures at the site with a broad pitch. TLDG with this reconstruction technique
was successfully performed in all patients with no occurrences of anastomotic
leakage or intraabdominal abscess around the anastomosis. It is suggested that
this method can be one option for delta-shaped gastroduodenostomy in TLDG due to
its cost-effectiveness and feasibility.
PMID- 29375712
TI - MicroRNA-20a promotes proliferation and invasion by directly targeting early
growth response 2 in non-small cell lung carcinoma.
AB - MicroRNA-20a (miR-20a) serves a notable role in tumor development and
progression; it functions differently in different types of malignant tumor, and
its role and mechanism in non-small cell lung carcinoma (NSCLC) remains unclear.
In the present study, the effects of miR-20a on the proliferation and invasion of
NSCLC cells and the underlying mechanisms behind this were investigated. Reverse
transcription-quantitative polymerase chain reaction revealed that the expression
level of miR-20a was higher in human NSCLC than in normal tissues. Following
this, the effect of miR-20a on the proliferation, apoptosis, migration and
invasion of NSCLCA-549 cells was further evaluated. In vitro analysis, including
a Cell Counting Kit-8, colony formation and Transwell migration assay, indicated
that miR-20a-knockdown inhibited the proliferation, invasion and migration, while
promoting the cell apoptosis of the A-549 cells. Early growth response 2 (EGR2)
protein and mRNA levels were downregulated or upregulated following the
overexpression or knockdown of miR-20a, respectively. Dual-luciferase reporter
gene assays implied that EGR2 is a direct target gene of miR-20a. The results of
the present study indicated that miR-20a may function as an oncomiR in the
development of NSCLC by promoting cell viability and motility. The inhibition of
miR-20a could even become a novel therapeutic method for the treatment of NSCLC.
PMID- 29375713
TI - Identification of key genes associated with bladder cancer using gene expression
profiles.
AB - The aim of the present study was to further investigate the molecular mechanisms
of bladder cancer. The microarray data GSE52519 were downloaded from Gene
Expression Omnibus, comprising 9 bladder cancer and 3 normal bladder tissue
samples. Differentially expressed genes (DEGs) were identified using Limma
package analysis. Subsequently, Gene Ontology, Kyoto Encyclopedia of Genes and
Genomes and Reactome pathway enrichment analyses were performed for down- and
upregulated DEGs. Transcription factors and genes associated with cancer from
DEGs were identified. Protein-protein interaction (PPI) networks were constructed
using STRING, and pathway enrichment analysis was also conducted for genes in the
core sub-network that was identified using BioNet. In total, 420 downregulated
and 335 upregulated DEGs were identified. Functional and pathway enrichment
analyses identified that a number of DEGs, including AURKA, CCNA2, CCNE1, CDC20
and CCNB2, were enriched in the cell cycle. Furthermore, a total of 12
upregulated proto-oncogenes were identified, including AURKA and CCNA2. In the
PPI sub-network, a number of DEGs (e.g., CCNB2, CDC20, CCNA2 and MCM6) with
higher degrees were enriched in the KEGG pathway of the cell cycle. In
conclusion, the DEGs associated with the cell cycle (e.g., CDC20, CCNA2, CCNB2
and AURKA) may serve pivotal roles in the pathogenesis of bladder cancer.
PMID- 29375714
TI - Carcinoma associated fibroblasts derived from oral squamous cell carcinoma
promote lymphangiogenesis via c-Met/PI3K/AKT in vitro.
AB - Carcinoma-associated fibroblasts (CAFs) are dominant components of the tumor
microenvironment (TME) that promote the development, progression and metastasis
of cancer. c-Met is a receptor of the hepatocyte growth factor (HGF), which is
involved in lymphangiogenesis. Currently, the roles of CAFs during
lymphangiogenesis are unknown. It has been hypothesized that CAFs contribute to
lymphangiogenesis of oral squamous cell carcinoma (OSCC) via a HGF/c-Met complex.
The expression of HGF in OSCC was determined using CAFs derived from OSCC tissue
and it was demonstrated that HGF is overexpressed in OSCC-derived CAFs. It was
also revealed that c-Met was highly expressed in human lymphatic endothelial
cells (HLECs) when co-cultured with CAFs. Furthermore, it was demonstrated that
recombinant human HGF significantly enhanced the proliferation, migration,
invasion and tube formation of HLECs. By contrast, the inhibition of c-Met
expression suppressed the aforementioned biological activities and also
downregulated the expression of c-Met, phosphoinositide 3-kinase and
phosphorylated protein kinase B. Taken together, these data demonstrate that c
Met is associated with the regulation of lymphangiogenesis. Thus, the results of
the present study indicate that c-Met may be a promising novel therapeutic target
to treat patients with OSCC.
PMID- 29375715
TI - Dietary flavonoid tangeretin induces reprogramming of epithelial to mesenchymal
transition in prostate cancer cells by targeting the PI3K/Akt/mTOR signaling
pathway.
AB - Tangeretin, a natural polymethoxyflavone present in the peel of citrus fruits is
known to exhibit anticancer properties against a variety of carcinomas. Previous
experimental evidence suggests that lifestyle and dietary habits affect the risk
of prostate cancer to a certain extent. As the effect of tangeretin on prostate
cancer is unexplored, the present study investigated the effect of tangeretin on
androgen-insensitive PC-3 cells and androgen-sensitive LNCaP cells. Tangeretin
reduced the cell viability of PC-3 cells in a dose- and time-dependent manner,
with the half-maximal inhibitory concentration (IC50) observed at 75 uM dose
following 72 h of incubation, while in LNCaP cells, the IC50 was identified to be
~65 uM. Expression levels of the mesenchymal proteins including vimentin, cluster
of differentiation 44 and Neural cadherin in PC-3 cells were reduced by
tangeretin treatment, whereas those of the epithelial proteins, including
Epithelial cadherin and cytokeratin-19 were upregulated. Treatment of PC-3 cells
also resulted in the downregulation of the phosphoinositide 3-kinase/protein
kinase B/mammalian target of rapamycin (PI3K/Akt/mTOR) signaling pathway.
Therefore, it may be concluded that tangeretin induces reprogramming of
epithelial-mesenchymal transition in PC-3 cells by targeting the PI3K/Akt/mTOR
signaling pathway.
PMID- 29375716
TI - Sphingomyelin synthase 2 overexpression promotes cisplatin-induced apoptosis of
HepG2 cells.
AB - Hepatoblastoma (HB) is the most type of common pediatric liver cancer. The
primary chemotherapy drug for HB is cisplatin (DDP). However, patients readily
develop intrinsic and acquired resistance, and severe side effects to treatment.
Sphingomyelin synthase 2 (SMS2) is a key enzyme involved in the generation of
sphingomyelin (SM), which is able to regulate cell proliferation, apoptosis and
differentiation. The death receptors (DRs) have important functions in DDP
induced apoptosis. However, whether SMS2 is able to modulate cell apoptosis
through the DR signaling pathway remains unknown. To investigate this question,
SMS2 was overexpressed in HepG2 cells and treated with 3.5 mg/l cisplatin in the
present study. After 24 h, the expression of SMS2, avian myelocytomatosis viral
oncogene homolog (c-Myc), DR4, DR5 and caspase-3 was analyzed. Furthermore, cell
viability was quantified, and apoptosis was assessed by western blot and flow
cytometry analysis as well as Cell Counting kit-8. The results of the present
study revealed that overexpression of SMS2 was able to increase the expression of
c-Myc, cleaved caspase-3, DR4 and DR5 compared with the control group (P<0.05,
n=3), and increase the levels of apoptosis in the SMS2 + DDP group, compared with
the control (P<0.001, n=3). These results indicate that overexpression of SMS2 is
able to improve sensitivity of HepG2 cells to DDP by increasing the expression of
c-Myc, DR4 and DR5 in HepG2 cells. This increased sensitivity may decrease
intrinsic and acquired resistance of chemotherapy in HB, and reduce the
associated severe side effects in pediatric patients.
PMID- 29375717
TI - Diagnosis, clinicopathological characteristics and prognosis of pulmonary
mucinous adenocarcinoma.
AB - Primary pulmonary mucinous adenocarcinoma (PPMA) is an uncommon subtype of lung
adenocarcinoma. The present study attempted to clarify the diagnosis,
clinicopathological characteristics, and pathologic significance of epithelial
growth factor receptor (EGFR) and Kirsten rat sarcoma viral oncogene (KRAS)
mutations and the prognosis of PPMA. A total of 29 patients with PPMA from among
1,469 surgically resected patients with lung adenocarcinoma were enrolled. All of
the tumours expressed CK7 and 5 cases exhibited co-expression with CK20. A total
of 8 cases expressed EGFR, 14 cases expressed P53 and 2 cases expressed CEA. The
majority of mucinous adenocarcinomas expressed thyroid transcription factor 1,
Napsin A, Villin and Cam5.2 proteins. KRAS mutations were observed in 62% of
patients and were more prevalent in the lower lung lobe and in patients with
invasive mucinous adenocarcinoma. A total of 2 cases exhibited an EGFR mutation,
and the co-mutation of KRAS and EGFR was only detected in 1 case. The relapse
free and overall survival rates at 5 years were 70.4, and 81.5%, respectively.
The results may assist to identify a molecular target and supply important
information for a therapeutic strategy for patients with PPMA.
PMID- 29375718
TI - Study on the diagnosis of small hepatocellular carcinoma caused by hepatitis B
cirrhosis via multi-slice spiral CT and MRI.
AB - The present study compared the diagnostic accuracy of multi-slice spiral computed
tomography (CT) and magnetic resonance imaging (MRI) on small hepatocellular
carcinoma (SHCC) caused by hepatitis B cirrhosis. A total of 160 patients with
hepatitis B cirrhosis were selected between January 2012 and April 2016, and 183
SHCC lesions were included in the present retrospective study. Patients were
divided into the SHCC group (T stage) and the micro hepatocellular carcinoma
(MHCC) group (T1 stage). There were a total of 129 SHCC lesions and 54 MHCC
lesions identified. All patients underwent multiphasic CT and MRI imaging. The
liver acquisition with volume acquisition (LAVA) technique was utilized for MRI.
Furthermore, SPSS 20.0 was used for statistical analyses. LAVA in the arterial
phase and CT in the arterial phase revealed significantly higher diagnostic rates
for the diagnoses of 183 lesions. In addition, standard CT scan exhibited
significantly reduced diagnostic rates in SHCC lesions. Results indicated that
LAVA in the equilibrium phase had the lowest diagnostic rate in MHCC lesions,
which was statistically significant (P<0.05). Overall, the diagnostic rate of CT
(79.63%) for MHCC was significantly lower than that of MRI (96.29%) (P<0.05).
However, the diagnostic rate of CT for SHCC (96.12%) was significantly higher
than that for MHCC (79.63%) (P<0.05). MRI-LAVA in the arterial phase has the
highest diagnostic rate for SHCC and MHCC. However, the diagnostic capability of
MRI for MHCC lesions is superior to that of CT.
PMID- 29375719
TI - Association of PHD3 and HIF2alpha gene expression with clinicopathological
characteristics in human hepatocellular carcinoma.
AB - Egl-9 family hypoxia-inducible factor (HIF)3/prolyl hydroxylase 3 (EGLN3/PHD3)
serves a role in the progression and prognosis of cancer. PHD3 is able to induce
apoptosis in HepG2 cells. In the present study, the protein levels of PHD3 and
HIF2alpha were analyzed by western blot analysis and immunohistochemistry in 84
paired hepatocellular carcinoma (HCC) tissues and adjacent non-tumor liver
tissues. The mRNA levels of PHD3 and HIF2alpha were analyzed by reverse
transcription-quantitative polymerase chain reaction. PHD3 was overexpressed in
HCC tissues compared with adjacent liver tissues (mRNA expression: P<0.001;
protein expression: P=0.003; immunohistochemistry positive rate: P=0.001). The
high level of expression of PHD3 in HCC tissues was associated with good
differentiation (mRNA expression: P=0.002; protein expression: P<0.001) and small
tumor size (mRNA expression: P<0.001; protein expression: P=0.002). In addition,
HIF2alpha expression was lower in HCC tissues compared with adjacent liver
tissues (mRNA expression: P<0.001; protein expression: P=0.002;
immunohistochemistry positive rate: P=0.002). No statistically significant
associations were identified between HIF2alpha expression and clinicopathological
characteristics. Pearson's and Spearman's correlation coefficients revealed no
correlation between HIF2alpha and PHD3 expression in HCC. In conclusion, PHD3
expression acts as a favorable prognostic marker for patients with HCC. There is
no correlation between PHD3 and HIF2alpha expression in HCC.
PMID- 29375720
TI - Propofol promotes apoptosis and suppresses the HOTAIR-mediated mTOR/p70S6K
signaling pathway in melanoma cells.
AB - Propofol is an intravenous anesthetic, which is widely used in clinical
anesthesia induction and maintenance and is critical in the sedation of patients.
However, the functions and mechanisms of propofol on apoptosis of melanoma cells
remain unclear. The present study investigated whether propofol promotes cell
apoptosis and suppresses the HOX transcript antisense RNA (HOTAIR)-mediated
mechanistic target of rapamycin (mTOR) pathway in melanoma cells. B16F10 cells
were cultured with different concentrations (0-10 uM) of propofol for 24 or 48 h.
Proliferation and apoptosis of B16F10 cells were detected using MTT assay and
flow cytometry. The pcDNA 3.1(-)-HOTAIR and pcDNA 3.1(-)-control plasmids were
transfected into B16F10 cells using Lipofectamine 2000. In the present study,
treatment with propofol significantly reduced viability, and induced apoptosis
and caspase-3 activity in melanoma cells. Propofol treatment significantly
inhibited HOTAIR expression and the expression of phosphorylated (p)-mTOR and p-
p70S6K protein in melanoma cells. Overexpression of HOTAIR significantly
increased viability of melanoma cells, and increased HOTAIR, p-mTOR and p-p70S6K
protein expression in melanoma cells. These results indicated that propofol
promotes apoptosis and suppresses the HOTAIR-mediated mTOR signaling pathway in
melanoma cells.
PMID- 29375721
TI - Dysregulation of miR-200 family microRNAs and epithelial-mesenchymal transition
markers in oral squamous cell carcinoma.
AB - MicroRNAs (miRNAs) are reported to function as a major component in the cellular
signaling circuit, which regulates epithelial-mesenchymal transition (EMT).
Dysregulation of the microRNA-200 (miR-200) family and EMT-associated genes
enables tumor metastasis and resistance to therapy. The present study profiled
miR-200 family members miR-200a, miR-200b, miR-200c, miR-141 and miR-429, and
also several EMT-regulatory genes including zinc finger E-box-binding homeobox
(ZEB)1, ZEB2, epithelial cadherin and vimentin in 40 oral primary tumors in order
to understand their role(s) in oral squamous cell carcinoma (OSCC). The reverse
transcription-quantitative polymerase chain reaction was used to analyze each
sample. Results demonstrated a significant downregulation of miR-200 family
members in tumors with a history of tobacco chewing/smoking (P<0.0006, P=0.0467,
P=0.0014, P=0.0087 and P=0.0230, respectively) and undifferentiated pathology
(miR-200a, P=0.0067; miR-200c, P=0.0248). EMT markers ZEB2 (P=0.0451) and
vimentin (P=0.0071) were significantly upregulated in the oral tumors.
Furthermore, ZEB2 antisense RNA1 was overexpressed in 50% of OSCC samples
(P=0.0075). EMT-regulatory genes did not exhibit any association with clinical
outcome. The present study also analyzed the expression of EMT-regulatory genes
in 523 head and neck squamous cell carcinoma (HNSCC) samples from The Cancer
Genome Atlas (TCGA) database, and the association with treatment outcome.
Analysis of TCGA datasets also demonstrated no significant association in the
expression of EMT markers with disease recurrence and treatment outcome. The
results of the present study revealed dysregulation of miR-200 family miRNAs and
EMT-regulatory genes in OSCC without any significant effect on treatment outcome.
PMID- 29375722
TI - Correlations of GDF-15 with sST2, MMPs, and worsening functional capacity in
idiopathic dilated cardiomyopathy: Can we gain new insights into the
pathophysiology?
AB - Growth and differentiation factor-15 (GDF-15) has been implicated in fibrosis,
inflammation, and ventricular remodeling. The role of GDF-15 in the regulation of
cardiac remodeling in idiopathic dilated cardiomyopathy (DCM) remains poorly
defined. This study attempts to analyze the molecular interactions between GDF-15
and markers of fibrosis as well as its positive correlations with worsening
functional capacity. The study population consisted of 24 DCM patients and 8
control subjects. All DCM patients had normal coronary angiographic studies.
Plasma levels of GDF-15, matrix metalloproteinase-2 (MMP2), MMP3, MMP9, tissue
inhibitor of MMP 1 (TIMP1), and soluble suppression of tumorigenicity-2 protein
(sST2) were determined by enzyme-linked immunosorbent assays. Brain Natriuretic
Peptide (BNP) was measured as per core laboratory protocol assay at Scott and
White Memorial Hospital core laboratory. Correlation analysis was performed
between GDF-15 and each of the MMPs-MMP2, MMP3, MMP9, and TIMP as well as New
York Heart Association (NYHA) class and echocardiographic parameters (left
ventricular ejection fraction (LVEF) and left ventricular internal dimension in
diastole (LVIDd)). LVEF and LVIDd were obtained by two-dimensional
echocardiography. The protocol was approved by Scott and White Memorial Hospital
Institutional Review Board (S&W IRB). Correlation analysis of control versus all
DCM patients showed a strong correlation of GDF-15 with TIMP1 (r = 0.83, p <
0.0001) and weaker correlation with MMP3 (r = 0.41, p = 0.011) and MMP2 (r =
0.47, p = 0.003). MMP9 showed poor correlation with GDF-15 (r = 0.3036, p =
0.046). GDF-15 correlated negatively with MMP2/TIMP1 ratio (r = -0.47, p =
0.006). sST2 correlated strongly with GDF-15 (r = 0.7, p < 0.0001). GDF-15
correlated negatively with LVEF (r = -0.49, p = 0.004) and positively with LVIDd
(r = 0.58, p = 0.0006). GDF-15 showed significant positive correlation with NYHA
functional class (r = 0.71, p < 0.00001) and BNP (r = 0.86, p < 0.00001).
Significant associations of GDF-15 with MMPs, sST2, LVIDd, LVEF, and NYHA class
reported here for the first time in nonischemic dilated hearts may open up new
avenues of investigations to better understand molecular mechanisms controlling
cardiac remodeling. This study is limited by its small size and needs validation
in larger populations.
PMID- 29375723
TI - Liking More Means Doing More: Dispositional Attitudes Predict Patterns of General
Action.
AB - Dispositional attitudes are an individual difference in the tendency to form
positive versus negative attitudes. As positive (negative) attitudes promote
active (inactive) responses to stimuli, we predicted that dispositional attitudes
would be positively correlated with patterns of general action. In Study 1,
participants reported all activities they engaged in during a 1-week period using
a structured time use survey. Dispositional attitudes were positively correlated
with the number of unique behaviors participants engaged in and with the total
number of behaviors reported for the entire week. Study 2 replicated Study 1
using a free response time use survey. Overall, the results demonstrated that
dispositional attitudes predict general action, such that the tendency to form
positive (negative) attitudes predicts the tendency to engage in many (few)
behaviors in daily life. This pattern occurred for both low effort and high
effort behaviors. Implications for understanding activity patterns are discussed.
PMID- 29375724
TI - The signature of liver cancer in immune cells DNA methylation.
AB - Background: The idea that changes to the host immune system are critical for
cancer progression was proposed a century ago and recently regained experimental
support. Results: Herein, the hypothesis that hepatocellular carcinoma (HCC)
leaves a molecular signature in the host peripheral immune system was tested by
profiling DNA methylation in peripheral blood mononuclear cells (PBMC) and T
cells from a discovery cohort (n = 69) of healthy controls, chronic hepatitis,
and HCC using Illumina 450K platform and was validated in two validation sets (n
= 80 and n = 48) using pyrosequencing. Conclusions: The study reveals a broad
signature of hepatocellular carcinoma in PBMC and T cells DNA methylation which
discriminates early HCC stage from chronic hepatitis B and C and healthy
controls, intensifies with progression of HCC, and is highly enriched in immune
function-related genes such as PD-1, a current cancer immunotherapy target. These
data also support the feasibility of using these profiles for early detection of
HCC.
PMID- 29375726
TI - Fabrication of truly 3D microfluidic channel using 3D-printed soluble mold.
AB - The field of complex microfluidic channels is rapidly expanding toward channels
with variable cross-sections (i.e., beyond simple rounded channels with a
constant diameter), as well as channels whose trajectory can be outside of a
single plane. This paper introduces the use of three-dimensional (3D) printed
soluble wax as cast molds for rapid fabrication of truly arbitrary microfluidic
polydimethylsiloxane (PDMS) channels that are not achieved through typical soft
lithography. The molds are printed directly from computer-aided design files,
followed by simple dissolution using a solvent after molding PDMS, making rapid
prototyping of microfluidic devices possible in hours. As part of the fabrication
method, the solubility of several build materials in solvents and their effect on
PDMS were investigated to remove the 3D-printed molds from inside the replicated
PDMS microfluidic channels without damage. Technology limits, including surface
roughness and resolution by comparing the designed channels with fabricated
cylindrical channels with various diameters, are also characterized. We
reproduced a 3D image of an actual human cerebral artery as cerebral artery
shaped PDMS channels with a diameter of 240 MUm to prove the developed
fabrication technique. It was confirmed that the fabricated vascular channels
were free from any leakage by observing the fluorescence fluid fill.
PMID- 29375727
TI - An in-situ photocrosslinking microfluidic technique to generate non-spherical,
cytocompatible, degradable, monodisperse alginate microgels for chondrocyte
encapsulation.
AB - Alginate microgels are widely generated by ionic crosslinking methods, but this
method has limitations in controlling the microgel degradation and generating non
spherical microgels. By employing oxidized methacrylated alginate (OMA) that is
degradable and photocrosslinkable, we have successfully photocrosslinked
monodisperse OMA microgels and demonstrated the feasibility to generate discoid
alginate microgels. However, several technical issues obstructed our opto
microfluidic method from being a useful technique. Here, we further characterized
and optimized this method. Monodisperse discoid OMA microgels with good shape
consistency were, for the first time, generated. The curability of OMA microgels
was characterized as the macromer concentration varied from 2% to 10%, and the
minimum required photoinitiator (VA-086) concentrations were determined. The
effects of crosslinking density and the presence of ions in the storage solution
on swelling of OMA hydrogels were identified to give insights into accurate
controlling of the microgel size. A much quicker degradation rate (within three
weeks) compared to ionically crosslinked alginate hydrogels was indirectly
identified by quantifying the elastic modulus using atomic force microscopy. The
viability of encapsulated chondrocytes in OMA microgels formed by this method was
higher than those from other existing methods, demonstrating its favorable
cytocompatibility. It was found that the oxygen tension played a critical role in
both the curability of microgels and the cytocompatibility of this technique. We
also summarize common practical issues and provide related solutions and/or
operational suggestions. By this method, OMA microgels are expected to be
valuable alternatives to traditional ionically crosslinked alginate microgels in
drug delivery, tissue engineering, and single cell analysis areas due to their
multiple favorable properties.
PMID- 29375725
TI - Four new C19-diterpenoid alkaloids from Delphinium elatum.
AB - Diterpenoid alkaloids with remarkable chemical properties and biological
activities are frequently found in plants of the genera Aconitum, Delphinium, and
Garrya. Accordingly, several C19-diterpenoid alkaloid components from Delphinium
elatum cv. Pacific Giant, as well as their derivatives, exhibited cytotoxic
activity against lung, prostate, nasopharyngeal, and vincristine-resistant
nasopharyngeal cancer cell lines. Four new C19-diterpenoid alkaloids, elapacigine
(1), N-deethyl-N-formylpaciline (2), N-deethyl-N-formylpacinine (3), and N-formyl
4,19-secoyunnadelphinine (4), together with 11 known C19-diterpenoid alkaloids
were isolated in a phytochemical investigation on the seeds of D. elatum cv.
Pacific Giant. Their structures were elucidated by extensive spectroscopic
methods including NMR (1D and 2D), IR, and MS (HRMS). Three of the new C19
diterpenoid alkaloids (2-4) and five of the known diterpenoid alkaloids were
evaluated for cytotoxic activity against five human tumor cell lines.
PMID- 29375728
TI - Steel reinforced composite silicone membranes and its integration to microfluidic
oxygenators for high performance gas exchange.
AB - Respiratory distress syndrome (RDS) is one of the main causes of fatality in
newborn infants, particularly in neonates with low birth-weight. Commercial
extracorporeal oxygenators have been used for low-birth-weight neonates in
neonatal intensive care units. However, these oxygenators require high blood
volumes to prime. In the last decade, microfluidics oxygenators using enriched
oxygen have been developed for this purpose. Some of these oxygenators use thin
polydimethylsiloxane (PDMS) membranes to facilitate gas exchange between the
blood flowing in the microchannels and the ambient air outside. However, PDMS is
elastic and the thin membranes exhibit significant deformation and delamination
under pressure which alters the architecture of the devices causing poor
oxygenation or device failure. Therefore, an alternate membrane with high
stability, low deformation under pressure, and high gas exchange was desired. In
this paper, we present a novel composite membrane consisting of an ultra-thin
stainless-steel mesh embedded in PDMS, designed specifically for a microfluidic
single oxygenator unit (SOU). In comparison to homogeneous PDMS membranes, this
composite membrane demonstrated high stability, low deformation under pressure,
and high gas exchange. In addition, a new design for oxygenator with sloping
profile and tapered inlet configuration has been introduced to achieve the same
gas exchange at lower pressure drops. SOUs were tested by bovine blood to
evaluate gas exchange properties. Among all tested SOUs, the flat design SOU with
composite membrane has the highest oxygen exchange of 40.32 ml/min m2. The
superior performance of the new device with composite membrane was demonstrated
by constructing a lung assist device (LAD) with a low priming volume of 10 ml.
The LAD was achieved by the oxygen uptake of 0.48-0.90 ml/min and the CO2 release
of 1.05-2.27 ml/min at blood flow rates ranging between 8 and 48 ml/min. This LAD
was shown to increase the oxygen saturation level by 25% at the low pressure drop
of 29 mm Hg. Finally, a piglet was used to test the gas exchange capacity of the
LAD in vivo. The animal experiment results were in accordance with in-vitro
results, which shows that the LAD is capable of providing sufficient gas exchange
at a blood flow rate of ~24 ml/min.
PMID- 29375729
TI - Fabrication and Characterization of In Situ Synthesized SiC/Al Composites by
Combustion Synthesis and Hot Press Consolidation Method.
AB - The in situ SiC/Al composites were fabricated in Al-Si-C systems with different
Si/C mass ratios and holding time by the method of combustion synthesis and hot
press consolidation. The influences of Si/C mass ratio and holding time on the
phase constitution, microstructure, and hardness of the composites were
investigated. The results indicate that the increase of Si/C mass ratio leads to
more uniform size distribution of the SiC particles in the Al matrix. Moreover,
by improving the Si/C mass ratio from 4 : 1 to 5 : 1, the maximum size of SiC
particle was reduced from 4.1 MUm to 2.0 MUm. Meanwhile, the percentage of
submicroparticles was increased from 22% to 63%, and the average hardness value
of the composites was increased by 13%. In addition, when the holding time is set
to be fifteen minutes, the Al4C3 phase did not exist in the composites because of
its total reactions with Si atoms to form SiC particles, and the average hardness
value was 73.8 HB.
PMID- 29375730
TI - Inverse association between MDM2 and HUWE1 protein expression levels in human
breast cancer and liposarcoma.
AB - The ubiquitin E3 ligase MDM2 is best known for its ability to suppress the tumor
suppressor p53. However, MDM2 also targets other proteins for proteasomal
degradation and accumulating evidence strongly suggests p53-independent roles of
MDM2 in cancer. We previously reported that MDM2 promotes degradation of another
ubiquitin E3 ligase HUWE1 by ubiquitination, particularly, which confers HER2+
breast cancer cells resistance to the HER2 inhibitor lapatinib. However, it
remains unclear whether such a mechanism can operate in other cell types,
independently of HER2 inhibitors. Moreover, in vivo evidence that supports HUWE1
degradation by MDM2 is missing. In the current study, we performed
immunohistochemistry (IHC) to analyze expression levels of MDM2 and HUWE1 in
normal organs, two breast cancer cohorts (A, n = 137 and B, n = 27), and a
liposarcoma cohort (n = 45). Our results show that HUWE1 is ubiquitously
expressed in healthy organs, where the oncoprotein MDM2 is undetectable.
Likewise, in the majority of breast cancers regardless of their subtypes, MDM2 is
below detectable levels, while HUWE1 is highly expressed. In contrast, in a
subset of liposarcoma that is characterized by MDM2 overexpression, only 40% of
these showed detectable HUWE1 protein. Importantly, despite the inverse
association between MDM2 and HUWE1 protein levels, gene expression analysis in
independent datasets revealed no such correlation at the mRNA level. Our results
demonstrate the first in vivo evidence to support the hypothesis of MDM2-mediated
HUWE1 degradation, which may help to understand the regulation of HUWE1 as well
as p53-independent roles of MDM2.
PMID- 29375732
TI - Acute Trigger Finger Presenting as an Extensor Lag.
PMID- 29375731
TI - Matrix Metalloproteinases Are Differentially Regulated and Responsive to
Compression Therapy in a Red Duroc Model of Hypertrophic Scar.
AB - Objective: Proteins of the matrix metalloproteinases family play a vital role in
extracellular matrix maintenance and basic physiological processes in tissue
homeostasis. The function and activities of matrix metalloproteinases in response
to compression therapies have yet to be defined. Here, a swine model of
hypertrophic scar was used to profile the transcription of all known 26 matrix
metalloproteinases in scars treated with a precise compression dose. Methods:
Full-thickness excisional wounds were created. Wounds underwent healing and scar
formation. A subset of scars underwent 2 weeks of compression therapy. Biopsy
specimens were preserved, and microarrays, reverse transcription-polymerase chain
reaction, Western blotting, and immunohistochemistry were performed to
characterize the transcription and expression of various matrix metalloproteinase
family members. Results: Microarray results showed that 13 of the known 26 matrix
metalloproteinases were differentially transcribed in wounds relative to the
preinjury skin. The predominant upregulation of these matrix metalloproteinases
during early wound-healing stages declined gradually in later stages of wound
healing. The use of compression therapy reduced this decline in 10 of the 13
differentially regulated matrix metalloproteinases. Further investigation of MMP7
using reverse transcription-polymerase chain reaction confirmed the effect of
compression on transcript levels. Assessment of MMP7 at the protein level using
Western blotting and immunohistochemistry was concordant. Conclusions: In a swine
model of hypertrophic scar, the application of compression to hypertrophic scar
attenuated a trend of decreasing levels of matrix metalloproteinases during the
process of hypertrophic wound healing, including MMP7, whose enzyme regulation
was confirmed at the protein level.
PMID- 29375733
TI - Optimizing Outcomes in Pedicle and Free Flap Reconstruction in Patients With
Sickle Cell Trait.
PMID- 29375735
TI - Confocal endomicroscopy and cyst fluid molecular analysis: Comprehensive
evaluation of pancreatic cysts.
AB - Increases in the quality as well as utilization of cross-sectional imaging have
led to rising diagnoses of pancreatic cystic lesions (PCL). Accurate presurgical
diagnosis enables appropriate triage of PCLs. Unfortunately, current diagnostic
approaches have suboptimal accuracy and may lead to unnecessary surgical
resections or missed diagnoses of advanced neoplasia. Additionally, early
detection represents an opportunity for intervention to prevent the progression
to pancreatic adenocarcinoma. Our aim for this review is to systematically review
the current literature on confocal endomicroscopy and molecular biomarkers in the
evaluation of PCLs. Confocal laser endomicroscopy is a novel technology that
allows for real-time in vivo microscopic imaging with multiple clinical trials
identifying characteristic endomicroscopy findings of various pancreatic cystic
lesions. DNA-based molecular markers have also emerged as another diagnostic
modality as the pattern of genetic alternations present in cyst fluid can provide
both diagnostic and prognostic data. We propose that both techniques can be
utilized to improve patient outcomes.
PMID- 29375736
TI - Imaging of gall bladder by endoscopic ultrasound.
AB - Endoscopic ultrasonography (EUS) is considered a superior investigation when
compared to conventional ultrasonography for imaging gall bladder (GB) lesions as
it can provide high-resolution images of small lesions with higher ultrasound
frequencies. Examination of GB is frequently the primary indication of EUS
imaging. Imaging during EUS may not remain restricted to one station and multi
station imaging may provide useful information. This review describes the
techniques of imaging of GB by linear EUS from three different stations. The
basic difference of imaging between the three stations is that effective imaging
from station 1 is done above the neck of GB, from station 2 at the level of the
neck of GB and from station 3 below the level of the neck of GB.
PMID- 29375737
TI - New 14-mm diameter Niti-S biliary uncovered metal stent for unresectable distal
biliary malignant obstruction.
AB - AIM: To investigate whether an uncovered self-expandable metal stent (UCSEMS)
with a large diameter could prevent recurrent biliary obstruction (RBO). METHODS:
Thirty-eight patients with malignant biliary obstruction underwent treatment with
an UCSEMS with a 14-mm diameter (Niti-S 14). Retrospectively, we evaluated
technical and functional success rate, RBO rate, time to RBO, survival time, and
adverse events in these patients. RESULTS: Stent placement success and functional
success were achieved in all patients. Two patients (5.3%) had RBO due to tumor
ingrowth or overgrowth. The median time to RBO was 190 (range, 164-215) d. The
median survival time was 120 (range, 18-502) d. The 6-mo non-RBO rate was 91%.
Other adverse events other than RBO occurred as follows: Acute cholecystitis,
post-ERCP pancreatitis, hemobilia, and fever without exacerbation of liver
injury, and liver abscess in 4 (10.3%), 3 (7.9%), 2 (5.3%), 1 (2.6%), and 1
(2.6%), respectively. Migration of the stents was not observed. CONCLUSION: Niti
S 14 is considered to be a preferable metal stent because of a low rate of RBO
with no migration.
PMID- 29375734
TI - Linking Cancer Cachexia-Induced Anabolic Resistance to Skeletal Muscle Oxidative
Metabolism.
AB - Cancer cachexia, a wasting syndrome characterized by skeletal muscle depletion,
contributes to increased patient morbidity and mortality. While the intricate
balance between protein synthesis and breakdown regulates skeletal muscle mass,
the suppression of basal protein synthesis may not account for the severe wasting
induced by cancer. Therefore, recent research has shifted to the regulation of
"anabolic resistance," which is the impaired ability of nutrition and exercise to
stimulate protein synthesis. Emerging evidence suggests that oxidative metabolism
can regulate both basal and induced muscle protein synthesis. While disrupted
protein turnover and oxidative metabolism in cachectic muscle have been examined
independently, evidence suggests a linkage between these processes for the
regulation of cancer-induced wasting. The primary objective of this review is to
highlight the connection between dysfunctional oxidative metabolism and cancer
induced anabolic resistance in skeletal muscle. First, we review oxidative
metabolism regulation of muscle protein synthesis. Second, we describe cancer
induced alterations in the response to an anabolic stimulus. Finally, we review a
role for exercise to inhibit cancer-induced anabolic suppression and
mitochondrial dysfunction.
PMID- 29375738
TI - Post-endoscopic procedure satisfaction scores: Can we improve?
AB - AIM: To organize post-procedure satisfaction data into a useful reference and
analyze patient-centered parameters to find trends that influence patient
satisfaction. METHODS: A robust database of two cohorts of outpatients that
underwent an endoscopic procedure at Georgetown University Hospital at two
separate three-month intervals ranging from November 2012 to January 2013 and
November 2015 to January 2016 was compiled. Time of year was identical to control
for weather/seasonal issues that may have contributed to the patient experience.
The variables recorded included age, sex, body mass index (BMI), type of
procedure, indication for procedure, time of the procedure, length of the
procedure, type of prep used, endoscopist, satisfactory score, and
comments/reasons for score. For continuous variables, differences in averages
were tested by two sample t-test, Wilcoxon rank sum test, and ANOVA as
appropriate. For categorical variables, differences in proportions between two
groups were tested by chi2 test. Correlation test and linear regression analyses
were conducted to examine relationships between length of procedure and
continuous predictors. A P value < 0.05 used to indicate statistically
significant relationship. RESULTS: The primary outcome of this study was to
assess if telephone outreach after an endoscopic intervention was a satisfactory
method of obtaining post-procedure satisfaction scores from patients at a
tertiary care center. With the addition of post-procedure calls, instilled in
January 2014, the response rate was 40.5% (508/1256 patients) from a prior
completion rate of 3.4% (31/918) with the mail out survey initially. There was a
statistically significant improved response rate pre and post intervention with P
< 0001. The secondary outcome of this study was to assess if we could use
predictive analytics to identify independent predictors of procedure length, such
as gender, age, type of procedure, time of procedure, or BMI. The combined pre
and post intervention data was used in order to optimize the power to identify
independent predictors of procedure length. The total number of patient's data
analyzed was 2174. There was no statistically significant difference in procedure
length between males and females with P value 0.5282. However, there was a small
(1 min), but statistically significant difference (P = 0.0185) in procedure
length based on the time of day the procedure took place, with afternoon
procedures having a longer duration than morning procedures. The type of
procedure was an independent predictor of procedure length as demonstrated with P
value < 0.0001. There is a statistically significant correlation between age and
procedure length, although it is only a weak relationship with a correlation
coefficient < 0.3. Contrary to patient age, BMI did not have a statistically
significant correlation with procedure length (P = 0.9993), which was also
confirmed by linear regression analysis. CONCLUSION: Our study proves calling
patients after endoscopy improves post-procedure satisfaction response rates and
changing procedural time allotment based on patient characteristics would not
change endoscopic workflow.
PMID- 29375739
TI - Case series on multimodal endoscopic therapy for gastric antral vascular ectasia,
a tertiary center experience.
AB - AIM: To study and describe patients who underwent treatment for gastric antral
vascular ectasia (GAVE) with different endoscopic treatment modalities. METHODS:
We reviewed patients with GAVE who underwent treatment at University of Alabama
at Birmingham between March 1, 2012 and December 31, 2016. Included patients had
an endoscopic diagnosis of GAVE with associated upper gastrointestinal bleeding
or iron deficiency anemia. RESULTS: Seven out of 15 patients had classic
watermelon description for GAVE, 1/15 with diffuse/honeycomb pattern and 6/15
with nodular GAVE per EGD description. Seven out of 15 patients required
multimodal treatment. Four out of six of patients with endoscopically nodular
GAVE required multimodal therapy. Overall, mean pre- and post-treatment
hemoglobin (Hb) values were 8.2 +/- 0.8 g/dL and 9.7 +/- 1.6 g/dL, respectively
(P <= 0.05). Mean number of packed red blood cells transfusions before and after
treatment was 3.8 +/- 4.3 and 1.2 +/- 1.7 (P <= 0.05), respectively. CONCLUSION:
Patients with nodular variant GAVE required multimodal approach more frequently
than non-nodular variants. Patients responded well to multimodal therapy and saw
decrease in transfusion rates and increase in Hb concentrations. Our findings
suggest a multimodal approach may be beneficial in nodular variant GAVE.
PMID- 29375740
TI - Mediastinal node staging by positron emission tomography-computed tomography and
selective endoscopic ultrasound with fine needle aspiration for patients with
upper gastrointestinal cancer: Results from a regional centre.
AB - AIM: To investigate the impact of endoscopic ultrasound-guided fine-needle
aspiration (EUS-FNA) and positron emission tomography-computed tomography (PET
CT) in the nodal staging of upper gastrointestinal (GI) cancer in a tertiary
referral centre. METHODS: We performed a retrospective review of prospectively
recorded data held on all patients with a diagnosis of upper GI cancer made
between January 2009 and December 2015. Only those patients who had both a PET-CT
and EUS with FNA sampling of a mediastinal node distant from the primary tumour
were included. Using a positive EUS-FNA result as the gold standard for lymph
node involvement, the sensitivity, specificity, positive and negative predictive
values (PPV and NPV) and accuracy of PET-CT in the staging of mediastinal lymph
nodes were calculated. The impact on therapeutic strategy of adding EUS-FNA to
PET-CT was assessed. RESULTS: One hundred and twenty one patients were included.
Sixty nine patients had a diagnosis of oesophageal adenocarcinoma (Thirty one of
whom were junctional), forty eight had oesophageal squamous cell carcinoma and
four had gastric adenocarcinoma. The FNA results were inadequate in eleven cases
and the PET-CT findings were indeterminate in two cases, therefore thirteen
patients (10.7%) were excluded from further analysis. There was concordance
between PET-CT and EUS-FNA findings in seventy one of the remaining one hundred
and eight patients (65.7%). The sensitivity, specificity, PPV and NPV values of
PET-CT were 92.5%, 50%, 52.1% and 91.9% respectively. There was discordance
between PET-CT and EUS-FNA findings in thirty seven out of one hundred and eight
patients (34.3%). MDT discussion led to a radical treatment pathway in twenty
seven of these cases, after the final tumour stage was altered as a direct
consequence of the EUS-FNA findings. Of these patients, fourteen (51.9%)
experienced clinical remission of a median of nine months (range three to forty
two months). CONCLUSION: EUS-FNA leads to altered staging of upper GI cancer,
resulting in more patients receiving radical treatment that would have been the
case using PET-CT staging alone.
PMID- 29375741
TI - Management of endoscopic biliary stenting for choledocholithiasis: Evaluation of
stent-exchange intervals.
AB - AIM: To evaluate the best management of plastic stents in patients with
choledocholithiasis who were unfit for endoscopic stone removal or surgery.
METHODS: Between April 2007 and September 2017, 87 patients (median age 83.7
years) with symptomatic choledocholithiasis were treated with insertion of 7-Fr
plastic stents because complete endoscopic stone retrieval was difficult, and
their general condition was not suitable for surgery. Seventy of these patients
agreed to regular stent management and stent exchange was carried out at every 6
mo (Group A, n = 35) or every 12 mo (Group B, n = 35). The remaining 17 patients
did not accept regular stent exchange, and stents were replaced when clinical
symptoms appeared (Group C). We evaluated the frequency of biliary complication
and stent patency rate during follow-up periods. RESULTS: The patency rate of
biliary plastic stents was 91.4% at 6 mo (Group A) and 88.6% at 12 mo (Group B),
respectively. Acute cholangitis occurred in 2.9% of Group A patients and in 8.6%
of Group B patients. In Group C, median stent patency was 16.3 mo, and stent
exchange was carried out in 70.6% of cases because of acute cholangitis or
obstructive jaundice. Although a high incidence of acute cholangitis occurred,
there was no biliary-related mortality. CONCLUSION: Plastic stent exchange at 12
mo intervals is considered a safe procedure for patients with
choledocholithiasis. Long-term biliary stenting increases biliary complications,
but it can be an acceptable option for select patients who are medically unfit
for further invasive procedures.
PMID- 29375742
TI - Bacterial presence on flexible endoscopes vs time since disinfection.
AB - AIM: To correlate the length of endoscope hang time and number of bacteria
cultured prior to use. METHODS: Prospectively, we cultured specimens from 19
gastroscopes, 24 colonoscopes and 5 side viewing duodenoscopes during the period
of 2011 to 2015. A total of 164 results had complete data denoting date of
cleansing, number of days stored and culture results. All scopes underwent
initial cleaning in the endoscopy suite utilizing tap water, and then manually
cleaned and flushed. High level disinfection was achieved with a Medivator(c) DSD
(Medivator Inc., United States) automated endoscope reprocessor following
manufacturer instructions, with Glutacide(r) (Pharmax Limited, Canada), a 2%
glutaraldehyde solution. After disinfection, all scopes were stored in dust free,
unfiltered commercial cabinets for up to 7 d. Prior to use, all scopes were
sampled and plated on sheep blood agar for 48 h; the colony count was obtained
from each plate. The length of endoscope hang time and bacterial load was
analyzed utilizing unpaired t-tests. The overall percentage of positive and
negative cultures for each type of endoscope was also calculated. RESULTS: All
culture results were within the acceptable range (less than 200 cfu/mL). One
colonoscope cultured 80 cfu/mL after hanging for 1 d, which was the highest
count. ERCP scopes cultured at most 10 cfu, this occurred after 2 and 7 d, and
gastroscopes cultured 50 cfu/mL at most, at 1 d. Most cultures were negative for
growth, irrespective of the length of hang time. Furthermore, all scopes, with
the exception of one colonoscope which had two positive cultures (each of 10
cfu/mL), had at most one positive culture. There was no significant difference in
the number of bacteria cultured after 1 d compared to 7 d when all scopes were
combined (day 2: P = 0.515; day 3: P = identical; day 4: P = 0.071; day 5: P =
0.470; day 6: P = 0.584; day 7: P = 0.575). There was also no significant
difference in the number of bacteria cultured after 1 day compared to 7 d for
gastroscopes (day 2: P = 0.895; day 3: P = identical; day 4: P = identical; day
5: P = 0.893; day 6: P = identical; day 7: P = 0.756), colonoscopes (day 2: P =
0.489; day 4: P = 0.493; day 5: P = 0.324; day 6: P = 0.526; day 7: P =
identical), or ERCP scopes (day 2: P = identical; day 7: P = 0.685). CONCLUSION:
There is no correlation between hang time and bacterial load. Endoscopes do not
need to be reprocessed if reused within a period of 7 d.
PMID- 29375744
TI - Advance in plasma SEPT9 gene methylation assay for colorectal cancer early
detection.
AB - This review article summarizes the research advances of the plasma-based SEPT9
gene methylation assay for the clinical detection of colorectal cancer and its
limitations. Colorectal cancer is a common malignancy with a poor prognosis and a
high mortality, for which early detection and diagnosis are particularly crucial
for the high-risk groups. Increasing evidence supported that SEPT9 gene
methylation is associated with the pathogenesis of colorectal cancer and that
detecting the level of methylation of SEPT9 in the peripheral blood can be used
for screening of colorectal cancer in susceptible populations. In recent years,
the data obtained in clinical studies demonstrated that the SEPT9 gene
methylation assay has a good diagnostic performance with regard to both
sensitivity and specificity with the advantage of better acceptability,
convenience and compliance with serological testing compared with fecal occult
blood tests and carcinoembryonic antigen for colorectal cancer (CRC).
Furthermore, the combination of multiple methods or markers has become a growing
trend for CRC detection and screening. Nevertheless, the clinical availability of
the methylated SEPT9 assay is still limited because of the large degree of sample
heterogeneity caused by demographic characteristics, pathological features,
comorbidities and/or technique selection. Another factor is the cost
effectiveness of colorectal cancer screening strategies that hinders its large
scale application. In addition, improvements in its accuracy in detecting
adenomas and premalignant polyps are required.
PMID- 29375745
TI - Vitamin D in esophageal cancer: Is there a role for chemoprevention?
AB - Vitamin D has emerged as a promising anti-cancer agent due to its diverse
biological effects on tumor differentiation, apoptosis and suppression of
cellular proliferation. Current evidence suggests a protective role of vitamin D
in colon cancer. The effect of vitamin D on esophageal cancer remains
controversial. Multiple studies investigated the association between vitamin D
and esophageal cancer, employing different modes of assessment of vitamin D
status such as serum 25-hydroxyvitamin D levels, vitamin D dietary intake or
exposure to ultraviolet B (UVB) radiation. Genetic variations of the vitamin D
receptor (VDR) gene and VDR expression in esophageal specimens have also been
investigated. Ecological studies evaluating exposure to UVB radiation yielded an
inverse correlation with esophageal cancer. When vitamin D dietary intake was
assessed, direct association with esophageal cancer was observed. However,
circulating 25-hydroxyvitamin D concentrations showed inconsistent results. In
this review article, we present a detailed summary of the current data on the
effects of vitamin D on various histological subtypes of esophageal cancer and
their precursor lesions. Well-powered prospective studies with accurate
measurement of vitamin D status are needed before chemoprevention with vitamin D
is recommended, as current evidence does not support a chemopreventive role of
vitamin D against esophageal cancer. Future studies looking at the incidence of
esophageal cancer in patients with pre-cancerous lesions (Barrett's esophagus and
squamous cell dysplasia) receiving vitamin D supplementation are needed.
PMID- 29375746
TI - Impact of duration of adjuvant chemotherapy in radically resected patients with
T4bN1-3M0/TxN3bM0 gastric cancer.
AB - AIM: To provide evidence regarding the postoperative treatment of patients with
T4bN1-3M0/TxN3bM0 gastric cancer, for which guidelines have not been established.
METHODS: Patients who had undergone curative resection between 1996 and 2014 with
a pathological stage of T4bN1-3M0/TxN3bM0 for gastric cancer were retrospectively
analyzed; staging was based on the 7th edition of the American Joint Committee on
Cancer staging system. The clinicopathological characteristics, administration of
adjuvant chemotherapy, and patterns of recurrence were studied. Univariate and
multivariate analyses of prognostic factors were conducted. The chemotherapeutic
agents mainly included fluorouropyrimidine, platinum and taxanes, used as
monotherapy, doublet, or triplet regimens. Patterns of first recurrence were
categorized as locoregional recurrence, peritoneal dissemination, or distant
metastasis. RESULTS: The 5-year overall survival (OS) of the whole group (n =
176) was 16.8%, and the median OS was 25.7 mo (95%CI: 20.9-30.5). Lymphovascular
invasion and a node positive rate (NPR) >= 0.8 were associated with a poor
prognosis (P = 0.01 and P = 0.048, respectively). One hundred forty-seven (83.5%)
of the 176 patients eventually experienced recurrence; the most common pattern of
the first recurrence was distant metastasis. The prognosis was best for patients
with locoregional recurrence and worst for those with peritoneal dissemination.
Twelve (6.8%) of the 176 patients did not receive adjuvant chemotherapy, while
164 (93.2%) patients received adjuvant chemotherapy. Combined chemotherapy,
including doublet and triplet regimens, was associated with a better prognosis
than monotherapy, with no significant difference in 5-year OS (17.5% vs 0%, P =
0.613). The triplet regimen showed no significant survival benefit compared with
the doublet regimen for 5-year OS (18.5% vs 17.4%, P = 0.661). Thirty-nine
(22.1%) patients received adjuvant chemotherapy for longer than six months; the
median OS in patients who received adjuvant chemotherapy for longer than six
months was 40.2 mo (95%CI: 30.6-48.2), significantly longer than the 21.6 mo
(95%CI: 19.1-24.0) in patients who received adjuvant chemotherapy for less than
six months (P = 0.001). CONCLUSION: Patients with T4bN1-3M0/TxN3bM0 gastric
cancer showed a poor prognosis and a high risk of distant metastasis. Adjuvant
chemotherapy for longer than six months improved outcomes for them.
PMID- 29375743
TI - Inflammation-associated microsatellite alterations: Mechanisms and significance
in the prognosis of patients with colorectal cancer.
AB - Microsatellite alterations within genomic DNA frameshift as a result of defective
DNA mismatch repair (MMR). About 15% of sporadic colorectal cancers (CRCs)
manifest hypermethylation of the DNA MMR gene MLH1, resulting in mono- and di
nucleotide frameshifts to classify it as microsatellite instability-high (MSI-H)
and hypermutated, and due to frameshifts at coding microsatellites generating neo
antigens, produce a robust protective immune response that can be enhanced with
immune checkpoint blockade. More commonly, approximately 50% of sporadic non-MSI
H CRCs demonstrate frameshifts at di- and tetra-nucleotide microsatellites to
classify it as MSI-low/elevated microsatellite alterations at selected
tetranucleotide repeats (EMAST) as a result of functional somatic inactivation of
the DNA MMR protein MSH3 via a nuclear-to-cytosolic displacement. The trigger for
MSH3 displacement appears to be inflammation and/or oxidative stress, and unlike
MSI-H CRC patients, patients with MSI-L/EMAST CRCs show poor prognosis. These
inflammatory-associated microsatellite alterations are a consequence of the local
tumor microenvironment, and in theory, if the microenvironment is manipulated to
lower inflammation, the microsatellite alterations and MSH3 dysfunction should be
corrected. Here we describe the mechanisms and significance of inflammatory
associated microsatellite alterations, and propose three areas to deeply explore
the consequences and prevention of inflammation's effect upon the DNA MMR system.
PMID- 29375747
TI - Neoadjuvant hyperfractionated accelerated radiotherapy plus concomitant 5
fluorouracil infusion in locally advanced rectal cancer: A phase II study.
AB - AIM: To evaluate the efficacy and tolerability of neoadjuvant hyperfractionated
accelerated radiotherapy (HART) and concurrent chemotherapy in patients with
locally advanced infraperitoneal rectal cancer. METHODS: A total of 30 patients
with histopathologically confirmed T2-3/N0+ infraperitoneal adenocarcinoma of
rectum cancer patients received preoperative 42 Gy/1.5 Gy/18 days/bid
radiotherapy and continuous infusion of 5-fluorouracil (325 mg/m2). All patients
were operated 4-8 wk after neoadjuvant concomitant therapy. RESULTS: In the early
phase of treatment, 6 patients had grade III-IV gastrointestinal toxicity, 2
patients had grade III-IV hematologic toxicity, and 1 patient had grade V
toxicity due to postoperative sepsis during chemotherapy. Only 1 patient had
radiotherapy-related late side effects, i.e., grade IV tenesmus. Complete
pathological response was achieved in 6 patients (21%), while near-complete
pathological response was obtained in 9 (31%). After a median follow-up period of
60 mo, the local tumor control rate was 96.6%. In 13 patients, distant metastasis
occurred. Disease-free survival rates at 2 and 5 years were 63.3% and 53%, and
corresponding overall survival rates were 70% and 53.1%, respectively.
CONCLUSION: Although it has excellent local control and complete pathological
response rates, neoadjuvant HART concurrent chemotherapy appears to not be a
feasible treatment regimen in locally advanced rectal cancer, having high
perioperative complication and intolerable side effects. Effects of reduced 5
fluorouracil dose or omission of chemotherapy with the aim of reducing toxicity
may be examined in further studies.
PMID- 29375748
TI - Comparison between laparoscopic and open surgery for large gastrointestinal
stromal tumors: A meta-analysis.
AB - AIM: To investigate whether laparoscopic surgery is as safe and feasible as open
resection for patients with larger gastrointestinal stromal tumors (GISTs) (>= 5
cm). METHODS: A systematic search of PubMed, EMBASE, Web of Science and the
Cochrane Library database was performed. Relevant studies of laparoscopic and
open surgery for GISTs of > 5 cm published before December 2016 were identified
from these databases. The quality of the studies was assessed by the Newcastle
Ottawa Quality Assessment Scale. The tumor size, operation time, blood loss,
postoperative hospital stay, complication rate, and disease-free survival rate
were assessed. The software Stata (version 12.0) was used for the meta-analysis.
RESULTS: Five clinical trials comprising 209 patients with GISTs of similar
larger sizes were evaluated. The pooled analysis of 100 patients in the
laparoscopic resection group and 109 patients in the open resection group
demonstrated that laparoscopic surgery was significantly associated with a
shorter postoperative hospital stay (P < 0.001) and less blood loss (P = 0.002).
Moreover, there were no statistically significant differences in the operation
time (P = 0.38), postoperative complication rate (P = 0.88), or disease-free
survival rate (P = 0.20) between two groups. CONCLUSION: Our findings revealed
that for patients with large GISTs of comparable sizes, laparoscopic surgery did
not significantly influence the operation factors or clinical outcomes compared
with open surgery. This suggests that laparoscopic resection is as acceptable as
open surgery for treatment of large gastric GISTs.
PMID- 29375749
TI - Leptomeningeal metastases originated from esophagogastric junction/gastric
cancer: A brief report of two cases.
AB - Leptomeningeal carcinomatosis is a very rare manifestation in patients diagnosed
with esophagogastric junction and gastric cancer. Its prognosis is ominous and
therapy outcomes are disappointing. Herein, we present two patients; one
initially diagnosed with gastric cancer and leptomeningeal carcinomatosis but no
other evidence of metastatic disease and the other one initially diagnosed with
esophagogastric junction cancer, who recurred solitary with leptomeningeal
seedings several years after the initial diagnosis and treatment. Furthermore, a
thorough and short review of the literature is carried out.
PMID- 29375750
TI - Structure-Activity Relationship Studies with Tetrahydroquinoline Analogs as EPAC
Inhibitors.
AB - EPAC proteins are therapeutic targets for the potential treatment of cardiac
hypertrophy and cancer metastasis. Several laboratories use a tetrahydroquinoline
analog, CE3F4, to dissect the role of EPAC1 in various disease states. Here, we
report SAR studies with tetrahydroquinoline analogs that explore various
functional groups. The most potent EPAC inhibitor 12a exists as a mixture of
inseparable E (major) and Z (minor) rotamers. The rotation about the N-formyl
group indeed impacts the activity against EPAC.
PMID- 29375751
TI - Roadmap on optical sensors.
AB - Sensors are devices or systems able to detect, measure and convert magnitudes
from any domain to an electrical one. Using light as a probe for optical sensing
is one of the most efficient approaches for this purpose. The history of optical
sensing using some methods based on absorbance, emissive and florescence
properties date back to the 16th century. The field of optical sensors evolved
during the following centuries, but it did not achieve maturity until the
demonstration of the first laser in 1960. The unique properties of laser light
become particularly important in the case of laser-based sensors, whose operation
is entirely based upon the direct detection of laser light itself, without
relying on any additional mediating device. However, compared with freely
propagating light beams, artificially engineered optical fields are in increasing
demand for probing samples with very small sizes and/or weak light-matter
interaction. Optical fiber sensors constitute a subarea of optical sensors in
which fiber technologies are employed. Different types of specialty and photonic
crystal fibers provide improved performance and novel sensing concepts. Actually,
structurization with wavelength or subwavelength feature size appears as the most
efficient way to enhance sensor sensitivity and its detection limit. This leads
to the area of micro- and nano-engineered optical sensors. It is expected that
the combination of better fabrication techniques and new physical effects may
open new and fascinating opportunities in this area. This roadmap on optical
sensors addresses different technologies and application areas of the field.
Fourteen contributions authored by experts from both industry and academia
provide insights into the current state-of-the-art and the challenges faced by
researchers currently. Two sections of this paper provide an overview of laser
based and frequency comb-based sensors. Three sections address the area of
optical fiber sensors, encompassing both conventional, specialty and photonic
crystal fibers. Several other sections are dedicated to micro- and nano
engineered sensors, including whispering-gallery mode and plasmonic sensors. The
uses of optical sensors in chemical, biological and biomedical areas are
described in other sections. Different approaches required to satisfy
applications at visible, infrared and THz spectral regions are also discussed.
Advances in science and technology required to meet challenges faced in each of
these areas are addressed, together with suggestions on how the field could
evolve in the near future.
PMID- 29375752
TI - Stacking Chairs: Local Sense and Global Nonsense.
AB - We report a confusing stimulus which demonstrates the power of local
interpretation of three-dimensional structure to disrupt a coherent global
perception.
PMID- 29375753
TI - Cortical Double-Opponent Cells in Color Perception: Perceptual Scaling and
Chromatic Visual Evoked Potentials.
AB - In the early visual cortex V1, there are currently only two known neural
substrates for color perception: single-opponent and double-opponent cells. Our
aim was to explore the relative contributions of these neurons to color
perception. We measured the perceptual scaling of color saturation for
equiluminant color checkerboard patterns (designed to stimulate double-opponent
neurons preferentially) and uniformly colored squares (designed to stimulate only
single-opponent neurons) at several cone contrasts. The spatially integrative
responses of single-opponent neurons would produce the same response magnitude
for checkerboards as for uniform squares of the same space-averaged cone
contrast. However, perceived saturation of color checkerboards was higher than
for the corresponding squares. The perceptual results therefore imply that double
opponent cells are involved in color perception of patterns. We also measured the
chromatic visual evoked potential (cVEP) produced by the same stimuli;
checkerboard cVEPs were much larger than those for corresponding squares,
implying that double-opponent cells also contribute to the cVEP response. The
total Fourier power of the cVEP grew sublinearly with cone contrast. However, the
6-Hz Fourier component's power grew linearly with contrast-like saturation
perception. This may also indicate that cortical coding of color depends on
response dynamics.
PMID- 29375754
TI - Effect of Grouping, Segmentation, and Vestibular Stimulation on the Autokinetic
Effect.
AB - We report some new observations on what could be regarded as the world's simplest
visual illusion-the autokinetic effect. When a single dim spot of light is viewed
in a completely dark room, it moves vividly in random directions. During steady
fixation, perhaps subtle eye movements cause the image to move and a failure to
correct for this using eye movement command signals leads to motion perception.
This is especially true because eye muscle fatigue can lead to miscalibration.
However, if two dots are shown, they often move independently in different
directions, which negate the eye movement theory. In addition, two lines defining
a single cross sometimes uncouple and slide past each other and the fragments
composing a hidden object move independently until they click in place and the
whole object is perceived-implying that the illusion occurs relatively late in
visual processing. Finally, the effect is modulated by vestibular stimulation;
anchoring your sense of self may be a prerequisite for binding features into
coherent objects.
PMID- 29375755
TI - Transient Signals and Inattentional Blindness in a Multi-object Tracking Task.
AB - Inattentional blindness is a failure to notice an unexpected event when attention
is directed elsewhere. The current study examined participants' awareness of an
unexpected object that maintained luminance contrast, switched the luminance
once, or repetitively flashed. One hundred twenty participants performed a
dynamic tracking task on a computer monitor for which they were instructed to
count the number of movement deflections of an attended set of objects while
ignoring other objects. On the critical trial, an unexpected cross that did not
change its luminance (control condition), switched its luminance once (switch
condition), or repetitively flashed (flash condition) traveled across the
stimulus display. Participants noticed the unexpected cross more frequently when
the luminance feature matched their attention set than when it did not match.
Unexpectedly, however, a proportion of the participants who noticed the cross in
the switch and flash conditions were statistically comparable. The results
suggest that an unexpected object with even a single luminance change can break
inattentional blindness in a multi-object tracking task.
PMID- 29375756
TI - The use of human dental pulp stem cells for in vivo bone tissue engineering: A
systematic review.
AB - Dental pulp represents a promising and easily accessible source of mesenchymal
stem cells for clinical applications. Many studies have investigated the use of
human dental pulp stem cells and stem cells isolated from the dental pulp of
human exfoliated deciduous teeth for bone tissue engineering in vivo. However,
the type of scaffold used to support the proliferation and differentiation of
dental stem cells, the animal model, the type of bone defect created, and the
methods for evaluation of results were extremely heterogeneous among these
studies conducted. With this issue in mind, the main objective of this study is
to present and summarize, through a systematic review of the literature, in vivo
studies in which the efficacy of human dental pulp stem cells and stem cells from
human exfoliated deciduous teeth (SHED) for bone regeneration was evaluated. The
article search was conducted in PubMed/MEDLINE and Web of Science databases.
Original research articles assessing potential of human dental pulp stem cells
and SHED for in vivo bone tissue engineering, published from 1984 to November
2017, were selected and evaluated in this review according to the following
eligibility criteria: published in English, assessing dental stem cells of human
origin and evaluating in vivo bone tissue formation in animal models or in
humans. From the initial 1576 potentially relevant articles identified, 128 were
excluded due to the fact that they were duplicates and 1392 were considered
ineligible as they did not meet the inclusion criteria. As a result, 56 articles
remained and were fully analyzed in this systematic review. The results obtained
in this systematic review open new avenues to perform bone tissue engineering for
patients with bone defects and emphasize the importance of using human dental
pulp stem cells and SHED to repair actual bone defects in an appropriate animal
model.
PMID- 29375758
TI - How to deal with ground truthing affected by human-induced habitat change?:
Identifying high-quality habitats for the Critically Endangered Red Siskin.
AB - Species distribution models (SDM) can be valuable for identifying key habitats
for conservation management of threatened taxa, but anthropogenic habitat change
can undermine SDM accuracy. We used data for the Red Siskin (Spinus cucullatus),
a critically endangered bird and ground truthing to examine anthropogenic habitat
change as a source of SDM inaccuracy. We aimed to estimate: (1) the Red Siskin's
historic distribution in Venezuela; (2) the portion of this historic distribution
lost to vegetation degradation; and (3) the location of key habitats or areas
with both, a high probability of historic occurrence and a low probability of
vegetation degradation. We ground-truthed 191 locations and used expert opinion
as well as landscape characteristics to classify species' habitat suitability as
excellent, good, acceptable, or poor. We fit a Random Forest model (RF) and
Enhanced Vegetation Index (EVI) time series to evaluate the accuracy and
precision of the expert categorization of habitat suitability. We estimated the
probability of historic occurrence by fitting a MaxLike model using 88 presence
records (1960-2013) and data on forest cover and aridity index. Of the entire
study area, 23% (20,696 km2) had a historic probability of Red Siskin occurrence
over 0.743. Furthermore, 85% of ground-truthed locations had substantial
reductions in mean EVI, resulting in key habitats totaling just 976 km2, in small
blocks in the western and central regions. Decline in Area of Occupancy over 15
years was between 40% and 95%, corresponding to an extinction risk category
between Vulnerable and Critically Endangered. Relating key habitats with other
landscape features revealed significant risks and opportunities for proposed
conservation interventions, including the fact that ongoing vegetation
degradation could limit the establishment of reintroduced populations in eastern
areas, while the conservation of remaining key habitats on private lands could be
improved with biodiversity-friendly agri- and silviculture programs.
PMID- 29375757
TI - Parasite spillover: indirect effects of invasive Burmese pythons.
AB - Identification of the origin of parasites of nonindigenous species (NIS) can be
complex. NIS may introduce parasites from their native range and acquire
parasites from within their invaded range. Determination of whether parasites are
non-native or native can be complicated when parasite genera occur within both
the NIS' native range and its introduced range. We explored potential for
spillover and spillback of lung parasites infecting Burmese pythons (Python
bivittatus) in their invasive range (Florida). We collected 498 indigenous snakes
of 26 species and 805 Burmese pythons during 2004-2016 and examined them for lung
parasites. We used morphology to identify three genera of pentastome parasites,
Raillietiella, a cosmopolitan form, and Porocephalus and Kiricephalus, both New
World forms. We sequenced these parasites at one mitochondrial and one nuclear
locus and showed that each genus is represented by a single species, R.
orientalis, P. crotali, and K. coarctatus. Pythons are host to R. orientalis and
P. crotali, but not K. coarctatus; native snakes are host to all three species.
Sequence data show that pythons introduced R. orientalis to North America, where
this parasite now infects native snakes. Additionally, our data suggest that
pythons are competent hosts to P. crotali, a widespread parasite native to North
and South America that was previously hypothesized to infect only viperid snakes.
Our results indicate invasive Burmese pythons have affected parasite-host
dynamics of native snakes in ways that are consistent with parasite spillover and
demonstrate the potential for indirect effects during invasions. Additionally, we
show that pythons have acquired a parasite native to their introduced range,
which is the initial condition necessary for parasite spillback.
PMID- 29375759
TI - Improving the use of environmental diversity as a surrogate for species
representation.
AB - The continuous p-median approach to environmental diversity (ED) is a reliable
way to identify sites that efficiently represent species. A recently developed
maximum dispersion (maxdisp) approach to ED is computationally simpler, does not
require the user to reduce environmental space to two dimensions, and performed
better than continuous p-median for datasets of South African animals. We tested
whether maxdisp performs as well as continuous p-median for 12 datasets that
included plants and other continents, and whether particular types of
environmental variables produced consistently better models of ED. We selected 12
species inventories and atlases to span a broad range of taxa (plants, birds,
mammals, reptiles, and amphibians), spatial extents, and resolutions. For each
dataset, we used continuous p-median ED and maxdisp ED in combination with five
sets of environmental variables (five combinations of temperature, precipitation,
insolation, NDVI, and topographic variables) to select environmentally diverse
sites. We used the species accumulation index (SAI) to evaluate the efficiency of
ED in representing species for each approach and set of environmental variables.
Maxdisp ED represented species better than continuous p-median ED in five of 12
biodiversity datasets, and about the same for the other seven biodiversity
datasets. Efficiency of ED also varied with type of variables used to define
environmental space, but no particular combination of variables consistently
performed best. We conclude that maxdisp ED performs at least as well as
continuous p-median ED, and has the advantage of faster and simpler computation.
Surprisingly, using all 38 environmental variables was not consistently better
than using subsets of variables, nor did any subset emerge as consistently best
or worst; further work is needed to identify the best variables to define
environmental space. Results can help ecologists and conservationists select
sites for species representation and assist in conservation planning.
PMID- 29375760
TI - Evolutionary history of Daphnia drives divergence in grazing selectivity and
alters temporal community dynamics of producers.
AB - Consumers with different seasonal life histories encounter different communities
of producers during specific seasonal phases. If consumers evolve to prefer the
producers that they encounter, then consumers may reciprocally influence the
temporal composition of producer communities. Here, we study the keystone
consumer Daphnia ambigua, whose seasonal life history has diverged due to
intraspecific predator divergence across lakes of New England. We ask whether
grazing preferences of Daphnia have diverged also and test whether any grazing
differences influence temporal composition patterns of producers. We reared
clonal populations of Daphnia from natural populations representing the two
diverged life history types for multiple generations. We conducted short-term (24
hr) and long-term (27 days) grazing experiments in equal polycultures consisting
of three diatom and two green algae species, treated with no consumer, Daphnia
from lakes with anadromous alewife, or from lakes with landlocked alewife. After
24 hr, life history and grazing preference divergence in Daphnia ambigua drove
significant differences in producer composition. However, those differences
disappeared at the end of the 27-day experiment. Our results illustrate that,
despite potentially more complex long-term dynamics, a multitrophic cascade of
evolutionary divergence from a predator can influence temporal community dynamics
at the producer level.
PMID- 29375761
TI - Taking a trip to the shelf: Behavioral decisions are mediated by the proximity to
foraging habitats in the black-legged kittiwake.
AB - For marine top predators like seabirds, the oceans represent a multitude of
habitats regarding oceanographic conditions and food availability. Worldwide,
these marine habitats are being altered by changes in climate and increased
anthropogenic impact. This is causing a growing concern on how seabird
populations might adapt to these changes. Understanding how seabird populations
respond to fluctuating environmental conditions and to what extent behavioral
flexibility can buffer variations in food availability can help predict how
seabirds may cope with changes in the marine environment. Such knowledge is
important to implement proper long-term conservation measures intended to protect
marine predators. We explored behavioral flexibility in choice of foraging
habitat of chick-rearing black-legged kittiwakes Rissa tridactyla during multiple
years. By comparing foraging behavior of individuals from two colonies with large
differences in oceanographic conditions and distances to predictable feeding
areas at the Norwegian shelf break, we investigated how foraging decisions are
related to intrinsic and extrinsic factors. We found that proximity to the shelf
break determined which factors drove the decision to forage there. At the colony
near the shelf break, time of departure from the colony and wind speed were most
important in driving the choice of habitat. At the colony farther from the shelf
break, the decision to forage there was driven by adult body condition. Birds
furthermore adjusted foraging behavior metrics according to time of the day,
weather conditions, body condition, and the age of the chicks. The study shows
that kittiwakes have high degree of flexibility in their behavioral response to a
variable marine environment, which might help them buffer changes in prey
distribution around the colonies. The flexibility is, however, dependent on the
availability of foraging habitats near the colony.
PMID- 29375762
TI - Effects of temperature, soil substrate, and microbial community on carbon
mineralization across three climatically contrasting forest sites.
AB - How biotic and abiotic factors influence soil carbon (C) mineralization rate (RS)
has recently emerged as one of the focal interests in ecological studies. To
determine the relative effects of temperature, soil substrate and microbial
community on Rs, we conducted a laboratory experiment involving reciprocal
microbial inoculations of three zonal forest soils, and measured RS over a 61-day
period at three temperatures (5, 15, and 25 degrees C). Results show that both Rs
and the cumulative emission of C (Rcum), normalized to per unit soil organic C
(SOC), were significantly affected by incubation temperature, soil substrate,
microbial inoculum treatment, and their interactions (p < .05). Overall, the
incubation temperature had the strongest effect on the RS; at given temperatures,
soil substrate, microbial inoculum treatment, and their interaction all
significantly affected both Rs (p < .001) and Rcum (p <= .01), but the effect of
soil substrate was much stronger than others. There was no consistent pattern of
thermal adaptation in microbial decomposition of SOC in the reciprocal
inoculations. Moreover, when different sources of microbial inocula were
introduced to the same soil substrate, the microbial community structure
converged with incubation without altering the overall soil enzyme activities;
when different types of soil substrate were inoculated with the same sources of
microbial inocula, both the microbial community structure and soil enzyme
activities diverged. Overall, temperature plays a predominant role in affecting
Rs and Rcum, while soil substrate determines the mineralizable SOC under given
conditions. The role of microbial community in driving SOC mineralization is
weaker than that of climate and soil substrate, because soil microbial community
is both affected, and adapts to, climatic factors and soil matrix.
PMID- 29375763
TI - Herbivory and pollen limitation at the upper elevational range limit of two
forest understory plants of eastern North America.
AB - Studies of species' range limits focus most often on abiotic factors, although
the strength of biotic interactions might also vary along environmental gradients
and have strong demographic effects. For example, pollinator abundance might
decrease at range limits due to harsh environmental conditions, and reduced plant
density can reduce attractiveness to pollinators and increase or decrease
herbivory. We tested for variation in the strength of pollen limitation and
herbivory by ungulates along a gradient leading to the upper elevational range
limits of Trillium erectum (Melanthiaceae) and Erythronium americanum (Liliaceae)
in Mont Megantic National Park, Quebec, Canada. In T. erectum, pollen limitation
was higher at the range limit, but seed set decreased only slightly with
elevation and only in one of two years. In contrast, herbivory of T. erectum
increased from <10% at low elevations to >60% at the upper elevational range
limit. In E. americanum, we found no evidence of pollen limitation despite a
significant decrease in seed set with elevation, and herbivory was low across the
entire gradient. Overall, our results demonstrate the potential for relatively
strong negative interactions (herbivory) and weak positive interactions
(pollination) at plant range edges, although this was clearly species specific.
To the extent that these interactions have important demographic consequences
highly likely for herbivory on Trillium, based on previous studies-such
interactions might play a role in determining plant species' range limits along
putatively climatic gradients.
PMID- 29375764
TI - Is Didymosphenia geminata an introduced species in New Zealand? Evidence from
trends in water chemistry, and chloroplast DNA.
AB - Defining the geographic origins of free-living aquatic microorganisms can be
problematic because many such organisms have ubiquitous distributions, and
proving absence from a region is practically impossible. Geographic origins
become important if microorganisms have invasive characteristics. The freshwater
diatom Didymosphenia geminata is a potentially ubiquitous microorganism for which
the recent global expansion of nuisance proliferations has been attributed to
environmental change. The changes may include declines in dissolved reactive
phosphorus (DRP) to low levels (e.g., <2 mg/m3) and increases in dissolved
inorganic nitrogen (DIN) to >10 mg/m3 because both these nutrient conditions are
associated with nuisance proliferations of D. geminata. Proliferations of D.
geminata have been observed in South Island, New Zealand, since 2004. We aimed to
address the ubiquity hypothesis for D. geminata in New Zealand using historical
river water nutrient data and new molecular analyses. We used 15 years of data at
77 river sites to assess whether trends in DRP or DIN prior to the spread of D.
geminata were consistent with a transition from a rare, undetected, species to a
nuisance species. We used new sequences of chloroplast regions to examine the
genetic similarity of D. geminata populations from New Zealand and six overseas
locations. We found no evidence for declines in DRP concentrations since 1989
that could explain the spread of proliferations since 2004. At some affected
sites, lowest DRP occurred before 2004. Trends in DIN also did not indicate
enhanced suitability for D. geminata. Lack of diversity in the chloroplast
intergenic regions of New Zealand populations and populations from western North
America is consistent with recent dispersal to New Zealand. Our analyses did not
support the proposal that D. geminata was historically present in New Zealand
rivers. These results provide further evidence countering proposals of general
ubiquity in freshwater diatoms and indicate that, as assumed in 2004, D. geminata
is a recent arrival in New Zealand.
PMID- 29375765
TI - Prolonged dry periods between rainfall events shorten the growth period of the
resurrection plant Reaumuria soongorica.
AB - The resurrection plant Reaumuria soongorica is widespread across Asia, southern
Europe, and North Africa and is considered to be a constructive keystone species
in desert ecosystems, but the impacts of climate change on this species in desert
ecosystems are unclear. Here, the morphological responses of R. soongorica to
changes in rainfall quantity (30% reduction and 30% increase in rainfall
quantity) and interval (50% longer drought interval between rainfall events) were
tested. Stage-specific changes in growth were monitored by sampling at the
beginning, middle, and end of the growing season. Reduced rainfall decreased the
aboveground and total biomass, while additional precipitation generally advanced
R. soongorica growth and biomass accumulation. An increased interval between
rainfall events resulted in an increase in root biomass in the middle of the
growing season, followed by a decrease toward the end. The response to the
combination of increased rainfall quantity and interval was similar to the
response to increased interval alone, suggesting that the effects of changes in
rainfall patterns exert a greater influence than increased rainfall quantity.
Thus, despite the short duration of this experiment, consequences of changes in
rainfall regime on seedling growth were observed. In particular, a prolonged
rainfall interval shortened the growth period, suggesting that climate change
induced rainfall variability may have significant effects on the structure and
functioning of desert ecosystems.
PMID- 29375766
TI - Minimum area thresholds for rattlesnakes and colubrid snakes on islands in the
Gulf of California, Mexico.
AB - We expand a framework for estimating minimum area thresholds to elaborate
biogeographic patterns between two groups of snakes (rattlesnakes and colubrid
snakes) on islands in the western Gulf of California, Mexico. The minimum area
thresholds for supporting single species versus coexistence of two or more
species relate to hypotheses of the relative importance of energetic efficiency
and competitive interactions within groups, respectively. We used ordinal
logistic regression probability functions to estimate minimum area thresholds
after evaluating the influence of island area, isolation, and age on rattlesnake
and colubrid occupancy patterns across 83 islands. Minimum area thresholds for
islands supporting one species were nearly identical for rattlesnakes and
colubrids (~1.7 km2), suggesting that selective tradeoffs for distinctive life
history traits between rattlesnakes and colubrids did not result in any clear
advantage of one life history strategy over the other on islands. However, the
minimum area threshold for supporting two or more species of rattlesnakes (37.1
km2) was over five times greater than it was for supporting two or more species
of colubrids (6.7 km2). The great differences between rattlesnakes and colubrids
in minimum area required to support more than one species imply that for islands
in the Gulf of California relative extinction risks are higher for coexistence of
multiple species of rattlesnakes and that competition within and between species
of rattlesnakes is likely much more intense than it is within and between species
of colubrids.
PMID- 29375767
TI - Ecobat: An online resource to facilitate transparent, evidence-based
interpretation of bat activity data.
AB - Acoustic surveys of bats are one of the techniques most commonly used by
ecological practitioners. The results are used in Ecological Impact Assessments
to assess the likely impacts of future developments on species that are widely
protected in law, and to monitor developments' postconstruction. However, there
is no standardized methodology for analyzing or interpreting these data, which
can make the assessment of the ecological value of a site very subjective.
Comparisons of sites and projects are therefore difficult for ecologists and
decision-makers, for example, when trying to identify the best location for a new
road based on relative bat activity levels along alternative routes. Here, we
present a new web-based, data-driven tool, Ecobat, which addresses the need for a
more robust way of interpreting ecological data. Ecobat offers users an easy,
standardized, and objective method for analyzing bat activity data. It allows
ecological practitioners to compare bat activity data at regional and national
scales and to generate a numerical indicator of the relative importance of a
night's worth of bat activity. The tool is free and open-source; because the
underlying algorithms are already developed, it could easily be expanded to new
geographical regions and species. Data donation is required to ensure the
robustness of the analyses; we use a positive feedback mechanism to encourage
ecological practitioners to share data by providing in return high quality,
contextualized data analysis, and graphical visualizations for direct use in
ecological reports.
PMID- 29375768
TI - Infrastructure features outperform environmental variables explaining rabbit
abundance around motorways.
AB - Human disturbance is widespread across landscapes in the form of roads that alter
wildlife populations. Knowing which road features are responsible for the species
response and their relevance in comparison with environmental variables will
provide useful information for effective conservation measures. We sampled
relative abundance of European rabbits, a very widespread species, in motorway
verges at regional scale, in an area with large variability in environmental and
infrastructure conditions. Environmental variables included vegetation structure,
plant productivity, distance to water sources, and altitude. Infrastructure
characteristics were the type of vegetation in verges, verge width, traffic
volume, and the presence of embankments. We performed a variance partitioning
analysis to determine the relative importance of two sets of variables on rabbit
abundance. Additionally, we identified the most important variables and their
effects model averaging after model selection by AICc on hypothesis-based models.
As a group, infrastructure features explained four times more variability in
rabbit abundance than environmental variables, being the effects of the former
critical in motorway stretches located in altered landscapes with no available
habitat for rabbits, such as agricultural fields. Model selection and Akaike
weights showed that verge width and traffic volume are the most important
variables explaining rabbit abundance index, with positive and negative effects,
respectively. In the light of these results, the response of species to the
infrastructure can be modulated through the modification of motorway features,
being some of them manageable in the design phase. The identification of such
features leads to suggestions for improvement through low-cost corrective
measures and conservation plans. As a general indication, keeping motorway verges
less than 10 m wide will prevent high densities of rabbits and avoid the unwanted
effects that rabbit populations can generate in some areas.
PMID- 29375769
TI - Does wing reduction influence the relationship between altitude and insect body
size? A case study using New Zealand's diverse stonefly fauna.
AB - Researchers have long been intrigued by evolutionary processes that explain
biological diversity. Numerous studies have reported strong associations between
animal body size and altitude, but insect analyses have often yielded equivocal
results. Here, we analyze a collection database of New Zealand's diverse endemic
stonefly fauna (106 species across 21 genera) to test for relationships between
altitude and plecopteran body size. This insect assemblage includes a variety of
wing-reduced (26 spp) and fully winged (80 spp) taxa and covers a broad range of
altitudes (0-2,000 m). We detected significant relationships between altitude and
body size for wing-reduced, but not fully winged, stonefly taxa. These results
suggest that, while the maintenance of flight apparatus might place a constraint
on body size in some fully winged species, the loss of flight may free insects
from this evolutionary constraint. We suggest that rapid switches in insect
dispersal ability may facilitate rapid evolutionary shifts across a number of
biological attributes and may explain the inconsistent results from previous
macroecological analyses of insect assemblages.
PMID- 29375770
TI - Indirect risk effects reduce feeding efficiency of ducks during spring.
AB - Indirect risk effects of predators on prey behavior can have more of an impact on
prey populations than direct consumptive effects. Predation risk can elicit more
vigilance behavior in prey, reducing the amount of time available for other
activities, such as foraging, which could potentially reduce foraging efficiency.
Understanding the conditions associated with predation risk and the specific
effects predation risk have on prey behavior is important because it has direct
influences on the profitability of food items found under various conditions and
states of the forager. The goals of this study were to assess how ducks perceived
predation risk in various habitat types and how strongly perceived risk versus
energetic demand affected foraging behavior. We manipulated food abundance in
different wetland types in Illinois, USA to reduce confounding between food
abundance and vegetation structure. We conducted focal-animal behavioral samples
on five duck species in treatment and control plots and used generalized linear
mixed-effects models to compare the effects of vegetation structure versus other
factors on the intensity with which ducks fed and the duration of feeding stints.
Mallards fed more intensively and, along with blue-winged teal, used longer
feeding stints in open habitats, consistent with the hypothesis that limited
visibility was perceived to have a greater predation risk than unlimited
visibility. The species temporally nearest to nesting, wood ducks, were willing
to take more risks for a greater food reward, consistent with an increase in a
marginal value of energy as they approached nesting. Our results indicate that
some duck species value energy differently based on the surrounding vegetation
structure and density. Furthermore, increases in the marginal value of energy can
be more influential than perceived risk in shaping foraging behavior patterns.
Based on these findings, we conclude that the value of various food items is not
solely determined by energy contained in the item but by conditions in which it
is found and the state of the forager.
PMID- 29375772
TI - Sexually dimorphic swallows have higher extinction risk.
AB - The effect of sexual selection on extinction risk remains unclear. In theory,
sexual selection can lead to both increase and decrease extinction probability
depending on the ecology of the study system. Thus, combining different groups
might obscure patterns that can be found in groups that share similar ecological
features. Using phylogenetic comparative analysis, we studied sexual plumage
dimorphism in relation to the perceived risk of extinction in hirundines
(subfamily: Hirundininae), in which all species are socially monogamous aerial
foragers. Among the 72 species studied, five species are facing a perceived
threat of extinction. Species with sexually dimorphic plumage had a higher risk
of extinction than did species with sexually monomorphic plumage. Likewise, when
focusing solely on tail ornamentation, species that exhibit a sexual dimorphism
in tail length had a higher risk of extinction than did other species. In
Hirundininae, which are affected a great deal by severe weather, sexual selection
and the resultant sexual dimorphism would increase extinction risk.
PMID- 29375771
TI - General environmental heterogeneity as the explanation of sexuality? Comparative
study shows that ancient asexual taxa are associated with both biotically and
abiotically homogeneous environments.
AB - Ecological theories of sexual reproduction assume that sexuality is advantageous
in certain conditions, for example, in biotically or abiotically more
heterogeneous environments. Such theories thus could be tested by comparative
studies. However, the published results of these studies are rather unconvincing.
Here, we present the results of a new comparative study based exclusively on the
ancient asexual clades. The association with biotically or abiotically
homogeneous environments in these asexual clades was compared with the same
association in their sister, or closely related, sexual clades. Using the
conservative definition of ancient asexuals (i.e., age >1 million years), we
found eight pairs of taxa of sexual and asexual species, six differing in the
heterogeneity of their inhabited environment on the basis of available data. The
difference between the environmental type associated with the sexual and asexual
species was then compared in an exact binomial test. The results showed that the
majority of ancient asexual clades tend to be associated with biotically,
abiotically, or both biotically and abiotically more homogeneous environments
than their sexual controls. In the exploratory part of the study, we found that
the ancient asexuals often have durable resting stages, enabling life in
subjectively homogeneous environments, live in the absence of intense biotic
interactions, and are very often sedentary, inhabiting benthos, and soil. The
consequences of these findings for the ecological theories of sexual reproduction
are discussed.
PMID- 29375773
TI - Diving behavior in a free-living, semi-aquatic herbivore, the Eurasian beaver
Castor fiber.
AB - Semi-aquatic mammals have secondarily returned to the aquatic environment,
although they spend a major part of their life operating in air. Moving both on
land, as well as in, and under water is challenging because such species are
considered to be imperfectly adapted to both environments. We deployed
accelerometers combined with a depth sensor to study the diving behavior of 12
free-living Eurasian beavers Castor fiber in southeast Norway between 2009 and
2011 to examine the extent to which beavers conformed with mass-dependent dive
capacities, expecting them to be poorer than wholly aquatic species. Dives were
generally shallow (<1 m) and of short duration (<30 s), suggesting that the
majority of dives were aerobic. Dive parameters such as maximum diving depth,
dive duration, and bottom phase duration were related to the effort during
different dive phases and the maximum depth reached. During the descent, mean
vectorial dynamic body acceleration (VeDBA-a proxy for movement power) was
highest near the surface, probably due to increased upthrust linked to fur- and
lung-associated air. Inconsistently though, mean VeDBA underwater was highest
during the ascent when this air would be expected to help drive the animals back
to the surface. Higher movement costs during ascents may arise from transporting
materials up, the air bubbling out of the fur, and/or the animals' exhaling
during the bottom phase of the dive. In a manner similar to other homeotherms,
beavers extended both dive and bottom phase durations with diving depth. Deeper
dives tended to have a longer bottom phase, although its duration was shortened
with increased VeDBA during the bottom phase. Water temperature did not affect
diving behavior. Overall, the beavers' dive profile (depth, duration) was similar
to other semi-aquatic freshwater divers. However, beavers dived for only 2.8% of
their active time, presumably because they do not rely on diving for food
acquisition.
PMID- 29375774
TI - Genetic sex determination assays in 53 mammalian species: Literature analysis and
guidelines for reporting standardization.
AB - Erstwhile, sex was determined by observation, which is not always feasible.
Nowadays, genetic methods are prevailing due to their accuracy, simplicity, low
costs, and time-efficiency. However, there is no comprehensive review enabling
overview and development of the field. The studies are heterogeneous, lacking a
standardized reporting strategy. Therefore, our aim was to collect genetic sexing
assays for mammals and assemble them in a catalogue with unified terminology.
Publications were extracted from online databases using key words such as sexing
and molecular. The collected data were supplemented with species and gene IDs and
the type of sex-specific sequence variant (SSSV). We developed a catalogue and
graphic presentation of diagnostic tests for molecular sex determination of
mammals, based on 58 papers published from 2/1991 to 10/2016. The catalogue
consists of five categories: species, genes, SSSVs, methods, and references.
Based on the analysis of published literature, we propose minimal requirements
for reporting, consisting of: species scientific name and ID, genetic sequence
with name and ID, SSSV, methodology, genomic coordinates (e.g., restriction
sites, SSSVs), amplification system, and description of detected amplicon and
controls. The present study summarizes vast knowledge that has up to now been
scattered across databases, representing the first step toward standardization
regarding molecular sexing, enabling a better overview of existing tests and
facilitating planned designs of novel tests. The project is ongoing; collecting
additional publications, optimizing field development, and standardizing data
presentation are needed.
PMID- 29375775
TI - Complex effects of mammalian grazing on extramatrical mycelial biomass in the
Scandes forest-tundra ecotone.
AB - Mycorrhizal associations are widespread in high-latitude ecosystems and are
potentially of great importance for global carbon dynamics. Although large
herbivores play a key part in shaping subarctic plant communities, their impact
on mycorrhizal dynamics is largely unknown. We measured extramatrical mycelial
(EMM) biomass during one growing season in 16-year-old herbivore exclosures and
unenclosed control plots (ambient), at three mountain birch forests and two shrub
heath sites, in the Scandes forest-tundra ecotone. We also used high-throughput
amplicon sequencing for taxonomic identification to investigate differences in
fungal species composition. At the birch forest sites, EMM biomass was
significantly higher in exclosures (1.36 +/- 0.43 g C/m2) than in ambient
conditions (0.66 +/- 0.17 g C/m2) and was positively influenced by soil thawing
degree-days. At the shrub heath sites, there was no significant effect on EMM
biomass (exclosures: 0.72 +/- 0.09 g C/m2; ambient plots: 1.43 +/- 0.94).
However, EMM biomass was negatively related to Betula nana abundance, which was
greater in exclosures, suggesting that grazing affected EMM biomass positively.
We found no significant treatment effects on fungal diversity but the most
abundant ectomycorrhizal lineage/cortinarius, showed a near-significant positive
effect of herbivore exclusion (p = .08), indicating that herbivory also affects
fungal community composition. These results suggest that herbivory can influence
fungal biomass in highly context-dependent ways in subarctic ecosystems.
Considering the importance of root-associated fungi for ecosystem carbon balance,
these findings could have far-reaching implications.
PMID- 29375776
TI - Increased temperature disrupts chemical communication in some species but not
others: The importance of local adaptation and distribution.
AB - Environmental conditions experienced by a species during its evolutionary history
may shape the signals it uses for communication. Consequently, rapid
environmental changes may lead to less effective signals, which interfere with
communication between individuals, altering life history traits such as predator
detection and mate searching. Increased temperature can reduce the efficacy of
scent marks released by male lizards, but the extent to which this negative
effect is related to specific biological traits and evolutionary histories across
species and populations have not been explored. We experimentally tested how
increased temperature affects the efficacy of chemical signals of high- and low
altitude populations of three lizard species that differ in their ecological
requirements and altitudinal distributions. We tested the behavioral chemosensory
responses of males from each species and population to male scent marks that had
been incubated at one of two temperatures (cold 16 degrees C or hot 20 degrees
C). In high-altitude populations of a mountain species (Iberolacerta monticola),
the efficacy of chemical signals (i.e., latency time and number of tongue flicks)
was lower after scent marks had been exposed to a hot temperature. The
temperature that scent marks were incubated at did not affect the efficacy of
chemical signals in a ubiquitous species (Podarcis muralis) or another mountain
species (I. bonalli). Our results suggest that specific ecological traits arising
through local adaptation to restricted distributions may be important in
determining species vulnerability to climatic change.
PMID- 29375777
TI - A stoichiometric perspective of the effect of herbivore dung on ecosystem
functioning.
AB - Ungulate herbivores play a prominent role in maintaining the tree-grass balance
in African savannas. Their top-down role through selective feeding on either
trees or grasses is well studied, but their bottom-up role through deposition of
nutrients in dung and urine has been overlooked. Here, we propose a novel concept
of savanna ecosystem functioning in which the balance between trees and grasses
is maintained through stoichiometric differences in dung of herbivores that feed
on them. We describe a framework in which N2-fixing trees and grasses, as well as
ungulate browsing and grazing herbivores, occupy opposite positions in an
interconnected cycle of processes. The framework makes the testable assumption
that the differences in dung N:P ratio among browsers and grazers are large
enough to influence competitive interactions between N2-fixing trees and grasses.
Other key elements of our concept are supported with field data from a Kenyan
savanna.
PMID- 29375778
TI - Are there morphological and life-history traits under climate-dependent
differential selection in S Tunesian Diplotaxis harra (Forssk.) Boiss.
(Brassicaceae) populations?
AB - Adaptation of morphological, physiological, or life-history traits of a plant
species to heterogeneous habitats through the process of natural selection is a
paramount process in evolutionary biology. We have used a population genomic
approach to disentangle selection-based and demography-based variation in
morphological and life-history traits in the crucifer Diplotaxis harra (Forssk.)
Boiss. (Brassicaceae) encountered in populations along aridity gradients in S
Tunisia. We have genotyped 182 individuals from 12 populations of the species
ranging from coastal to semidesert habitats using amplified fragment length
polymorphism (AFLP) fingerprinting and assessed a range of morphological and life
history traits from their progeny cultivated under common-garden conditions.
Application of three different statistical approaches for searching AFLP loci
under selection allowed us to characterize candidate loci, for which their
association with the traits assessed was tested for statistical significance and
correlation with climate data. As a key result of this study, we find that only
the shape of cauline leaves seems to be under differential selection along the
aridity gradient in S Tunisian populations of Diplotaxis harra, while for all
other traits studied neutral biogeographical and/or random factors could not be
excluded as explanation for the variation observed. The counter-intuitive finding
that plants from populations with more arid habitats produce broader leaves under
optimal conditions of cultivation than those from more mesic habitats is
interpreted as being ascribable to selection for a higher plasticity in this
trait under more unpredictable semidesert conditions compared to the more
predictable ones in coastal habitats.
PMID- 29375779
TI - Genome-wide single-nucleotide polymorphism data reveal cryptic species within
cryptic freshwater snail species-The case of the Ancylus fluviatilis species
complex.
AB - DNA barcoding utilizes short standardized DNA sequences to identify species and
is increasingly used in biodiversity assessments. The technique has unveiled an
unforeseeably high number of morphologically cryptic species. However, if
speciation has occurred relatively recently and rapidly, the use of single gene
markers, and especially the exclusive use of mitochondrial markers, will
presumably fail in delimitating species. Therefore, the true number of biological
species might be even higher. One mechanism that can result in rapid speciation
is hybridization of different species in combination with polyploidization, that
is, allopolyploid speciation. In this study, we analyzed the population genetic
structure of the polyploid freshwater snail Ancylus fluviatilis, for which
allopolyploidization was postulated as a speciation mechanism. DNA barcoding has
already revealed four cryptic species within A. fluviatilis (i.e., A. fluviatilis
s. str., Ancylus sp. A-C), but early allozyme data even hint at the presence of
additional cryptic lineages in Central Europe. We combined COI sequencing with
high-resolution genome-wide SNP data (ddRAD data) to analyze the genetic
structure of A. fluviatilis populations in a Central German low mountain range
(Sauerland). The ddRAD data results indicate the presence of three cryptic
species within A. fluviatilis s. str. occurring in sympatry and even syntopy,
whereas mitochondrial sequence data only support the existence of one species,
with shared haplotypes between species. Our study hence points to the limitations
of DNA barcoding when dealing with organismal groups where speciation is assumed
to have occurred rapidly, for example, through the process of
allopolyploidization. We therefore emphasize that single marker DNA barcoding can
underestimate the true species diversity and argue in strong favor of using
genome-wide data for species delimitation in such groups.
PMID- 29375780
TI - The baubellum is more developmentally and evolutionarily labile than the baculum.
AB - Understanding the evolutionary forces that influence sexual dimorphism is a
fundamental goal in biology. Here, we focus on one particularly extreme example
of sexual dimorphism. Many mammal species possess a bone in their penis called a
baculum. The female equivalent of this bone is called the baubellum and occurs in
the clitoris, which is developmentally homologous to the male penis. To
understand the potential linkage between these two structures, we scored
baculum/baubellum presence/absence across 163 species and analyzed their
distribution in a phylogenetic framework. The majority of species (N = 134)
shared the same state in males and females (both baculum and baubellum present or
absent). However, the baubellum has experienced significantly more transitions,
and more recent transitions, so that the remaining 29 species have a baculum but
not a well-developed baubellum. Even in species where both bones are present, the
baubellum shows more ontogenetic variability and harbors more morphological
variation than the baculum. Our study demonstrates that the baculum and baubellum
are generally correlated across mammals, but that the baubellum is more
evolutionarily and developmentally labile than the baculum. The accumulation of
more evolutionary transitions, especially losses in the baubellum, as well as
noisier developmental patterns, suggests that the baubellum may be nonfunctional,
and lost over time.
PMID- 29375781
TI - Experimental stress during molt suggests the evolution of condition-dependent and
condition-independent ornaments in the king penguin.
AB - Sexual selection and social selection are two important theories proposed for
explaining the evolution of colorful ornamental traits in animals. Understanding
signal honesty requires studying how environmental and physiological factors
during development influence the showy nature of sexual and social ornaments. We
experimentally manipulated physiological stress and immunity status during the
molt in adult king penguins (Aptenodytes patagonicus), and studied the
consequences of our treatments on colourful ornaments (yellow-orange and UV beak
spots and yellow-orange auricular feather patches) known to be used in sexual and
social contexts in this species. Whereas some ornamental features showed strong
condition-dependence (yellow auricular feather chroma, yellow and UV chroma of
the beak), others were condition-independent and remained highly correlated
before and after the molt (auricular patch size and beak UV hue). Our study
provides a rare examination of the links between ornament determinism and
selection processes in the wild. We highlight the coexistence of ornaments costly
to produce that may be honest signals used in mate choice, and ornaments for
which honesty may be enforced by social mediation or rely on genetic constraints.
PMID- 29375782
TI - Pollinator-mediated selection on floral size and tube color in Linum pubescens:
Can differential behavior and preference in different times of the day maintain
dimorphism?
AB - Diversity of flower traits is often proposed as the outcome of selection exerted
by pollinators. Positive directional pollinator-mediated selection on floral size
has been widely shown to reduce phenotypic variance. However, the underlying
mechanism of maintaining within-population floral color polymorphism is poorly
understood. Divergent selection, mediated by different pollinators or by both
mutualists and antagonists, may create and maintain such polymorphism, but it has
rarely been shown to result from differential behavior of one pollinator. We
tested whether different behaviors of the same pollinators in morning and evening
are associated with dimorphic floral trait in Linum pubescens, a Mediterranean
annual plant that exhibits variable within-population frequencies of dark- and
light-colored flower tubes. Usia bicolor bee-flies, the major pollinators of L.
pubescens, are mostly feeding in the flower in the morning, while in the evening
they are mostly visiting the flowers for mating. In 2 years of studying L.
pubescens in a single large population in the Carmel, Israel, we found in one
year that dark-centered flowers received significantly higher fraction of visits
in the morning. Fitness was positively affected by number of visits, but no
fitness differences were found between tube-color morphs, suggesting that both
morphs have similar pollination success. Using mediation analysis, we found that
flower size was under positive directional pollinator-mediated selection in both
years, but pollinator behavior did not explain entirely this selection, which was
possibly mediated also by other agents, such as florivores or a-biotic stresses.
While most pollinator-mediated selection studies show that flower size signals
food reward, in L. pubescens, it may also signal for mating place, which may
drive positive selection. While flower size found to be under pollinator-mediated
selection in L. pubescens, differential behavior of the pollinators in morning
and evening did not seem to explain flower color polymorphism.
PMID- 29375783
TI - What factors potentially influence the ability of phylogenetic distance to
predict trait dispersion in a temperate forest?
AB - Although phylogenetic-based approaches have been frequently used to infer
ecological processes, they have been increasingly criticized in recent years. To
date, the factors that affect phylogenetic signals and further the ability of
phylogenetic distance to predict trait dispersion have been assumed but not
empirically tested. Therefore, we investigate which factors potentially influence
the ability of phylogenetic distance to predict trait dispersion. We quantified
the phylogenetic and trait dispersions across size classes and spatial scales in
a 9-ha old-growth temperate forest dynamics plot in northeastern China.
Phylogenetic signals at the community level were generally lower than those at
the species pool level, and phylogenetically clustered communities showed lower
phylogenetic signals than did overdispersed communities. This pattern might
explain the other three findings of our study. First, phylogenetically
overdispersed communities performed better at predicting trait dispersion than
did clustered communities. Second, the mean pairwise distance (MPD)-based metric
exhibited a stronger correlation with trait dispersion than did the mean nearest
taxon distance (MNTD)-based metric. Finally, the MNTD-based metric showed that
the prediction accuracy for trait dispersion decreased with increasing spatial
scales, whereas its effects were weak on the MPD-based metric. In addition,
phylogeny could not determine the dispersions of all functional axes but was able
to predict certain traits depending on whether they were evolutionarily
conserved. These results were conserved when we removed the effects of space and
environment. Our findings highlighted that using phylogenetic distance as a proxy
of trait similarity might work in a temperate forest depending on the species in
local communities sampled from total pool as well as the traits measured.
Utilizing these rules, we should rethink the conclusions of previous studies that
were based on phylogenetic-based approaches.
PMID- 29375784
TI - Moose selecting for specific nutritional composition of birch places limits on
food acceptability.
AB - Despite decades of intense research, it remains largely unsolved which
nutritional factors underpin food selection by large herbivores in the wild. We
measured nutritional composition of birch foliage (Betula pubescens) available
to, and used by, moose (Alces alces) in natural settings in two neighboring
regions with contrasting animal body mass. This readily available food source is
a staple food item in the diet of moose in the high-fitness region, but
apparently underutilized by moose in the low-fitness region. Available birch
foliage in the two regions had similar concentrations of macronutrients (crude
protein [CP], fiber fractions, and water-soluble carbohydrates [WSC]), although a
notably lower variation of WSC in the low-fitness region. For minerals, there
were several area differences: available birch foliage in the low-fitness region
had less Mg (depending on year) and P, but more Ca, Zn, Cu, and Mn. It also had
higher concentrations of some plant secondary metabolites: chlorogenic acids,
quercetins, and especially MeOH-soluble condensed tannins. Despite the area
differences in available foliage, we found the same nutritional composition of
birch foliage used in the two regions. Compared to available birch foliage, moose
consistently used birch foliage with more CP, more structural fiber (mainly
hemicellulose), less WSC, higher concentrations of several minerals (Ca, Zn, K,
Mn, Cu), and lower concentrations of some secondary metabolites (most
importantly, MeOH-soluble condensed tannins). Our study conceptually supports the
nutrient-balancing hypothesis for a large herbivore: within a given temporal
frame, moose select for plant material that matches a specific nutritional
composition. As our data illustrate, different moose populations may select for
the same composition even when the nutritional composition available in a given
food source varies between their living areas. Such fastidiousness limits the
proportion of available food that is acceptable to the animal and has bearings on
our understanding and application of the concept of carrying capacity.
PMID- 29375785
TI - Long-distance dispersal or postglacial contraction? Insights into disjunction
between Himalaya-Hengduan Mountains and Taiwan in a cold-adapted herbaceous
genus, Triplostegia.
AB - Current disjunct patterns can result from long-distance dispersal or postglacial
contraction. We herein investigate the evolutionary history of Triplostegia to
elucidate the disjunction between the Himalaya-Hengduan Mountain region (HHM) and
Taiwan (TW). Genetic structure of Triplostegia was investigated for 48
populations using sequences from five chloroplast loci and the ribosomal nuclear
internal transcribed spacer. Divergence time estimation, ancestral area
reconstruction, and species distribution modeling (SDM) were employed to examine
the biogeographic history of Triplostegia. Substantial genetic differentiation
among populations from southwestern China (SW), Central China (CC), and TW was
detected. Triplostegia was inferred to have originated in SW, and diversification
began during the late Miocene; CC was colonized in the mid-Pliocene, and TW was
finally colonized in the early Pleistocene. SDM suggested an expansion of
climatically suitable areas during the Last Glacial Maximum and range contraction
during the Last interglacial in Triplostegia. Disjunction between HHM and TW in
Triplostegia is most likely the consequence of topographic isolation and
postglacial contraction. The potential climatic suitability areas for
Triplostegia by 2070s (2061-2080) are predicted to slightly shrink and move
northward. With continued global warming and human-induced deforestation,
extinction risk may increase for the cold-adapted species, and appropriate
strategies should be employed for ecosystem conservation.
PMID- 29375786
TI - Traits and climate are associated with first flowering day in herbaceous species
along elevational gradients.
AB - Phenological responses to changing temperatures are known as "fingerprints of
climate change," yet these reactions are highly species specific. To assess
whether different plant characteristics are related to these species-specific
responses in flowering phenology, we observed the first flowering day (FFD) of
ten herbaceous species along two elevational gradients, representing temperature
gradients. On the same populations, we measured traits being associated with (1)
plant performance (specific leaf area), (2) leaf biochemistry (leaf C, N, P, K,
and Mg content), and (3) water-use efficiency (stomatal pore area index and
stable carbon isotopes concentration). We found that as elevation increased, FFD
was delayed for all species with a highly species-specific rate. Populations at
higher elevations needed less temperature accumulation to start flowering than
populations of the same species at lower elevations. Surprisingly, traits
explained a higher proportion of variance in the phenological data than
elevation. Earlier flowering was associated with higher water-use efficiency,
higher leaf C, and lower leaf P content. In addition to that, the intensity of
shifts in FFD was related to leaf N and K. These results propose that traits have
a high potential in explaining phenological variations, which even surpassed the
effect of temperature changes in our study. Therefore, they have a high potential
to be included in future analyses studying the effects of climate change and will
help to improve predictions of vegetation changes.
PMID- 29375787
TI - Size advantage for male function and size-dependent sex allocation in Ambrosia
artemisiifolia, a wind-pollinated plant.
AB - In wind-pollinated plants, male-biased sex allocation is often positively
associated with plant size and height. However, effects of size (biomass or
reproductive investment) and height were not separated in most previous studies.
Here, using experimental populations of monoecious plants, Ambrosia
altemisiifolia, we examined (1) how male and female reproductive investments (MRI
and FRI) change with biomass and height, (2) how MRI and height affect male
reproductive success (MRS) and pollen dispersal, and (3) how height affects seed
production. Pollen dispersal kernel and selection gradients on MRS were estimated
by 2,102 seeds using six microsatellite markers. First, MRI increased with
height, but FRI did not, suggesting that sex allocation is more male-biased with
increasing plant height. On the other hand, both MRI and FRI increased with
biomass but often more greatly for FRI, and consequently, sex allocation was
often female-biased with biomass. Second, MRS increased with both height and MRI,
the latter having the same or larger effect on MRS. Estimated pollen dispersal
kernel was fat-tailed, with the maximum distance between mates tending to
increase with MRI but not with height. Third, the number of seeds did not
increase with height. Those findings showed that the male-biased sex allocation
in taller plants of A. artemisiifolia is explained by a direct effect of height
on MRS.
PMID- 29375788
TI - Simulations inform design of regional occupancy-based monitoring for a sparsely
distributed, territorial species.
AB - Sparsely distributed species attract conservation concern, but insufficient
information on population trends challenges conservation and funding
prioritization. Occupancy-based monitoring is attractive for these species, but
appropriate sampling design and inference depend on particulars of the study
system. We employed spatially explicit simulations to identify minimum levels of
sampling effort for a regional occupancy monitoring study design, using white
headed woodpeckers (Picoides albolvartus), a sparsely distributed, territorial
species threatened by habitat decline and degradation, as a case study. We
compared the original design with commonly proposed alternatives with varying
targets of inference (i.e., species range, space use, or abundance) and spatial
extent of sampling. Sampling effort needed to achieve adequate power to observe a
long-term population trend (>=80% chance to observe a 2% yearly decline over 20
years) with the previously used study design consisted of annually monitoring
>=120 transects using a single-survey approach or >=90 transects surveyed twice
per year using a repeat-survey approach. Designs that shifted inference toward
finer-resolution trends in abundance and extended the spatial extent of sampling
by shortening transects, employing a single-survey approach to monitoring, and
incorporating a panel design (33% of units surveyed per year) improved power and
reduced error in estimating abundance trends. In contrast, efforts to monitor
coarse-scale trends in species range or space use with repeat surveys provided
extremely limited statistical power. Synthesis and applications. Sampling
resolutions that approximate home range size, spatially extensive sampling, and
designs that target inference of abundance trends rather than range dynamics are
probably best suited and most feasible for broad-scale occupancy-based monitoring
of sparsely distributed territorial animal species.
PMID- 29375789
TI - Quantified reproductive isolation in Heliconius butterflies: Implications for
introgression and hybrid speciation.
AB - Heliconius butterflies have become a model for the study of speciation with gene
flow. For adaptive introgression to take place, there must be incomplete barriers
to gene exchange that allow interspecific hybridization and multiple generations
of backcrossing. The recent publication of estimates of individual components of
reproductive isolation between several species of butterflies in the Heliconius
melpomene-H. cydno clade allowed us to calculate total reproductive isolation
estimates for these species. According to these estimates, the butterflies are
not as promiscuous as has been implied. Differences between species are
maintained by intrinsic mechanisms, while reproductive isolation of geographical
races within species is mainly due to allopatry. We discuss the implications of
this strong isolation for basic aspects of the hybrid speciation with
introgression hypothesis.
PMID- 29375790
TI - Plant community and soil conditions individually affect soil microbial community
assembly in experimental mesocosms.
AB - Soils harbor large, diverse microbial communities critical for local and global
ecosystem functioning that are controlled by multiple and poorly understood
processes. In particular, while there is observational evidence of relationships
between both biotic and abiotic conditions and microbial composition and
diversity, there have been few experimental tests to determine the relative
importance of these two sets of factors at local scales. Here, we report the
results of a fully factorial experiment manipulating soil conditions and plant
cover on old-field mesocosms across a latitudinal gradient. The largest
contributor to beta diversity was site-to-site variation, but, having corrected
for that, we observed significant effects of both plant and soil treatments on
microbial composition. Separate phyla were associated with each treatment type,
and no interactions between soil and plant treatment were observed. Individual
soil characteristics and biotic parameters were also associated with overall beta
diversity patterns and phyla abundance. In contrast, soil microbial diversity was
only associated with site and not experimental treatment. Overall, plant
community treatment explained more variation than soil treatment, a result not
previously appreciated because it is difficult to dissociate plant community
composition and soil conditions in observational studies across gradients. This
work highlights the need for more nuanced, multifactorial experiments in
microbial ecology and in particular indicates a greater focus on relationships
between plant composition and microbial composition during community assembly.
PMID- 29375792
TI - Comparing the effects of even- and uneven-aged silviculture on ecological
diversity and processes: A review.
AB - With an increasing pressure on forested landscapes, conservation areas may fail
to maintain biodiversity if they are not supported by the surrounding managed
forest matrix. Worldwide, forests are managed by one of two broad approaches-even
and uneven-aged silviculture. In recent decades, there has been rising public
pressure against the systematic use of even-aged silviculture (especially clear
cutting) because of its perceived negative esthetic and ecological impacts. This
led to an increased interest for uneven-aged silviculture. However, to date,
there has been no worldwide ecological comparison of the two approaches, based on
multiple indicators. Overall, for the 99 combinations of properties or processes
verified (one study may have evaluated more than one property or process), we
found nineteen (23) combinations that clearly showed uneven-aged silviculture
improved the evaluated metrics compared to even-aged silviculture, eleven (16)
combinations that showed the opposite, and 60 combinations that were equivocal.
Furthermore, many studies were based on a limited study design without either a
timescale (44 of the 76) or spatial (54 of the 76) scale consideration. Current
views that uneven-aged silviculture is better suited than even-aged silviculture
for maintaining ecological diversity and processes are not substantiated by our
analyses. Our review, by studying a large range of indicators and many different
taxonomic groups, also clearly demonstrates that no single approach can be relied
on and that both approaches are needed to ensure a greater number of positive
impacts. Moreover, the review clearly highlights the importance of maintaining
protected areas as some taxonomic groups were found to be negatively affected no
matter the management approach used. Finally, our review points to a lack of
knowledge for determining the use of even- or uneven-aged silviculture in terms
of both their respective proportion in the landscape and their spatial agency.
PMID- 29375791
TI - Impact of long-term chromosomal shuffling on the multispecies coalescent analysis
of two anthropoid primate lineages.
AB - Multispecies coalescent (MSC) theory assumes that gene trees inferred from
individual loci are independent trials of the MSC process. As genes might be
physically close in syntenic associations spanning along chromosome regions,
these assumptions might be flawed in evolutionary lineages with substantial
karyotypic shuffling. Neotropical primates (NP) represent an ideal case for
assessing the performance of MSC methods in such scenarios because chromosome
diploid number varies significantly in this lineage. To this end, we investigated
the effect of sequence length on the theoretical expectations of MSC model, as
well as the results of coalescent-based tree inference methods. This was carried
out by comparing NP with hominids, a lineage in which chromosome macrostructure
has been stable for at least 15 million years. We found that departure from the
MSC model in Neotropical primates decreased with smaller sequence fragments,
where sites sharing the same evolutionary history were more frequently found than
in longer fragments. This scenario probably resulted from extensive karyotypic
rearrangement occurring during the radiation of NP, contrary to the comparatively
stable chromosome evolution in hominids.
PMID- 29375793
TI - Feather mite abundance varies but symbiotic nature of mite-host relationship does
not differ between two ecologically dissimilar warblers.
AB - Feather mites are obligatory ectosymbionts of birds that primarily feed on the
oily secretions from the uropygial gland. Feather mite abundance varies within
and among host species and has various effects on host condition and fitness, but
there is little consensus on factors that drive variation of this symbiotic
system. We tested hypotheses regarding how within-species and among-species
traits explain variation in both (1) mite abundance and (2) relationships between
mite abundance and host body condition and components of host fitness
(reproductive performance and apparent annual survival). We focused on two
closely related (Parulidae), but ecologically distinct, species: Setophaga
cerulea (Cerulean Warbler), a canopy dwelling open-cup nester, and Protonotaria
citrea (Prothonotary Warbler), an understory dwelling, cavity nester. We
predicted that feather mites would be more abundant on and have a more parasitic
relationship with P. citrea, and within P. citrea, females and older individuals
would harbor greater mite abundances. We captured, took body measurements,
quantified feather mite abundance on individuals' primaries and rectrices, and
monitored individuals and their nests to estimate fitness. Feather mite abundance
differed by species, but in the opposite direction of our prediction. There was
no relationship between mite abundance and any measure of body condition or
fitness for either species or sex (also contrary to our predictions). Our results
suggest that species biology and ecological context may influence mite abundance
on hosts. However, this pattern does not extend to differential effects of mites
on measures of host body condition or fitness.
PMID- 29375794
TI - Ecological effects of sex differ with trophic positions in a simple food web.
AB - Sexual differences in parental investment, predation pressure, and foraging
efforts are common in nature and affect the trophic flow in food webs.
Specifically, the sexual differences in predator and prey behavior change in
trophic inflow and outflow, respectively, while those in parental investment
alter the reproductive allocation of acquired resources in the population.
Consequently, these factors may play an important role in determining the system
structure and persistence. However, few studies have examined how sexual
differences in trophic flow affect food web dynamics. In this study, I show the
ecological role of sex by explicitly incorporating sexual differences in trophic
flow into a three-species food web model. The results demonstrated that the
ecological waste of males, that is, the amount of trophic inflow into males with
less parental investment, plays an important role in system persistence and
structure. In particular, the synergy between sexual differences in parental
investment and trophic inflows and outflows is important in determining web
persistence: Significant impacts of male-biased trophic flows require the
condition of anisogamy. In addition, the dynamic effects of the ecological waste
of males differ with trophic level: The coexistence of a food web occurs more
frequently with biased inflows into predator males, but occurs less frequently
with biased inflows into consumer males. The model analysis indicates that
investigating the pattern of sexual differences among trophic positions can
enrich our understanding of food web persistence and structure in the real world.
PMID- 29375795
TI - Coexistence through mutualist-dependent reversal of competitive hierarchies.
AB - Mechanisms that allow for the coexistence of two competing species that share a
trophic level can be broadly divided into those that prevent competitive
exclusion of one species within a local area, and those that allow for
coexistence only at a regional level. While the presence of aphid-tending ants
can change the distribution of aphids among host plants, the role of mutualistic
ants has not been fully explored to understand coexistence of multiple aphid
species in a community. The tansy plant (Tanacetum vulgare) hosts three common
and specialized aphid species, with only one being tended by ants. Often, these
aphids species will not coexist on the same plant but will coexist across
multiple plant hosts in a field. In this study, we aim to understand how
interactions with mutualistic ants and predators affect the coexistence of
multiple species of aphid herbivores on tansy. We show that the presence of ants
drives community assembly at the level of individual plant, that is, the local
community, by favoring one ant-tended species, Metopeurum fuscoviride, while
preying on the untended Macrosiphoniella tanacetaria and, to a lesser extent,
Uroleucon tanaceti. Competitive hierarchies without ants were very different from
those with ants. At the regional level, multiple tansy plants provide a habitat
across which all aphid species can coexist at the larger spatial scale, while
being competitively excluded at the local scale. In this case, ant mutualist
dependent reversal of the competitive hierarchy can drive community dynamics in a
plant-aphid system.
PMID- 29375796
TI - Interspecies introgressive hybridization in spiny frogs Quasipaa (Family
Dicroglossidae) revealed by analyses on multiple mitochondrial and nuclear genes.
AB - Introgression may lead to discordant patterns of variation among loci and traits.
For example, previous phylogeographic studies on the genus Quasipaa detected
signs of genetic introgression from genetically and morphologically divergent
Quasipaa shini or Quasipaa spinosa. In this study, we used mitochondrial and
nuclear DNA sequence data to verify the widespread introgressive hybridization in
the closely related species of the genus Quasipaa, evaluate the level of genetic
diversity, and reveal the formation mechanism of introgressive hybridization. In
Longsheng, Guangxi Province, signs of asymmetrical nuclear introgression were
detected between Quasipaa boulengeri and Q. shini. Unidirectional mitochondrial
introgression was revealed from Q. spinosa to Q. shini. By contrast,
bidirectional mitochondrial gene introgression was detected between Q. spinosa
and Q. shini in Lushan, Jiangxi Province. Our study also detected ancient
hybridizations between a female Q. spinosa and a male Q. jiulongensis in Zhejiang
Province. Analyses on mitochondrial and nuclear genes verified three candidate
cryptic species in Q. spinosa, and a cryptic species may also exist in Q.
boulengeri. However, no evidence of introgressive hybridization was found between
Q. spinosa and Q. boulengeri. Quasipaa exilispinosa from all the sampling
localities appeared to be deeply divergent from other communities. Our results
suggest widespread introgressive hybridization in closely related species of
Quasipaa and provide a fundamental basis for illumination of the forming
mechanism of introgressive hybridization, classification of species, and
biodiversity assessment in Quasipaa.
PMID- 29375797
TI - De novo assembly and characterization of the Hucho taimen transcriptome.
AB - Taimen (Hucho taimen) is an important ecological and economic species that is
classified as vulnerable by the IUCN Red List of Threatened Species; however,
limited genomic information is available on this species. RNA-Seq is a useful
tool for obtaining genetic information and developing genetic markers for
nonmodel species in addition to its application in gene expression profiling. In
this study, we performed a comprehensive RNA-Seq analysis of taimen. We obtained
157 M clean reads (14.7 Gb) and used them to de novo assemble a high-quality
transcriptome with a N50 size of 1,060 bp. In the assembly, 82% of the
transcripts were annotated using several databases, and 14,666 of the transcripts
contained a full open reading frame. The assembly covered 75% of the transcripts
of Atlantic salmon and 57.3% of the protein-coding genes of rainbow trout. To
learn about the genome evolution, we performed a systematic comparative analysis
across 11 teleosts including eight salmonids and found 313 unique gene families
in taimen. Using Atlantic salmon and rainbow trout transcriptomes as the
background, we identified 250 positive selection transcripts. The pathway
enrichment analysis revealed a unique characteristic of taimen: It possesses more
immune-related genes than Atlantic salmon and rainbow trout; moreover, some genes
have undergone strong positive selection. We also developed a pipeline for
identifying microsatellite marker genotypes in samples and successfully
identified 24 polymorphic microsatellite markers for taimen. These data and tools
are useful for studying conservation genetics, phylogenetics, evolution among
salmonids, and selective breeding for threatened taimen.
PMID- 29375798
TI - Selection on the morphology-physiology-performance nexus: Lessons from freshwater
stickleback morphs.
AB - Conspecifics inhabiting divergent environments frequently differ in morphology,
physiology, and performance, but the interrelationships amongst traits and with
Darwinian fitness remains poorly understood. We investigated population
differentiation in morphology, metabolic rate, and swimming performance in three
spined sticklebacks (Gasterosteus aculeatus L.), contrasting a marine/ancestral
population with two distinct freshwater morphotypes derived from it: the
"typical" low-plated morph, and a unique "small-plated" morph. We test the
hypothesis that similar to plate loss in other freshwater populations, reduction
in lateral plate size also evolved in response to selection. Additionally, we
test how morphology, physiology, and performance have evolved in concert as a
response to differences in selection between marine and freshwater environments.
We raised pure-bred second-generation fish originating from three populations and
quantified their lateral plate coverage, burst- and critical swimming speeds, as
well as standard and active metabolic rates. Using a multivariate QST-FST
framework, we detected signals of directional selection on metabolic physiology
and lateral plate coverage, notably demonstrating that selection is responsible
for the reduction in lateral plate coverage in a small-plated stickleback
population. We also uncovered signals of multivariate selection amongst all
bivariate trait combinations except the two metrics of swimming performance.
Divergence between the freshwater and marine populations exceeded neutral
expectation in morphology and in most physiological and performance traits,
indicating that adaptation to freshwater habitats has occurred, but through
different combinations of traits in different populations. These results
highlight both the complex interplay between morphology, physiology and
performance in local adaptation, and a framework for their investigation.
PMID- 29375799
TI - Partitioning of herbivore hosts across time and food plants promotes
diversification in the Megastigmus dorsalis oak gall parasitoid complex.
AB - Communities of insect herbivores and their natural enemies are rich and
ecologically crucial components of terrestrial biodiversity. Understanding the
processes that promote their origin and maintenance is thus of considerable
interest. One major proposed mechanism is ecological speciation through host
associated differentiation (HAD), the divergence of a polyphagous species first
into ecological host races and eventually into more specialized daughter species.
The rich chalcid parasitoid communities attacking cynipid oak gall wasp hosts are
structured by multiple host traits, including food plant taxon, host gall
phenology, and gall structure. Here, we ask whether the same traits structure
genetic diversity within supposedly generalist parasitoid morphospecies. We use
mitochondrial DNA sequences and microsatellite genotypes to quantify HAD for
Megastigmus (Bootanomyia) dorsalis, a complex of two apparently generalist
cryptic parasitoid species attacking oak galls. Ancient Balkan refugial
populations showed phenological separation between the cryptic species, one
primarily attacking spring galls, and the other mainly attacking autumn galls.
The spring species also contained host races specializing on galls developing on
different host-plant lineages (sections Cerris vs. Quercus) within the oak genus
Quercus. These results indicate more significant host-associated structuring
within oak gall parasitoid communities than previously thought and support
ecological theory predicting the evolution of specialist lineages within
generalist parasitoids. In contrast, UK populations of the autumn cryptic species
associated with both native and recently invading oak gall wasps showed no
evidence of population differentiation, implying rapid recruitment of native
parasitoid populations onto invading hosts, and hence potential for natural
biological control. This is of significance given recent rapid range expansion of
the economically damaging chestnut gall wasp, Dryocosmus kuriphilus, in Europe.
PMID- 29375800
TI - Shifts in frog size and phenology: Testing predictions of climate change on a
widespread anuran using data from prior to rapid climate warming.
AB - Changes in body size and breeding phenology have been identified as two major
ecological consequences of climate change, yet it remains unclear whether climate
acts directly or indirectly on these variables. To better understand the
relationship between climate and ecological changes, it is necessary to determine
environmental predictors of both size and phenology using data from prior to the
onset of rapid climate warming, and then to examine spatially explicit changes in
climate, size, and phenology, not just general spatial and temporal trends. We
used 100 years of natural history collection data for the wood frog, Lithobates
sylvaticus with a range >9 million km2, and spatially explicit environmental data
to determine the best predictors of size and phenology prior to rapid climate
warming (1901-1960). We then tested how closely size and phenology changes
predicted by those environmental variables reflected actual changes from 1961 to
2000. Size, phenology, and climate all changed as expected (smaller, earlier, and
warmer, respectively) at broad spatial scales across the entire study range.
However, while spatially explicit changes in climate variables accurately
predicted changes in phenology, they did not accurately predict size changes
during recent climate change (1961-2000), contrary to expectations from numerous
recent studies. Our results suggest that changes in climate are directly linked
to observed phenological shifts. However, the mechanisms driving observed body
size changes are yet to be determined, given the less straightforward
relationship between size and climate factors examined in this study. We
recommend that caution be used in "space-for-time" studies where measures of a
species' traits at lower latitudes or elevations are considered representative of
those under future projected climate conditions. Future studies should aim to
determine mechanisms driving trends in phenology and body size, as well as the
impact of climate on population density, which may influence body size.
PMID- 29375801
TI - Evaluating behavioral responses of nesting lesser snow geese to unmanned aircraft
surveys.
AB - Unmanned aircraft systems (UAS) are relatively new technologies gaining
popularity among wildlife biologists. As with any new tool in wildlife science,
operating protocols must be developed through rigorous protocol testing. Few
studies have been conducted that quantify the impacts UAS may have on
unhabituated individuals in the wild using standard aerial survey protocols. We
evaluated impacts of unmanned surveys by measuring UAS-induced behavioral
responses during the nesting phase of lesser snow geese (Anser caerulescens
caerulescens) in Wapusk National Park, Manitoba, Canada. We conducted surveys
with a fixed-wing Trimble UX5 and monitored behavioral changes via discreet
surveillance cameras at 25 nests. Days with UAS surveys resulted in decreased
resting and increased nest maintenance, low scanning, high scanning, head-cocking
and off-nest behaviors when compared to days without UAS surveys. In the group of
birds flown over, head-cocking for overhead vigilance was rarely seen prior to
launch or after landing (mean estimates 0.03% and 0.02%, respectively) but
increased to 0.56% of the time when the aircraft was flying overhead suggesting
that birds were able to detect the aircraft during flight. Neither UAS survey
altitude nor launch distance alone in this study was strong predictors of nesting
behaviors, although our flight altitudes (>=75 m above ground level) were much
higher than previously published behavioral studies. Synthesis and applications:
The diversity of UAS models makes generalizations on behavioral impacts
difficult, and we caution that researchers should design UAS studies with
knowledge that some minimal disturbance is likely to occur. We recommend flight
designs take potential behavioral impacts into account by increasing survey
altitude where data quality requirements permit. Such flight designs should
consider a priori knowledge of focal species' behavioral characteristics.
Research is needed to determine whether any such disturbance is a result of
visual or auditory stimuli.
PMID- 29375802
TI - Delayed egg-laying and shortened incubation duration of Arctic-breeding
shorebirds coincide with climate cooling.
AB - Biological impacts of climate change are exemplified by shifts in phenology. As
the timing of breeding advances, the within-season relationships between timing
of breeding and reproductive traits may change and cause long-term changes in the
population mean value of reproductive traits. We investigated long-term changes
in the timing of breeding and within-season patterns of clutch size, egg volume,
incubation duration, and daily nest survival of three shorebird species between
two decades. Based on previously known within-season patterns and assuming a
warming trend, we hypothesized that the timing of clutch initiation would advance
between decades and would be coupled with increases in mean clutch size, egg
volume, and daily nest survival rate. We monitored 1,378 nests of western
sandpipers, semipalmated sandpipers, and red-necked phalaropes at a subarctic
site during 1993-1996 and 2010-2014. Sandpipers have biparental incubation,
whereas phalaropes have uniparental incubation. We found an unexpected long-term
cooling trend during the early part of the breeding season. Three species delayed
clutch initiation by 5 days in the 2010s relative to the 1990s. Clutch size and
daily nest survival showed strong within-season declines in sandpipers, but not
in phalaropes. Egg volume showed strong within-season declines in one species of
sandpiper, but increased in phalaropes. Despite the within-season patterns in
traits and shifts in phenology, clutch size, egg volume, and daily nest survival
were similar between decades. In contrast, incubation duration did not show
within-season variation, but decreased by 2 days in sandpipers and increased by 2
days in phalaropes. Shorebirds demonstrated variable breeding phenology and
incubation duration in relation to climate cooling, but little change in
nonphenological components of traits. Our results indicate that the breeding
phenology of shorebirds is closely associated with the temperature conditions on
breeding ground, the effects of which can vary among reproductive traits and
among sympatric species.
PMID- 29375804
TI - Multiple signaling functions of song in a polymorphic species with alternative
reproductive strategies.
AB - Vocal traits can be sexually selected to reflect male quality, but may also
evolve to serve additional signaling functions. We used a long-term dataset to
examine the signaling potential of song in dimorphic white-throated sparrows
(Zonotrichia albicollis). We investigated whether song conveys multifaceted
information about the vocalizing individual, including fitness, species identity,
individual identity, and morph. We also evaluated whether song traits correlate
differently with fitness in the two morphs, as the more promiscuous strategy of
white, relative to tan, morph males might impose stronger sexual selection. Males
with high song rates achieved higher lifetime reproductive success, and this
pattern was driven by white morph males. In addition, males that sang songs with
many notes survived longer, but this pattern was less robust. Thus, song traits
reflect differences in fitness and may more strongly affect fitness in the white
morph. Song frequency was unrelated to fitness, body size, or morph, but was
individual specific and could signal individual identity. Songs of the two morphs
displayed similar frequency ratios and bandwidths. However, tan morph males sang
songs with longer first notes, fewer notes, and higher variability. Thus, song
could be used in morph discrimination. Variation in frequency ratios between
notes was low and could function in conspecific recognition, but pitch change
dynamics did differ between four different song types observed. Our results
support a multiple messages model for white-throated sparrow song, in which
different song traits communicate discrete information about the vocalizing
individual.
PMID- 29375803
TI - Mosquito vector-associated microbiota: Metabarcoding bacteria and eukaryotic
symbionts across habitat types in Thailand endemic for dengue and other arthropod
borne diseases.
AB - Vector-borne diseases are a major health burden, yet factors affecting their
spread are only partially understood. For example, microbial symbionts can impact
mosquito reproduction, survival, and vectorial capacity, and hence affect disease
transmission. Nonetheless, current knowledge of mosquito-associated microbial
communities is limited. To characterize the bacterial and eukaryotic microbial
communities of multiple vector species collected from different habitat types in
disease endemic areas, we employed next-generation 454 pyrosequencing of 16S and
18S rRNA amplicon libraries, also known as metabarcoding. We investigated pooled
whole adult mosquitoes of three medically important vectors, Aedes aegypti, Ae.
albopictus, and Culex quinquefasciatus, collected from different habitats across
central Thailand where we previously characterized mosquito diversity. Our
results indicate that diversity within the mosquito microbiota is low, with the
majority of microbes assigned to one or a few taxa. Two of the most common
eukaryotic and bacterial genera recovered (Ascogregarina and Wolbachia,
respectively) are known mosquito endosymbionts with potentially parasitic and
long evolutionary relationships with their hosts. Patterns of microbial
composition and diversity appeared to differ by both vector species and habitat
for a given species, although high variability between samples suggests a strong
stochastic element to microbiota assembly. In general, our findings suggest that
multiple factors, such as habitat condition and mosquito species identity, may
influence overall microbial community composition, and thus provide a basis for
further investigations into the interactions between vectors, their microbial
communities, and human-impacted landscapes that may ultimately affect vector
borne disease risk.
PMID- 29375805
TI - To feed or not to feed? Bioenergetic impacts of fear-driven behaviors in
lactating dolphins.
AB - In mammals, lactation can be the most energetically expensive part of the
reproductive cycle. Thus, when energy needs are compromised due to predation
risk, environmental disturbance, or resource scarcity, future reproductive
success can be impacted. In marine and terrestrial environments, foraging
behavior is inextricably linked to predation risk. But quantification of foraging
energetics for lactating animals under predation risk is less understood. In this
study, we used a spatially explicit individual-based model to study how changes
in physiology (lactating or not) and the environment (predation risk) affect
optimal behavior in dolphins. Specifically, we predicted that an adult dolphin
without calf would incur lower relative energetic costs compared to a lactating
dolphin with calf regardless of predation risk severity, antipredator behavior,
or prey quality consumed. Under this state-dependent analysis of risk approach,
we found predation risk to be a stronger driver in affecting total energetic
costs (foraging plus locomotor costs) than food quality for both dolphin types.
Further, contrary to our hypothesis, after accounting for raised energy demands,
a lactating dolphin with calf does not necessarily have higher relative-to
baseline costs than a dolphin without calf. Our results indicate that both a
lactating (with calf) and non-lactating dolphin incur lowered energetic costs
under a risk-averse behavioral scheme, but consequently suffer from lost foraging
calories. A lactating dolphin with calf could be particularly worse off in lost
foraging calories under elevated predation risk, heightened vigilance, and
increased hiding time relative to an adult dolphin without calf. Further, hiding
time in refuge could be more consequential than detection distance for both
dolphin types in estimated costs and losses incurred. In conclusion, our study
found that reproductive status is an important consideration in analyzing risk
effects in mammals, especially in animals with lengthy lactation periods and
those exposed to both biological and nonbiological stressors.
PMID- 29375806
TI - Green-shifting of SWS2A opsin sensitivity and loss of function of RH2-A opsin in
flounders, genus Verasper.
AB - We identified visual opsin genes for three flounder species, including the
spotted halibut (Verasper variegatus), slime flounder (Microstomus achne), and
Japanese flounder (Paralichthys olivaceus). Structure and function of opsins for
the three species were characterized together with those of the barfin flounder
(V. moseri) that we previously reported. All four flounder species possessed five
basic opsin genes, including lws, sws1, sws2, rh1, and rh2. Specific features
were observed in rh2 and sws2. The rh2-a, one of the three subtypes of rh2, was
absent in the genome of V. variegatus and pseudogenized in V. moseri. Moreover,
rh2-a mRNA was not detected in M. achne and P. olivaceus, despite the presence of
a functional reading frame. Analyses of the maximum absorption spectra
(lambdamax) estimated by in vitro reconstitution indicated that SWS2A of M. achne
(451.9 nm) and P. olivaceus (465.6 nm) were blue-sensitive, whereas in V.
variegatus (485.4 nm), it was green-sensitive and comparable to V. moseri (482.3
nm). Our results indicate that although the four flounder species possess a
similar opsin gene repertoire, the SWS2A opsin of the genus Verasper is
functionally green-sensitive, while its overall structure remains conserved as a
blue-sensitive opsin. Further, the rh2-a function seems to have been reduced
during the evolution of flounders. lambdamax values of predicted ancestral SWS2A
of Pleuronectiformes and Pleuronectidae was 465.4 and 462.4 nm, respectively,
indicating that these were blue-sensitive. Thus, the green-sensitive SWS2A is
estimated to be arisen in ancestral Verasper genus. It is suggested that the
sensitivity shift of SWS2A from blue to green may have compensated functional
reduction in RH2-A.
PMID- 29375808
TI - Why do we bother? Exploring biologists' motivations to share the details of their
teaching practice.
AB - There exists in the UK (and across the global HE sector) a community of
practitioners who define themselves as biologists but who are more than that.
They are reflective educators involving themselves in the Scholarship of Teaching
and Learning (SoTL). In this paper I explore the motivations of these individuals
to disseminate the detail of their teaching practice. I reflect upon my own
experience and my observations of the experiences of others and in doing so I
explore common enablers/disablers to engagement with SoTL. I discuss the prime
importance of a supportive disciplinary SoTL community and of inspirational
individuals (peers and managers alike). I reflect upon the tensions that exist
between teaching and research focused career paths and I consider the possibility
that this tension is of variable significance. I conclude that the barriers to
individual engagement with SoTL can be overcome and that the individual drive to
do so is a powerful one.
PMID- 29375807
TI - From population connectivity to the art of striping Russian dolls: the lessons
from Pocillopora corals.
AB - Here, we examined the genetic variability in the coral genus Pocillopora, in
particular within the Primary Species Hypothesis PSH09, identified by Gelin,
Postaire, Fauvelot and Magalon (2017) using species delimitation methods [also
named Pocillopora eydouxi/meandrina complex sensu, Schmidt-Roach, Miller,
Lundgren, & Andreakis (2014)] and which was found to split into three secondary
species hypotheses (SSH09a, SSH09b, and SSH09c) according to assignment tests
using multi-locus genotypes (13 microsatellites). From a large sampling (2,507
colonies) achieved in three marine provinces [Western Indian Ocean (WIO),
Tropical Southwestern Pacific (TSP), and Southeast Polynesia (SEP)], genetic
structuring analysis conducted with two clustering analyses (structure and DAPC)
using 13 microsatellites revealed that SSH09a was restricted to the WIO while
SSH09b and SSH09c were almost exclusively in the TSP and SEP. More surprisingly,
each SSH split into two to three genetically differentiated clusters, found in
sympatry at the reef scale, leading to a pattern of nested hierarchical levels
(PSH > SSH > cluster), each level hiding highly differentiated genetic groups.
Thus, rather than structured populations within a single species, these three
SSHs, and even the eight clusters, likely represent distinct genetic lineages
engaged in a speciation process or real species. The issue is now to understand
which hierarchical level (SSH, cluster, or even below) corresponds to the species
one. Several hypotheses are discussed on the processes leading to this pattern of
mixed clusters in sympatry, evoking formation of reproductive barriers, either by
allopatric speciation or habitat selection.
PMID- 29375809
TI - A sequencer coming of age: De novo genome assembly using MinION reads.
AB - Nanopore technology provides a novel approach to DNA sequencing that yields long,
label-free reads of constant quality. The first commercial implementation of this
approach, the MinION, has shown promise in various sequencing applications. This
review gives an up-to-date overview of the MinION's utility as a de novo
sequencing device. It is argued that the MinION may allow for portable and
affordable de novo sequencing of even complex genomes in the near future, despite
the currently error-prone nature of its reads. Through continuous updates to the
MinION hardware and the development of new assembly pipelines, both sequencing
accuracy and assembly quality have already risen rapidly. However, this fast pace
of development has also lead to a lack of oversight in the expanding landscape of
analysis tools, as performance evaluations are outdated quickly. Now that the
MinION is approaching a state of maturity, a thorough comparative benchmarking
effort of de novo assembly pipelines may be at place. An earlier version of this
article can be found on BioRxiv.
PMID- 29375810
TI - Optimal cutoff for the evaluation of insulin resistance through triglyceride
glucose index: A cross-sectional study in a Venezuelan population.
AB - Background: Insulin resistance (IR) evaluation is a fundamental goal in clinical
and epidemiological research. However, the most widely used methods are difficult
to apply to populations with low incomes. The triglyceride-glucose index (TGI)
emerges as an alternative to use in daily clinical practice. Therefore the
objective of this study was to determine an optimal cutoff point for the TGI in
an adult population from Maracaibo, Venezuela. Methods: This is a sub-study of
Maracaibo City Metabolic Syndrome Prevalence Study, a descriptive, cross
sectional study with random and multi-stage sampling. For this analysis, 2004
individuals of both genders >=18 years old with basal insulin determination and
triglycerides < 500 mg/dl were evaluated.. A reference population was selected
according to clinical and metabolic criteria to plot ROC Curves specific for
gender and age groups to determine the optimal cutoff point according to
sensitivity and specificity.The TGI was calculated according to the equation: ln
[Fasting triglyceride (mg / dl) x Fasting glucose (mg / dl)] / 2. Results: The
TGI in the general population was 4.6+/-0.3 (male: 4.66+/-0.34 vs. female: 4.56+/
0.33, p=8.93x10 -10). The optimal cutoff point was 4.49, with a sensitivity of
82.6% and specificity of 82.1% (AUC=0.889, 95% CI: 0.854-0.924). There were no
significant differences in the predictive capacity of the index when evaluated
according to gender and age groups. Those individuals with TGI>=4.5 had higher
HOMA2-IR averages than those with TGI <4.5 (2.48 vs 1.74, respectively, p<0.001).
Conclusions: The TGI is a measure of interest to identify IR in the general
population. We propose a single cutoff point of 4.5 to classify individuals with
IR. Future studies should evaluate the predictive capacity of this index to
determine atypical metabolic phenotypes, type 2 diabetes mellitus and even
cardiovascular risk in our population.
PMID- 29375811
TI - Diagnosis of three different pathogenic microorganisms by gas chromatography-mass
spectrometry.
AB - BACKGROUND: Diagnoses of respiratory tract infections usually happen in
the late phase of the disease and usually result in reduction of the
pathogen load after broad-spectrum antibiotic therapy, but not in
eradication of the pathogen. The development of a non-invasive, fast, and
accurate method to detect pathogens has always been of interest to
researchers and clinicians alike. Previous studies have shown that bacteria
produce organic gases. The current study aimed to identify the volatile
organic compounds (VOCs) produced by three respiratory tract pathogens,
including Staphylococcus aureus, Escherichia coli and Candida albicans.
Methods: The volatile organic compounds (VOCs) produced were identified by
gas chromatography-mass spectrometry(GC-MS), with prior collection of
microbial volatile compounds using solid phase microextraction (SPME)
fiber. The volatile compounds were collected by obtaining bacterial headspace
samples. RESULTS: Results showed that these three organisms have various
VOCs, which were analyzed under different conditions. By ignoring common
VOCs, some species-specific VOCs could be detected. The most important VOC of E.
coli was Indole, also some important VOCs produced by S. aureus were 2,3
Pentandione, cis-Dihydro-alpha-terpinyl acetate, 1-Decyne, 1,3-Heptadiene-3
yne, 2,5-dimethyl Pyrazine, Ethyl butanoate and Cyclohexene,4-ethenyl
furthermore, most of identified compounds by C. albicans are alcohols.
CONCLUSIONS: The detection of VOCs produced by infectious agents maybe
the key to make a rapid and precise diagnosis of infection, but more
comprehensive studies must be conducted in this regard.
PMID- 29375812
TI - TicTimer software for measuring tic suppression.
AB - Woods and Himle developed a standardized tic suppression paradigm (TSP) for the
experimental setting, to quantify the effects of intentional tic suppression in
Tourette syndrome. The present article describes a Java program that automates
record keeping and reward dispensing during the several experimental conditions
of the TSP. The software can optionally be connected to a commercial reward token
dispenser to further automate reward delivery to the participant. The timing of
all tics, 10-second tic-free intervals, and dispensed rewards is recorded in
plain text files for later analysis. Expected applications include research on
Tourette syndrome and related disorders.
PMID- 29333239
TI - The peer review process for awarding funds to international science research
consortia: a qualitative developmental evaluation.
AB - Background: Evaluating applications for multi-national, multi-disciplinary, dual
purpose research consortia is highly complex. There has been little research on
the peer review process for evaluating grant applications and almost none on how
applications for multi-national consortia are reviewed. Overseas development
investments are increasingly being channelled into international science
consortia to generate high-quality research while simultaneously strengthening
multi-disciplinary research capacity. We need a better understanding of how such
decisions are made and their effectiveness. Methods: An award-making institution
planned to fund 10 UK-Africa research consortia. Over two annual rounds, 34 out
of 78 eligible applications were shortlisted and reviewed by at least five
external reviewers before final selections were made by a face-to-face panel. We
used an innovative approach involving structured, overt observations of award
making panel meetings and semi-structured interviews with panel members to
explore how assessment criteria concerning research quality and capacity
strengthening were applied during the peer review process. Data were coded and
analysed using pre-designed matrices which incorporated categories relating to
the assessment criteria. Results: In general the process was rigorous and well
managed. However, lack of clarity about differential weighting of criteria and
variations in the panel's understanding of research capacity strengthening
resulted in some inconsistencies in use of the assessment criteria. Using the
same panel for both rounds had advantages, in that during the second round
consensus was achieved more quickly and the panel had increased focus on
development aspects. Conclusion: Grant assessment panels for such complex
research applications need to have topic- and context-specific expertise. They
must also understand research capacity issues and have a flexible but equitable
and transparent approach. This study has developed and tested an approach for
evaluating the operation of such panels and has generated lessons that can
promote coherence and transparency among grant-makers and ultimately make the
award-making process more effective.
PMID- 29375814
TI - Comparison of school based and supplemental vaccination strategies in the
delivery of vaccines to 5-19 year olds in Africa - a systematic review.
AB - BACKGROUND: Some vaccine preventable diseases (VPDs) still remain a public health
burden in many African countries. The occurrence of VPDs in all age groups has
led to the realization of the need to extend routine immunisation services to
school age children, adolescents and adults. Supplemental immunisation activities
(SIAs) and school based vaccinations (SBVs) are common strategies used to
complement the expanded programme on immunisation (EPI). This review aimed to
assess the effectiveness of SIAs compared to SBVs in the administration of
vaccines to 5-19 year olds in Africa. METHODS: Systematic review methods were
used to address our study aim. Several electronic databases were searched up to
March 30, 2017 for primary studies investigating the delivery of vaccines via
SIAs or SBVs to 5-19 year olds. This search was complemented by browsing
reference lists of potential studies obtained from search outputs. Outcomes
considered for inclusion were: vaccination coverage, costs of the strategy or its
effect on routine immunisation services. RESULTS: Out of the 4938 studies
identified, 31 studies met the review inclusion criteria. Both SIAs and SBVs
showed high vaccination coverage. However, the SIAs reported higher coverage than
SBVs: 91% (95% CI: 84%, 98%) versus 75% (95% CI: 67%, 83%). In most settings,
SBVs were reported to be more expensive than SIAs. The SIAs were found to
negatively affect routine immunisation services. CONCLUSIONS: Both SIAs and SBVs
are routinely used to complement the EPI in the delivery of vaccines in Africa.
In settings where school enrolment is suboptimal, as is the case in many African
countries, our results show SIAs may be more effective in reaching school age
children and adolescents than SBVs. Our results re-iterate the importance of
evaluating systematic evidence to best inform African authorities on the optimal
vaccine delivery strategies targeting school age children and adolescents.
PMID- 29375815
TI - Anaesthesia for open wrist fracture surgery in adults/elderly.
AB - Anaesthetic technique for open surgery of acute distal for arm fracture in
adults/elderly is not well defined. Regional anaesthesia, general anaesthesia or
a combined general and regional block may be considered. General anaesthetic
technique, the timing and drug/drug combination for the regional block must also
be considered. This is a study around published studies assessing anaesthtic
technique for wrist surgery. A systematic database search was performed and
papers describing the effect of anaesthetic techniques were included. We found
sparse evidence for what anaesthetic technique is optimal for open wrist fracture
repair. In total only six studies were found using our inclusion criteria, which
all supported the short term, early recovery benefits of regional anaesthesia as
part of multi-modal analgesia. More protracted outcomes and putting the type of
block into context of quality of recovery and patients' satisfaction is lacking
in the literature. The risk for a pain rebound when the block vanishes should
also be acknowledged. Therefore, further high quality studies are warranted
concerning the anaesthetic technique for this type of surgery.
PMID- 29375816
TI - The rise and fall of machine learning methods in biomedical research.
AB - In the era of explosion in biological data, machine learning techniques are
becoming more popular in life sciences, including biology and medicine. This
research note examines the rise and fall of the most commonly used machine
learning techniques in life sciences over the past three decades.
PMID- 29375817
TI - Whole exome sequencing identifies a novel homozygous frameshift mutation in the
ASPM gene, which causes microcephaly 5, primary, autosomal recessive.
AB - Microcephaly is a genetically heterogeneous disorder and is one of the frequently
notable conditions in paediatric neuropathology which exists either as a single
entity or in association with other co-morbidities. More than a single gene is
implicated in true microcephaly and the list is growing with the recent
advancements in sequencing technologies. Using massive parallel sequencing, we
identified a novel frame shift insertion in the abnormal spindle-like
microcephaly-associated protein gene in a client with true autosomal recessive
primary microcephaly. Exome sequencing in the present case helped in identifying
the true cause behind the disease, which helps in the premarital counselling for
the sibling to avoid future recurrence of the disorder in the family.
PMID- 29375818
TI - Molecular mechanisms of macrophage Toll-like receptor-Fc receptor synergy.
AB - Macrophages (MOs) are a key cell type of both the innate and the adaptive immune
response and can tailor their response to prevailing conditions. To sense the
host's status, MOs employ two classes of receptors: Toll-like receptors (TLRs),
which are sensors for pathogen-derived material, and Fcgamma receptors
(FcgammaRs) that are detectors of the adaptive immune response. How MOs integrate
the input from these various sensors is not understood and is the focus of active
study. Here, we review the recent literature on the molecular mechanisms of TLR
and FcgR crosstalk and synergy, and discuss the implications of these findings.
This overview suggests a multilayered mechanism of receptor synergy that allows
the MO to fine-tune its response to prevailing conditions and provides ideas for
future investigation.
PMID- 29375820
TI - Recent advances in the management of priapism.
AB - Priapism is an uncommon urological emergency that can lead to permanent impotence
if prompt presentation and medical intervention is not performed. It is a
breakdown of the usual physiological mechanisms controlling penile tumescence and
detumescence, leading to a prolonged penile erection (>4 hours) that is unrelated
to sexual stimulation. Currently, there are three accepted subtypes: ischaemic,
non-ischaemic, and stuttering priapism, which is also known as recurrent ischemic
priapism. The aim of treatment is the immediate resolution of the painful
erection and the preservation of cavernosal smooth muscle function in order to
prevent cavernosal fibrosis, which can lead to penile shortening and permanent
erectile dysfunction.
PMID- 29375822
TI - Hepatoblastoma: current understanding, recent advances, and controversies.
AB - Introduction: Hepatoblastoma (HB) is the most common primary malignant liver
neoplasm in children. Its increasing survival rate is related to the progress in
modern imaging, surgical techniques, and new chemotherapy regimens. Clinical
approach: One of the past achievements was the development of the pretreatment
extension of disease (PRETEXT) system. Gradually, the HB therapeutic approach has
become more individualized with better stratification of patients. Controversies:
These include the need for preoperative chemotherapy and its optimal duration;
intensity of preoperative chemotherapy required for locally advanced cases
(PRETEXT 4); optimal surgical treatment for locally advanced tumors: aggressive
hepatic resections versus liver transplantation; the role of postoperative
chemotherapy in the post-transplant setting; the timing and role of
metastasectomy in patients with disseminated disease who undergo partial liver
resection; and the prognostic significance of several HB pathology variants.
Hepatoblastoma biology: Beta-catenin mutations and the beta-catenin/Wnt pathway
play an important role in HB development. There have been at least two molecular
signatures in HB published. Unluckily, all of these findings are based on
relatively small clinical series and require confirmation. Conclusion: The
treatment of HB started from one and the same therapy for all patients and aimed
at increased treatment individualization, but the future seems to lie in biology
driven patient-tailored therapies.
PMID- 29375821
TI - Antigen-specific Treg cells in immunological tolerance: implications for allergic
diseases.
AB - Allergic diseases are chronic inflammatory disorders in which there is failure to
mount effective tolerogenic immune responses to inciting allergens. The alarming
rise in the prevalence of allergic diseases in recent decades has spurred
investigations to elucidate the mechanisms of breakdown in tolerance in these
disorders and means of restoring it. Tolerance to allergens is critically
dependent on the generation of allergen-specific regulatory T (Treg) cells, which
mediate a state of sustained non-responsiveness to the offending allergen. In
this review, we summarize recent advances in our understanding of mechanisms
governing the generation and function of allergen-specific Treg cells and their
subversion in allergic diseases. We will also outline approaches to harness
allergen-specific Treg cell responses to restore tolerance in these disorders.
PMID- 29375823
TI - Recent advances in understanding and managing aortic stenosis.
AB - Over the last few years, treatment of severe symptomatic aortic stenosis in high
risk patients has drastically changed to adopt a less-invasive approach.
Transcatheter aortic valve implantation (TAVI) has been developed as a very
reproducible and safe procedure, as shown in many trials. When compared to
surgery, TAVI has produced superior, or at least comparable, results, and thus a
trend to broaden treatment indications to lower-risk patients has erupted as a
natural consequence, even though there is a lack of long-term evidence. In this
review, we summarize and underline aspects that still remain unanswered that are
compulsory if we want to enhance our understanding of this disease.
PMID- 29375819
TI - Inhibitory control of the excitatory/inhibitory balance in psychiatric disorders.
AB - Neuronal networks consist of different types of neurons that all play their own
role in order to maintain proper network function. The two main types of neurons
segregate in excitatory and inhibitory neurons, which together regulate the flow
of information through the network. It has been proposed that changes in the
relative strength in these two opposing forces underlie the symptoms observed in
psychiatric disorders, including autism and schizophrenia. Here, we review the
role of alterations to the function of the inhibitory system as a cause of
psychiatric disorders. First, we explore both patient and post-mortem evidence of
inhibitory deficiency. We then discuss the function of different interneuron
subtypes in the network and focus on the central role of a specific class of
inhibitory neurons, parvalbumin-positive interneurons. Finally, we discuss genes
known to be affected in different disorders and the effects that mutations in
these genes have on the inhibitory system in cortex and hippocampus. We conclude
that alterations to the inhibitory system are consistently identified in animal
models of psychiatric disorders and, more specifically, that mutations affecting
the function of parvalbumin-positive interneurons seem to play a central role in
the symptoms observed in these disorders.
PMID- 29375824
TI - Early Detection and Chemoprevention of Lung Cancer.
AB - Despite advances in targeted treatments, lung cancer remains a common and deadly
malignancy, in part owing to its typical late presentation. Recent developments
in lung cancer screening and ongoing efforts aimed at early detection, treatment,
and prevention are promising areas to impact the mortality from lung cancer. In
the past several years, lung cancer screening with low-dose chest computed
tomography (CT) was shown to have mortality benefit, and lung cancer screening
programs have been implemented in some clinical settings. Biomarkers for
screening, diagnosis, and monitoring of response to therapy are under
development. Prevention efforts aimed at smoking cessation are as crucial as
ever, and there have been encouraging findings in recent clinical trials of lung
cancer chemoprevention. Here we review advancements in the field of lung cancer
prevention and early malignancy and discuss future directions that we believe
will result in a reduction in the mortality from lung cancer.
PMID- 29375825
TI - World Health Organization (WHO) guidelines on use of medically important
antimicrobials in food-producing animals.
AB - Background: Antimicrobial use in food-producing animals selects for antimicrobial
resistance that can be transmitted to humans via food or other transmission
routes. The World Health Organization (WHO) in 2005 ranked the medical importance
of antimicrobials used in humans. In late 2017, to preserve the effectiveness of
medically important antimicrobials for humans, WHO released guidelines on use of
antimicrobials in food-producing animals that incorporated the latest WHO
rankings. Methods: WHO commissioned systematic reviews and literature reviews,
and convened a Guideline Development Group (GDG) of external experts free of
unacceptable conflicts-of-interest. The GDG assessed the evidence using the
Grading of Recommendations Assessment, Development and Evaluation (GRADE)
approach, and formulated recommendations using a structured evidence-to-decision
approach that considered the balance of benefits and harms, feasibility, resource
implications, and impact on equity. The resulting guidelines were peer-reviewed
by an independent External Review Group and approved by the WHO Guidelines Review
Committee. Results: These guidelines recommend reductions in the overall use of
medically important antimicrobials in food-producing animals, including complete
restriction of use of antimicrobials for growth promotion and for disease
prevention (i.e., in healthy animals considered at risk of infection). These
guidelines also recommend that antimicrobials identified as critically important
for humans not be used in food-producing animals for treatment or disease control
unless susceptibility testing demonstrates the drug to be the only treatment
option. Conclusions: To preserve the effectiveness of medically important
antimicrobials, veterinarians, farmers, regulatory agencies, and all other
stakeholders are urged to adopt these recommendations and work towards
implementation of these guidelines.
PMID- 29375827
TI - Clinical Case Reports is celebrating!
PMID- 29375826
TI - Dietary betaine activates hepatic VTGII expression in laying hens associated with
hypomethylation of GR gene promoter and enhanced GR expression.
AB - Background: Vitellogenin (VTG) is a precursor of egg yolk proteins synthesized
within the liver of oviparous vertebrates. Betaine is an important methyl donor
that is reported to improve egg production of laying hens with an unclear
mechanism. In the present study, we fed betaine-supplemented diet (0.5%) to
laying hens for 4 wk and investigated its effect on VTGII expression in the
liver. Results: Betaine did not affect chicken weight, but significantly (P <
0.05) increased egg laying rate accompanied with a significant (P < 0.05)
increase in hepatic concentration and plasma level of VTGII. Plasma estrogen
level did not change, but the hepatic expression of estrogen receptor alpha
(ERalpha) mRNA was significantly (P < 0.05) up-regulated. Betaine did not affect
the protein content of ERalpha, but significantly (P < 0.05) increased hepatic
expression of glucocorticoid receptor (GR) at both mRNA and protein levels. Also,
ERalpha/GR interaction tended to be enhanced in the liver nuclear lysates of
betaine-supplemented hens as determined by co-immunoprecipitation. Furthermore,
dietary betaine supplementation significantly increased (P < 0.05) the hepatic
expression of methyl-transfer enzymes, such as BHMT, GNMT, and DNMT1, which was
associated with higher SAM/SAH ratio and hypomethylation of GR promoter regions.
Conclusions: Betaine activates hepatic VTGII expression in association with
modified DNA methylation of GR gene promoter, GR expression and ERalpha/GR
interaction. Activation of hepatic VTGII expression may contribute, at least
partly, to improved egg production in betaine-supplemented hens.
PMID- 29375828
TI - Co-occurrence of a novel PDGFRB variant and likely pathogenic variant in CASR in
an individual with extensive intracranial calcifications and hypocalcaemia.
AB - This case report describes an individual with brain calcifications, cognitive
decline, motor dysfunction, and hypocalcaemia. Exome sequencing revealed a
previously reported variant in the CASR gene and a variant of uncertain
significance in PDGFRB. The clinical phenotype is likely explained by the CASR
variant, but we discuss how the PDGFRB variant could also participate in the
phenotype.
PMID- 29375829
TI - DNA methylation alterations in the genome of a toddler with cri-du-chat syndrome.
AB - This manuscript reports on genomewide epigenetic alterations in cri-du-chat
syndrome related to a partial aneusomy of chromosome 5. A systematic analysis of
these alterations will open up new possibilities for the prognostic evaluation of
CDCS patients and the development of new therapeutic interventions for reducing
the severity of the disease.
PMID- 29375830
TI - Neonatal diabetes mellitus: improved screening and early management of an
underestimated disease.
AB - Neonatal diabetes mellitus is underdiagnosed in the neonatal period because of
the metabolic adaptation capacities of the newborns. However, it is associated
with increased risk of short- and long-term morbidity; when transient it may
recurs in adulthood. It is important to improve screening and early management
with appropriate guidelines.
PMID- 29375831
TI - Pancreatitis and myocarditis coexistence due to infection by Coxsackie B1 and B4
viruses.
AB - Myocarditis can be a rare late manifestation of acute pancreatitis caused by
Coxsackie virus infection. Clinicians should be aware of potentially life
threatening myocarditis because immediate recognition and management are the
cornerstones in achieving a better outcome.
PMID- 29375832
TI - Successful management of malignant carinal involvement by "side-by-side" method
of self-expandable metallic stents followed by chemotherapy.
AB - Bilateral self-expandable metallic stents may be useful in the management of
malignant carinal involvement and may improve the performance status of patients.
This strategy may allow patients with poor performance status to receive
additional chemotherapy.
PMID- 29375833
TI - Identification of a novel deletion within ALDH3A2 gene in an Iranian Family with
Sjogren-Larsson Syndrome.
AB - Sjogren-Larsson syndrome (SLS) is a rare type of congenital ichthyosis with
neurological problems and intellectual disability. Homozygous mutations in
ALDH3A2 gene are known to be responsible for this syndrome. Here, we report an
Iranian family with congenital SLS bearing a novel two-base-pair deletion within
ALDH3A2 genomic sequence. Our finding expands the mutation spectrum of ALDH3A2
that is applicable for further molecular studies and management of SLS.
PMID- 29375835
TI - Liver injury secondary to chest tube placement: a case report of conservative
management and review of literature.
AB - Chest tube placement is a routine procedure performed in different medical
departments. Liver injury is a very rare complication that can occur but can be
life-threatening. Conservative management can be proposed in stable patient.
Following guidelines and training physicians should decrease the incidence of
such complications.
PMID- 29375834
TI - Functional plurihormonal adrenal oncocytoma: case report and literature review.
AB - We present a 27-year-old woman with an adrenal oncocytoma. This is a very rare
entity. We provide a review of the clinical, biochemical and pathological
features of cases reported in the literature.
PMID- 29375836
TI - Unexpected disseminated histoplasmosis detected by bone marrow biopsy in a solid
organ transplant patient.
AB - Disseminated histoplasmosis and hemophagocytic lymphohistiocytosis show
overlapping features, which require careful contextual interpretation.
Histopathologic evaluation can potentially rapidly identify cases of possible
histoplasmosis. A high index of clinical suspicion, particularly in endemic areas
and in a setting of immunosuppression, is critical to appropriate diagnosis and
treatment.
PMID- 29375837
TI - Successful elimination of premature ventricular contractions by ablation of
origin and preferential pathway.
AB - However, the common strategy for eliminating premature ventricular contractions
(PVCs) is to explore the exit site and ablate, which may be difficult in some
cases. The origin and the preferential pathway, an insulated pathway connected to
the exit, may also become targets for eliminating PVCs.
PMID- 29375838
TI - Metastatic HPV-related oropharyngeal carcinoma cured with chemoradiotherapy:
importance of pretherapy biomolecular assessment.
AB - Pretherapy assessment has a crucial role in the management of advanced
oropharyngeal carcinoma. The case report represents an example of how
translational research may help to optimize the therapeutic options and to choose
a well-shaped therapy adapted to the tumor and the patient.
PMID- 29375839
TI - Diagnosis of benign notochordal cell tumor of the spine: is a biopsy necessary?
AB - Benign notochordal cell tumor is a benign intraosseous lesion, demonstrates
characteristic imaging features. The lesion demonstrates low-signal intensity in
T1-weighted images, high-signal intensity in T2-weighted images, and no
enhancement with contrast medium in MRI and slight osteosclerosis in CT. If
typical imaging findings are identified, biopsy is not necessary.
PMID- 29375840
TI - Oral care and prevention of pneumonia after withdrawal of nasogastric tube
feeding in three elderly patients with psychiatric disorders.
AB - We investigated the effect of oral care on the prevention of pneumonia using a
clinical scoring scale in elderly patients with psychiatric disorders after the
withdrawal of nasogastric feeding tubes. Notably, oral care was effective in
preventing pneumonia relapse in these patients.
PMID- 29375841
TI - Paranasal gossypiboma mimicking an aggressive tumor: a case report.
AB - Even if gossypibomas are extremely rare in the Oro-Maxillofacial region, they
should be evoked in bizarre tumors when repeated histology tests are not
contributive, especially in patients with a history of dento-sinusal surgery. As
it is recommended in general surgery, gauzes should be carefully counted when
used in dentistry.
PMID- 29375842
TI - Visceral leishmaniasis in a patient with diabetes mellitus type 2 and discrete
bicytopenia.
AB - An Austrian patient with diabetes mellitus type 2 developed visceral
leishmaniasis after trips to Spain and Crete, presenting with slight bicytopenia,
later developing severe pancytopenia. Travel history taking is important due to
an extended incubation period. Coexistence of diabetes mellitus can impair T
lymphocyte function and cause higher relapse rates.
PMID- 29375843
TI - Inflammatory myofibroblastic tumor of the esophagus presenting with hematemesis
and melaena: a case report and review of literature.
AB - Esophageal inflammatory myofibroblastic tumors (IMT) are extremely rare, and the
understanding on the clinical presentation is limited. IMT of esophagus should be
considered as a differential diagnosis in the context of unexplained upper
gastrointestinal bleeding and rapidly progressing dysphagia in young patients.
PMID- 29375844
TI - Unexpected discovery of multiple myeloma following cardiomyopathy.
AB - We report the case of multiple myeloma of unexpected discovery in an old patient
admitted to the emergency department of cardiomyopathy. This observation
emphasizes the need for exploring any anemia before linking it to heart failure
or kidney disease. Serum protein electrophoresis remains crucial especially in
the elderly patients.
PMID- 29375845
TI - Mosaic upd(14)pat in a patient with mild features of Kagami-Ogata syndrome.
AB - We report a Norwegian girl with mild clinical features of Kagami-Ogata syndrome
(KOS) and mosaic upd(14)pat. To our knowledge, this is the first report
describing a mosaic patient with KOS. These results imply that mosaic uniparental
disomy should be examined in patients with mild features of imprinted disorders.
PMID- 29375846
TI - The clinical utility of serum free light chain and heavy/light chain assays in
monitoring disease activity in patients with IgG myeloma after achieving a deep
response.
AB - Heavy/light chain (HLC) assay will enable us to evaluate the changes in the
concentrations of iHLC and uHLC separately and to better identify whether the
change observed is clonal or reactive. It would therefore aid in decision making
for earlier implementation or discontinuation of treatment for patients with
intact immunoglobulin multiple myeloma (MM).
PMID- 29375847
TI - Tetraploid-diploid mosaicism in a patient with pigmentary anomalies of hair and
skin: a new dermatologic feature.
AB - Tetraploid-diploid mosaicism in humans is exceedingly rare. We present an 11-year
old boy with tetraploid-diploid mosaicism and coexistent hair hypopigmentation
with skin hypo- and hyperpigmentation. This case expands the current literature
as we are not aware of previous documentation of this unique combination of
pigmentary anomalies.
PMID- 29375848
TI - Plunging ranula: surgical management of case series and the literature review.
AB - Plunging ranulas are rare; report of this condition is particularly limited in
our environment. We present case series in children; with all cases having both
oral and cervical components. It is important to note this type of presentation
of plunging ranula and their appropriate management.
PMID- 29375849
TI - EBV-associated hemophagocytic lymphohistiocytosis complicated by severe
coagulation disorders and opportunistic infections: case report of a survivor.
AB - The possibility of hemophagocytic lymphohistiocytosis should always be kept in
mind when examining/treating a patient with fever of unknown origin and sepsis
like symptoms. Early diagnosis leading to prompt initiation of immunosuppressive
therapy as well as aggressive supportive care, including correction of
coagulation abnormalities and treatment of opportunistic infections, can decrease
mortality.
PMID- 29375850
TI - Epidemiologic profile and clinical course of four confirmed rickettsiosis cases
in Southern Mexico during 2016.
AB - Domestic animals can carry ticks or fleas, which constitute common vectors of
rickettsial infections. The contact with them should be considered as suggestive
of rickettsial infections in symptomatic patients. Misdiagnosis might occur in
regions where other vector-borne diseases are endemic. Anamnesis is essential for
an accurate clinical diagnosis.
PMID- 29375851
TI - Association of isochromosome (7)(q10) in Shwachman-Diamond syndrome with the
severity of cytopenia.
AB - We report two male siblings with SDS. They have the same compound heterozygous
mutations. Only one of the siblings acquired cytogenetic abnormality of i(7q) 2
years after diagnosis, became transfusion-dependent, and underwent allogeneic
hematopoietic stem cell transplantation. These cases indicate that i(7q) is
associated with significant cytopenia in SDS patients.
PMID- 29375852
TI - Liposomal bupivacaine for the management of postsurgical donor site pain in
patients with burn injuries: a case series from two institutions.
AB - Donor site pain associated with skin graft procedures is frequently intense and
difficult to treat. Liposomal bupivacaine, a prolonged-release local anesthetic
indicated for single-dose administration to produce postsurgical analgesia, may
be a viable option in managing donor site pain.
PMID- 29375853
TI - Hashimoto's encephalopathy - presenting with epilepsia partialis continua and a
frontal lobe lesion.
AB - We report a case of Hashimoto's encephalopathy (HE), who presented with epilepsia
partialis continua (EPC) and a frontal lobe lesion. The diagnosis of HE remained
elusive until the serum thyroid antibodies became positive 7 months after the
onset of EPC. The histopathology of this frontal lesion showed nonvasculitic
inflammation.
PMID- 29375854
TI - Nonhealing scrotal ulceration-an unusual manifestation of TB epididymo-orchitis:
case report and review of literature.
AB - The clinical presentation of genitourinary tuberculosis (TB) may be variable and
a high index of suspicion is required for a timely diagnosis, especially in
endemic areas. Recurrent scrotal ulcers associated with epididymo-orchitis even
without other constitutional symptoms should alert the clinician of a possible
diagnosis of TB.
PMID- 29375855
TI - Longitudinal report of child with de novo 16p11.2 triplication.
AB - 16p11.2 deletions and duplications are commonly associated with autism spectrum
disorder and linked to mirrored phenotypes of physical characteristics and higher
penetrance for deletions. A male with a rare 16p11.2 triplication demonstrated a
similar phenotypic presentation to deletion carriers with neurocognitive and
adaptive skill deficits and above-average physical growth.
PMID- 29375857
TI - Trochanteric fracture following hip arthrodesis: case presentation.
AB - Even if the intertrochanteric fracture under an arthrodesis hip is rare and the
optimal surgical treatment is controversial, we consider that treating this kind
of fracture with a locked plate was a success.
PMID- 29375856
TI - Acute lymphoblastic leukemia secondary to myeloproliferative neoplasms or after
lenalidomide exposure.
AB - Philadelphia-negative (Ph-) myeloproliferative neoplasms (MPN) do rarely
transform to acute lymphoblastic leukemia (ALL). While causality is difficult to
establish, a few cases of ALL arising after exposure to lenalidomide for
registered indications (multiple myeloma, myelodysplastic syndrome with 5q
deletion) have been described in the literature.
PMID- 29375858
TI - A case of herpes simplex virus pneumonia detected by sputum cytodiagnosis.
AB - A sputum test is noninvasive and simple. It contributed to correct diagnosis of a
patient with severe acute respiratory failure. We again point out the usefulness
of sputum cytodiagnosis for differentiating severe pneumonia.
PMID- 29375859
TI - Congenital methemoglobinemia type II in a 5-year-old boy.
AB - Congenital Methemoglobinemia is a rare neurologic condition which can mimic other
diseases such as epilepsy syndromes and leukodystrophies. The responsible gene,
CYB5R3, is not typically included on commonly order neurologic and epilepsy
panels. We recommend that laboratories include this gene on these tests which
often precede larger-scale genetic studies.
PMID- 29375860
TI - Rare 48, XYYY syndrome: case report and review of the literature.
AB - 48, XYYY syndrome is a rare condition. A male with 32-year-old and three Y
chromosomes is described. This syndrome is phenotypically similar to Klinefelter
syndrome. In this patient, Semi-Klinefelter characteristics such as tall stature,
teeth dysmorphology, long length of fingers, partial deformity of the joints,
likewise mental health problems were obvious.
PMID- 29375861
TI - Basilar impression as complication of Grisel's syndrome.
AB - Grisel's syndrome presents a rare disease. Here, we present a peculiar case of
Grisel's syndrome with an unfavorable course developing a basilar impression.
This highlights the importance of close clinical and radiological follow-up even
in cases where the course seems uncomplicated.
PMID- 29375862
TI - The first antenatal diagnosis of KBG syndrome: a microdeletion at chromosome
16q24.2q24.3 containing multiple genes including ANKRD11 associated with the
disorder.
AB - The loss of ANKRD11 gene confirms the diagnosis of KBG syndrome but does not
elucidate the pediatric phenotype providing a counseling challenge. With the
expansion of prenatal diagnosis, and the potential to perform whole-exome
sequencing antenatally, we must describe the genetic abnormalities, antenatal
ultrasound findings, and phenotype concurrently to facilitate counseling.
PMID- 29375863
TI - Toothpick ingestion and migration into the liver through the colonic hepatic
flexure: case presentation, management, and literature review.
AB - The approach to toothpick ingestion and its complications should not be
underestimated. The surgeon should be prepared for life-threatening situations
such as major vascular involvement, as well as highly specialized and technically
challenging procedures, when the hepatic hilum is involved for instance. Referral
to tertiary centers is sometimes mandatory.
PMID- 29375864
TI - Apparent pacemaker dysfunction during peptide receptor radionuclide therapy for
neuroendocrine tumor.
AB - This case is a reminder not to overlook rare causes of electrolyte shifts, which
may cause reversible changes in pacemaker pacing thresholds.
PMID- 29375865
TI - Clinical whole exome sequencing from dried blood spot identifies novel genetic
defect underlying asparagine synthetase deficiency.
AB - We add two novel variants to the existing mutation spectrum of ASNS gene. Loss of
ASNS function should be suspected in newborns presenting with congenital
microcephaly, intellectual disability, progressive cerebral atrophy, and
intractable seizures. Acquisition and sequencing of stored newborn blood spot can
be a valuable option when no biological samples are available from a deceased
child.
PMID- 29375866
TI - Metronidazole caused profound drug-induced immune thrombocytopenia.
AB - Metronidazole is commonly prescribed and has not been known to cause drug-induced
immune thrombocytopenia. We have provided clinical and laboratory evidence with
DDabs that metronidazole can cause drug-induced immune thrombocytopenia (DITP).
Providers must be aware of metronidazole causing DITP because recognition of
thrombocytopenia is critical and cessation of the drug should occur promptly.
PMID- 29375867
TI - A life-devastating cause of gastroenteritis in an immunocompetent host: was it
suspected?
AB - A 44-year-old immunocompetent man with gastroenteritis received supportive care
and empiric antibiotics. After an initial response, he developed septic shock.
Blood cultures grew Gram-positive bacilli with antibiotic adjustment. However, he
succumbed within 36 h. After the patient's death, Listeria monocytogenes was
identified on blood culture.
PMID- 29375869
TI - A unique case of bilateral hydronephrosis caused by a fecalith.
AB - This is a unique case that signifies the importance to look beyond the
genitourinary system for causes of hydronephrosis. In addition, we outline the
manner in which a fecalith should be addressed.
PMID- 29375868
TI - Vascular surgery of aortic thrombosis in a dog using Fogarty maneuver - technical
feasibility.
AB - Aortic thromboembolism is a rare and life-threatening disease in dogs. This
report aims to describe the successful surgical treatment by use of a Fogarty
Thrombectomy Catheter in an 8-year-old patient. The postsurgical intensive care
therapy to prevent ischemia-reperfusion syndrome is specified, despite poor
outcome in our case (owner elected euthanasia).
PMID- 29375870
TI - Orbital plasmablastic lymphoma.
AB - Plasmablastic lymphoma is an unusual and aggressive form of diffuse large B-cell
lymphoma, which arises more commonly within the oronasal mucosa. It should be
considered as a differential diagnosis for rapidly growing periorbital lesions,
particularly in the context of HIV positivity.
PMID- 29375871
TI - Fulminant hemolysis in glucose-6-phosphate dehydrogenase deficiency.
AB - Glucose-6-phosphate dehydrogenase (G6PD) deficiency is an X-linked disorder
affecting some 400 million people worldwide. Though clinically silent, it may
result in hemolysis on oxidative stress induced by drugs or infections. Viral
hepatitis A with coexisting G6PD deficiency can be devastating associated with
severe hemolysis, anemia, renal failure, and hepatic encephalopathy.
PMID- 29375872
TI - Subcutaneous hematomas from prophylactic heparin use.
AB - Heparin is an anticoagulant, which is frequently used in hospitalized patients
for prophylaxis of deep vein thrombosis (DVT). Subcutaneous administration of
heparin may lead to complications such as bruising, hematoma, and pain at the
injection site. Hematomas can develop without visible bruising, as in our case.
PMID- 29375873
TI - Cutaneous diffuse large B-cell lymphoma.
AB - Cutaneous diffuse large B-cell lymphoma accounts for ~6% of all cutaneous
lymphomas. It is associated with poor prognosis, and solitary lesions are
relatively rare. It often requires an aggressive approach with multi-agent
chemotherapy and radiotherapy. It is important to recognize these cases in order
to offer rapid and appropriate management.
PMID- 29375874
TI - Acute fatty liver of pregnancy simulating liver tumor.
AB - Acute fatty liver of pregnancy (AFLP) is a rare liver disease unique to pregnancy
that can lead to acute liver failure. Clinicians must have a high index of
suspicion for AFLP because only early diagnosis and prompt delivery improve
maternal and fetal prognosis.
PMID- 29375875
TI - PET/CT imaging in management of concomitant Hodgkin lymphoma and tuberculosis - a
problem solver tool.
AB - Infectious lymph nodes mimicking lymphoma is challenging for accurate staging.
Although 18F-FDG is a nonspecific tracer accumulating not only in tumor cells but
also in inflammatory tissues, the metabolic features and uptake kinetics give
valuable information: 18F-FDG PET/CT appears as a useful problem solver tool in
ambiguous situation.
PMID- 29375876
TI - Leukemic phase and CSF involvement of diffuse large B-cell lymphoma with a
complex karyotype including a TP53 deletion.
AB - Diffuse large B-cell lymphoma in rare instances can present initially in a
leukemic phase and mimic other lymphoid diseases. In such cases, advanced
diagnostic testing including immunophenotyping, FISH analysis, and karyotyping
can help determine the accurate diagnosis which is key in the management of the
disease.
PMID- 29375877
TI - Graft-versus-host disease, a rare complication after orthotopic liver
transplantation.
AB - Acute graft-versus-host disease (GVHD) after orthotopic liver transplantation
(OLT) is a rare but fatal complication that poses a major diagnostic and
therapeutic challenge. Our case highlights the need for further studies to
develop therapeutic modalities to improve outcomes in patients who develop GHVD
following OLT.
PMID- 29375878
TI - Gastrorenal shunt: a cause of hyperammonemia.
AB - Gastrorenal shunts may induce hyperammonemia. Portosystemic shunts should be
suspected when hyperammonemia occurs in patients with chronic kidney disease.
PMID- 29375879
TI - A "tilt" toward cinefluoroscopy.
AB - Fluoroscopy permits rapid and straightforward assessment of mechanical valve
function and allows a distinction between normal and malfunctional prostheses,
acting as a complementary diagnostic step.
PMID- 29375880
TI - Absolute lymphocyte and neutrophil counts in neonatal ischemic brain injury.
AB - Objectives: This study aimed to identify differences in absolute neutrophils,
lymphocytes, and neutrophil-to-lymphocyte ratio between neonates with two forms
of ischemic brain injury, hypoxic-ischemic encephalopathy, and acute ischemic
stroke, compared to controls. We also aimed to determine whether this
neutrophil/lymphocyte response pattern is associated with disease severity or is
a consequence of the effects of total-body cooling, an approved treatment for
moderate-to-severe hypoxic-ischemic encephalopathy. Methods: A retrospective
chart review of 101 neonates with hypoxic-ischemic encephalopathy + total-body
cooling (n = 26), hypoxic-ischemic encephalopathy (n = 12), acute ischemic stroke
(n = 15), and transient tachypnea of the newborn (n = 48) was conducted;
transient tachypnea of the newborn neonates were used as the control group.
Absolute neutrophil count and absolute lymphocyte count at three time-intervals
(0-12, 12-36, and 36-60 h after birth) were collected, and neutrophil-to
lymphocyte ratio was calculated. Results: Hypoxic-ischemic encephalopathy + total
body cooling neonates demonstrated significant time-interval-dependent changes in
absolute lymphocyte count and neutrophil-to-lymphocyte ratio levels compared to
transient tachypnea of the newborn and acute ischemic stroke patients. Pooled
analysis of absolute lymphocyte count for neonates with acute ischemic stroke and
hypoxic-ischemic encephalopathy (not hypoxic-ischemic encephalopathy + total-body
cooling) revealed that absolute lymphocyte count changes occurring at 0-12 h are
likely due to disease progression, rather than total-body cooling treatment.
Conclusion: These data suggest that the neutrophil/lymphocyte response is
modulated following neonatal ischemic brain injury, representing a possible
target for therapeutic intervention. However, initial severity of hypoxic
ischemic encephalopathy among these patients could also account for the observed
changes in the immune response to injury. Thus, additional work to clarify the
contributions of cooling therapy and disease severity to neutrophil/lymphocyte
response following hypoxic-ischemic encephalopathy in neonates is warranted.
PMID- 29375881
TI - The evolving role of traditional birth attendants in maternal health in post
conflict Africa: A qualitative study of Burundi and northern Uganda.
AB - Objectives: Many conflict-affected countries are faced with an acute shortage of
health care providers, including skilled birth attendants. As such, during
conflicts traditional birth attendants have become the first point of call for
many pregnant women, assisting them during pregnancy, labour and birth, and in
the postpartum period. This study seeks to explore how the role of traditional
birth attendants in maternal health, especially childbirth, has evolved in two
post-conflict settings in sub-Saharan Africa (Burundi and northern Uganda)
spanning the period of active warfare to the post-conflict era. Methods: A total
of 63 individual semi-structured in-depth interviews and 8 focus group
discussions were held with women of reproductive age, local health care providers
and staff of non-governmental organisations working in the domain of maternal
health who experienced the conflict, across urban, semi-urban and rural settings
in Burundi and northern Uganda. Discussions focused on the role played by
traditional birth attendants in maternal health, especially childbirth during the
conflict and how the role has evolved in the post-conflict era. Transcripts from
the interviews and focus group discussions were analysed by thematic analysis
(framework approach). Results: Traditional birth attendants played a major role
in childbirth-related activities in both Burundi and northern Uganda during the
conflict, with some receiving training and delivery kits from the local health
systems and non-governmental organisations to undertake deliveries. Following the
end of the conflict, traditional birth attendants have been prohibited by the
government from undertaking deliveries in both Burundi and northern Uganda. In
Burundi, the traditional birth attendants have been integrated within the primary
health care system, especially in rural areas, and re-assigned the role of 'birth
companions'. In this capacity they undertake maternal health promotion activities
within their communities. In northern Uganda, on the other hand, traditional
birth attendants have not been integrated within the local health system and
still appear to undertake clandestine deliveries in some rural areas. Conclusion:
The prominent role of traditional birth attendants in childbirth during the
conflicts in Burundi and northern Uganda has been dwindling in the post-conflict
era. Traditional birth attendants can still play an important role in
facilitating facility and skilled attended births if appropriately integrated
with the local health system.
PMID- 29375882
TI - Idiopathic intracranial hypertension as a presenting sign of adrenal
insufficiency.
AB - Idiopathic intracranial hypertension is a diagnosis of exclusion defined by
elevated intracranial pressure without mass lesions or hydrocephalus. Causes of
idiopathic intracranial hypertension include obesity, vitamin derangements,
antibiotics, corticosteroids, and autoimmune disorders. Cushing's disease and
Addison's disease have been associated with idiopathic intracranial hypertension.
Secondary adrenal insufficiency following withdrawal of inhaled corticosteroids
has been found to be a relatively common phenomenon. This case describes an 11
year-old boy who was previously on inhaled corticosteroids for severe asthma who
presented with secondary adrenal insufficiency after withdrawal of steroids. The
adrenal insufficiency presented as idiopathic intracranial hypertension. We
described the hospital course and process of diagnosis for this child with
secondary adrenal insufficiency following withdrawal of inhaled corticosteroids.
The association between the discontinuation of this patient's corticosteroids and
his onset of headache suggests secondary adrenal insufficiency as the most likely
cause of his idiopathic intracranial hypertension. The gradual improvement in his
symptoms after steroid replacement further supports this. Due to the significant
prevalence of children using inhaled corticosteroids, it is important for
clinicians to be aware of the potential for the withdrawal of these drugs to
induce hypothalamic-pituitary-adrenal axis suppression.
PMID- 29375883
TI - Trust and the Ethical Conduct of Community-Engaged Research.
AB - Community-engaged research (CEnR), which emphasizes equal participation of
academic and community partners in research, seeks to improve public trust in
science. Unfortunately, there is a dearth of rigorous empirical research on trust
as a core component of ethical conduct of CEnR. Drawing on data collected from a
project on the ethics of CEnR, this commentary discusses benefits and risks of
trust and uses the concept of embeddedness to explain how public trust in science
may be increased. We argue that in developing and maintaining trust, partners
must balance scientific rigor with community relevance and cultural
appropriateness of research. They must strike a balance between working with the
same limited pool of trusted partners, which can speed research but slow wider
acceptance of science, and extending their trust to new partners, which can
broaden acceptance of science but slow research. Practitioners may facilitate the
development of trust in science by gradually expanding the pool of partners they
choose their collaborators from.
PMID- 29375885
TI - Primary progressive apraxia: an unusual ideomotor syndrome.
AB - Background: Primary progressive apraxia is a rare form of apraxia in the absence
of dementia which develops insidiously and is slowly progressive. Most reports of
patients with apraxia also describe coexisting aphasias or involve additional
apraxias with affected speech, usually in the setting of neurodegenerative
diseases such as corticobasal degeneration, Alzheimer's disease or frontotemporal
dementia. The aim of this report is to describe and demonstrate by video two
cases of isolated primary progressive ideomotor apraxia seen in our clinic. Case
presentation: We describe two patients with 2-5 years of progressive difficulty
using their hands, despite having intact cognition and lack of correlating
lesions on imaging. Conclusion: We report two cases of primary progressive
apraxia that may be early presentations of taupathic disease in both patients. In
both cases, there is isolated profound ideomotor apraxia of the hands, with
preserved cognition, language skills, muscle power and tone, and gait. There are
no correlating lesions on imaging.
PMID- 29375884
TI - Implementation and Evaluation of a Risk-Based Approach to Guide Chronic Kidney
Disease Care: Protocol for a Multiphase Mixed-Methods Study.
AB - Background: Risk prediction tools are used in a variety of clinical settings to
guide patient care, although their use in chronic kidney disease (CKD) care is
limited. Objectives: To assess the association of a risk-based model of CKD care
on patient care, satisfaction, outcomes, and cost. Design: Mixed-methods with a
pre-post design. Setting: We will use mixed-methods and a pre-post design to
evaluate use of the Kidney Failure Risk Equation (KFRE) to guide CKD care. The
KFRE will be applied to patients currently followed in nephrology
multidisciplinary CKD clinics in Alberta, as well as to new patients being
considered for multidisciplinary care. Patients: Patients with a 2-year risk of
kidney failure >=10% or estimated glomerular filtration rate (eGFR) <=15
mL/min/1.73 m2 will be recommended care by a multidisciplinary team coordinated
by a nurse clinician and nephrologist, with access to other multidisciplinary
resources including dietitians, pharmacists, and social workers as required.
Measurements/Methods: Focus groups and interviews will be conducted to
qualitatively describe patient and provider perspectives of potential barriers
and facilitators to implementation of the risk-based approach to CKD care.
Patient and provider surveys will also be used to quantify patient and provider
satisfaction before and after the intervention. Finally, administrative data will
be used to evaluate the association between the risk-based approach to care and
outcomes including health care resource use, frequency of testing, modality
choice, and death. Conclusions: Use of a risk-based model of care has the
potential to increase use of optimal treatments such as the use of home dialysis
and preemptive kidney transplantation, while reducing costs and poor outcomes
related to processes of care such as unnecessary laboratory testing; however,
there is also potential for unintended consequences. Our mixed-methods approach
will integrate perceptions and needs from key stakeholders (including patients
with CKD, their families, and their providers) to guide implementation and ensure
appropriate modifications.
PMID- 29375886
TI - Evaluation of transcutaneous bilirubinometer (DRAEGER JM 103) use in Zimbabwean
newborn babies.
AB - Background: Acute Bilirubin Encephalopathy in the neonatal period is a major
cause of permanent disability. Effective screening and surveillance are essential
in the newborn period to enable timely management. Noninvasive transcutaneous
bilirubin devices have been successfully used for screening in many settings. We
evaluated the accuracy of the Draeger JM 103 (Medical Systems, USA) for
estimating serum bilirubin in Zimbabwean newborns. Methods: Paired transcutaneous
(forehead and sternum) and serum bilirubin measurements were compared on 283
infants consecutively recruited between 01 August and 30 November 2015 at Harare
Hospital Neonatal Unit. Using serum bilirubin as gold standard, Pearson
Correlation Coefficient (r) was calculated for the two transcutaneous measurement
sites. Linear regression plots of transcutaneous versus serum estimates were
performed. Comparison was made between preterm and term babies. Specificity,
sensitivity, positive predictive value and negative predictive value of the JM103
were calculated including ROC curves to assess the accuracy of the diagnostic
tests. Results: Fifty-five percent of the babies were male. Median gestational
age was 38 weeks (range 28-42). One hundred and fifteen (41%) were preterm.
Median postnatal age was 3 days (range 0-10). Serum bilirubin ranged 85-408
MUmol/l, transcutaneous bilirubin sternum; 170-544 MUmol/l and forehead; 119-510
MUmol/l. Correlation between serum and transcutaneous bilirubin (sternum) was
0.77 and between serum and transcutaneous (forehead) was 0.72. Preterm babies
correlation for sternum was 0.77 and forehead was 0.75. Term babies correlation
for sternum was 0.76 and forehead was 0.70. The sensitivity for the sternum site
was 76%, specificity 90%, Positive Predictive Value of 70 and Negative Predictive
Value 92. Sensitivity for forehead site was 62%, specificity 95% with a Positive
Predictive Value of 80 and Negative Predictive Value of 90. Bland-Altman plot of
serum versus transcutaneous measurements showed agreement between the tests. The
ROC curves showed that the accuracy of the two diagnostic tests were good with no
significant difference between the two, p = 0.2954. Conclusion: The study
demonstrated a strong positive correlation for both sternum and forehead sites
with serum bilirubin in this Zimbabwean population of African origin. However,
the sternum is a better site for identifying babies with jaundice compared to
forehead. The Draeger JM-103 can be used to screening for neonatal jaundice in
this population.
PMID- 29375887
TI - A questionnaire survey of pharmacists regarding the clinical practice guidelines
for the appropriate use of granulocyte-colony stimulating factors.
AB - Background: Clinical practice guidelines should be user-friendly and confirming
their penetration rate and compliance are critical. Methods: We conducted a
nationwide web-based questionnaire survey among pharmacists regarding the 2013
guidelines for the appropriate use of granulocyte-colony stimulating factors (G
CSFs) (version 2, published by the Japan Society of Clinical Oncology [JSCO])
between August 24 and September 6, 2015. Results: A total of 301 pharmacists
responded; 96.0% belonged to hospitals and were board-certified pharmacists in
oncology pharmacy (n = 133) and palliative pharmacy (n = 78). In addition, 61.5%
of respondents (n = 185) worked for designated cancer care hospitals. The
observation that 75.7% of respondents knew that the JSCO guidelines are available
on the internet indicated that several pharmacists used this guideline. A high
degree of usability by pharmacists was also demonstrated, as 98.0% and 51.5% of
respondents, respectively, agreed with the statements "it is useful for the work
of pharmacists" and "it is referred to in the actual work of pharmacists".
However, more than half of the respondents (58.4%) agreed with the phrase "there
are differences from the actual work of pharmacists". Conclusions: Their
responses indicated that the respondents used the G-CSF guidelines and viewed
them positively; however, the observation that about half of the respondents
reported feeling that the guidelines do not match their current practice requires
additional follow-up in future studies. The use of these guidelines should be
routinely assessed in order to introduce novel cancer chemotherapy regimens and
long-acting G-CSF in clinical practice.
PMID- 29375889
TI - National estimates of self-reported sitting time in adults with multiple
sclerosis.
AB - Background: Limited data exist on the prevalence and distribution of sedentary
behavior (SB) in multiple sclerosis (MS). Objective: The objective of this paper
is to describe sitting time as a metric of SB in a large national sample of
people with MS. Methods: A total of 8004 individuals from the North American
Research Committee on MS (NARCOMS) Registry completed the sitting time question
from the International Physical Activity Questionnaire in spring 2015. We present
descriptive data on sitting time for the total sample and across
sociodemographic, clinical, and behavioral characteristics. Results: The final
sample included 6483 individuals. Of these, 36.7% were classified with mild
disability, 24.7% with moderate disability, and 38.6% with severe disability.
Median sitting time for the total sample was 480 min/day (P25 = 310 min/day, P75
= 720 min/day). Sitting time was highest for individuals with MS who were male
(540 min/day), not married (540 min/day), had a disease duration >30 years (540
min/day), were underweight (540.5 min/day), had an annual income of < $15,000
(585 min/day), presented with a progressive form of MS (600 min/day), were
classified as insufficiently active (600 min/day), or presented with severe
disability (661 min/day). Conclusion: Sitting time is twice as high in
individuals with MS compared to the general population (240 min/day).
PMID- 29375888
TI - Self-guided Change: The most common form of long-term, maintained health behavior
change.
AB - Millions of people change risky, health-related behaviors and maintain those
changes. However, they often take years to change, and their unhealthy behaviors
may harm themselves and others and constitute a significant cost to society. A
review-similar in nature to a scoping review-was done of the literature related
to long-term health behavior change in six areas: alcohol, cocaine and heroin
misuse, gambling, smoking, and overeating. Based on the limited research
available, reasons for change and strategies for changing and for maintaining
change were also reviewed. Fifty years of research clearly indicate that as
people age, in the case of alcohol, heroin and cocaine misuse, smoking, and
gambling, 80-90 percent moderate or stop their unhealthy behaviors. The one
exception is overeating; only 20 percent maintain their weight loss. Most of
these changes, when they occur, appear to be the result of self-guided change.
More ways to accelerate self-guided, health-related behavior change need to be
developed and disseminated.
PMID- 29375890
TI - Field evaluation of piglet vaccination with a Mycoplasma hyopneumoniae bacterin
as compared to a ready-to-use product including porcine circovirus 2 and M.
hyopneumoniae in a conventional French farrow-to-finish farm.
AB - Background: A controlled randomized trial was performed on a well-managed
conventional French 180-sow farm. The trial compared the growth performances of
piglets vaccinated at weaning (single shot) either with a commercial monovalent
Mycoplasma hyopneumoniae bacterin vaccine or with a commercial bivalent vaccine
(Porcilis(r) PCV M Hyo) against M. hyopneumoniae and porcine circovirus 2 (PCV2).
The farm's porcine reproductive and respiratory syndrome status was stable, and
most diseases (enzootic pneumonia, atrophic rhinitis, post-weaning multisystemic
wasting syndrome) were controlled by routine vaccination. Results: During the
post-weaning phase, the growth performances of the piglets vaccinated with the
bivalent vaccine were not significantly different from those vaccinated with the
monovalent vaccine. However, during the fattening phase the group vaccinated with
the bivalent vaccine had a significantly improved ADG (+34 g/d, p = 0. 047),
resulting in a 5-day earlier shipment to slaughter. The group also had a shorter
and lower PCV2 load in serum during the fattening period, and an improved lung
lesions score. In both groups, three pigs died during the peak PCV2 viraemia (16
23 weeks of age). Immunohistochemistry of the lymph nodes showed that in the
group vaccinated with the bivalent vaccine, none of these pigs had PCV2-like
lesions, while 2 out of the 3 from the other group did. Results suggest that the
added PCV2 valence in the vaccination protocol helps countering the negative
impact of subclinical PCV2 infection on growth. The calculated return on
investment of the added PCV2 vaccine valence was ?1.7 extra revenue per
slaughtered pig (? 39 additional revenue per sow and per year), despite the fact
that the cost of the bivalent vaccine was higher than the monovalent M.
hyopneumoniae vaccine. Conclusion: In this healthy conventional sow farm, the
combined M. hyopneumoniae and PCV2 vaccination was efficacious, convenient to
administer and profitable.
PMID- 29375891
TI - Measuring skin necrosis in a randomised controlled feasibility trial of heat
preconditioning on wound healing after reconstructive breast surgery: study
protocol and statistical analysis plan for the PREHEAT trial.
AB - Background: Essential strategies are needed to help reduce the number of post
operative complications and associated costs for breast cancer patients
undergoing reconstructive breast surgery. Evidence suggests that local heat
preconditioning could help improve the provision of this procedure by reducing
skin necrosis. Before testing the effectiveness of heat preconditioning in a
definitive randomised controlled trial (RCT), we must first establish the best
way to measure skin necrosis and estimate the event rate using this definition.
Methods: PREHEAT is a single-blind randomised controlled feasibility trial
comparing local heat preconditioning, using a hot water bottle, against standard
care on skin necrosis among breast cancer patients undergoing reconstructive
breast surgery. The primary objective of this study is to determine the best way
to measure skin necrosis and to estimate the event rate using this definition in
each trial arm. Secondary feasibility objectives include estimating recruitment
and 30 day follow-up retention rates, levels of compliance with the heating
protocol, length of stay in hospital and the rates of surgical versus
conservative management of skin necrosis. The information from these objectives
will inform the design of a larger definitive effectiveness and cost
effectiveness RCT. Discussion: This article describes the PREHEAT trial protocol
and detailed statistical analysis plan, which includes the pre-specified criteria
and process for establishing the best way to measure necrosis. This study will
provide the evidence needed to establish the best way to measure skin necrosis,
to use as the primary outcome in a future RCT to definitively test the
effectiveness of local heat preconditioning. The pre-specified statistical
analysis plan, developed prior to unblinded data extraction, sets out the
analysis strategy and a comparative framework to support a committee evaluation
of skin necrosis measurements. It will increase the transparency of the data
analysis for the PREHEAT trial. Trial registration: ISRCTN ISRCTN15744669.
Registered 25 February 2015.
PMID- 29375892
TI - Theory of porous catheters and their applications in intraparenchymal infusions.
AB - Multiport catheters and catheters with a porous surface have been proposed for
intraparenchymal infusions of therapeutics in fluid suspensions. Target diseases
include brain cancer and serious neurodegenerative diseases, as well as
peripheral tumors, for example in the prostate and the liver. We set up the
theory for infusions from such devices, in particular the fluid flow equations
which demand a coupling between the flow within the catheter and that in tissue.
(Such a coupling is not necessary in the theory of infusion from single port
catheters.) The new feature of such catheters, treated by our model, is revealed
by infusions into inhomogeneous media. Multiport designs have the potential to
overcome the limitation of single port catheters, for which the path of the fluid
leaving the port is dominated by the inhomogeneities. We solve these equations
for some simple cases to illustrate the key design features of porous catheters
that show such advantages. The mathematics required for numerical solution with
more realistic assumptions is also developed. We confirm the robustness of such
catheters, when the ports are sufficiently resistive, against leakage paths that
would compromise the infusions from catheters with one or a few large ports. The
methods of this paper can be incorporated into a larger planning system for
intraparenchymal infusions involving such devices.
PMID- 29375893
TI - A comparative ultrasonographic evaluation of intrarenal artery resistive index
among hypertensive and normotensive adults in a black African population compared
to a European population.
AB - Background: Hypertensive nephropathy is an important medical problem among the
black African population. Early detection of renovascular changes using
ultrasonography can provide opportunity for immediate intervention towards
preventing or at least delaying the irreversible hypertensive nephropathy.
Purpose: To compare intrarenal resistive index (RI) in healthy normotensive and
hypertensive adults in Kano, Nigeria. Material and Methods: A prospective
comparative study of intrarenal RI using ultrasound in 150 hypertensives and 150
normotensive controls. The mean renal RI of the interlobar arteries of both
kidneys were measured and recorded. The data were analyzed with the aid of
computer-based SPSS 16.0 software for Windows. Results: The age range of the
study participants was 35-70 years. The mean interlobar artery RI values were
0.59 +/- 0.04 and 0.59 +/- 0.03 on the right and left sides, respectively, in
normotensive control individuals while those of hypertensive individuals were
0.73 +/- 0.03 and 0.73 +/- 0.03 for the mean interlobar artery RI values on the
right and left sides, respectively. Conclusion: The intrarenal RIs were lower in
normotensives when compared with the hypertensive participants, which were
statistically significant. These showed that hypertension has significant effects
on the kidneys, and with early detection and intervention, irreversible renal
damage may be prevented.
PMID- 29375894
TI - Screening-detected desmoid tumor of the breast: findings at conventional imaging
and digital breast tomosynthesis.
AB - Desmoid tumor of the breast is a rare benign entity that usually is mistaken for
carcinoma clinically and radiologically. We report two cases of desmoid tumor of
the breast detected by mammography screening using digital breast tomosynthesis
(DBT). The larger tumor was detected at both full-field digital mammography
(FFDM) and DBT. The smaller desmoid tumor, however, was identified only at
tomosynthesis. Mammographic and ultrasonographic findings at diagnostic work-up
were consistent with carcinoma of the breast. Preoperative needle biopsies could
not conclusively diagnose the lesions. Both patients underwent excisional biopsy
and histopathology revealed fibromatosis of the desmoid type.
PMID- 29375895
TI - Progress in Remote Sensing of Photosynthetic Activity over the Amazon Basin.
AB - Although quantifying the massive exchange of carbon that takes place over the
Amazon Basin remains a challenge, progress is being made as the remote sensing
community moves from using traditional, reflectance-based vegetation indices,
such as the Normalized Difference Vegetation Index (NDVI), to the more functional
Photochemical Reflectance Index (PRI). This new index, together with satellite
derived estimates of canopy light interception and Sun-Induced Fluorescence
(SIF), provide improved estimates of Gross Primary Production (GPP). This paper
traces the development of these new approaches, compares the results of their
analyses from multiple years of data acquired across the Amazon Basin and
suggests further improvements in instrument design, data acquisition and
processing. We demonstrated that our estimates of PRI are in generally good
agreement with eddy-flux tower measurements of photosynthetic light use
efficiency (epsilon) at four sites in the Amazon Basin: r2 values ranged from
0.37 to 0.51 for northern flux sites and to 0.78 for southern flux sites. This is
a significant advance over previous approaches seeking to establish a link
between global-scale photosynthetic activity and remotely-sensed data. When
combined with measurements of Sun-Induced Fluorescence (SIF), PRI provides
realistic estimates of seasonal variation in photosynthesis over the Amazon that
relate well to the wet and dry seasons. We anticipate that our findings will
steer the development of improved approaches to estimate photosynthetic activity
over the tropics.
PMID- 29375896
TI - Ultrasound comparison of external and internal neck anatomy with the LMA Unique.
AB - Introduction: Internal neck anatomy landmarks and their relation after placement
of an extraglottic airway devices have not been studied extensively by the use of
ultrasound. Based on our group experience with external landmarks as well as
internal landmarks evaluation with other techniques, we aimed use ultrasound to
analyze the internal neck anatomy landmarks and the related changes due to the
placement of the Laryngeal Mask Airway Unique. Methods: Observational pilot
investigation. Non-obese adult patients with no evidence of airway anomalies,
were recruited. External neck landmarks were measured based on a validated and
standardized method by tape. Eight internal anatomical landmarks, reciprocal by
the investigational hypothesis to the external landmarks, were also measured by
ultrasound guidance. The internal landmarks were re-measured after optimal
placement and inflation of the extraglottic airway devices cuff Laryngeal Mask
Airway Unique. Results: Six subjects were recruited. Ultrasound measurements of
hyoid-mental distance, thyroid-cricoid distance, thyroid height, and thyroid
width were found to be significantly (p < 0.05) overestimated using a tape
measure. Sagittal neck landmark distances such as thyroid height, sternal-mental
distance, and thyroid-cricoid distance significantly decreased after placement of
the Laryngeal Mask Airway Unique. Conclusion: The laryngeal mask airway Unique
resulted in significant changes in internal neck anatomy. The induced changes and
respective specific internal neck anatomy landmarks could help to design devices
that would modify their shape accordingly to areas of greatest displacement.
Also, while external neck landmark measurements overestimate their respective
internal neck landmarks, as we previously reported, the concordance of each
measurement and their respective conversion factor could continue to be of help
in sizing extraglottic airway devices. Due to the pilot nature of the study, more
investigations are warranted.
PMID- 29375897
TI - The importance of community screening of asymptomatic elderly for peripheral
arterial disease by Doppler ultrasound and ankle-brachial index.
AB - Background: Peripheral arterial disease is an atherosclerotic disease
characterized by an increase in morbidity and mortality. For these reasons early
diagnosis of peripheral arterial disease is important. Ankle-brachial systolic
pressure index measurement is frequently used in screening studies. Evaluating
waveforms of distal lower extremities with Doppler ultrasound can be used as a
screening program and provides more accurate information on peripheral arterial
disease. Aim: We investigate the prevalence of peripheral arterial disease,
compare the efficacy of Doppler ultrasound evaluation of distal lower extremity
waveforms and ankle-brachial systolic pressure index measurement in screening
programs, and discuss the importance of early diagnosis of asymptomatic cases.
Material and methods: A total of 457 patients over the age of 65 (between 65 and
94, mean age: 71.4) including 270 males and 187 females were examined with
Doppler ultrasound, had ankle-brachial systolic pressure index measurement taken
and were screened for peripheral arterial disease. The correlation between
Doppler ultrasound findings and ankle-brachial systolic pressure index was
examined. Results: According to the Doppler ultrasound findings, in the
aortoiliac (r = 0.648) and femoropopliteal (r = 0.564) area, there is a medium
level of correlation between severe stenosis and occlusions and a low ankle
brachial systolic pressure index value, and a low level of correlation between
such abnormalities in the tibioperoneal region (r = 0.116) and a low ankle
brachial systolic pressure index value. Therefore, while the sensitivity of ankle
brachial systolic pressure index increases in proximal stenosis, it decreases in
distal stenosis. Conclusion: Despite the fact that ankle-brachial systolic
pressure index is a diagnostic test commonly used in screening studies,
evaluation of distal arteries by means of Doppler ultrasound provides more
accurate information in terms of the identification of peripheral arterial
disease.
PMID- 29375898
TI - A comparison of the ultrasound measurement of the inferior vena cava obtained
with cardiac and convex transducers.
AB - Background: Ultrasound measurement of the inferior vena cava diameter and its
respiratory variability are amongst the predictors of fluid volume status. The
primary purpose of the present study was to compare the consistency of inferior
vena cava diameter measurements and the collapsibility index, obtained with
convex and cardiac transducers. A secondary aim was to assess the agreement of
the patient's allocation to one of the two groups: "fluid responder" or "fluid
non-responder", based on inferior vena cava collapsibility index calculation made
with two different probes. Methods: 20 experienced clinicians blinded to the
purpose of the study analysed forty anonymized digital clips of images obtained
during ultrasound examination of 20 patients. For each patient, one digital loop
was recorded with a cardiac and the second with a convex probe. The participants
were asked to determine the maximal and minimal diameters of the inferior vena
cava in all presented films. An independent researcher performed a comparative
analysis of the measurements conducted with both probes by all participants. The
calculation of the collapsibility index and allocation to "fluid responder" or
"fluid non-responder" group was performed at this stage of the study. Results:
The comparison of measurements obtained with cardiac and convex probes showed no
statistically significant differences in the measurements of the maximal and
minimal dimensions and in the collapsibility index. We also noticed that the
decision of allocation to the "fluid responder" or "non-responder" group was not
probe-dependent. Conclusion: Both transducers can be used interchangeably for the
estimation of the studied dimensions.
PMID- 29375899
TI - High resolution ultrasonography of the tibial nerve in diabetic peripheral
neuropathy.
AB - Aim of the study: High-resolution ultrasonography of the tibial nerve is a fast
and non invasive tool for diagnosis of diabetic peripheral neuropathy. Our study
was aimed at finding out the correlation of the cross sectional area and maximum
thickness of nerve fascicles of the tibial nerve with the presence and severity
of diabetic peripheral neuropathy. Material and methods: 75 patients with type 2
diabetes mellitus clinically diagnosed with diabetic peripheral neuropathy were
analysed, and the severity of neuropathy was determined using the Toronto
Clinical Neuropathy Score. 58 diabetic patients with no clinical suspicion of
diabetic peripheral neuropathy and 75 healthy non-diabetic subjects were taken as
controls. The cross sectional area and maximum thickness of nerve fascicles of
the tibial nerves were calculated 3 cm cranial to the medial malleolus in both
lower limbs. Results: The mean cross sectional area (22.63 +/- 2.66 mm2) and
maximum thickness of nerve fascicles (0.70 mm) of the tibial nerves in patients
with diabetic peripheral neuropathy compared with both control groups was
significantly larger, and statistically significant correlation was found with
the Toronto Clinical Neuropathy Score (p < 0.001). The diabetic patients with no
signs of peripheral neuropathy had a larger mean cross sectional area (14.40 +/-
1.72 mm2) and maximum thickness of nerve fascicles of the tibial nerve (0.40 mm)
than healthy non-diabetic subjects (12.42 +/- 1.01 mm2 and 0.30 mm respectively).
Conclusion: The cross sectional area and maximum thickness of nerve fascicles of
the tibial nerve is larger in diabetic patients with or without peripheral
neuropathy than in healthy control subjects, and ultrasonography can be used as a
good screening tool in these patients.
PMID- 29375900
TI - Uterine artery Doppler velocimetry in hypertensive disorder of pregnancy in
Nigeria.
AB - Aim of the study: To evaluate the value of uterine artery Doppler indices and
waveform pattern in predicting fetuses at risk for intrauterine growth
restriction in hypertensive disorders of pregnancy. Materials and methods: This
was a prospective cross-sectional study including 80 pregnant subjects with
hypertensive disorders of pregnancy and two control groups. Uterine artery
Doppler sonography was performed in all study participants. Uterine artery
Doppler indices across the groups were compared using the analysis of variance
(ANOVA) while the presence of prediastolic notch was analyzed with the Chi Square
test. Results: For the hypertensive disorders of pregnancy group, resistivity
index > 0.66 had a sensitivity of 50.0%, specificity of 69.1% and a positive
predictive value of 22.2% for predicting intrauterine growth restriction. The
odds ratio was 2.2 with a 95% confidence interval of 0.6-7.8. The presence of
prediastolic notching had a sensitivity of 100.0%, specificity of 96.0% and a
positive predictive value of 80.0% for predicting intrauterine growth
restriction. The odds ratio was 22.7 with a 95% confidence interval of 7.5-68.5.
Conclusion: Uterine artery Doppler sonography is useful for predicting fetuses at
risk for intrauterine growth restriction in hypertensive disorder of pregnancy.
Prediastolic notching is more sensitive and more specific than uterine artery
resistivity index in predicting fetuses at risk of intrauterine growth
restriction in established hypertensive disorder of pregnancy.
PMID- 29375901
TI - Conjoined twins - role of imaging and recent advances.
AB - Introduction: Conjoined twins are identical twins with fused bodies, joined in
utero. They are rare complications of monochorionic twinning. The purpose of this
study is to describe the various types of conjoined twins, the role of imaging
and recent advances aiding in their management. Material and methods: This was a
twin institutional study involving 3 cases of conjoined twins diagnosed over a
period of 6 years from 2010 to 2015. All the 3 cases were identified antenatally
by ultrasound. Only one case was further evaluated by MRI. Results: Three cases
of conjoined twins (cephalopagus, thoracopagus and omphalopagus) were accurately
diagnosed on antenatal ultrasound. After detailed counseling of the parents and
obtaining written consent, all the three cases of pregnancy were terminated.
Delivery of the viable conjoined twins was achieved without any complications to
the mothers, and all the three conjoined twins died after a few minutes.
Conclusion: Ultrasound enables an early and accurate diagnosis of conjoined
twins, which is vital for obstetric management. MRI is reserved for better tissue
characterization. Termination of pregnancy when opted, should be done at an early
stage as later stages are fraught with problems. Recent advances, such as 3D
printing, may aid in surgical pre-planning, thereby enabling successful surgical
separation of conjoined twins.
PMID- 29375902
TI - Fine-needle versus core-needle biopsy - which one to choose in preoperative
assessment of focal lesions in the breasts? Literature review.
AB - Aim: The aim of the study was to review two techniques that can be used to verify
focal lesions in the breasts: fine-needle aspiration biopsy and core-needle
biopsy. Material and methods: Fifty-five articles (original papers and reviews),
half of them published within the past 5 years, were included in the analysis.
The authors also took their own experience into account. Results: Pre-operative
assessment of focal lesions in the breasts is crucial in the planning of further
therapeutic management. The role of fine-needle aspiration biopsy has been
reduced lately due to its low sensitivity and specificity as well as a high rate
of non-diagnostic, suspicious and false negative results. This method does not
enable one to differentiate between in situ and invasive disease. Currently, fine
needle biopsy is recommended for cystic lesions, suspected of being recurrences
in the chest wall, and lymph node metastases. Core-needle biopsy is the basic
diagnostic method of breast lesions. According to the recommendations of the
Polish Ultrasound Society and American College of Radiology, BIRADS 4 and 5
lesions should be evaluated histopathologically. Core-needle biopsy makes it
possible to establish a final diagnosis more frequently than fine-needle biopsy,
both in the case of benign and malignant lesions. It delivers more information
about the nature of a tumor (mutation of HER-2, estrogen and progesterone
receptors and Ki-67 index). Its limitations include: underestimation of invasion
and failure to recognize the components of ductal carcinoma in situ in papillary
and atypical lesions. Single fine-needle aspiration biopsy is inexpensive, but
when considering the cost of further diagnosis due to non-diagnostic, suspicious
and atypical results, this method generates high additional costs. Conclusions:
Microscopic verification of focal breast lesions is crucial for further
therapeutic decisions. It has been proven that histopathological verification is
more accurate and has more advantages than cytological assessment.
PMID- 29375903
TI - Chest wall - underappreciated structure in sonography. Part II: Non-cancerous
lesions.
AB - The chest wall is a vast and complex structure, hence the wide range of
pathological conditions that may affect it. The aim of this publication is to
discuss the usefulness of ultrasound for the diagnosis of benign lesions
involving the thoracic wall. The most commonly encountered conditions include
sternal and costal injuries and thoracic lymphadenopathy. Ultrasound is very
efficient in identifying the etiology of pain experienced in the anterior chest
wall following CPR interventions. Both available literature and the authors' own
experience prompt us to propose ultrasound evaluation as the first step in the
diagnostic workup of chest trauma, as it permits far superior visualization of
the examined structures compared with conventional radiography. Sonographic
evaluation allows correct diagnosis in the case of various costal and chondral
defects suspicious for cancer. It also facilitates diagnosis of such conditions
as degenerative lesions, subluxation of sternoclavicular joints (SCJs) and
inflammatory lesions of various etiology and location. US may be used as the
diagnostic modality of choice in conditions following thoracoscopy or
thoracotomy. It may also visualize the fairly common sternal wound infection,
including bone inflammation. Slipping rib syndrome, relatively little known among
clinicians, has also been discussed in the study. A whole gamut of benign lesions
of thoracic soft tissues, such as enlarged lymph nodes, torn muscles, hematomas,
abscesses, fissures, scars or foreign bodies, are all easily identified on
ultrasound, just like in other superficially located organs.
PMID- 29375904
TI - Chest wall - a structure underestimated in ultrasonography. Part III: Neoplastic
lesions.
AB - Chest wall neoplasms mainly include malignancies, metastatic in particular.
Differential diagnosis should include clinical data; tumor location, extent,
delineation; the degree of homogeneity; the presence of calcifications; the
nature of bone destruction and the degree of vascularization. The aim of the
paper is to present both the benefits and limitations of ultrasound for the
diagnosis of chest wall neoplasms. The neoplastic process may be limited to the
chest wall; it may spread from the chest wall into the intrathoracic structures
or spread from the inside of the chest towards the chest wall. Benign tumors
basically originate from vessels, nerves, bones, cartilage and soft tissues. In
this paper, we briefly discuss malformations of blood and lymphatic vessels,
glomus tumor as well as neurogenic tumors originating in the thoracic branches of
the spinal nerves and the autonomic visceral system. Metastases, particularly
lung, breast, kidney cancer, melanoma and prostate cancer, are predominant tumors
of the osteocartilaginous structures of the chest wall. Plasma cell myeloma is
also relatively common. The vast majority of these lesions are osteolytic, which
is reflected in ultrasound as irregular cortical defects. Osteoblastic foci
result only in irregular outline of the bone surface. Lipomas are the most common
neoplasms of the chest wall soft tissue. Elastofibroma is another tumor with
characteristic echostructure. Desmoid fibromatosis, which is considered to be a
benign lesion with local aggressivity and recurrences after surgical resection,
represents an interesting tumor form the clinical point of view. Ultrasonography
represents an optimal tool for the monitoring of different biopsies of
pathological lesions located in the chest wall. Based on our experiences and
literature data, this method should be considered as a preliminary diagnosis of
patients with chest wall tumors.
PMID- 29375905
TI - Double-chambered right ventricle in a 16-year-old patient with Williams syndrome.
AB - We present a case of double-chambered right ventricle diagnosed during
preparation for colonoscopy due to gastrointestinal bleeding in a 16-year-old,
mentally disabled boy with Williams syndrome. The patient was previously
diagnosed with ventricular septal defect and mild pulmonary stenosis.
Echocardiography performed under general anesthesia revealed hypertrophied
muscular bundles in the right ventricle with the maximum gradient of 100 mmHg,
causing severe outflow obstruction. This type of defect is extremely rare in
patients with Williams syndrome, with only one case, which was diagnosed during
invasive angiocardiography, described in world literature. A successful total
surgical correction was performed based on echocardiography data.
PMID- 29375906
TI - Fetal ultrasound: Early diagnosis and natural evolution of proximal femoral focal
deficiency.
AB - Proximal femoral focal deficiency is an extremely rare congenital anomaly with
only a few case reports in the literature. This case illustrates one diagnosed by
prenatal ultrasound. The diagnosis may be isolated or associated with other
abnormalities and syndromes. This report describes the early obstetrical
ultrasound diagnosis, its evolution and associated findings throughout pregnancy.
To the best of our knowledge, it is the first report associating this diagnosis
with sickle cell trait. Another finding in our patient was a concomitant
intrauterine growth restriction that we attribute to placental infarctions and a
retro placental hemorrhage, also on the basis of sickle cell trait. At birth,
placental weight was under the 10th percentile for gestational age. Obstetrical
ultrasound in the prenatal diagnosis of proximal femoral focal deficiency is
important, because early recognition of this malformation could provide useful
information to parents and physicians regarding newborn management and
therapeutic planning.
PMID- 29375907
TI - A twin pregnancy with a hydatidiform mole and a coexisting live fetus: prenatal
diagnosis, treatment, and follow-up.
AB - Twin molar pregnancy with a hydatidiform mole and a coexisting live fetus is a
rare form of gestational trophoblastic disease associated with an increased risk
of obstetric complications and poor perinatal outcome. Prenatal diagnosis is
essential for couple counseling and follow-up in Tertiary Reference Centers.
Magnetic resonance imaging is important for the diagnostic differentiation of
placental mesenchymal dysplasia and exclusion of myometrial invasion. Here we
present a case of twin molar pregnancy with a hydatidiform mole and a coexisting
live fetus diagnosed at gestational week 14 using two-dimensional (2D) and three
dimensional (3D) ultrasound and magnetic resonance imaging. We also describe the
obstetric management and postmolar follow-up.
PMID- 29375908
TI - Comparison of Repeatability and Agreement between Swept-Source Optical Biometry
and Dual-Scheimpflug Topography.
AB - Purpose: To assess the repeatability and agreement of parameters obtained with
two biometers and to compare the predictability. Methods: Biometry was performed
on 101 eyes with cataract using the IOLMaster 700 and the Galilei G6. Three
measurements were obtained per eye with each device, and repeatability was
evaluated. The axial length (AL), anterior chamber depth (ACD), keratometry (K),
white-to-white (WTW) corneal diameter, central corneal thickness (CCT), and lens
thickness (LT) were measured and postoperative predictability was compared.
Results: Measurements could not be obtained with the IOLMaster 700 in one eye and
in seven eyes with the Galilei G6 due to dense cataract. Both the IOLMaster 700
and Galilei G6 showed good repeatability, although the IOLMaster 700 showed
better repeatability than the Galilei G6. There were no statistically significant
differences in AL, ACD, steepest K, WTW, and LT (P > 0.050), although flattest K,
mean K, and CCT differed (P < 0.050). The proportion of eyes with an absolute
prediction error within 0.5 D was 85.0% for the IOLMaster 700 and was 80.0% for
the Galilei G6 based on the SRK/T formula. Conclusions: Two biometers showed high
repeatability and relatively good agreements. The swept-source optical biometer
demonstrated better repeatability, penetration, and an overall lower prediction
error.
PMID- 29375909
TI - Psychological Distress in Patients with Symptomatic Vitreous Floaters.
AB - Purpose: To evaluate the degree of psychological distress in symptomatic vitreous
floater patients and to evaluate whether these psychological factors are
associated with the severity of discomfort associated with vitreous floaters.
Methods: We recruited 61 patients with symptomatic vitreous floaters and 34
controls. The degree of posterior vitreous detachment (PVD) was evaluated using
optical coherence tomography. We measured the level of depression, perceived
stress, state, and trait anxiety and the degree of floater-associated discomfort
with self-administered questionnaire. We compared psychological parameters
between floater patients and control. We also compared clinical and psychological
characteristics among different floater-associated discomfort severity groups.
Results: Symptomatic vitreous floater patients showed higher rate of complete PVD
and higher psychological distress compared to the control. On multiple logistic
regression analysis, complete PVD (p = 0.001), depression (p = 0.001), and
younger age (p = 0.037) were significantly associated with symptomatic floaters.
There were no significant differences in complete PVD rate among different
discomfort groups, while severe discomfort group showed higher depression,
perceived stress, and state and trait anxiety compared to the other two milder
symptom groups. Conclusions: Symptomatic vitreous floater patients showed
substantial level of psychological distress, and the severity of floater symptoms
was significantly associated with psychological distress.
PMID- 29375910
TI - Optic Neuritis in the Older Chinese Population: A 5-Year Follow-Up Study.
AB - Objective: This study aims to describe the clinical manifestations and outcomes
in a cohort of older Chinese patients. Method: A retrospective study of patients
aged >= 45 years who had a first episode of optic neuritis (ON) between May 2008
and November 2012. Clinical features at onset and last follow-up were analyzed
within subgroups (age 45-65 years and age >= 65 years). Results: 76 patients (99
eyes) were included, of which 58% were females. The mean age at presentation was
55.53 +/- 8.29 years (range: 45-83 years). Vision loss was severe at
presentation, with initial best corrected vision activity (BCVA) < 20/200 in 93%
and final BCVA < 20/200 in 53% of patients at 5-year follow-up. Final BCVA
significantly correlated with the initial BCVA and peripapillary retinal nerve
fiber layer. At last follow-up, 14.5% were diagnosed with neuromyelitis optica
spectrum disorder (NMOSD), 1.3% were diagnosed with multiple sclerosis (MS), 5.2%
with chronic relapsing inflammatory optic neuropathy, 1.3% with infectious ON,
and 19.7% with autoimmune ON. None of the elderly group (>=65 years) developed
NMOSD or MS. Conclusion: Chinese patients in the age group >= 65 years with ON
are less likely to develop NMOSD or MS. Notwithstanding, they had more severe
visual loss at onset and poor recovery.
PMID- 29375911
TI - Multimodality Imaging Assessment of Ocular Ischemic Syndrome.
AB - Objectives: To assess the underlying mechanisms of OIS and confirm the
haemodynamic and retinal structure changes of early OIS. Methods: An
observational cross-sectional study was conducted of 60 internal carotid artery
(ICA) stenosis patients, and they were divided into OIS and control group. Colour
doppler imaging, optical coherence tomography, and fundus fluorescein angiography
were performed. Results: The middle cerebral artery (MCA) stenosis differs
significantly between the two groups. More OIS patients had new collateral
patency of posterior communicating artery (PCoA) and retrograde flow via the
ophthalmic artery (OA) (p < 0.001). The peak systolic velocity (PSV) in central
retinal artery (CRA) and choroidal thickness (CT) was significantly reduced in
OIS patients (p = 0.001 and p < 0.001). The arm-retina time (ART) and the retinal
arteriovenous passage time (AVP) were prolonged in OIS patients (p < 0.001 and p
= 0.001). CT, ART, and PSV of the CRA showed high sensitivity, while ART and ICA
stenosis grade showed high specificity for the diagnosis of OIS according to ROC
curve. Conclusions: Patients who suffered from severe ipsilateral ICA stenosis,
new collateral patency of PCoAs, and MCA stenosis may be more susceptible to OIS.
The most sensitive sign is PSV of CRA and CT, and the most specific sign is ART.
PMID- 29375912
TI - Predictors of Insulin Resistance in Children versus Adolescents with Obesity.
AB - Introduction: Obesity is a risk factor to develop metabolic syndrome (MetS) and
type 2 diabetes mellitus (T2DM). Insulin resistance (IR) plays a major part in
both. With increasing incidence of childhood obesity, this retrospective study
aimed to identify predictors of IR in children/adolescents with obesity to
optimize screening for IR. Method: Patients aged >= 2-<= 18 years with obesity
(BMI-SDS > 2.3) were included. IR was defined as HOMA-IR >= 3.4, and MetS if >=3
of the following criteria were present: waist circumference and blood pressure >=
95th age percentile, triglycerides >= 1.7 mmol/l, HDL < 1.03 mmol/l, and fasting
plasma glucose >= 5.6 mmol/l. Results: In total, 777 patients were included. Of
the 306 children, 51, 38, and 0 were diagnosed with IR, MetS, and T2DM,
respectively. Of the 471 adolescents, 223, 95, and 0 were diagnosed with IR,
MetS, and T2DM, respectively. In the multivariable regression model, BMI-SDS,
preterm birth, and Tanner stage were associated with IR in children (6.3 (95% CI
1.3-31.1), 5.4 (95% CI 1.4-20.5), 2.2 (95% CI 1.0-4.8)), and BMI-SDS and waist
circumference in adolescents (4.0 (95% CI 1.7-9.2), 3.7 (95% CI 1.5-9.4)).
Conclusion: Different IR predictors were observed in children/adolescents with
obesity. These predictors can be used to optimize screening for IR in pediatric
populations.
PMID- 29375913
TI - Seizure-Related Injuries among People with Epilepsy at the Outpatient Department
of the University of Gondar Hospital, Northwest Ethiopia: Cross-Sectional
Institutional-Based Study.
AB - Background: The characteristics of epilepsy such as the episodic nature of
impairment of consciousness and motor control, psychomotor comorbidity, seizure
frequency, and side effects of antiepileptic drugs impact negatively on the
physical safety of the patients. Physical injuries such as burn, fracture, dental
loss, and hemorrhage affect the quality of patients' life to the extent of death.
Thus, the main purpose of this study was to assess the prevalence of physical
injury and associated factors among people with epilepsy. Methods: The study was
carried out among 409 people with epilepsy. Cross-sectional study design was
utilized to enroll the selected study participants using systematic random
sampling technique. Binary and multivariable logistic regression were fitted to
identify associated factors using an odds ratio and 95% CI. Results: The overall
estimated prevalence of seizure-related physical injury was found to be 27.9%. Of
the 27.9% seizure-related physical injuries, 12.5% had abrasions, 5.9% had burns,
4.4% had dental injuries, 2.2% had fractures, and 1.5% had head injuries and
dislocations, respectively. Employment, 2-3 years duration of illness, seizure
frequencies, and frequencies of drug taken were factors associated with physical
injury. Conclusion: More than a quarter of the study participants experienced
physical injury. Designing/strengthening injury prevention strategies is
suggested especially for those who had uncontrolled seizure frequency for longer
period of time.
PMID- 29375914
TI - Longitudinal Brain Functional Connectivity Changes of the Cortical Motor-Related
Network in Subcortical Stroke Patients with Acupuncture Treatment.
AB - In clinical practice, the effectiveness of the rehabilitation therapy such as
acupuncture combining conventional Western medicine (AG) on stroke people's motor
related brain network and their behaviors has not been systematically studied. In
the present study, seventeen adult ischemic patients were collected and divided
into two groups: the conventional Western medicine treatment group (CG) and the
AG. The neurological deficit scores (NDS) and resting-state functional MRI data
were collected before and after treatment. Compared with the CG patients, AG
patients exhibited a significant enhancement of the percent changes of NDS from
pre- to posttreatment intervention. All patients showed significant changes of
functional connectivity (FC) between the pair of cortical motor-related regions.
After treatment, both patient groups showed a recovery of brain connectivity to
the nearly normal level compared with the controls in these pairs. Moreover, a
significant correlation between the percent changes of NDS and the pretreatment
FC values of bilateral primary motor cortex (M1) in all patients was found. In
conclusion, our results showed that AG therapy can be an effective means for
ischemic stroke patients to recover their motor function ability. The FC
strengths between bilateral M1 of stroke patients can predict stroke patients'
treatment outcome after rehabilitation therapy.
PMID- 29375915
TI - Transcutaneous Vagus Nerve Stimulation Combined with Robotic Rehabilitation
Improves Upper Limb Function after Stroke.
AB - The efficacy of standard rehabilitative therapy for improving upper limb
functions after stroke is limited; thus, alternative strategies are needed. Vagus
nerve stimulation (VNS) paired with rehabilitation is a promising approach, but
the invasiveness of this technique limits its clinical application. Recently, a
noninvasive method to stimulate vagus nerve has been developed. The aim of the
present study was to explore whether noninvasive VNS combined with robotic
rehabilitation can enhance upper limb functionality in chronic stroke. Safety and
efficacy of this combination have been assessed within a proof-of-principle,
double-blind, semirandomized, sham-controlled trial. Fourteen patients with
either ischemic or haemorrhagic chronic stroke were randomized to robot-assisted
therapy associated with real or sham VNS, delivered for 10 working days. Efficacy
was evaluated by change in upper extremity Fugl-Meyer score. After intervention,
there were no adverse events and Fugl-Meyer scores were significantly better in
the real group compared to the sham group. Our pilot study confirms that VNS is
feasible in stroke patients and can produce a slight clinical improvement in
association to robotic rehabilitation. Compared to traditional stimulation,
noninvasive VNS seems to be safer and more tolerable. Further studies are needed
to confirm the efficacy of this innovative approach.
PMID- 29375916
TI - Late Emergence of an Imatinib-Resistant ABL1 Kinase Domain Mutation in a Patient
with Chronic Myeloid Leukemia.
AB - The introduction of the tyrosine kinase inhibitor (TKI) imatinib has
revolutionised the outlook of chronic myeloid leukemia (CML); however, a
significant proportion of patients develop resistance through several mechanisms,
of which acquisition of ABL1 kinase domain mutations is prevalent. In chronic
phase patients, these mutations become evident early in the disease course. A
case is described of a chronic-phase CML patient who achieved a sustained, deep
molecular response but who developed an Y253H ABL1 kinase domain mutation nearly
nine years after commencing imatinib. Switching therapy to bosutinib resulted in
a rapid reachievement of a major molecular response. Long-term TKI treatment
impacts on quality of life and late losses of responses are usually due to lack
of adherence. This case highlights the requirement for ABL1 kinase domain
mutation analysis in those CML patients on long-term imatinib who lost their
molecular response, regardless of whether nonadherence is suspected.
PMID- 29375917
TI - Safety of Sofosbuvir and Ribavirin Combination Therapy in a Patient Who Developed
Anemia due to Ribavirin.
AB - Interferon (IFN) and ribavirin (RBV) combination therapy was previously the
standard of care for treatment of hepatitis C virus (HCV) genotype 2 infection.
But, it often induced hemolytic anemia. In 2014, sofosbuvir (SOF) was approved
for the treatment of chronic HCV genotype 2 in Japan. SOF/RBV therapy is more
effective against genotype 2 than IFN/RBV therapy. We report a case of a 74-year
old woman with chronic HCV genotype 2b infection. She received five treatments
including RBV and IFN therapy before SOF was approved and all of them were
ineffective. Therapies that included RBV induced severe anemia and led to
discontinuation of treatment. With pegylated IFN/RBV therapy, the maximum change
in hemoglobin (Hb) from baseline was -3.7 g/dL. However, SOF/RBV therapy was
effective and she achieved sustained virologic response (SVR) with a maximum
change in Hb from baseline of only -1.2 g/dL. We also found reticulocyte count
was very low during treatment in this case and speculate it was one of the
reasons that she developed hemolytic anemia with RBV. In conclusion, SOF/RBV
therapy is effective and allowed the patient to achieve SVR. An SOF/RBV regimen
is safe and effective for patients who have or are at risk of anemia induced by
RBV.
PMID- 29375918
TI - Staphylococcus aureus Bacteremia Complicated by Psoas Abscess and Infective
Endocarditis in a Patient with Atopic Dermatitis.
AB - The close relationship between atopic dermatitis (AD) and infective endocarditis
(IE) has been implicated. Staphylococcus aureus colonization is frequently seen
observed in AD patients' skin lesions. Although a case of IE due to S. aureus
bacteremia in an AD patient has been sporadically reported, a case of S. aureus
bacteremia complicated by psoas abscess and IE has not been previously reported.
A 42-year-old man with a history of AD presented to our hospital complaining of
fever, fatigue, chills, lower right back pain, and poor appetite for a week. His
blood cultures showed growth of S. aureus. On day 3, the patient presented acute
cardiac failure and was diagnosed with IE based on echocardiogram examination.
Since the patient's cardiac failure did not respond to medication, an emergency
surgery was performed on the fourth day of hospitalization. The patient underwent
successful surgical treatment of the heart lesions and subsequent percutaneous
drainage of psoas abscess and received intensive antibiotics, which successfully
improved his condition. Our report emphasizes awareness of the association
between AD and invasive S. aureus infections.
PMID- 29375919
TI - A Case of Macrolide-Refractory Mycoplasma pneumoniae Pneumonia in Pregnancy
Treated with Garenoxacin.
AB - Pneumonia in pregnancy is associated with adverse maternal and foetal outcomes,
and intensive treatment with appropriate antibiotics is essential. However, cases
caused by pathogens that are resistant to antibiotics suitable for the developing
foetus are challenging. We herein report a case of macrolide-refractory
Mycoplasma pneumoniae pneumonia in pregnancy. A 40-year-old multigravida with
twin pregnancy complained of cough and fever at 13 weeks of gestation and was
diagnosed with pneumonia. Even though empiric treatment with ceftriaxone and oral
azithromycin was started, her condition deteriorated rapidly. The findings of
chest computed tomography suggested Mycoplasma pneumoniae pneumonia. Since
azithromycin did not work, this strain was considered to be macrolide-refractory.
Garenoxacin, an oral quinolone, was selected and was dramatically effective. The
use of quinolone could be justified with the emergence of drug-resistant
bacterial/atypical pneumonia and in the maternal life-threatening condition.
PMID- 29375920
TI - Profound Sinoatrial Arrest Associated with Ibrutinib.
AB - Background: Ibrutinib is a Bruton's tyrosine kinase (BTK) inhibitor approved for
second-line treatment for mantle cell lymphoma (MCL), chronic lymphocytic
leukemia (CLL), and Waldenstrom macroglobulinemia. Ibrutinib use has been linked
to increased incidence of atrial fibrillation and hypertension in multiple
studies. Other forms of cardiac toxicities have also been reported in isolated
case reports. Bradycardia and asystole have not been associated with ibrutinib
use in the past. Case Report: We present a case of a 76-year-old female with no
prior cardiac history, who initiated treatment with ibrutinib for relapsing
mantle cell lymphoma and was noted to have symptomatic bradycardia, greater than
20 second long pauses on her cardiac monitor requiring placement of a permanent
pacemaker. Conclusion: This is the first case associating bradycardia and
asystole with tyrosine kinase inhibitor use. Irreversible inhibition of certain
cardioprotective tyrosine kinases has been a growing topic of research in
oncology therapeutics.
PMID- 29375921
TI - Minimally Invasive Endoscopic Approach to the Cervicothoracic Junction for
Vertebral Osteomyelitis.
AB - The selection of an anterior, lateral, or posterior approach to the
cervicothoracic junction for surgical treatment of vertebral osteomyelitis is
still a matter of debate. These ordinary approaches generally require an
extensile exposure. This article describes a less invasive approach case of a
vertebral osteomyelitis of T2/3 using a video-assisted operating technique of
thoracic surgery (VATS). A 78-year-old female underwent anterior debridement and
interbody fusion with bone graft at T2/3 using a lateral surgical approach
through a right thoracotomy with VATS. The VATS through two small skin incisions
in the axillary region provides a good view without requiring elevation of the
scapula with extensile muscle dissection and rib resection. There was no
complication without partial lobectomy due to pleural adhesion during the
perioperative period. Currently, at 1 year after operation, the patient has no
back pain with neurologically normal findings and no inflammation findings (CRP
was 0.01 mg/dl). Although the operating field of the upper thoracic level in the
lateral approach is generally deep and narrow, the VATS provides a good view and
allows us to perform adequate debridement and bone fusion at the T2/3 level with
a less invasive approach than those previously described anterior or laterally or
posterior approach.
PMID- 29375922
TI - Angioleiomyoma of the Auricle: An Unusual Tumor on a Rare Location.
AB - Cutaneous angioleiomyomas (ALMs) (also known as vascular leiomyomas or
angiomyomas) are unusual benign tumors of the skin deriving from the muscle layer
of dermal blood vessels. They usually manifest as tender subcutaneous nodules,
mostly encountered on the legs of adult women in their fifth or sixth life
decade. ALMs rarely develop on the head/neck area, and even more rarely (<3% of
all cases) on the auricle. Head/neck (including ear) ALMs differ from their more
usual leg counterparts in that they are usually painless and do not show a female
predominance. The diagnosis is clinically difficult, and most cases are diagnosed
by histopathologic examination. A new case of an auricular ALM in a 40-year-old
Caucasian man is reported herein, and a brief literature review on this unusual
tumor is presented.
PMID- 29375923
TI - Autoamputation of Genitalia in Bipolar Patient.
AB - According to literature, genital self-mutilation (GSM) is more commonly
associated with psychosis as compared with self-mutilation as a whole. There have
been many case reports of GSM in psychotic disorders. We describe herein a case
of a Caucasian, employed, and married male suffering from bipolar disorder type
II with history of self-mutilating behavior, who amputated his penis during
symptom-free phase of his illness. Several features are reflected as risky
elements for genital self-mutilation, for example, homosexual and transsexual
tendencies, abandonment of the male genitals, lack of competent male for
identification during childhood, feeling of guilt for sexual offences, and self
injuries in anamnesis. This report will highlight various factors responsible for
self-mutilation in nonpsychotic and nondelusional person.
PMID- 29375924
TI - Primary Signet-Ring Cell Adenocarcinoma of the Urinary Bladder Treated with
Partial Cystectomy: A Case Report and Review of the Literature.
AB - Primary signet-ring cell carcinoma is a variant of adenocarcinoma which is
extremely rare, associated with poor prognosis and generally found to be
resistant to chemotherapy and radiotherapy. We report a case of primary signet
ring cell carcinoma of the bladder which was successfully treated with partial
cystectomy. A 71-year-old female with a history of type 2 diabetes, hypertension,
and ischaemic heart disease presented with painless haematuria for 2 months'
duration. The abdominal ultrasonography showed a localised polypoidal vesical
growth arising from the bladder dome. Cystoscopy revealed an exophytic solid
tumour in the anterior fundal wall. A deep transurethral resection of bladder
tumour was done and histology revealed an adenocarcinoma composed of mucinous and
signet-ring cell components. Later, considering the patient's age and the poor
general condition, a partial cystectomy was done. Follow-up cystoscopy and
ultrasonography were done at 12 months and there was no evidence of tumour
recurrence and the patient is currently symptom-free. Partial cystectomy may be
considered in patients with localised tumour without evidence of metastasis and
poor general condition. Regular cystoscopies and ultrasound imaging are necessary
for follow-up and early identification of recurrences.
PMID- 29375925
TI - Men's Responses to Women's Sexual Refusals: Development and Construct Validity of
a Virtual Dating Simulation of Sexual Aggression.
AB - Objective: To provide evidence regarding the construct validity of a sexual
aggression proxy in which male participants go on multiple virtual dates with a
woman. A unique strength of this proxy is participants' opportunity to make
choices throughout the simulation about how they interact with their virtual
date. These decisions determine their exposure to the female agent's sexual
refusals. Method: Piloting included focus groups (n = 82), surveys (n = 95), and
cognitive interviews (n = 32). To establish construct validity, 87 male
participants completed two separate sessions: 1) an online survey with measures
of discriminant and convergent validity; and 2) a lab session in which they went
on multiple dates that included nonsexual options, sexual options which the
female agent accepted, and sexual options she refused. Sexual aggression was
operationalized as the total number of sexual refusals that participants'
received. Results: There was strong correlational evidence for discriminant and
convergent validity. As hypothesized, there were some differences in the risk
factors associated with refusals received on casual as compared to steady dates.
Additionally, the number of refusals received was associated with the types of
thoughts and actions commonly reported by perpetrators. Conclusions: This
simulation provides a new approach for examining sexual aggression in controlled
experiments that vary factors within the simulation such as the man's and woman's
intoxication and past sexual history. Because what happens is based on each
individual's responses, it could be adapted for use in prevention and treatment
programs.
PMID- 29375926
TI - Design and Control of Motion Compensation Cardiac Catheters.
AB - Robotic cardiac catheters have the potential to revolutionize heart surgery by
extending minimally invasive techniques to complex surgical repairs inside the
heart. However, catheter technologies are currently unable to track fast tissue
motion, which is required to perform delicate procedures inside a beating heart.
This paper proposes an actuated catheter tool that compensates for the motion of
heart structures like the mitral valve apparatus by servoing a catheter guidewire
inside a flexible sheath. We examine design and operation parameters that affect
performance and establish that friction and backlash limit the tracking
performance of the catheter system. Based on the results of these experiments and
a model of the backlash behavior, we propose and implement compensation methods
to improve trajectory tracking performance. The catheter system is evaluated with
3D ultrasound guidance in simulate in vivo conditions. The results demonstrate
that with mechanical and control system design improvements, a robotic catheter
system can accurately track the fast motion of the human mitral valve.
PMID- 29375927
TI - Nickel/Photoredox-Catalyzed Amidation via Alkylsilicates and Isocyanates.
AB - A nickel/photoredox, dual-catalyzed amidation reaction between alkylsilicate
reagents and alkyl/aryl isocyanates is reported. In contrast to the previously
reported reductive coupling process, this protocol is characterized by mild
reaction conditions and the absence of a stoichiometric reductant. A mechanistic
hypothesis involving a nickel-isocyanate adduct is proposed based on literature
precedent and further validation by experimental results.
PMID- 29375929
TI - Joint Learning of Representations of Medical Concepts and Words from EHR Data.
AB - There has been an increasing interest in learning low-dimensional vector
representations of medical concepts from electronic health records (EHRs). While
EHRs contain structured data such as diagnostic codes and laboratory tests, they
also contain unstructured clinical notes, which provide more nuanced details on a
patient's health status. In this work, we propose a method that jointly learns
medical concept and word representations. In particular, we focus on capturing
the relationship between medical codes and words by using a novel learning scheme
for word2vec model. Our method exploits relationships between different parts of
EHRs in the same visit and embeds both codes and words in the same continuous
vector space. In the end, we are able to derive clusters which reflect distinct
disease and treatment patterns. In our experiments, we qualitatively show how our
methods of grouping words for given diagnostic codes compares with a topic
modeling approach. We also test how well our representations can be used to
predict disease patterns of the next visit. The results show that our approach
outperforms several common methods.
PMID- 29375928
TI - Computational Redesign of Acyl-ACP Thioesterase with Improved Selectivity toward
Medium-Chain-Length Fatty Acids.
AB - Enzyme and metabolic engineering offer the potential to develop biocatalysts for
converting natural resources into a wide range of chemicals. To broaden the scope
of potential products beyond natural metabolites, methods of engineering enzymes
to accept alternative substrates and/or perform novel chemistries must be
developed. DNA synthesis can create large libraries of enzyme-coding sequences,
but most biochemistries lack a simple assay to screen for promising enzyme
variants. Our solution to this challenge is structure-guided mutagenesis in which
optimization algorithms select the best sequences from libraries based on
specified criteria (i.e. binding selectivity). Here, we demonstrate this approach
by identifying medium-chain (C6-C12) acyl-ACP thioesterases through structure
guided mutagenesis. Medium-chain fatty acids, products of thioesterase-catalyzed
hydrolysis, are limited in natural abundance compared to long-chain fatty acids;
the limited supply leads to high costs of C6-C10 oleochemicals such as fatty
alcohols, amines, and esters. Here, we applied computational tools to tune
substrate binding to the highly-active 'TesA thioesterase in Escherichia coli. We
used the IPRO algorithm to design thioesterase variants with enhanced C12- or C8
specificity while maintaining high activity. After four rounds of structure
guided mutagenesis, we identified three thioesterases with enhanced production of
dodecanoic acid (C12) and twenty-seven thioesterases with enhanced production of
octanoic acid (C8). The top variants reached up to 49% C12 and 50% C8 while
exceeding native levels of total free fatty acids. A comparably sized library
created by random mutagenesis failed to identify promising mutants. The chain
length-preference of 'TesA and the best mutant were confirmed in vitro using acyl
CoA substrates. Molecular dynamics simulations, confirmed by resolved crystal
structures, of 'TesA variants suggest that hydrophobic forces govern 'TesA
substrate specificity. We expect that the design rules we uncovered and the
thioesterase variants identified will be useful to metabolic engineering projects
aimed at sustainable production of medium-chain oleochemicals.
PMID- 29375930
TI - Auditing the Assignments of Top-Level Semantic Types in the UMLS Semantic Network
to UMLS Concepts.
AB - The Unified Medical Language System (UMLS) is an important terminological system.
By the policy of its curators, each concept of the UMLS should be assigned the
most specific Semantic Types (STs) in the UMLS Semantic Network (SN). Hence, the
Semantic Types of most UMLS concepts are assigned at or near the bottom (leaves)
of the UMLS Semantic Network. While most ST assignments are correct, some errors
do occur. Therefore, Quality Assurance efforts of UMLS curators for ST
assignments should concentrate on automatically detected sets of UMLS concepts
with higher error rates than random sets. In this paper, we investigate the
assignments of top-level semantic types in the UMLS semantic network to concepts,
identify potential erroneous assignments, define four categories of errors, and
thus provide assistance to curators of the UMLS to avoid these assignments
errors. Human experts analyzed samples of concepts assigned 10 of the top-level
semantic types and categorized the erroneous ST assignments into these four
logical categories. Two thirds of the concepts assigned these 10 top-level
semantic types are erroneous. Our results demonstrate that reviewing top-level
semantic type assignments to concepts provides an effective way for UMLS quality
assurance, comparing to reviewing a random selection of semantic type
assignments.
PMID- 29375931
TI - In-situ magnetization/heating electron holography to study the magnetic ordering
in arrays of nickel metallic nanowires.
AB - Magnetic nanostructures of different size, shape, and composition possess a great
potential to improve current technologies like data storage and electromagnetic
sensing. In thin ferromagnetic nanowires, their magnetization behavior is
dominated by the competition between magnetocrystalline anisotropy (related to
the crystalline structure) and shape anisotropy. In this way electron diffraction
methods like precession electron diffraction (PED) can be used to link the
magnetic behavior observed by Electron Holography (EH) with its crystallinity.
Using off-axis electron holography under Lorentz conditions, we can
experimentally determine the magnetization distribution over neighboring
nanostructures and their diamagnetic matrix. In the case of a single row of
nickel nanowires within the alumina template, the thin TEM samples showed a
dominant antiferromagnetic arrangement demonstrating long-range magnetostatic
interactions playing a major role.
PMID- 29375932
TI - Characteristics of Cigarette Smoking in Individuals in Smoking Concordant and
Smoking Discordant Couples.
AB - Introduction: Partner smoking status may impact smoking cessation outcomes. The
purpose of this study is to compare smokers in smoking concordant couples (both
partners smoke) to smokers in smoking discordant couples (one partner smokes) on
variables that have been shown to be important for quitting smoking. Methods:
Participants were 123 cigarette smokers with cohabitating romantic partners
(smoking discordant: n=60, smoking concordant: n=63, 63.9% females). We used one
way MANCOVA, controlling for age and number of cigarettes smoked/day, to examine
differences between groups on smoking outcome expectancies, motivation to quit
smoking, and dyadic efficacy to quit smoking. We examined smoking behavior in a
series of exploratory analyses. Results: We found a significant multivariate
difference between individuals in smoking concordant and discordant couples (p <
.05) such that 20.3% of the variation in the linear combination of dependent
variables was accounted for by group membership. Follow-up univariate ANCOVA
analyses indicated that those in smoking discordant couples reported greater
positive outcome expectancies for cigarettes with regard to facilitating social
situations and reducing boredom than those in the smoking concordant group.
Participants in smoking concordant couples smoked more cigarettes when their
partners were present, fewer cigarettes without their partners present, and were
more likely to prefer that their partner be involved in their smoking cessation
treatment than those in smoking discordant couples. Discussion: The results of
this study may guide the development of smoking cessation interventions that
attend to the unique needs of smoking concordant and discordant couples.
PMID- 29375933
TI - Impact of Sleep Duration and Weekend Oversleep on Body Weight and Blood Pressure
in Adolescents.
AB - Introduction: Weekend oversleep or catchup sleep is a frequent occurrence in
children, but there are relatively little data concerning its impact on weight
and blood pressure. The aim of this study was to assess the association between
sleep duration and oversleep, and weight and blood pressure in adolescents.
Methods: Sleep duration, weight and blood pressure of 327 children (51.4% boys,
mean age 13.3 +/- 1.7 years) who had polysomnograms performed during both exam
cycles of the Tucson Children's Assessment of Sleep Apnea study (TuCASA) were
analyzed. Sleep duration on school nights and non-school nights was used to
compute a weighted average of child and parent reported overall sleep duration
respectively. Oversleep was defined as the difference between self and parent
reported weekend sleep and weekday sleep separately. Simple correlations between
overall sleep duration, sleep on school and non-school nights and oversleep, and
blood pressure, standardized body mass index (BMI), snoring, respiratory
disturbance index (RDI) and age were calculated. Significant bivariate
associations then were used to develop multivariate partial correlation models.
Results: Unadjusted negative correlations with BMI were noted for parent reported
total sleep duration at the 1st exam cycle, parent and child reported total sleep
and school night sleep duration, and parent reported non-school night sleep
duration at the 2nd exam cycle. Additionally, for BMI, positive correlations were
observed for log RDI at both exam cycles and snoring at the 2nd exam cycle. For
blood pressure, there were positive associations with age, parent reported
oversleep, caffeine consumption and snoring. Additionally, for blood pressure,
negative relationships were observed with parent reported total sleep duration at
the 1st exam cycle, and parent and child reported total sleep and school night
sleep durations at the 2nd exam cycle. Partial correlations found that BMI was
negatively correlated with parent reported total sleep duration at the 1st exam
cycle and parent reported total sleep duration at the 2nd exam cycle, and
positively correlated with snoring and log RDI at both exam cycles. Systolic
blood pressure was only associated with age and snoring. Diastolic blood pressure
was positively correlated with age and caffeine consumption, and negatively
correlated with parent reported total and school night sleep duration. Oversleep
and child reported sleep duration were not represented in any of these models.
Conclusion: Lower amounts of sleep especially on school nights is associated with
higher body weight and blood pressure. Oversleep was not associated with either
body weight or blood pressure.
PMID- 29375934
TI - Rheumatoid Arthritis in Sickle-Cell Population: Pathophysiologic Insights,
Clinical Evaluation and Management.
AB - The advent of hydroxyurea and advanced medical care, including immunizations has
led to improved survival among patients with Sickle Cell Disease (SCD). This
prolonged survival however, introduces a chronic inflammatory disorder,
Rheumatoid Arthritis (RA), which presents at a relatively older age and is rarely
reported among SCD patients. In this review, we highlight the epidemiological
association of SCD-RA and discuss the underlying common pathogenetic mechanisms,
such as endothelial dysfunction, the role of inflammatory cytokines and oxidative
stress. We also point to the difficulties in ascertaining the clinical diagnosis
of RA in SCD patients. Finally, we provide rationale for therapeutic options
available for RA and the challenges in the management of these patients with
agents that are known to increase the risk of infection and immunosuppression
such as steroids, disease modifying anti-rheumatic drugs and biologics.
PMID- 29375935
TI - Antibody structure and engineering considerations for the design and function of
Antibody Drug Conjugates (ADCs).
AB - Antibody-drug conjugates (ADCs) are emerging as effective tools in cancer
therapy, combining the antibody's exquisite specificity for the target antigen
expressing cancer cell together with the cytotoxic potency of the payload. Much
success stems from the rational design of "toxic warheads", chemically linked to
antibodies, and from fine-tuning the intricate properties of chemical linkers.
Here, we focus on the antibody moiety of ADCs, dissecting the impact of Fab,
linkers, isotype and Fc structure on the anti-tumoral and immune-activating
functions of ADCs. Novel design approaches informed by antibody structural
attributes present opportunities that may contribute to the success of next
generation ADCs.
PMID- 29375936
TI - Antifibrotic effect of xanthohumol in combination with praziquantel is associated
with altered redox status and reduced iron accumulation during liver fluke
associated cholangiocarcinogenesis.
AB - Cholangiocarcinoma (CCA) caused by infection of the liver fluke Opisthorchis
viverrini, (Ov) is the major public health problem in northeast Thailand.
Following Ov infection the subsequent molecular changes can be associated by
reactive oxygen species (ROS) induced chronic inflammation, advanced periductal
fibrosis, and cholangiocarcinogenesis. Notably, resistance to an activation of
cell death in prolonged oxidative stress conditions can occur but some
damaged/mutated cells could survive and enable clonal expansion. Our study used a
natural product, xanthohumol (XN), which is an anti-oxidant and anti-inflammatory
compound, to examine whether it could prevent Ov-associated CCA carcinogenesis.
We measured the effect of XN with or without praziquantel (PZ), an anti
helminthic treatment, on DNA damage, redox status change including iron
accumulation and periductal fibrosis during CCA genesis induced by administration
of Ov and N-dinitrosomethylamine (NDMA) in hamsters. Animals were randomly
divided into four groups: group I, Ov infection and NDMA administration (ON);
group II, Ov infection and NDMA administration and PZ treatment (ONP); the latter
2 groups were similar to group I and II, but group III received additional XN
(XON) and group IV received XN plus PZ (XONP). The results showed that high 8
oxodG (a marker of DNA damage) was observed throughout cholangiocarcinogenesis.
Moreover, increased expression of CD44v8-10 (a cell surface in regulation of the
ROS defense system), whereas decreased expression of phospho-p38MAPK (a major ROS
target), was found during the progression of the bile duct cell transformation.
In addition, high accumulation of iron and expression of transferrin receptor-1
(TfR-1) in both malignant bile ducts and inflammatory cells were detected.
Furthermore, fibrosis also increased with the highest level being on day 180. On
the other hand, the groups of XN with or without PZ supplementations showed an
effective reduction in all the markers examined, including fibrosis when compared
with the ON group. In particular, the XONP group, in which a significant
reduction DNA damage occurred, was also found to have iron accumulation and
fibrosis compared to the other groups. Our results show that XN administered in
combination with PZ could efficiently prevent CCA development and hence provide
potential chemopreventive benefits in Ov-induced cholangiocarcinogenesis.
PMID- 29375938
TI - Identification of the fungal endophyte of Ammophila breviligulata (American
beachgrass) as Epichloe amarillans.
AB - The grass Ammophila breviligulata (American beachgrass) is known to host an
endophyte of the genus Epichloe. Based on morphological characteristics it was
originally identified as Acremonium typhinum var. ammophilae and is currently
designated as Epichloe typhina var. ammophilae. However, the Epichloe species has
not previously been identified based on DNA sequence data. Based on phylogenetic
placement of beta-tubulin and translation elongation factor 1-alpha DNA sequences
the endophyte is identified as a member of E. amarillans rather than E. typhina.
PMID- 29375937
TI - Eukaryotes in the gut microbiota in myalgic encephalomyelitis/chronic fatigue
syndrome.
AB - Patients with myalgic encephalomyelitis/chronic fatigue syndrome (ME/CFS) often
suffer from gastrointestinal symptoms and many are diagnosed with irritable bowel
syndrome (IBS). Previous studies, including from our laboratory, have
demonstrated that the ME/CFS gut bacterial composition is altered and less
diverse when compared to healthy individuals. Patients have increased biomarkers
of inflammation and leaky gut syndrome. To further investigate dysbiosis in the
ME/CFS gut microbiome, we sought to characterize the eukaryotes present in the
gut of 49 individuals with ME/CFS and 39 healthy controls. Using 18S rRNA
sequencing, we have identified eukaryotes in stool samples of 17 healthy
individuals and 17 ME/CFS patients. Our analysis demonstrates a small,
nonsignificant decrease in eukaryotic diversity in ME/CFS patients compared to
healthy individuals. In addition, ME/CFS patients show a nonsignificant increase
in the ratio of fungal phyla Basidiomycota to Ascomycota, which is consistent
with ongoing inflammation in ME/CFS. We did not identify specific eukaryotic taxa
that are associated with ME/CFS disease status.
PMID- 29375939
TI - Exotic Optical Fibers and Glasses: Innovative Material Processing Opportunities
in Earth's Orbit.
AB - Exotic optical fibers and glasses are the platform material for photonics
applications, primarily due to their superior signal transmission (speed, low
attenuation), with extending bandwidth deep into the infrared, exceeding that of
silica fibers. Gravitational effects (convection sedimentation) have a direct
impact on the phase diagram of these materials and influence melting properties,
crystallization temperatures, and viscosity of the elemental mix during the
manufacturing process. Such factors constitute limits to the yield, transmission
quality, and strength and value of these fibers; they also constrain the range of
applications. Manufacturing in a gravity-free environment such as the Earth's
Orbit also helps with other aspects of the fabrication process (i.e., improved
form factor of the manufacturing unit, sustainability). In this article,
revolutionary developments in the field of photonics over the past decade merge
with the paradigm shift in the privatization of government-owned capabilities
supporting a more diverse infrastructure (parabolic, suborbital, orbital),
reduced price, and increased frequency to access space and the microgravity
environment. With the increased dependence on data (demand, bandwidth,
efficiency), space and the microgravity environment provide opportunities for
optimized performance of these exotic optical fibers and glasses underlying the
development of enabling technologies to meet future data demand. Existing
terrestrial markets (Internet, telecommunications, market transactions) and
emerging space markets (on-orbit satellite servicing, space manufacturing, space
resources, space communications, etc.) seem to converge, and this innovative
material processing opportunity of exotic optical fibers and glasses might just
be that "killer app": technologically competitive, economically viable, and with
the ability to close the business case.
PMID- 29375940
TI - Visceroptosis and the Ehlers-Danlos Syndrome.
AB - The case of a patient with visceroptosis and Ehlers-Danlos syndrome hypermobility
type (RDS-HT) is reported here. The literature on this unusual but probably under
recognized complication is reviewed.
PMID- 29375941
TI - Use of Spinal Cord Stimulation in Elderly Patients with Multi-Factorial Chronic
Lumbar and Non-Radicular Lower Extremity Pain.
AB - Spinal cord stimulation (SCS) is an effective treatment for chronic back and limb
pain. The criteria for use of SCS for specific problems such as failed back
surgery syndrome (FBSS), peripheral neuropathic pain and residual pain after
joint replacement is well established. With an aging population, there are more
patients presenting with a combination of various multi-factorial chronic pain
problems rather than from a single clear cause. It is not uncommon to see
patients with chronic back pain years after spine surgery with new additional
pain in the area of joint replacement or due to peripheral neuropathy. In most of
these patients, one area is the primary cause of their pain, while the other more
secondary. Multiple chronic problems complicate the pain management of the
primary cause and also can diminish the effect of SCS that only targets the
primary problem. The primary and secondary causes of pain were ranked by the
patient including the duration of their chronic pain for each area. This helped
establish criteria for use of SCS in these complex pain patients. The patients
were evaluated initially with an epidural stimulator trial and if they obtained
50% or greater pain relief to the primary pain generating area, permanent
implantation of one or more arrays of spinal cord electrodes was performed but
planned to cover also the secondary pain areas. Post-implant follow-up evaluation
at one, three and six months included measurement of visual analog scale (VAS),
use of pain medication and degree of functional activity and behavior. This
report looks at the effectiveness of using multiple overlapping electrodes for
SCS in patients with multi-factorial chronic pain.
PMID- 29375942
TI - Pancreatic Divisum: An Unusual Cause of Chronic Pancreatitis in a Young Patient.
AB - Pancreatic divisum is a condition that occurs in 4-14% of the population.
Pancreatic divisum occurs in development when the ventral bud and dorsal bud of
the pancreas fail to fuse. Patients with this condition are usually asymptomatic,
however, 25-38% of these patients experience recurrent pancreatitis that may
further progress to chronic pancreatitis. This case is of a 20-year-old female
presenting with abdominal pain in the left and right upper quadrants of the
abdomen with a significant history of recurrent pancreatitis since the age of
seven. The patient was examined with computed tomography (CT), which identified
pancreatitis. Further magnetic resonance cholangiopancreatography (MRCP) assisted
in the diagnosis of a type III pancreatic divisum, given the remnant of short
communication between the dorsal and ventral duct.
PMID- 29375943
TI - Penetrating Obturator Artery Injury after Gunshot Wounds: A Successful
Multidisciplinary Trauma Team Approach to a Potentially Lethal Injury.
AB - Obturator artery injury (OAI) from pelvic gunshot wounds (GSW) is a rarely
reported condition. Hemorrhages from pelvic trauma (PT) are mostly venous.
Arterial hemorrhages represent about 10-20% of PTs. When arterial hemorrhages
from PT occur, they are a severe and deadly complication often causing
significant hemodynamic instability and eventual shock. A 23-year-old male
presented to our emergency service via a private vehicle with multiple gunshot
wounds to both thighs and to the lower back, resulted in rectal and obturator
artery (OA) injuries. The patient underwent a successful coil-embolization of the
right OA. Given the density of structures within the pelvis, patients who sustain
gunshot wounds to the pelvic region are at high risk for injury to the small
bowel, sigmoid colon, rectum, bladder, and/or vascular structures. While bleeding
is the major cause of early mortality in PT, rectal injuries carry the highest
mortality due to visceral injuries. A high clinical index of suspicion is needed
to diagnose an iliac artery injury or injury to its branches. Prompt computed
tomographic angiogram (CTA) and embolization of the OA is the best method to
control and stop the bleeding and improve the mortality outcome. Clinicians
caring for patients presenting with pelvic gunshot wounds should pay attention to
the delayed presentation of internal hemorrhage from the OAs. A multidisciplinary
team approach is crucial in the successful management of penetrating injuries to
the obturator artery.
PMID- 29375944
TI - A Dosimetric Comparison of Primary Chemoradiation Versus Postoperative Radiation
for Locally Advanced Oropharyngeal Cancer.
AB - Introduction Advanced-stage oropharyngeal cancer can be treated with primary
chemoradiation (CRT) or primary surgery with adjuvant radiotherapy, both with
similar survival outcomes. Though primary CRT prescribes a higher dose, adjuvant
radiation requires irradiating the surgical bed, which may increase the high dose
planned target volume (PTV). We hypothesize that the integral dose to the neck
and dose to critical structures will be lower with primary CRT than adjuvant
radiotherapy. Methods We selected the last 18 patients who underwent surgery and
adjuvant radiotherapy at one institution between July 2015 and August 2016 with
American Joint Committee on Cancer (AJCC) stage III or IVA oropharyngeal squamous
cell cancer. Primary CRT treatment plans were created on the patients'
preoperative computed tomography (CT) scans and prescribed 70 Gy in 33 fractions,
while postoperative plans were prescribed 60 Gy in 30 fractions. The radiation
doses received by organs at risk for each primary CRT plan were compared to the
corresponding adjuvant radiation plan. Results Primary CRT plans had
significantly smaller high dose PTV than adjuvant radiation plans (187.3 cc (95%
CI 134.9-239.7) and 466.3 cc (95% CI 356.7-575.9), p<0.0001). The neck integral
dose was lower in 14 of 18 plans using primary CRT, although this was not
statistically significant (p=0.5375). The primary CRT plans had lower mean doses
to ipsilateral (31.8 Gy (95% CI 27.5-36.0) vs 39.3 Gy (95% CI 35.4-43.1),
p=0.0009)) and contralateral parotid glands (22.5 Gy (95% CI 22.1-22.8) vs 27.6
Gy (95% CI 23.4-31.8), p=0.0238) and larynx (20.7 Gy (95% CI 19.3-22.2) vs 40.2
Gy (95% CI 30.8-46.6), p<0.0001). Conclusion Primary CRT offered a decreased neck
integral dose, though it was statistically insignificant. Primary CRT plans
reduce mean dose to larynx and parotid glands in comparison to postoperative
radiation, which may result in lower toxicities. Clinical trials comparing
primary CRT and primary surgery are warranted to compare patient toxicities.
PMID- 29375945
TI - Superior Mesenteric Artery Syndrome: The Dark Side of Weight Loss.
AB - Superior mesenteric artery (SMA) syndrome is a rare cause of small bowel
obstruction (SBO) resulting from compression of the duodenum by the SMA. Patients
at risk of developing SMA syndrome include those who have experienced rapid
weight loss from chronic illnesses, malignancy, bariatric surgery, eating
disorders, burns, trauma, or substance abuse. We present the case of a 54-year
old cachectic female patient who presented with sudden onset nausea, vomiting,
and severe epigastric pain. Imaging studies revealed distention of the stomach
and proximal portion of the duodenum with abrupt narrowing of the third part of
the duodenum consistent with SMA syndrome. A laparoscopy confirmed the diagnosis
and duodenojejunostomy resulted in resolution of the symptoms.
PMID- 29375946
TI - Malignant Mesothelioma of Tunica Vaginalis Testis: Macroscopic and Microscopic
Features of a Very Rare Malignancy.
AB - Malignant mesothelioma of the tunica vaginalis testis (MMTVT) is an extremely
rare tumour, usually mimicking benign pathologies of the scrotum. Our case is an
84-year-old male patient who appealed with a painless, left-sided scrotal
swelling longer than 2 months. Although the level of tumour markers was normal,
ultrasonographic examination results forced us to perform an inguinal scrotal
exploration. Multiple small papillary tumours, both on tunica vaginalis and
tunica albuginea, were detected intraoperatively. Due to these findings, radical
orchiectomy was performed. A pathological evaluation showed malignant
mesothelioma (MM) of the tunica vaginalis testis. Exposure to asbestos is a well
known risk factor. Furthermore, a history of trauma, herniorrhaphy and chronic
hydroceles is blamed as a possible risk factor. Scrotal ultrasonography is the
mainstay of primary diagnosis and, therefore, it should not be overlooked when
dealing with benign scrotal cysts or hydroceles, which are very common
pathologies at these decades, too. Radical inguinal orchiectomy is the primary
treatment choice for localised MMTVT disease, whereas in signs of lymph node
metastasis, inguinal lymph node dissection is required. Radical resection should
be completed with chemotherapy and/or radiotherapy for an advanced or recurrent
disease. This case, which is very rarely reported in the literature and detected
during inguinal exploration, along with the pathological works that supported the
diagnosis, was presented with this report.
PMID- 29375947
TI - The Impact of Neurophysiological Intraoperative Monitoring during Spinal Cord and
Spine Surgery: A Critical Analysis of 121 Cases.
AB - Neuromonitoring has been utilized during spinal surgery to assess the function of
the spinal cord in an effort to prevent intraoperative injury. Although its use
is widespread, no clear benefit has been demonstrated. Our goal in this study was
to interrogate the value of intraoperative neuromonitoring in decreasing the
severity and rate of neurological injury during and after spinal surgery. Here we
describe our experience of 121 patients who underwent spinal cord procedures with
the combination of intraoperative neuromonitoring, to determine its ability to
detect neurological changes and the specificity and sensitivity in this setting.
The data for the 121 patients who underwent neurophysiological monitoring during
various spinal procedures was collected retrospectively. The patients were
classified into one of four groups according to the findings of intraoperative
monitoring and the clinical outcomes on postoperative neurological exam.
Intraoperative monitoring was evaluated for its specificity, sensitivity, and
predictive value. In our cohort of 121 patients, the use of intraoperative
neuromonitoring had a low sensitivity, which may produce an excessive number of
false negatives. Based on these findings, neuromonitoring seems to have a poor
positive predictive value and is thus an inappropriate test to prevent harm to
patients.
PMID- 29375948
TI - Vestibular Schwannoma Presenting with Bilateral Papilledema Without
Hydrocephalus: Case Study.
AB - Bilateral papilledema secondary to obstructive or communicating hydrocephalus in
patients with vestibular schwannomas is a known presentation; however,
papilledema in the absence of hydrocephalus is rarely reported and its mechanism
is poorly understood. We report a case of a 20-year-old woman presenting with
visual deterioration and bilateral papilledema on fundoscopy. Magnetic resonance
imaging (MRI) revealed a giant vestibular schwannoma with no sign of
hydrocephalus. The only imaging evidence of increased pressure on preoperative
imaging studies was seen on a T2-weighted MRI, where there was subtle dilatation
of the arachnoid space of the optic sleeve. We presume that this patient
developed papilledema by some mechanism not connected to hydrocephalus. In a
young patient, papilledema may be a sign preceding hydrocephalus, or she may have
had pseudotumor cerebri concomitant with her vestibular schwannoma. In either
case, removal of the vestibular schwannoma solved the problem. She had complete
visual recovery, irrespective of the mechanism.
PMID- 29375949
TI - Biliary Mucinous Cystic Neoplasm of the Liver with Ovarian Stroma and Elevated
Serum and Cystic Fluid Cancer Antigen 19-9 Levels.
AB - Biliary mucinous cystic neoplasms of the liver are rare cystic tumors comprising
less than 5% of the liver cystic neoplasms. These tumors demonstrate a female
predominance and entail a risk of malignant transformation. We present a 56-year
old female patient with a multiloculated liver cystic lesion measuring 22 cm who
underwent a cystectomy with en bloc resection of the liver segments II, III, and
cholecystectomy. Serum cancer antigen 19.9 was 4,122.00 U/ml, supporting the
diagnosis of a biliary cystic tumor. The cytology of the cystic fluid was
negative for malignancy and intracystic fluid cancer antigen 19.9 level was
measured over 12,000.00 U/l. The patient is free of recurrence at one-year follow
up. Although a rare entity, the biliary mucinous cystic neoplasms should be
considered in the differential diagnosis in the patients with liver cystic
tumors. The appropriate management with complete surgical resection with negative
margins is recommended given the risk of recurrence and malignant transformation.
PMID- 29375950
TI - Embryonal Rhabdomyosarcoma of the Cervix: A Rare Disease at an Uncommon Age.
AB - Embryonal rhabdomyosarcoma (RMS) is a rare type of sarcoma, primarily seen in the
pediatric and adolescent population. Three subtypes of embryonal RMS are
described, with the botryoid type being the most common. The incidence of this
disease in adult females is 0.4% to 1% with the affected age group being patients
in the third to fourth decade of life. It is exceedingly rare in patients above
40 years of age. We describe the case of a 48-year-old female, gravida 9 para 5,
who presented with abnormal vaginal bleeding and an exophytic mass on
examination. Given her lack of requirement of maintaining parity, she underwent
radical surgery. The tumor was 8 cm in the largest dimension with a high
histologic grade and some cartilaginous differentiation. Immunohistochemical
stains were positive for vimentin, CD99, myogenin, and MyoD1 consistent with a
diagnosis of embryonal rhabdomyosarcoma, botryoid subtype. Based on high survival
rates when treated with aggressive adjuvant chemotherapy, a decision was made to
treat the patient with the ARST0331 regimen. We discuss the diagnostic pathologic
features of the disease, the epidemiology, and the most common presentation along
with prognostic factors, treatment strategies, and outcomes.
PMID- 29375951
TI - Tale of a Wandering Lead: Late Atrial Lead Perforation into Right Lung following
Pacemaker Implantation.
AB - Cardiac perforation by a pacemaker lead is a rare complication of pacemaker
implantation. Presentation can vary from chest pain and shortness of breath to
the patient being completely asymptomatic. Diagnosis is usually made by high
resolution computed tomography (HRCT) scan of the chest. Electrocardiograph (EKG)
usually shows the absence of a paced rhythm, but it doesn't provide a definitive
diagnosis. We describe a case of late cardiac perforation by an atrial pacemaker
lead with no signs or symptoms of pericardial tamponade.
PMID- 29375952
TI - Primary Cardiac High-grade Myxofibrosarcoma Presenting with Multiple Brain
Metastases: A Case Report.
AB - Herein we describe the case of a young patient who presented with a recent
history of epilepsy due to multiple brain lesions; he did not complain about any
cardiopulmonary impairments. The patient died as a consequence of hemorrhagic
progression of brain metastatic disease. Regardless of a thorough investigation,
the heart tumor remained occult. Primary cardiac tumors are very rare entities.
Most of these are benign, but approximately 25% are malignant, and the majority
of these are sarcomas. Myxofibrosarcoma and osteosarcoma are exceptionally rare.
To date, we find only small series of cardiac myxofibrosarcoma, and to our
knowledge, this one exceptionally presented with multiple brain metastatic
lesions without cardiopulmonary symptoms.
PMID- 29375953
TI - Candida Tropicalis Cholangitis in a Patient Without Underlying Malignancy.
AB - Candida tropicalis is a rare cause of acute cholangitis, predominantly seen in
patients with underlying hematological malignancies. Here, we describe a case of
acute cholangitis caused by mixed organisms (Candida tropicalis, Candida
albicans, and Enterococcus durans) without a known risk factor.
PMID- 29375954
TI - An Unusual Presentation of Osteochondroma in a Sexagenarian.
AB - Osteochondroma is the most commonly-found benign bone tumour. It is a benign,
cartilaginous-capped bony projection. They are usually present on the bony
surfaces of the long bones in adolescents and young adults. The risk of malignant
transformation is <1% with solitary osteochondroma. We present a rare case of an
osteochondroma in a patient with advanced age and an unusual location.
PMID- 29375955
TI - Adsorption of aliphatic polyhydroxy carboxylic acids on gibbsite: pH dependency
and importance of adsorbate structure.
AB - Background: Aliphatic (poly)hydroxy carboxylic acids [(P)HCA] occur in natural,
e.g. soils, and in technical (waste disposal sites, nuclear waste repositories)
compartments . Their distribution, mobility and chemical reactivity, e.g. complex
formation with metal ions and radionuclides, depend, among others, on their
adsorption onto mineral surfaces. Aluminium hydroxides, e.g. gibbsite [alpha
Al(OH)3], are common constituents of related solid materials and mimic the
molecular surface properties of clay minerals. Thus, the study was pursued to
characterize the adsorption of glycolic, threonic, tartaric, gluconic, and
glucaric acids onto gibbsite over a wide pH and (P)HCA concentration range. To
consider specific conditions occurring in radioactive wastes, adsorption applying
an artificial cement pore water (pH 13.3) as solution phase was investigated
additionally. Results: The sorption of gluconic acid at pH 4, 7, 9, and 12 was
best described by the "two-site" Langmuir isotherm, combining "high affinity"
sorption sites (adsorption affinity constants [Formula: see text] > 1 L mmol-1,
adsorption capacities < 6.5 mmol kg-1) with "low affinity" sites ([Formula: see
text] < 0.1 L mmol-1, adsorption capacities >= 19 mmol kg-1). The total
adsorption capacities at pH 9 and 12 were roughly tenfold of that at pH 4 and 7.
The S-shaped pH sorption edge of gluconic acid was modelled applying a constant
capacitance model, considering electrostatic interactions, hydrogen bonding,
surface complex formation, and formation of solved polynuclear complexes between
Al3+ ions and gluconic acid. A Pearson and Spearman rank correlation between
(P)HCA molecular properties and adsorption parameters revealed the high
importance of the size and the charge of the adsorbates. Conclusions: The
adsorption behaviour of (P)HCAs is best described by a combination of adsorption
properties of carboxylic acids at acidic pH and of polyols at alkaline pH.
Depending on the molecular properties of the adsorbates and on pH, electrostatic
interactions, hydrogen bonding, and ternary surface complexation contribute in
varying degrees to the adsorption process. Linear distribution coefficients Kd
between 8.7 and 60.5 L kg-1 (1 mmol L-1 initial PHCA concentration) indicate a
considerable mineral surface affinity at very high pH, thus lowering the PHCA
fraction available for the complexation of metal ions including radionuclides in
solution and their subsequent mobilization.
PMID- 29375956
TI - Plasmonic- and dielectric-based structural coloring: from fundamentals to
practical applications.
AB - Structural coloring is production of color by surfaces that have microstructure
fine enough to interfere with visible light; this phenomenon provides a novel
paradigm for color printing. Plasmonic color is an emergent property of the
interaction between light and metallic surfaces. This phenomenon can surpass the
diffraction limit and achieve near unlimited lifetime. We categorize plasmonic
color filters according to their designs (hole, rod, metal-insulator-metal,
grating), and also describe structures supported by Mie resonance. We discuss the
principles, and the merits and demerits of each color filter. We also discuss a
new concept of color filters with tunability and reconfigurability, which enable
printing of structural color to yield dynamic coloring at will. Approaches for
dynamic coloring are classified as liquid crystal, chemical transition and
mechanical deformation. At the end of review, we highlight a scale-up of
fabrication methods, including nanoimprinting, self-assembly and laser-induced
process that may enable real-world application of structural coloring.
PMID- 29375957
TI - In-Gel Direct Laser Writing for 3D-Designed Hydrogel Composites That Undergo
Complex Self-Shaping.
AB - Self-shaping and actuating materials inspired by biological system have enormous
potential for biosensor, microrobotics, and optics. However, the control of 3D
complex microactuation is still challenging due to the difficulty in design of
nonuniform internal stress of micro/nanostructures. Here, we develop in-gel
direct laser writing (in-gel DLW) procedure offering a high resolution
inscription whereby the two materials, resin and hydrogel, are interpenetrated on
a scale smaller than the wavelength of the light. The 3D position and mechanical
properties of the inscribed structures could be tailored to a resolution better
than 100 nm over a wide density range. These provide an unparalleled means of
inscribing a freely suspended microstructures of a second material like a
skeleton into the hydrogel body and also to direct isotropic volume changes to
bending and distortion motions. In the combination with a thermosensitive
hydrogel rather small temperature variations could actuate large amplitude
motions. This generates complex modes of motion through the rational engineering
of the stresses present in the multicomponent material. More sophisticated
folding design would realize a multiple, programmable actuation of soft
materials. This method inspired by biological system may offer the possibility
for functional soft materials capable of biomimetic actuation and photonic
crystal application.
PMID- 29375959
TI - Photodetectors Based on Organic-Inorganic Hybrid Lead Halide Perovskites.
AB - Recent years have witnessed skyrocketing research achievements in organic
inorganic hybrid lead halide perovskites (OIHPs) in the photovoltaic field. In
addition to photovoltaics, more and more studies have focused on OIHPs-based
photodetectors in the past two years, due to the remarkable optoelectronic
properties of OIHPs. This article summarizes the latest progress in this research
field. To begin with, the factors influencing the performance of photodetectors
are discussed, including both internal and external factors. In particular, the
channel width and the incident power intensities should be taken into account to
precisely and objectively evaluate and compare the output performance of
different photodetectors. Next, photodetectors fabricated on single-component
perovskites in terms of different micromorphologies are discussed, namely, 3D
thin-film and single crystalline, 2D nanoplates, 1D nanowires, and 0D
nanocrystals, respectively. Then, bilayer structured perovskite-based
photodetectors incorporating inorganic and organic semiconductors are discussed
to improve the optoelectronic performance of their pristine counterparts.
Additionally, flexible OIHPs-based photodetectors are highlighted. Finally, a
brief conclusion and outlook is given on the progress and challenges in the field
of perovskites-based photodetectors.
PMID- 29375958
TI - Nanoparticle Superlattices: The Roles of Soft Ligands.
AB - Nanoparticle superlattices are periodic arrays of nanoscale inorganic building
blocks including metal nanoparticles, quantum dots and magnetic nanoparticles.
Such assemblies can exhibit exciting new collective properties different from
those of individual nanoparticle or corresponding bulk materials. However,
fabrication of nanoparticle superlattices is nontrivial because nanoparticles are
notoriously difficult to manipulate due to complex nanoscale forces among them.
An effective way to manipulate these nanoscale forces is to use soft ligands,
which can prevent nanoparticles from disordered aggregation, fine-tune the
interparticle potential as well as program lattice structures and interparticle
distances - the two key parameters governing superlattice properties. This
article aims to review the up-to-date advances of superlattices from the
viewpoint of soft ligands. We first describe the theories and design principles
of soft-ligand-based approach and then thoroughly cover experimental techniques
developed from soft ligands such as molecules, polymer and DNA. Finally, we
discuss the remaining challenges and future perspectives in nanoparticle
superlattices.
PMID- 29375960
TI - Catalytic Effects in Lithium-Sulfur Batteries: Promoted Sulfur Transformation and
Reduced Shuttle Effect.
AB - Lithium-sulfur (Li-S) battery has emerged as one of the most promising next
generation energy-storage systems. However, the shuttle effect greatly reduces
the battery cycle life and sulfur utilization, which is great deterrent to its
practical use. This paper reviews the tremendous efforts that are made to find a
remedy for this problem, mostly through physical or chemical confinement of the
lithium polysulfides (LiPSs). Intrinsically, this "confinement" has a relatively
limited effect on improving the battery performance because in most cases, the
LiPSs are "passively" blocked and cannot be reused. Thus, this strategy becomes
less effective with a high sulfur loading and ultralong cycling. A more
"positive" method that not only traps but also increases the subsequent
conversion of LiPSs back to lithium sulfides is urgently needed to fundamentally
solve the shuttle effect. Here, recent advances on catalytic effects in
increasing the rate of conversion of soluble long-chain LiPSs to insoluble short
chain Li2S2/Li2S, and vice versa, are reviewed, and the roles of noble metals,
metal oxides, metal sulfides, metal nitrides, and some metal-free materials in
this process are highlighted. Challenges and potential solutions for the design
of catalytic cathodes and interlayers in Li-S battery are discussed in detail.
PMID- 29375962
TI - Remarkable Enhancement of the Hole Mobility in Several Organic Small-Molecules,
Polymers, and Small-Molecule:Polymer Blend Transistors by Simple Admixing of the
Lewis Acid p-Dopant B(C6F5)3.
AB - Improving the charge carrier mobility of solution-processable organic
semiconductors is critical for the development of advanced organic thin-film
transistors and their application in the emerging sector of printed electronics.
Here, a simple method is reported for enhancing the hole mobility in a wide range
of organic semiconductors, including small-molecules, polymers, and small
molecule:polymer blends, with the latter systems exhibiting the highest mobility.
The method is simple and relies on admixing of the molecular Lewis acid B(C6F5)3
in the semiconductor formulation prior to solution deposition. Two prototypical
semiconductors where B(C6F5)3 is shown to have a remarkable impact are the blends
of 2,8-difluoro-5,11
bis(triethylsilylethynyl)anthradithiophene:poly(triarylamine) (diF-TESADT:PTAA)
and 2,7-dioctyl[1]-benzothieno[3,2-b][1]benzothiophene:poly(indacenodithiophene
co-benzothiadiazole) (C8-BTBT:C16-IDTBT), for which hole mobilities of 8 and 11
cm2 V-1 s-1, respectively, are obtained. Doping of the 6,13
bis(triisopropylsilylethynyl)pentacene:PTAA blend with B(C6F5)3 is also shown to
increase the maximum hole mobility to 3.7 cm2 V-1 s-1. Analysis of the single and
multicomponent materials reveals that B(C6F5)3 plays a dual role, first acting as
an efficient p-dopant, and secondly as a microstructure modifier. Semiconductors
that undergo simultaneous p-doping and dopant-induced long-range crystallization
are found to consistently outperform transistors based on the pristine materials.
Our work underscores Lewis acid doping as a generic strategy towards high
performance printed organic microelectronics.
PMID- 29375961
TI - Progress and Perspective of Electrocatalytic CO2 Reduction for Renewable
Carbonaceous Fuels and Chemicals.
AB - The worldwide unrestrained emission of carbon dioxide (CO2) has caused serious
environmental pollution and climate change issues. For the sustainable
development of human civilization, it is very desirable to convert CO2 to
renewable fuels through clean and economical chemical processes. Recently,
electrocatalytic CO2 conversion is regarded as a prospective pathway for the
recycling of carbon resource and the generation of sustainable fuels. In this
review, recent research advances in electrocatalytic CO2 reduction are summarized
from both experimental and theoretical aspects. The referred electrocatalysts are
divided into different classes, including metal-organic complexes, metals, metal
alloys, inorganic metal compounds and carbon-based metal-free nanomaterials.
Moreover, the selective formation processes of different reductive products, such
as formic acid/formate (HCOOH/HCOO-), monoxide carbon (CO), formaldehyde (HCHO),
methane (CH4), ethylene (C2H4), methanol (CH3OH), ethanol (CH3CH2OH), etc. are
introduced in detail, respectively. Owing to the limited energy efficiency,
unmanageable selectivity, low stability, and indeterminate mechanisms of
electrocatalytic CO2 reduction, there are still many tough challenges need to be
addressed. In view of this, the current research trends to overcome these
obstacles in CO2 electroreduction field are summarized. We expect that this
review will provide new insights into the further technique development and
practical applications of CO2 electroreduction.
PMID- 29375963
TI - Zigzag-Elongated Fused pi-Electronic Core: A Molecular Design Strategy to
Maximize Charge-Carrier Mobility.
AB - Printed and flexible electronics requires solution-processable organic
semiconductors with a carrier mobility (MU) of ~10 cm2 V-1 s-1 as well as high
chemical and thermal durability. In this study, chryseno[2,1-b:8,7-b']dithiophene
(ChDT) and its derivatives, which have a zigzag-elongated fused pi-electronic
core (pi-core) and a peculiar highest occupied molecular orbital (HOMO)
configuration, are reported as materials with conceptually new semiconducting pi
cores. ChDT and its derivatives are prepared by a versatile synthetic procedure.
A comprehensive investigation reveals that the ChDT pi-core exhibits increasing
structural stability in the bulk crystal phase, and that it is unaffected by a
variation of the transfer integral, induced by the perpetual molecular motion of
organic materials owing to the combination of its molecular shape and its
particular HOMO configuration. Notably, ChDT derivatives exhibit excellent
chemical and thermal stability, high charge-carrier mobility under ambient
conditions (MU <= 10 cm2 V-1 s-1), and a crystal phase that is highly stable,
even at temperatures above 250 degrees C.
PMID- 29375964
TI - Advanced Energy Storage Devices: Basic Principles, Analytical Methods, and
Rational Materials Design.
AB - Tremendous efforts have been dedicated into the development of high-performance
energy storage devices with nanoscale design and hybrid approaches. The boundary
between the electrochemical capacitors and batteries becomes less distinctive.
The same material may display capacitive or battery-like behavior depending on
the electrode design and the charge storage guest ions. Therefore, the underlying
mechanisms and the electrochemical processes occurring upon charge storage may be
confusing for researchers who are new to the field as well as some of the
chemists and material scientists already in the field. This review provides
fundamentals of the similarities and differences between electrochemical
capacitors and batteries from kinetic and material point of view. Basic
techniques and analysis methods to distinguish the capacitive and battery-like
behavior are discussed. Furthermore, guidelines for material selection, the state
of-the-art materials, and the electrode design rules to advanced electrode are
proposed.
PMID- 29375965
TI - Size-Controlled Graphene Nanodot Arrays/ZnO Hybrids for High-Performance UV
Photodetectors.
AB - Graphene nanodots (GNDs) are one of the most attractive graphene nanostructures
due to their tunable optoelectronic properties. Fabricated by polystyrene
nanosphere lithography, uniformly sized graphene nanodots array (GNDA) is
constructed as an ultraviolet photodetector (PD) with ZnO nanofilm spin coated on
it. The size of GNDA can be well controlled from 45 to 20 nm varying the etching
time. It is revealed in the study that the photoelectric properties of ZnO/GNDA
PD are highly GNDA size-dependent. The highest responsivity (R) and external
quantum efficiency of ZnO/GNDA (20 nm) PD are 22.55 mA W-1 and 9.32%, almost
twofold of that of ZnO PD. Both ZnO/GNDA (20 nm) PD and ZnO/GNDA (30 nm) PD
exhibit much faster response speed under on/off switching light and have shorter
rise/decay time compared with ZnO PD. However, as the size of GNDA increase to 45
nm, the PD appears poor performance. The size-dependent phenomenon can be
explained by the energy band alignments in ZnO/GNDA hybrids. These efforts reveal
the enhancement of GNDs on traditional photodetectors with tunable optoelectronic
properties and hold great potential to pave a new way to explore the various
remarkable photodetection performances by controlling the size of the
nanostructures.
PMID- 29375966
TI - Earth-Abundant Tin Sulfide-Based Photocathodes for Solar Hydrogen Production.
AB - Tin-based chalcogenide semiconductors, though attractive materials for
photovoltaics, have to date exhibited poor performance and stability for
photoelectrochemical applications. Here, a novel strategy is reported to improve
performance and stability of tin monosulfide (SnS) nanoplatelet thin films for H2
production in acidic media without any use of sacrificial reagent. P-type SnS
nanoplatelet films are coated with the n-CdS buffer layer and the TiO2
passivation layer to form type II heterojunction photocathodes. These
photocathodes with subsequent deposition of Pt nanoparticles generate a
photovoltage of 300 mV and a photocurrent density of 2.4 mA cm-2 at 0 V versus
reversible hydrogen electrode (RHE) for water splitting under simulated visible
light illumination (lambda > 500 nm, Pin = 80 mW cm-2). The incident photon-to
current efficiency at 0 V versus RHE for H2 production reach a maximum of 12.7%
at 575 nm with internal quantum efficiency of 13.8%. The faradaic efficiency for
hydrogen evolution remains close to unity after 6000 s of illumination,
confirming the robustness of the heterojunction for solar H2 production.
PMID- 29375967
TI - Identifying the Cause of Rupture of Li-Ion Batteries during Thermal Runaway.
AB - As the energy density of lithium-ion cells and batteries increases, controlling
the outcomes of thermal runaway becomes more challenging. If the high rate of gas
generation during thermal runaway is not adequately vented, commercial cell
designs can rupture and explode, presenting serious safety concerns. Here, ultra
high-speed synchrotron X-ray imaging is used at >20 000 frames per second to
characterize the venting processes of six different 18650 cell designs undergoing
thermal runaway. For the first time, the mechanisms that lead to the most
catastrophic type of cell failure, rupture, and explosion are identified and
elucidated in detail. The practical application of the technique is highlighted
by evaluating a novel 18650 cell design with a second vent at the base, which is
shown to avoid the critical stages that lead to rupture. The insights yielded in
this study shed new light on battery failure and are expected to guide the
development of safer commercial cell designs.
PMID- 29375968
TI - Hydrothermal Cation Exchange Enabled Gradual Evolution of Au@ZnS-AgAuS Yolk-Shell
Nanocrystals and Their Visible Light Photocatalytic Applications.
AB - Yolk-shell hybrid nanoparticles with noble metal core and programmed
semiconductor shell composition may exhibit synergistic effects and tunable
catalytic properties. In this work, the hydrothermal cation exchange synthesis of
Au@ZnS-AgAuS yolk-shell nanocrystals (Y-S NCs) with well-fabricated void size,
grain-boundary-architectured ZnS-AgAuS shell and in situ generated Au cocatalyst
are demonstrated. Starting from the novel cavity-free Au@AgAuS core-shell NCs,
via aqueous cation exchange reaction with Zn2+, the gradual evolution with
produced Au@ZnS-AgAuS Y-S NCs can be achieved successfully. This unprecedented
evolution can be reasonably explained by cation exchange initialized chemical
etching of Au core, followed by the diffusion through the shell to be AgAuS and
then ZnS. By hydrothermal treatment provided optimal redox environment, Au ions
in shell were partially reduced to be Au NCs on the surface. The UV-vis
absorption spectra evolution and visible light photocatalytic performances,
including improved photodegradation behavior and photocatalytic hydrogen
evolution activity, have demonstrated their potential applications. This new one
pot way to get diverse heterointerfaces for better photoinduced electron/hole
separation synergistically can be anticipated for more kinds of photocatalytic
organic synthesis.
PMID- 29375969
TI - Development of Nanostructured Water Treatment Membranes Based on Thermotropic
Liquid Crystals: Molecular Design of Sub-Nanoporous Materials.
AB - Supply of safe fresh water is currently one of the most important global issues.
Membranes technologies are essential to treat water efficiently with low costs
and energy consumption. Here, the development of self-organized nanostructured
water treatment membranes based on ionic liquid crystals composed of ammonium,
imidazolium, and pyridinium moieties is reported. Membranes with preserved 1D or
3D self-organized sub-nanopores are obtained by photopolymerization of ionic
columnar or bicontinuous cubic liquid crystals. These membranes show salt
rejection ability, ion selectivity, and excellent water permeability. The
relationships between the structures and the transport properties of water
molecules and ionic solutes in the sub-nanopores in the membranes are examined by
molecular dynamics simulations. The results suggest that the volume of vacant
space in the nanochannel greatly affects the water and ion permeability.
PMID- 29375970
TI - Turning the Old Adjuvant from Gel to Nanoparticles to Amplify CD8+ T Cell
Responses.
AB - Due to its safety and efficacy, aluminum hydroxide is used as an immune adjuvant
in human vaccines for over 80 years. Being a Th2 stimulator, the classical gel
like adjuvant, however, fails to generate CD8+ T cell responses, which are
important for cancer vaccines. Here, aluminum hydroxide is turned from gel into
nano-sized vaccine carriers AlO(OH)-polymer nanoparticles (APNs) to promote their
lymphatic migration. After actively uptaken via scavenger receptor-A by antigen
presenting cells (APCs) resident in lymph nodes (LNs), APNs destabilize lysosomes
resulting in efficient cytosolic delivery and cross-presentation of antigens. It
is demonstrated that administration of APNs loaded with ovalbumin (OVA) and CpG
led to the codelivery of both cargos into APCs in LNs, leading to their
activation and subsequent adaptive immunity. A prime-boost strategy with low
doses of OVA (1.5 ug) and CpG (0.45 ug) induces potent CD8+ T cell responses and
dramatically prolongs the survival of B16-OVA tumor-bearing mice. More
impressively, when using B16F10 lysates instead of OVA as antigen, substantial
antitumor effects on B16F10 tumor model are observed by using APN-CpG. These
results suggest the great potential of APNs as vaccine carriers that activate
CD8+ T cell responses and the bright prospect of aluminum adjuvant in a
nanoparticle formulation.
PMID- 29375971
TI - Asymmetric Hybrid Polymer-Lipid Giant Vesicles as Cell Membrane Mimics.
AB - Lipid membrane asymmetry plays an important role in cell function and activity,
being for instance a relevant signal of its integrity. The development of
artificial asymmetric membranes thus represents a key challenge. In this context,
an emulsion-centrifugation method is developed to prepare giant vesicles with an
asymmetric membrane composed of an inner monolayer of poly(butadiene)-b
poly(ethylene oxide) (PBut-b-PEO) and outer monolayer of 1-palmitoyl-2-oleoyl-sn
glycero-3-phosphocholine (POPC). The formation of a complete membrane asymmetry
is demonstrated and its stability with time is followed by measuring lipid
transverse diffusion. From fluorescence spectroscopy measurements, the lipid half
life is estimated to be 7.5 h. Using fluorescence recovery after photobleaching
technique, the diffusion coefficient of 1,2-dioleoyl-sn-glycero-3
phosphoethanolamine-N-(lissamine rhodamine B sulfonyl) (DOPE-rhod, inserted into
the POPC leaflet) is determined to be about D = 1.8 +/- 0.50 MUm2 s-1 at 25
degrees C and D = 2.3 +/- 0.7 MUm2 s-1 at 37 degrees C, between the
characteristic values of pure POPC and pure polymer giant vesicles and in good
agreement with the diffusion of lipids in a variety of biological membranes.
These results demonstrate the ability to prepare a cell-like model system that
displays an asymmetric membrane with transverse and translational diffusion
properties similar to that of biological cells.
PMID- 29375972
TI - Nanomicelle-Assisted Targeted Ocular Delivery with Enhanced Antiinflammatory
Efficacy In Vivo.
AB - Ocular inflammations are common diseases that may lead to serious vision
threatening obstacles. Eye drops for antiinflammation therapy need to be
administered multiple times daily at a high dosage due to the rapid precorneal
removal and low bioavailability of drugs. To overcome these problems, a cRGD
functionalized DSPE-PEG2000 nanomicelle (DSPE-PEG2000-cRGD) encapsulated with
flurbiprofen is proposed. The tailored nanomicelles trigger specific binding to
integrin receptors on the ocular surface, which leads to rapid and robust
mucoadhesion, superior ocular surface retention, and transcorneal penetration
behaviors of nanomicelles. Due to the enhanced drug delivery on ocular surface
and in aqueous humor, the functionalized nanoformulation significantly improves
ocular antiinflammation efficacy at a low dosage by blocking the synthesis of
inflammatory mediators and cytokines. The present study demonstrates a promising
strategy that uses a functional peptide combined with nanomicelles for targeted
delivery to the eye in ophthalmologic applications.
PMID- 29375973
TI - Recent Progress in Single-Crystalline Perovskite Research Including Crystal
Preparation, Property Evaluation, and Applications.
AB - Organic-inorganic lead halide perovskites are promising optoelectronic materials
resulting from their significant light absorption properties and unique long
carrier dynamics, such as a long carrier lifetime, carrier diffusion length, and
high carrier mobility. These advantageous properties have allowed for the
utilization of lead halide perovskite materials in solar cells, LEDs,
photodetectors, lasers, etc. To further explore their potential, intrinsic
properties should be thoroughly investigated. Single crystals with few defects
are the best candidates to disclose a variety of interesting and important
properties of these materials, ultimately, showing the increased importance of
single-crystalline perovskite research. In this review, recent progress on the
crystallization, investigation, and primary device applications of single
crystalline perovskites are summarized and analyzed. Further improvements in
device design and preparation are also discussed.
PMID- 29375974
TI - Aqueous-Containing Precursor Solutions for Efficient Perovskite Solar Cells.
AB - Perovskite semiconductors have emerged as competitive candidates for photovoltaic
applications due to their exceptional optoelectronic properties. However, the
impact of moisture instability on perovskite films is still a key challenge for
perovskite devices. While substantial effort is focused on preventing moisture
interaction during the fabrication process, it is demonstrated that low moisture
sensitivity, enhanced crystallization, and high performance can actually be
achieved by exposure to high water content (up to 25 vol%) during fabrication
with an aqueous-containing perovskite precursor. The perovskite solar cells
fabricated by this aqueous method show good reproducibility of high efficiency
with average power conversion efficiency (PCE) of 18.7% and champion PCE of 20.1%
under solar simulation. This study shows that water-perovskite interactions do
not necessarily negatively impact the perovskite film preparation process even at
the highest efficiencies and that exposure to high contents of water can actually
enable humidity tolerance during fabrication in air.
PMID- 29375975
TI - Computational Intelligence-Assisted Understanding of Nature-Inspired
Superhydrophobic Behavior.
AB - In recent years, state-of-the-art computational modeling of physical and chemical
systems has shown itself to be an invaluable resource in the prediction of the
properties and behavior of functional materials. However, construction of a
useful computational model for novel systems in both academic and industrial
contexts often requires a great depth of physicochemical theory and/or a wealth
of empirical data, and a shortage in the availability of either frustrates the
modeling process. In this work, computational intelligence is instead used,
including artificial neural networks and evolutionary computation, to enhance our
understanding of nature-inspired superhydrophobic behavior. The relationships
between experimental parameters (water droplet volume, weight percentage of
nanoparticles used in the synthesis of the polymer composite, and distance
separating the superhydrophobic surface and the pendant water droplet in adhesive
force measurements) and multiple objectives (water droplet contact angle, sliding
angle, and adhesive force) are built and weighted. The obtained optimal
parameters are consistent with the experimental observations. This new approach
to materials modeling has great potential to be applied more generally to aid
design, fabrication, and optimization for myriad functional materials.
PMID- 29375976
TI - Environment-Adaptive Coassembly/Self-Sorting and Stimulus-Responsiveness Transfer
Based on Cholesterol Building Blocks.
AB - Manipulating the property transfer in nanosystems is a challenging task since it
requires switchable molecular packing such as separate aggregation (self-sorting)
or synergistic aggregation (coassembly). Herein, a unique manipulation of self
sorting/coassembly aggregation and the observation of switchable stimulus
responsiveness transfer in a two component self-assembly system are reported. Two
building blocks bearing the same cholesterol group give versatile topological
structures in polar and nonpolar solvents. One building block (cholesterol
conjugated cynanostilbene, CCS) consists of cholesterol conjugated with a
cynanostilbene unit, and the other one (C10CN) is comprised of cholesterol
connected with a naphthalimide group having a flexible long alkyl chain. Their
assemblies including gel, crystalline plates, and vesicles are obtained. In gel
and crystalline plate phases, the self-sorting behavior dominates, while
synergistic coassembly occurs in vesicle phase. Since CCS having the
cyanostilbene group can respond to the light irradiation, it undergoes light
induced chiral amplification. C10CN is thermally responsive, whereby its
supramolecular chirality is inversed upon heating. In coassembled vesicles, it is
interestingly observed that their responsiveness can be transferred by each
other, i.e., the C10CN segment is sensitive to the light irradiation, while CCS
is thermoresponsive. This unprecedented behavior of the property transfer may
shine a light to the precise fabrication of smart materials.
PMID- 29375977
TI - Climate-Dependent Heat-Triggered Opening Mechanism of Banksia Seed Pods.
AB - Heat-triggered fruit opening and delayed release of mature seeds are widespread
among plants in fire-prone ecosystems. Here, the material characteristics of the
seed-containing follicles of Banksia attenuata (Proteaceae), which open in
response to heat frequently caused by fire, are investigated. Material analysis
reveals that long-term dimensional stability and opening temperatures of
follicles collected across an environmental gradient increase as habitats become
drier, hotter, and more fire prone. A gradual increase in the biaxial curvature
of the hygroscopic valves provides the follicles in the driest region with the
highest flexural rigidity. The irreversible deformation of the valves for opening
is enabled via a temperature-dependent reduction of the elastic modulus of the
innermost tissue layer, which then allows releasing the stresses previously
generated by shrinkage of the fiber bundles in the adjacent layer during follicle
drying. These findings illustrate the level of sophistication by which this
species optimizes its fruit opening mechanism over a large distribution range
with varying environmental conditions, and may not only have great relevance for
developing biomimetic actuators, but also for elucidating the species' capacity
to cope with climatic changes.
PMID- 29375979
TI - Imaging Inelastic Fracture Processes in Biomimetic Nanocomposites and Nacre by
Laser Speckle for Better Toughness.
AB - Mollusk nacre is a prototypical biological inorganic-organic composite that
combines high toughness, stiffness, and strength by its brick-and-mortar
microstructure, which has inspired several synthetic mimics. Its remarkable
fracture toughness relies on inelastic deformations at the process zone at the
crack tip that dissolve stress concentrations and stop cracks. The micrometer
scale structure allows resolving the size and shape of the process zone to
understand the fracture processes. However, for better scalability, nacre-mimetic
nanocomposites with aligned inorganic or graphene nanosheets are extensively
pursued, to avoid the packing problems of mesoscale sheets like in nacre or slow
in situ biomineralization. This calls for novel methods to explore the process
zone of biomimetic nanocomposites. Here the fracture of nacre and nacre-inspired
clay/polymer nanocomposite is explored using laser speckle imaging that reveals
the process zone even in absence of changes in optical scattering. To demonstrate
the diagnostic value, compared to nacre, the nacre-inspired nanocomposite
develops a process zone more abruptly with macroscopic crack deflection shown by
a flattened process zone. In situ scanning electron microscopy suggests similar
toughening mechanisms in nanocomposite and nacre. These new insights guide the
design of nacre-inspired nanocomposites toward better mechanical properties to
reach the level of synergy of their biological model.
PMID- 29375978
TI - Electrochemically Synthesized Nanoporous Molybdenum Carbide as a Durable
Electrocatalyst for Hydrogen Evolution Reaction.
AB - Demands for sustainable production of hydrogen are rapidly increasing because of
environmental considerations for fossil fuel consumption and development of fuel
cell technologies. Thus, the development of high-performance and economical
catalysts has been extensively investigated. In this study, a nanoporous Mo
carbide electrode is prepared using a top-down electrochemical process and it is
applied as an electrocatalyst for the hydrogen evolution reaction (HER). Anodic
oxidation of Mo foil followed by heat treatment in a carbon monoxide (CO)
atmosphere forms a nanostructured Mo carbide with excellent interconnections, and
these structural characteristics lead to high activity and durability when
applied to the HER. Additionally, characteristic behavior of Mo is observed;
metallic Mo nanosheets form during electrochemical anodization by exfoliation
along the (110) planes. These nanosheets are viable for chemical modification,
indicating their feasibility in various applications. Moreover, the role of
carbon shells is investigated on the surface of the electrocatalysts, whereby it
is suggested that carbon shells serve as a mechanical barrier against the
oxidative degradation of catalysts that accompanies unavoidable volume expansion.
PMID- 29375981
TI - Starting the 5th Volume.
PMID- 29375980
TI - High Thermoelectric Power Factor of High-Mobility 2D Electron Gas.
AB - Thermoelectric conversion is an energy harvesting technology that directly
converts waste heat from various sources into electricity by the Seebeck effect
of thermoelectric materials with a large thermopower (S), high electrical
conductivity (sigma), and low thermal conductivity (kappa). State-of-the-art
nanostructuring techniques that significantly reduce kappa have realized high
performance thermoelectric materials with a figure of merit (ZT =
S2?sigma?T?kappa-1) between 1.5 and 2. Although the power factor (PF = S2?sigma)
must also be enhanced to further improve ZT, the maximum PF remains near 1.5-4 mW
m-1 K-2 due to the well-known trade-off relationship between S and sigma. At a
maximized PF, sigma is much lower than the ideal value since impurity doping
suppresses the carrier mobility. A metal-oxide-semiconductor high electron
mobility transistor (MOS-HEMT) structure on an AlGaN/GaN heterostructure is
prepared. Applying a gate electric field to the MOS-HEMT simultaneously modulates
S and sigma of the high-mobility electron gas from -490 uV K-1 and ~10-1 S cm-1
to -90 uV K-1 and ~104 S cm-1, while maintaining a high carrier mobility (~1500
cm2 V-1 s-1). The maximized PF of the high-mobility electron gas is ~9 mW m-1 K
2, which is a two- to sixfold increase compared to state-of-the-art practical
thermoelectric materials.
PMID- 29375982
TI - Experimental circumferential canaloplasty with a new Schlemm canal microcatheter.
AB - AIM: To present a new, simple, inexpensive Schlemm canal microcatheter for
circumferential canaloplasty in a rabbit model. METHODS: A rabbit glaucoma animal
model was established by intravitreal injection of triamcinolone acetonide.
Circumferential canaloplasty with a new Schlemm canal microcatheter (patent
license number: 201220029850.0) was performed. The Schlemm canal microcatheter
was composed of microcatheter wall and lumen. The wall was made of high
refractive index plastic optical fiber that could be attached to an illuminant so
that the whole lighted microcatheter was visible during circumferential
canaloplasty. The lumen could be attached to an injector for injection of
viscoelastic during catheterization. Rabbits were divided randomly into the
control, model and treatment groups. Intraocular pressure (IOP) was measured with
a Tono-pen tonometer pre-operation and 3, 7, 14, 21 and 28d post-operation.
Ultrasound biomicroscopy was performed to visualize the Schlemm canal
microcatheter in the Schlemm canal and the sclera pool. RESULTS: The Schlemm
canal microcatheter could be used to perform circumferential canaloplasty in the
rabbit glaucoma animal model. IOP was lower in the treatment group than that in
the model group 3, 7, 14 and 28d after operation. There were no significant
differences in IOP between the control group and treatment group. The differences
among the three groups were statistically significant (3d: F=41.985, P<0.001; 7d:
F=65.696, P<0.001; 14d: F=114.599, P<0.001; 28d: F=55.006, P<0.001). CONCLUSION:
Circumferential canaloplasty is safe and effective in control of experimental
glaucoma model in rabbits.
PMID- 29375983
TI - Preloaded and non-preloaded intraocular lens delivery system and characteristics:
human and porcine eyes trial.
AB - AIM: To compare characteristics of preloaded and non-preloaded intraocular lens
(IOL) delivery systems during IOL delivery procedures. METHODS: Total 101 human
eyes were included in this prospective observational case series. Delivery
characteristics of 5 types of IOLs including iSert250 NC60 (NC60), EnVista MX60
(MX60), AcrySof IQ SN60WF (SN60WF), TECNIS ZCB00 (ZCB00), and TECNIS PCB00
(PCB00) were investigated. NC60 and PCB00 were injected via preloaded delivery
systems and other IOLs were injected via non-preloaded systems. In the human
trial, time taken from IOL loading to completion of implantation was measured in
all eyes undergoing conventional cataract surgery. Using 4 excised porcine eyes,
dynamics of ophthalmic viscosurgical device (OVD) between an IOL injector and a
porcine eye was analyzed using fluorescein sodium-stained OVD. RESULTS: The
average time for IOL implantation was 22.0s for NC60, 43.2s for MX60, 32.3s for
SN60WF, 41.4s for ZCB00, and 14.6s for PCB00 respectively. The number of cases
with IOL manipulation with a second instrument was 6 for MX60, 2 for ZCB00, 0 for
SN60WF, NC60, and PCB00. Amount of OVD pushed into a porcine eye was smaller with
a preloaded system than with non-preloaded systems. CONCLUSION: IOL delivery with
preloaded systems is faster and more predictable. Moreover, a preloaded delivery
system shows relatively less OVD pushed into a porcine eye than non-preloaded
systems.
PMID- 29375984
TI - Hydrogen peroxide-induced apoptosis of human lens epithelial cells is inhibited
by parthenolide.
AB - AIM: To explore the effect of parthenolide on hydrogen peroxide (H2O2)-induced
apoptosis in human lens epithelial (HLE) cells. METHODS: The morphology and
number of apoptotic HLE cells were assessed using light microscopy and flow
cytometry. Cell viability was tested by MTS assay. In addition, the expression of
related proteins was measured by Western blot assay. RESULTS: Apoptosis of HLE
cells was induced by 200 umol/L H2O2, and the viability of these cells was
similar to the half maximal inhibitory concentration (IC50), as examined by MTS
assay. In addition, cells were treated with either different concentrations
(6.25, 12.5, 25 and 50 umol/L) of parthenolide along with 200 umol/L H2O2 or only
50 umol/L parthenolide or 200 umol/L H2O2 for 24h. Following treatment with
higher concentrations of parthenolide (50 umol/L), fewer HLE cells underwent H2O2
induced apoptosis, and cell viability was increased. Further, Western blot assay
showed that the parthenolide treatment reduced the expression of caspase-3 and
caspase-9, which are considered core apoptotic proteins, and decreased the levels
of phosphorylated nuclear factor-kappaB (NF-kappaB), ERK1/2 [a member of the
mitogen-activated protein kinase (MAPK) family], and Akt proteins in HLE cells.
CONCLUSION: Parthenolide may suppress H2O2-induced apoptosis in HLE cells by
interfering with NF-kappaB, MAPKs, and Akt signaling.
PMID- 29375985
TI - EDIL3 depletion suppress epithelial-mesenchymal transition of lens epithelial
cells via transforming growth factor beta pathway.
AB - AIM: To study the effect of discoidin I-like domaincontaining protein 3 (EDIL3)
depletion on the proliferation and epithelial-mesenchymal transition (EMT) in
human lens epithelial cells (LECs). METHODS: RNA interference was used to inhibit
the expression of EDIL3 in human LECs in vitro. The morphology of cells was
observed using an inverted microscope. Cell proliferation was assessed using EdU
kit. Cell migration was investigated using Transwell chamber and EMT of LECs was
assessed using confocal microscope and Western blotting. The transforming growth
factor beta (TGFbeta) pathway was investigated using Western blotting. RESULTS:
The data showed that silencing EDIL3 expression changed LECs morphology and
suppressed LECs proliferation (P<0.05) and migration (P<0.01). Furthermore, the
result of Western blotting showed that EDIL3 depletion reduced the expression of
alpha-smooth muscle actin (alpha-SMA) (P<0.001) and vimentin (P<0.01), while
increased the expression of E-cadherin (P<0.001). EDIL3 depletion could suppress
the phosphorylation of Smad2 (P<0.01) and Smad3 (P<0.01) and the activation of
exracellular signal regulated kinase (ERK) (P<0.05). CONCLUSION: The findings
indicate that EDIL3 might participate in the proliferation and EMT in LECs via
TGFbeta pathway and may be a potential therapeutic target for the treatment of
posterior capsule opacification.
PMID- 29375986
TI - Safety threshold of intravitreal clonidine in rabbit's eyes.
AB - AIM: To determine the safe dose of intravitreal clonidine (IVC), a potential drug
for neuroprotection and angiogenesis inhibition in rabbits. METHODS: A total of
28 rabbits were divided into four groups. Three groups received IVC with
concentrations of 15 (Group A), 25 (Group B), and 50 (Group C) ug/0.1 mL and the
control group (Group D) received 0.1 mL balanced salt solution (BSS). To
investigate IVC safety, electroretinography (ERG) was performed at baseline, then
at 1, 4 and 8wk after injection. After last ERG, all rabbits were euthanized,
their eyes were enucleated and subjected to routine histopathological evaluation,
immunohistochemistry for glial fibrillary acidic protein (GFAP) and terminal
deoxynucleotidyl transferase dUTP nick end labeling (TUNEL) test. RESULTS: Based
on ERG, histopathology, GFAP and TUNEL assay findings, 15 ug IVC was determined
as the safe dose in rabbit eyes. While, the results of routine histopathology and
TUNEL assay were unremarkable in all groups, toxic effects attributed to 25 and
50 ug IVC were demonstrated by ERG and GFAP tests. CONCLUSION: Totally 15 ug
clonidine is determined as the safe dose for intravitreal injection in rabbits.
Contribution of IVC in neuroprotection and inhibition of angiogenesis deserve
more studies.
PMID- 29375987
TI - A novel mutation in PRPF31, causative of autosomal dominant retinitis pigmentosa,
using the BGISEQ-500 sequencer.
AB - AIM: To study the genes responsible for retinitis pigmentosa. METHODS: A total of
15 Chinese families with retinitis pigmentosa, containing 94 sporadically
afflicted cases, were recruited. The targeted sequences were captured using the
Target_Eye_365_V3 chip and sequenced using the BGISEQ-500 sequencer, according to
the manufacturer's instructions. Data were aligned to UCSC Genome Browser build
hg19, using the Burroughs Wheeler Aligner MEM algorithm. Local realignment was
performed with the Genome Analysis Toolkit (GATK v.3.3.0) IndelRealigner, and
variants were called with the Genome Analysis Toolkit Haplotypecaller, without
any use of imputation. Variants were filtered against a panel derived from 1000
Genomes Project, 1000G_ASN, ESP6500, ExAC and dbSNP138. In all members of Family
ONE and Family TWO with available DNA samples, the genetic variant was validated
using Sanger sequencing. RESULTS: A novel, pathogenic variant of retinitis
pigmentosa, c.357_358delAA (p.Ser119SerfsX5) was identified in PRPF31 in 2 of 15
autosomal-dominant retinitis pigmentosa (ADRP) families, as well as in one,
sporadic case. Sanger sequencing was performed upon probands, as well as upon
other family members. This novel, pathogenic genotype co-segregated with
retinitis pigmentosa phenotype in these two families. CONCLUSION: ADRP is a
subtype of retinitis pigmentosa, defined by its genotype, which accounts for 20%
40% of the retinitis pigmentosa patients. Our study thus expands the spectrum of
PRPF31 mutations known to occur in ADRP, and provides further demonstration of
the applicability of the BGISEQ500 sequencer for genomics research.
PMID- 29375988
TI - IgG4 and IgE co-positive group found in idiopathic orbital inflammatory disease.
AB - AIM: To reveal the cytokines involved in idiopathic orbital inflammatory disease
(IOID) and the relationship between Th17 cells, IgE and IOID pathogenesis.
METHODS: Whole blood samples were processed immediately after collection and
serological IgG4, IgG, and IgE antibodies were tested using ELISA. IOID and
orbital cavernous hemangioma (CH) tissue samples underwent Bio-Plex multiplex
cytokine detection. Hematoxylin-Eosin (HE) staining of all paraffin samples
suggested the histological features of IOIDs, and expressions of IgG4 and IL-17A
in affected tissues were detected by immunohistochemistry. RESULTS: Among 40 IOID
plasma samples, 52.5% (21/40) were positive for IgG4 and 25% (10/40) were
positive for IgE. Overlapped IgG4 or IgE positive samples accounted for 22.5%
(9/40). Therefore, IOID samples were separated into three groups. The IgE+/IgG4+
group had a relevantly lower level of pro-inflammatory cytokine expression. IL-4
(Th2 cell related), IL-10 and TGF-beta1 (Treg cell immunity related) were
elevated in all three groups. Some of the Th17 cell related cytokines (i.e. IL
17A/F, IL-25, IL-23, and IL-33) displayed higher expression levels in the IgE
/IgG4- group compared to the other two groups. CONCLUSION: We discovered an IgG4
IgE co-positive group as well as Th17 cell immune involvement in IgG4-IgE co
negative subgtroup in IOID for the first time. The pathogenesis of IOID could
differ from different subgroups according to the IgG4 and IgE detection.
Therefore, we recommend that, Treatment stratagy should be made according to the
clinical assessment of IgG4-IgE and Th17 profile detection.
PMID- 29375989
TI - A comparative study of risk factors for corneal infection in diabetic and non
diabetic patients.
AB - AIM: To compare the clinical characteristics of infectious keratopathy in type 2
diabetes mellitus (T2DM) and non-diabetes mellitus (NDM) and to investigate risk
factors for infectious keratopathy in T2DM patients. METHODS: Totally 230
patients with T2DM and 168 with NDM diagnosed as infectious keratopathy were
hospitalized at Qingdao Eye Hospital from 2001 to 2015. Data including sex, age,
occupation, season, smoking and alcohol consumption habits, duration between
onset and treatments, duration of hospitalization were collected. Initially
identified indicators were analyzed with a multivariate logistic regression.
Glycosylated hemoglobin A1c (HbA1c) in patients with T2DM was analyzed. The
infectious keratopathies in the two groups were categorized and compared.
RESULTS: The diabetic group consisted of 146 (63.5%) males and 84 (36.5%)
females. The NDM group consisted of 111 (66.1%) males and 57 (33.9%) females.
There was no signigicantly difference in sex distribution between the two groups
(P>0.05). There were significant differences in age, occupation of patients,
season of the onset of diseases, duration between onset and treatment, and
durations of hospitalization between the two groups (P<0.05). In most of the
patients in the diabetic group, the duration between onset and treatment was
<=3mo, and most was >=3mo in the NDM group. Multivariate logistic regression
analysis revealed that age and season were related to the development of corneal
infection in the T2DM group (OR=1.709, 1.706). In the T2DM group, HbA1c was
9.09%+/-2.12%. There were statistically significant differences in the incidences
of bacterial keratitis and herpes simplex keratitis in the two groups (P<0.05),
but no significant statistical difference was found between fungal keratitis and
amoebic keratitis (P>0.05). CONCLUSION: Advanced age and the summer and winter
seasons are identified as risk factors for infectious keratopathy in T2DM
patients, and T2DM patients are more prone to bacterial keratitis.
PMID- 29375990
TI - 5-year follow-up of combined non-topography guided photorefractive keratectomy
and corneal collagen cross linking for keratoconus.
AB - AIM: To evaluate the visual outcomes of simultaneous non-topography guided
photorefractive keratectomy (PRK) and corneal collagen cross-linking (CXL) in
eyes with keratoconus 5y after the procedure. METHODS: Prospective,
interventional, non-randomized, and non-controlled case series design was used.
Sixty eyes of 30 patients (16 males and 14 females; age: 21-41y) with mild, non
progressive (stages 1-2) keratoconus were enrolled. Refraction, uncorrected
distance visual acuity (UDVA) and corrected distance visual acuity (CDVA), flat
and steep keratometry readings, and adverse events were evaluated preoperatively
and postoperatively. Data were collected preoperatively and postoperatively at
3mo, 1, 2, 3, 4, and 5y follow-up visits after combined non-topography-guided PRK
with CXL was performed. All patients had at least 5y of follow-up. RESULTS: All
study parameters showed a statistically significant improvement at 5y over
baseline values. The mean follow-up time was 68.20+/-4.71mo (range: 60-106mo).
Patients showed a significant improvement in UDVA from 1.24+/-0.79 logMAR prior
to combined non-TG-PRK+CXL to 0.06+/-0.15 logMAR postoperatively at the time of
their last follow-up visit. CDVA significantly increased from 0.06+/-0.19 logMAR
preoperatively to 0.03+/-0.12 logMAR postoperatively. A significant decrease in
the mean spherical equivalent (SE) refraction was observed from -2.28+/-1.8 to
0.79+/-0.93 diopters (D) (P<0.05), and the manifest sphere decreased from -1.62+/
1.23 to -0.27+/-0.21 D (P=0.001). The manifest cylinder significantly decreased
from -1.73+/-0.86 to -0.29+/-0.34 D postoperatively (P=0.001). The mean steep
keratometry was 45.13+/-1.32 vs 47.28+/-2.12 D preoperatively (P<0.05), and the
preoperative mean steepest keratometry (Kmax) 48.6+/-3.1 was reduced
significantly to 46.8+/-2.9 postoperatively (P<0.05). CONCLUSION: Combined non-TG
PRK with 15min CXL is an effective and safe option for correcting mild refractive
error and improving visual acuity in patients with mild stable keratoconus.
PMID- 29375991
TI - Descemet stripping automated endothelial keratoplasty in phakic eyes: incision
modification reducing cataract formation.
AB - AIM: To evaluate the efficacy of a technical modification to reduce the incidence
of traumatic cataract induced by Descemet stripping automated endothelial
keratoplasty (DSAEK) performed in phakic eyes. METHODS: A retrospective cohort
study. The records of all patients with a clear crystalline lens and endothelial
failure that underwent modified DSAEK at our insitution were reviewed. In this
modification, in order to avoid inadvertent touch of the insertion forceps
against the exposed crystalline lens while passing across the anterior chamber,
the incision sites were shifted from the standard 9 and 3 o'clock positions,
superiorly to the 10 and 2 o'clock position respectively. Formation of typically
traumatic, anterior subcapsular cataract in these patients was compared to that
observed in a cohort including all the patients with a clear crystalline lens and
endothelial failure that underwent conventional DSAEK at our institution.
RESULTS: The study group included 49 eyes following modified DSAEK and the
control group included 35 eyes following DSAEK with conventional incision sites.
Anterior subcapsular cataract occurring 4mo or less postoperatively was
identified in 2 of 49 (4%) eyes in the study group and 7 of 35 (20%) eyes in the
control group. The rates of traumatic cataract were significantly higher in the
control group in comparison to the study group (P=0.03, RR=4.9, 95%CI 1.08-22.1).
CONCLUSION: Traumatic cataract formation following phakic DSAEK may be avoided
with a simple modification to the position of the incision sites.
PMID- 29375992
TI - Effect of infusion pressure during cataract surgery on ganglion cells measured
using isolated-check visual evoked potential.
AB - AIM: To detect the relationship between infusion pressure and postoperative
ganglion cells function. METHODS: This prospective observational cohort study
included sixty-one eyes that underwent uncomplicated cataract surgery. Patients
were divided into two groups according to infusion time (IT) recorded using
surgery equipment [Group A: IT>ITmean (27 eyes); Group B: IT=20%; Criterion B: IOP <=18 mm Hg and decrease in IOP >=30%; Criterion C:
IOP <=15 mm Hg and decrease in IOP >=50%. Patients that met these criteria
without medical treatment were considered to be completely successful, while
those that met these criteria with medical treatment were considered partially
successful. Significance levels of differences between the POAG and PEG groups in
the Kaplan-Meier survival curves were calculated with the log-rank test. RESULTS:
Sixty-four eyes from 64 patients with PEG and 51 eyes from 51 patients with POAG
were evaluated. No significant differences were detected between the PEG and POAG
groups according to full or partial success relative to each of the three
criteria (A: P=0.73, 0.32; B: P=0.73, 0.31; C:P=0.90, 0.27). CONCLUSION: There is
no difference in the long-term success of trabeculectomy between PEG and POAG
patients whose clinical characteristics are otherwise the same.
PMID- 29375994
TI - Efficacy of selective laser trabeculoplasty following incisional glaucoma
surgery.
AB - AIM: To evaluate the efficacy of selective laser trabeculoplasty (SLT) in
glaucomatous eyes with previous incisional glaucoma surgery. METHODS: A
retrospective cohort of eyes that underwent SLT at a single institution from 2013
2015 were followed for 1y. Reduction in intraocular pressure (IOP) following SLT
was evaluated in eyes with prior trabeculectomy with ExPress mini shunt (Alcon,
Ft Worth, TX, USA), Ahmed valve (New World Medical, Cucamonga, CA, USA), or
combined phacoemulsification-trabeculectomy. A control group was included with
eyes without prior surgery that underwent SLT. Success was defined as >20% drop
in IOP from pre-SLT baseline. RESULTS: One-hundred and six eyes were included
with 53 in both the prior glaucoma surgery (PGS) and no prior glaucoma surgery
(NPGS) groups. Mean pre-SLT IOP was 19.2+/-4.3 and 20.6+/-6.0 mm Hg for PGS and
NPGS groups, respectively (P=0.17). Both groups produced statistically
significant IOP reductions at 1 and 6mo (P<0.04). At 6mo, mean IOP reduction
reached 7.3% and 10.8% for the PGS and NPGS groups, respectively (P=0.42).
Overall, 27.9% and 31.7% of eyes in PGS and NPGS groups met success criteria at
1y (P=0.70). In the PGS group, eyes with baseline IOP >=21 mm Hg had IOP
reductions of 18.1% (P<0.001), 16.7% (P<0.01), and 8.4% (P=0.31) compared to eyes
with baseline IOP <21 mm Hg who had IOP reductions of 2.3% (P=0.39), 3.4%
(P=0.19), and 1.1% (P=0.72) at 1, 6mo, and 1y, respectively. CONCLUSION: SLT is
efficacious in eyes with prior incisional glaucoma surgery and results in similar
IOP reductions compared to eyes without PGS. A larger IOP reduction is observed
following SLT in eyes with higher pre-SLT IOP.
PMID- 29375995
TI - Intravitreal dexamethasone implants for diabetic macular edema.
AB - AIM: To evaluate the safety and efficacy of a dexamethasone (DEX) intravitreal
implant for diabetic macular edema (DME). METHODS: Totally 113 eyes of 84
patients were divided in three subgroups: naive patients (n=11), pseudophakic
patients (n=72) and phakic patients (n=30). Inclusive criterion comprised adult
diabetic patients with central fovea thickening and impaired visual acuity
resulting from DME for whom previous standard treatments showed no improvement in
both central macular thickness (CMT) and best corrected visual acuity (BCVA)
after at least 3mo of treatment. Outcome data were obtained from patient visits
at baseline and at months 1, 3, 5, 9 and 12 after the first DEX implant
injection. At each of these visits, patients underwent measurement of BCVA, a
complete eye examination and measurement of CMT and macular volume (MV) carried
out with optical coherence tomography (OCT) images. RESULTS: Seventy-three eyes
(64.5%) received a single implant, 30 (26.5%) received two implants and 10 (9%)
received three implants. At baseline, average in BCVA, CMT and MV were 43.5+/
20.8, 462.8+/-145 and 12.6+/-2.5 respectively. These values improved
significantly at 1mo (BCVA: 47.2+/-19.5, CMT: 339.6+/-120, MV: 11.11+/-1.4) and
3mo (BCVA: 53.2+/-18.1, CMT: 353.8+/-141, MV: 11.3+/-1.3) (P<=0.05). At 5mo
(BCVA: 50.9+/-19.8, CMT: 425+/-150, MV: 12.27+/-2.3), 9mo (BCVA: 48.4+/-17.6,
CMT: 445.5+/-170, MV: 12.5+/-2.3) and 12mo (BCVA: 47.7+/-18.8, CMT: 413.2+/-149,
MV: 12.03+/-2.5), improvements in the three parameters were no longer
statistically significant and decreased progressively but did not reach baseline
values. There were no clinical differences between subgroups. Ocular
complications were minimal. CONCLUSION: Patients with DEX implants show maximum
efficacy at 3mo which then declined progressively, but is still better than
baseline values at the end of follow-up.
PMID- 29375996
TI - Final anatomic and visual outcomes appear independent of duration of silicone oil
intraocular tamponade in complex retinal detachment surgery.
AB - AIM: To report anatomic and visual outcomes following silicone oil removal in a
cohort of patients with complex retinal detachment, to determine association
between duration of tamponade and outcomes and to compare patients with oil
removed and those with oil in situ in terms of demographic, surgical and visual
factors. METHODS: We reported a four years retrospective case series of 143
patients with complex retinal detachments who underwent intraocular silicone oil
tamponade. Analysis between anatomic and visual outcomes, baseline demographics,
duration of tamponade and number of surgical procedures were carried out using
Fisher's exact test and unpaired two-tailed t-test. RESULTS: One hundred and six
patients (76.2%) had undergone silicone oil removal at the time of review with 96
patients (90.6%) showing retinal reattachment following oil removal. Duration of
tamponade was not associated with final reattachment rate or with a deterioration
in best corrected visual acuity (BCVA). Patients with oil removed had a
significantly better baseline and final BCVA compared to those under oil
tamponade (P=0.0001, <0.0001 respectively). CONCLUSION: Anatomic and visual
outcomes in this cohort are in keeping with those reported in the literature.
Favorable outcomes were seen with oil removal but duration of oil tamponade does
not affect final attachment rate with modern surgical techniques and should be
managed on a case by case basis.
PMID- 29375997
TI - Retinal ganglion cell-inner plexiform and nerve fiber layers in neuromyelitis
optica.
AB - AIM: To determine the thickness of the retinal ganglion cell-inner plexiform
layer (GCIPL) and the retinal nerve fiber layer (RNFL) in patients with
neuromyelitis optica (NMO). METHODS: We conducted a cross-sectional study that
included 30 NMO patients with a total of 60 eyes. Based on the presence or
absence of optic neuritis (ON), subjects were divided into either the NMO-ON
group (30 eyes) or the NMO-ON contra group (10 eyes). A detailed ophthalmologic
examination was performed for each group; subsequently, the GCIPL and the RNFL
were measured using high-definition optical coherence tomography (OCT). RESULTS:
In the NMO-ON group, the mean GCIPL thickness was 69.28+/-21.12 um, the minimum
GCIPL thickness was 66.02+/-10.02 um, and the RNFL thickness were 109.33+/-11.23,
110.47+/-3.10, 64.92+/-12.71 and 71.21+/-50.22 um in the superior, inferior,
temporal and nasal quadrants, respectively. In the NMO-ON contra group, the mean
GCIPL thickness was 85.12+/-17.09 um, the minimum GCIPL thickness was 25.39+/
25.1 um, and the RNFL thicknesses were 148.33+/-23.22, 126.36+/-23.45, 82.21+/
22.30 and 83.36+/-31.28 um in the superior, inferior, temporal and nasal
quadrants, respectively. In the control group, the mean GCIPL thickness was
86.98+/-22.37 um, the minimum GCIPL thickness was 85.28+/-10.75 um, and the RNFL
thicknesses were 150.22+/-22.73, 154.79+/-60.23, 82.33+/-7.01 and 85.62+/-13.81
um in the superior, inferior, temporal and nasal quadrants, respectively. The
GCIPL and RNFL were thinner in the NMO-ON contra group than in the control group
(P<0.05); additionally, the RNFL was thinner in the inferior quadrant in the NMO
ON group than in the control group (P<0.05). Significant correlations were
observed between the GCIPL and RNFL thickness measurements as well as between
thickness measurements and the two visual field parameters of mean deviation (MD)
and corrected pattern standard deviation (PSD) in the NMO-ON group (P<0.05).
CONCLUSION: The thickness of the GCIPL and RNFL, as measured using OCT, may
indicate optic nerve damage in patients with NMO.
PMID- 29375998
TI - Effect of body position on the pathogenesis of asymmetric primary open angle
glaucoma.
AB - AIM: To explore the pathogenesis of asymmetric primary open angle glaucoma (POAG)
in both eyes by comparing the intraocular pressure (IOP) of patients who sleep in
different positions and to investigate the relationship between IOP variations
and sleep positions. METHODS: One hundred and thirty-one patients with asymmetric
POAG and forty-six healthy volunteers were enrolled. All participants completed a
questionnaire that provided information about their sleep laterality. The cup
disc ratio (C/D) and visual field defect established binocular asymmetry. The IOP
of both eyes was measured using iCare parameters after the patients were asked to
change body position. The "worse" and "better" eyes were identified according to
the diagnosis, whereas the "dependent" and "independent" eyes were defined
according to the lateral position. RESULTS: No significant difference in sleep
laterality was observed between healthy people and patients with POAG (F=3.195,
P=0.362). Among the enrolled patients, the IOP of the dependent eye was always
greater than that of the independent eye in the lateral position (P<0.05). In the
patients with binocular asymmetric POAG, the questionnaire clearly showed that
85.7% of left side preferences were found their left eyes to be the worse eyes
and the right eyes of 71.4% patients with a right side preference were the more
serious. When the asymmetric C/D ratio was greater than or equal to 0.2, the
worse eye of patients with POAG and a preferred sleeping position was the
dependent eye (chi2 =16.762, P=0.001). CONCLUSION: A higher IOP was measured in
the dependent eye in the lateral position. The long-term tendency to choose a
lateral sleeping position might lead the dependent eye to manifest more severe
symptoms than the independent eye. Thus, the lateral sleeping position might be
one cause of asymmetric POAG.
PMID- 29375999
TI - Roles of the eye care workforce for task sharing in management of diabetic
retinopathy in Cambodia.
AB - AIM: To identify the current roles of eye and health care workers in eye care
delivery and investigate their potential roles in screening and detection for
management of diabetic retinopathy (DR) through task sharing. METHODS: Purposive
sampling of 24 participants including health administrators, members from non
government organizations and all available eye care workers in Takeo province
were recruited. This cross sectional mixed method study comprised a survey and in
depth interviews. Data were collected from medical records at Caritas Takeo Eye
Hospital (CTEH) and Kiri Vong District Referral Hospital Vision Centre, and a
survey and interviews with participants were done to explore the potential roles
for task sharing in DR management. Qualitative data were transcribed into a text
program and then entered into N-Vivo (version 10) software for data management
and analysis. RESULTS: From 2009 to 2012, a total of 105 178 patients were
examined and 14 030 eye surgeries were performed in CTEH by three
ophthalmologists supported by ophthalmic nurses in operating and eye examination
for patients. Between January 2011 and September 2012, 151 patients (72 males)
with retinal pathology including 125 (83%) with DR visited CTEH. In addition 170
patients with diabetes were referred to CTEH for eye examinations from Mo Po Tsyo
screening programs for people with diabetes. Factors favouring task sharing
included high demand for eye care services and scarcity of ophthalmologists.
CONCLUSION: Task sharing and team work for eye care services is functional.
Participants favor the potential role of ophthalmic nurses in screening for DR
through task sharing.
PMID- 29376000
TI - The Beijing Desheng Diabetic Eye Study: rationale, design, methodology and
baseline data.
AB - AIM: To present the rationale, design, methodology, and the baseline data of the
Beijing Desheng Diabetic Eye Study (BDDES), and to determine the prevalence of
diabetic retinopathy (DR) and possible risk factors in patients with type 2
diabetes mellitus (T2DM) in an urban community of Beijing, China. METHODS:
Community-based prospective cohort study of persons diagnosed with T2DM aged 30y
or older. The main variables of interest are the presence and progression of DR
as determined by the standardized ETDRS grading of seven fields fundus
photographs. The presence and severity of DR were analyzed for possible
correlations to non-genetic and genetic dispositions. RESULTS: A total of 1438
participants with data available for analysis, the prevalence of any DR was
35.4%. The prevalence of mild non-proliferative diabetic retinopathy (NPDR),
moderate NPDR, severe NPDR, and proliferative diabetic retinopathy was 27.7%,
2.6%, 0.5% and 4.5%, respectively. By multiple logistic regression analysis, risk
factors for the presence of any DR included male (P=0.031), lower income level
(P=0.011), lower education background (P=0.022), longer duration of diabetes
(P=0.001), younger age at diabetic onset (P=0.001), higher systolic blood
pressure (P=0.007), higher glycosylated hemoglobin A1c levels (P=0.001), high
albuminuria (P=0.03), and use of insulin (P<0.001). For vision-threatening DR,
four factors were significant: younger age at diabetic onset (P<0.001), higher
systolic blood pressure (P=0.042), high albuminuria (P<0.001), and use of insulin
(P<0.001). CONCLUSION: The BDDES is the first large-scale ongoing cohort study of
a Chinese urban population of persons with type 2 diabetes. Using standardized
grading system comparable to large cohort studies from western populations, our
baseline data shows that the prevalence of DR and major risk factors in this
Chinese ethnic population are comparable to that found in the western population
studies.
PMID- 29376001
TI - Genes and genetics in eye diseases: a genomic medicine approach for investigating
hereditary and inflammatory ocular disorders.
AB - Past 25y have witnessed an exponential increase in knowledge and understanding of
ocular diseases and their respective genetic underpinnings. As a result,
scientists have mapped many genes and their variants that can influence vision
and health of our eyes. Based on these findings, it is becoming clear that an
early diagnosis employing genetic testing can help evaluate patients' conditions
for instituting treatment plan(s) and follow-up care to avoid vision
complications later. For example, knowing family history becomes crucial for
inherited eye diseases as it can benefit members in family who may have similar
eye diseases or predispositions. Therefore, gathering information from an
elaborate examination along with complete assessment of past medical illness by
ophthalmologists followed by consultation with geneticists can help create a
roadmap for making diagnosis and treatment precise and beneficial. In this
review, we present an update on ocular genomic medicine that we believe has
tremendous potential towards unraveling genetic implications in ocular diseases
and patients' susceptibilities. We also discuss translational aspects of genetic
ophthalmology and genome engineering that may help advance molecular diagnostics
and therapeutics.
PMID- 29376002
TI - A feasibility study of using biodegradable magnesium alloy in glaucoma drainage
device.
AB - Technological advances in glaucoma have challenged the traditional treatment
paradigm. Historically incisional surgery has been used in cases of advanced
disease and/or uncontrolled intraocular pressures resistant to medical or laser
interventions. More recently, perhaps due to advancements in imaging, surgery has
been suggested to be beneficial earlier in the treatment paradigm. Despite these
trends, surgical manipulation of the tissues and unpredictability of wound
healing continue to result in surgical failure. Magnesium is an essential element
for human body and plays a critically important role in maintaining the
functional and structural integrity of several tissues, including the eye. Due to
several of its advantageous properties such as non-toxicity, biodegradability,
and high biological compatibility, magnesium alloy has attracted great attention
as a novel biomaterial. Biodegradable cardiovascular stents made of magnesium
alloy have already been introduced into clinical practice. The purpose of this
review is to determine if bioabsorbable magnesium alloys can be utilized as a
promising candidate for the development of a new generation of glaucoma surgical
assistive devices.
PMID- 29376005
TI - Amniotic membrane transplantation with topical interferon alfa-2b after excision
of ocular surface squamous neoplasia.
AB - To evaluate the outcome of amniotic membrane transplantation (AMT) after tumor
excision followed by topical interferon alfa-2b (IFNalpha2b) drops for primary
ocular surface squamous neoplasia (OSSN). Twelve eyes of 12 patients with a mean
age of 66+/-10y were included. The average follow-up was 23+/-10mo. All 12
patients had limbal involvement. Smooth ocular surface and transparent cornea
were achieved in all cases. No sign of inflammation, neovascularization,
symblepharon or recurrence was noted at the last follow-up. We conclude that AMT
with topical IFNalpha2b drops restores a healthy ocular surface in OSSN without
recurrence.
PMID- 29376006
TI - Prevalence of glaucoma in the Israeli Arab population.
AB - We describe the prevalence and treatment of glaucoma in a Muslim Arab population
in Israel. Based on the medical records of 15 122 persons, the overall prevalence
of glaucoma was 3.9%. Prevalence rates of primary open angle glaucoma (POAG) and
primary angle-closure glaucoma (PACG) were 3.0% and 0.42%, respectively.
Prevalence rates of women were 135% that of men considering all types of
glaucoma, 143% for POAG, and 96% for PACG. Prostaglandin analogs and beta
blockers, alone or combined with carbonic anhydrase inhibitors, were the
preferred medications. Of 68 patients who underwent trabeculectomy, 27 (39.7%)
required medications, postoperatively, for treatment of glaucoma; following Ex
Press shunt surgery, 3/11 (27.3%) required medications. During the last three
years, 16 (1.3%) individuals with POAG were recorded as legally blind as a result
of glaucoma.
PMID- 29376003
TI - The role of microglia in the progression of glaucomatous neurodegeneration- a
review.
AB - Glaucoma is a serious leading cause of irreversible blindness worldwide. Reducing
intraocular pressure (IOP) does not always stop glaucomatous neurodegeneration
and the optic nerve may continue to be damaged in the normal IOP. Microglial
activity has been recognized to play essential roles in pathogenesis of the
central nervous system (CNS) as well as retinal ganglion cell (RGC) survival. The
relationship between the neurodegeneration and the microglia cells in glaucoma is
very complicated and still remains unclear. In the present review, we summarize
the recent studies of mechanisms of microglia in glaucoma neurodegeneration,
which might provide new ways to treat glaucoma.
PMID- 29376007
TI - Effect of two different doses of intravitreal bevacizumab with temporal retina
sparing laser photocoagulation for retinopathy of prematurity.
AB - This study aims to compare the efficacy and safety between two different doses of
intravitreal bevacizumab (IVB) injection with temporal retina-sparing laser
(TRSL) photocoagulation for retinopathy of prematurity (ROP). We retrospectively
evaluated 22 eyes of ROP infants who underwent IVB combined with partial TRSL for
stage 3+ zone I or posterior zone II ROP. Laser photocoagulation was applied on
the avascular retina, sparing two-disc-diameter width temporal avascular area
anterior to ridge. A half dose (0.625 mg) or minimal dose (0.25 mg) of IVB was
conducted. Four eyes in minimal dose group were retreated with IVB and laser
photocoagulation on the spared retina. Of those 4 retreated eyes, three developed
preretinal hemorrhage around the ridge after the first treatment, resulting in
fibrotic macular dragging. A half dose of IVB may be more effective than a
minimal dose with partial TRSL for ROP. Preretinal hemorrhage may be a harbinger
of poor prognosis.
PMID- 29376004
TI - Application of stem cell-derived retinal pigmented epithelium in retinal
degenerative diseases: present and future.
AB - As a constituent of blood-retinal barrier and retinal outer segment (ROS)
scavenger, retinal pigmented epithelium (RPE) is fundamental to normal function
of retina. Malfunctioning of RPE contributes to the onset and advance of retinal
degenerative diseases. Up to date, RPE replacement therapy is the only possible
method to completely reverse retinal degeneration. Transplantation of human RPE
stem cell-derived RPE (hRPESC-RPE) has shown some good results in animal models.
With promising results in terms of safety and visual improvement, human embryonic
stem cell-derived RPE (hESC-RPE) can be expected in clinical settings in the near
future. Despite twists and turns, induced pluripotent stem cell-derived RPE (iPSC
RPE) is now being intensely investigated to overcome genetic and epigenetic
instability. By far, only one patient has received iPSC-RPE transplant, which is
a hallmark of iPSC technology development. During follow-up, no major
complications such as immunogenicity or tumorigenesis have been observed. Future
trials should keep focusing on the safety of stem cell-derived RPE (SC-RPE)
especially in long period, and better understanding of the nature of stem cell
and the molecular events in the process to generate SC-RPE is necessary to the
prosperity of SC-RPE clinical application.
PMID- 29376008
TI - Tissue plasminogen activator-assisted vitrectomy in the early treatment of acute
massive suprachoroidal hemorrhage complicating cataract surgery.
PMID- 29376009
TI - A new treatment option for the resistant spasm of accommodation: clear lens
extraction and multifocal intraocular lens implantation.
PMID- 29376012
TI - Fertility preservation during cancer treatment: The Korean Society for Fertility
Preservation clinical guidelines.
AB - While many fertility preservation (FP) options now exist for reproductive-aged
cancer patients, access to these services continues to be limited. A
comprehensive FP program should be organized to serve oncofertility patients
effectively. Also, much effort is needed from various individuals-patients,
specialists from various fields, and consultants-to facilitate FP in a timely
manner. Various challenges still exist in improving access to FP programs. To
improve access to FP treatment, it is important to educate oncologists and
patients via electronic tools and to actively navigate patients through the
system. Reproductive endocrinology practices that receive oncofertility referrals
must be equipped to provide a full range of options on short notice. A
multidisciplinary team approach is required, involving physicians, nurses, mental
health professionals, office staff, and laboratory personnel. The bottom line of
FP patient care is to understand the true nature of each patient's specific
situation and to develop a patient flow system that will help build a successful
FP program. Expanding the patient flow system to all comprehensive cancer centers
will ensure that all patients are provided with adequate information regarding
their fertility, regardless of geography.
PMID- 29376011
TI - Developing a deeper insight into reproductive biomarkers.
AB - The development of biomarkers of reproductive medicine is still in its infancy
because many black boxes are still present in reproductive medicine. Novel
approaches to human infertility diagnostics and treatment must be developed
because reproductive medicine has lagged behind in the implementation of
biomarkers in clinical medicine. Despite the dearth of the available literature,
the current rapid pace of publications suggests that this gap will soon be filled
therefore; this review is a precis of the research that has been done so far and
will provide a basis for the development of biomarkers in reproductive medicine.
PMID- 29376013
TI - Fertility preservation for patients with gynecologic malignancies: The Korean
Society for Fertility Preservation clinical guidelines.
AB - Fertility preservation plays a central role in cancer care since an increasingly
large number of cancer patients are surviving as a result of improvements in
diagnostic and therapeutic strategies. Physicians who take part in the initial
diagnosis and management of gynecologic cancer should understand the importance
of fertility preservation. Since indications for fertility preservation are
limited to early-stage gynecologic cancer, a surgeon must carefully consider each
indication. Before performing fertility-sparing surgery, health professionals
should compare its oncologic and pregnancy outcomes with those of other standard
treatments. Individualized treatment strategies should be delivered depending on
the patient's situation, and physicians should provide timely information and
appropriate counseling.
PMID- 29376014
TI - Fertility preservation for patients with breast cancer: The Korean Society for
Fertility Preservation clinical guidelines.
AB - With advances in the methods of cancer treatment used in modern medicine, the
number of breast cancer survivors has been consistently rising. As the number of
women who wish to become pregnant after being diagnosed with breast cancer
increases, it is necessary to consider fertility preservation in these patients.
However, medical doctors may be unaware of the importance of fertility
preservation among cancer patients because most patients do not share their
concerns about fertility with their doctors. Considering the time spent choosing
and undergoing treatment, an early referral to a reproductive specialist is the
best way to prevent a delay in cancer treatment. Since it is not easy to make
decisions on matters related to cancer diagnosis and fertility, patients should
be provided with enough time for decision-making, and to allow for this, an early
referral will provide patients with sufficient time to choose an appropriate
method of fertility preservation. The currently available options of fertility
preservation for patients with breast cancer include cryopreservation of embryos,
oocytes, and ovarian tissue and gonadotropin-releasing hormone agonist treatment
before and during chemotherapy. An appropriate method of fertility preservation
must be selected through consultations between individual patients and health
professionals and analyses of the pros and cons of different options.
PMID- 29376015
TI - Fertility preservation for patients with hematologic malignancies: The Korean
Society for Fertility Preservation clinical guidelines.
AB - Although the survival rate of hematologic malignancies in young patients is very
high, cytotoxic therapies such as chemotherapy and total body irradiation therapy
can significantly reduce a patient's reproductive capacity and cause irreversible
infertility. Early ovarian failure also commonly occurs following additional
cancer treatment, bone marrow transplantation, or autologous transplantation.
Because the risk of early ovarian failure depends on the patient's circumstances,
patients with a hematologic malignancy must consult health professionals
regarding fertility preservation before undergoing treatments that can
potentially damage their ovaries. While it is widely known that early menopause
commonly occurs following breast cancer treatment, there is a lack of reliable
study results regarding fertility preservation during hematologic malignancy
treatment. Therefore, an in-depth discussion between patients and health
professionals about the pros and cons of the various options for fertility
preservation is necessary. In this study, we review germ cell toxicity, which
occurs during the treatment of hematologic malignancies, and propose guidelines
for fertility preservation in younger patients with hematologic malignancies.
PMID- 29376016
TI - Effects of laser-assisted hatching and exposure time to vitrification solution on
mouse embryo development.
AB - Objective: This study was conducted to investigate the efficacy of laser-assisted
hatching (LAH) and various vitrification times for embryonic development and
blastocyst cell numbers. Methods: First, 2-cell and 8-cell embryos were collected
by flushing out the oviducts. In the control groups, they were vitrified for 8 or
10 minutes without LAH. The LAH groups underwent quarter laser zona thinning
assisted hatching before vitrification (4, 6, and 8 minutes or 4, 7, and 10
minutes, respectively). After incubation, double-immunofluorescence staining was
performed. Results: The hatched blastocyst rate 72 hours after the 2-cell embryos
were thawed was significantly higher in the 2LAH-ES8 group (33.3%) than in the
other groups (p<0.05). In the control-8 group (22.1+/-4.6), the cell number of
the inner cell mass was higher than in the LAH groups (p<0.05). The number of
trophectoderm cells was higher in the 2LAH-ES6 group (92.8+/-8.9) than in the
others (p<0.05). The hatched blastocyst rate 48 hours after the 8-cell embryos
were thawed was higher in the 8LAH-ES4 group (45.5%) than in the other groups,
but not significantly. The inner cell mass cell number was highest in the 8LAH
ES7 group (19.5+/-5.1, p<0.05). The number of trophectoderm cells was higher in
the 8LAH-ES10 group (73.2+/-12.1) than in the other groups, but without
statistical significance. Conclusion: When LAH was performed, 2-cell embryos with
large blastomeres had a lower hatched blastocyst rate when the exposure to
vitrification solution was shorter. Conversely, 8-cell embryos with small
blastomere had a higher hatched blastocyst rate when the exposure to
vitrification solution was shorter.
PMID- 29376017
TI - Sperm DNA fragmentation and sex chromosome aneuploidy after swim-up versus
density gradient centrifugation.
AB - Objective: The aim of this study was to compare the efficacy of swim-up and
density gradient centrifugation (DGC) for reducing the amount of sperm with
fragmented DNA, sex chromosome aneuploidy, and abnormal chromatin structure.
Methods: Semen samples were obtained from 18 healthy male partners who attended
infertility clinics for infertility investigations and were processed with swim
up and DGC. The percentages of sperm cells with fragmented DNA measured by the
sperm chromatin dispersion test, normal sex chromosomes assessed by fluorescence
in situ hybridization, and abnormal chromatin structure identified by toluidine
blue staining were examined. Results: The percentage of sperm cells with
fragmented DNA was significantly lower in the swim-up fraction (9.7%, p=0.001)
than in the unprocessed fraction (27.0%), but not in the DGC fraction (27.8%,
p=0.098). The percentage of sperm cells with normal X or Y chromosomes was
comparable in the three fractions. The percentage of sperm cells with abnormal
chromatin structure significantly decreased after DGC (from 15.7% to 10.3%,
p=0.002). The swim-up method also tended to reduce the percentage of sperm cells
with abnormal chromatin structure, but the difference was not significant (from
15.7% to 11.6%, p=0.316). Conclusion: The swim-up method is superior for
enriching genetically competent sperm.
PMID- 29376018
TI - Effects of infections with five sexually transmitted pathogens on sperm quality.
AB - Objective: This study investigated the prevalence of infections with human
papillomavirus, Chlamydia trachomatis, Ureaplasma urealyticum, Mycoplasma
hominis, and Mycoplasma genitalium in the semen of Korean infertile couples and
their associations with sperm quality. Methods: Semen specimens were collected
from 400 men who underwent a fertility evaluation. Infection with above five
pathogens was assessed in each specimen. Sperm quality was compared in the
pathogen-infected group and the non-infected group. Results: The infection rates
of human papillomavirus, C. trachomatis, U. urealyticum, M. hominis, and M.
genitalium in the study subjects were 1.57%, 0.79%, 16.80%, 4.46%, and 1.31%,
respectively. The rate of morphological normality in the U. urealyticum-infected
group was significantly lower than in those not infected with U. urealyticum. In
a subgroup analysis of normozoospermic samples, the semen volume and the total
sperm count in the pathogen-infected group were significantly lower than in the
non-infected group. Conclusion: Our results suggest that infection with U.
urealyticum alone and any of the five sexually transmitted infections are likely
to affect sperm morphology and semen volume, respectively.
PMID- 29376019
TI - T helper cell subsets and related cytokines in infertile women undergoing in
vitro fertilization before and after seminal plasma exposure.
AB - Objective: In vitro fertilization (IVF) is a well-known method for the treatment
of infertility. The present study aimed to compare the differences between
infertile women with successful and unsuccessful IVF outcomes regarding the
expression of T helper (Th) cell transcription factors and a group of related
cytokines before and after exposure to their husbands' seminal plasma. Methods:
This study was performed on 19 couples with unexplained infertility undergoing
IVF treatment. Among the studied group, nine and 10 couples had successful and
unsuccessful IVF outcomes, respectively. This study was carried out using real
time polymerase chain reaction. Results: Before seminal plasma exposure, the
expression levels of T-bet (p<0.007), interferon-gamma (p=0.013), and tumor
necrosis factor (TNF)-alpha (p=0.017) were higher in the infertile women with IVF
failure than in those with successful IVF outcomes, while those of GATA3
(p<0.001), Foxp3 (p=0.001), and interleukin (IL)-35 (p<0.003) were lower. After
seminal exposure, the expression of T-bet (p=0.02), Rorc (p<0.001), TNF-alpha
(p=0.001), Foxp3 (p=0.02), and interferon-gamma (p=0.001) increased in the
unsuccessful IVF group, while the expression of Foxp3 (p=0.02), Rorc (p<0.001),
IL-23 (p=0.04), IL-17 (p=0.02), IL-6 (p<0.001), transforming growth factor-beta
(p=0.01), and IL-35 (p<0.001) increased in the successful IVF group. Conclusion:
In summary, IVF failure was associated with imbalanced Th1/Th2/Th17/Treg
responses. Moreover, our results show that seminal plasma might have a positive
effect on IVF outcomes via changes in peripheral blood T cell subsets.
PMID- 29376020
TI - Impact of sperm DNA fragmentation on clinical in vitro fertilization outcomes.
AB - Objective: We studied the association between sperm DNA fragmentation (SDF) and
several clinical in vitro fertilization outcomes. Methods: We retrospectively
analyzed 169 consecutive fresh IVF cycles. Semen was collected on the day of
oocyte retrieval, and we assessed standard semen parameters and the SDF level (by
terminal deoxynucleotidyl transferase dUTP nick-end labeling). Poor ovarian
response (POR) was defined as the collection of three or fewer mature oocytes.
Oocytes were inseminated by the conventional method or intracytoplasmic sperm
injection. Results: SDF did not affect the fertilization or pregnancy rate, but
did have a significant effect on the miscarriage rate. In the miscarriage group
(n=10), the SDF level was significantly higher (23.9% vs. 14.1%) and number of
mature oocytes was significantly lower (4.3 vs. 7.6) than in the live birth group
(n=45). Multiple regression analysis showed that SDF was an independent predictor
of miscarriage (odds ratio, 1.051; 95% confidence interval, 1.001-1.104). The
cutoffs for the SDF level and number of mature oocytes that could predict
miscarriage were >13% and <=3, respectively. In the low-SDF group (<=13%), the
miscarriage rate was similar in POR patients and those with a normal ovarian
response (NOR; 14.2% vs. 4.3%). In the high-SDF group (>13%), the miscarriage
rate was significantly higher in the POR group than in the NOR group (60.0% vs.
13.3%, p=0.045). Conclusion: Our study demonstrated that a high SDF level (>13%)
was associated with a high miscarriage rate, and that it mainly contributed to
miscarriage in the POR group. The results suggest that SDF measurements should be
considered in couples with POR in order to predict the prognosis of the
pregnancy.
PMID- 29376021
TI - Reduction of the cetrorelix dose in a multiple-dose antagonist protocol and its
impact on pregnancy rate and affordability: A randomized controlled multicenter
study.
AB - Objective: To determine whether reducing the cetrorelix dose in the antagonist
protocol to 0.125 mg had any deleterious effects on follicular development, the
number and quality of retrieved oocytes, or the number of embryos, and to
characterize its effects on the affordability of assisted reproductive
technology. Methods: This randomized controlled study was conducted at the
Fertility Unit of Tanta Educational Hospital of Tanta University, the Egyptian
Consultants' Fertility Center, and the Qurrat Aien Fertility Center, from January
1 to June 30, 2017. Patients' demographic data, stimulation protocol, costs,
pregnancy rate, and complications were recorded. Patients were randomly allocated
into two groups: group I (n=61) received 0.125 mg of cetrorelix (the study
group), and group II (n=62) received 0.25 mg of cetrorelix (the control group).
Results: The demographic data were comparable regarding age, parity, duration of
infertility, and body mass index. The dose of recombinant follicle-stimulating
hormone units required was 2,350.43+/-150.76 IU in group I and 2,366.25+/-140.34
IU in group II, which was not a significant difference (p=0.548). The duration of
stimulation, number of retrieved oocytes, and number of developed embryos were
not significantly different between the groups. The clinical and ongoing
pregnancy rates likewise did not significantly differ. The cost of
intracytoplasmic sperm injection per cycle was significantly lower in group I
than in group II (US $494.66+/-4.079 vs. US $649.677+/-43.637). Conclusion:
Reduction of the cetrorelix dose in the antagonist protocol was not associated
with any significant difference either in the number of oocytes retrieved or in
the pregnancy rate. Moreover, it was more economically feasible for patients in a
low-resource country.
PMID- 29376022
TI - Editorial: How Reproductive History Influences Our Breast Cancer Risk.
PMID- 29376024
TI - Editorial: Murine Models of Leukemia and Lymphoma.
PMID- 29376023
TI - Glutamine Transport and Mitochondrial Metabolism in Cancer Cell Growth.
AB - The concept that cancer is a metabolic disease is now well acknowledged: many
cancer cell types rely mostly on glucose and some amino acids, especially
glutamine for energy supply. These findings were corroborated by overexpression
of plasma membrane nutrient transporters, such as the glucose transporters
(GLUTs) and some amino acid transporters such as ASCT2, LAT1, and ATB0,+, which
became promising targets for pharmacological intervention. On the basis of their
sodium-dependent transport modes, ASCT2 and ATB0+ have the capacity to sustain
glutamine need of cancer cells; while LAT1, which is sodium independent will have
the role of providing cancer cells with some amino acids with plausible signaling
roles. According to the metabolic reprogramming of many types of cancer cells,
glucose is mainly catabolized by aerobic glycolysis in tumors, while the fate of
Glutamine is completed at mitochondrial level where the enzyme Glutaminase
converts Glutamine to Glutamate. Glutamine rewiring in cancer cells is
heterogeneous. For example, Glutamate is converted to alpha-Ketoglutarate giving
rise to a truncated form of Krebs cycle. This reprogrammed pathway leads to the
production of ATP mainly at substrate level and regeneration of reducing
equivalents needed for cells growth, redox balance, and metabolic energy. Few
studies on hypothetical mitochondrial transporter for Glutamine are reported and
indirect evidences suggested its presence. Pharmacological compounds able to
inhibit Glutamine metabolism may represent novel drugs for cancer treatments.
Interestingly, well acknowledged targets for drugs are the Glutamine transporters
of plasma membrane and the key enzyme Glutaminase.
PMID- 29376025
TI - Deep Deconvolutional Neural Network for Target Segmentation of Nasopharyngeal
Cancer in Planning Computed Tomography Images.
AB - Background: Radiotherapy is one of the main treatment methods for nasopharyngeal
carcinoma (NPC). It requires exact delineation of the nasopharynx gross tumor
volume (GTVnx), the metastatic lymph node gross tumor volume (GTVnd), the
clinical target volume (CTV), and organs at risk in the planning computed
tomography images. However, this task is time-consuming and operator dependent.
In the present study, we developed an end-to-end deep deconvolutional neural
network (DDNN) for segmentation of these targets. Methods: The proposed DDNN is
an end-to-end architecture enabling fast training and testing. It consists of two
important components: an encoder network and a decoder network. The encoder
network was used to extract the visual features of a medical image and the
decoder network was used to recover the original resolution by deploying
deconvolution. A total of 230 patients diagnosed with NPC stage I or stage II
were included in this study. Data from 184 patients were chosen randomly as a
training set to adjust the parameters of DDNN, and the remaining 46 patients were
the test set to assess the performance of the model. The Dice similarity
coefficient (DSC) was used to quantify the segmentation results of the GTVnx,
GTVnd, and CTV. In addition, the performance of DDNN was compared with the VGG-16
model. Results: The proposed DDNN method outperformed the VGG-16 in all the
segmentation. The mean DSC values of DDNN were 80.9% for GTVnx, 62.3% for the
GTVnd, and 82.6% for CTV, whereas VGG-16 obtained 72.3, 33.7, and 73.7% for the
DSC values, respectively. Conclusion: DDNN can be used to segment the GTVnx and
CTV accurately. The accuracy for the GTVnd segmentation was relatively low due to
the considerable differences in its shape, volume, and location among patients.
The accuracy is expected to increase with more training data and combination of
MR images. In conclusion, DDNN has the potential to improve the consistency of
contouring and streamline radiotherapy workflows, but careful human review and a
considerable amount of editing will be required.
PMID- 29376026
TI - Planning Today for Tomorrow's Research: Analysis of Factors Influencing
Participation in a Pediatric Cancer Research Biorepository.
AB - Background: Biobanks have become a powerful tool that fosters biomedical
research. The success of biobanks depends upon people's perception and
willingness to donate their samples for research. This is the first biorepository
in Egypt, hence, little is known about the beliefs and attitudes of parents
toward participation. Aim: To investigate the level of willingness of Egyptians
to donate samples of their children and themselves for research and the different
factors influencing participation. Materials and methods: A structured
questionnaire was designed covering multiple items expected to affect the
enrollment decision. This was conducted in-person, and data collected included
demographic data, socioeconomic, and educational level. In addition, in the case
of refusal, participants were asked about reasons behind their decision. Results:
Only about 3.1% of patients have not been enrolled in the project, and 0.3% have
withdrawn. Three demographic factors were found having disparate trends in the
decision-making process to participate or not: father's education (p = 0.0001),
mother's education (p = 0.0001), and father's age (p = 0.034). Conclusion:
Egyptian parents were willing to donate their samples as well as their children's
samples in our research biorepository. The idea of participation was presented in
an interview during which the consent form was explained in a comprehensive
transparent way allowing participants the right to refuse or withdraw at any
time. Still, different communication approaches are needed with older, more
highly educated parents to encourage them to participate.
PMID- 29376028
TI - Pediatric Anaplastic Embryonal Rhabdomyosarcoma: Targeted Therapy Guided by
Genetic Analysis and a Patient-Derived Xenograft Study.
AB - Therapy for rhabdomyosarcoma (RMS) has generally been limited to combinations of
conventional cytotoxic agents similar to regimens originally developed in the
late 1960s. Recently, identification of molecular alterations through next
generation sequencing of individual tumor specimens has facilitated the use of
more targeted therapeutic approaches for various malignancies. Such targeted
therapies have revolutionized treatment for some cancer types. However,
malignancies common in children, thus far, have been less amenable to such
targeted therapies. This report describes the clinical course of an 8-year-old
female with embryonal RMS having anaplastic features. This patient experienced
multiple relapses after receiving various established and experimental therapies.
Genomic testing of this RMS subtype revealed mutations in BCOR, ARID1A, and SETD2
genes, each of which contributes to epigenetic regulation and interacts with or
modifies the activity of histone deacetylases (HDAC). Based on these findings,
the patient was treated with the HDAC inhibitor vorinostat as a single agent. The
tumor responded transiently followed by subsequent disease progression. We also
examined the efficacy of vorinostat in a patient-derived xenograft (PDX) model
developed using tumor tissue obtained from the patient's most recent tumor
resection. The antitumor activity of vorinostat observed with the PDX model
reflected clinical observations in that obvious areas of tumor necrosis were
evident following exposure to vorinostat. Histologic sections of tumors harvested
from PDX tumor-bearing mice treated with vorinostat demonstrated induction of
necrosis by this agent. We propose that the evaluation of clinical efficacy in
this type of preclinical model merits further evaluation to determine if PDX
models predict tumor sensitivity to specific agents and/or combination therapies.
PMID- 29376027
TI - Efficacy of Complementary Therapies in the Quality of Life of Breast Cancer
Survivors.
AB - Breast cancer (BC) is the most common cancer diagnosed in women and the second
most common cancer overall, ranking as the fifth cause of death from cancer. The
chronicity of the disease produces long-term physiological and psychological
manifestations, which adversely affect the quality of life of the individual. The
primary treatment while managing cancer presents with various debilitating side
effects. With the recent advances in treatment techniques that have improved the
survival rate, patients suffer from continuing posttreatment complications.
Patients seem to cope well with the stress of treatment of BC and sustain a
normal life; however, the deterioration in physical well-being makes the patient
functionally inefficient. Exercise has been proven to be an effective, safe, and
feasible tool in combating the adverse effects of treatment, prevents
complications and decreases the risk of BC-specific mortality. This review
briefly presents an overview of the burden of the disease and its management
strategies. Owing to the heterogeneity of the population and the multitude of
therapies they receive, the response of each patient to treatment is different
and so is the magnitude of adverse effects. The review discusses the late
sequelae following treatment and evidence supporting the role of physical
activity in their management. In conclusion, there is a need for personalized
physical activity plans to be developed to suit the individual and their
circumstances.
PMID- 29376029
TI - Hijacking of the Host Ubiquitin Network by Legionella pneumophila.
AB - Protein ubiquitination is critical for regulation of numerous eukaryotic cellular
processes such as protein homeostasis, cell cycle progression, immune response,
DNA repair, and vesicular trafficking. Ubiquitination often leads to the
alteration of protein stability, subcellular localization, or interaction with
other proteins. Given the importance of ubiquitination in the regulation of host
immunity, it is not surprising that many infectious agents have evolved
strategies to interfere with the ubiquitination network with sophisticated
mechanisms such as functional mimicry. The facultative intracellular pathogen
Legionella pneumophila is the causative agent of Legionnaires' disease. L.
pneumophila is phagocytosed by macrophages and is able to replicate within a
niche called Legionella-containing vacuole (LCV). The biogenesis of LCV is
dependent upon the Dot/Icm type IV secretion system which delivers more than 330
effector proteins into host cytosol. The optimal intracellular replication of L.
pneumophila requires the host ubiquitin-proteasome system. Furthermore, membranes
of the bacterial phagosome are enriched with ubiquitinated proteins in a way that
requires its Dot/Icm type IV secretion system, suggesting the involvement of
effectors in the manipulation of the host ubiquitination machinery. Here we
summarize recent advances in our understanding of mechanisms exploited by L.
pneumophila effector proteins to hijack the host ubiquitination pathway.
PMID- 29376030
TI - Microbial Pre-exposure and Vectorial Competence of Anopheles Mosquitoes.
AB - Anopheles female mosquitoes can transmit Plasmodium, the malaria parasite. During
their aquatic life, wild Anopheles mosquito larvae are exposed to a huge
diversity of microbes present in their breeding sites. Later, adult females often
take successive blood meals that might also carry different micro-organisms,
including parasites, bacteria, and viruses. Therefore, prior to Plasmodium
ingestion, the mosquito biology could be modulated at different life stages by a
suite of microbes present in larval breeding sites, as well as in the adult
environment. In this article, we highlight several naturally relevant scenarios
of Anopheles microbial pre-exposure that we assume might impact mosquito
vectorial competence for the malaria parasite: (i) larval microbial exposures;
(ii) protist co-infections; (iii) virus co-infections; and (iv) pathogenic
bacteria co-infections. In addition, significant behavioral changes in African
Anopheles vectors have been associated with increasing insecticide resistance. We
discuss how these ethological modifications may also increase the repertoire of
microbes to which mosquitoes could be exposed, and that might also influence
their vectorial competence. Studying Plasmodium-Anopheles interactions in natural
microbial environments would efficiently contribute to refining the transmission
risks.
PMID- 29376031
TI - The Chlamydia pneumoniae Tarp Ortholog CPn0572 Stabilizes Host F-Actin by
Displacement of Cofilin.
AB - Pathogenic Chlamydia species force entry into human cells via specific adhesin
receptor interactions and subsequently secrete effector proteins into the host
cytoplasm, which in turn modulate host-cell processes to promote infection. One
such effector, the C. trachomatis Tarp factor, nucleates actin polymerization in
vitro. Here we show that its C. pneumoniae ortholog, CPn0572, associates with
actin patches upon bacterial invasion. GFP-CPn0572 ectopically expressed in yeast
and human cells co-localizes with actin patches and distinctly aberrantly
thickened and extended actin cables. A 59-aa DUF 1547 (DUF) domain, which
overlaps with the minimal actin-binding and protein oligomerization fragment
required for actin nucleation in other Tarp orthologs, is responsible for the
aberrant actin phenotype in yeast. Interestingly, GFP-CPn0572 in human cells
associated with and led to the formation of non-actin microfilaments. This
phenotype is strongly enhanced in human cells expressing the GFP-tagged DUF
deletion variant (GFP-DeltaDUF). Finally ectopic CPn0572 expression in yeast and
in-vitro actin filament binding assays, demonstrated that CPn0572 stabilizes pre
assembled F-actin by displacing and/or inhibiting binding of the actin-severing
protein cofilin. Remarkably, the DUF domain suffices to displace cofilin from F
actin. Thus, in addition to its actin-nucleating activities, the C. pneumoniae
CPn0572 also stabilizes preformed host actin filaments.
PMID- 29376032
TI - Amoebae, Giant Viruses, and Virophages Make Up a Complex, Multilayered Threesome.
AB - Viral infection had not been observed for amoebae, until the Acanthamoeba
polyphaga mimivirus (APMV) was discovered in 2003. APMV belongs to the
nucleocytoplasmatic large DNA virus (NCLDV) family and infects not only A.
polyphaga, but also other professional phagocytes. Here, we review the
Megavirales to give an overview of the current members of the Mimi- and
Marseilleviridae families and their structural features during amoebal infection.
We summarize the different steps of their infection cycle in A. polyphaga and
Acanthamoeba castellani. Furthermore, we dive into the emerging field of
virophages, which parasitize upon viral factories of the Megavirales family. The
discovery of virophages in 2008 and research in recent years revealed an
increasingly complex network of interactions between cell, giant virus, and
virophage. Virophages seem to be highly abundant in the environment and occupy
the same niches as the Mimiviridae and their hosts. Establishment of metagenomic
and co-culture approaches rapidly increased the number of detected virophages
over the recent years. Genetic interaction of cell and virophage might constitute
a potent defense machinery against giant viruses and seems to be important for
survival of the infected cell during mimivirus infections. Nonetheless, the
molecular events during co-infection and the interactions of cell, giant virus,
and virophage have not been elucidated, yet. However, the genetic interactions of
these three, suggest an intricate, multilayered network during amoebal (co
)infections. Understanding these interactions could elucidate molecular events
essential for proper viral factory activity and could implicate new ways of
treating viruses that form viral factories.
PMID- 29376034
TI - Novel Cysteine Desulfidase CdsB Involved in Releasing Cysteine Repression of
Toxin Synthesis in Clostridium difficile.
AB - Clostridium difficile, a major cause of nosocomial diarrhea and pseudomembranous
colitis, still poses serious health-care challenges. The expression of its two
main virulence factors, TcdA and TcdB, is reportedly repressed by cysteine, but
molecular mechanism remains unclear. The cysteine desulfidase CdsB affects the
virulence and infection progresses of some bacteria. The C. difficile strain 630
genome encodes a homolog of CdsB, and in the present study, we analyzed its role
in C. difficile 630Deltaerm by constructing an isogenic ClosTron-based cdsB
mutant. When C. difficile was cultured in TY broth supplemented with cysteine,
the cdsB gene was rapidly induced during the exponential growth phase. The
inactivation of cdsB not only affected the resistance of C. difficile to
cysteine, but also altered the expression levels of intracellular cysteine
degrading enzymes and the production of hydrogen sulfide. This suggests that C.
difficile CdsB is a major inducible cysteine-degrading enzyme. The inactivation
of the cdsB gene in C. difficile also removed the cysteine-dependent repression
of toxin production, but failed to remove the Na2S-dependent repression, which
supports that the cysteine-dependent repression of toxin production is probably
attributable to the accumulation of cysteine by-products. We also mapped a
delta54 (SigL)-dependent promoter upstream from the cdsB gene, and cdsB
expression was not induced in response to cysteine in the cdsR::ermB or
sigL::ermB strain. Using a reporter gene fusion analysis, we identified the
necessary promoter sequence for cysteine-dependent cdsB expression. Taken
together, these results indicate that CdsB is a key inducible cysteine
desulfidase in C. difficile which is regulated by delta54 and CdsR in response to
cysteine and that cysteine-dependent regulation of toxin production is closely
associated with cysteine degradation.
PMID- 29376033
TI - When Dicty Met Myco, a (Not So) Romantic Story about One Amoeba and Its
Intracellular Pathogen.
AB - In recent years, Dictyostelium discoideum has become an important model organism
to study the cell biology of professional phagocytes. This amoeba not only shares
many molecular features with mammalian macrophages, but most of its fundamental
signal transduction pathways are conserved in humans. The broad range of existing
genetic and biochemical tools, together with its suitability for cell culture and
live microscopy, make D. discoideum an ideal and versatile laboratory organism.
In this review, we focus on the use of D. discoideum as a phagocyte model for the
study of mycobacterial infections, in particular Mycobacterium marinum. We look
in detail at the intracellular cycle of M. marinum, from its uptake by D.
discoideum to its active or passive egress into the extracellular medium. In
addition, we describe the molecular mechanisms that both the mycobacterial
invader and the amoeboid host have developed to fight against each other, and
compare and contrast with those developed by mammalian phagocytes. Finally, we
introduce the methods and specific tools that have been used so far to monitor
the D. discoideum-M. marinum interaction.
PMID- 29376035
TI - Ehrlichia chaffeensis TRP32 Nucleomodulin Function and Localization Is Regulated
by NEDD4L-Mediated Ubiquitination.
AB - Ehrlichia chaffeensis is an obligately intracellular bacterium that reprograms
the mononuclear phagocyte through diverse effector-host interactions to modulate
various host cell processes. In a previous study, we reported that the E.
chaffeensis nucleomodulin TRP32 regulates transcription of host genes in several
biologically relevant categories, including cell differentiation and
proliferation. In this study, we investigate the effect of ubiquitination on
TRP32 function and localization within the host cell. TRP32 is both mono- and
polyubiquitinated on multiple lysine residues during infection and when
ectopically expressed. Despite lacking a canonical PPxY motif, TRP32 interacted
with, and was modified by the human HECT E3 ubiquitin (Ub) ligase NEDD4L. TRP32
ubiquitination was not by K48-linked polyUb chains, nor was it degraded by the
proteasome; however, TRP32 was modified by K63-linked polyUb chains detected both
in the cytosol and nucleus. HECT ligase inhibitor, heclin, altered the subnuclear
localization of ectopically expressed TRP32 from a diffuse nuclear pattern to a
lacy, punctate pattern with TRP32 distributed around the periphery of the nucleus
and nucleoli. When a TRP32 lysine null (K-null) mutant was ectopically expressed,
it exhibited a similar phenotype as single lysine mutants (K63R, K93R, and
K123R). However, the K-null mutant showed increased amounts of cytoplasmic TRP32
compared to single lysine mutants or heclin-treated cells ectopically expressing
TRP32. These alterations in localization corresponded to changes in TRP32
transcriptional repressor function with heclin-treated and single lysine mutants
unable to repress transcription of a TRP32 target genes in a luciferase assay.
PMID- 29376036
TI - The Global Acetylome of the Human Pathogen Vibrio cholerae V52 Reveals Lysine
Acetylation of Major Transcriptional Regulators.
AB - Protein lysine acetylation is recognized as an important reversible post
translational modification in all domains of life. While its primary roles appear
to reside in metabolic processes, lysine acetylation has also been implicated in
regulating pathogenesis in bacteria. Several global lysine acetylome analyses
have been carried out in various bacteria, but thus far there have been no
reports of lysine acetylation taking place in the important human pathogen Vibrio
cholerae. In this study, we analyzed the lysine acetylproteome of the human
pathogen V. cholerae V52. By applying a combination of immuno-enrichment of
acetylated peptides and high resolution mass spectrometry, we identified 3,402
acetylation sites on 1,240 proteins. Of the acetylated proteins, more than half
were acetylated on two or more sites. As reported for other bacteria, we observed
that many of the acetylated proteins were involved in metabolic and cellular
processes and there was an over-representation of acetylated proteins involved in
protein synthesis. Of interest, we demonstrated that many global transcription
factors such as CRP, H-NS, IHF, Lrp and RpoN as well as transcription factors
AphB, TcpP, and PhoB involved in direct regulation of virulence in V. cholerae
were acetylated. In conclusion, this is the first global protein lysine acetylome
analysis of V. cholerae and should constitute a valuable resource for in-depth
studies of the impact of lysine acetylation in pathogenesis and other cellular
processes.
PMID- 29376037
TI - Emerging Role of Proteases in the Pathogenesis of Chronic Rhinosinusitis with
Nasal Polyps.
AB - Chronic rhinosinusitis with nasal polyps (CRSwNP) is a heterogeneous upper airway
disease with multiple etiologies. Clinically, CRSwNP can be classified into
either eosinophilic or non-eosinophilic subtypes. The eosinophilic phenotype of
CRSwNP is widely thought to be highly associated with recurrence of nasal polyps
or surgical failure. Epithelial cells have a crucial role in the development of
Th2-biased airway diseases. Recent studies have shown that a wide range of
external stimuli such as allergens and microorganisms can elicit the release of
epithelial-derived Th2-driving cytokines and chemokines. Protease activity is a
feature common to these multiple environmental insults and there is growing
evidence for the concept that an imbalance of proteases and protease inhibitors
in the epithelial barrier leads to both the initiation and maintenance of chronic
eosinophilic airway inflammation. In this review, we analyze recent work on the
role of proteases in the development of the sinonasal mucosal type 2 immune
response with an emphasis on the molecular pathways promoting adaptive Th2 cell
immunity.
PMID- 29376038
TI - Comparative Whole-Genomic Analysis of an Ancient L2 Lineage Mycobacterium
tuberculosis Reveals a Novel Phylogenetic Clade and Common Genetic Determinants
of Hypervirulent Strains.
AB - Background: Development of improved therapeutics against tuberculosis (TB) is
hindered by an inadequate understanding of the relationship between disease
severity and genetic diversity of its causative agent, Mycobacterium
tuberculosis. We previously isolated a hypervirulent M. tuberculosis strain H112
from an HIV-negative patient with an aggressive disease progression from
pulmonary TB to tuberculous meningitis-the most severe manifestation of
tuberculosis. Human macrophage challenge experiment demonstrated that the strain
H112 exhibited significantly better intracellular survivability and induced lower
level of TNF-alpha than the reference virulent strain H37Rv and other 123
clinical isolates. Aim: The present study aimed to identify the potential genetic
determinants of mycobacterial virulence that were common to strain H112 and
hypervirulent M. tuberculosis strains of the same phylogenetic clade isolated in
other global regions. Methods: A low-virulent M. tuberculosis strain H54 which
belonged to the same phylogenetic lineage (L2) as strain H112 was selected from a
collection of 115 clinical isolates. Both H112 and H54 were whole-genome
sequenced using PacBio sequencing technology. A comparative genomics approach was
adopted to identify mutations present in strain H112 but absent in strain H54.
Subsequently, an extensive phylogenetic analysis was conducted by including all
publically available M. tuberculosis genomes. Single-nucleotide-polymorphisms
(SNPs) and structural variations (SVs) common to hypervirulent strains in the
global collection of genomes were considered as potential genetic determinants of
hypervirulence. Results:Sequencing data revealed that both H112 and H54 were
identified as members of the same sub-lineage L2.2.1. After excluding the lineage
related mutations shared between H112 and H54, we analyzed the phylogenetic
relatedness of H112 with global collection of M. tuberculosis genomes (n =
4,338), and identified a novel phylogenetic clade in which four hypervirulent
strains isolated from geographically diverse regions were clustered together. All
hypervirulent strains in the clade shared 12 SNPs and 5 SVs with H112, including
those affecting key virulence-associated loci, notably, a deleterious SNP (rv0178
p. D150E) within mce1 operon and an intergenic deletion (854259_ 854261delCC) in
close-proximity to phoP. Conclusion: The present study identified common genetic
factors in a novel phylogenetic clade of hypervirulent M. tuberculosis. The
causative role of these mutations in mycobacterial virulence should be validated
in future study.
PMID- 29376040
TI - Comment on "Advanced Hepatic Fibrosis in Fatty Liver Disease Linked to
Hyperplastic Colonic Polyp".
PMID- 29376039
TI - Sinus Microanatomy and Microbiota in a Rabbit Model of Rhinosinusitis.
AB - Background: Rabbits are useful for preclinical studies of sinusitis because of
similar physiologic features to humans. The objective of this study is to develop
a rabbit model of sinusitis that permits assessment of microanatomy and sampling
for evaluating shifts in the sinus microbiota during the development of sinusitis
and to test how the mucociliary clearance (MCC) defect might lead to dysbiosis
and chronic rhinosinusitis (CRS). Methods: Generation of CRS was accomplished
with an insertion of a sterile sponge into the left middle meatus of New Zealand
white rabbits (n = 9) for 2 weeks. After sponge removal, 4 rabbits were observed
for another 10 weeks and evaluated for CRS using endoscopy, microCT,
visualization of the functional micro-anatomy by micro-optical coherence
tomography (MUOCT), and histopathological analysis of the sinus mucosa. Samples
were taken from the left middle meatus and submitted for microbiome analysis.
Results: CT demonstrated opacification of all left sinuses at 2 weeks in all
rabbits (n = 9), which persisted in animals followed for another 12 weeks (n =
4). Histology at week 2 showed mostly neutrophils. On week 14, significant
infiltration of plasma cells and lymphocytes was noted with increased submucosal
glands compared to controls (p = 0.02). Functional microanatomy at 2 weeks showed
diminished periciliary layer (PCL) depth (p < 0.0001) and mucus transport (p =
0.0044) compared to controls despite a thick mucus layer. By 12 weeks, the
thickened mucus layer was resolved but PCL depletion persisted in addition to
decreased ciliary beat frequency (CBF; p < 0.0001). The mucin fermenting microbes
(Lactobacillales, Bacteroidales) dominated on week 2 and there was a significant
shift to potential pathogens (e.g., Pseudomonas, Burkholderia) by week 14
compared to both controls and the acute phase (p < 0.05). Conclusion: We
anticipate this reproducible model will provide a means for identifying
underlying mechanisms of airway-surface liquid (ASL) depletion and fundamental
changes in sinus microbial communities that contribute to the development of CRS.
The rabbit model of sinusitis exhibited diminished PCL depth with delayed mucus
transport and significant alterations and shift in the sinus microbiome during
the development of chronic inflammation.
PMID- 29376041
TI - Skin Biomarkers for Cystic Fibrosis: A Potential Non-Invasive Approach for
Patient Screening.
AB - Background: Cystic fibrosis (CF) is a disabling genetic disease with an increased
prevalence in European heritage populations. Currently, the most used technique
for collection of CF samples and diagnosis is provided through uncomfortable
tests, with uncertain results, mostly based on chloride concentration in sweat.
Since CF mutation induces many metabolic changes in patients, exploring these
alterations might be an alternative to visualize potential biomarkers that could
be used as interesting tools for further diagnostic upgrade, prioritizing
simplicity, low cost, and quickness. Methods: This contribution describes an
accurate strategy to provide potential biomarkers related to CF, which may be
understood as a potential tool for new diagnostic approaches and/or for
monitoring disease evolution. Therefore, the present proposal consists of using
skin imprints on silica plates as a way of sample collection, followed by direct
infusion high-resolution mass spectrometry and multivariate data analysis,
intending to identify metabolic changes in skin composition of CF patients.
Results: Metabolomics analysis allowed identifying chemical markers that can be
traced back to CF in patients' skin imprints, differently from control subjects.
Seven chemical markers from several molecular classes were elected, represented
by bile acids, a glutaric acid derivative, thyrotropin-releasing hormone, an
inflammatory mediator, a phosphatidic acid, and diacylglycerol isomers, all
reflecting metabolic disturbances that occur due to of CF. Conclusion: The
comfortable method of sample collection combined with the identified set of
biomarkers represent potential tools that open the range of possibilities to
manage CF and follow the disease evolution. This exploratory approach points to
new perspectives about the development of diagnostic assay using biomarkers and
the management CF.
PMID- 29376043
TI - Editorial: Neuro-Development and Psychological Issues in Congenital Heart
Defects.
PMID- 29376042
TI - Suggested Mechanisms of Tracheal Occlusion Mediated Accelerated Fetal Lung
Growth: A Case for Heterogeneous Topological Zones.
AB - In this article, we report an up-to-date summary on tracheal occlusion (TO) as an
approach to drive accelerated lung growth and strive to review the different
maternal- and fetal-derived local and systemic signals and mechanisms that may
play a significant biological role in lung growth and formation of heterogeneous
topological zones following TO. Pulmonary hypoplasia is a condition whereby
branching morphogenesis and embryonic pulmonary vascular development are globally
affected and is classically seen in congenital diaphragmatic hernia. TO is an
innovative approach aimed at driving accelerated lung growth in the most severe
forms of diaphragmatic hernia and has been shown to result in improved neonatal
outcomes. Currently, most research on mechanisms of TO-induced lung growth is
focused on mechanical forces and is viewed from the perspective of homogeneous
changes within the lung. We suggest that the key principle in understanding
changes in fetal lungs after TO is taking into account formation of unique
variable topological zones. Following TO, fetal lungs might temporarily look like
a dynamically changing topologic mosaic with varying proliferation rates,
dissimilar scale of vasculogenesis, diverse patterns of lung tissue damage,
variable metabolic landscape, and different structures. The reasons for this
dynamic topological mosaic pattern may include distinct degree of increased
hydrostatic pressure in different parts of the lung, dissimilar degree of tissue
stress/damage and responses to this damage, and incomparable patterns of altered
lung zones with variable response to systemic maternal and fetal factors, among
others. The local interaction between these factors and their accompanying
processes in addition to the potential role of other systemic factors might lead
to formation of a common vector of biological response unique to each zone. The
study of the interaction between various networks formed after TO (action of
mechanical forces, activation of mucosal mast cells, production and secretion of
damage-associated molecular pattern substances, low-grade local pulmonary
inflammation, and cardiac contraction-induced periodic agitation of lung tissue,
among others) will bring us closer to an appreciation of the biological
phenomenon of topological heterogeneity within the fetal lungs.
PMID- 29376044
TI - The Genetic Basis of Type 2 Diabetes in Hispanics and Latin Americans: Challenges
and Opportunities.
AB - Type 2 diabetes (T2D) affects 415 million people worldwide, and has a much higher
prevalence in Hispanics (16.9%), compared to non-Hispanic whites (10.2%). Genome
wide association studies and whole-genome and whole-exome sequencing studies have
discovered more than 100 genetic regions associated with modified risk for T2D.
However, the identified genetic factors explain a very small fraction of the
estimated heritability. Until recently, little attention has been put in studying
other non European populations that suffer from a higher burden of T2D, such as
Hispanics/Latinos. In the past few years, genetic studies in Hispanic populations
have started to provide new insights into the genetic architecture of T2D in this
ancestry group. Of note, several genetic variants that are absent or very rare in
non-Hispanic populations but more common in Hispanics have shown from moderate to
strong association with T2D and have provided new insights into the biology of
T2D, which may be ultimately useful for developing novel therapeutic strategies
applicable to all populations. Studying diverse populations can also improve the
ability to find the causal variants in known T2D loci by a multi-ancestry fine
mapping approach, which leverages the different patterns of linkage
disequilibrium between the causal and the ascertained genetic variants. In this
mini-review, we summarize the main genetic findings discovered in Hispanics and
discuss the limitations and challenges of performing genetic studies in these
populations. Finally, we present possible next steps to make studies in Latino
populations more valuable in providing a deeper understanding of T2D and
anticipate their future application to the development of predictive and
preventive medicine and personalized therapies.
PMID- 29376045
TI - Virtual Reality As a Training Tool to Treat Physical Inactivity in Children.
AB - Lack of adequate physical activity in children is an epidemic that can result in
obesity and other poor health outcomes across the lifespan. Physical activity
interventions focused on motor skill competence continue to be developed, but
some interventions, such as neuromuscular training (NMT), may be limited in how
early they can be implemented due to dependence on the child's level of cognitive
and perceptual-motor development. Early implementation of motor-rich activities
that support motor skill development in children is critical for the development
of healthy levels of physical activity that carry through into adulthood. Virtual
reality (VR) training may be beneficial in this regard. VR training, when
grounded in an information-based theory of perceptual-motor behavior that
modifies the visual information in the virtual world, can promote early
development of motor skills in youth akin to more natural, real-world development
as opposed to strictly formalized training. This approach can be tailored to the
individual child and training scenarios can increase in complexity as the child
develops. Ultimately, training in VR may help serve as a precursor to "real
world" NMT, and once the child reaches the appropriate training age can also
augment more complex NMT regimens performed outside of the virtual environment.
PMID- 29376046
TI - Stages of Biological Development across Age: An Analysis of Canadian Health
Measure Survey 2007-2011.
AB - Introduction: The stages of biological development are not clearly defined
despite the fact that they have been used to refer to concepts such as
adolescence and aging. This study aimed to (1) propose and test a framework to
search for stages of representative components and determine stages of stability
and transition, (2) identify stages of biological development based on health
questionnaire and biomarker data, and (3) interpret the major trajectories in a
health and biomarker database. Methods: This study analyzed the data on the
Canadian Health Measures Survey (CHMS) interviewees from cycle 1 to 3 (2007-2013)
in Canada. We selected 282 variables containing information from questionnaire
and on biomarkers after removing redundant variables based on high correlation.
Fifty-nine nominal variables were replaced by 122 binominal variables, leaving
345 variables for analysis. Principal component (PC) analysis was conducted to
summarize the data and the loadings were used to interpret the PCs. A stable
stage was assumed to be the age groups without significantly different values of
PCs. Results: The CHMS interviewed 16,340 Canadians. Of all, 51.25% were female.
The age ranged from 6 to 79 years (mean = 34.41 years, 95% CI = 34.74-34.08). The
proportions of total variance explained by the first three PCs were 12.14, 4.03,
and 3.19%, respectively. The differences of the first PC were not significant,
especially between age 22 and 33, 34 and 40, 41 and 45, 46 and 71, and 72 and 79
years (adjusted p > 0.05 for all). The leading variable, in terms of the variance
contributed to PC1, was time spent in physical activities, followed by variables
related to alcohol consumption, and smoking. The 13 leading contributors to PC2
variances were all lung function measures. Discussion and conclusion: There are
stages of stability and transition across all age groups based on the first PCs.
The first and second PCs are related to physical development and lung function.
The identification of stable stages is the first step to understanding how human
biology develops in a population perspective and will be important for research
that relies on a research population with similar characteristics to draw samples
for observation or intervention.
PMID- 29376047
TI - Future Perfect? The Future of the Social Sciences in Public Health.
AB - This is a critical and perhaps unprecedented time for the social sciences in
public health. While there are many opportunities for the social sciences to
continue making transformative contributions to improve population health, there
are significant challenges in doing so, particularly in a rapidly changing
political landscape. Such challenges are both external (e.g., congressional calls
for reducing social science funding) and internal (e.g., scholars criticizing the
social sciences for being stagnant and siloed). This paper highlights four key
tensions that the field is grappling with and that have direct implications for
how to train the next generation of social scientists in public health. We also
discuss how departmental and institutional decisions made in response to these
tensions will determine how the social sciences in public health are ultimately
recognized, sustained, and advanced.
PMID- 29376048
TI - An Evaluation of an eHealth Tool Designed to Improve College Students' Label
Reading Skills and Feelings of Empowerment to Choose Healthful Foods.
AB - Objective: College students are at risk for poor dietary choices. New skills can
empower individuals to adopt healthful behaviors, yet eHealth tools designed to
develop food-choice skills, such as label-reading skills, are uncommon. We
investigated the effects of web-based label-reading training on college students'
perceptions of healthful food-choice empowerment. Methods: Students completed
label-reading training in which they practiced selecting the more healthful food
using nutrition labels. We examined improvements in label-reading accuracy
(correct healthfulness decisions) and perceptions of empowerment, using a 6-item
scale. Repeated measures ANOVAs and paired-samples t-tests were used to examine
changes in accuracy and empowerment across the training session. Results: In
addition to increases in label-reading accuracy with training, we found increases
in healthful food-choice empowerment scores. Specifically, the proportion of
correct (i.e., more healthful) food choices increased across the three blocks of
practice (p = 0.04) and food-choice empowerment scores were about 7.5% higher on
average after training (p < 0.001). Conclusion and implications: Label-reading
training was associated with increased feelings of empowerment associated with
making healthful food choices. Skill focused eHealth tools may offer an important
avenue for motivating behavior change through skill development.
PMID- 29376049
TI - Meta-Analysis of Aedes aegypti Expression Datasets: Comparing Virus Infection and
Blood-Fed Transcriptomes to Identify Markers of Virus Presence.
AB - The mosquito Aedes aegypti (L.) is vector of several arboviruses including
dengue, yellow fever, chikungunya, and more recently zika. Previous
transcriptomic studies have been performed to elucidate altered pathways in
response to viral infection. However, the intrinsic coupling between alimentation
and infection were unappreciated in these studies. Feeding is required for the
initial mosquito contact with the virus and these events are highly dependent.
Addressing this relationship, we reinterrogated datasets of virus-infected
mosquitoes with two different diet schemes (fed and unfed mosquitoes), evaluating
the metabolic cross-talk during both processes. We constructed coexpression
networks with the differentially expressed genes of these comparison: virus
infected versus blood-fed mosquitoes and virus-infected versus unfed mosquitoes.
Our analysis identified one module with 110 genes that correlated with infection
status (representing ~0.7% of the A. aegypti genome). Furthermore, we performed a
machine-learning approach and summarized the infection status using only four
genes (AAEL012128, AAEL014210, AAEL002477, and AAEL005350). While three of the
four genes were annotated as hypothetical proteins, AAEL012128 gene is a membrane
amino acid transporter correlated with viral envelope binding. This gene alone is
able to discriminate all infected samples and thus should have a key role to
discriminate viral infection in the A. aegypti mosquito. Moreover, validation
using external datasets found this gene as differentially expressed in four
transcriptomic experiments. Therefore, these genes may serve as a proxy of viral
infection in the mosquito and the others 106 identified genes provides a
framework to future studies.
PMID- 29376051
TI - Melatonin and the Prevention and Management of Delirium: A Scoping Study.
AB - Background: The therapeutic benefit of melatonin in the prevention and treatment
of delirium is uncertain. Objective: To perform a scoping study to describe the
existing literature regarding the use of melatonin and ramelteon in the
prevention and treatment of delirium. Methods: We performed a scoping study using
the Arksey and O'Malley framework to explore our objective. Two independent
panels searched MEDLINE, OVID, EMBASE, PubMed, Google Scholar, and Cochrane
Library for relevant articles up to November 2017 describing the use of melatonin
and ramelteon in the prevention or management of delirium. We extracted relevant
summary data from the studies and attempted to draw conclusion regarding benefit.
Results: We summarized evidence from 20 relevant articles. There were a total of
nine articles: five randomized controlled trials (RCTs), two retrospective
medical record reviews, one non-randomized observational study, and one case
report describing the role of either melatonin or ramelteon in preventing
delirium. There were a total of 11 studies studying the role of either melatonin
or ramelteon in the management of established delirium. None of these were RCT
and were predominantly case series and case reports. Four of the five trials
studying the effect of melatonin analogs in preventing delirium reported a
beneficial effect but study heterogeneity limited any broad recommendations.
Similarly, the lack of any well-designed trials limits any recommendations
regarding the effect of melatonin analogs in treating delirium. Conclusion:
Large, well-designed clinical trials are required to explore the potential
beneficial effects of melatonin and ramelteon on delirium prevention and
management.
PMID- 29376052
TI - Functional Capacity and Levels of Physical Activity in Aging: A 3-Year Follow-up.
AB - Over the last decades, the world elderly population has increased exponentially
and this tendency will continue during the coming years; from 2000 to 2050,
people over 60 will double and those over 80 will quadruple. Loss of independence
occurs as people age due to mobility restrictions, frailty, and decreased
functional fitness and cognitive abilities. Evidence has shown that appropriate
programs and policies contribute to keep older adults healthy and independent
over time. The purpose of this chapter is to report the results of our 3-year
follow-up study designed to characterize functional physical fitness in a sample
of Portuguese community-dwelling older adults to propose a set of functional
parameters that decline the most. We studied a group of 43 elderly people, aged
60 and over. Variables assessed on the participants were anthropometric
measurements, functional capacity with the Senior Fitness Test battery (muscle
strength, aerobic endurance, flexibility, agility, and dynamic balance), handgrip
strength, levels of physical activity, and balance. Three years after the first
assessment, a second assessment of the same variables was conducted. We analyzed
what were the variables that, for this group, were related with a healthier aging
and the relation with different physical activity levels. Our study showed that
the distance covered in 6-min walk test and handgrip strength seem to explain a
great amount of variability on functional variables that have changed on this
period (68% of balance, lower and upper functional strength, respectively) and
the active participants showed less decrements with aging in anthropometric and
functional variables than those inactive or insufficiently active (p < 0.05).
Greater importance should be given to prescription of exercise targeting older
adults and, specifically, walking and manual activities should be given more
attention as components of a community exercise program.
PMID- 29376050
TI - Nuclear Envelope-Associated Chromosome Dynamics during Meiotic Prophase I.
AB - Chromosome dynamics during meiotic prophase I are associated with a series of
major events such as chromosomal reorganization and condensation,
pairing/synapsis and recombination of the homologs, and chromosome movements at
the nuclear envelope (NE). The NE is the barrier separating the nucleus from the
cytoplasm and thus plays a central role in NE-associated chromosomal movements
during meiosis. Previous studies have shown in various species that NE-linked
chromosome dynamics are actually driven by the cytoskeleton. The linker of
nucleoskeleton and cytoskeleton (LINC) complexes are important constituents of
the NE that facilitate in the transfer of cytoskeletal forces across the NE to
individual chromosomes. The LINCs consist of the inner and outer NE proteins
Sad1/UNC-84 (SUN), and Klarsicht/Anc-1/Syne (KASH) domain proteins. Meiosis
specific adaptations of the LINC components and unique modifications of the NE
are required during chromosomal movements. Nonetheless, the actual role of the NE
in chromosomic dynamic movements in plants remains elusive. This review
summarizes the findings of recent studies on meiosis-specific constituents and
modifications of the NE and corresponding nucleoplasmic/cytoplasmic adaptors
being involved in NE-associated movement of meiotic chromosomes, as well as
describes the potential molecular network of transferring cytoplasm-derived
forces into meiotic chromosomes in model organisms. It helps to gain a better
understanding of the NE-associated meiotic chromosomal movements in plants.
PMID- 29376054
TI - Achieving Pregnancy Using Primary Care Interventions to Identify the Fertile
Window.
AB - Objective: To determine the effectiveness of achieving pregnancy with focused
intercourse in the fertile window identified using natural fertility indicators.
Methods: 24-cycle prospective effectiveness study. Setting: A North American web
based fertility monitoring service. Participants: 256 North American women aged
20-43 (mean age 29.2 years) seeking to achieve pregnancy. Intervention:
Participants identified their fertile window with either electronic hormonal
fertility monitoring or cervical mucus monitoring, or both, and recorded their
observations on an online fertility tracking system. Main outcome measures:
Pregnancies were validated by nurses with an online self-assessed pregnancy
evaluation form. Survival analysis was used to determine pregnancy rates.
Results: There were 150 pregnancies among the 256 participants with an overall
pregnancy rate of 78 per 100 women over 12 menstrual cycles. There were 54
pregnancies (68%) among the 80 women using the fertility monitor, 11 pregnancies
(46%) among the 24 women using mucus monitoring, and 90 (63%) among the 143 women
using both mucus and monitor. The 12-cycle pregnancy rates per 100 women were 83
(monitor group), 72 (mucus group), and 75 (mucus and monitor group). Pregnancy
rates reached 100% at 24 cycles of use for those women using the hormonal
fertility monitor. Conclusion: Use of the hormonal fertility monitor alone seems
to offer the best natural estimate of the fertile phase of the menstrual cycle
for women wishing to achieve a pregnancy. Focusing intercourse through 24
menstrual cycles can be beneficial for achieving pregnancy.
PMID- 29376053
TI - Metabolic Linkage and Correlations to Storage Capacity in Erythrocytes from
Glucose 6-Phosphate Dehydrogenase-Deficient Donors.
AB - Objective: In glucose 6-phosphate dehydrogenase (G6PD) deficiency, decreased
NADPH regeneration in the pentose phosphate pathway and subnormal levels of
reduced glutathione result in insufficient antioxidant defense, increased
susceptibility of red blood cells (RBCs) to oxidative stress, and acute hemolysis
following exposure to pro-oxidant drugs and infections. Despite the fact that
redox disequilibrium is a prominent feature of RBC storage lesion, it has been
reported that the G6PD-deficient RBCs store well, at least in respect to energy
metabolism, but their overall metabolic phenotypes and molecular linkages to the
storability profile are scarcely investigated. Methods: We performed UHPLC-MS
metabolomics analyses of weekly sampled RBC concentrates from G6PD sufficient and
deficient donors, stored in citrate phosphate dextrose/saline adenine glucose
mannitol from day 0 to storage day 42, followed by statistical and bioinformatics
integration of the data. Results: Other than previously reported alterations in
glycolysis, metabolomics analyses revealed bioactive lipids, free fatty acids,
bile acids, amino acids, and purines as top variables discriminating RBC
concentrates for G6PD-deficient donors. Two-way ANOVA showed significant changes
in the storage-dependent variation in fumarate, one-carbon, and sulfur
metabolism, glutathione homeostasis, and antioxidant defense (including urate)
components in G6PD-deficient vs. sufficient donors. The levels of free fatty
acids and their oxidized derivatives, as well as those of membrane-associated
plasticizers were significantly lower in G6PD-deficient units in comparison to
controls. By using the strongest correlations between in vivo and ex vivo
metabolic and physiological parameters, consecutively present throughout the
storage period, several interactomes were produced that revealed an interesting
interplay between redox, energy, and hemolysis variables, which may be further
associated with donor-specific differences in the post-transfusion performance of
G6PD-deficient RBCs. Conclusion: The metabolic phenotypes of G6PD-deficient
donors recapitulate the basic storage lesion profile that leads to loss of
metabolic linkage and rewiring. Donor-related issues affect the storability of
RBCs even in the narrow context of this donor subgroup in a way likely relevant
to transfusion medicine.
PMID- 29376055
TI - Role of Exosomal MicroRNAs and myomiRs in the Development of Cancer Cachexia
Associated Muscle Wasting.
AB - Cachexia is a complex metabolic syndrome that promotes great weight loss, with
marked muscle mass wasting. In the last years, many efforts have been directed to
improve the understanding of the mechanisms involved in the disease. This
syndrome is present in up to 80% of cancer patients and, despite its clinical
relevance, is underdiagnosed. The orchestration of the molecular and biochemical
disruptions observed in cachexia is paralleled by inflammation and the
communication among the different body compartments, including the tumor and the
skeletal muscle, is still not completely described. One of the mechanisms that
may be involved in the transduction of the inflammatory signals and the
activation of catabolic status in muscle is the participation of exosomes
containing microRNAs (miRNAs) and muscle-specific miRNAs (myomiRs). Exosomes are
nanovesicles, measuring from 30 to 100 um, and able to carry miRNAs in the
circulation, promoting cell-cell and tissue-tissue communication in an autocrine,
paracrine, and endocrine manner. miRNAs transported in exosomes are preserved
from degradation, while these nanoparticles deliver the cargo to specific cell
targets, making communication more efficient. Several miRNAs are known to
modulate inflammatory pathways, to induce metastasis, to mediate cancer
aggressiveness and even to participate in the regulation of protein synthesis and
degradation pathways in the skeletal muscle. The aim of this mini-review is to
describe the present knowledge about the role of exosomal miRNAs and myomiRs in
the induction of muscle mass wasting in cancer cachexia state and to explain
which transcription factors, proteins, and pathways are regulated by these
molecules.
PMID- 29376058
TI - Animal Welfare and Economic Aspects of Using Nurse Sows in Swedish Pig
Production.
AB - The number of born piglets per litter has increased in Swedish pig industry, and
farmers are struggling to improve piglet survival. A common practice is to make
litters more equally sized by moving piglets from large litters to smaller to
make sure that all piglets get an own teat to suckle. Litter equalization is not
always enough, as many sows have large litters and/or damaged teats, which
results in an insufficient number of available teats. One way to solve this
problem is to use nurse sows. A nurse sow raises, and weans, her own piglets
before receiving a foster litter. The objectives of this study were to address
how the use of nurse sows affects the welfare of sows and piglets and to explore
how it impacts the contribution margin of pig production in Sweden. A literature
search was made to investigate welfare aspects on sows and piglets. As there were
few published studies on nurse sows, an expert group meeting was organized. In
order to explore the impact on the contribution margin of pig production, a
partial budgeting approach with stochastic elements was used for a fictive pig
farm. Standard templates for calculating costs and benefits were supplemented
with figures from existing literature and the gathered expert opinions. In
Sweden, the minimum suckling period is 28 days while published studies involving
nurse sows, all from outside of Sweden, weaned the piglets at 21 days. A Swedish
nurse sow will thus get longer lactation period which might increase the risk of
poor body condition, damaged teats, and shoulder ulcers. This indicates a reduced
welfare of the sow and may lead to impaired fertility and increased culling risk.
On the other hand, the piglet mortality could be reduced with the use of nurse
sows, but the separation and mixing of piglets could be stressful. The partial
budgeting suggested that the nurse sow system is slightly more profitable (+6,838
Swedish krona) per farrowing group during one dry and one lactation period
compared to the conventional system. The result is, however, highly dependent on
the input values, and welfare aspects were not considered in the calculations.
PMID- 29376057
TI - High-Sensitivity C-Reactive Protein Is a Predictor of Coronary Microvascular
Dysfunction in Patients with Ischemic Heart Disease.
AB - Background: Inflammation and microvascular dysfunction (MVD) are independently
associated with adverse cardiovascular outcomes in patients with ischemic heart
disease. This study aimed to assess the relationship between inflammation, MVD,
and myocardial injury. Methods: Coronary microvascular function was assessed in
74 patients undergoing percutaneous coronary intervention (PCI) using the index
of microvascular resistance (IMR) by a pressure-temperature sensor-tipped wire.
Serum high-sensitivity C-reactive protein (hsCRP) level was quantified by rate
turbidimetry. Severe MVD was defined as IMR >= 30. Pearson correlation was
computed to assess the relationships between hsCRP, troponin, and IMR of culprit
vessel. Predictors of severe MVD were assessed by regression analysis. Results:
Acute coronary syndromes (ACSs) represented 49% of the total cohort. Study cohort
was divided into low C-reactive protein (CRP) (hsCRP < 3 mg/L) and high CRP
(hsCRP >= 3 mg/L) groups. There was higher representation of smokers (78 vs.
52%), diabetics (39 vs. 18%), and ACS (61 vs. 33%), as well as higher body mass
index (29.4 +/- 4.6 vs. 27.2 +/- 4.1) in the high CRP group. Pre-PCI and post-PCI
IMR were significantly elevated in the high CRP group compared to the low CRP
group (pre-PCI IMR: 29.0 +/- 13.9 vs. 17.4 +/- 11.1, p < 0.0001; post-PCI IMR:
23.0 +/- 16.8 vs. 15.5 +/- 8.4, p = 0.02). Peak troponin levels were
significantly raised in the high CRP group (9.96 +/- 17.19 vs. 1.17 +/- 3.00
MUg/L, p = 0.002). There was a strong positive correlation between hsCRP and pre
PCI IMR (r = 0.85, p < 0.0001). Pre- and post-PCI IMR levels were correlated with
peak troponin level (r = 0.45, p < 0.0001; r = 0.33, p = 0.005, respectively).
Predictors of severe MVD include male gender (OR 3.0), diabetes (OR 3.7), smoking
history (OR 4.0), ACS presentation (OR 8.5), and hsCRP >= 3 mg/L (OR 5.6).
Conclusion: hsCRP is a significant predictor of MVD while MVD is associated with
myocardial injury, supporting the central role of inflammation and MVD in the
pathophysiology and complications of coronary artery disease. Clinical Trial
Registration: Australian New Zealand Clinical Trials Registry (ACTRN):
12617000648325. Universal Trial Number (UTN): U1111-1196-2246.
PMID- 29376056
TI - Perspectives on Systems Modeling of Human Peripheral Blood Mononuclear Cells.
AB - Human peripheral blood mononuclear cells (PBMCs) are the key drivers of the
immune responses. These cells undergo activation, proliferation and
differentiation into various subsets. During these processes they initiate
metabolic reprogramming, which is coordinated by specific gene and protein
activities. PBMCs as a model system have been widely used to study metabolic and
autoimmune diseases. Herein we review various omics and systems-based approaches
such as transcriptomics, epigenomics, proteomics, and metabolomics as applied to
PBMCs, particularly T helper subsets, that unveiled disease markers and the
underlying mechanisms. We also discuss and emphasize several aspects of T cell
metabolic modeling in healthy and disease states using genome-scale metabolic
models.
PMID- 29376059
TI - A Promising Recombinant Herpesvirus of Turkeys Vaccine Expressing PmpD-N of
Chlamydia psittaci Based on Elongation Factor-1 Alpha Promoter.
AB - The obligate intracellular Gram-negative bacterium Chlamydia psittaci often
causes avian chlamydiosis and influenza-like symptoms in humans. However, the
commercial subunit C. psittaci vaccine could only provide a partial protection
against avian chlamydiosis due to poor cellular immune response. In our previous
study, a recombinant herpesvirus of turkeys (HVT)-delivered vaccine against C.
psittaci and Marek's disease based on human cytomegalovirus (CMV) promoter (rHVT
CMV-pmpD) was developed and provided an effective protection against C. psittaci
disease with less lesions and reduced chlamydial loads. In this study, we
developed another recombinant HVT vaccine expressing the N-terminal fragment of
PmpD (PmpD-N) based on human elongation factor-1 alpha (EF-1alpha) promoter (rHVT
EF-pmpD) by modifying the HVT genome within a bacterial artificial chromosome.
The related characterization of rHVT-EF-pmpD was evaluated in vitro in comparison
with that of rHVT-CMV-pmpD. The expression of PmpD-N was determined by western
blot. Under immunofluorescence microscopy, PmpD-N protein of both two recombinant
viruses was located in the cytoplasm and on the cell surface. Growth kinetics of
rHVT-EF-pmpD was comparable to that of rHVT-CMV-pmpD, and the growth rate of rHVT
EF-pmpD was apparently higher than that of rHVT-CMV-pmpD on 48, 72, and 120 h
postinfection. Macrophages activated by rHVT-EF-pmpD could produce more nitric
oxide and IL-6 than that activated by rHVT-CMV-pmpD. In this study, a recombinant
HVT vaccine expressing PmpD-N based on EF-1alpha promoter was constructed
successfully, and a further research in vivo was needed to analyze the vaccine
efficacy.
PMID- 29376060
TI - Locomotor Behavior of Chickens Anticipating Incline Walking.
AB - Keel bone damage (KBD) is prevalent in hens raised for egg production, and ramps
between different tiers in aviaries have potential to reduce the frequency of
falls resulting in KBD. Effective use of ramps requires modulation of locomotion
in anticipation of the incline. Inadequate adaptive locomotion may be one
explanation why domestic layer hens (Gallus gallus domesticus) exhibit high rates
of KBD. To improve understanding of the capacity of hens to modulate their
locomotion in anticipation of climbing, we measured the effects of incline angle
upon the mechanics of the preparatory step before ascending a ramp. Because the
energetic challenge of climbing increases with slope, we predicted that as angle
of incline increased, birds during foot contact with the ground before starting
to climb would increase their peak force and duration of contact and reduce
variation in center of pressure (COP) under their foot. We tested 20 female
domestic chickens on ramp inclines at slopes of +0 degrees , +40 degrees , and
+70 degrees when birds were 17, 21, 26, 31, and 36 weeks of age. There were
significantly higher vertical peak ground reaction forces in preparation at the
steepest slope, and ground contact time increased significantly with each
increase in ramp angle. Effects upon variation in COP were not apparent;
likewise, effects of limb length, age, body mass were not significant. Our
results reveal that domestic chickens are capable of modulating their locomotion
in response to incline angle.
PMID- 29376062
TI - Positioning Animal Welfare in the One Health Concept through Evaluation of an
Animal Welfare Center in Skopje, Macedonia.
AB - The Animal Welfare Center (AWC) in Macedonia was established in 2009. The
objectives of the center are animal welfare (AW) education, research, raising
public awareness of AW, and increasing cooperation between the stakeholders. One
Health (OH) was not the major focus of the AWC work initially, but, rather, a
focus that evolved recently. The objective of this study was to evaluate the AWC
from the OH perspective as an example case for positioning the AW within the
overall OH concept. Three types of evaluation were performed: (1) assessment of
OH-ness, by quantitative measurement of the operational and infrastructural
aspects of the AWC; (2) impact evaluation, by conducting quantitative surveys on
stakeholders and students; and (3) transdisciplinary evaluation, using semi
quantitative evaluation of the links of cooperation between the AWC and the
stakeholders in society by the custom designed CACA (Cooperation, Activities,
Communication, and Agreement) scoring system. Results for the OH-ness of the AWC
showed relatively high scores for OH thinking, planning and working and middle
scores for OH learning and sharing dimensions, i.e., dominance of the operational
over infrastructural aspects of the AWC. The impact evaluation of the AWC shows
that familiarity with the OH concept among stakeholders was low (44% of the
respondents). However, there was a commonality among stakeholder's interest about
AW and OH. According to the stakeholders' and students' opinions, the influence
of AW on Animal, Environmental, and Human Health is relatively high (in the upper
third of the 1-10 scale). The transdisciplinary evaluation of the AWC indicated
the presence of transdisciplinarity work by the AWC, with a higher focus on the
Universities and Research Institutions and some governmental institutions, and
less linked with the Non-Governmental Organizations and Professional Associations
(Chambers), e.g., the Veterinary Chamber in Macedonia. The evaluations conducted
indicated that the AWC's work is closely dedicated to improving animal,
environmental, and human health and has a considerable OH role among the
stakeholders in the society. This study describes the significant role and
importance that AW has in OH.
PMID- 29376063
TI - Hereditary diffuse gastric cancer: One family's story.
AB - Hereditary diffuse gastric cancer (HDGC) is an inherited form of gastric cancer
that carries a poor prognosis. Most HDGCs are caused by an autosomal dominant
genetic mutation in the CDH1 gene, which carries a 70%-80% lifetime risk of
gastric cancer. Given its submucosal origin, endoscopic surveillance is an
unreliable means of early detection, and prophylactic gastrectomy is recommended
for CDH1 positive individuals older than age 20 years. We describe the case of a
male with recurrent gastric cancer who was diagnosed with HDGC secondary to the
CDH1 mutation, and we also describe the patient's pedigree and outcomes of
recommended genetic testing.
PMID- 29376061
TI - Molecular Characteristics of the Equine Periodontal Ligament.
AB - The equine periodontal ligament (PDL) is a fibrous connective tissue that covers
the intra-alveolar parts of the tooth and anchors it to the alveolar bone-it,
therefore, provides a similar function to a tendinous structure. While several
studies have considered the formation and structure of tendons, there is
insufficient information particularly on the molecular composition of the PDL.
Especially for the equine PDL, there is limited knowledge concerning the
expression of genes commonly regarded as typical for tendon tissue. In this
study, the gene expression of, e.g., collagen type 1 alpha 1 (COL1), collagen
type 3 alpha 1 (COL3), scleraxis (SCX), and fibrocartilage markers was examined
in the functional mature equine PDL compared with immature and mature equine
tendon tissue. PDL samples were obtained from incisor, premolar, and molar teeth
from seven adult horses. Additionally, tendon samples were collected from four
adult horses and five foals at different sampling locations. Analyses of gene
expression were performed using real-time quantitative polymerase chain reaction
(qRT-PCR). Significantly higher expression levels of COL1 and 3 were found in the
mature equine PDL in comparison with mature tendon, indicating higher rates of
collagen production and turnover in the mature equine PDL. The expression levels
of SCX, a specific marker for tenogenic-differentiated cells, were on a similar
level in functional mature PDL and in mature tendon tissue. Evidence of
chondrogenic metaplasia, often found in tendon entheses or in pressurized regions
of tendons, was not found in the mature equine PDL. The obtained results justify
further experiments focused on the possible use of equine PDL cells for cell
based regenerative therapies.
PMID- 29376064
TI - Evaluation of revascularization after total arch replacement in common carotid
artery occlusion.
AB - Occlusion of the common carotid artery (CCA) is rare. CCA occlusion (CCAO) can
present as drowsiness and right hemiplegia related to emboli after total arch
replacement. Although we selected a follow-up at first because color duplex
sonography showed retrograde flow from the left external carotid artery to the
internal carotid artery, this patient had epilepsy and single-photon emission
computed tomography (SPECT) acquired quantitative results of actual brain
perfusion and showed insufficient collateral blood flow. To improve brain
perfusion, we performed a bypass of the left subclavian artery to left CCA
bypass. Postoperatively, the patient did not have epilepsy and drowsiness. Also,
right hemiplegia improved enough for him to walk with support. SPECT showed
increased left cerebral flow (the asymmetry ratio was 71% to 81%). Evaluation of
the carotid artery with color duplex sonography alone was insufficient when CCAO
showed retrograde or collateral flow. We should have performed quantitative
evaluation with SPECT at the same time.
PMID- 29376065
TI - Haplotype-Contained PCR Products Analysis by Sequencing with Selective
Restriction of Primer Extension.
AB - We develop a strategy for haplotype analysis of PCR products that contained two
adjacent heterozygous loci using sequencing with specific primers, allele
specific primers, and ddNTP-blocked primers. To validate its feasibility, two
sets of PCR products, including two adjacent heterozygous SNPs, UGT1A1*6
(rs4148323) and UGT1A1*28 (rs8175347), and two adjacent heterozygous SNPs, K1637K
(rs11176013) and S1647T (rs11564148), were analyzed. Haplotypes of PCR products,
including UGT1A1*6 and UGT1A1*28, were successfully analyzed by Sanger sequencing
with allele-specific primers. Also, haplotypes of PCR products, including K1637K
and S1647T, could not be determined by Sanger sequencing with allele-specific
primers but were successfully analyzed by pyrosequencing with ddNTP-blocked
primers. As a result, this method is able to effectively haplotype two adjacent
heterozygous PCR products. It is simple, fast, and irrespective of short read
length of pyrosequencing. Overall, we fully hope it will provide a new promising
technology to identify haplotypes of conventional PCR products in clinical
samples.
PMID- 29376066
TI - What Each Clinical Anatomist Has to Know about Left Renal Vein Entrapment
Syndrome (Nutcracker Syndrome): A Review of the Most Important Findings.
AB - Nutcracker syndrome (NCS) is the most common term for compression of the left
renal vein between the superior mesenteric artery and the abdominal aorta. The
development of NCS is associated with the formation of the left renal vein (LRV)
from the aortic collar during the sixth to eighth week of gestation and abnormal
angulation of the superior mesenteric artery from the aorta. Collateralization of
venous circulation is the most significant effect of NCS. It includes mainly the
left gonadal vein and the communicating lumbar vein. Undiagnosed NCS may affect
retroperitoneal surgery and other radiological and vascular procedures. The
clinical symptoms of NCS may generally be described as renal presentation when
symptoms like haematuria, left flank pain, and proteinuria occur, but urologic
presentation is also possible. Radiological methods of confirming NCS include
Doppler ultrasonography as a primary test, retrograde venography, which can
measure the renocaval pressure gradient, computed tomography angiography, which
is faster and less traumatic, intravascular ultrasound, and magnetic resonance
angiography. Treatment can be conservative or surgical, depending on the severity
of symptoms and degree of LRV occlusion. Nutcracker syndrome is worth considering
especially in differential diagnosis of haematuria of unknown origin.
PMID- 29376068
TI - A Meta-Analysis about the Screening Role of Pulse Oximetry for Congenital Heart
Disease.
AB - Objective: The opinions about the application of pulse oximetry in diagnosis of
congenital heart disease (CHD) were debatable. We performed this meta-analysis to
confirm the diagnostic role of pulse oximetry screening for CHD. Methods:
Relevant articles were searched in the databases of Pubmed, Embase, Google
Scholar, and Chinese National Knowledge Infrastructure (CNKI) up to April 2017.
Data was processed in the MetaDiSc 1.4 software. Pooled sensitivity and
specificity with 95% confidence interval (95% CI) were calculated to explain the
diagnostic role of pulse oximetry screening for CHD. I2?50% or p < 0.05 indicated
significant heterogeneity. Area under curve (AUC) of summary receiver operating
characteristics (SROC) was calculated to assess its diagnostic accuracy. The
robustness of overall results was evaluated by sensitivity analysis. Publication
bias was evaluated by Deek's funnel plot. Results: 22 eligible articles were
selected. Pooled sensitivity and specificity were 0.69 (0.67-0.72) and 0.99 (0.99
0.99), respectively. The corresponding AUC was 0.9407, suggesting high diagnostic
accuracy of pulse oximetry screening for CHD. Sensitivity analysis demonstrated
that the pooled results were robust. Deek's funnel plot seemed to be symmetrical.
Conclusions: Pulse oximetry screening could be used to diagnose CHD. It shows
high diagnosis specificity and accuracy.
PMID- 29376067
TI - Two-Stage Tissue-Expander Breast Reconstruction: A Focus on the Surgical
Technique.
AB - Objective: Breast cancer, the most common malignancy in women, comprises 18% of
all female cancers. Mastectomy is an essential intervention to save lives, but it
can destroy one's body image, causing both physical and psychological trauma.
Reconstruction is an important step in restoring patient quality of life after
the mutilating treatment. Material and Methods: Tissue expanders and implants are
now commonly used in breast reconstruction. Autologous reconstruction allows a
better aesthetic result; however, many patients prefer implant reconstruction due
to the shorter operation time and lack of donor site morbidity. Moreover, this
reconstruction strategy is safe and can be performed in patients with multiple
health problems. Tissue-expander reconstruction is conventionally performed as a
two-stage procedure starting immediately after mammary gland removal. Results:
Mastectomy is a destructive but essential intervention for women with breast
cancer. Tissue expansion breast reconstruction is a safe, reliable, and
efficacious procedure with considerable psychological benefits since it provides
a healthy body image. Conclusion: This article focuses on this surgical technique
and how to achieve the best reconstruction possible.
PMID- 29376069
TI - Ultrasound in Infertility Setting: Optimal Strategy to Evaluate the Assessment of
Tubal Patency.
AB - Tubal patency is a key element in women who are undergoing assisted reproductive
techniques (ART), in order to attempt or exclude intrauterine insemination (IUI)
cycles. Amongst the different procedures that can be used, without resorting to
laparoscopy that remains the gold standard, hystero-salpingo-contrast sonography
(HyCoSy) is an acceptable, time-efficient, and well tolerated option; it can be
performed with administration of saline and air simultaneously or alternately
(air/saline-HyCoSy), or with some other contrast agents, like SonoVue (sulfur
hexafluoride microbubbles). In this paper, we describe two different studies: in
the first one, our aim is to compare the efficiency of air/saline-HyCoSy with
HyCoSy performed with contrast media (SonoVue), considering hysterosalpingography
(HSG) and laparoscopy (LPS) as reference tests; in the second one, we estimate
the pregnancy rate of a cohort of infertile women selected to undergo IUI cycles
after tubal bilateral patency demonstration with air/saline-HyCoSy, to understand
if this technique can be used as an efficient screening procedure in a
Reproductive Unit.
PMID- 29376070
TI - Increased Cardiovascular Risk in Patients with Adrenal Insufficiency: A Short
Review.
AB - Cardiovascular disease (CVD) is the most common cause of death in the world.
Recent studies have shown an association between adrenal insufficiency (AI) and
increased cardiovascular risk (CVR). Patients with AI receive glucocorticoid (GC)
replacement therapy which can lead to varying levels of blood cortisol. It was
shown that these imbalances in blood cortisol may lead to a higher prevalence of
coronary heart disease, major adverse coronary events, and increased mortality.
GC substitution is essential in the treatment of AI without which the disease has
been shown to be fatal. The most frequently used GC formula for replacement
therapy is hydrocortisone (HC). There is no uniform opinion on hydrocortisone
replacement therapy. Alternative GC such as prednisolone is also in use.
Overreplacement of GC may lead to adverse effects including obesity, high blood
pressure, and hyperglycaemia. Outcome may vary between primary and secondary AI
mainly due to differences in the renin-angiotensin-aldosterone system (RAAS).
Furthermore, decreased blood levels of cortisol may lead to a compensatory
secretion of inflammatory mediators such as Interleukin-1 (IL-1), Interleukin-6
(IL-6), and/or tumor-necrosis factor (TNF). Physicians and patients should be
properly educated about the increased risk of CVD in patients with AI.
PMID- 29376071
TI - Mental Task Classification Scheme Utilizing Correlation Coefficient Extracted
from Interchannel Intrinsic Mode Function.
AB - In view of recent increase of brain computer interface (BCI) based applications,
the importance of efficient classification of various mental tasks has increased
prodigiously nowadays. In order to obtain effective classification, efficient
feature extraction scheme is necessary, for which, in the proposed method, the
interchannel relationship among electroencephalogram (EEG) data is utilized. It
is expected that the correlation obtained from different combination of channels
will be different for different mental tasks, which can be exploited to extract
distinctive feature. The empirical mode decomposition (EMD) technique is employed
on a test EEG signal obtained from a channel, which provides a number of
intrinsic mode functions (IMFs), and correlation coefficient is extracted from
interchannel IMF data. Simultaneously, different statistical features are also
obtained from each IMF. Finally, the feature matrix is formed utilizing
interchannel correlation features and intrachannel statistical features of the
selected IMFs of EEG signal. Different kernels of the support vector machine
(SVM) classifier are used to carry out the classification task. An EEG dataset
containing ten different combinations of five different mental tasks is utilized
to demonstrate the classification performance and a very high level of accuracy
is achieved by the proposed scheme compared to existing methods.
PMID- 29376072
TI - First-Line Helicobacter pylori Eradication in Patients with Chronic Kidney
Diseases in Taiwan.
AB - Aims: Patients with chronic kidney disease (CKD) and Helicobacter pylori (H.
pylori) infection have a higher incidence of gastroduodenal diseases and
therefore are recommended to receive eradication therapies. This study aimed to
assess the efficacy of a 7-day standard triple therapy in patients with CKD (eGFR
< 60 ml/min/1.73 m2) and to investigate the clinical factors influencing the
success of eradication. Methods: A total of 758 patients with H. pylori infection
receiving a 7-day standard first-line triple therapy between January 1, 2013, and
December 31, 2014, were recruited. Patients were divided into two groups: CKD
group (N = 130) and non-CKD group (N = 628). Results: The eradication rates
attained by the CKD and non-CKD groups were 85.4% and 85.7%, respectively, in the
per-protocol analysis (p = 0.933). The eradication rate in CKD stage 3 was 84.5%
(82/97), in stage 4 was 88.2% (15/17), and in those who received hemodialysis was
87.5% (14/16). There were no significant differences in the various stages of CKD
(p = 0.982). The adverse events were similar between the two groups (3.1% versus
4.6%, p = 0.433). Compliance between the two groups was good (100.0% versus
99.8%, p = 0.649). There was no significant clinical factor influencing the H.
pylori eradication rate in the non-CKD and CKD groups. Conclusions: This study
suggests that the H. pylori eradication rate and adverse rate in patients with
CKD are comparable to those of non-CKD patients.
PMID- 29376074
TI - Catalytic Properties of Amylolytic Enzymes Produced by Gongronella butleri Using
Agroindustrial Residues on Solid-State Fermentation.
AB - Amylases catalyze the hydrolysis of starch, a vegetable polysaccharide abundant
in nature. These enzymes can be utilized in the production of syrups, alcohol,
detergent, pharmaceutical products, and animal feed formulations. The aim of this
study was to optimize the production of amylases by the filamentous fungus
Gongronella butleri by solid-state fermentation and to evaluate the catalytic
properties of the obtained enzymatic extract. The highest amylase production,
63.25 U g-1 (or 6.32 U mL-1), was obtained by culturing the fungus in wheat bran
with 55% of initial moisture, cultivated for 96 h at 25 degrees C. The enzyme
presented optimum activity at pH 5.0 and 55 degrees C. The amylase produced was
stable in a wide pH range (3.5-9.5) and maintained its catalytic activity for 1 h
at 40 degrees C. Furthermore, the enzymatic extract hydrolyzed starches from
different vegetable sources, presenting predominant dextrinizing activity for all
substrates evaluated. However, the presence of glucose was observed in a higher
concentration during hydrolysis of corn starch, indicating the synergistic action
of endo- and exoamylases, which enables the application of this enzymatic extract
to produce syrups from different starch sources.
PMID- 29376075
TI - Midterm Results of a New Rotating Hinge Knee Implant: A 5-Year Follow-Up.
AB - Background: In the current study, we investigated midterm results of a new
rotating hinge total knee arthroplasty (EnduRo prosthesis), which uses a new
bearing material (CFR PEEK). Methods: We prospectively analysed data of 50
patients with a minimum follow-up of 5 years. In 24 (48%) patients, a primary
implantation was performed and 26 (52%) were revision cases. Clinical and
radiographic examinations were performed preoperatively as well as
postoperatively after 3 and 12 months and annually thereafter. The Knee Society
Score (KSS), WOMAC, Oxford Knee Score (OKS), and range of motion (ROM) were used
for clinical assessment. Results: KSS, WOMAC, OKS, and ROM significantly improved
between the preoperative and the follow-up investigations. The overall survival
rate with revision for any reason as an endpoint was 77.9% after five years. The
number of complications was significantly higher in the revision group (p =
0.003). Conclusion: The EnduRo prosthesis provides highly satisfying clinical and
functional results in severe primary as well as in revision cases. Implant
associated complications were rare. However, in cases of revision surgery, the
risk for complications was considerably high, mostly related to previous joint
infections and poor soft tissue quality.
PMID- 29376076
TI - K Important Neighbors: A Novel Approach to Binary Classification in High
Dimensional Data.
AB - K nearest neighbors (KNN) are known as one of the simplest nonparametric
classifiers but in high dimensional setting accuracy of KNN are affected by
nuisance features. In this study, we proposed the K important neighbors (KIN) as
a novel approach for binary classification in high dimensional problems. To avoid
the curse of dimensionality, we implemented smoothly clipped absolute deviation
(SCAD) logistic regression at the initial stage and considered the importance of
each feature in construction of dissimilarity measure with imposing features
contribution as a function of SCAD coefficients on Euclidean distance. The nature
of this hybrid dissimilarity measure, which combines information of both features
and distances, enjoys all good properties of SCAD penalized regression and KNN
simultaneously. In comparison to KNN, simulation studies showed that KIN has a
good performance in terms of both accuracy and dimension reduction. The proposed
approach was found to be capable of eliminating nearly all of the noninformative
features because of utilizing oracle property of SCAD penalized regression in the
construction of dissimilarity measure. In very sparse settings, KIN also
outperforms support vector machine (SVM) and random forest (RF) as the best
classifiers.
PMID- 29376073
TI - Adverse Health Effects of Betel Quid and the Risk of Oral and Pharyngeal Cancers.
AB - Global reports estimate 600 million betel quid (BQ) chewers. BQ chewing has been
demonstrated not only to be a risk factor for cancers of the oral cavity and
pharynx and oral potentially malignant disorders (OPMD) but also to cause other
cancers and adverse health effects. Herein, we summarized the international
comparison data to aid in the understanding of the close relationship between the
prevalence of BQ chewing, the occurrence of oral and pharyngeal cancers, and
adverse health effects. Potential biomarkers of BQ carcinogens, such as areca
nut, alkaloids, and 3-methylnitrosaminopropionitrile (MNPN), are closely
associated with human health toxicology. Molecular mechanisms or pathways
involving autophagy, hypoxia, COX-2, NF-kappaB activity, and stemness are known
to be induced by BQ ingredients and are very closely related to the
carcinogenesis of cancers of oral and pharynx. BQ abuse-related monoamine oxidase
(MAO) gene was associated with the occurrence and progress of oral and pharyngeal
cancers. In summary, our review article provides important insights into the
potential roles of environmental BQ (specific alkaloid biomarkers and nitrosamine
products MNPN) and genetic factors (MAO) and offers a basis for studies aiming to
reduce or eliminate BQ-related OPMD and oral/pharyngeal cancer incidences in the
future.
PMID- 29376077
TI - An Anatomical Study of Maxillary-Zygomatic Complex Using Three-Dimensional
Computerized Tomography-Based Zygomatic Implantation.
AB - Objective: To obtain anatomical data of maxillary-zygomatic complex based on
simulating the zygomatic implantation using cadaver heads and three-dimensional
computerized tomography (3D-CT). Methods: Simulating zygomatic implantation was
performed using seven cadaver heads and 3D-CT images from forty-eight adults.
After measuring the maxillary-zygomatic complex, we analyzed the position between
the implantation path and the maxillary sinus cavity as well as the distance
between the implantation path and the zygomatic nerve. Results: The distance from
the starting point to the endpoint of the implant was 56.85 +/- 5.35 mm in
cadaver heads and 58.15 +/- 7.37 mm in 3D-CT images. For the most common
implantation path (80.20%), the implant went through the maxillary sinus cavity
completely. The projecting points of the implant axis (IA) on the surface of
zygoma were mainly located in the region of frontal process of zygomatic bone
close to the lateral orbital wall. The distances between IA and zygomatic nerve
in 53 sides were shorter than 2 mm. Conclusion: The simulating zygomatic
implantation on cadaver skulls and 3D-CT imaging provided useful anatomical data
of the maxillary-zygomatic complex. It is necessary to take care to avoid the
zygomatic nerve injury during implantation, because it frequently appears on the
route of implantation.
PMID- 29376078
TI - Ameliorative Effect of Cactus (Opuntia ficus indica) Extract on Lithium-Induced
Nephrocardiotoxicity: A Biochemical and Histopathological Study.
AB - Opuntia ficus indica (family Cactaceae) is used in the treatment of a variety of
conditions including metal-induced toxicity. The study reports the protective
effects of Opuntia ficus indica (CCE) against lithium carbonate-induced toxicity
in rats. Nephrocardiotoxicity was induced in male Wistar rats by single dose of
lithium carbonate (25 mg/kg b.w twice daily for 30 days). Aqueous extract of
Opuntia ficus indica was administered at the dose of 100 mg/kg of b.w by gavage
for 60 days. Obtained results revealed that administration of lithium carbonate
caused a significant increase in serum creatinine, uric acid, and urea levels.
Additionally, a significant decrease in the level of renal and cardiac SOD, CAT,
and GPx activities was associated with a significant increase of MDA levels in
lithium carbonate group more than those of the control. However, the treatment of
experimental rats with CCE prevented these alterations and maintained the
antioxidant status. The histopathological observations supported the biochemical
evidences of nephrocardioprotection. CCE supplementation could protect against
lithium carbonate-induced renal and cardiac injuries in rats, plausibly by the
upregulation of antioxidant enzymes and inhibition of MDA to confer the
protective effect.
PMID- 29376079
TI - Triclocarban and Triclosan Inhibit Human Aromatase via Different Mechanisms.
AB - Human aromatase (CYP19A1) is an important enzyme, which produces estrogen from
androgen for maintaining the female reproductive function and pregnancy.
Triclocarban and triclosan are antimicrobial chemicals added to personal care,
household, and industrial products. They could be endocrine disruptors and may
disrupt human CYP19A1 activity. In the present study, we investigated the effects
of triclocarban and triclosan on estradiol production and human CYP19A1 activity
in JEG-3 cells. Triclocarban and triclosan reduced estradiol production in JEG-3
cells. Triclocarban and triclosan inhibited human CYP19A1 with IC50 values of
15.81 and 6.26 MUM, respectively. Triclosan competitively inhibited CYP19A1,
while triclocarban noncompetitively inhibited this enzyme. Docking study showed
that triclosan bound to the steroid-binding pocket of CYP19A1, while triclocarban
was off this target, suggesting a different mechanism. In conclusion,
triclocarban and triclosan are inhibitors of human CYP19A1.
PMID- 29376080
TI - Parental Diabetes Behaviors and Distress Are Related to Glycemic Control in Youth
with Type 1 Diabetes: Longitudinal Data from the DINO Study.
AB - Objective: To evaluate (1) the longitudinal relationship between parental well
being and glycemic control in youth with type 1 diabetes and (2) if youth's
problem behavior, diabetes parenting behavior, and parental diabetes-distress
influence this relationship. Research Design and Methods: Parents of youth 8-15
yrs (at baseline) (N = 174) participating in the DINO study completed
questionnaires at three time waves (1 yr interval). Using generalized estimating
equations, the relationship between parental well-being (WHO-5) and youth's HbA1c
was examined. Second, relationships between WHO-5, Strength and Difficulties
Questionnaire (SDQ), Diabetes Family Behavior Checklist (DFBC), Problem Areas In
Diabetes-Parent Revised (PAID-Pr) scores, and HbA1c were analyzed. Results: Low
well-being was reported by 32% of parents. No relationship was found between
parents' WHO-5 scores and youth's HbA1c (beta = -0.052, p = 0.650). WHO-5 related
to SDQ (beta = -0.219, p < 0.01), DFBC unsupportive scale (beta = -0.174, p <
0.01), and PAID-Pr (beta = -0.666, p < 0.01). Both DFBC scales (supportive beta =
-0.259, p = 0.01; unsupportive beta = 0.383, p = 0.017), PAID-Pr (beta = 0.276, p
< 0.01), and SDQ (beta = 0.424, p < 0.01) related to HbA1c. Conclusions: Over
time, reduced parental well-being relates to increased problem behavior in youth,
unsupportive parenting, and parental distress, which negatively associate with
HbA1c. More unsupportive diabetes parenting and distress relate to youth's
problem behavior.
PMID- 29376082
TI - Corrigendum to "Gene Expression Profiles of Human Phosphotyrosine Phosphatases
Consequent to Th1 Polarisation and Effector Function".
AB - [This corrects the article DOI: 10.1155/2017/8701042.].
PMID- 29376081
TI - A Comprehensive Review of US FDA-Approved Immune Checkpoint Inhibitors in
Urothelial Carcinoma.
AB - Few effective treatment options are available for patients with advanced or
metastatic urothelial carcinoma (UC) after unsuccessful first-line platinum-based
chemotherapy. To date, immune checkpoint inhibitors are novel therapeutic agents
for UC treatment. From May 2016 to May 2017, five anti-PD-1/PD-L1 monoclonal
antibodies received accelerated or regular approval from the US Food and Drug
Administration (FDA) for the treatment of patients with locally advanced or
metastatic UC. The present comprehensive review presents the background
information of these five US FDA-approved anticancer agents to provide a basic
but concise understanding of these agents for advanced studies. We summarize
their immune checkpoint mechanisms, clinical efficacy, recommended usage
protocols, adverse events, and the limitations of the PD-L1 biomarker assays.
PMID- 29376083
TI - Evaluating Variance Estimators for Respondent-Driven Sampling.
PMID- 29376084
TI - Chinese Ethnicity Is Associated With Concomitant Cartilage Injuries in Anterior
Cruciate Ligament Tears.
AB - Background: Chinese ethnicity is associated with the presence of knee
osteoarthritis. This raises the possibility that it may similarly be associated
with concomitant meniscus and cartilage injuries in patients with anterior
cruciate ligament (ACL) tears. There are currently no published data on the
effect of Chinese ethnicity in this regard. Purpose: The primary aim was to
determine whether Chinese ethnicity is associated with concomitant intra
articular injuries in patients with ACL tears and to verify the correlation of
age, sex, body mass index, mechanism of injury, cause of injury, and presence of
bone contusions on magnetic resonance imaging with such injuries. A secondary
purpose was to determine the optimal time frame for surgical reconstruction in
patients with identified risk factors for concomitant injuries. Study Design:
Cohort study; Level of evidence, 3. Methods: The medical records of 696 patients
from a multiethnic population who underwent ACL reconstruction from January 2013
to August 2016 were retrospectively analyzed. Univariate and multivariate
logistic regression analyses were performed to identify patient factors that were
associated with medial meniscus tears, lateral meniscus tears, and cartilage
injuries. Further univariate analysis was conducted to determine the earliest
time point for surgery, after which the rate of concomitant injuries was
significantly higher. Results: Over half (69.1%, n = 481) of our study population
sustained at least 1 other concomitant knee injury. Meniscus tears were most
frequently associated with ACL tears (24.1% medial, 25.6% lateral, and 15.5%
medial and lateral meniscus tears). Cartilage injuries were present in 18.4% of
our cohort. Chinese ethnicity was associated with concomitant cartilage injuries.
Increased age (>=30 years) was significantly associated with cartilage injuries
and male sex with medial and lateral meniscus tears. Among patients with these
factors, significantly fewer medial meniscus tears and cartilage injuries were
noted when surgery was carried out within 12 months of the index trauma.
Conclusion: This is one of the first studies to have identified an association
between Chinese ethnicity and concomitant cartilage injuries in ACL tears. This
study also found an association between increased age and an increased prevalence
of cartilage injuries. Male sex was associated with both medial and lateral
meniscus tears. Definitive surgery should be performed within 12 months of the
index injury to minimize further intra-articular injuries.
PMID- 29376085
TI - Anterolateral Ligament Reconstruction: A Possible Option in the Therapeutic
Arsenal for Persistent Rotatory Instability After ACL Reconstruction.
PMID- 29376086
TI - Sport Specialization Characteristics Between Rural and Suburban High School
Athletes.
AB - Background: Sport specialization has been associated with overuse injuries and is
more common in larger high schools, which are often located in more
urban/suburban settings. However, sport participation characteristics have not
been compared between suburban and rural high schools. Purpose/Hypothesis: The
purpose of this study was to examine the differences in sport participation
characteristics between athletes at suburban and rural high schools. It was
hypothesized that suburban high school students would be more likely to be highly
specialized, participate in more athletic competitions per year, and play in a
league outside of school. We also hypothesized that suburban high school students
would start playing their primary sport at a younger age, would have participated
in their primary sport for longer, and would play more months per year and hours
per week. Study Design: Cross-sectional study. Methods: High school athletes from
4 high schools (2 suburban and 2 rural) participated in this study (N = 354 [222
females]; mean age, 15.7 +/- 1.2 years). Athletes were on a school-sponsored
athletic team in 1 of 4 sports (volleyball, tennis, basketball, soccer). The
suburban schools (study enrollment, n = 226) had total school enrollments of 2271
and 622 students, while the rural schools (study enrollment, n = 128) had total
school enrollments of 443 and 297. Participants completed a questionnaire prior
to the start of their high school sport season. The questionnaire consisted of
demographic information, a sport specialization scale, and sport participation
information. Primary sport competition volume in the previous 12 months was
classified as high (>60 primary sport competitions), moderate (30-60), or low
(<30). Sport specialization status was classified via a 3-point scale as low,
moderate, or high. Results: As compared with athletes at rural schools, athletes
at suburban schools started playing their primary sport at a younger age
(suburban, 7.8 +/- 2.9 years; rural, 9.7 +/- 3.2 years; P < .001) and
participated for more years (suburban, 7.9 +/- 3.1 years; rural, 6.1 +/- 3.3
years; P < .001), more months per year (suburban, 7.6 +/- 3.6 months; rural, 5.6
+/- 2.8 months; P < .001), and more hours per week (suburban, 15.2 +/- 5.1 hours;
rural, 12.9 +/- 3.3 hours; P < .001). Athletes at suburban schools were more
likely than rural athletes to be classified as highly specialized (chi2 = 52.5, P
< .001), participate in more competitions (chi2 = 16.5, P < .001), play in a
league outside of school (chi2 = 18.4, P < .001), and train in their primary
sport for >8 months per year (chi2 = 27.8, P < .001) and >16 hours per week (chi2
= 15.0, P < .001). Conclusion: High school athletes at suburban schools are more
likely to exhibit sport participation patterns that are associated with increased
risk of overuse injury. These include being classified as highly specialized,
playing their primary sport >8 months per year and >16 hours per week, engaging
in a high competition volume, and participating in a sport league (eg, club)
outside of school. Efforts aimed at safe sport participation should target these
groups, as they seem more likely to violate safe sport recommendations.
PMID- 29376087
TI - Diagnostic Potential of Neural Exosome Cargo as Biomarkers for Acute Brain
Injury.
AB - Objective: Neuronal exosomes purified from peripheral blood samples have been
proposed as diagnostic tool in the setting of acute brain injury but never tested
clinically. We hypothesized that exosome protein biomarkers would change over
time following acute hypoxic brain injury and would predict response to therapy.
Methods: Synaptopodin (SYNPO), an actin-associated protein present in
postsynaptic spines, was evaluated as a potential biomarker as well as:
synaptophysin, neuron-specific enolase, and mitochondrial cytochrome c oxidase. A
secondary analysis was performed on neonatal samples collected at 8, 10, and 14 h
after the initiation of therapeutic-controlled hypothermia for acute hypoxic
ischemic encephalopathy (n = 14). Neuronal exosomes were purified from serum and
protein levels were quantified using standard ELISA methods. The primary study
outcomes were length of stay (LOS), discharge on seizure medication (DCMED), and
composite neuroimaging score (NIS). Results: The slope of change in neuronal
exosome SYNPO between 8 and 14 h appeared to be the most promising biomarker for
all three clinical study outcomes. SYNPO was highly correlated with LOS (-0.91, P
< 0.001). SYNPO increased in 6/8 without DCMED and was worse or neutral in 5/5
with DCMED (P = 0.02). All four neonates with an abnormal NIS had neutral or
decreasing SYNPO (P = 0.055). Other candidate biomarkers were not associated with
outcomes. Interpretation: This report provides the first clinical evidence that
neural exosomes turn over rapidly enough in the peripheral circulation to be used
as a "troponin-like" test following acute brain injury. Optimal sampling and
biomarkers likely vary with type of brain injury.
PMID- 29376088
TI - An in vivo 11C-PK PET study of microglia activation in Fatal Familial Insomnia.
AB - Objective: Postmortem studies reported significant microglia activation in
association with neuronal apoptosis in Fatal Familial Insomnia (FFI), indicating
a specific glial response, but negative evidence also exists. An in vivo study of
local immune responses over FFI natural course may contribute to the
understanding of the underlying pathogenesis. Methods: We included eight
presymptomatic subjects (mean +/- SD age:44.13 +/- 3.83 years) carrying the
pathogenic D178N-129met FFI mutation, one symptomatic patient (male, 45 yrs.
old), and nine healthy controls (HC) (mean +/- SD age: 44.00 +/- 11.10 years.)
for comparisons. 11C-(R)-PK11195 PET allowed the measurement of Translocator
Protein (TSPO) overexpression, indexing microglia activation. A clustering
algorithm was adopted to define subject-specific reference regions. Voxel-wise
statistical analyses were performed on 11C-(R)-PK11195 binding potential (BP)
images both at the group and individual level. Results: The D178N-129met/val FFI
patient showed significant 11C-(R)-PK11195 BP increases in the midbrain,
cerebellum, anterior thalamus, anterior cingulate cortex, orbitofrontal cortex,
and anterior insula, bilaterally. Similar TSPO increases, but limited to limbic
structures, were observed in four out of eight presymptomatic carriers. The only
carrier with the codon 129met/val polymorphism was the only one showing an
additional TSPO increase in the anterior thalamus. Interpretation: In comparison
to nonprion neurodegenerative diseases, the observed lack of a diffuse brain TSPO
overexpression in preclinical and the clinical FFI cases suggests the presence of
a different microglia response. The involvement of limbic structures might
indicate a role for microglia activation in these key pathologic regions, known
to show the most significant neuronal loss and functional deafferentation in FFI.
PMID- 29376089
TI - MRI biomarkers of proximal nerve injury in CIDP.
AB - Objective: To evaluate the utility of nerve diffusion tensor imaging (DTI), nerve
cross-sectional area, and muscle magnetic resonance imaging (MRI) multiecho Dixon
for assessing proximal nerve injury in chronic inflammatory demyelinating
polyneuropathy (CIDP). Methods: In this prospective observational cohort study,
11 patients with CIDP and 11 healthy controls underwent a multiparametric MRI
protocol with DTI of the sciatic nerve and assessment of muscle proton-density
fat fraction of the biceps femoris and the quadriceps femoris muscles by
multiecho Dixon MRI. Patients were longitudinally evaluated by MRI, clinical
examination, and nerve conduction studies at baseline and after 6 months.
Results: In sciatic nerves of CIDP patients, mean cross-sectional area was
significantly higher and fractional anisotropy value was significantly lower,
compared to controls. In contrast, muscle proton-density fat fraction was
significantly higher in thigh muscles of patients with CIDP, compared to
controls. MRI parameters showed high reproducibility at baseline and 6 months.
Interpretation: Advanced MRI parameters demonstrate subclinical proximal nerve
damage and intramuscular fat accumulation in CIDP. Data suggest DTI and multiecho
Dixon MRI might be useful in estimating axonal damage and neurogenic muscle
changes in CIDP.
PMID- 29376090
TI - Cognitive impairment in epilepsy: the role of reduced network flexibility.
AB - Objective: The dominant model of cognitive impairment in focal epilepsy has
emphasised structural bases for cognitive deficits. Current theories of cognition
in the healthy brain emphasise the importance of the reweighting of brain network
interactions in support of task performance. Here, we explore the hypothesis that
cognitive deficits in epilepsy arise through abnormalities of dynamic functional
network interactions. Method: We studied 19 healthy controls and 37 temporal lobe
epilepsy (TLE) patients, using a behavioural measure of verbal fluency (the
Controlled Oral Word Association Test) and an fMRI verbal fluency paradigm
(Orthographic Lexical Retrieval). Results: Behaviourally, verbal fluency was
significantly impaired in TLE. Psychophysiological interaction analyses of the
fMRI data, which capture state-dependent changes in network connectivity,
revealed reduced task-dependent modulations of connectivity from left superior
medial frontal cortex to left middle frontal gyrus in TLE patients. Individual
differences in verbal fluency among TLE cases was correlated with task-dependent
changes in connectivity from left posterior cingulate to left superior medial
frontal cortex, and from left superior medial frontal cortex to a range of right
predominant brain areas. Interpretation: These data reveal that the typical
pattern of task-driven shifts in network connectivity is not observed in TLE. Our
observations go beyond simple structure-function associations and suggest that
failure of network flexibility can be an important contributor to cognitive
impairment in epilepsy.
PMID- 29376091
TI - ABCA7 and risk of dementia and vascular disease in the Danish population.
AB - Objective: ATP-binding-cassette transporter A7(ABCA7) is suggested to be involved
in lipid transport as well as in phagocytosis of amyloid-beta in the brain. We
tested the hypothesis that a common genetic variant in ABCA7 is associated with
dementia, ischemic heart disease, ischemic cerebrovascular disease, and with
lipid levels in the general population, independent of the common apolipoprotein
E(APOE) genotype. Methods: For this purpose, we genotyped a common genetic
variant in ABCA7, identified in genome-wide-association-studies of Alzheimer's
disease, in 104,258 individuals from the Danish general population, and also meta
analyzed our results with publicly available consortia data. Results:
Multifactorially adjusted hazard ratios for Alzheimer's disease were 1.07 (95%
confidence interval:0.93-1.23) and 1.72 (1.24-2.40) for GA and AA versus GG
genotype. Results were similar after APOE genotype adjustment and when only APOE
E33 carriers were studied. Including 178,304 individuals, the meta-analyzed odds
ratio for Alzheimer's disease per one allele ABCA7 rs4147929 increase was 1.15
(1.12-1.18). ABCA7 genotype was not convincingly associated with vascular
dementia, ischemic heart disease, ischemic cerebrovascular disease, or with lipid
levels. Including 288,563 individuals, meta-analyzed odds ratios for ischemic
heart disease per one allele ABCA7 rs4147929 increase was 1.01 (0.99-1.03).
Interpretation: A common genetic variant in ABCA7 was associated with high risk
of Alzheimer's disease independent of APOE genotype. The lack of association with
vascular dementia, ischemic heart disease, ischemic cerebrovascular disease, and
with lipid levels suggests that ABCA7 is not important for atherosclerosis. Thus,
our findings support the suggested role of ABCA7 in Alzheimer's disease pathology
and phagocytic clearance of amyloid-beta in the brain.
PMID- 29376092
TI - Neuronal activity and outcomes from thalamic surgery for spinocerebellar ataxia.
AB - Objectives: We investigated the effects of deep brain stimulation (DBS) or
lesions of the ventral intermediate nucleus (Vim) of the thalamus for
spinocerebellar ataxia (SCA) and examined the pathophysiological role of neuronal
activity of the Vim underlying ataxia. Methods: Five patients with SCA with
cortical atrophy (ages 60-69 years; 2 sporadic and three familial SCA) and five
patients with essential tremor (ET) (ages 57-71 years) were treated with Vim
surgery. Intraoperatively, we recorded neuronal activity from single neurons in
the Vim thalamus while patients were at rest and compared the physiological
properties of those neurons between patients with SCA and those with ET. Results:
Postsurgery mean scores for the Fahn-Tolosa-Marin Tremor Scale were improved from
78 to 44 in SCA patients and from 54 to 21 in ET patients. Stronger stimulation
was necessary to optimize outcomes in SCA as compared to ET patients. We analyzed
68 Vim neurons in SCA and 60 Vim neurons in ET. Mean discharge rates, burst
characteristics, and oscillatory activity were similar for both patient groups,
however, we observed that the ratio of cells responding to passive manipulation
was significantly smaller (P = 0.0001) in SCA (22%) than in ET (71%).
Interpretation: Thalamic surgery led to a significant improvement in tremor in
SCA patients. One potential mechanism underlying ataxia in SCA may be disruption
of cerebellar sensory feedback, which modulates motor commands in the cerebello
thalamo-cortical network.
PMID- 29376093
TI - Lifelong behavioral and neuropathological consequences of repetitive mild
traumatic brain injury.
AB - Objective: Exposure to repetitive concussion, or mild traumatic brain injury
(mTBI), has been linked with increased risk of long-term neurodegenerative
changes, specifically chronic traumatic encephalopathy (CTE). To date,
preclinical studies largely have focused on the immediate aftermath of mTBI, with
no literature on the lifelong consequences of mTBI in these models. This study
provides the first account of lifelong neurobehavioral and histological
consequences of repetitive mTBI providing unique insight into the constellation
of evolving and ongoing pathologies with late survival. Methods: Male C57BL/6J
mice (aged 2-3 months) were exposed to either single or repetitive mild TBI or
sham procedure. Thereafter, animals were monitored and assessed at 24 months post
last injury for measures of motor coordination, learning deficits, cognitive
function, and anxiety-like behavior prior to euthanasia and preparation of the
brains for detailed neuropathological and protein biochemical studies. Results:
At 24 months survival animals exposed to r-mTBI showed clear evidence of learning
and working memory impairment with a lack of spatial memory and vestibule-motor
vestibulomotor deficits compared to sham animals. Associated with these late
behavioral deficits there was evidence of ongoing axonal degeneration and
neuroinflammation in subcortical white matter tracts. Notably, these changes were
also observed after a single mTBI, albeit to a lesser degree than repetitive
mTBI. Interpretation: In this context, our current data demonstrate, for the
first time, that rather than an acute, time limited event, mild TBI can
precipitate a lifelong degenerative process. These data therefore suggest that
successful treatment strategies should consider both the acute and chronic nature
of mTBI.
PMID- 29376094
TI - Cognitive clinico-radiological paradox in early stages of multiple sclerosis.
AB - Objective: To investigate whether the strength of the association between
magnetic resonance imaging (MRI) metrics and cognitive outcomes differs between
various multiple sclerosis subpopulations. Methods: A total of 1052 patients were
included in this large cross-sectional study. Brain MRI (T1 and T2 lesion volume
and brain parenchymal fraction) and neuropsychological assessment (Brief
International Cognitive Assessment for Multiple Sclerosis and Paced Auditory
Serial Addition Test) were performed. Results: Weak correlations between
cognitive domains and MRI measures were observed in younger patients (age<=30
years; absolute Spearman's rho = 0.05-0.21), with short disease duration (<2
years; rho = 0.01-0.21), low Expanded Disability Status Scale [EDSS] (<=1.5; rho
= 0.08-0.18), low T2 lesion volume (lowest quartile; <0.59 mL; rho = 0.01-0.20),
and high brain parenchymal fraction (highest quartile; >86.66; rho = 0.01-0.16).
Stronger correlations between cognitive domains and MRI measures were observed in
older patients (age>50 years; rho = 0.24-0.50), with longer disease duration (>15
years; rho = 0.26-0.53), higher EDSS (>=5.0; rho = 0.23-0.39), greater T2 lesion
volume (highest quartile; >5.33 mL; rho = 0.16-0.32), and lower brain parenchymal
fraction (lowest quartile; <83.71; rho = 0.13-0.46). The majority of these
observed results were confirmed by significant interactions (P <= 0.01) using
continuous variables. Interpretation: The association between structural brain
damage and functional cognitive impairment is substantially weaker in multiple
sclerosis patients with a low disease burden. Therefore, disease stage should be
taken into consideration when interpreting associations between structural and
cognitive measures in clinical trials, research studies, and clinical practice.
PMID- 29376095
TI - Massive cortical reorganization is reversible following bilateral transplants of
the hands: evidence from the first successful bilateral pediatric hand transplant
patient.
AB - In this repeated measures case study, we show that sensory deafferentation after
limb amputation leads to changes in cortical somatotopic maps which are
reversible after restoration of sensory input. Using magnetoencephalography
(MEG), we observed in a child with bilateral hand transplants large-scale shifts
in somatosensory lip cortical representation from anatomic hand area to anatomic
face region. After recovery of tactile sensation in the digits, responses to
finger stimulation were localized to orthotopic sensory cortex, but with atypical
electrophysiologic features (amplitude and frequencies).
PMID- 29376096
TI - Birth and death of a phantom.
AB - Patients with supernumerary phantom limb report experiencing an additional limb
duplicating its physical counterpart, usually following a stroke with
sensorimotor disturbances. Here, we report a short-lasting case of a right upper
supernumerary phantom limb with unusual visuomotor features in a healthy
participant during a pure Jacksonian motor seizure unexpectedly induced by
continuous Theta-Burst Stimulation over the left primary motor cortex.
Electromyographic correlates of the event followed the phenomenological pattern
of sudden appearance and brutal dissolution of the phantom, adding credit to the
hypothesis that supernumerary phantom limb results from a dynamic resolution of
conflictual multimodal information.
PMID- 29376097
TI - Xeroderma pigmentosum is a definite cause of Huntington's disease-like syndrome.
AB - Xeroderma pigmentosum is characterized by cutaneous, ophthalmological, and
neurological features. Although it is typical of childhood, late presentations
can mimic different neurodegenerative conditions. We report two families
presenting as Huntington's disease-like syndromes. The first case (group G)
presented with neuropsychiatric features, cognitive decline and chorea. Typical
lentigines were only noticed after the neurological disease started. The second
case (group B) presented adult-onset chorea and neuropsychiatric symptoms after
an aggressive ocular melanoma. Xeroderma pigmentosum can manifest as a
Huntington's Disease-like syndrome. Classic dermatological and oncological
features have to be investigated in choreic patients with negative genetic tests
for Huntington's disease-like phenotypes.
PMID- 29376098
TI - Combining clinical and biofluid markers for early Parkinson's disease detection.
AB - Accurate early diagnosis of Parkinson's disease is essential. Using data
available from the Parkinson's Progression Markers Initiative study, we
identified a multivariate logistic regression model including cerebrospinal fluid
alpha-synuclein, olfactory function, age, and gender that achieved a high degree
of discrimination between patients with Parkinson's disease and healthy control
or scan without evidence of dopaminergic deficit participants. Additionally, the
model could predict the conversion of scan without evidence of dopaminergic
deficit to Parkinson's disease, as well as discriminate between normal and
impaired subjects with leucine-rich repeat kinase 2 mutations. Although further
validation is needed, this model may serve as an alternative method to
neuroimaging screening in Parkinson's disease studies.
PMID- 29376100
TI - Are ventrolateral and dorsolateral prefrontal cortices involved in the
computerized Corsi block-tapping test execution? An fNIRS study.
AB - The Corsi block-tapping test (CBT) is an old neuropsychological test that,
requiring the storage and the reproduction of spatial locations, assesses spatial
working memory (WM). Despite its wide use in clinical practice, the specific
contribution of prefrontal cortex (PFC) subregions during CBT execution has not
been clarified yet. Considering the importance of spatial WM in daily life and
the well-known role of ventrolateral-PFC/dorsolateral-PFC (VLPFC/DLPFC) in WM
processes, the present study was aimed at investigating, by a 20-channel
functional near-infrared spectroscopy (fNIRS) system (including four short
separation channels), the hemodynamic response of the VLPFC/DLPFC during a
computerized version of the CBT. Thirty-nine university students were asked to
perform CBT standard version (CBTs), block-suppression CBT (CBTb), and control
task (CBTc). A VLPFC activation during CBTs and a DLPFC activation during CBTb
were hypothesized. The results of the Bayesian analysis have not shown a
delineated specific activation of VLPFC/DLPFC during either CBTs or CBTb. These
results together with the related ones obtained by others using fMRI are not
sufficient to definitively state the role of the PFC subregions during CBT
execution. The adoption of high-density diffuse optical tomography would be
helpful in further exploration of the PFC involvement in spatial WM tasks.
PMID- 29376102
TI - Quantification of uncertainty in the assessment of coronary plaque in CCTA
through a dynamic cardiac phantom and 3D-printed plaque model.
AB - The purpose of this study was to develop a dynamic physical cardiac phantom with
a realistic coronary plaque to investigate stenosis measurement accuracy under
clinically relevant heart-rates. The coronary plaque model (5 mm diameter, 50%
stenosis, and 32 mm long) was designed and 3D-printed with tissue equivalent
materials (calcified plaque with iodine-enhanced lumen). Realistic cardiac motion
was modeled by converting computational cardiac motion vectors into compression
and rotation profiles executed by a commercial base cardiac phantom. The phantom
was imaged on a dual-source CT system applying a retrospective gated coronary CT
angiography (CCTA) protocol using synthesized motion-synchronized
electrocardiogram (ECG) waveforms. Multiplanar reformatted images were
reconstructed along vessel centerlines. Enhanced lumens were segmented by five
independent operators. On average, stenosis measurement accuracy was 0.9%
positively biased for the motion-free condition. Average measurement accuracy
monotonically decreased from 0.9% positive bias for the motion-free condition to
18.5% negative bias at 90 beats per minute. Contrast-to-noise ratio, lumen
circularity, and segmentation conformity also decreased monotonically with
increasing heart-rate. These results demonstrate successful implementation of a
base cardiac phantom with a 3D-printed coronary plaque model, relevant motion
profile, and coordinated ECG waveform. They further show the utility of the model
to ascertain metrics of CCTA accuracy and image quality under realistic plaque,
motion, and acquisition conditions.
PMID- 29376104
TI - Pairwise domain adaptation module for CNN-based 2-D/3-D registration.
AB - Accurate two-dimensional to three-dimensional (2-D/3-D) registration of
preoperative 3-D data and intraoperative 2-D x-ray images is a key enabler for
image-guided therapy. Recent advances in 2-D/3-D registration formulate the
problem as a learning-based approach and exploit the modeling power of
convolutional neural networks (CNN) to significantly improve the accuracy and
efficiency of 2-D/3-D registration. However, for surgery-related applications,
collecting a large clinical dataset with accurate annotations for training can be
very challenging or impractical. Therefore, deep learning-based 2-D/3-D
registration methods are often trained with synthetically generated data, and a
performance gap is often observed when testing the trained model on clinical
data. We propose a pairwise domain adaptation (PDA) module to adapt the model
trained on source domain (i.e., synthetic data) to target domain (i.e., clinical
data) by learning domain invariant features with only a few paired real and
synthetic data. The PDA module is designed to be flexible for different deep
learning-based 2-D/3-D registration frameworks, and it can be plugged into any
pretrained CNN model such as a simple Batch-Norm layer. The proposed PDA module
has been quantitatively evaluated on two clinical applications using different
frameworks of deep networks, demonstrating its significant advantages of
generalizability and flexibility for 2-D/3-D medical image registration when a
small number of paired real-synthetic data can be obtained.
PMID- 29376103
TI - Virtual assessment of stereoscopic viewing of digital breast tomosynthesis
projection images.
AB - Digital breast tomosynthesis (DBT) acquires a series of projection images from
different angles as an x-ray source rotates around the breast. Such imaging
geometry lends DBT naturally to stereoscopic viewing as two projection images
with a reasonable separation angle can easily form a stereo pair. This simulation
study assessed the efficacy of stereo viewing of DBT projection images. Three
dimensional computational breast phantoms with realistically shaped synthetic
lesions were scanned by three simulated DBT systems. The projection images were
combined into a sequence of stereo pairs and presented to a stereomatching-based
model observer for deciding lesion presence. Signal-to-noise ratio was estimated,
and the detection performance with stack viewing of reconstructed slices was the
benchmark. We have shown that: (1) stereo viewing of projection images may
underperform stack viewing of reconstructed slices for current DBT geometries;
(2) DBT geometries may impact the efficacy of the two viewing modes differently:
narrow-arc and wide-arc geometries may be better for stereo viewing and stack
viewing, respectively; (3) the efficacy of stereo viewing may be more robust than
stack viewing to reductions in dose. While in principle stereo viewing is
potentially effective for visualizing DBT data, effective stereo viewing may
require specifically optimized DBT image acquisition.
PMID- 29376105
TI - PSNet: prostate segmentation on MRI based on a convolutional neural network.
AB - Automatic segmentation of the prostate on magnetic resonance images (MRI) has
many applications in prostate cancer diagnosis and therapy. We proposed a deep
fully convolutional neural network (CNN) to segment the prostate automatically.
Our deep CNN model is trained end-to-end in a single learning stage, which uses
prostate MRI and the corresponding ground truths as inputs. The learned CNN model
can be used to make an inference for pixel-wise segmentation. Experiments were
performed on three data sets, which contain prostate MRI of 140 patients. The
proposed CNN model of prostate segmentation (PSNet) obtained a mean Dice
similarity coefficient of [Formula: see text] as compared to the manually labeled
ground truth. Experimental results show that the proposed model could yield
satisfactory segmentation of the prostate on MRI.
PMID- 29376106
TI - Preface to Swiss National Center of Competence in Research: Molecular Ultrafast
Science and Technology.
PMID- 29376107
TI - Ultrafast dynamics induced by the interaction of molecules with electromagnetic
fields: Several quantum, semiclassical, and classical approaches.
AB - Several strategies for simulating the ultrafast dynamics of molecules induced by
interactions with electromagnetic fields are presented. After a brief overview of
the theory of molecule-field interaction, we present several representative
examples of quantum, semiclassical, and classical approaches to describe the
ultrafast molecular dynamics, including the multiconfiguration time-dependent
Hartree method, Bohmian dynamics, local control theory, semiclassical thawed
Gaussian approximation, phase averaging, dephasing representation, molecular
mechanics with proton transfer, and multipolar force fields. In addition to the
general overview, some focus is given to the description of nuclear quantum
effects and to the direct dynamics, in which the ab initio energies and forces
acting on the nuclei are evaluated on the fly. Several practical applications,
performed within the framework of the Swiss National Center of Competence in
Research "Molecular Ultrafast Science and Technology," are presented: These
include Bohmian dynamics description of the collision of H with H2, local control
theory applied to the photoinduced ultrafast intramolecular proton transfer,
semiclassical evaluation of vibrationally resolved electronic absorption,
emission, photoelectron, and time-resolved stimulated emission spectra, infrared
spectroscopy of H-bonding systems, and multipolar force fields applications in
the condensed phase.
PMID- 29376108
TI - Nonadiabatic effects in electronic and nuclear dynamics.
AB - Due to their very nature, ultrafast phenomena are often accompanied by the
occurrence of nonadiabatic effects. From a theoretical perspective, the treatment
of nonadiabatic processes makes it necessary to go beyond the (quasi) static
picture provided by the time-independent Schrodinger equation within the Born
Oppenheimer approximation and to find ways to tackle instead the full time
dependent electronic and nuclear quantum problem. In this review, we give an
overview of different nonadiabatic processes that manifest themselves in
electronic and nuclear dynamics ranging from the nonadiabatic phenomena taking
place during tunnel ionization of atoms in strong laser fields to the
radiationless relaxation through conical intersections and the nonadiabatic
coupling of vibrational modes and discuss the computational approaches that have
been developed to describe such phenomena. These methods range from the full
solution of the combined nuclear-electronic quantum problem to a hierarchy of
semiclassical approaches and even purely classical frameworks. The power of these
simulation tools is illustrated by representative applications and the direct
confrontation with experimental measurements performed in the National Centre of
Competence for Molecular Ultrafast Science and Technology.
PMID- 29376111
TI - Efficient Sum of Outer Products Dictionary Learning (SOUP-DIL) and Its
Application to Inverse Problems.
AB - The sparsity of signals in a transform domain or dictionary has been exploited in
applications such as compression, denoising and inverse problems. More recently,
data-driven adaptation of synthesis dictionaries has shown promise compared to
analytical dictionary models. However, dictionary learning problems are typically
non-convex and NP-hard, and the usual alternating minimization approaches for
these problems are often computationally expensive, with the computations
dominated by the NP-hard synthesis sparse coding step. This paper exploits the
ideas that drive algorithms such as K-SVD, and investigates in detail efficient
methods for aggregate sparsity penalized dictionary learning by first
approximating the data with a sum of sparse rank-one matrices (outer products)
and then using a block coordinate descent approach to estimate the unknowns. The
resulting block coordinate descent algorithms involve efficient closed-form
solutions. Furthermore, we consider the problem of dictionary-blind image
reconstruction, and propose novel and efficient algorithms for adaptive image
reconstruction using block coordinate descent and sum of outer products
methodologies. We provide a convergence study of the algorithms for dictionary
learning and dictionary-blind image reconstruction. Our numerical experiments
show the promising performance and speedups provided by the proposed methods over
previous schemes in sparse data representation and compressed sensing-based image
reconstruction.
PMID- 29376110
TI - Documentation of Contraception and Pregnancy Intention In Medicaid Managed Care.
AB - Context: Clinical guidelines recommend the documentation of pregnancy intention
and family planning needs during primary care visits. Prior to the 2014 Medicaid
expansion and release of these guidelines, the documentation practices of
Medicaid managed care providers are unknown. Methods: We performed a chart review
of 1054 Medicaid managed care visits of women aged 13 to 49 to explore client,
provider, and visit characteristics associated with documentation of immediate or
future plans for having children and contraceptive method use. Five managed care
plans used Current Procedural Terminology and International Classificationof
Diseases, Ninth Revision codes to identify providers with at least 15 women who
had received family planning or well-woman care in 2013. We conducted multilevel
logistic regression analyses with documentation of contraceptive method and
pregnancy intention as outcome variables and clinic site as the level 2 random
effect. Results: Only 12% of charts had documentation of pregnancy intention and
59% documented contraceptive use. Compared to women with a family planning visit
reason, women with an annual, reproductive health, or primary care reason for
their visit were significantly less likely to have contraception documented (odds
ratio [OR] = 11.0; 95% confidence interval [CI] = 6.8-17.7). Age was also a
significant predictor with women aged 30 to 49 (OR = 0.6; 95% CI = 0.4-0.9), and
women aged 13 to 19 (OR = 0.2; 95% CI = 0.1-0.6) being less likely to have a note
about pregnancy intention in their chart. Pregnancy intention was more likely to
be documented in multispecialty clinics (OR = 15.5; 95% CI = 2.7-89.2).
Conclusions: Interventions to improve routine medical record documentation of
contraception and pregnancy intention regardless of patient age and visit
characteristics are needed to facilitate the provision of family planning in
managed care visits and, ultimately, achieving better maternal infant health
outcomes and reduced costs.
PMID- 29376113
TI - Major Gross Lesions of Lung in Cattle Slaughtered at Hawassa Municipal Abattoir,
Southern Ethiopia.
AB - A cross-sectional study was conducted from November 2016 to April 2017, to
estimate the prevalence of major gross lung lesions in cattle slaughtered at
Hawassa Municipal Abattoir, southern Ethiopia. A total of 563 male cattle were
examined by antemortem examination, while postmortem lung lesions were done using
standard inspection procedures. Association between prevalence and the
explanatory variables was estimated by way of chi-square/Fischer's exact tests
using statistical packages for social science (SPSS) software. Upon postmortem
examination, 96.6% (544/563) of cattle examined had various gross lung lesions.
The most important lesions identified were hydatidosis, emphysema, congestion,
and atelectasis with prevalence of 45.3%, 19.2%, 18.1%, and 6.4%, respectively.
Based on origins of slaughtered animals, hydatid cyst, emphysema, and congestion
were common in cattle that came from Tula area with prevalence of 46.3%, 20.4%,
and 20%, respectively. The animals from Arsi-negelle and Hawassa were mostly
affected by hydatid cyst with prevalence of 44.4% and 42.5%, respectively.
Statistically significant association (p = .038) was observed between prevalence
of atelectasis and body condition of slaughtered cattle. In conclusion, the
prevalence of hydatidosis was the major lesion in the lung of slaughtered cattle
at Hawassa Municipal Abattoir. Therefore, appropriate disease control strategies
should be put in place.
PMID- 29376109
TI - Perspective: Opportunities for ultrafast science at SwissFEL.
AB - We present the main specifications of the newly constructed Swiss Free Electron
Laser, SwissFEL, and explore its potential impact on ultrafast science. In light
of recent achievements at current X-ray free electron lasers, we discuss the
potential territory for new scientific breakthroughs offered by SwissFEL in
Chemistry, Biology, and Materials Science, as well as nonlinear X-ray science.
PMID- 29376112
TI - Intravenous immunoglobulin with prednisone and risk-adapted chemotherapy for
children with opsoclonus myoclonus ataxia syndrome associated with neuroblastoma
(ANBL00P3): a randomised, open-label, phase 3 trial.
AB - Purpose: No previous clinical trial has been conducted for patients with
neuroblastoma associated opsoclonus myoclonus ataxia syndrome (OMA), and current
treatment is based on case reports. To evaluate the OMA response to prednisone
and risk-adapted chemotherapy and determine if the addition of intravenous
gammaglobulin (IVIG) further improves response, the Children's Oncology Group
designed a randomized therapeutic trial. Patient and Methods: Eligible subjects
were randomized to receive twelve cycles of IVIG (IVIG+) or no IVIG (NO-IVIG) in
addition to prednisone and neuroblastoma risk-adapted chemotherapy. All low-risk
patients were treated with cyclophosphamide. The severity of OMA symptoms was
evaluated at 2, 6, and 12 months using a scale developed by Mitchell and Pike and
baseline versus best response scores were compared. A single patient who did not
undergo neurologic assessment was excluded from OMA response analysis. This study
is registered with Clinical Trials.gov (identifier NCT00033293). Results: Of the
53 patients enrolled in the study, 62% (33/53) were female. There were 44 low
risk, 7 intermediate-risk, and 2 high-risk neuroblastoma patients. Twenty-six
subjects were randomized to receive IVIG+ and 27 were randomized to NO-IVIG. The
neuroblastoma 3-year event-free survival (95% confidence interval (CI)) was 94.1%
(87.3%, 100%) and overall survival was 98.0% (94.1%, 100%). Significantly higher
rates of OMA response were observed in patients randomized to IVIG+ compared to
NO-IVIG [21/26=80.8% for IVIG+; 11/27=40.7% for NO-IVIG (odds ratio=6.1; 95% CI:
(1.5, 25.9), p=0.0029)]. For the majority of patients, the IVIG+ OMA regimen
combined with cytoxan or other risk-based chemotherapy was well tolerated,
although there was one toxic death in a high-risk subject. Conclusion: This is
the only randomized prospective therapeutic clinical trial in children with
neuroblastoma-associated OMA. The addition of IVIG to prednisone and risk-adapted
chemotherapy significantly improves OMA response rate. IVIG+ constitutes a back
bone upon which to build additional therapy.
PMID- 29376114
TI - Korean Sibling Caregivers of Individuals Diagnosed with Schizophrenia.
AB - Siblings of individuals diagnosed with schizophrenia are an important source of
family caregiving. Unfortunately, limited information is available about sibling
caregivers because existing studies have focused on other family relationships
such as parents, spouses, and children. To fill the knowledge gap, the purpose of
this study is to describe Korean sibling caregivers' experience with individuals
diagnosed with schizophrenia. Guided by Colaizzi's descriptive phenomenological
methodology, we conducted in-depth, semi-structured, face-to-face interviews with
eight individuals who have a sibling (1) diagnosed with schizophrenia and (2)
hospitalized in an inpatient psychiatric unit. We discerned six key themes:
sorrow, burnout, shame, different perspectives in life, acceptance, and
responsibility. We categorized these themes into three groups: suffering, hope,
and responsibility and obligation. Sibling caregivers of individuals with
schizophrenia experience a mixture of several emotions. Participants loved their
brother or sister with schizophrenia, but at the same time they felt shame and
fear. While they were burdened by the responsibilities of caregiving, they
remained loyal to their sibling with schizophrenia, continuing to help their
siblings reach their full potential. Although participants were confused about
the symptoms of schizophrenia, they were committed to learning more about the
illness. Because we conducted the current study in Korea, the findings of this
study may be unique to Korea culture. Further studies are needed to compare and
contrast nuanced differences in sibling caregivers' experience among different
cultural groups.
PMID- 29376115
TI - The Association of Departmental Quality Infrastructure and Positive Change: A
Pathology Department Illustration.
AB - A vertically and horizontally well-integrated quality improvement team is
essential for effective quality data collection and implementation of improvement
measures. We outline the quality structure of a large academic pathology
department and describe successful projects across multiple divisions made
possible by this tightly integrated structure. The physician vice chair for
quality organizes departmental quality efforts and provides representation at the
hospital level. The department has an independent continuous quality improvement
unit and each laboratory of the department has a staff quality improvement
representative. Faculty and staff experts have interacted to produce improvements
such as accurate container labeling, efficient triage of specimens, and reduction
of unnecessary testing. Specialized task forces such as the Courier Task Force
are producing concrete recommendations for process improvement. All phases of
pathology patient care are represented by faculty and staff who are trained in
quality improvement, and each position touches and communicates actively with
levels above and below itself. The key to the department's approach has been the
daily attention to quality efforts in all of its activities and the close
association of faculty and staff to accomplish the goals of greater efficiency,
safety, and cost savings.
PMID- 29376116
TI - Conflicts of interest improve collective computation of adaptive social
structures.
AB - In many biological systems, the functional behavior of a group is collectively
computed by the system's individual components. An example is the brain's ability
to make decisions via the activity of billions of neurons. A long-standing puzzle
is how the components' decisions combine to produce beneficial group-level
outputs, despite conflicts of interest and imperfect information. We derive a
theoretical model of collective computation from mechanistic first principles,
using results from previous work on the computation of power structure in a
primate model system. Collective computation has two phases: an information
accumulation phase, in which (in this study) pairs of individuals gather
information about their fighting abilities and make decisions about their
dominance relationships, and an information aggregation phase, in which these
decisions are combined to produce a collective computation. To model information
accumulation, we extend a stochastic decision-making model-the leaky integrator
model used to study neural decision-making-to a multiagent game-theoretic
framework. We then test alternative algorithms for aggregating information-in
this study, decisions about dominance resulting from the stochastic model-and
measure the mutual information between the resultant power structure and the
"true" fighting abilities. We find that conflicts of interest can improve
accuracy to the benefit of all agents. We also find that the computation can be
tuned to produce different power structures by changing the cost of waiting for a
decision. The successful application of a similar stochastic decision-making
model in neural and social contexts suggests general principles of collective
computation across substrates and scales.
PMID- 29376117
TI - Reconfigurable nanoscale spin-wave directional coupler.
AB - Spin waves, and their quanta magnons, are prospective data carriers in future
signal processing systems because Gilbert damping associated with the spin-wave
propagation can be made substantially lower than the Joule heat losses in
electronic devices. Although individual spin-wave signal processing devices have
been successfully developed, the challenging contemporary problem is the
formation of two-dimensional planar integrated spin-wave circuits. Using both
micromagnetic modeling and analytical theory, we present an effective solution of
this problem based on the dipolar interaction between two laterally adjacent
nanoscale spin-wave waveguides. The developed device based on this principle can
work as a multifunctional and dynamically reconfigurable signal directional
coupler performing the functions of a waveguide crossing element, tunable power
splitter, frequency separator, or multiplexer. The proposed design of a spin-wave
directional coupler can be used both in digital logic circuits intended for spin
wave computing and in analog microwave signal processing devices.
PMID- 29376118
TI - Sedimentary sulfur isotopes and Neoarchean ocean oxygenation.
AB - Abrupt disappearance of mass-independent fractionation of sulfur isotopes (MIF-S)
from the geologic record and an apparent ingrowth in seawater sulfate around 2.45
billion years ago (Ga) signal the first large-scale oxygenation of the atmosphere
[the Great Oxygenation Event (GOE)]. Pre-GOE O2 production is evident from
multiple other terrestrial and marine proxies, but oceanic O2 concentrations
remain poorly constrained. Furthermore, current interpretations of S isotope
records do not explain a concurrent expansion in the range of both MIF-S
diagnostic for low atmospheric O2-and delta34S beginning at 2.7 Ga. To address
these unknowns, we developed a reaction-transport model to analyze the
preservation patterns of sulfur isotopes in Archean sedimentary pyrites, one of
the most robust and widely distributed proxies for early Earth biogeochemistry.
Our modeling, paradoxically, reveals that micromolar levels of O2 in seawater
enhance the preservation of large MIF-S signals, whereas concomitant ingrowth of
sulfate expands the ranges in pyrite delta34S. The 2.7- to 2.45-Ga expansion in
both Delta33S and delta34S ranges thus argues for a widespread and protracted
oxygenation of seawater, at least in shallow marine environments. At the
micromolar levels predicted, the surface oceans would support a strong flux of O2
to the atmosphere, where O2 sinks balanced these fluxes until the GOE. This
microoxic seawater would have provided habitat for early aerobic microorganisms
and supported a diversity of new O2-driven biogeochemical cycles in the
Neoarchean.
PMID- 29376119
TI - Late formation of silicon carbide in type II supernovae.
AB - We have found that individual presolar silicon carbide (SiC) dust grains from
supernovae show a positive correlation between 49Ti and 28Si excesses, which is
attributed to the radioactive decay of the short-lived (t1/2 = 330 days) 49V to
49Ti in the inner highly 28Si-rich Si/S zone. The 49V-49Ti chronometer shows that
these supernova SiC dust grains formed at least 2 years after their parent stars
exploded. This result supports recent dust condensation calculations that predict
a delayed formation of carbonaceous and SiC grains in supernovae. The
astronomical observation of continuous buildup of dust in supernovae over several
years can, therefore, be interpreted as a growing addition of C-rich dust to the
dust reservoir in supernovae.
PMID- 29376120
TI - Saigas on the brink: Multidisciplinary analysis of the factors influencing mass
mortality events.
AB - In 2015, more than 200,000 saiga antelopes died in 3 weeks in central Kazakhstan.
The proximate cause of death is confirmed as hemorrhagic septicemia caused by the
bacterium Pasteurella multocida type B, based on multiple strands of evidence.
Statistical modeling suggests that there was unusually high relative humidity and
temperature in the days leading up to the mortality event; temperature and
humidity anomalies were also observed in two previous similar events in the same
region. The modeled influence of environmental covariates is consistent with
known drivers of hemorrhagic septicemia. Given the saiga population's
vulnerability to mass mortality and the likely exacerbation of climate-related
and environmental stressors in the future, management of risks to population
viability such as poaching and viral livestock disease is urgently needed, as
well as robust ongoing veterinary surveillance. A multidisciplinary approach is
needed to research mass mortality events under rapid environmental change.
PMID- 29376121
TI - Magnetosensitive e-skins with directional perception for augmented reality.
AB - Electronic skins equipped with artificial receptors are able to extend our
perception beyond the modalities that have naturally evolved. These synthetic
receptors offer complimentary information on our surroundings and endow us with
novel means of manipulating physical or even virtual objects. We realize highly
compliant magnetosensitive skins with directional perception that enable magnetic
cognition, body position tracking, and touchless object manipulation. Transfer
printing of eight high-performance spin valve sensors arranged into two
Wheatstone bridges onto 1.7-MUm-thick polyimide foils ensures mechanical
imperceptibility. This resembles a new class of interactive devices extracting
information from the surroundings through magnetic tags. We demonstrate this
concept in augmented reality systems with virtual knob-turning functions and the
operation of virtual dialing pads, based on the interaction with magnetic fields.
This technology will enable a cornucopia of applications from navigation, motion
tracking in robotics, regenerative medicine, and sports and gaming to interaction
in supplemented reality.
PMID- 29376122
TI - The accuracy, fairness, and limits of predicting recidivism.
AB - Algorithms for predicting recidivism are commonly used to assess a criminal
defendant's likelihood of committing a crime. These predictions are used in
pretrial, parole, and sentencing decisions. Proponents of these systems argue
that big data and advanced machine learning make these analyses more accurate and
less biased than humans. We show, however, that the widely used commercial risk
assessment software COMPAS is no more accurate or fair than predictions made by
people with little or no criminal justice expertise. We further show that a
simple linear predictor provided with only two features is nearly equivalent to
COMPAS with its 137 features.
PMID- 29376123
TI - The evolution of modern human brain shape.
AB - Modern humans have large and globular brains that distinguish them from their
extinct Homo relatives. The characteristic globularity develops during a prenatal
and early postnatal period of rapid brain growth critical for neural wiring and
cognitive development. However, it remains unknown when and how brain globularity
evolved and how it relates to evolutionary brain size increase. On the basis of
computed tomographic scans and geometric morphometric analyses, we analyzed
endocranial casts of Homo sapiens fossils (N = 20) from different time periods.
Our data show that, 300,000 years ago, brain size in early H. sapiens already
fell within the range of present-day humans. Brain shape, however, evolved
gradually within the H. sapiens lineage, reaching present-day human variation
between about 100,000 and 35,000 years ago. This process started only after other
key features of craniofacial morphology appeared modern and paralleled the
emergence of behavioral modernity as seen from the archeological record. Our
findings are consistent with important genetic changes affecting early brain
development within the H. sapiens lineage since the origin of the species and
before the transition to the Later Stone Age and the Upper Paleolithic that mark
full behavioral modernity.
PMID- 29376124
TI - Computational discovery of extremal microstructure families.
AB - Modern fabrication techniques, such as additive manufacturing, can be used to
create materials with complex custom internal structures. These engineered
materials exhibit a much broader range of bulk properties than their base
materials and are typically referred to as metamaterials or microstructures.
Although metamaterials with extraordinary properties have many applications,
designing them is very difficult and is generally done by hand. We propose a
computational approach to discover families of microstructures with extremal
macroscale properties automatically. Using efficient simulation and sampling
techniques, we compute the space of mechanical properties covered by physically
realizable microstructures. Our system then clusters microstructures with common
topologies into families. Parameterized templates are eventually extracted from
families to generate new microstructure designs. We demonstrate these
capabilities on the computational design of mechanical metamaterials and present
five auxetic microstructure families with extremal elastic material properties.
Our study opens the way for the completely automated discovery of extremal
microstructures across multiple domains of physics, including applications
reliant on thermal, electrical, and magnetic properties.
PMID- 29376125
TI - Thin films of topological Kondo insulator candidate SmB6: Strong spin-orbit
torque without exclusive surface conduction.
AB - The advent of topological insulators (TIs), a novel class of materials that
harbor a metallic spin-chiral surface state coexisting with band-insulating bulk,
opens up new possibilities for spintronics. One promising route is current
induced switching of an adjacent magnetic layer via spin-orbit torque (SOT),
arising from the large spin-orbit coupling intrinsically possessed by TIs. The
Kondo insulator SmB6 has been recently proposed to be a strongly correlated TI,
supported by the observation of a metallic surface state in bulk SmB6, as
evidenced by the thickness independence of the low-temperature resistance
plateau. We report the synthesis of epitaxial (001) SmB6/Si thin films and a
systematic thickness-dependent electrical transport study. Although the low
temperature resistance plateau is observed for all films from 50 to 500 nm in
thickness, the resistance is distinctively thickness-dependent and does not
support the notion of surface conduction and interior insulation. On the other
hand, we demonstrate that SmB6 can generate a large SOT to switch an adjacent
ferromagnetic layer, even at room temperature. The effective SOT generated from
SmB6 is comparable to that from beta-W, one of the strongest SOT materials.
PMID- 29376127
TI - Patient Planning and Initiative Enhances Physician Recommendations for Cancer
Screening and Prevention.
AB - Background: The growing population of older adults is at the highest risk for
cancer, yet they are underserved in terms of cancer prevention and care.
Discussions between patients and physicians that result in tailored
recommendations are now called for by the U.S. Preventive Services Task Force.
Aims & Method: The current study explored the role of physician-patient
relationships and of patient initiatives in health communication on primary care
physicians' recommendations of cancer prevention and screening. Our data was
collected from baseline questionnaires from elderly patients 60 years of age or
older (N=360) who attended an adult community center where an educational
intervention was administered. Results: Our findings demonstrate the importance
of elderly patients' advocacy, shown through planning and initiative in
communication for eliciting doctor's cancer screening and cancer prevention
recommendations in primary care settings.
PMID- 29376126
TI - Oil for the cancer engine: The cross-talk between oncogenic signaling and
polyamine metabolism.
AB - The study of metabolism has provided remarkable information about the biological
basis and therapeutic weaknesses of cancer cells. Classic biochemistry
established the importance of metabolic alterations in tumor biology and revealed
the importance of various metabolite families to the tumorigenic process. We have
evidence of the central role of polyamines, small polycatonic metabolites, in
cell proliferation and cancer growth from these studies. However, how cancer
cells activate this metabolic pathway and the molecular cues behind the oncogenic
action of polyamines has remained largely obscure. In contrast to the view of
metabolites as fuel (anabolic intermediates) for cancer cells, polyamines are
better defined as the oil that lubricates the cancer engine because they affect
the activity of biological processes. Modern research has brought back to the
limelight this metabolic pathway, providing a strong link between genetic,
metabolic, and signaling events in cancer. In this review, we enumerate and
discuss current views of the regulation and activity of polyamine metabolism in
tumor cell biology.
PMID- 29376128
TI - The complete mitochondrial genome of a skipper Burara striata (Lepidoptera:
Hesperiidae).
AB - We assembled a complete mitogenome of an Asian skipper butterfly Burara striata
(Hesperiidae, Coeliadinae), the first representative of the genus Burara, from
next generation sequencing reads. The 15327 bp mitogenome covers 13 protein
coding genes (PCGs), 22 transfer RNA genes (tRNAs), 2 ribosomal RNA genes
(rRNAs), and an A+T rich region. Its gene order is typical for mitogenomes of
Lepidoptera. Phylogenetic analysis places Burara striata as a sister to Hasora,
and Choaspes as a sister to both of these genera.
PMID- 29376129
TI - BioTM Buzz (Volume 3, Issue 1).
PMID- 29376130
TI - Ionic liquids for addressing unmet needs in healthcare.
AB - Advances in the field of ionic liquids have opened new applications beyond their
traditional use as solvents into other fields especially healthcare. The broad
chemical space, rich with structurally diverse ions, and coupled with the
flexibility to form complementary ion pairs enables task-specific optimization at
the molecular level to design ionic liquids for envisioned functions.
Consequently, ionic liquids now are tailored as innovative solutions to address
many problems in medicine. To date, ionic liquids have been designed to promote
dissolution of poorly soluble drugs and disrupt physiological barriers to
transport drugs to targeted sites. Also, their antimicrobial activity has been
demonstrated and could be exploited to prevent and treat infectious diseases.
Metal-containing ionic liquids have also been designed and offer unique features
due to incorporation of metals. Here, we review application-driven investigations
of ionic liquids in medicine with respect to current status and future potential.
PMID- 29376131
TI - RNA-Peptide nanoplexes drug DNA damage pathways in high-grade serous ovarian
tumors.
AB - DNA damaging chemotherapy is a cornerstone of current front-line treatments for
advanced ovarian cancer (OC). Despite the fact that a majority of these patients
initially respond to therapy, most will relapse with chemo-resistant disease;
therefore, adjuvant treatments that synergize with DNA-damaging chemotherapy
could improve treatment outcomes and survival in patients with this deadly
disease. Here, we report the development of a nanoscale peptide-nucleic acid
complex that facilitates tumor-specific RNA interference therapy to
chemosensitize advanced ovarian tumors to frontline platinum/taxane therapy. We
found that the nanoplex-mediated silencing of the protein kinase, MK2, profoundly
sensitized mouse models of high-grade serous OC to cytotoxic chemotherapy by
blocking p38/MK2-dependent cell cycle checkpoint maintenance. Combined RNAi
therapy improved overall survival by 37% compared with platinum/taxane
chemotherapy alone and decreased metastatic spread to the lungs without
observable toxic side effects. These findings suggest (a) that peptide nanoplexes
can serve as safe and effective delivery vectors for siRNA and (b) that combined
inhibition of MK2 could improve treatment outcomes in patients currently
receiving frontline chemotherapy for advanced OC.
PMID- 29376132
TI - Visible light crosslinkable human hair keratin hydrogels.
AB - Keratins extracted from human hair have emerged as a promising biomaterial for
various biomedical applications, partly due to their wide availability, low cost,
minimal immune response, and the potential to engineer autologous tissue
constructs. However, the fabrication of keratin-based scaffolds typically relies
on limited crosslinking mechanisms, such as via physical interactions or
disulfide bond formation, which are time-consuming and result in relatively poor
mechanical strength and stability. Here, we report the preparation of
photocrosslinkable keratin-polyethylene glycol (PEG) hydrogels via the thiol
norbornene "click" reaction, which can be formed within one minute upon
irradiation of visible light. The resulting keratin-PEG hydrogels showed highly
tunable mechanical properties of up to 45 kPa in compressive modulus, and long
term stability in buffer solutions and cell culture media. These keratin-based
hydrogels were tested as cell culture substrates in both two-dimensional surface
seeding and three-dimensional cell encapsulation, demonstrating excellent
cytocompatibility to support the attachment, spreading, and proliferation of
fibroblast cells. Moreover, the photocrosslinking mechanism makes keratin-based
hydrogel suitable for various microfabrication techniques, such as
micropatterning and wet spinning, to fabricate cell-laden tissue constructs with
different architectures. We believe that the unique features of this
photocrosslinkable human hair keratin hydrogel promise new opportunities for
their future biomedical applications.
PMID- 29376133
TI - Schedule dependent synergy of gemcitabine and doxorubicin: Improvement of in
vitro efficacy and lack of in vitro-in vivo correlation.
AB - Combination chemotherapy is commonly used to treat late stage cancer; however,
treatment is often limited by systemic toxicity. Optimizing drug ratio and
schedule can improve drug combination activity and reduce dose to lower toxicity.
Here, we identify gemcitabine (GEM) and doxorubicin (DOX) as a synergistic drug
pair in vitro for the triple negative breast cancer cell line MDA-MB-231. Drug
synergy and caspase activity were increased the most by exposing cells to GEM
prior to DOX in vitro. While the combination was more effective than the single
drugs at inhibiting MDA-MB-231 growth in vivo, the clear schedule dependence
observed in vitro was not observed in vivo. Differences in drug exposure and
cellular behavior in vivo compared to in vitro are likely responsible. This study
emphasizes the importance in understanding how schedule impacts drug synergy and
the need to develop more advanced strategies to translate synergy to the clinic.
PMID- 29376135
TI - Using Collaborative Simulation Modeling to Develop a Web-Based Tool to Support
Policy-Level Decision Making About Breast Cancer Screening Initiation Age.
AB - Background: There are no publicly available tools designed specifically to assist
policy makers to make informed decisions about the optimal ages of breast cancer
screening initiation for different populations of US women. Objective: To use
three established simulation models to develop a web-based tool called Mammo
OUTPuT. Methods: The simulation models use the 1970 US birth cohort and common
parameters for incidence, digital screening performance, and treatment effects.
Outcomes include breast cancers diagnosed, breast cancer deaths averted, breast
cancer mortality reduction, false-positive mammograms, benign biopsies, and
overdiagnosis. The Mammo OUTPuT tool displays these outcomes for combinations of
age at screening initiation (every year from 40 to 49), annual versus biennial
interval, lifetime versus 10-year horizon, and breast density, compared to
waiting to start biennial screening at age 50 and continuing to 74. The tool was
piloted by decision makers (n = 16) who completed surveys. Results: The tool
demonstrates that benefits in the 40s increase linearly with earlier initiation
age, without a specific threshold age. Likewise, the harms of screening increase
monotonically with earlier ages of initiation in the 40s. The tool also shows
users how the balance of benefits and harms varies with breast density. Surveys
revealed that 100% of users (16/16) liked the appearance of the site; 94% (15/16)
found the tool helpful; and 94% (15/16) would recommend the tool to a colleague.
Conclusions: This tool synthesizes a representative subset of the most current
CISNET (Cancer Intervention and Surveillance Modeling Network) simulation model
outcomes to provide policy makers with quantitative data on the benefits and
harms of screening women in the 40s. Ultimate decisions will depend on program
goals, the population served, and informed judgments about the weight of benefits
and harms.
PMID- 29376134
TI - Engineering of a miniaturized, robotic clinical laboratory.
AB - The ability to perform laboratory testing near the patient and with smaller blood
volumes would benefit patients and physicians alike. We describe our design of a
miniaturized clinical laboratory system with three components: a hardware
platform (ie, the miniLab) that performs preanalytical and analytical processing
steps using miniaturized sample manipulation and detection modules, an assay
configurable cartridge that provides consumable materials and assay reagents, and
a server that communicates bidirectionally with the miniLab to manage assay
specific protocols and analyze, store, and report results (i.e., the virtual
analyzer). The miniLab can detect analytes in blood using multiple methods,
including molecular diagnostics, immunoassays, clinical chemistry, and
hematology. Analytical performance results show that our qualitative Zika virus
assay has a limit of detection of 55 genomic copies/ml. For our anti-herpes
simplex virus type 2 immunoglobulin G, lipid panel, and lymphocyte subset panel
assays, the miniLab has low imprecision, and method comparison results agree well
with those from the United States Food and Drug Administration-cleared devices.
With its small footprint and versatility, the miniLab has the potential to
provide testing of a range of analytes in decentralized locations.
PMID- 29376136
TI - Clinical and molecular sub-classification of hepatocellular carcinoma relative to
alpha-fetoprotein level in an Asia-Pacific island cohort.
AB - Aim: Increased serum alpha-fetoprotein (AFP) levels are associated with specific
molecular sub-classes of hepatocellular carcinoma (HCC), supporting AFP as a
predictive or therapeutic biomarker for precision treatment of this disease.
Considering recent efforts to validate HCC molecular classification systems
across different populations, we applied existing signature-based classification
templates to Hawaii cohorts and examined whether associations between HCC
molecular sub-class, AFP levels, and clinical features found elsewhere can also
be found in Hawaii, a region with a unique demographic and risk factor profile
for HCC. Methods: Whole-genome expression profiling was performed on HCC tumors
collected from 40 patients following partial hepatectomy. Tumors underwent
transcriptome-based categorization into 3 molecular sub-classes (S1, S2, and S3).
Patient groups based on molecular sub-class and AFP level were then compared with
regards to clinical features and survival. Differences associated with AFP level
and other clinical parameters were also examined at the gene signature level by
gene set enrichment analysis. Results: Statistically confident (false discovery
rate < 0.05) sub-classifications were made in 98% (39/40) of tumors. Patient sub
groups differed significantly with regards to serum AFP level, with significantly
lower levels in the S3 sub-group as compared to S1 (P = 0.048) and S2 (P =
0.010). Serum AFP > 400 ng/mL predicted significant tumor enrichment for genes
corresponding to MYC target activation, high cell proliferation, poor clinical
prognosis, and the S2 sub-class. AFP > 400 ng/mL and non-S3 tumor classification
were found to be significant predictors of overall survival. Conclusion: Distinct
sub-classes of HCC associated with different molecular features and survival
outcomes can be detected with statistical confidence in a Pacific Island cohort.
Molecular classification signatures and other predictive markers for HCC that are
valid for all patient populations are needed to support multi-center efforts to
develop targeted therapies for HCC.
PMID- 29376137
TI - The midwives service scheme: a qualitative comparison of contextual determinants
of the performance of two states in central Nigeria.
AB - Background: The federal government of Nigeria started the Midwives Service Scheme
in 2009 to address the scarcity of skilled health workers in rural communities by
temporarily redistributing midwives from urban to rural communities. The scheme
was designed as a collaboration among federal, state and local governments. Six
years on, this study examines the contextual factors that account for the
differences in performance of the scheme in Benue and Kogi, two contiguous states
in central Nigeria. Methods: We obtained qualitative data through 14 in-depth
interviews and 2 focus group discussions: 14 government officials at the federal,
state and local government levels were interviewed to explore their perceptions
on the design, implementation and sustainability of the Midwives Service Scheme.
In addition, mothers in rural communities participated in 2 focus group
discussions (one in each state) to elicit their views on Midwives Service Scheme
services. The qualitative data were analysed for themes. Results: The inability
of the federal government to substantially influence the health care agenda of
sub-national governments was a significant impediment to the achievement of the
objectives of the Midwives Service Scheme. Participants identified differences in
government prioritisation of primary health care between Benue and Kogi as
relevant to maternal and child health outcomes in those states: Kogi was far more
supportive of the Midwives Service Scheme and primary health care more broadly.
High user fees in Benue was a significant barrier to the uptake of available
maternal and child health services. Conclusion: Differential levels of political
support and prioritisation, alongside financial barriers, contribute
substantially to the uptake of maternal and child health services. For
collaborative health sector strategies to gain sufficient traction, where
federating units determine their health care priorities, they must be accompanied
by strong and enforceable commitment by sub-national governments.
PMID- 29376138
TI - Attributable risk and potential impact of interventions to reduce household air
pollution associated with under-five mortality in South Asia.
AB - Background: Solid fuel use is the major source of household air pollution (HAP)
and accounts for a substantial burden of morbidity and mortality in low and
middle income countries. To evaluate and compare childhood mortality attributable
to HAP in four South Asian countries. Methods: A series of Demographic and Health
Survey (DHS) datasets for Bangladesh, India, Nepal and Pakistan were used for
analysis. Estimates of relative risk and exposure prevalence relating to use of
cooking fuel and under-five mortality were used to calculate population
attributable fractions (PAFs) for each country. Potential impact fractions (PIFs)
were also calculated assessing theoretical scenarios based on published
interventions aiming to reduce exposure prevalence. Results: There are an
increased risk of under-five mortality in those exposed to cooking fuel compared
to those not exposed in the four South Asian countries (OR = 1.30, 95% CI = 1.07
1.57, P = 0.007). Combined PAF estimates for South Asia found that 66% (95% CI:
43.1-81.5%) of the 13,290 estimated cases of under-five mortality was
attributable to HAP. Joint PIF estimates (assuming achievable reductions in HAP
reported in intervention studies conducted in South Asia) indicates 47% of
neonatal and 43% of under-five mortality cases associated with HAP could be
avoidable in the four South Asian countries studied. Conclusions: Elimination of
exposure to use of cooking fuel in the household targeting valuable intervention
strategies (such as cooking in separate kitchen, improved cook stoves) could
reduce substantially under-five mortality in South Asian countries.
PMID- 29376139
TI - Fishing for cures: The alLURE of using zebrafish to develop precision oncology
therapies.
AB - Zebrafish have proven to be a valuable model to study human cancer biology with
the ultimate aim of developing new therapies. Danio rerio are amenable to in vivo
imaging, high throughput drug screening, mutagenesis, and transgenesis, and they
share histological and genetic similarities with Homo sapiens. The significance
of zebrafish in the field of precision oncology is rapidly emerging. Indeed,
modeling cancer in zebrafish has already been used to identify tumor biomarkers,
define therapeutic targets and provide an in vivo platform for drug discovery.
New zebrafish studies are starting to pave the way to direct individualized
clinical applications. Patient-derived cancer cell xenograft models have
demonstrated the feasibility of using zebrafish as a real time avatar of
prognosis and drug response to identify the most ideal therapy for an individual
patient. Genetic cancer modeling in zebrafish, now facilitated by rapidly
evolving genome editing techniques, represents another innovative approach to
recapitulate human oncogenesis and develop individualized treatments. Utilizing
zebrafish to design customizable precision therapies will improve the clinical
outcome of patients afflicted with cancer.
PMID- 29376140
TI - Cost and Cost-Effectiveness Assessments of Newborn Screening for Critical
Congenital Heart Disease Using Pulse Oximetry: A Review.
AB - Screening newborns for critical congenital heart disease (CCHD) using pulse
oximetry is recommended to allow for the prompt diagnosis and prevention of life
threatening crises. The present review summarizes and critiques six previously
published estimates of the costs or cost-effectiveness of CCHD screening from the
United Kingdom, United States, and China. Several elements that affect CCHD
screening costs were assessed in varying numbers of studies, including screening
staff time, instrumentation, and consumables, as well as costs of diagnosis and
treatment. A previous US study that used conservative assumptions suggested that
CCHD screening is likely to be considered cost-effective from the healthcare
sector perspective. Newly available estimates of avoided infant CCHD deaths in
several US states that implemented mandatory CCHD screening policies during 2011
2013 suggest a substantially larger reduction in deaths than was projected in the
previous US cost-effectiveness analysis. Taking into account these new estimates,
we estimate that cost per life-year gained could be as low as USD 12,000.
However, that estimate does not take into account future costs of health care and
education for surviving children with CCHD nor the costs incurred by health
departments to support and monitor CCHD screening policies and programs.
PMID- 29376141
TI - Physical Therapy Considerations for Chronic Kidney Disease and Secondary
Sarcopenia.
AB - Chronic kidney disease (CKD) is a progressive condition that may negatively
affect musculoskeletal health. These comorbidities may include malnutrition,
osteoporosis, and decreased lean body mass. Secondary sarcopenia due to CKD may
be associated with mobility limitations and elevated fall risk. Physical
therapists are well-positioned among the health care team to screen for secondary
sarcopenia in those with CKD and for the treatment of musculoskeletal comorbid
conditions that may affect functional performance. Given the consequences of both
low muscle mass and low bone mineral density, appropriate and timely physical
therapy is important for fall risk assessment and intervention to minimize the
susceptibility to bone fracture. While strength training has been studied less
frequently than aerobic training for the management of secondary CKD conditions,
evidence suggests that this patient population benefits from participation in
strength training programs. However, the provision of a formal exercise
prescription by a health care professional, along with formal implementation of
an exercise program, may need to be more fully integrated into the standard plan
of care for individuals with CKD.
PMID- 29376142
TI - Mapping the Chromatin State Dynamics in Myoblasts.
AB - Background: Genome-wide mapping reveals chromatin landscapes unique to cell
states. Histone marks of regulatory genes involved in cell specification and
organ development provide a powerful tool to map regulatory sequences. H3K4me3
marks promoter regions; H3K27me3 marks repressed regions, and Pol II presence
indicates active transcription. The presence of both H3K4me3 and H3K27me3
characterize poised sequences, a common characteristic of genes involved in
pattern formation during organogenesis. Results: We used genome-wide profiling
for H3K27me3, H3K4me3, and Pol II to map chromatin states in mouse embryonic day
12 forelimbs in wild type (control) and Pitx2-null mutant mice. We compared these
data with previous gene expression studies from forelimb Lbx1+ migratory
myoblasts and correlated Pitx2-dependent expression profiles and chromatin
states. During forelimb development, several lineages including myoblast,
osteoblast, neurons, angioblasts etc., require synchronized growth to form a
functional limb. We identified 125 genes in the developing forelimb that are
Pitx2-dependent. Genes involved in muscle specification and cytoskeleton
architecture were positively regulated, while genes involved in axonal path
finding were poised. Conclusion: Our results have established histone
modification profiles as a useful tool for identifying gene regulatory states in
muscle development, and identified the role of Pitx2 in extending the time of
myoblast progression, promoting formation of sarcomeric structures, and
suppressing attachment of neuronal axons.
PMID- 29376143
TI - Bevacizumab Injection in Patients with Neovascular Age-Related Macular
Degeneration Increases Angiogenic Biomarkers.
AB - Purpose: To evaluate the expression of 19 angiogenic biomarkers in the aqueous
humor before and after intravitreal bevacizumab injection (IVB) in eyes with
neovascular age-related macular degeneration (AMD). Design: Prospective,
noncomparative, interventional case series. Participants: Twenty-three eyes of 23
treatment-naive patients with choroidal neovascularization (CNV) secondary to
neovascular AMD. Methods: Eyes were diagnosed with CNV secondary to neovascular
AMD and were treated with 3 monthly IVBs. Aqueous humor samples were obtained by
anterior chamber paracentesis at baseline and immediately before each
intravitreal bevacizumab injection. Main Outcome Measures: Aqueous humor levels
of 19 angiogenic biomarkers (angiopoietin 2, bone morphogenetic protein 9 [BMP
9], epidermal growth factor [EGF], endoglin, endothelin 1, fibroblast growth
factor [FGF]-1 and FGF-2, follistatin, granulocyte colony-stimulating factor
[GCSF], heparin-binding EGF-like growth factor [HB-EGF], hepatocyte growth factor
[HGF], interleukin 8, leptin, placental growth factor [PLGF], vascular
endothelial growth factor [VEGF]-A, VEGF-C, VEGF-D, and tissue inhibitor of
metalloproteinases [TIMP]-1 and TIMP-2) were measured. Best-corrected visual
acuity (BCVA), spectral-domain OCT parameters, and intraocular pressure also were
evaluated. Results: Baseline aqueous VEGF-A expression was elevated in all study
eyes before treatment initiation. A statistically significant decrease of VEGF-A
was observed at the 1- and 2-month follow-ups. A statistically significant
increased concentration was observed in 7 biomarkers: VEGF-C, angiopoietin 2,
endothelin 1, follistatin, HB-EGF, HGF, and interleukin 8. The other 11 study
biomarker levels (VEGF-D, BMP-9, EGF, endoglin, FGF-1, FGF-2, GCSF, leptin, PLGF,
TIMP-1, and TIMP-2) did not show any significant difference during follow-up. The
BCVA statistically improved significantly at 2 months. Spectral-domain OCT
parameters improved significantly at all follow-ups. Mean intraocular pressure
values were not statistically different during the study period. Conclusions:
Despite a decrease in VEGF-A, the aqueous levels of VEGF-C, angiopoietin 2,
endothelin 1, follistatin, HB-EGF, HGF, and interleukin 8 increased significantly
after intravitreal injection of bevacizumab. These upregulated angiogenic
biomarkers may represent new therapeutic targets in exudative AMD.
PMID- 29376144
TI - Tracking the Evolution of Resistance to ALK Tyrosine Kinase Inhibitors through
Longitudinal Analysis of Circulating Tumor DNA.
AB - Purpose: ALK rearrangements predict for sensitivity to ALK tyrosine kinase
inhibitors (TKIs). However, responses to ALK TKIs are generally short-lived.
Serial molecular analysis is an informative strategy for identifying genetic
mediators of resistance. Although multiple studies support the clinical benefits
of repeat tissue sampling, the clinical utility of longitudinal circulating tumor
DNA analysis has not been established in ALK-positive lung cancer. Methods: Using
a 566-gene hybrid-capture next-generation sequencing (NGS) assay, we performed
longitudinal analysis of plasma specimens from 22 ALK-positive patients with
acquired resistance to ALK TKIs to track the evolution of resistance during
treatment. To determine tissue-plasma concordance, we compared plasma findings to
results of repeat biopsies. Results: At progression, we detected an ALK fusion in
plasma from 19 (86%) of 22 patients, and identified ALK resistance mutations in
plasma specimens from 11 (50%) patients. There was 100% agreement between tissue-
and plasma-detected ALK fusions. Among 16 cases where contemporaneous plasma and
tissue specimens were available, we observed 100% concordance between ALK
mutation calls. ALK mutations emerged and disappeared during treatment with
sequential ALK TKIs, suggesting that plasma mutation profiles were dependent on
the specific TKI administered. ALK G1202R, the most frequent plasma mutation
detected after progression on a second-generation TKI, was consistently
suppressed during treatment with lorlatinib. Conclusions: Plasma genotyping by
NGS is an effective method for detecting ALK fusions and ALK mutations in
patients progressing on ALK TKIs. The correlation between plasma ALK mutations
and response to distinct ALK TKIs highlights the potential for plasma analysis to
guide selection of ALK-directed therapies.
PMID- 29376145
TI - The Role of Txnip in Mitophagy Dysregulation and Inflammasome Activation in
Diabetic Retinopathy: A New Perspective.
AB - Mitochondria are responsible for bioenergetics, metabolism and apoptosis signals
in health and disease. The retina being a part of the central nervous system
consumes large amounts of glucose and oxygen to generate ATP via the
mitochondrial oxidative phosphorylation for its phototransduction and visual
function. During ATP generation, electrons leak from the mitochondrial electron
transport chain, which is captured by molecular oxygen to produce reactive oxygen
species (ROS). These mtROS damage mitochondrial proteins, mtDNA, and membrane
lipids and release them in the cytosol. Mitochondrial components are recognized
as danger-associated molecular patterns (DAMPS) by cytosolic pattern recognition
receptors such as NOD-like receptors, NLRP3 inflammasomes. They process pro
caspase-1 to active caspase-1, which cleaves pro-inflammatory IL-1beta o mature
IL-1beta causing inflammation and cell death by pyroptosis. To counter the
damaging action of mtROS and inflammasomes in fully differentiated cells in the
retina, the removal of the damaged and dysfunctional mitochondria by a double
membrane autophagic process via lysosomal degradation called mitophagy is
critical for mitochondrial homeostasis and cell survival. Nonetheless, under
chronic diseases including diabetic retinopathy (DR), mitophagy dysregulation and
NLRP3 inflammasome activation exist, which cause premature cell death and disease
progression. Recently, the thioredoxin-interacting protein TXNIP, which is
strongly induced by diabetes and inhibits anti-oxidant function of thioredoxin,
has been implicated in mitochondrial dysfunction, mitophagic dysregulation and
NLRP3 inflammasome activation in DR. Therefore, TXNIP silencing or
pharmacological inhibition may normalize mitophagic flux and NLRP3 inflammasome
activation, which will prevent or slow down the progression of DR.
PMID- 29376146
TI - ADAMTS13 Deficiency Worsens Colitis and Exogenous ADAMTS13 Administration
Decreases Colitis Severity in Mice.
AB - Background: Inflammatory bowel disease (IBD) affects 1.6 million people in the
United States. IBD is associated with an increased risk of thrombosis, which
rises with disease activity. The pathogenesis of IBD and its increased thrombotic
risk is not completely understood. Ultra large von Willebrand factor (ULVWF)
multimers are secreted from activated endothelium, leading to recruitment of
platelets and leukocytes. A disintegrin and metalloproteinase with thrombospondin
type I repeats motif 13 (ADAMTS13) cleaves highly adhesive ULVWF into smaller,
less bioactive, multimers, releasing them into circulation. Mice deficient in
ADAMTS13 (ADAMTS13-/-) have heightened inflammatory and thrombotic responses.
Objectives: We hypothesized that upon colitis induction, ADAMTS13-/- mice would
have more severe symptoms compared with wild-type (WT) mice, and rhADAMTS13
administration to mice with colitis would improve their condition. Results:
Dextran sodium sulfate-induced colitis was worse in ADAMTS13-/- mice than WT.
ADAMTS13-/- showed increased weight loss, worse anemia, and increased clinical
and histologic colitis severity, compared with WT mice. ADAMTS13-/- mice had
increased VWF release, with accumulation at inflamed colonic sites. Also, the
majority of mice showed one or more submucosal colonic thrombi. ADAMTS13
deficiency worsened colitis and propagated intestinal inflammation, most likely
through increased platelet-leukocyte recruitment by VWF. Treatment of WT mice
with rhA-DAMTS13 decreased colitis severity without worsening anemia.
Additionally, several immune-mediated chronic murine colitis models, and inflamed
colon tissue specimens from IBD patients, showed increased VWF release at
inflamed sites, suggesting a generalizability of our findings. Conclusion:
Measuring VWF/ADAMTS13 levels could have clinical utility. When applicable, the
administration of ADAMTS13, in addition to primary treatment, may improve
outcomes for IBD patients.
PMID- 29376147
TI - Epigenetic Signature of Impaired Fasting Glucose in the Old Order Amish.
AB - Introduction: Type 2 Diabetes (T2D) is a common chronic disease with substantial
disease burden and economic impact. Lifestyle changes can significantly alter the
course of the disease, if detected at an early stage. DNA methylation signature
may serve as a biomarker for early detection of increased T2D risk. Design: DNA
methylation profiling was performed using the Illumina Infinium Human Methylation
450K Bead chip array in 24 normoglycemic Old Order Amish (OOA) individuals who
later developed Impaired Fasting Glucose (IFG) (cases), and 24 OOA individuals
who remained normoglycemic after an average follow up of 10 years (controls).
Cases and controls were matched on age, sex, BMI, baseline fasting glucose, and
glucose level after 2 h from 75 g Oral Glucose Tolerance Test (OGTT). Results:
Association analysis found no significant difference in either global methylation
or individual probe methylation between cases and controls, however, the top 34
suggestive significant sites were located in genes with interesting biological
links to T2D and glycemic traits. These genes include BTC that plays a role in
pancreatic cell proliferation and insulin secretion, ITGA1 a known bone mineral
density gene that was recently found to be associated also with T2D and glycemic
traits, and may explain the link between T2D and BMD, and RPTOR and TSC2 both of
which are part of insulin signaling pathway. Conclusions: These results may shed
light on the initiation and development of hyperglycemia and T2D and help to
identify high risk individuals for early intervention; however, further studies
are required for validation.
PMID- 29376148
TI - Decreasing HMGB1 levels improves outcome of Pseudomonas aeruginosa keratitis in
mice.
AB - Pseudomonas (P.) aeruginosa is a Gram negative bacterium widely dispersed in the
environment which can cause acute and chronic infections in humans. According to
the Centers for Disease Control and Prevention (CDC), the overall incidence of P.
aeruginosa infections in USA hospitals averages about 0.4% (4/1000 discharges),
and the bacterium is the fourth most commonly-isolated nosocomial pathogen
accounting for 10.1% of all hospital-acquired infections. P. aeruginosa keratitis
is a severe infection of the eye, progresses rapidly and remains a leading cause
of corneal ulcers worldwide. Use of contact lenses is the major risk factor in
the USA, while in less industrialized countries, trauma from agricultural
accidents are of importance. Animal models of bacterial keratitis are of value in
the study of this disease and suggest potential alternative therapeutic targets
that are needed urgently due to increasing antibiotic resistance. Recently we
have shown success and improved disease outcome after down-regulation of one
promising target, high mobility group box1 (HMGB1) using small interfering RNA
(siRNA). Testing more clinically relevant approaches are underway to reduce HMGB1
levels in P. aeruginosa keratitis which may hold promise for its treatment.
PMID- 29376149
TI - Feature Learning and Fusion of Multimodality Neuroimaging and Genetic Data for
Multi-status Dementia Diagnosis.
AB - In this paper, we aim to maximally utilize multimodality neuroimaging and genetic
data to predict Alzheimer's disease (AD) and its prodromal status, i.e., a multi
status dementia diagnosis problem. Multimodality neuroimaging data such as MRI
and PET provide valuable insights to abnormalities, and genetic data such as
Single Nucleotide Polymorphism (SNP) provide information about a patient's AD
risk factors. When used in conjunction, AD diagnosis may be improved. However,
these data are heterogeneous (e.g., having different data distributions), and
have different number of samples (e.g., PET data is having far less number of
samples than the numbers of MRI or SNPs). Thus, learning an effective model using
these data is challenging. To this end, we present a novel three-stage deep
feature learning and fusion framework , where the deep neural network is trained
stage-wise. Each stage of the network learns feature representations for
different combination of modalities, via effective training using maximum number
of available samples . Specifically, in the first stage, we learn latent
representations (i.e., high-level features) for each modality independently, so
that the heterogeneity between modalities can be better addressed and then
combined in the next stage. In the second stage, we learn the joint latent
features for each pair of modality combination by using the high-level features
learned from the first stage. In the third stage, we learn the diagnostic labels
by fusing the learned joint latent features from the second stage. We have tested
our framework on Alzheimer's Disease Neuroimaging Initiative (ADNI) dataset for
multi-status AD diagnosis, and the experimental results show that the proposed
framework outperforms other methods.
PMID- 29376151
TI - Visible light-mediated oxidative C(sp3)-H phosphonylation for alpha
aminophosphonates under oxidant-free conditions.
AB - An external oxidant-free synthesis of alpha-aminophosphonates by synergistically
combining photocatalysis and proton-reduction catalysis is developed herein. The
gram-scale experiment demonstrates the potential utility of this protocol. This
study may have important implications for the functionalization of oxidant
sensitive C(sp3)-H bonds.
PMID- 29376150
TI - Joint Craniomaxillofacial Bone Segmentation and Landmark Digitization by Context
Guided Fully Convolutional Networks.
AB - Generating accurate 3D models from cone-beam computed tomography (CBCT) images is
an important step in developing treatment plans for patients with
craniomaxillofacial (CMF) deformities. This process often involves bone
segmentation and landmark digitization. Since anatomical landmarks generally lie
on the boundaries of segmented bone regions, the tasks of bone segmentation and
landmark digitization could be highly correlated. However, most existing methods
simply treat them as two standalone tasks, without considering their inherent
association. In addition, these methods usually ignore the spatial context
information (i.e., displacements from voxels to landmarks) in CBCT images. To
this end, we propose a context-guided fully convolutional network (FCN) for joint
bone segmentation and landmark digitization. Specifically, we first train an FCN
to learn the displacement maps to capture the spatial context information in CBCT
images. Using the learned displacement maps as guidance information, we further
develop a multi-task FCN to jointly perform bone segmentation and landmark
digitization. Our method has been evaluated on 107 subjects from two centers, and
the experimental results show that our method is superior to the state-of-the-art
methods in both bone segmentation and landmark digitization.
PMID- 29376152
TI - Relay photocatalytic cascade reactions: synthesis of indolo[2,1-a]isoquinoline
derivatives via double C(sp3)-H bond functionalization.
AB - A relay photoredox catalysis strategy concomitant with [1,5] hydrogen atom
transfer has been applied in the construction of a biologically important
indolo[2,1-a]isoquinoline framework via a cascade reaction. This reaction enables
double C(sp3)-H bond functionalization and formation of two carbon-carbon double
bonds from readily available starting materials in an efficient and step
economical manner.
PMID- 29376153
TI - Anomalous fluorescence enhancement and fluorescence quenching of graphene quantum
dots by single walled carbon nanotubes.
AB - We explore the mechanism of the fluorescence enhancement and fluorescence
quenching effect of single walled carbon nanotubes (SWCNTs) on highly fluorescent
graphene quantum dots (GQDs) over a wide range of concentrations of SWCNTs. At
very low concentrations of SWCNTs, the fluorescence intensity of the GQDs is
enhanced, while at higher concentrations, systematic quenching of fluorescence is
observed. The nature of the Stern-Volmer plot for the latter case was found to be
non-linear indicating a combined effect of dynamic and static quenching. The
contribution of the dynamic quenching component was assessed through the
fluorescence lifetime measurements. The contribution of static quenching is
confirmed from the red shift of the fluorescence spectra of the GQDs after
addition of SWCNTs. The fluorescence intensity is first enhanced at very low
concentration due to improved dispersion and higher absorption by GQDs, while at
higher concentration, the fluorescence of GQDs is quenched due to the complex
formation and associated reduction of the radiative sites of the GQDs, which is
confirmed from time-resolved fluorescence measurements. Laser confocal microscopy
imaging provides direct evidence of the enhancement and quenching of fluorescence
at low and high concentrations of SWCNTs, respectively. This study provides an
important insight into tuning the fluorescence of GQDs and understanding the
interaction between GQDs and different CNTs, which is important for bio-imaging
and drug delivery applications.
PMID- 29376154
TI - Harvesting visible light with MoO3 nanorods modified by Fe(iii) nanoclusters for
effective photocatalytic degradation of organic pollutants.
AB - The photocatalytic performance of MoO3 is limited due to its weak visible light
absorption ability and quick recombination of charge carriers. In the present
work, we report the facile synthesis of Fe(iii)-grafted MoO3 nanorods using a
hydrothermal method followed by an impregnation technique with the aim of
enhancing the light harvesting ability and photocatalytic efficiency of MoO3. The
prepared samples were characterized through the standard analytical techniques of
XRD, SEM-EDS, TEM, XPS, UV-Vis-DRS, FT-IR, TG-DTA and PL spectrophotometry. XPS
and TEM analyses reveal that Fe(iii) ions are successfully grafted onto the
surface of the MoO3 nanorod with intimate interfacial contact. The photocatalytic
performances of the prepared samples were investigated by studying the
degradation of methylene blue (MB), rhodamine B (RhB) and 4-nitrophenol (4-NP)
under visible light irradiation. The surface-modified MoO3 with Fe(iii) ions
showed excellent photocatalytic activity towards the degradation of the above
mentioned pollutants, where Fe(iii) ions act as effective cocatalytic sites to
produce hydroxyl radicals through multi-electron reduction of oxygen molecules.
The improved photocatalytic activity could be ascribed to the effective
separation of charge carriers and efficient production of hydroxyl radicals via
the rapid capture of electrons by Fe(iii) through a well-known photoinduced
interfacial charge transfer mechanism. Based on scavenger analysis study, a
mechanism for the enhanced photocatalytic activity has been discussed and
proposed. The concept of surface grafting onto large bandgap semiconductors with
ubiquitous elements opens up a new avenue for the development of visible-light
responsive photocatalysts with excellent photocatalytic activity.
PMID- 29376155
TI - Response of mercury in an Adirondack (NY, USA) forest stream to watershed lime
application.
AB - Surface waters in Europe and North America previously impacted by acid deposition
are recovering in conjunction with declining precursor emissions since the 1980s.
Lime has been applied to some impacted watersheds to accelerate recovery. The
response to liming can be considered a proxy for future recovery from acid
deposition. Increases in dissolved organic carbon concentrations have been
observed in surface waters in response to increased pH associated with recovery
from acid deposition. Although not previously described, recovery-related
increases in dissolved organic carbon could drive increases in mercury
concentrations and loads because of the affinity of mercury for dissolved organic
matter. We used a before-after impact-response approach to describe the response
of stream mercury cycling to the application of lime to the watershed of a small
stream in the Adirondack Mountains of New York, USA. Dissolved organic carbon,
total mercury and methylmercury concentrations increased significantly in
streamwater within two weeks of treatment, to previously unobserved
concentrations. After six months, post-treatment before-after impact-control
(BACI) tests indicate that mean dissolved organic carbon concentrations and total
mercury to dissolved organic carbon ratios remained significantly higher and
limed site fluxes of methylmercury were lower than those at the reference stream.
This pattern suggests total mercury is leaching at elevated levels from the limed
watershed, but limitations in production and transport to the stream channel
likely resulted in increases in methylmercury concentration that were of limited
duration.
PMID- 29376156
TI - Mussel-inspired graphene oxide nanosheet-enwrapped Ti scaffolds with drug
encapsulated gelatin microspheres for bone regeneration.
AB - Graphene oxide (GO) attracts considerable attention for biomedical applications
owing to its unique nanostructure and remarkable physicochemical characteristics.
However, it is challenging to uniformly deposit GO on chemically inert Ti
scaffolds, which have good biocompatibility and wide applications in bone
engineering. In this study, a GO-functionalized Ti porous scaffold (GO/Ti
scaffold) was prepared by depositing GO onto polydopamine (PDA) modified Ti
scaffolds. The mussel-inspired PDA modification facilitated the interaction
between GO and Ti surfaces, leading to a uniform coverage of GO on Ti scaffolds.
BMP2 and vancomycin (Van) were separately encapsulated into gelatin microspheres
(GelMS). Then, drug-containing GelMS were assembled on GO/Ti scaffolds and
anchored by the functional groups of GO. The modified scaffold independently
delivered multiple biomolecules with different physiochemical properties, without
interfering with each other. Thus, the GO/Ti scaffold has the dual functions of
inducing bone regeneration and preventing bacterial infection. In summary, this
mussel-inspired GO/Ti hybrid scaffold combined the good mechanical properties of
Ti scaffolds and the advantages of GO nanosheets. GO nanosheets with their unique
nanostructure and functional groups, together with GelMS on Ti scaffolds, are
suitable carriers for drug delivery and provide adhesive sites for cell adhesion
and create nanostructured environments for bone regeneration.
PMID- 29376157
TI - An underwater superoleophobic nanofibrous cellulosic membrane for oil/water
separation with high separation flux and high chemical stability.
AB - Oil spills and an increasing demand for the treatment of industrial oily
wastewater are driving the need for continuous large-scale oil/water separation
processes. Herein, we report a nanofibrous cellulosic membrane (NFC membrane) for
the continuous high-flux separation of large amounts of oil/water mixtures. The
NFC membrane was fabricated using wet electrospinning, a facile yet effective
method for stacking nanofibrous membranes with uniform porous structures on a
substrate. Owing to its cellulosic nature, the membrane showed excellent
underwater superoleophobicity along with robust chemical stability and was able
to separate oil/water mixtures at efficiencies exceeding 99%. Repetitive
oil/water separations could be performed using a single membrane, during which
the oil content in the filtrate remained extremely low (<29 ppm). The nanofibrous
membrane exhibited a fine porous structure that was interconnected throughout the
membrane, resulting in a high oil intrusion pressure (>30 kPa) that allowed not
only gravity-driven but also pressure-driven separation of oil/water mixtures.
The separation flux reached 120 000 L m-2 h-1 during pressure-driven separations,
which is a very promising feature for actual applications such as the large-scale
treatment of industrial oily wastewater.
PMID- 29376158
TI - Light-induced cross-linking and post-cross-linking modification of polyglycidol.
AB - The photoinduced radical generation process has received renewed interest due to
its economic and ecological appeal. Herein the light-induced cross-linking of
functional polyglycidol and its post-cross-linking modification are presented.
Linear polyglycidol was first functionalized with a tertiary amine in a two-step
reaction. Dimethylaminopropyl functional polyglycidol was cross-linked in a UV
light mediated reaction with camphorquinone as a type II photoinitiator. The
cross-linked polyglycidol was further functionalized by quaternization with
various organoiodine compounds. Aqueous dispersions of the cross-linked polymers
were investigated by means of DLS and zeta potential measurements. Polymer films
were evaluated by DSC and XPS.
PMID- 29376159
TI - Singlet-triplet energy gaps and the degree of diradical character in binuclear
copper molecular magnets characterized by spin-flip density functional theory.
AB - Molecular magnets, defined here as organic polyradicals, can be used as building
blocks in the fabrication of novel and structurally diverse magnetic light-weight
materials. We present a theoretical investigation of the lowest spin states of
several binuclear copper diradicals. In contrast to previous studies, we consider
not only the energetics of the low-lying states (which are related to the
exchange-coupling parameter within the Heisenberg-Dirac-van-Vleck model), but
also the character of the diradical states themselves. We use natural orbitals,
their occupations, and the number of effectively unpaired electrons to quantify
bonding patterns in these systems. We compare the performance of spin-flip time
dependent density functional theory (SF-TDDFT) using various functionals and
effective core potentials against the wave function based approach, equation-of
motion spin-flip coupled-cluster method with single and double substitutions (EOM
SF-CCSD). We find that SF-TDDFT paired with the PBE50 and B5050LYP functionals
performs comparably to EOM-SF-CCSD, with respect to both singlet-triplet gaps and
states' characters. Visualization of frontier natural orbitals shows that the
unpaired electrons are localized on copper centers, in some cases exhibiting
slight through-bond interaction via copper d-orbitals and p-orbitals of
neighboring ligand atoms. The analysis reveals considerable interactions between
the formally unpaired electrons in the antiferromagnetic diradicaloids, meaning
that they are poorly described by the Heisenberg-Dirac-van-Vleck model. Thus, for
these systems the experimentally derived exchange-coupling parameters are not
directly comparable with the singlet-triplet gaps. This explains systematic
discrepancies between the computed singlet-triplet energy gaps and the exchange
coupling parameters extracted from experiment.
PMID- 29376160
TI - Anomalous thermoelectricity of pure ZnO from 3D continuous ultrathin nanoshell
structures.
AB - ZnO is a potential thermoelectric material because of its non-toxicity, high
thermal stability, and relatively high Seebeck coefficient (S) of metal oxides.
However, the extremely low figure of merit (zT), which comes from a high thermal
conductivity (kappa) over 40 W m-1 K-1, limits the thermoelectric application of
ZnO. In particular, below 500 K, ZnO exhibits a nearly negligible zT (<10-3),
unless a dopant is incorporated into the crystal structure. Here, we propose a
new strategy for achieving a reduced kappa and a correspondingly increased zT of
pure ZnO over a wide temperature range from 333 K to 723 K by forming an ~72 nm
thick, 3D continuous ultrathin nanoshell structure. The suppressed kappa of the
3D ZnO film is ~3.6 W m-1 K-1 at 333 K, which is ~38 times lower than that of the
blanket ZnO film (3.2 MUm thick), which was set as a reference. The experimental
zT of the 3D ZnO film is ~0.017 at 333 K, which is the highest value among pure
ZnO reported to date and is estimated to increase by ~0.072 at 693 K according to
the Debye-Callaway approach. Large-area (~1 in2) fabrication of the 3D ZnO film
with high structural uniformity allows the realization of an integrated
thermoelectric device, which generates ~60 mV at a temperature difference of 40 K
along the in-plane direction.
PMID- 29376161
TI - Dialumination of unsaturated species with a reactive bis(cyclopentadienyl)
dialane.
AB - A new bis(cyclopentadienyl) dialane is prepared, which shows controlled,
selective dialumination reactions with a conventional alkyne, an electron-rich
alkyne, and an azide. The reactions provide structurally diverse products,
featuring a range of aluminium coordination numbers, cyclopentadienyl binding
modes, and cyclic motifs. The variable nature of the bonding in the Cp*Al units
allows a range of binding modes depending on the electronic requirements of the
Al atom and provides new possibilities to the chemistry of dialanes, as
demonstrated by the isolation of a double internal Lewis adduct with "ring
slipped" Cp* rings in this work.
PMID- 29376162
TI - Plasmonic metal-semiconductor photocatalysts and photoelectrochemical cells: a
review.
AB - The incorporation of plasmonic metals into semiconductors is a promising route to
improve the performance of photocatalysts and photoelectrochemical cells. This
article summarizes the three major mechanisms of plasmonic energy transfer from a
metal to a semiconductor, including light scattering/trapping, plasmon-induced
resonance energy transfer (PIRET) and hot electron injection (also called direct
electron transfer (DET)). It also discusses the rational design of plasmonic
metal-semiconductor heterojunctions based on the underlying plasmonic energy
transfer mechanisms. Moreover, this article highlights the applications of
plasmonic photocatalysts and photoelectrochemical cells in solar water splitting,
carbon dioxide reduction and environmental pollutant decomposition.
PMID- 29376163
TI - Delivery systems for agriculture: Fe-EDDHSA/CaCO3 hybrid crystals as adjuvants
for prevention of iron chlorosis.
AB - Fe-EDDHSA/CaCO3 hybrid crystals are synthesized and tested in vitro to determine
their effect in treating iron chlorosis in kiwifruit plants, used as a proof of
concept. Under the alkaline conditions provided by the calcareous substrate,
plants release protons that dissolve the hybrids and trigger Fe uptake. These
CaCO3 hybrids represent a new system for active molecule delivery in agriculture.
PMID- 29376164
TI - Effect of hydrogenation on the structure and magnetic properties of an iron oxide
cluster.
AB - The structure and properties of the Fe8O12Hn clusters (n = 0-18) are computed
using the all-electron density functional theory with the generalized gradient
approximation for the exchange-correlation potential. The ground state of Fe8O12
is found to be a singlet state having a bi-capped octahedron geometry. Upon
hydrogenation, the octahedral framework of Fe is retained in Fe8O12Hn up to n <
7, beyond which point the iron octahedron transforms into a cube. Hydrogen atoms
are bound to oxygen atoms up to n = 12, but they bind to the faces of the Fe8
cube when n > 12. The total spin magnetic moment of a Fe8O12Hn cluster is larger
than 6 MUB for 1 <= n <= 18, except for n = 8 and 10, where the lowest total
energy states are antiferromagnetic singlets. The reason for this deviation from
the general behavior in the Fe8O12Hn series is attributed to the collective
superexchange phenomenon. Surprisingly, the total spin magnetic moment of a
Fe8O12Hn cluster is found to be substantially larger than the total spin magnetic
moment of the bare Fe8 cluster when n = 12-18. All of the Fe8O12Hn clusters are
stable with respect to an abstraction of a single hydrogen atom but are unstable
toward the abstraction of an H2 dimer when n =10 and n = 14-18.
PMID- 29376165
TI - Cobalt-to-vanadium charge transfer in polyoxometalate water oxidation catalysts
revealed by 2p3d resonant inelastic X-ray scattering.
AB - Two isostructural cobalt containing polyoxometalate water oxidation catalysts,
[Co4(H2O)2(alpha-PW9O34)2]10- (Co4P2) and [Co4(H2O)2(alpha-VW9O34)2]10- (Co4V2),
exhibit large differences in their catalytic performance. The substitution of
phosphorus centers in Co4P2 with redox-active vanadium centers in Co4V2 leads to
electronic structure modifications. Evidence for the significance of the vanadium
centers to catalysis, predicted by theory, was found from soft X-ray absorption
(XAS) and resonant inelastic X-ray scattering (RIXS). The XAS and RIXS spectra
determine the electronic structure of the cobalt and vanadium sites in the pre
reaction state of both Co4V2 and Co4P2. High-energy resolution RIXS results
reveal that Co4V2 possesses a smaller ligand field within the tetra-cobalt core
and a cobalt-to-vanadium charge transfer band. The differences in electronic
structures offer insights into the enhanced catalysis of Co4V2.
PMID- 29376166
TI - Bacterial growth sensing in microgels using pH-dependent fluorescence emission.
AB - We report the development of a platform for sensing bacterial growth by observing
pH change via a change in fluorescence. While the platform allows for the rapid
detection of bacteria it is sensitive enough to detect even ~104 CFU of bacteria.
The platform can differentiate between resistant and non-resistant strains of E.
coli in ~4 to 6 hours, which is better than currently used clinical methods.
PMID- 29376167
TI - Nonequilibrium self-assembly induced Liesegang rings in a non-isothermal system.
AB - We propose a model to show the formation of Liesegang rings under non-isothermal
conditions. The model formulates reaction-diffusion equations for all components
intervening in the process together with an evolution equation for the
temperature. The reactive parts in these equations follow from the analysis of
the non-equilibrium self-assembly (NESA) process undergone by the meso-particles
which make up the patterns. The solution of these equations enables us to know
the concentration of each component, the spherical structures diameter, and the
system temperature as a function of time and radial position. The values found
for the structures diameter and the rings position are in agreement with the
experiments. The results for the system temperature with peaks at the rings
positions suggest that heat accumulates at these positions as a consequence of
the dissipation inherent to the NESA process. Our model enables us to rationalize
how from non-homogeneous initial conditions a transient self-organization process
involving formation of self-assembled structures may produce macroscopic
patterns. It can, in general, be used to analyze pattern formation due to
diffusion-reaction-precipitation processes with potential applications in the
design of advanced materials.
PMID- 29376168
TI - Mercury methylation in stormwater retention ponds at different stages in the
management lifecycle.
AB - Stormwater retention ponds effectively manage erosion, flooding, and pollutant
loadings, but are also sources of methylmercury (MeHg), a bioaccumulative
neurotoxin which is produced by anaerobic aquatic microorganisms. Stormwater
retention ponds have a 10-15 year working life, after which they are dredged and
reflooded. In this study, we related MeHg biogeochemistry to the different stages
of the management lifecycle. In a new, a dredged, and a mature stormwater
retention pond, we measured MeHg and inorganic mercury (IHg) concentrations, and
the potential for MeHg formation (Kmeth), during the early summer, peak summer,
and fall of 2013. In our study sites, MeHg concentrations appear to be driven by
mercury (Hg) methylation, indicated by significant correlations between Kmeth
values and MeHg concentrations and the percent of Hg present as MeHg.
Relationships between Hg variables and ancillary biogeochemistry suggest that Hg
methylation is carried out by sulfate reducing bacteria, but that the process is
modulated by the supply of IHg substrate, sediment total and labile organic
carbon, and possibly competition with nitrate reducers. Wetlands at different
points in the management lifecycle differ in terms of their MeHg biogeochemistry.
The organic matter-poor new wetland had low MeHg production (mean Kmeth 0.014 per
day) and sediment concentrations (mean 0.015 ng g-1), while the mature wetland
both produced and accumulated MeHg about five times more actively. Methylmercury
production capacity was only temporarily reduced in the reflooded sediments of
the dredged wetland, which experienced rapid increases in Kmeth values from low
(mean 0.015 per day) immediately after dredging, to values similar to those in
the mature wetland after five months. This pattern may have been related to
recolonization of the sediments with mercury methylators or increased microbial
activities in response to the addition of fresh organic matter. Additional
studies should focus on the applicability of these patterns to stormwater
retention ponds in other areas, and particularly investigate the effects of
stormwater pond dredging on their microbial ecology and MeHg biogeochemistry.
PMID- 29376169
TI - Kinetics of autoignition: a simple intuitive interpretation and its relation to
the Livengood-Wu integral.
AB - It is well known that the gas-phase autoignition phenomenon often involves
branched chain reactions as well as the acceleration of reactions by thermal
feedback. Despite the huge combustion kinetic mechanisms of large hydrocarbons
found in practical fuels, chain reactions in the early stages of alkane
autoignition exhibit simple kinetics since the pseudo-first-order assumption and
the linear approximation are valid. In this study, this simple picture of
autoignition will be presented starting from the H2-O2 system and then extending
to practical fuel-air mixtures. The present interpretation gives the theoretical
rationale for the Livengood-Wu integral which is known as an empirical method to
predict the timing of knock in spark-ignition engines.
PMID- 29376170
TI - Studies towards the synthesis of Pd(ii)-containing [2] and [3]catenanes in
aqueous media.
AB - Here is reported the investigation of a synthetic route for the preparation of
Pd(ii)-containing catenanes in aqueous media. A pseudorotaxane intermediate was
prepared, which can potentially be converted into a series of catenanes. From the
pseudorotaxane, using a Pd(ii)-driven clipping step a dinuclear [3]catenane was
obtained in the solid state.
PMID- 29376171
TI - New hole transporting materials for planar perovskite solar cells.
AB - Two new hole transporting materials (HTMs) based on triphenylamine and carbazole
core moieties are designed and applied in planar perovskite solar cells. 18.2%
power conversion efficiency (PCE) has been achieved, and 84% of the initial
performance can be retained after 50 days.
PMID- 29376172
TI - Base-promoted amide synthesis from aliphatic amines and ynones as acylation
agents through C-C bond cleavage.
AB - A new protocol for the synthesis of amides via base-promoted cleavage of the
C(sp)-C(CO) bond of ynones with aliphatic primary and secondary amines under
transition-metal-, ligand-, and oxidant-free conditions has been developed. This
method exhibits a wide substrate scope, high functional group tolerance and
exclusive chemoselectivity, as well as mild reaction conditions.
PMID- 29376173
TI - Enhanced planar perovskite solar cell efficiency and stability using a
perovskite/PCBM heterojunction formed in one step.
AB - Perovskite/PCBM heterojunctions are efficient for fabricating perovskite solar
cells with high performance and long-term stability. In this study, an efficient
perovskite/PCBM heterojunction was formed via conventional sequential deposition
and one-step formation processes. Compared with conventional deposition, the one
step process was more facile, and produced a perovskite thin film of
substantially improved quality due to fullerene passivation. Moreover, the
resulting perovskite/PCBM heterojunction exhibited more efficient carrier
transfer and extraction, and reduced carrier recombination. The perovskite solar
cell device based on one-step perovskite/PCBM heterojunction formation exhibited
a higher maximum PCE of 17.8% compared with that from the conventional method
(13.7%). The device also showed exceptional stability, retaining 83% of initial
PCE after 60 days of storage under ambient conditions.
PMID- 29376174
TI - Rock the nucleus: significantly enhanced nuclear membrane permeability and gene
transfection by plasmonic nanobubble induced nanomechanical transduction.
AB - Efficient delivery to the cell nucleus remains a significant challenge for many
biomolecules, including anticancer drugs, proteins and DNAs. Despite numerous
attempts to improve nuclear import including the use of nuclear localization
signal (NLS) peptides and nanoparticle carriers, they are limited by the
nanoparticle size, conjugation method, dependence on the functional nuclear
import and intracellular trafficking mechanisms. To overcome these limitations,
here we report that the nanomechanical force from plasmonic nanobubbles increases
nuclear membrane permeability and promotes universal uptake of macromolecules
into the nucleus, including macromolecules that are larger than the nuclear pore
complex and would otherwise not enter the nucleus. Importantly, we show that
plasmonic nanobubble-induced nanomechanical transduction significantly improves
gene transfection and protein expression, compared to standard electroporation
treatment alone. This novel nanomechanical transduction increases the size range
and is broadly applicable for macromolecule delivery to the cell nucleus, leading
to new opportunities and applications including for gene therapy and anticancer
drug delivery.
PMID- 29376175
TI - A novel steric effect-regulated isothermal exponential amplification technology
for the one-step homogeneous sensing of proteins.
AB - A simple and homogeneous technology, the steric effect-regulated isothermal
exponential amplification reaction (SER-EXPAR), was developed to sense proteins.
By using a small molecule linked DNA nanostructure, termed enzyme-binding hairpin
(EBH), the protein-small molecule binding events could be readily sensed by
utilizing the steric effect generated between the protein and enzyme. It set free
the enzyme to be active again, thus regulating the amplification rate of EXPAR.
PMID- 29376176
TI - A piezo-ring-on-chip microfluidic device for simple and low-cost mass
spectrometry interfacing.
AB - Mass spectrometry (MS) interfacing technology provides the means for
incorporating microfluidic processing with post MS analysis. In this study, we
propose a simple piezo-ring-on-chip microfluidic device for the controlled
spraying of MALDI-MS targets. This device uses a low-cost, commercially-available
ring-shaped piezoelectric acoustic atomizer (piezo-ring) directly integrated into
a polydimethylsiloxane microfluidic device to spray the sample onto the MS target
substrate. The piezo-ring-on-chip microfluidic device's design, fabrication, and
actuation, and its pulsatile pumping effects were evaluated. The spraying
performance was examined by depositing organic matrix samples onto the MS target
substrate by using both an automatic linear motion motor, and manual deposition.
Matrix-assisted laser desorption/ionization mass spectrometry (MALDI-MS) was
performed to analyze the peptide samples on the MALDI target substrates. Using
our technique, model peptides with 10-6 M concentration can be successfully
detected. The results also indicate that the piezo-ring-on-chip approach forms
finer matrix crystals and presents better MS signal uniformity with little sample
consumption compared to the conventional pipetting method.
PMID- 29376177
TI - Mediator- and co-catalyst-free direct Z-scheme composites of Bi2WO6-Cu3P for
solar-water splitting.
AB - Exploring new single, active photocatalysts for solar-water splitting is highly
desirable to expedite current research on solar-chemical energy conversion. In
particular, Z-scheme-based composites (ZBCs) have attracted extensive attention
due to their unique charge transfer pathway, broader redox range, and stronger
redox power compared to conventional heterostructures. In the present report, we
have for the first time explored Cu3P, a new, single photocatalyst for solar
water splitting applications. Moreover, a novel ZBC system composed of Bi2WO6
Cu3P was designed employing a simple method of ball-milling complexation. The
synthesized materials were examined and further investigated through various
microscopic, spectroscopic, and surface area characterization methods, which have
confirmed the successful hybridization between Bi2WO6 and Cu3P and the formation
of a ZBC system that shows the ideal position of energy levels for solar-water
splitting. Notably, the ZBC composed of Bi2WO6-Cu3P is a mediator- and co
catalyst-free photocatalyst system. The improved photocatalytic efficiency
obtained with this system compared to other ZBC systems assisted by mediators and
co-catalysts establishes the critical importance of interfacial solid-solid
contact and the well-balanced position of energy levels for solar-water
splitting. The promising solar-water splitting under optimum composition
conditions highlighted the relationship between effective charge separation and
composition.
PMID- 29376178
TI - An isolable beta-diketiminato chlorosilylene.
AB - The first beta-diketiminate ligated chlorosilylene has been synthesised and
isolated from the corresponding beta-diketiminato dichlorohydrosilane through
dehydrochlorination with an N-heterocyclic carbene.
PMID- 29376179
TI - Kinetic and thermodynamic analysis of triplex formation between peptide nucleic
acid and double-stranded RNA.
AB - Kinetics and thermodynamics of triplex formation between 9-mer homopyrimidine PNA
(H2N-Lys-TCTCCTCCC-CONH2) and double-stranded RNA (dsRNA, 5'-AGAGGAGGG-3'/3'
UCUCCUCCC-5') at acidic pH were studied by means of a stopped-flow technique and
isothermal titration calorimetry (ITC). These results revealed the following main
findings: (i) the stable PNA-dsRNA triplex formation mostly originated from the
large association rate constant (kon), which was dominated by both the charge
neutral PNA backbone and the protonation level of the PNA cytosine. (ii) The
temperature dependence of the enthalpy change (DeltaH) and kon suggested that the
association phase of the PNA-dsRNA triplex formation comprised a non-directional
nucleation-zipping mechanism that was coupled with the conformational transition
of the unbound PNA. (iii) The destabilization by a mismatch in the dsRNA sequence
mainly resulted from the decreased magnitude of both kon and DeltaH. (iv) There
was sequence and position dependence of the mismatch on DeltaH and the activation
energy (Eon), which illustrated the importance of base pairing in the middle of
the sequence. Our results for the first time revealed an association mechanism
for the PNA-dsRNA triplex formation. A set of the kinetic and thermodynamic data
we reported here will also expand the scope of understanding for nucleic acid
recognition by PNA.
PMID- 29376180
TI - H-Transfer reactions of internal alkenes with tertiary amines as H-donors on
carbon supported noble metals.
AB - A hydride transfer reaction with tertiary amines was observed in the presence of
noble metals on a carbon support. Hydride transfer had been documented previously
in terms of activated allyl-type carbon-carbon double bonds containing carbonyl
derivatives in the presence of triethyl amine (conjugate reduction). The proposed
mechanism is a hydride transfer reaction in which the metal serves as the
reaction partner of the hydrido-metal iminium adduct formation. The saturation of
a non-activated internal double bond containing compound, such as methyl oleate
and trans-5-decene as substrates, was observed for the first time in this work.
The pre-reduced catalyst samples showed high activity; in the presence of Pd/C,
Pt/C and Rh/C partial to complete conversion was detected at 140 degrees C in a
p-xylene solvent without molecular hydrogen. Higher molecular weight byproducts
of the amines were formed, while in the case of the substrates negligible amounts
of unreacted but double bond migrated species were present. There is a
possibility of usage of alkyl amines other than triethylamine; thus use of
tributyl-, tripentyl-, trihexylamine and N,N-diisopropylethylamine, as well as
cyclic 1-ethylpyrrolidine and 1-ethylpiperidine, was investigated. Cyclic amines
and diisopropyl derivatives as H sources produced the highest conversion, while
amines with longer alkyl chains showed minor activity. As a clear indication of H
donation, the formation of unsaturated amine species such as 1-ethyl-pyrrole and
pyridine was observed.
PMID- 29376181
TI - Supramolecular chiroptical switching of helical-sense preferences through the two
way intramolecular transmission of a single chiral source.
AB - We demonstrate a chiroptical switching system with a simple molecule. The
molecule contains a pair of chromophores of diphenylacetylene that are linked
with a diyne bond and arranged to exert exciton coupling in helically folded
forms with (M)- or (P)-helicity. A tertiary amide group is attached to each end
of the looped molecule. The amide carbonyls were used to capture a ditopic
hydrogen-bonding guest. A chiral auxiliary group on the amide nitrogen acted as a
chiral handle to control the helical-sense preference of dynamic helical forms of
the loop. The helical-sense preference is brought about by an intramolecular
transmission of point chirality associated with the loop. The preferred sense was
switched upon complexation with an achiral additive through the formation of
hydrogen bonds. In both states, before and after complexation, the helical-sense
preferences were controlled through two-way transmission of the single chiral
source.
PMID- 29376182
TI - Tunable seat belt behavior in nanocomposite interfaces inspired from bacterial
adhesion pili.
AB - A challenging problem in designing nanocomposites is to engineer nanoparticle
interfaces that have tunable cohesive strength and rate-responsive behavior, for
which inspiration can be taken from biological systems. An exemplary bio
interface is the Chaperone-Usher (CU) pili, such as type 1 expressed by bacteria
Escherichia coli. The pili have unique biomechanical properties that enhance the
ability of bacteria to sustain attachment to surfaces under large stresses, such
as constant force extensibility, logarithmic velocity-uncoiling force dependence,
and adhesive tips with catch bond behavior that exhibit longer bond life-times at
greater force levels. Although biophysics of the pili under strain or stress is
well-studied for anti-infective applications that aim to compromise pili
adhesion, utilizing the biomechanical properties of the pili in material design
applications is yet to be explored. In this work, we modeled the elongation of a
single CU pilus with catch bond tip adhesin and examined its toughness response
using Monte Carlo simulations. We showed that the pilus can act as a "molecular
seat belt" that exhibits low toughness when pulled slowly and high toughness when
pulled rapidly. Furthermore, we found that systematically varying the catch bond
and shaft parameters leads to tunable seat belt behavior at the interface, where
the sharpness of the transition from the low toughness to the high toughness
regime and the velocity at the start of the transition can be dictated by
molecular design parameters. Lastly, we tested the performance of CU pilus in
slowing down a fast particle, and reveal that pili can effectively stop micron
size projectiles with high initial velocities. The molecular seat belt mechanism
presented here provides insight into how nanocomposite interfaces can be
engineered to create molecular networks with linkers that switch on or off
depending on strain rate.
PMID- 29376183
TI - Comment on: Definitive tests for dengue fever: when and which should I use?
PMID- 29376184
TI - Authors' reply.
PMID- 29376185
TI - Internationalising Singapore Medicine.
PMID- 29376186
TI - Ministry of Health Clinical Practice Guidelines: Hypertension.
AB - The Ministry of Health (MOH) has updated the clinical practice guidelines on
hypertension to provide doctors and patients in Singapore with evidence-based
treatment for hypertension. This article reproduces the introduction and
executive summary (with recommendations from the guidelines) from the MOH
clinical practice guidelines on hypertension, for the information of SMJ readers.
Chapters and page numbers mentioned in the reproduced extract refer to the full
text of the guidelines, which are available from the Ministry of Health website:
http://www.moh.gov.sg/content/moh_web/healthprofessionalsportal/doctors/guideline
/cpg_medical.html. The recommendations should be used with reference to the full
text of the guidelines. Following this article are multiple choice questions
based on the full text of the guidelines.
PMID- 29376187
TI - Severo Ochoa (1905-1993): The man behind RNA.
PMID- 29376188
TI - Fall concern about older persons shifts to carers as changing health policy
focuses on family, home-based care.
AB - With the Singaporean population ageing at an exponential rate, home carers are
increasingly becoming essential partners in fall prevention and care delivery for
older persons living at home and in the community. Singapore, like other Asian
countries, regards the family as the main support structure for the older person,
and national policies have been implemented to support this cultural expectation.
Family carers experience similar concerns as older persons with regard to fall
risk, and identifying and addressing these concerns can potentially lower fall
risk and improve fall prevention for older persons. It is timely to remind
ourselves - as concern about falls in older persons begins to shift to carers -
to incorporate the influence of Asian cultural values and unique family dynamics
of outsourcing family caregiving, in the management of older persons' fall risk
in the community.
PMID- 29376189
TI - Clinics in diagnostic imaging (183).
AB - Hydrocoele of the canal of Nuck is a rare condition in adult women caused by a
failure of complete obliteration of the canal of Nuck. It may be likened to a
patent processus vaginalis in men. In most cases, the diagnosis is made during
surgery as it is often mistaken for an inguinal hernia. We report a case where
the diagnosis of this condition was made preoperatively on imaging. The salient
anatomy, clinical features, radiological images and surgical management are
discussed and the medical literature reviewed.
PMID- 29376190
TI - A time for reflection and thanks.
PMID- 29376191
TI - New Editor-in-Chief-welcome to Simon Boulton!
PMID- 29376192
TI - Modifications of the 26S proteasome during boar sperm capacitation.
AB - Protein ubiquitination is a stable, reversible post-translational modification,
targeting proteins for degradation/recycling by the 26S proteasome in a well
characterized enzymatic cascade. Studies have revealed the role of UPS in the
regulation of fertilization, including sperm-zona pellucida interactions and the
early event of sperm capacitation. The present study investigates the changes in
proteasome compartmentalization, subunit composition and post-translational
modifications during in vitro capacitation of fresh boar spermatozoa. We observed
capacitation-dependent shedding of both 20S core and 19S regulatory particles
from the acrosome that was associated with decreased plasma membrane integrity,
independent of proteasomal inhibition. Subunits PSMA1-7 of the 20S core did not
appear to undergo post-translational modifications during capacitation, based on
invariant molecular masses before and after capacitation; however, we observed
multiple PSMD4 forms of 19S regulatory particles (50, 53, 70, 115-140, 160 and
>176 kDa) sequentially released from spermatozoa. PSMD4 subunit was found to be
post-translationally modified during the course of capacitation, resulting in
changes of apparent molecular mass, some of which were dependent on proteasomal
inhibition. These results show that the sperm proteasomes are being modified
during sperm capacitation. Additional studies of individual 26S proteasome
subunits will be required to elucidate these modifications and to understand how
UPS modulates sperm capacitation.
PMID- 29376193
TI - Portable low-power thermal cycler with dual thin-film Pt heaters for a polymeric
PCR chip.
AB - Polymerase chain reaction (PCR) has been widely used for major definite
diagnostic tool, but very limited its place used only indoor such as hospital or
diagnosis lab. For the rapid on-site detection of pathogen in an outdoor
environment, a low-power cordless polymerase chain reaction (PCR) thermal cycler
is crucial module. At this point of view, we proposed a low-power PCR thermal
cycler that could be operated in an outdoor anywhere. The disposable PCR chip was
made of a polymeric (PI/PET) film to reduce the thermal mass. A dual arrangement
of the Pt heaters, which were positioned on the top and bottom of the PCR chip,
improved the temperature uniformity. The temperature sensor, which was made of
the same material as the heater, utilized the temperature dependence of the Pt
resistor to ensure simple fabrication of the temperature sensor. Cooling the PCR
chip using dual blower fans enabled thermal cycling to operate with a lower power
than that of a Peltier element with a high power consumption. The PCR components
were electrically connected to a control module that could be operated with a Li
ion battery (12 V), and the PCR conditions (temperature, time, cycle, etc.) were
inputted on a touch screen. For 30 PCR cycles, the accumulated power consumption
of heating and cooling was 7.3 Wh, which is easily available from a compact
battery. Escherichia coli genomic DNA (510 bp) was amplified using the proposed
PCR thermal cycler and the disposable PCR chip. A similar DNA amplification
capability was confirmed using the proposed portable and low-power thermal cycler
compared with a conventional thermal cycler.
PMID- 29376195
TI - Efficacy, safety and outcome of frameless image-guided robotic radiosurgery for
brain metastases after whole brain radiotherapy.
AB - Estimating efficacy, safety and outcome of frameless image-guided robotic
radiosurgery for the treatment of recurrent brain metastases after whole brain
radiotherapy (WBRT). We performed a retrospective single-center analysis
including patients with recurrent brain metastases after WBRT, who have been
treated with single session radiosurgery, using the CyberKnife(r) Radiosurgery
System (CKRS) (Accuray Inc., CA) between 2011 and 2016. The primary end point was
local tumor control, whereas secondary end points were distant tumor control,
treatment-related toxicity and overall survival. 36 patients with 140 recurrent
brain metastases underwent 46 single session CKRS treatments. Twenty one patients
had multiple brain metastases (58%). The mean interval between WBRT and CKRS
accounted for 2 years (range 0.2-7 years). The median number of treated
metastases per treatment session was five (range 1-12) with a tumor volume of
1.26 ccm (mean) and a median tumor dose of 18 Gy prescribed to the 70% isodose
line. Two patients experienced local tumor recurrence within the 1st year after
treatment and 13 patients (36%) developed novel brain metastases. Nine of these
patients underwent additional one to three CKRS treatments. Eight patients
(22.2%) showed treatment-related radiation reactions on MRI, three with clinical
symptoms. Median overall survival was 19 months after CKRS. The actuarial 1-year
local control rate was 94.2%. CKRS has proven to be locally effective and safe
due to high local tumor control rates and low toxicity. Thus CKRS offers a
reliable salvage treatment option for recurrent brain metastases after WBRT.
PMID- 29376194
TI - Residual rivaroxaban exposure after discontinuation of anticoagulant therapy in
patients undergoing cardiac catheterization.
AB - PURPOSE: Patients treated with direct oral anticoagulants (DOACs) frequently
undergo interventional procedures requiring temporary discontinuation of
anticoagulant therapy. Little is known about remaining peri-procedural exposure
to rivaroxaban in real-world patients. METHODS: Fifty-six patients with
rivaroxaban treatment and scheduled cardiac catheterization were included in this
prospective, observational, and single-center study. Rivaroxaban concentrations
were determined by LC-MS/MS and a chromogenic anti-Xa assay. Population
pharmacokinetic modeling was carried out on LC-MS/MS concentration data using
NONMEM software, and results were applied to Monte Carlo simulations to predict
appropriate rivaroxaban discontinuation intervals. RESULTS: Rivaroxaban
concentrations ranged from = 40. For lesser degrees of obesity, BMI 35
39.9, comorbidities are also considered. A Body Shape Index (ABSI) was derived to
correct WC (waist circumference) for BMI and height. ABSI has been shown to be a
linear predictor of long-term mortality across the range of BMI. Anthropometric
risk indicator (ARI) combines the complementary contributions of BMI and ABSI and
further improves mortality hazard prediction. We report for the first time ABSI
and ARI for a bariatric surgical cohort at baseline and with 3-year follow-up.
METHODS: ABSI and BMI were calculated for 101 subjects from our bariatric surgery
center database at baseline and after 3 years of follow-up. Raw values for BMI
and ABSI were converted to Z scores and ARI values based on sex- and age-specific
normals and risk associations from the National Health and Nutrition Examination
Survey (NHANES) III sample of the US general population. RESULTS: Baseline scores
for the anthropometric variables BMI and ABSI and the corresponding ARI were all
higher than for the NHANES population sample. At 3-year post surgery, all three
measures decreased significantly. While baseline BMI did not predict the change
in mortality risk by ARI, baseline ABSI did (r = - 0.73), as did baseline ARI (r
= - 0.94). CONCLUSION: Sleeve gastrectomy lowers ABSI and the associated
mortality risk estimated from population studies after 3 years of follow-up.
Considering our results, bariatric surgical candidates with BMI in the range of
35 to 39.9 with an increased ABSI-related mortality risk may have considerable
survival benefit from bariatric surgery, even in the absence of qualifying
comorbidities. TRIAL REGISTRATION NUMBER: 2814.
PMID- 29376203
TI - pH-Dependent Effects of L-Cysteine on Mercury Dissolution of alpha-HgS and beta
HgS.
AB - Mercury sulfide is an insoluble inorganic mercury compound, and it is the main
chemical form in traditional oral mercury-containing medicines. Hg2+ has a high
affinity for thiols, and small molecule thiols in the gastrointestinal tract may
promote mercury dissolution of mercury sulfide by binding to Hg2+. L-cysteine is
the only amino acid that possesses a reducing sulfhydryl group (-SH), out of the
20 amino acids. This study investigates the effect of L-cysteine on mercury
dissolution of mercury sulfide at pHs ranging from 1.2 to 7.2. The results showed
that L-cysteine had different pH-dependent effects on the mercury dissolution of
alpha-HgS and beta-HgS. For alpha-HgS, the dissolved mercury concentration
increased from 5.47 +/- 0.97 ng/mL to 12.49 +/- 0.54 ng/mL when the pH rose from
1.2 to 4.2, and decreased to 3.37 +/- 0.70 ng/mL at pH 6.0 and then increased to
9.36 +/- 0.79 ng/mL at pH 7.2. For beta-HgS, the dissolved mercury concentration
increased from 151.09 +/- 2.25 ng/mL to 2346.71 +/- 62.62 ng/mL when the pH
increased from 1.2 to 7.2. In conclusion, L-Cys was distinctly enhanced upon
mercury dissolution of alpha-HgS and beta-HgS with increasing pH. These results
may contribute to our understanding of the mercury absorption mechanism of
traditional oral mercury-containing medicines.
PMID- 29376204
TI - The impact of gender on mortality after NSTEMI.
PMID- 29376205
TI - Correction to: The Cancer, Educate to Prevent Model-the Potential of School
Environment for Primary Prevention of Cancer.
AB - The affiliation address of Dr. Helena Santos should be Faculty of Economics,
University of Porto, Portugal and Dr. Luis Moreira's should be Research Unit in
Education and Community Intervention - RECI & Health School of Vila Nova de Gaia
Piaget Institute.
PMID- 29376206
TI - Serum adenosine deaminase activity is increased in systemic lupus erythematosus
patients and correlated with disease activity.
AB - Adenosine deaminase (ADA) has been found to be involved in autoimmune disease
progression. To assess the potential application of serum ADA activity in
diagnosing systemic lupus erythematosus (SLE) and evaluating SLE disease
activity, we investigated the serum ADA activity of 120 SLE patients and 120
healthy controls in the present study. The results showed that serum ADA activity
in SLE patients was significantly increased (median (IQR) = 14 (11-19) U/L)
compared with that in healthy controls (median (IQR) = 8 (7-10) U/L). Based on a
receiver operating characteristic curve analysis, the optimal cut-off value for
using serum ADA activity to diagnose SLE patients was 10.5 U/L (specificity,
84.2%; sensitivity, 78.3%). The diagnostic performance of serum ADA activity for
SLE patients was better than that of other conventional haematology markers.
Moreover, serum ADA activity displayed an increasing trend with increasing SLE
disease activity. Spearman's correlation analysis showed that serum ADA activity
was positively correlated with SLE disease activity. These findings suggest that
serum ADA activity could be a diagnostic marker for SLE; moreover, measuring
serum ADA activity may be helpful for evaluating and monitoring the disease
activity of SLE patients.
PMID- 29376207
TI - The Prognostic Implications of FIX and FLO Patterns in Mucinous Colon Carcinomas.
AB - PURPOSE: Colon mucinous carcinomas (MUCs) have two morphological patterns: (i)
glands lined by mucinous epithelium with direct contact to the stroma (FIX) and
(ii) carcinoma cells floating in mucin (FLO). In this study, we evaluated the
prognostic value of these patterns. METHODS: Digital images were captured from
the 38 MUC's tissue sections. A grid with 140 points was laid over the computer
screen. Totally, 100 points, falling on tumor cells floating in mucin (FLO
patterned cells) or on cells contacting stroma (FIX patterned cells), were
counted. Tumors were grouped according to the median value of the FIX patterned
cells. Cases with more than this value were grouped as FIX and less were grouped
as FLO cases. The prognostic value of FIX and FLO pattern was evaluated. RESULTS:
The median for FIX patterned cells was 66%, and the cases with lower values than
this were grouped as FLO (N = 18; 47.37%), while the rest were grouped as FIX
cases. There was no significant difference between FIX and FLO cases for overall
survival cases (p = 0.167). For FIX cases, 62.7 and 51.3% of the patients were
alive at second and third years, while this was 78.9 and 72.4% for the FLO group,
respectively. CONCLUSIONS: This is the first study using a quantitative
methodology depending on count pointing to evaluate FIX/FLO feature of MUCs to
the best of our knowledge, although we could not observed any prognostic and
clinicopathologic relationship statistically. This distinctive feature should be
studied in larger cohorts with prognostic information, with a quantitative
method, like the one that was applied in this study, in order to achieve strict
conclusions.
PMID- 29376208
TI - Halomonas tabrizica sp. nov., a novel moderately halophilic bacterium isolated
from Urmia Lake in Iran.
AB - A novel moderately halophilic, Gram-stain negative and aerobic bacterium,
designated strain TBZ21T, was isolated from a water sample of Urmia Lake, Iran.
Cells were observed to be non-motile rods with no flagellum, showing positive
catalase and oxidase reactions. Strain TBZ21T was found to grow at 10-40 degrees
C (optimum, 30 degrees C), at pH 7-10 (optimum, pH 8) and in the presence of 1
22% (optimum, 10%). The major fatty acids were identified as C19:0 cyclo omega8c,
C16:0, Summed features 3 (C13:0 3-OH and/or iso-C15:1 H) and 8 (C18:1 omega7c
and/or C18:1 omega6c) and C12:0 3-OH. The major polar lipids were identified as
diphosphatidylglycerol, phosphatidylglycerol, phosphatidylethanolamine and an
unidentified phosphoaminolipid. The genomic DNA G+C content of strain TBZ21T was
determined to be 63.3 mol%. Phylogenetic analyses based on 16S rRNA gene
sequences indicated that strain TBZ21T belongs to the genus Halomonas, and shows
high sequence similarities to Halomonas fontilapidosi 5CRT (99.43%), Halomonas
ventosae AL12T (98.64%), Halomonas sediminicola CPS11T (98.63%) and Halomonas
aestuarii Hb3T (98.08%), and has low similarities (below 98.0%) with other
members of the genus. The values of DNA-DNA relatedness between strain TBZ21T and
the closely related strains H. fontilapidosi LMG 24455T and H. ventosae LMG
26187T were 42 +/- 11% and 54 +/- 16%, respectively. On the basis of phenotypic,
chemotaxonomic and molecular characteristics, strain TBZ21T is concluded to
represent a novel species of the genus Halomonas, for which the name Halomonas
tabrizica sp. nov. is proposed. The type strain is TBZ21T (=LMG 25445T=DSM
23018T).
PMID- 29376210
TI - First-in-human phase I dose escalation study of MK-8033 in patients with advanced
solid tumors.
AB - Background C-Met, which is frequently activated in multiple cancers, has been
implicated in tumor formation, progression, metastasis, angiogenesis, and
resistance to multiple therapies. MK-8033 is a small-molecule inhibitor of c-Met
that binds preferentially to the activated conformation, and has demonstrated
anti-tumor activity in preclinical models. This first-in-human trial was
performed to establish the safety and maximum tolerated dose (MTD), as well as
preliminary pharmacokinetics (PK) and clinical activity. Methods Forty-seven
patients were enrolled in three parts. The primary objective of Parts A and B was
safety, whereas Part C evaluated the effect of proton-pump inhibitors on MK-8033
absorption. Dose escalation used an accelerated continual reassessment method,
and dose-limiting toxicities (DLTs) were any treatment-related, first course non
hematologic grade >= 3 toxicity (except alopecia or inadequately treated
nausea/vomiting/diarrhea), grade 4 hematologic toxicity (except grade 3
neutropenic fever and thrombocytopenia), or toxicity where treatment is held >3
weeks. Results Forty-six patients were treated across nine dose levels, and the
MTD was 750 mg twice daily. DLTs were fatigue, nausea, vomiting, transaminitis,
and hypokalemia. Most frequent toxicities were fatigue (28.3%), nausea (21.7%),
and alopecia (19.6%), predominately grade <= 2. One patient with endometriod
adenocarcinoma achieved a partial response and eight had stable disease. Median
progression-free survival (PFS) was 57 days. Strikingly, the PFS for the one
responder was 846 days. PK results showed that proton-pump inhibitors have no
effect on MK-8033 absorption. Conclusion MK-8033 was well tolerated with no
significant toxicity issues, albeit with limited clinical activity.
Unfortunately, the company decided to discontinue further clinical development of
MK-8033.
PMID- 29376211
TI - Membrane perforation rate in lateral maxillary sinus floor augmentation using
conventional rotating instruments and piezoelectric device-a meta-analysis.
AB - OBJECTIVES: Maxillary sinus augmentation (MSA) is a successful and predictable
intervention with low complication rates. Perforations of the Schneiderian
membrane may occur impairing the general success. The aim of this study was to
compare the incidence of membrane perforations between conventional rotating
instruments and piezoelectric devices in a meta-analysis. MATERIAL AND METHODS:
An electronic research on MEDLINE and PubMed database was performed evaluating
the literature from 1980 till 2016. Meta-analysis was performed with the studies
matching the inclusion criteria. The incidence of perforations between
conventional and piezo during the lateral maxillary sinus floor elevation was
determined, and forest plots and a t test for significance analysis were
performed. RESULTS: The search provided 377 articles of which 69 could be
included. Selected non-randomised and non-controlled prospective and
retrospective studies were incorporated. Conventional rotary instruments were
associated with a perforation rate of 24%, the piezoelectric devices with 8% with
statistically significant difference between both modalities (p < 0.05).
CONCLUSION: Membrane perforations in MSA may be significantly reduced applying
piezoelectrical devices for MSA.
PMID- 29376212
TI - Foliar Terpene Chemotypes and Herbivory Determine Variation in Plant Volatile
Emissions.
AB - Plants that synthesize and store terpenes in specialized cells accumulate large
concentrations of these compounds while avoiding autotoxicity. Stored terpenes
may influence the quantity and profile of volatile compounds that are emitted
into the environment and the subsequent role of those volatiles in mediating the
activity of herbivores. The Australian medicinal tea tree, Melaleuca
alternifolia, occurs as several distinct terpene chemotypes. We studied the
profile of its terpene emissions to understand how variations in stored foliar
terpenes influenced emissions, both constitutive and when damaged either by
herbivores or mechanically. We found that foliar chemistry influenced differences
in the composition of terpene emissions, but those emissions were minimal in
intact plants. When plants were damaged by herbivores or mechanically, the
emissions were greatly increased and the composition corresponded to the
constitutive terpenes and the volatility of each compound, suggesting the main
origin of emissions is the stored terpenes and not de novo biosynthesized
volatiles. However, herbivores modified the composition of the volatile emissions
in only one chemotype, probably due to the oxidative metabolism of 1,8-cineole by
the beetles. We also tested whether the foliar terpene blend acted as an
attractant for the specialized leaf beetles Paropsisterna tigrina and Faex sp.
and a parasitoid fly, Anagonia zentae. None of these species responded to
extracts of young leaves in an olfactometer, so we found no evidence that these
species use plant odor cues for host location in laboratory conditions.
PMID- 29376213
TI - Identification of the Female-Produced Sex Pheromone of an Invasive Greenhouse
Pest, the European Pepper Moth (Duponchelia fovealis).
AB - The European pepper moth (Duponchelia fovealis, Lepidoptera, Crambidae,
Spilomelinae) is an invasive pest of greenhouses in many countries, causing
serious damages to horticultural plants. Coupled gas chromatographic
electroantennographic detection analysis of the female gland extract revealed two
antennally active peaks. Using coupled gas chromatography-mass spectrometry (GC
MS), one was identified as (Z)-11-hexadecenal (Z11-16:Ald); however, further
analysis on different types of capillary columns indicated that the second active
compound has two different isomers, (E)-13-octadecenal (E13-18:Ald) and (Z)-13
octadecenal (Z13-18:Ald). The approximate ratio of E13-18:Ald, Z13-18:Ald and Z11
16:Ald in the crude pheromone gland extract was 10:1:0.1, respectively. Single
sensillum recordings showed that there was one sensory neuron that responded with
a high amplitude spike to both E13-18:Ald and Z13-18:Ald, while another neuron
housed in the same sensillum responded to Z11-16:Ald. Field evaluation of the
identified compounds indicated that the E13-18:Ald was necessary to evoke the
attraction of males; although the presence of Z13-18:Ald and Z11-16:Ald increased
the catches in traps. The highest number of caught males was achieved when E13
18:Ald, Z13-18:Ald and Z11-16:Ald were present in baits in the same ratio as in
the female gland extract. This pheromone can be used in a monitoring strategy and
could potentially lead to the development of mating disruption.
PMID- 29376214
TI - Ecologically friendly ways to clean up oil spills in harbor water areas: crude
oil and diesel sorption behavior of natural sorbents.
AB - This work aimed to evaluate the sorption capacity of natural sorbents (wool,
moss, straw, peat) and their composites during the sorption of crude oil and of
diesel overspread on the water surface. The work presents the research results of
the maximum sorption capacity of the sorbents/their composites using crude
oil/diesel; the sorption capacity of the sorbents/their composites when crude
oil/diesel is spilled on the water surface; and the research results of the
unrealized part of the crude oil/diesel in the sorbents. The results of the
analysis showed that all the sorbents and their composites have their selectivity
to crude oil less than 50%. Also the results showed that the distribution of
diesel and water in the sorbents and their composites is very different compared
with the distribution of crude oil during the sorption analyses. In total, the
diesel in the liquid mass absorbed by the straw and the peat amounted to 17 and
20%, respectively. This shows that these sorbents are much more selective for
water but not for diesel. A larger part of the diesel was in the liquid amount
absorbed by the composites-up to 33%. Accordingly, the use of these composites in
watery environments is much more effective than the use of individual sorbents.
The composition of sorbents in the composite enhanced both the hydrophobic and
the oleophilic properties; as a result, a more effective removal of the diesel
and oil from the water surface was achieved.
PMID- 29376215
TI - Effects of malathion and nitrate exposure on the zooplankton community in
experimental mesocosms.
AB - Surface waters are likely to be contaminated by both pesticides and fertilizers.
Such contamination can result in changes in community composition if there is
differential toxicity to individual taxa. We conducted a fully factorial mesocosm
experiment that examined the single and interactive effects of environmentally
realistic concentrations of nitrate and malathion on zooplankton communities and
phytoplankton productivity. Malathion significantly decreased the abundance of
total zooplankton, cyclopoid copepods, copepod nauplii, and Ceriodaphnia, and
increased the abundance of rotifers. Nitrate addition generally had no effect on
zooplankton; however, Ceriodaphnia abundance was higher in control mesocosms than
in nitrate-treated mesocosms. There was only one significant interaction between
malathion and nitrate treatments: For Ceriodaphnia, the no malathion, no nitrate
mesocosms had much higher abundances than all other combinations of treatments.
Without nitrate addition, chl a levels were uniformly low across all malathion
treatments, whereas in the presence of nitrate, there were differences among the
malathion treatments. In conclusion, our results demonstrate that malathion
contamination of aquatic ecosystems can result in changes in the abundance and
composition of zooplankton communities. In contrast, nitrate contamination
appeared to have much less potential impact on zooplankton communities, either on
its own or in interaction with malathion. Our results reinforce the notion that
the effects of contaminants on aquatic ecosystems can be complex and further
research examining the single and interactive effects of chemical stressors is
needed to more fully understand their effects.
PMID- 29376216
TI - Pollution characteristics of surface runoff under different restoration types in
manganese tailing wasteland.
AB - A great deal of manganese and associated heavy metals (such as Ni, Cu, Zn, Cd,
Pb, etc.) was produced in manganese mining, smelting, and other processes and
weathering and leaching of waste slag, which entered rainwater runoff by
different means under the action of rainfall runoff. It caused heavy metal
pollution in water environment to surrounding areas, and then environmental and
human health risks were becoming increasingly serious. In the Xiangtan manganese
mine, we studied the characteristics of nutritional pollutants and heavy metals
by using the method of bounded runoff plots on the manganese tailing wasteland
after carrying out some site treatments using three different approaches, such as
(1) exposed tailings, the control treatment (ET), (2) external-soil amelioration
and colonization of Cynodon dactylon (Linn.) Pers. turf (EC), and (3) external
soil amelioration and seedling seeding propagation of Cynodon dactylon (Linn.)
Pers. (ES). The research showed that the maximum runoff occurred in 20,140,712
rainfall events, and the basic law of runoff was EC area > ET area > ES area in
the same rainfall event. The concentration of total suspended solids (TSS) and
chemical oxygen demand (COD) of three ecological restoration areas adopted the
following rule: ET area > EC area > ES area. Nitrogen (N) existed mainly in the
form of water soluble while phosphorus (P) was particulate. The highest
concentrations of total nitrogen (TN) and total phosphorus (TP) were 11.57 +/-
2.99 mg/L in the EC area and 1.42 +/- 0.56 mg/L in the ET area, respectively. Cr,
Ni, Pb, Zn, Mn, and Cu in surface runoff from three restoration types all
exceeded the class V level of the environmental quality standard for surface
water except Cu in EC and ES areas. Pollution levels of heavy metals in surface
runoff from three restoration areas are shown as follows: ET area > EC area > ES
area. There was a significant positive correlation between TSS and runoff, COD,
and TP. And this correlation was significant between total dissolved nitrogen
(TDN), TN, total dissolved phosphorus (TDP), and TP. The six heavy metals (Cu,
Ni, Pb, Zn, Mn, and Cr) in surface runoff of different ecological restoration
areas were strongly related to each other, and were significantly related to the
TSS.
PMID- 29376217
TI - The thermal conductivity of cortical and cancellous bone.
AB - Surgical interventions close to vulnerable structures, such as nerves, require
precise handling of surgical instruments and tools. These tools not only pose the
risk of mechanical damage to soft tissues, but they also generate heat, which can
lead to thermal necrosis of bone or soft tissues. Researchers and engineers are
trying to improve those tools through experimentation and simulations. To
simulate temperature distributions in anatomical structures, reliable material
constants are needed. Therefore, this study aimed at investigating the thermal
conductivity of cortical and cancellous bone. Accordingly, a custom-made steady
state experimental setup was designed and validated. 6 bovine and 3 human
cortical bone samples, as well as 32 bovine cancellous bone samples, with
variable bone volume fraction were tested. The cancellous bone samples were
scanned by micro-computed tomography (uCT) and micro-finite element (uFE) voxel
models were created to calculate iteratively the thermal conductivity of the bone
marrow. The experimental results provided 0.64 +/- 0.04 W/mK for bovine cortical
bone and 0.68 +/- 0.01 W/mK for human cortical bone. A linear dependency of
thermal conductivity on bone volume fraction was found for cancellous bone [R
square (R2) = 0.8096, standard error of the estimates (SEE) = 0.0355 W/mK]. The
thermal conductivity of the bone marrow was estimated to be 0.42 +/- 0.05 W/mK.
These results will help to improve thermal finite element simulations of the
human skeleton and aid the development of new surgical tools or procedures.
PMID- 29376218
TI - Early versus late ureteric stent removal after kidney transplantation.
AB - BACKGROUND: Kidney transplantation is the treatment of choice for patients with
end-stage kidney disease. In a previous review we concluded that the routine use
of ureteric stents in kidney transplantation reduces the incidence of major
urological complications (MUC). Unfortunately, this reduction appears to lead to
a concomitant rise in urinary tract infections (UTI). For kidney recipients UTI
is now the commonest post-transplant complication. This represents a considerable
risk to the immunosuppressed transplant recipient, particularly in the era of
increased immunologically challenging transplants. There are a number of
different approaches taken when considering ureteric stenting and these are
associated with differing degrees of morbidity and hospital cost. OBJECTIVES:
This review aimed to look at the benefits and harms of early versus late removal
of the ureteric stent in kidney transplant recipients. SEARCH METHODS: We
searched the Cochrane Kidney and Transplant Specialised Register up to 27 March
2017 through contact with the Information Specialist using search terms relevant
to this review. Studies contained in the Specialised Register are identified
through search strategies specifically designed for CENTRAL, MEDLINE, and EMBASE;
handsearching conference proceedings; and searching the International Clinical
Trials Register Search Portal and ClinicalTrials.gov. SELECTION CRITERIA: All
RCTs and quasi-RCTs were included in our meta-analysis. We included recipients of
kidney transplants regardless of demography (adults or children) or the type of
stent used. DATA COLLECTION AND ANALYSIS: Two authors reviewed the identified
studies to ascertain if they met inclusion criteria. We designated removal of a
ureteric stent before the third postoperative week (< day 15) or during the index
transplant admission as "early" removal. The studies were assessed for quality
using the risk of bias tool. The primary outcome of interest was the incidence of
MUC. Further outcomes of interest were the incidence of UTI, idiosyncratic stent
related complications, hospital-related costs and adverse events. A subgroup
analysis was performed examining the difference in complications reported
depending on the type of ureteric stent used; bladder indwelling (BI) versus per
urethral (PU). Statistical analyses were performed using the random effects model
and results expressed as relative risk (RR) with 95% confidence intervals (CI).
MAIN RESULTS: Five studies (1127 patients) were included in our analysis.
Generally the risk of bias of the included studies was judged low or unclear;
they addressed the research question and utilised a prospective randomised
design. It is uncertain whether early stent removal verus late stent removal
improved the incidence of MUC (5 studies, 1127 participants: RR 1.87, 95% CI 0.61
to 5.71; I2 = 21%; low certainty evidence). The incidence of UTI may be reduced
in the early stent removal group (5 studies, 1127 participants: RR 0.49 95% CI
0.30 to 0.81; I2 = 59%; moderate certainty evidence). This possible reduction in
the UTI incidence was only apparent if a BI stent was used, (3 studies, 539
participants, RR 0.45 95% CI 0.29 to 0.70; I2 = 13%; moderate certainty
evidence). However, if an externalised PU stent was used there was no discernible
difference in UTI incidence between the early and late group (2 studies, 588
participants: RR 0.60 95% CI 0.17, 2.03; I2 = 83%; low certainty evidence). Data
on health economics and quality of life outcomes were lacking. AUTHORS'
CONCLUSIONS: Early removal of ureteric stents following kidney transplantation
may reduce the incidence of UTI while it uncertain if there is a higher risk of
MUC. BI stents are the optimum method for achieving this benefit.
PMID- 29376219
TI - Selenium for preventing cancer.
AB - BACKGROUND: This review is the third update of the Cochrane review "Selenium for
preventing cancer". Selenium is a naturally occurring element with both
nutritional and toxicological properties. Higher selenium exposure and selenium
supplements have been suggested to protect against several types of cancer.
OBJECTIVES: To gather and present evidence needed to address two research
questions:1. What is the aetiological relationship between selenium exposure and
cancer risk in humans?2. Describe the efficacy of selenium supplementation for
cancer prevention in humans. SEARCH METHODS: We updated electronic searches of
the Cochrane Central Register of Controlled Trials (CENTRAL; 2017, Issue 2),
MEDLINE (Ovid, 2013 to January 2017, week 4), and Embase (2013 to 2017, week 6),
as well as searches of clinical trial registries. SELECTION CRITERIA: We included
randomised controlled trials (RCTs) and longitudinal observational studies that
enrolled adult participants. DATA COLLECTION AND ANALYSIS: We performed random
effects (RE) meta-analyses when two or more RCTs were available for a specific
outcome. We conducted RE meta-analyses when five or more observational studies
were available for a specific outcome. We assessed risk of bias in RCTs and in
observational studies using Cochrane's risk assessment tool and the Newcastle
Ottawa Scale, respectively. We considered in the primary analysis data pooled
from RCTs with low risk of bias. We assessed the certainty of evidence by using
the GRADE approach. MAIN RESULTS: We included 83 studies in this updated review:
two additional RCTs (10 in total) and a few additional trial reports for
previously included studies. RCTs involved 27,232 participants allocated to
either selenium supplements or placebo. For analyses of RCTs with low risk of
bias, the summary risk ratio (RR) for any cancer incidence was 1.01 (95%
confidence interval (CI) 0.93 to 1.10; 3 studies, 19,475 participants; high
certainty evidence). The RR for estimated cancer mortality was 1.02 (95% CI 0.80
to 1.30; 1 study, 17,444 participants). For the most frequently investigated site
specific cancers, investigators provided little evidence of any effect of
selenium supplementation. Two RCTs with 19,009 participants indicated that
colorectal cancer was unaffected by selenium administration (RR 0.99, 95% CI 0.69
to 1.43), as were non-melanoma skin cancer (RR 1.16, 95% CI 0.30 to 4.42; 2
studies, 2027 participants), lung cancer (RR 1.16, 95% CI 0.89 to 1.50; 2
studies, 19,009 participants), breast cancer (RR 2.04, 95% CI 0.44 to 9.55; 1
study, 802 participants), bladder cancer (RR 1.07, 95% CI 0.76 to 1.52; 2
studies, 19,009 participants), and prostate cancer (RR 1.01, 95% CI 0.90 to 1.14;
4 studies, 18,942 participants). Certainty of the evidence was high for all of
these cancer sites, except for breast cancer, which was of moderate certainty
owing to imprecision, and non-melanoma skin cancer, which we judged as moderate
certainty owing to high heterogeneity. RCTs with low risk of bias suggested
increased melanoma risk.Results for most outcomes were similar when we included
all RCTs in the meta-analysis, regardless of risk of bias. Selenium
supplementation did not reduce overall cancer incidence (RR 0.99, 95% CI 0.86 to
1.14; 5 studies, 21,860 participants) nor mortality (RR 0.81, 95% CI 0.49 to
1.32; 2 studies, 18,698 participants). Summary RRs for site-specific cancers
showed limited changes compared with estimates from high-quality studies alone,
except for liver cancer, for which results were reversed.In the largest trial,
the Selenium and Vitamin E Cancer Trial, selenium supplementation increased risks
of alopecia and dermatitis, and for participants with highest background selenium
status, supplementation also increased risk of high-grade prostate cancer. RCTs
showed a slightly increased risk of type 2 diabetes associated with
supplementation. A hypothesis generated by the Nutritional Prevention of Cancer
Trial - that individuals with low blood selenium levels could reduce their risk
of cancer (particularly prostate cancer) by increasing selenium intake - has not
been confirmed. As RCT participants have been overwhelmingly male (88%), we could
not assess the potential influence of sex or gender.We included 15 additional
observational cohort studies (70 in total; over 2,360,000 participants). We found
that lower cancer incidence (summary odds ratio (OR) 0.72, 95% CI 0.55 to 0.93; 7
studies, 76,239 participants) and lower cancer mortality (OR 0.76, 95% CI 0.59 to
0.97; 7 studies, 183,863 participants) were associated with the highest category
of selenium exposure compared with the lowest. Cancer incidence was lower in men
(OR 0.72, 95% CI 0.46 to 1.14, 4 studies, 29,365 men) than in women (OR 0.90, 95%
CI 0.45 to 1.77, 2 studies, 18,244 women). Data show a decrease in risk of site
specific cancers for stomach, colorectal, lung, breast, bladder, and prostate
cancers. However, these studies have major weaknesses due to study design,
exposure misclassification, and potential unmeasured confounding due to lifestyle
or nutritional factors covarying with selenium exposure beyond those taken into
account in multi-variable analyses. In addition, no evidence of a dose-response
relation between selenium status and cancer risk emerged. Certainty of evidence
was very low for each outcome. Some studies suggested that genetic factors might
modify the relation between selenium and cancer risk - an issue that merits
further investigation. AUTHORS' CONCLUSIONS: Well-designed and well-conducted
RCTs have shown no beneficial effect of selenium supplements in reducing cancer
risk (high certainty of evidence). Some RCTs have raised concerns by reporting a
higher incidence of high-grade prostate cancer and type 2 diabetes in
participants with selenium supplementation. No clear evidence of an influence of
baseline participant selenium status on outcomes has emerged in these
studies.Observational longitudinal studies have shown an inverse association
between selenium exposure and risk of some cancer types, but null and direct
relations have also been reported, and no systematic pattern suggesting dose
response relations has emerged. These studies suffer from limitations inherent to
the observational design, including exposure misclassification and unmeasured
confounding.Overall, there is no evidence to suggest that increasing selenium
intake through diet or supplementation prevents cancer in humans. However, more
research is needed to assess whether selenium may modify the risk of cancer in
individuals with a specific genetic background or nutritional status, and to
investigate possible differential effects of various forms of selenium.
PMID- 29376220
TI - Fluorescein Angiographic Abnormalities in the Contralateral Eye with Normal
Fundus in Children with Unilateral Coats' Disease.
AB - PURPOSE: We report the detection of peripheral retinal vascular abnormalities in
the fellow eye with normal fundus in children with unilateral Coats' disease.
METHODS: The clinical records of patients diagnosed with Coats' disease were
retrospectively reviewed. We recorded the subjects' characteristics and obtained
fundus photography and fluorescein angiography (FA) images. The main outcome
measure was peripheral vascular abnormalities in the contralateral eye with
normal fundus in children with unilateral Coats' disease, observed with FA.
RESULTS: Out of 47 patients with Coats' disease, two (4.3%) were diagnosed with
clinically bilateral Coats' disease. Of the 45 patients with presumed unilateral
Coats' disease, four (8.9%) had bilateral abnormal peripheral vasculature in FA.
The mean age of these four patients was 6.4 +/- 5.4 years (range, 1 to 14 years),
and three patients were male (75%). All four had peripheral retinal nonperfusion,
and two (50%) received laser photocoagulation due to peripheral leakage with
telangiectatic vessels. CONCLUSIONS: Coats' disease may more often be a bilateral
disease with asymmetry than previously thought. Patients with Coats' disease
should undergo careful examination of the fellow eye with FA in order to detect
and treat vascular abnormalities that are not visible clinically.
PMID- 29376221
TI - Relationship between Pericytes and Endothelial Cells in Retinal
Neovascularization: A Histological and Immunofluorescent Study of Retinal
Angiogenesis.
AB - PURPOSE: To evaluate the relationship between pericytes and endothelial cells in
retinal neovascularization through histological and immunofluorescent studies.
METHODS: C57BL/6J mice were exposed to hyperoxia from postnatal day (P) 7 to P12
and were returned to room air at P12 to induce a model of oxygen-induced
retinopathy (OIR). The cross sections of enucleated eyes were processed with
hematoxylin and eosin. Immunofluorescent staining of pericytes, endothelial
cells, and N-cadherin was performed. Microfluidic devices were fabricated out of
polydimethylsiloxane using soft lithography and replica molding. Human retinal
microvascular endothelial cells, human brain microvascular endothelial cells,
human umbilical vein endothelial cells and human placenta pericyte were mixed and
co-cultured. RESULTS: Unlike the three-layered vascular plexus found in retinal
angiogenesis of a normal mouse, angiogenesis in the OIR model is identified by
the neovascular tuft extending into the vitreous. Neovascular tufts and the three
layered vascular plexus were both covered with pericytes in the OIR model. In
this pathologic vascularization, N-cadherin, known to be crucial intercellular
adhesion molecule, was also present. Further evaluation using the microfluidic in
vitro model, successfully developed a microvascular network of endothelial cells
covered with pericytes, mimicking normal retinal angiogenesis within 6 days.
CONCLUSIONS: Pericytes covering endothelial cells were observed not only in
vasculature of normal retina but also pathologic neovascularization of OIR mouse
at P17. Factors involved in the endothelial cell-pericyte interaction can be
evaluated as an attractive novel treatment target. These future studies can be
performed using microfluidic systems, which can shorten the study time and
provide three-dimensional structural evaluation.
PMID- 29376222
TI - Comparison of the Thickness and Volume of the Macula and Fovea in Patients with
Anisometropic Amblyopia Prior to and after Occlusion Therapy.
AB - PURPOSE: To compare the thickness of superior, temporal, inferior, and nasal
macula and foveal thickness and volume in patients with anisometropic amblyopia
prior to and after successful occlusion therapy using optical coherence
tomography (OCT) measurement. METHODS: Data were collected prospectively on 30
patients with unilateral anisometropic amblyopia from December 2006 to August
2007. All patients had anisometropia of 2.0 diopters or more. OCT scans were
obtained for all patients at diagnosis. Occlusion therapy was then prescribed and
OCT scans were obtained again at the time of successful occlusion therapy
(defined as interocular difference of <0.1 log units). The Stratus OCT-3 was used
to measure fovea thickness and volume and the thickness of superior, temporal,
inferior, and nasal macula (within a diameter of 3 mm). RESULTS: Of 30 patients,
22 (mean age of 5.8 years) had successful resolution of amblyopia. The mean
duration of occlusion was 11.24 months and mean best-corrected visual acuity at
diagnosis was 0.35 +/- 0.12 logarithm of the minimum angle of resolution. The
mean thicknesses of the superior, temporal, inferior, and nasal macula prior to
and after occlusion were not significantly different (p > 0.05). However, mean
foveal volume prior to occlusion therapy (0.15 +/- 0.02 mm3) decreased after
occlusion (0.14 +/- 0.01 mm3) with statistical significance (Wilcoxon signed rank
test, p = 0.025). CONCLUSIONS: There was a meaningful decrease in foveal volume
in patients with anisometropic amblyopia after successful occlusion therapy.
Whether this decrease relates to visual improvement of the amblyopic eye remains
to be determined.
PMID- 29376223
TI - Bevacizumab versus Dexamethasone Implant Followed by Bevacizumab for the
Treatment of Macula Edema Associated with Branch Retinal Vein Occlusion.
AB - PURPOSE: To compare visual and anatomical outcomes of intravitreal injections of
bevacizumab and dexamethasone implant (Ozurdex) treatment for macular edema
associated with branch retinal vein occlusion (BRVO). METHODS: We retrospectively
reviewed patients who underwent intravitreal bevacizumab administered monthly on
a pro re nata (PRN) basis (26 eyes, IVB group) or an initial 700-MUg
dexamethasone implant followed by a bevacizumab PRN injection (20 eyes, IVD
group) for treatment of macular edema associated with BRVO. We compared best
corrected visual acuity (BCVA) and central macular thickness (CMT). We also
measured ellipsoid zone recovery rate and ganglion cell-inner plexiform layer
volume within the center 6 mm zone. A linear mixed model analysis was performed
to compare serial changes in BCVA and CMT. RESULTS: Both groups showed
significant improvement in BCVA and significant reduction in CMT. However, BCVA
in the first month was significantly better in the IVD group (logarithm of the
minimum angle of resolution, IVD group 0.21 +/- 0.26 vs. IVB group 0.39 +/- 0.30,
p = 0.038) and the 1-month CMT was thinner in the IVD group (IVD group 270.0 +/-
62.0 MUm vs. IVB group 338.9 +/- 122.6 MUm, p = 0.028), and these trends were
maintained during the 6-month follow-up. The IVD group showed more rapid macular
edema resolution (p = 0.049); however, there were no significant differences in
ellipsoid zone recovery rate (p = 0.268) or ganglion cell-inner plexiform layer
volume between the two groups (p = 0.459). CONCLUSIONS: There were no significant
differences in final visual or anatomical outcomes between the two groups;
however, initial dexamethasone implant injection followed by bevacizumab PRN
injection initially showed more rapid improvement in vision and BRVO-associated
macular edema resolution compared to intravitreal bevacizumab administered
monthly on a PRN basis.
PMID- 29376224
TI - Long-term Efficacy of Botulinum Neurotoxin-A Treatment for Essential
Blepharospasm.
AB - PURPOSE: In the present study, we investigated the treatment efficacy and
clinical outcomes of botulinum neurotoxin-A (BoNT-A) administered for longer than
5 years to patients with essential blepharospasm. METHODS: We retrospectively
reviewed 19 patients (male : female = 8 : 11) diagnosed with essential
blepharospasm between March 2006 and July 2016 who underwent BoNT-A injections
for over 5 years and were followed. Efficacy of 297 injections of Botox (n =
162), Meditoxin (n = 75), Hugel-tox (n = 40), or Dysport (n = 20) was based on
the symptom improvement score at the final injection (-1, worse; 0, same; 1,
better). Injection dose (botox unit), duration of efficacy (months), and adverse
events were also investigated. RESULTS: Based on product type, significant
differences in patient age (59.3 +/- 9.8 years), disease period (5.0 +/- 5.4
years), number of botulinum neurotoxin injections before visiting our clinic (1.6
+/- 2.6), and follow-up period (7.2 +/- 1.6 years) were not observed. Treatment
efficacy score and injection dose of repetitive injections were 0.1 +/- 0.5 and
39.1 +/- 4.0 units, respectively, and did not show significant differences with
repeated injections. Duration of response was 5.9 +/- 5.4 months, but this
significantly decreased as the injections were repeated (p < 0.01). Among the 297
injections, adverse events occurred 12 times (4.0%) with no severe sequelae.
CONCLUSIONS: In this study, we showed that repetitive, long-term BoNT-A
injections are considered a stable and effective treatment for essential
blepharospasm in terms of consistent injection dose and maintenance of treatment
efficacy. However, the duration of long-term efficacy could be decreased in
patients injected repetitively.
PMID- 29376225
TI - Factors Affecting ISNT Rule Satisfaction in Normal and Glaucomatous Eyes.
AB - PURPOSE: To determine the factors that influence the satisfaction of the 'ISNT
rule' (neural rim width: inferior >= superior >= nasal >= temporal) in normal and
glaucomatous eyes. METHODS: The medical records of patients that visited Boramae
Medical Center, Seoul, Korea, were reviewed. Each group of normal and
glaucomatous eyes was divided into subgroups based on whether or not they
satisfied the ISNT rule. ISNT rule assessment was performed by measuring the rim
width with stereoscopic optic disc photographs using ImageJ software. Logistic
regression analysis was performed to determine the factors that affect ISNT rule
satisfaction. RESULTS: Seventy-seven normal eyes and 97 glaucomatous eyes were
included in the study. The ISNT rule was intact in 59 (76.6%) of the normal eyes
and was violated in 71 (73.2%) of the glaucomatous eyes. Logistic regression
analysis revealed a significant influence of axial length in violation of the
ISNT rule in the normal eye group, while the mean deviation value was a
significant factor for violation of the ISNT rule in the glaucomatous eye group.
CONCLUSIONS: The ISNT rule should be cautiously applied when evaluating normal
eyes with long axial lengths. In addition, the ISNT rule might not be as
effective for detecting early glaucoma.
PMID- 29376226
TI - Temporary Haptic Externalization and Four-point Fixation of Intraocular Lens in
Scleral Fixation to Enhance Stability.
AB - PURPOSE: To report the results of a new technique for intraocular lens scleral
fixation of temporary haptic externalization and four-point fixation for enhanced
stability. METHODS: Two 10-0 polypropylene strands were fixed at two points 2 mm
apart on each haptic of a conventional three-piece intraocular lens, using our
previously reported method of temporary haptic externalization after injector
implantation. Postoperative refractive outcome and stability were evaluated.
RESULTS: Although the intraocular lens was fixed at a total of four points, no
intraoperative difficulties were observed during the process. Patients showed
successful fixation of the intraocular lens postoperatively. The fixed
intraocular lens showed good centering and minimal tilting. When compared to the
two-point fixation technique, postoperative astigmatism was significantly smaller
in the four-point fixation group (1.80 +/- 0.84 vs. 1.00 +/- 0.0.50, p = 0.033).
Lens-induced astigmatism calculated from subtraction of corneal astigmatism from
total astigmatism was also significantly smaller in the four-point fixation group
(2.23 +/- 0.98 vs.1.17 +/- 0.0.70, p = 0.043). No postoperative complications
were identified during a mean follow-up period of 14.8 months (range, 10 to 19
months). CONCLUSIONS: By fixing the intraocular lens at two points on each side
of the haptics, this method minimizes the tilting of the intraocular lens and
thus decreases postoperative lens-induced astigmatism. Also, the possibility of
intraocular lens dislocation in the long term might be decreased by this two
point fixation technique.
PMID- 29376227
TI - Effects of Surgical Assistant's Level of Resident Training on Surgical Treatment
of Intermittent Exotropia: Operation Time and Surgical Outcomes.
AB - PURPOSE: To evaluate the effects of the surgical assistant's level of resident
training on operation time and surgical outcome in the surgical treatment of
intermittent exotropia. METHODS: This study included 456 patients with
intermittent exotropia who underwent lateral rectus recession and medial rectus
resection and were followed up for 24 months after surgery. The patients were
divided into two groups according to the surgical assistant's level of resident
training: group F (surgery assisted by a first-year resident [n = 198]) and group
S (surgery assisted by a second-, third-, or fourth-year resident [n = 258]). The
operation time and surgical outcomes (postoperative exodeviation and the number
of patients who underwent a second operation) were compared between the two
groups. RESULTS: The average operation times in groups F and S were 36.54 +/- 7.4
and 37.34 +/- 9.94 minutes, respectively (p = 0.33). Immediate postoperative
exodeviation was higher in group F (0.79 +/- 3.82 prism diopters) than in group S
(0.38 +/- 3.75 prism diopters). However, repeated-measures analysis of variance
revealed no significant difference in exodeviation between the two groups during
the 24-month follow-up period (p = 0.45). A second operation was performed in
29.3% (58 / 198) of the patients in group F, and in 32.2% (83 / 258) of those in
group S (p = 0.51). CONCLUSIONS: No significant difference in operation time was
observed when we compared the effects of the level of resident training in the
surgical treatment of intermittent exotropia. Although the immediate
postoperative exodeviation was higher in patients who had undergone surgery
assisted by a first-year resident, the surgical outcome during the 24-month
follow-up was not significantly different.
PMID- 29376228
TI - Myopic Shift after Implantation of a Novel Diffractive Trifocal Intraocular Lens
in Korean Eyes.
AB - PURPOSE: To evaluate the clinical outcomes of cataract surgery using novel
diffractive trifocal intraocular lenses (IOLs) in Koreans. METHODS: This was a
retrospective, consecutive, interventional study of cataract surgery using POD
FineVision IOL implantation. Complete ophthalmologic examinations were performed
preoperatively and postoperatively. Defocus curves were assessed over a range of
+1.5 to -4.0 diopters in 0.5-diopter steps at one month postoperatively.
Uncorrected distant visual acuity, uncorrected intermediate visual acuity,
uncorrected near visual acuity, corrected distant visual acuity, distant
corrected intermediate visual acuity, and distant-corrected near visual acuity
were assessed one month postoperatively, and manifest refraction was performed
during every visit. RESULTS: The study analyzed 31 eyes in 20 patients. There
were statistically significant improvements in uncorrected distance visual
acuity, uncorrected intermediate visual acuity, uncorrected near visual acuity,
corrected distance visual acuity, distance-corrected intermediate visual acuity,
and distance-corrected near visual acuity (p < 0.001). The final postoperative
refractive outcomes showed statistically significant myopic shifts compared to
the target refraction based on SRK/T, SRK-II, Haigis, and Hoffer Q formulas (p <
0.001). CONCLUSIONS: POD FineVision IOLs provide stable visual restoration with
improvements of near and intermediate vision in presbyopic eyes. POD FineVision
IOLs show myopic shift in a Korean population; therefore, surgeons should be
cautious when selecting IOL power in such patients.
PMID- 29376229
TI - Investigation of the Changes in Refractive Surgery Trends in Korea.
AB - PURPOSE: To evaluate changes in clinical practice in the field of refractive
surgery in Korea over the past 10 years. METHODS: A survey consisting of 59
multiple-choice questions regarding the preferred types of refractive surgery,
excimer laser machine, and presbyopia surgery was mailed to 742 members of the
Korean Society of Cataract and Refractive Surgery in January 2016, and 50 members
responded to the survey. These data were compared with the 2005 or 2007 survey
results. RESULTS: The majority of respondents were in their 40s (54%), and the
average number of refractive surgeries performed in one month was 53. The most
commonly used excimer laser machine was the VISX S4 in both 2005 (32%) and 2015
(25%); however, a greater variety of machines (EX500 [18%], Allegretto wave Eye-Q
[13%], AMARIS 750 [10%]) were used in 2015. The preferred corneal refractive
surgery in 2015 was surface ablation (40%), representing a significant increase
in its popularity compared to 2005 (15%) (p < 0.001). The popularity of laser in
situ keratomileusis (LASIK) surgery decreased to 20% in 2015 compared to 48% in
2005 (p < 0.001). Eighty percent of LASIK procedures in 2015 were performed using
femtosecond laser. In 2015, surface ablation and phakic intraocular lens
implantation were preferred for the treatment of myopia less than -8 diopters and
more than -8 diopters, respectively. The proportion of respondents performing
presbyopia surgery in 2015 (76%) was significantly increased from 2007 (30%) (p <
0.001). CONCLUSIONS: Over the past decade, the most commonly performed corneal
refractive surgery has changed from LASIK to surface ablation, and there has been
a significant increase in the popularity of presbyopia surgery.
PMID- 29376230
TI - Anterior Segment Changes during Accommodation in Accommodative Esotropia.
AB - PURPOSE: To evaluate the anterior segment biometric parameters of non
accommodative and accommodative refractive accommodative esotropia (RAE).
METHODS: Eighty-one eyes of 81 patients were included in this prospective, case
control study. The patients were divided into three groups as follows: the RAE
group (n = 31), the hypermetropia group (n = 25), and the emmetropia group (n =
25). Measurements were obtained in the non-accommodative (0.0 diopters) and
accommodative status (-5.0 diopters) using a Pentacam HR. The anterior chamber
depth (ACD), anterior chamber volume (ACV), pupil diameter (PD), and anterior
chamber angle (ACA) were evaluated at all four quadrants. RESULTS: The ACD, ACV
and PD values in the RAE group were lower than those of the other groups in both
states (p < 0.05). The ACD values were lower in the hypermetropia group than in
the emmetropia group for the non-accommodative status (p = 0.024) but were
similar for the accommodative status (p = 0.225). PD and ACV values were lower in
the hypermetropia group than in the emmetropia group in both states (non
accommodative status, p = 0.011 and p = 0.022; accommodative status, p = 0.026
and p = 0.034, respectively). Changes in ACD, ACV and PD during accommodation
(Delta) were not significant in the RAE group but were significant for the other
groups (hypermetropia: DeltaACD, p = 0.001; DeltaACV, p = 0.001; DeltaPD, p =
0.002; emmetropia: DeltaACD, p < 0.001; DeltaACV, p = 0.001; DeltaPD, p < 0.001).
These changes were significantly lower in the hypermetropia group than in the
emmetropia group (DeltaACD, p = 0.012; DeltaACV, p = 0.031; DeltaPD, p = 0.034).
CONCLUSIONS: The anterior chamber in RAE patients was shallower and the increase
in convexity of the anterior surface or forward movement of the crystalline lens
was more limited during accommodation in RAE.
PMID- 29376231
TI - Diabetic Retinopathy in the Asia-Pacific.
AB - Diabetic retinopathy (DR), the most common complication of diabetes mellitus, is
the leading cause of new cases of blindness in middle-aged and elderly in the
Asia-Pacific. It has been estimated that 51% of all those with blindness due to
DR globally (n = 424,400) and 56% of those with visual impairment due to DR (2.1
million) come from the Asia-Pacific. Prevalence of DR among those with diabetes
ranged from 10% in India to 43% in Indonesia within the Asia-Pacific. Awareness
of DR among persons with diabetes ranged from 28% to 84%. Most common modifiable
risk factors for DR in the Asia-Pacific were hyperglycemia, blood pressure,
dyslipidemia, and obesity. Implementation of systematic screening programs for DR
and advancement in telemedicine screening methods have increased patient coverage
and cost-effectiveness, though there are still numerous factors impeding
screening uptake in the low-middle income regions of the Asia-Pacific. Management
and treatment of DR in the Asia-Pacific is mainly limited to traditional laser
retinopexy, but it is suboptimal despite new clinical approaches such as use of
intravitreal anti.vascular endothelial growth factor and steroids due to limited
resources. Further research and data are required to structure a more cost
effective public healthcare program and more awareness-building initiatives to
increase the effectiveness of DR screening programs.
PMID- 29376232
TI - The Role of Teleophthalmology in the Management of Diabetic Retinopathy.
AB - The emergence of diabetes as a global epidemic is accompanied by the rise in
diabetes-related retinal complications. Diabetic retinopathy, if left undetected
and untreated, can lead to severe visual impairment and affect an individual's
productivity and quality of life. Globally, diabetic retinopathy remains one of
the leading causes of visual loss in the working-age population.
Teleophthalmology for diabetic retinopathy is an innovative means of retinal
evaluation that allows identification of eyes at risk for visual loss, thereby
preserving vision and decreasing the overall burden to the health care system.
Numerous studies worldwide have found teleophthalmology to be a reliable and cost
efficient alternative to traditional clinical examinations. It has reduced
barriers to access to specialized eye care in both rural and urban communities.
In teleophthalmology applications for diabetic retinopathy, it is critical that
standardized protocols in image acquisition and evaluation are used to ensure low
image ungradable rates and maintain the quality of images taken. Innovative
imaging technology such as ultrawide field imaging has the potential to provide
significant benefit with integration into teleophthalmology programs.
Teleophthalmology programs for diabetic retinopathy rely on a comprehensive and
multidisciplinary approach with partnerships across specialties and health care
professionals to attain wider acceptability and allow evidence-based eye care to
reach a much broader population.
PMID- 29376233
TI - Management of Retinopathy of Prematurity--Use of Anti-VEGF Therapy.
AB - Retinopathy of prematurity (ROP) is a leading cause of childhood blindness
worldwide. Although laser photocoagulation re-mains the gold standard for
treating threshold and prethreshold disease (type 1 ROP), the off-label use of
anti-vascular endothelial growth factor (anti-VEGF) therapy to treat ROP is
increasing. Benefits include acute regression of ROP, growth of retinal
vasculature beyond the demarcation line, lesser degree of myopia and peripheral
visual field loss, and avoidance of sedation and intubation required for laser.
However, controversies regarding anti-VEGF in this vulnerable population persist
including choice of anti-VEGF agent, dosing, systemic absorption, safety, and
late recurrence. This review updates recent evidence regarding the use of anti
VEGF therapy in the management of ROP.
PMID- 29376235
TI - [Study on the mechanism of Bushen Culuan Chongji treating "kidney deficiency and
blood stasis" in ovulatory dysfunctional infertility].
AB - Kidney deficiency and blood stasis is the main cause of ovulatory dysfunctional
infertility. Kidney deficiency is the main pathological mechanism. Blood stasis
is the main pathological manifestation, and it is an important factor throughout.
Bushen Culuan Chongji is under the guidance of traditional Chinese medicine(TCM)
theory, previous years of clinical experience, combined with the etiology and
pathogenesis of anovulatory infertility and modern pharmacological research
results, selected, not only maintains the TCM syndrome differentiation and
different diseases features, but also reflects the superiority of the combination
of disease. In the study of Bushen Culuan Chongji in the treatment of anovulatory
infertility, there was no acute toxicity and no LD50 was detected. No adverse
effects and side effects were found in the reproductive, genetic, toxicity,
teratogenic, and perinatal tests in their high and low dose groups. In
pharmacodynamics experiments, it can promote the development and maturation of
follicles and the formation of corpus luteum in rats. Taking the kidney
deficiency and blood stasis syndrome as the breakthrough point, systematically
study the efficacy, safety and mechanism of six ovulatory dysfunctional
infertility diseases, including abnormal uterine bleeding-ovulatory disorders,
polycystic ovary syndrome, high prolactin, luteinized unruptured follicle
syndrome, luteal phase defect and diminished ovarian reserve/premature ovarian
failure. It verified the contribution degree of reinforcing kidney and resolving
stasis TCM, reflected the characteristics of combination between disease
differentiation and syndrome differentiation, interpreted the treatment
principles of treating different diseases with the same method, and provided
scientific basis for clinical treatment.
PMID- 29376234
TI - Multimodal Imaging in Diabetic Macular Edema.
AB - Throughout ophthalmic history it has been shown that progress has gone hand in
hand with technological breakthroughs. In the past, fluorescein angiography and
fundus photographs were the most commonly used imaging modalities in the
management of diabetic macular edema (DME). Today, despite the moderate
correlation between macular thickness and functional outcomes, spectral domain
optical coherence tomography (SD-OCT) has become the DME workhorse in clinical
practice. Several SD-OCT biomarkers have been looked at including presence of
epiretinal membrane, vitreomacular adhesion, disorganization of the inner retinal
layers, central macular thickness, integrity of the ellipsoid layer, and
subretinal fluid, among others. Emerging imaging modalities include fundus
autofluorescence, macular pigment optical density, fluorescence lifetime imaging
ophthalmoscopy, OCT angiography, and adaptive optics. Technological advances in
imaging of the posterior segment of the eye have enabled ophthalmologists to
develop hypotheses about pathological mechanisms of disease, monitor disease
progression, and assess response to treatment. Spectral domain OCT is the most
commonly performed imaging modality in the management of DME. However, reliable
biomarkers have yet to be identified. Machine learning may provide treatment
algorithms based on multimodal imaging.
PMID- 29376236
TI - [Treatment of anovulatory infertility by tonifying kidney and promoting blood
circulation].
AB - Infertility is a common disease in women of childbearing age and is also a
refractory disease. Anovulatory dysfunction is one of the main causes of female
infertility, and the incidence of anovulatory infertility has been increasing
year by year. Traditional Chinese medicine has unique advantages and definite
curative effect on the treatment of this disease. Kidney deficiency is the basic
pathogenesis and blood stasis is an important factor that has been through.
Flexible of tonifying the kidney and promoting blood circulation treatment of
anovulatory infertility in clinic, has achieved remarkable curative effect.
Kidney deficiency and blood stasis is a common form of gynecological disease.
After years of clinical practice, we also found that patients with anovulatory
infertility were more common with kidney deficiency and blood stasis. The kidneys
and blood are not isolated from each other, but interact and influence each
other. Kidney deficiency and blood stasis interact as both cause and effect, they
have very close relationship. To achieve the purpose of urinary Tiangui,
Chongren, ovulation and pregnancy miscarriage by tonifying the kidney and
promoting blood circulation.
PMID- 29376237
TI - [Application of kidney deficiency and blood stasis and disharmony between heart
and kidney theories in patients with sleep disorders in perimenopause].
AB - Perimenopausal syndrome refers to a series of physical and mental symptoms,
caused by the fluctuation of the sex hormones in the menopause, which is one of
the common diseases in gynecology. The incidence of sleep disorders in
perimenopausal women is increasing significantly. Both Chinese medicine and
Western medicine are trying to exploring its pathologic mechanism to find the
safe and effective methods of treatment. Menopausal sleeping disorder is the same
as the perimenopause syndrome and insomnia category in traditional Chinese
medicine. Kidney deficiency is the basic characteristic of perimenopause, whether
kidney essence, kidney Qi, kidney Yin or kidney Yang can lead to blood stasis. It
is believed that this disease results from kidney deficiency in the root and
blood stasis in the tip, and on this basis women affected by many factors will
result in disharmony between heart and kidney. In clinical practice, The method
of tonifying kidney and activating blood applied to treat perimenopause syndrome
with insomnia was testified to be effective. Therefore the author thought that
kidney deficiency and blood stasis and disharmony between heart and kidney were
the key factors of patients with insomnia in perimenopause.
PMID- 29376238
TI - [Features of Professor Ma Kun's medication in treating ovulatory infertility].
AB - In order to analyze Professor Ma Kun's medication in treating anovulatory
infertility, her prescriptions for treating anovulatory infertility in 2012-2015
were collected. The medication features and the regularity of prescriptions were
mined by using traditional Chinese medicine inheritance support system,
association rules, complex system entropy clustering and other mining methods.
Finally, a total of 684 prescriptions and 300 kinds of herbs were screened out,
with a total frequency of 11 156 times; And 68 core combinations and 8 new
prescriptions were mined. The top three frequently used herbs by effect were
respectively tonic herb, blood circulation promoting herb, and Qi-circulation
promoting herb. The top three tastes were sweetness, bitterness and pungent
flavor. The results showed 28 herbs with a high frequency of >=100.The top 10
frequently used herbs were respectively Angelica Sinensis Radix, Cyperi Rhizoma,
Chuanxiong Rhizome, Paeoniae Radix Rubra, Cyathulae Radix, Taxilli Herba,
Cuscutae Semen, Codonopsis Radix, Ligustri Lucidi Fructus, Paeoniae Albaand
Paeoniae Radix Alba. The association rules analysis showed commonly used herbal
pairs, including Rehmanniae Radix Preparata-Chuanxiong Rhizome, Rehmanniae Radix
Preparata-Angelica Sinensis Radix, Cuscutae Semen-Dipsaci Radix. In conclusion,
Professor Ma has treated anovulatory infertility by nourishing the kidney and
activating blood throughout the treatment course, and attached the importance to
the relationship between Qi and blood and there gulation of liver, spleen and
kidney in treating anovulatory infertility.
PMID- 29376239
TI - [Systematic review on Bushen Huoxue ricipe in treating patients suffering from
diminished ovarian reserve].
AB - To systematically evaluate the efficacy and safety of Bushen Huoxue ricipe in
treating patients suffering from diminished ovarian reserve. Databases such as
CNKI, WanFang, VIP, CBM, PubMed, EMbase and Cochrane Library were searched on
randomized controlled trial/quasi-randomized controlled trial about Bushen Huoxue
ricipe in treating patients suffering from diminished ovarian reserve form the
inception of the study until June 2017. The studies were screened according to
inclusion and exclusion criteria. Risk of bias evaluation tools were adopted to
conduct quality evaluation. Meta-analysis on outcome indicator was performed by
RevMan Version 5.3 Software. Due to the different Bushen Huoxue recipe
(observation group) and the different Western medicine(control group) of all
included studies, the effect size was combined by the random effect model after
the subgroup analysis or sensitivity analysis was performed. Eventually 11 trials
were included, including 733 cases of patients. Results of Meta-analysis
indicated that, Bushen Huoxue recipe had advantages over Western medicine on
lowering FSH, increasing AMH and AFC, enhancing the Chinese medicine symptom
curative effect; Bushen Huoxue recipe had no advantages over Western medicine on
increasing E2; the advantages of Bushen Huoxue recipe were not clear on reducing
FSH/LH and increasing total effective rate because of the different outcomes to
compared with the different Western medicine; no serious adverse reaction
appering from Bushen Huoxue recipe was reported in the including researches.
Bushen Huoxue recipe has the clinical curative effect and high safety suffering
from diminished ovarian reserve. However, limited by the poor quantity of
studies, these conclusions remain to be examined by more center, more sample and
high-quality studies.
PMID- 29376240
TI - [Impact of prostaglandin F2alpha and endothelin, pulsation index and resistance
index of uterine artery blood flow on dysmenorrhea patients of cold stagnation
and blood stasis syndrome with Dingkun Dan].
AB - This research apply Dingkun Dan to treat patients with dysmenorrhea of cold
stagnation and blood stasis syndrome. This study observed its effectiveness and
safety of the treatment of the disease and its influence on the serum
prostaglandin F2alpha, endothefin, pulsatility index and resistant index of
uterine artery blood, to explore the possible mechanism of effect of Dingkun Dan
in the treatment of dysmenorrhea and provide scientific basis for clinical
application. The 75 patients with dysmenorrhea of cold stagnation and blood
stasis who met the inclusion criteria, were divided into treatment group (n=37)
and control group (n=38) by using random number remainder grouping method. In the
treatment group patients were treated with Dingkun Dan, the other group were
given Fuke Zaizao Jiaonang. Two groups have same time to take the medicine, three
days prior to the menstruation for ten days. Medication for three menstrual
cycles was seen as a course of treatment. To observe and compare the two groups
of patients before and after treatment VAS score, syndrome integral, serum levels
of prostaglandin F2alpha and endothelin, pulsation index and resistance index of
uterine artery blood flow and related safety index changes. Finally makes
statistical analysis. It has been identified that, Treatment group and control
group can reduce pain symptom of dysmenorrhea patients and improve the syndromes
scores, compare with control group, effect of the treatment group is more
significant(P<0.01). VAS pain curative effect: the treatment group and control
group total effective rate respectively were, 97.22%, 69.44%, markedly effective
rate were 83.33%, 30.56%, comparison between two groups, treatment group is
better than that of control group(P<0.01). Syndromes curative effect: the
treatment group and control group total effective rate respectively were 97.22%,
94.44%, markedly effective rate was 66.67%, 2.78%, respectively. The comparison
between two groups, the total effective rate has no obvious difference, but
markedly effective rate of treatment group is better than that of control
group(P<0.01). The treatment group can significantly reduce the patients' serum
level of prostaglandin F2alpha(P<0.01), but no obvious difference was found in
the control group before and after treatment. Both groups can significantly
reduce the serum level of endothelin(P<0.01), comparison between two groups, the
treatment group is more significant(P<0.01).Both treatment group and control
group were significantly lower left and right pulsation index and resistance
index of uterus artery blood flow(P<0.01). Between groups to compare the effect,
the treatment group is more significant(P<0.01). Both treatment group and control
group in the security check before and after treatment found no significant
anomalies. Dingkun Dan in treating dysmenorrhea with cold stagnation and blood
stasis syndrome seems to have remarkable clinical curative effect and safety,
which may be achieved by significantly reducing the serum level of prostaglandin
F2alphaand endothefin of the patients, and reducing the pulsation index and
resistance index of uterine artery blood flow of the patients, to improve uterine
artery condition of blood, and correcting local tissue ischemia to relieve pain.
PMID- 29376241
TI - [Discussion on research thinking of traditional Chinese medicine standardization
system based on whole process quality control].
AB - The concept of "Quality by design" indicates that good design for the whole life
cycle of pharmaceutical production enables the drug to meet the expected quality
requirements. Aiming at the existing problems of the traditional Chinese medicine
(TCM) industry, the TCM standardization system was put forward in this paper from
the national strategic level, under the guidance by the idea of quality control
in international manufacturing industry and with considerations of TCM industry's
own characteristics and development status. The connotation of this strategy was
to establish five interrelated systems: multi-indicators system based on tri
indicators system, quality standard and specification system of TCM herbal
materials and decoction pieces, quality traceability system, data monitoring
system based on whole-process quality control, and whole-process quality
management system of TCM, and achieve the whole process systematic and scientific
study in TCM industry through "top-level design-implement in steps-system
integration" workflow. This article analyzed the correlation between the quality
standards of all links, established standard operating procedures of each link
and whole process, and constructed a high standard overall quality management
system for TCM industry chains, in order to provide a demonstration for the
establishment of TCM whole-process quality control system and provide systematic
reference and basis for standardization strategy in TCM industry.
PMID- 29376242
TI - [Development of whole process quality control and management system of
traditional Chinese medicine decoction pieces based on traditional Chinese
medicine quality tree].
AB - The whole process quality control and management of traditional Chinese medicine
(TCM) decoction pieces is a system engineering, involving the base environment,
seeds and seedlings, harvesting, processing and other multiple steps, so the
accurate identification of factors in TCM production process that may induce the
quality risk, as well as reasonable quality control measures are very important.
At present, the concept of quality risk is mainly concentrated in the aspects of
management and regulations, etc. There is no comprehensive analysis on possible
risks in the quality control process of TCM decoction pieces, or analysis summary
of effective quality control schemes. A whole process quality control and
management system for TCM decoction pieces based on TCM quality tree was proposed
in this study. This system effectively combined the process analysis method of
TCM quality tree with the quality risk management, and can help managers to make
real-time decisions while realizing the whole process quality control of TCM. By
providing personalized web interface, this system can realize user-oriented
information feedback, and was convenient for users to predict, evaluate and
control the quality of TCM. In the application process, the whole process quality
control and management system of the TCM decoction pieces can identify the
related quality factors such as base environment, cultivation and pieces
processing, extend and modify the existing scientific workflow according to their
own production conditions, and provide different enterprises with their own
quality systems, to achieve the personalized service. As a new quality management
model, this paper can provide reference for improving the quality of Chinese
medicine production and quality standardization.
PMID- 29376243
TI - [Discover potential inhibitors of 5-LOX and LTA4H from Rhei Radix et Rhizoma,
Notopterygii Rhizoma et Radix and Genitana Macrophyllae Radix based on molecular
simulation methods].
AB - 5-lipoxygenase (5-LOX) and leukotriene A4 hydrolase (LTA4H), as the major targets
of 5-LOX branch in the arachidonic acid (AA) metabolic pathway, play an important
role in the treatment of inflammation. Rhei Radix et Rhizoma, Notopterygii
Rhizoma et Radix and Genitana Macrophyllae Radix have clear anti-inflammation
activities. In this paper, the targets of 5-LOX and LTA4H were used as the
research carrier, and Hiphop module in DS4.0 (Discovery studio) was used to
construct ingredients database for preliminary screening of three traditional
Chinese medicines based on target inhibitor pharmacophore, so as to obtain 5-LOX
and LTA4H potential active ingredients. The ingredients obtained in initial
pharmacophore screening were further screened by using CDOCKER module, and the
screening rules were established based on the score of initial compound and the
key amino acids to obtain 12 potential 5-LOX inhibitors and 7 potential LTA4H
inhibitors. To be more specific, the potential 5-LOX inhibitors included 6
ingredients in Rhei Radix et Rhizoma, such as procyanidins B2-3,3'-O-double
gallate and revandchinone 2; four ingredients in notopterygium, such as
dodecanoic acid and so on. On the other hand, potential LTA4H inhibitors included
revandchinone 1, revandchinone 4 in Rhei Radix et Rhizoma, tridecanoic acid,
tetracosanoic acid and methyl eicosanoate in Notopterygii Rhizoma et Radix,
montanic acid methyl ester and N-docosanoyl-O-aminobenzoate in Genitana
Macrophyllae Radix and so on. The molecular simulation methods were highly
efficient and time-saving to obtain the potential inhibitors of 5-LOX and LTA4H,
which could provide assistance for discovering the chemical quality indicators of
anti-inflammatory efficacy of three Chinese herbs, and may be helpful to promote
the whole-process quality control of three Chinese herbs.
PMID- 29376244
TI - [Preliminary study on standardization of production and processing of Angelicae
Sinensis Radix pieces].
AB - Study on the standardization of Chinese materia medica is an important action for
modernization and globalization for traditional Chinese medicine. Standardization
on the processing of Chinese herbal pieces is an important part in the study on
standardization of Chinese materia medica, so it is of great significance to
establish the technical processing standards of Angelicae Sinensis Radix pieces
for improving its quality. In this study, single factor experiment was designed
to optimize the softening, cutting and drying processes of Angelicae Sinensis
Radix. With ferulic acid, Angelicae Sinensis Radix polysaccharide, volatile oil
and extracts (water and ethanol) content as the quality index, the effects of
different softening, cutting and drying processes on the contents of the five
components in Angelicae Sinensis Radix were analyzed, and the normalized distance
evaluation method was used to analyze the experimental data. The results showed
that the content of five components in Angelicae Sinensis Radix was affected by
different softening methods and drying temperature, but the thickness of slice
had little effect on the content. The best preparation process for Angelicae
Sinensis Radix was as follows: Non-medicinal parts were removed; mildewed and rot
as well as moth-eaten parts were removed; washed by the flowing drinking water;
stacked in the drug pool; moistening method was used for softening, where 125 mL
water was sprayed for every 1 kg of herbs every 2.5 h; upper part of herbs
covered with clean and moist cotton, and cut into thin slices (1-2 mm) after 15 h
moistening until appropriate softness, with disk thickness of 1-2 cm, then
received blast drying for 6 h at 55 C, and turned over for 2 times during the
drying.
PMID- 29376245
TI - [Standardization of production of process Notopterygii Rhizoma et Radix slices].
AB - Notopterol, isoimperatorin, volatile oil and extract (water and ethanol) were
used as the research objects in this study to investigate the effects of
different softening method, slice thickness and drying methods on the quality of
Notopterygii Rhizoma et Radix slices, and the experimental data were analyzed by
homogeneous distance evaluation method. The results showed that different
softening, cutting and drying processes could affect the content of five
components in Notopterygii Rhizoma et Radix incisum. The best processing
technology of Notopterygii Rhizoma et Radix slices was as follows: non-medicinal
parts were removed; mildewed and rot as well as moth-eaten parts were removed;
washed by the flowing drinking water; stacked in the drug pool; moistening method
was used for softening, where 1/8 volume of water was sprayed for every 1 kg of
herbs every 2 h; upper part of herbs covered with clean and moist cotton, and cut
into thick slices (2-4 mm) after 12 h moistening until appropriate softness, then
received blast drying for 4 h at 50 C, and turned over for 2 times during the
drying. The process is practical and provides the experimental basis for the
standardization of the processing of Notopterygii Rhizoma et Radix, with great
significance to improve the quality of Notopterygii Rhizoma et Radix slices.
PMID- 29376246
TI - [Simultaneous content determination of 14 components in Rhei Radix et Rhizoma by
high performance liquid chromatography method].
AB - To establish an HPLC (high performance liquid chromatography) method for the
simultaneous content determination of gallic acid, (+)-catechin, (-)-epicatechin
3-O-gallate, isolindleyin, 4-(4'-hydroxyphenyl)-2-butanone, emodin, chrysophanol,
physcion, aloe-emodin, rhein, lindleyin, 4-(4'-hydroxyphenyl)-2-butanone-4'-O
beta-D-(2"-O-galloyl-6"-O-cinnamoyl)-glucopyranoside, sennoside A and sennoside B
in Rhei Radix et Rhizoma. The analysis was performed on Agilent Zorbax SB-C18
(4.6 mm*150 mm, 5 MUm) with 0.05% phosphoric acid solution (A) - acetonitrile (B)
as mobile phase for gradient elution. The flow rate was 1 mL*min-1, with column
temperature of 40 C and the wavelength was set at 268 nm. All calibration curves
showed good linearity (r > 0.999 9) within the concentration range. Both the
intra- and inter-day precision for 14 analytes was less than 3.1%, with the mean
recovery at the range of 91.80%-104.1%. Meanwhile, quantitative determination was
carried out for 10 qualified samples from Rheum palmatum and 10 qualified samples
from R. tanguticum, respectively. It was found that the content of 4-(4'
hydroxyphenyl)-2-butanone and aloe-emodin were higher in the R. tanguticum and R.
palmatum, respectively, and the content of all the compounds was different in
each sample. The established HPLC method for simultaneous content determination
of 14 compounds from Rhei Radix et Rhizoma could be used for quantitative
assessment and quality control of Rhei Radix et Rhizoma.
PMID- 29376247
TI - [How to draft editorial explanation for transparent and concise guideline on
clinical practice--Case analysis].
AB - Clinical practice guideline (CPG) should be concise and readability, which can be
possible to implement CPG into practice smoothly. A formal published CPG can't
load its developing process and details. An editorial explanation of CPG is much
useful to show details and logic process of developing CPG. Although there are
many different standards for developing CPG, being nothing to do with process
management of CPG. By referring to editorial explanation on international
standards, a request for CPG was investigated in this study. An editorial
explanation for clinical practice guideline should be transparency, logic and
traceable. A good editorial explanation could make users or readers to learn what
goes on behind the scenes. A standardized editorial explanation could supervise
the GCP developing and improve the quality of GCP.
PMID- 29376248
TI - [Research progress of Chinese herbs inhibiting NADPH oxidase].
AB - Oxidative damage mediated by the abnormal activation of NADPH oxidase and the
resulting excessive ROS generation is the pathogenesis for various diseases.
Chinese herbs can play a role in the antioxidant treatment by inhibiting NADPH
oxidase, which is meaningful for the treatment of pathological conditions such as
injury of tissues, blood vessels and nerves, atherosclerosis, ischemia
reperfusion, hypertension and hyperglycemia. In this paper, different forms of
Chinese herbs including monomers, compounds and Chinese patent medicines with the
inhibitory effect against NADPH oxidase would be reviewed, in order to explain
and generalize their possible functions and the target mechanism for inhibition.
PMID- 29376249
TI - [Application of reverse molecular docking technology in target prediction, active
ingredient screening and action mechanism exploration of traditional Chinese
medicine].
AB - Traditional Chinese medicine(TCM) modernization has gradually become a worldwide
trend. Reverse docking technology has also gradually become a useful tool for TCM
modernization. It involves docking a small-molecule drug in the potential binding
cavities of a set of clinically relevant macromolecular targets. Detailed
analysis of the binding characteristics was used for the ranking of the targets
according to the tightness of binding. This process can be used to potentially
identify the novel molecular targets for the drug which may be relevant to its
mechanism of action or side effect. In order to explore the action mechanism,
screen the active ingredients and seek the treating target of TCM, reverse
molecular docking technology has been widely used and has achieved remarkable
results in recent years. In this review, we summarized the application of reverse
molecular docking technology in the target seeking, active ingredients screening
and potential mechanism exploration of TCM, which may provide more scientific
basis for the clinical research and development of new herbal drugs.
PMID- 29376250
TI - [Progress of regulation of leukemia stem cells of chronic myeloid leukemia by
autophagy].
AB - Leukemia stem cells (LSC) that were found in chronic myeloid leukemia (CML)
responsible for the abnormal proliferation with the potential of self-renewal and
multi-directional differentiation are involved in the pathophysiological process
for drug resistance and relapse of CML. Autophagy, a conservative lysosomal
degradation process that mediates cell degradation and recycling process, plays
crucial roles in maintaining the homeostasis and function of intracellular
environment. Recent studies suggested that autophagy is involved in the
regulation of LSC differentiation and also closely related to the chemo
sensitivity of CML. In this review, we focused on the role of autophagy on
chemotherapy sensitivity of CML as well as the leukemia stem cell function for
the development of new anti-leukemia drugs.
PMID- 29376251
TI - [Current advance in cerebral malaria].
AB - Cerebral malaria (CM), a severe neurological syndrome caused by Plasmodium
falciparum infection, is a serious life-threatening disease with a high
mortality. Survivors' persistent brain injury is manifested as long-term
neurocognitive disorders. The main neuropathological feature of CM is the
sequestration of parasited red blood cells (pRBCs) in cerebral microvessels.
Other neuropathological features of CM include petechial hemorrhage in the brain
parenchyma, annular hemorrhage, extensive brain endothelial cell activation, and
focal endothelial cell injury and necrosis. However, its pathogenesis is still
not clear. Currently, some studies have suggested that the pathogenesis of
cerebral malaria mainly include pRBC adhesion, inflammatory reaction cascade,
vascular leakage damage and brain hypoxia. Studies have shown that the biomarkers
currently used as diagnostic and prognostic markers for CM include C-X-C motif
chemokine ligand 10 (CXCL10), CXC chemokine ligand 4 (CXCL4), angiopoietin (Ang).
In this paper, we systematically summarize the basic and clinical research for
cerebral malaria in recent years and the latest literatures for drug studies, and
focused on the advance of studies on cerebral malaria and its immunologic
mechanism in the recent three years in the aspects of cytokines, immune cells,
regulatory factors and biomarkers, so as to provide references for relevant
studies.
PMID- 29376252
TI - [Research progress on chemical constituents, pharmacological mechanism and
clinical application of Guizhi decoction].
AB - Guizhi Decoction was one of the most commonly used traditional Chinese Medicine
which possesses the effects of "jie-ji-fa-biao, regulating Ying and Wei". It was
mainly used to treat mind-cold due to exogenous evils such as fever, headache,
sweating, hate the wind, et al. Modern studies indicated that the chemical
constituents of Guizhi decoction mainly include phenylpropanoid, monoterpenes,
organic acids, flavonoids, triterpenoid saponins and so on. Pharmacological
experimental studies had shown that Guizhi decoction could play a big role in
dual-directional regulation on sweat gland, body temperature, immune function,
gastrointestinal peristalsis, and blood pressure, and could also play the role of
anti-inflammatory, antibacterial, antiviral, anti-allergic, analgesic,
hypoglycemic, and cardiovascular protection. Many diseases such as internal,
external, gynecological and pediatric diseases were treated in the clinical by
using Guizhi decoction and its analogous formulae involving circulatory, immune,
urinary, reproductive, endocrine, digestive, nervous and other systems. This
article reviews the latest research progress of Guizhi decoction from three
aspects: chemical constituents, pharmacological mechanism and clinical
application. It will provide reference for further research and development of
Guizhi decoction.
PMID- 29376253
TI - [Chemical constituents, biological activities and clinical applications of
artemisia rupestris].
AB - Artemisia rupestris is a traditional medicine in Uygur and Kazak in Xinjiang
Province, mainly distributed in the territory of Xinjiang Altai area, Tianshan
mountains and the Kunlun mountains, growing at an altitude of 1 500 to 4 000
meters of grassland and forest areas. As the broad research on chemical
constituents, pharmacological activity, the effective components of A. rupestris
have attracted the interest to make up new drugs. Based on the latest research
from A. rupestris, identification and geographic distribution, chemical
constituents, pharmacological effects, clinical applications were summarized in
this article, in the view of Medicinal Ethnobotany. At the same time, some
suggestions were proposed for future research.
PMID- 29376254
TI - [Effects of nitrogen on yields and flavonoids contents of Epimedium
pseudowushanense in hydroponic conditions].
AB - Nitrogen nutrition divided into concentrations and forms for Epimedium
pseudowushanense was studied under hydroponic conditions. There were 7 nitrogen
concentrations treatments(N0-N6)which nitrogen concentration was 0, 2.5, 5.0,
7.5, 10.0, 13.0 mmol*L-1, respectively, and 5 nitrogen forms and proportions
treatments (T1-T5) which NO3-N/NH4-N was 5?0, 4?1, 2.5?2.5, 1?4, 0?5
respectively, the total nitrogen applied was 5 mmol*L-1. The results showed that
along with the increase of nitrogen concentration, biomass (dry weight) and leaf
dry weight increased, which in N5 treatment was 29%, 23% higher than those in N0
and 36%, 23% higher than those in N4 respectively, but not significantly
different from N6 treatment. Roots and stem dry weights did not change
significantly. Pn and the contents of photosynthetic pigments were higher in N3
N5 treatments. Epimedin A, B, C, icariin and total flavonoids contents were the
lowest in N3 treatment, suddenly increase in N4 treatment and only a little
change between N4-N6 treatments. N5 treatment with the highest epimedin C content
was 131% higher than N3 treatment with the lowest epimedin C content (P<0.05).
While in the nitrogen forms and proportions treatments, biomass, leaf and stem
dry weights were the highest in T3 treatment and lower in T1 and T5 treatments,
without significance between T1 and T5 treatments. These indicators in T3
treatment were more than 30% higher than those in T1 treatment. The impact of
nitrogen concentrations was greater than nitrogen forms on flavonoids. epmedin C
content was the highest in T1 treatment, only 5%-8% higher than that in T2-T5
treatments (no significance between 4 treatments). Epimedin A, B, icariin and
total flavonoids contents were higher in T1 treatment, the lowest in T2
treatment, those in T1 treatment was 41%, 62% and 27% higher than T2 treatment
respectively (P<0.05). In a conclusion, E. pseudowushanense is a high nitrogen
tolerant plant, 10.0 mmol*L-1 was the boundary point between growing general to
well and the optimal nitrogen concentration for it was 10.0-13.0 mmol*L-1.
Besides, it prefers to grow in NO-3 plus NH+4 and the optimal proportion was
2.5?2.5.
PMID- 29376255
TI - [Screening, identification and antimicrobial activity of alkaloid produced by
endophytic actinomycetes from Fritillaria unibracteata in western Sichuan
plateau].
AB - To explore the resource of endophytic actinomycete in Fritillaria unibracteata,
and alleviate the shortage of F. unibracteata resource, using F. unibracteata as
experimental materials which growth in the western Sichuan plateau and cut its
healthy bulb. Pure culture, insert, TLC and Oxford cup were applied to observe
the mycelial morphology, research the ability of producing alkaloid and its
antibacterial activity. Totally, 14 endophytic actinomycete strains were isolated
by using Gao culture media. Based on the color reaction, 5 typical strains were
selected for producing alkaloid. Through the TLC technique, all strains produced
2 obvious alkaloids spots. Antibacterial activity determination showed that the
antimicrobial effects of 2 strains is prominent, the diameter up to 11 mm.16S
rRNA gene sequence comparison analysis showed that 5 strains belonging to the
Streptomyces. The alkaloids produced by endophytic actinomycetes are not related
to F. unibracteata, but its fermentation liquid has antibacterial effect, it is
worthy of further study.
PMID- 29376256
TI - [Identification of Cervus nippon, C.elaphus and their hybridize samples based on
COI and SRY gene].
AB - For rapid identification of Cervus nippon, C. elaphus and their hybridize
samples, the specific PCR for mutual authentication of them was established based
on the SNPs in COI and SRY sequence. C. nippon, C. elaphus and their hybridize
samples were collected from different origins, total DNA of 24 identified samples
were extracted, and the COI and SRY gene was seqenced. SNPs in the COI and SRY
sequences of the samples were found by Clustul X 2.1 program. Primers for
identifying C. nippon and C. elaphus were designed according to the SNP site, two
multi-PCR reaction system were established to identify them. In addition, 24
samples which were randomly collected in different herbal medicine market were
identified. The band special for C. nippon (232 bp)and band special for C.
elaphus (518 bp) based on COI sequence,and the band special for C. nippon (803
bp)and band special for C. elaphus (425 bp) based on SRY sequence, were found
using multi-PCR reaction, and three of the twenty-four samples were identified as
the hybridize samples. The multi-PCR reaction system could be used to identify C.
nippon, C. elaphus and their hybridize samples.
PMID- 29376257
TI - [A study on identification of edible bird's nests by DNA barcodes].
AB - To provide theoretical basis for the traceability and quality evaluation of
edible bird's nests (EBNs), the Cytb sequence was applied to identify the origin
of EBNs. A total of 39 experiment samples were collected from Malaysia,
Indonesia, Vietnam and Thailand. Genomic DNA was extracted for the PCR reaction.
The amplified products were sequenced. 36 sequences were downloaded from Gen Bank
including edible nest swiftlet, black nest swiftlet, mascarene swiftlet, pacific
swiftlet and germain's swiftlet. MEGA 7.0 was used to analyze the distinction of
sequences by the method of calculating the distances in intraspecific and
interspecific divergences and constructing NJ and UPMGA phylogenetic tree based
on Kimera-2-parameter model. The results showed that 39 samples were from three
kinds of EBNs. Interspecific divergences were significantly greater than the
intraspecific one. Samples could be successfully distinguished by NJ and UPMGA
phylogenetic tree. In conclusion, Cytb sequence could be used to distinguish the
origin of EBNs and it is efficient for tracing the origin species of EBNs.
PMID- 29376258
TI - [Exploring correlation between molecular state and nanofiltration mass transfer
process of synephrine from Citrus aurantium].
AB - Based on the solution-diffusion effect and the charge effect theory in
nanofiltration separation, the correlation between initial concentration and mass
transfer coefficient was constructed to establish a mathematic model of
synephrine in mass transfer process and verify its applicability. The
experimental results showed that there was a linear relationship between
operation pressure and membrane flux. Meanwhile, the membrane flux was gradually
decayed with the increase of solute concentration. Besides, mass transfer
coefficient and initial concentration of synephrine showed power function
correlation with each other by solution-diffusion effect and the charge effect,
and the regression coefficients were greater than 0.9. The mass transfer
coefficient of dissociation synephrine was less than that in the state of free
and free-dissociation. Moreover, on the basis of power function relationship
between mass transfer coefficient and initial concentration, the results showed
that the predicted rejections of synephrine from Citrus aurantium water extract
by use of the mathematical model approximated well to real ones, verifying that
the model was practical and feasible. The unclear separation mechanism of
nanofiltration for alkaloids was clarified preliminary by the predicted model of
nanofiltration separation with synephrine as the example, providing theoretical
and technical support for nanofiltration separation, especially for traditional
Chinese medicine with alkaloids.
PMID- 29376259
TI - [Protective effect of different polar fractions of Carbonized Rubiae Radix et
Rhizoma (cRRR) against oxidative injury].
AB - The protective effect of different polar fractions of Carbonized Rubiae Radix et
Rhizoma (cRRR) against ox-LDL-induced damage to human umbilical vein endothelial
cells (HUVECs) was investigated by MTT assay, and the components were identified
by using UPLC-Q-TOF-MS. According to the study, ethyl acetate extract and n
butanol extract could increase cell viability (P<0.01), while petroleum ether
extract had no influence, and water extract could even inhibit the cell viability
to some degree. Moreover, 32 compounds in four polar fractions were analyzed,
including 31 quinones and their glycosides, and one rubiprasins C. Petroleum
ether extract, ethyl acetate extract, n-butanol extract and water extract
contained 23, 32, 26, 15 compounds, respectively. According to cell experiments
in vitro, active fractions were ethyl acetate extract and n-butanol extract. The
results could provide scientific references for further studies on effective
material basic of cRRR, and lay a foundation for studies on the relationship
between efficacies and materials.
PMID- 29376260
TI - [Optimization of preparation procedures of tanshinone-IIA /beta-cyclodextrin
inclusion complex and dissolution study of tanshinone-IIA in vitro].
AB - In this paper, tanshinone-IIA (Tan-IIA)/beta-cyclodextrin (beta-CD) inclusion
complexes were prepared by saturated aqueous solution method. Based on the single
factor experiment, Box-Benhnken design and response surface method were utilized
to optimize the preparation procedures of tanshinone-IIA/beta-cyclodextrin
inclusion complexes. The ratio of beta-CD to Tan-IIA, experimental temperature
and time were defined as independent variables, while the yield of the inclusion
complexes, encapsulation efficiency and the generalized "normalized value" were
set as the response value. In addition, the inclusion complexes were
characterized by infrared spectroscopy (IR) and nuclear magnetic resonance (NMR).
The results showed that optimum preparation conditions for Tan-IIA/beta-CD
inclusion complex were as follows: Tan-IIA/beta-CD ratio of 1:7, the temperature
of 48 C and the time of 3 h. Under the optimized conditions, the encapsulation
efficiency of Tan-IIA/beta-CD inclusion complex was 84.75%. The Tan-IIA and beta
CD inclusion complex can significantly improve the dissolution of Tan-IIA.
PMID- 29376262
TI - [Nontargeted metabolomic analysis of Anoectochilus roxburghii at different
cultivation stages].
AB - Anoectochilus roxburghii is a traditional Chinese medicine and natural health
products. In the modern cultivation system, A. roxburghii is micropropagated in
tissue culture, and the plants are transferred to soil cultivation for months.
However, it remains unclear about the necessity of soil cultivation for the
accumulation of health beneficial compounds. In this paper, we performed
nontargeted metabolomic analysis using GC-TOF-MS and UPLC-Q-TOF-MS, on A.
roxburghii plants at tissue culture stage or after 3 months of soil cultivation.
The results showed that the primary metabolites such as alcohols and organic
acids are abundant in the tissue culture plants. In contrast, polysaccharide,
nucleoside, esters and secondary metabolites such as flavonoids, terpenoids were
significantly accumulated in cultivated seedlings. Flavonoids and polysaccharides
are considered as the principle effective components in A. roxburghii. Soil
cultivation period is therefore essential for the accumulation of these
metabolites.
PMID- 29376263
TI - [Interaction between gomizine D and alpha-glucosidase].
AB - This paper describes a study exploring the interaction between gomizine D and
alpha-glucosidase. The inhibitory activity of alpha-glucosidase by gomizine D was
determined using PNPG as substrates Gomizine D gave the IC50 value of 0.59 mmol*L
1, which was higher than that of acarbose (1.95 mmol*L-1). Gomizine D was a
reversible and non-competitivealpha-glucosidase inhibitor with an inhibition
constant Ki=4.026 g*L-1. The binding mode between gomizine D and alpha
glucosidase was analyzed by AutoDock Vina molecular docking software. The lowest
energy of Gomizine D binding to alpha-glucosidase was -7.7 kcal*mol-1, which was
lower than that of acarbose (-6.6 kcal*mol-1). After binding with gomizine D, UV
spectroscopy analysis displayed that the microenvironment of aromatic residue in
the secondary structure of alpha-glucosidase was changed, and the polarity of
protein was reduced.
PMID- 29376261
TI - [A new sesquiterpene from Chinese agarwood induced by artificial holing].
AB - In order to study the chemical constituents of n-butanol fraction of ethanol
extract from Chinese agarwood induced by artificial holing, various
chromatographic techniques were carried out to isolate compounds, and the
structures of compounds were determined through a combined analysis of
physicochemical properties and spectroscopic evidence. Seven compounds were
obtained and identified as selina-3,11-dien-9,15-diol (1), aquilarone D (2),
5alpha,6beta,7alpha,8beta-tetrahydroxy-2-[2-(2-hydroxyphenyl)ethyl]-5,6,7,8
tetrahydrochromone (3), 6,7-dimethoxy-2-[2-(4-methoxyphenyl)ethyl]chromone (4),
syringin (5), methyl (Z)-p-coumarate (6), and 4'-methoxycinnamic acid (7), among
which compound 1 was a new compound and compounds 5-7 were isolated from agarwood
for the first time. The bioactivity assay results concluded that compounds 6 and
7 showed certain nematicidal activity against Panagrellus redivivus, and
compounds 4, 6 and 7 exhibited cytotoxicity against BEL-7402, SGC-7901 and A549
carcinoma cell lines.
PMID- 29376264
TI - [Comparison of chemical constituents in different parts of Gardenia jasminoides
based on multiple wavelength HPLC-DAD].
AB - Seven compounds(deacetylasperulasidic acid methyl ester, gardenoside, chlorogenic
acid, geniposide, crocin-I, crocin-II, chikusetsu saponin IVa)were determined
simultaneously by multiple wavelength HPLC with diode array detector(DAD) in
different parts of Gardenia jasminoides. The results showed that these components
in different parts of G. jasminoides had a different distribution, and there was
a large difference in content of each component. Geniposide was mainly
distributed in fruits and leaves; chikusetsu saponin IVa was mainly distributed
in roots and stems; crocus glycosides existed mainly in fruits; chlorogenic acid
had a higher distribution in leaves and stems; gardenoside had a higher
distribution in leaves and roots, while ceacetylasperulasidic acid methyl ester
had a higher distribution in roots and stems. Based on the analysis of the
chemical composition and content difference in different parts of G. jasminoides,
the basis for the comprehensive utilization and quality evaluation of resources
of G. jasminoides was provided.
PMID- 29376265
TI - [Gegen Qinlian decoction activates PPARgamma to ameliorate adipocytic insulin
resistance in diabetic SD rats and IR-3T3-L1 adipocytes].
AB - To investigate the effects of Gegen Qinlian decoction(GQD) in improving
adipocytic insulin resistance(IR) and explore its related molecular mechanism.
Diabetic rats models were induced by high glucose and high-fat diet with a small
dose of streptozotocin, and after GQD treatment for 3 months, blood biochemical
indexes such as fasting blood-glucose(FBG), insulin, glycosylated serum
protein(GSP) and HOMA-IRI were detected and assessed. After the total RNA was
extracted from the adipose tissue of diabetic SD rats, PPARgamma, ADPN, GLUT4,
GLUT2, ACACA and ACACB mRNA expression levels were separately detected by qPCR.
Then, stable IR-3T3-L1 adipocyte model was built with 1 MUmol*L-1 dexamethasone.
After the cell viability was detected by CCK-8 assay, 5%, 10% and 15% GQD
containing serum(GQD-CS) were respectively used to treat IR-3T-L1 adipocytes for
24 h. The contents of glucose, nonesterified fatty acid(NEFA) and adiponectin in
cell culture supernatants were separately detected whereas the intracellular
triglyceride(TG) contents of IR-3T3-L1 adipocytes were also measured. The ADPN,
PPARgamma and GLUT4 mRNA and protein expression levels were respectively detected
by qPCR and Western blot in IR-3T3-L1 adipocytes. Results showed that GQD
significantly decreased fasting blood glucose, insulin and GSP(P<0.01), and down
regulated HOMA-IRI(P<0.05) after the high-fat diet/streptozotocin-induced
diabetic SD rats were treated for three months, with a good hypoglycemic effect.
Moreover, PPARgamma, ADPN, GLUT4, GLUT2, ACACA and ACACB mRNA expression levels
were significantly elevated in the adipose tissue of GQD-treated diabetic SD
rats. The 5%, 10% and 15% GQD-CS significantly increased glucose consumption of
IR-3T3-L1 adipocytes at 24 h treatment(P<0.01), significantly decreased the
intracellular TG content (P<0.01), and down-regulated NEFA to a certain extent
but not significantly. Moreover, GQD-CS significantly up-regulated GLUT4 and ADPN
expression. The results indicated that GQD could activate PPARgamma to ameliorate
adipocytic insulin resistance in the diabetic SD rats and IR-3T3-L1 adipocytes.
PMID- 29376266
TI - [Effects of Pogostemon cablin on gastrointestinal function of rats with syndrome
of damp retention in middle-jiao].
AB - To investigate the effects of Pogostemon cablin(patchouli) on gastrointestinal
function of rats with the syndrome of damp retention in middle-jiao, and explore
its therapeutic mechanism. In this study, gastrointestinal function of rats with
the syndrome of damp retention in middle-jiao was evaluated by multiple assays
including gastric remnant rate, small intestine propelling rate, gastric juice
quantity, pepsin activity and gastrointestinal tissue morphology. ELISA was used
to detect gastrointestinal hormones including MTL, GAS, VIP and cytokines
including TNF-alpha and interleukin 10 in rat serum. Real-time fluorescent
quantitative PCR technique was used to detect relative mRNA expression of AQP3,
AQP4 and AQP8 in gastric and colonic tissues to explore the mechanism of P.
cablin in treatment of gastrointestinal functions. The results showed that middle
and high dose of P. cablin (3.24, 6.48 g*kg-1) could obviously decrease the
gastric remnant rate, promote gastric emptying, increase the small intestine
propelling rate(P<0.05), speed up the propulsive movement of gastrointestinal
tract, increase the secretion and acidity of gastric juice, increase the activity
of pepsin, and improve the injury of gastrointestinal tissue. All the doses of P.
cablin could increase the concentration of MTL and GAS in serum, reduce the
concentration of VIP, TNF-alpha and IL-10 in serum, decrease the mRNA expression
of AQP3 in gastric and colonic tissues, and increase the expression levels of
AQP4 and AQP8 in colonic tissues. The regulatory effects were better in middle
and high dose groups. In conclusion, regulation of the levels of gastrointestinal
hormones, inflammatory cytokines and aquaporins may be the paths for P. cablin to
maintain normal gastrointestinal function of rats with the syndrome of damp
retention in middle-jiao. The results of the study laid a foundation for
clarifying the treatment mechanism of aromatic damp-resolving drugs for
indications including damp retention in middle-jiao and transformation failure of
spleen.
PMID- 29376267
TI - [Effect of saponins extracted from Panax japonicus on inhibiting cardiomyocyte
apoptosis by AMPK/Sirt1/NF-kappaB signaling pathway in aging rats].
AB - To investigate the effects of saponins extracted from Panax japonicus(SPJ) on
cardiomyocyte apoptosis in natural aging rats and explore its underlying
mechanisms. SD male rats were randomly divided into four groups: young control
group, natural aging group, SPJ low dose group and SPJ high dose group, with 10
rats in each group. The rats in natural aging group, SPJ low and high dose groups
were respectively treated with normal saline, SPJ 10 and 60 mg*kg-1*d-1 from the
beginning of 18 month-old, 6 days per week for 6 months till 24 month-old. Then
the animals were sacrificed. Their myocardial morphology changes were observed by
using haematoxylin-eoin(HE) staining; cardiomyocyte apoptosis was tested by using
Tunel assays; and the protein expression levels of Bcl-2, Bax, IL-1beta, TNF
alpha, AMPK, p-AMPK, Sirt1, and Ac-NF-kappaB p65 in myocardial tissues of rats
were detected by Western blot. The results showed that SPJ could effectively
improve the arrangement disorder of myocardial fibers, reduce the infiltration of
inflammatory cells and inhibit cardiomyocyte apoptosis in natural aging rats. At
the same time, SPJ could significantly inhibit the protein expression of Bax, IL
1beta, TNF-alpha and Ac-NF-kappaB p65, and increase the expression of Bcl-2, Bcl
2/Bax, p-AMPK/AMPK and Sirt1 in the heart tissues of natural aging rats. SPJ can
effectively inhibit cardiomyocyte apoptosis in natural aging rats, and its
mechanisms may be related with the regulation of inflammatory reaction by
AMPK/Sirt1/NF-kappaB signaling pathway.
PMID- 29376268
TI - [Effect of Chinese medicine of nourishing kidney and clearing liver on
intermittent hypoxia induced injury model of HUVECs through p38MAPK/NF-kappaB
signaling pathway].
AB - This study aims to explore the intervention effect of Chinese medicine of
nourishing kidney and clearing liver on intermittent hypoxia(IH) induced injury
model of HUVECs through p38MAPK/NF-kappaB signaling pathway in vitro. HUVECs
injury model was induced by modified IH treatment. The effective components of
Chinese medicine of nourishing kidney and clearing liver including isochteroside,
aucubin and ligustrazine were used as intervention drugs. The optimal
compatibility concentration of them was screened in vitro, and then the optimal
compatibility concentration was selected as the intervention dose to observe the
effect on p38MAPK/NF-kappaB signaling pathway in IH induced injury model of
HUVECs. The results showed that isochnae, aucubin and ligustrazine had the best
anti-inflammatory effect at concentration of 0.01 mg*L-1. NF-kappaB p65 and p
IkappaB in the nucleus in IH group were significantly higher than those in the
normal control(N) group and the other groups. Immunofluorescence staining showed
significant translocation of NF-kappaB p65 nucleus in IH group, and HUVECs
adhesion capacity in IH group was increased significantly. As compared with IH
group, the expression levels of p-p38MAPK, NF-kappaB p65 and p-IkappaB in p38MAPK
inhibitor(INH) group and Chinese medicine of nourishing kidney and clearing
liver(GDC) group were significantly decreased, and HUVECs adhesion capacity in
INH group and GDC group was significantly inhibited as well. The optimal
concentration of Chinese medicine of nourishing kidney and clearing liver can
inhibit the phosphorylation of p38MAPK, and then inhibit the nuclear
translocation and transcription function of NF-kappaB. This may be the mechanism
of the protective effect of Chinese medicine on IH induced injury model of
HUVECs.
PMID- 29376269
TI - [Simultaneous determination of seven bioactive compounds and pharmacokinetics in
rat plasma after oral administration of Yindan Xinnaotong Ruanjiaonang by UPLC
MS/MS].
AB - To estabish ultra-performance liquid chromatography-tandem mass spectrometry
(UPLC-MS/MS) method for simultaneous determination of quercetin(QCT),
isorhamnetin(ISR), kaempferol(KMF), ginkgolide A(GA), ginkgolide B(GB),
ginkgolide C(GC) and bilobalide(BB) in rat plasma and investigate the
pharmacokinetic process of seven compounds after oral administration of Yindan
Xinnaotong Ruanjiaonang, The results indicated that all calibrations curves
showed good linearity (r>=0.997 1). RSD of intra-day and inter-day precisions
were all within 11%. The matrix effects and extraction recovery were in the range
of 93.28%-103.6% and 72.43%-95.77% respectively. The peak concentration (Cmax) of
QCT, ISR, KMF, GA, GB, GC and BB were (45.02+/-11.28), (49.90+/-13.82), (27.85+/
8.38), (76.31+/-18.19), (76.54+/-15.43), (35.35+/-10.28), (48.70+/-12.34) MUg*L
1, respectively. The peak time (tmax) of seven constituents were (0.33+/-0.11),
(0.50+/-0.23), (0.33+/-0.14), (0.75+/-0.29), (1.0+/-0.35), (1.5+/-0.23), (0.75+/
0.50) h, respectively. UPLC-MS/MS method established in this research was proved
to be so rapid and sensitive that it can be applied to the pharmacokinetic study
of seven bioactive constituents in Yindan Xinnaotong Ruanjiaonang.
PMID- 29376270
TI - [Recognition and use of Aconitum carmichaeli from the pre-Qin period to Tang
Dynasty-Also on rules in evolution of traditional Chinese medicine].
AB - The recognition and use of Aconitum carmichaeli have undergone various changes
from the pre-Qin period to Tang dynasty. From "the drug of evil" to "the leader
of hundreds of medicine ", people's understanding of A. carmichaeli is more and
more deep and specific. The medicinal values of A. Carmichaeli have been
excavated, and it is widely used in brewing skill, agricultural production,
Taoism, Buddhism and festival customs. Its function is becoming more and more
diversified and routinized. By studying it, we can glimpse some rules in the
evolution of traditional Chinese medicine, for instance relationships between
drugs and poisons, drugs and non-drug; the inheritance and integration of medical
books' recording; the generalization and routinization of Chinese medicinal
medicines' functions, and so on.
PMID- 29376271
TI - [China's contribution to research and development of antiparasitic products -
Inspiration from Nobel Prize in Physiology or Medicine 2015].
AB - Chinese scientist Tu You-you won the Nobel Prize in Physiology or Medicine 2015,
due to her outstanding contribution to the discovery of antimalarial drug
artemisinin. The discovery of artemisinin is a great breakthrough using modern
pharmaceutical technology to excavate ancient Chinese traditional medicine
resources, based on government's coordination mechanisms. This article analyzes
the research and development status of the current global antiparasitic products,
as well as China's needs and strengths in related areas. The authors propose that
China should take the advantage of government financing and research capacity to
strengthen the research and development of antiparasitic products, so as to
contribute more to improving global health equity.
PMID- 29376272
TI - [Surveillance and risk assessment system of schistosomiasis in Jiangsu Province
III Risk of schistosomiasis transmission in the area along the Yangtze River in
Yangzhou City].
AB - OBJECTIVE: To evaluate the potential risk of schistosomiasis transmission in the
area along the Yangtze River in Yangzhou City, so as to provide evidences for
establishing a post-transmission surveillance system for schistosomiasis in
marshland regions. METHODS: The water infectivity, floating boatmen and fishermen
infection, reservoir host infection and wild feces contamination were
investigated in five districts/counties along the Yangtze River in Yangzhou City,
including Guangling, Hanjiang, Jiangdu, Yizheng and Development Zone, and the
transmission factors and risky characteristics were assessed after interruption
of schistosomiasis transmission in marshland regions. RESULTS: A total of 15 key
water regions were identified in the area along the Yangtze River in Yangzhou
City in 2015. A total of 1 500 sentinel mice were placed, after breeding, their
overall survival rate was 99.33%; 1 490 were dissected, with no schistosome
infection. Of the 5 576 floating boatmen and fishermen examined, no schistosome
infection was observed, and among the 3 566 domestic animals (including 171
cattle, 1 895 sheep and 1 500 pigs), no infections were detected. During the
period between January and March, 2016, there were 3 200 mouse traps placed on 8
marshlands, and 62 wild mice were captured from 6 marshlands, with a capture rate
of 1.94%, and no schistosomeinfected wild mice were seen. In addition, there were
35 pieces of fresh wild feces captured from 7 marshlands, including 11 pieces of
bovine feces (31.43%), 17 pieces of sheep feces (48.57%), 2 pieces of dog feces
(5.71%) and 5 pieces of other feces (14.29%), and no infections were detected.
CONCLUSIONS: There is a low risk of schistosomiasis transmission in the area
along the Yangtze River in Yangzhou City. However, the contamination of feces
from bovine and sheep that are freely pastured on marshlands is a big threat to
schistosomiasis control.
PMID- 29376273
TI - [Epidemiological investigation on confirmed cases of schistosomiasis in Hubei
Province].
AB - OBJECTIVE: To grasp the distribution and epidemiology of confirmed cases of
schistosomiasis in Hubei Province, so as to provide the evidence for promoting
the prevention and control work. METHODS: The confirmed cases of schistosomiasis
in Hubei Province from 2010 to 2014 were epidemiologically investigated, and the
prevalence characteristics and main influencing factors were analyzed. RESULTS: A
total of 10 102 confirmed cases from 2010 to 2014 were surveyed. There were 1 062
local infected patients, accounting for 10.51% and including 354 repeated
infections and 17 newly infected. There were 290 foreigninfected patients,
accounting for 2.87%, with 206 repeated infection cases and 84 newly infected.
There were 8 750 historical patients, including 2 229 patients who leaked the
former schistosomiasis investigations, accounting for 22.06%; 570 patients missed
treatment, accounting for 5.64%; 3 640 patients were treated with non-standard
therapy, accounting for 36.03%; 2 311 patients were treated with poor medication
efficacy, accounting for 22.88%. The multivariate non-conditional Logistic
regression, targeting at confirmed cases in 2014, showed that, for the leaking
investigations, the potential risk factors included the age, educational level,
and latrine renovation (b>0, OR>1), the protective factors were the times of
previous treatment, cattle feeding in villager team, and Oncomelania hupensis
snails in surroundings (b<0, OR<1); for the treatment-missing, the age,
educational level, snails in the surroundings of residence were risk factors
(b<0, OR<1); for the substandard treatment, the risk factors included the
occupation and snails in the surroundings of residence (b>0, OR>1), and the
educational level and snails in the own field were protective factors (b<0,
OR<1). CONCLUSIONS: The epidemiological investigation on the confirmed cases of
schistosomiasis could grasp the epidemic factors so as to improve the management
and carry out the scientific control.
PMID- 29376274
TI - [Investigation of direct medical expense for surgical patients with splenomegalic
advanced schistosomiasis in Hunan Province from 2010 to 2014].
AB - OBJECTIVE: To understand the direct medical expense for surgical patients with
splenomegalic advanced schistosomiasis and its influencing factors, so as to
provide evidences for relevant departments to improve the rescue strategy of
advanced schistosomiasis. METHODS: The data about the expenses of patients with
splenomegalic advanced schistosomiasis hospitalized in Xiangyue Hospital
affiliated to Hunan Institute of Schistosomiasis Control from January 2010 to
August 2014 were collected, the hospitalization expense and hospital stays of the
patients were analyzed, and the factors influencing the hospital expenses were
analyzed by the univariate and multi-factor analyses. RESULTS: From January 2010
to August 2014, totally 249 cases were hospitalized in the hospital, their
average hospital stays and hospital expenses were 28.92 d and 18 896.13 Yuan, and
both of them were increased year by year. Among all the kinds of expenses, the
constitution ratios of the medicine expenses were the highest, and those in the 5
years were all above 44%. The results of the univariate and multi-factor analyses
showed that the hospital stays, the amount of intraoperative bleeding, liver
function classification, postoperative complications, age, portal hypertensive
gastropathy were the influencing factors of the hospital expenses. CONCLUSIONS:
Presently, the burden of the direct hospital expenses of the patients with
splenomegalic advanced schistosomiasis is still heavy. The government should
further improve the proportion of the compensation of medical assistance and
perfect the medical aid scheme. Meanwhile, the hospitals should strengthen the
management and standardize medical behavior to reduce the hospitalization
expenses of the patients.
PMID- 29376275
TI - [Effect of two-level community-based health education pattern on schistosomiasis
control].
AB - OBJECTIVE: To implement a two-level community-based health education pattern of
schistosomiasis in residents of endemic areas in marshland and lake regions, so
as to explore the suitable pattern of health education under hypo-endemic
situation. METHODS: Two schistosomiasis endemic villages in Jiangling County,
Hubei Province were collected as study areas, and among which, one village was
treated as an intervention group, where the two-level community-based health
education pattern as well as regular control measures was implemented; the other
village was a control group, where only regular control measures were
implemented. The awareness rates on schistosomiasis control, the rates of correct
behavior and the compliance rates of examination, treatment and chemotherapy of
the two groups before and after the intervention were compared. RESULTS:
According to the results of the baseline survey in 2014, the awareness rates of
schistosomiasis control of the intervention and control groups were 84.00% and
77.45%, respectively, the correct rates of behavior of the two groups were 72.00%
and 63.73%, respectively, and the compliance rates of the treatment were 80.36%
and 82.28%, respectively, there were no statistically significant differences
between all the above rates of the two groups (all P > 0.05). After the
intervention of the two-level community-based health education, the correct rates
of behavior, and the compliance rates of examination and chemotherapy of the two
groups were 92.31% and 80.37%, 95.11% and 82.55%, 84.13% and 63.64%,
respectively, and the differences between all the rates above of the two groups
were statistically significant (all P < 0.05). When compared to those before
intervention, the growing rates of the compliance rates of examination, treatment
and chemotherapy of the intervention group were 20.97%, 15.33% and 23.29%,
respectively, while those of control group were 14.27%, 4.17%, -3.77%,
respectively, the growing rates of the intervention groups were higher than those
of the control groups. CONCLUSIONS: Through the two-level community-based pattern
of health education, the compliance rates of examination and treatment of the
residents have improved, and therefore, the pattern is suitable for
popularization and application in marshland and lake regions.
PMID- 29376276
TI - [Study on value of IHA in diagnosis of schistosomiasis japonica].
AB - OBJECTIVE: To evaluate the value of indirect hemagglutination test (IHA) in
schistosomiasis diagnosis. METHODS: The literature concerned schistosomiasis
diagnosis with IHA in the databases of Medline, CNKI, VIP and Wanfang Data from
1982 to 2014 was collected and evaluated. RESULTS: Totally 21 articles which were
satisfied with the research criteria were analyzed with the Meta-analysis method.
The IHA method had high value in schistosomiasis diagnosis, the AUCSROC of IHA in
laboratory evaluation was 0.990 6, while in filed evaluation was 0.832 9, and the
difference between them was significant (Z = 4.50, P < 0.05). CONCLUSIONS: The
diagnosis value of IHA in field evaluation is less than that in laboratory. In
the process of the elimination of schistosomiasis, developing a new and higher
sensitive reagent in schistosomiasis diagnosis is needed.
PMID- 29376277
TI - [Molecular structure and alternative splicing analysis of heat shock factors of
Schistosoma japonicum].
AB - OBJECTIVE: To clone and identify the heat shock factors (HSFs) of Schistosoma
japonicum and analyze its molecular structure and alternative splicing pattern.
METHODS: The New Zealand rabbits were infected with the cercariae of Schistosoma
japonicum and were killed and dissected 42 days post-infection, and the adult
worms of S. japonicum and the livers of the rabbits were harvested. Then, the
total RNA was extracted by using Trizol reagent. The Sj-hsf open reading frame
(ORF) and the alternative splicing fragments were amplified by RT-PCR from the
female, male and egg samples, then cloned and verified by enzyme digestion and
sequencing. DNAMAN 8.0, InterPro, Mega 6 combined with the Internet databases
were utilized to clarify the gene structure, functional domains, alternative
splicing pattern, and the homology and phylogenetic tree of HSFs. RESULTS: Sj-hsf
ORF and the alternative splicing fragments were amplified from the female, male
and egg samples of S. japonicum by RT-PCR. After cloning, the positive
recombinant plasmids pBSjHSFf-F, pBSjHSFf-M, pBSjHSFf-E containing Sj-hsf ORF,
pBSjHSFs-F, pBSjHSFs-M, pBSjHSFs-E with Sj-hsf alternative splicing fragments
were identified by enzyme digestion and sequencing. Three alternative splicing Sj
hsf isoforms were observed through sequence analysis: Sj-hsf-isoform1 (2 050 bp),
Sj-hsf -isoform2 (2 086 bp) and Sj - hsf -isoform3 (2 111 bp); the GenBank
accession numbers were KU954546, KX119143 and KX119144, respectively. All the
three isoforms located in the same Contig SJC_S000780 of S. japonicum genome and
all expressed at female, male and egg stages, but Sj-hsf-isoform1 with a high
level expression. Sj-HSF-isoform1 (671 aa) and Sj-HSF-isoform2 (683 aa) had DBD
(DNA binding domain), HR-A/B and HR-C domains, while Sj-HSF-isoform3 (282 aa)
stopped in advance without HR-C domain. Phylogenetic tree analysis of HSFs
illustrated that Sj - HSFs belonged to HSF1 family, with a close phylogenetic
relationship to Sm-HSFs. CONCLUSIONS: There are three alternative splicing
isoforms of Sj-HSF existing in the female, male and egg stages of S. japonicum,
but Sj-HSF-isoform1 expresses in a high-level. This study lays the foundation for
further study on molecular mechanisms of Sj-HSFs in regulating the heat shock
response system.
PMID- 29376278
TI - [Enzyme kinetic analysis of Oncomelania hupensis exposed to active ingredient of
Buddleja lindleyana (AIBL)].
AB - OBJECTIVE: To analyze the enzyme kinetics of active ingredient of Buddleja
lindleyana (AIBL) against Oncomelania hupensis, the intermediate host of
Schistosoma japonicum. METHODS: O. hupensis snails were placed in 1 000 ml of
3.55 mg/L AIBL solution for 24, 48 h and 72 h, respectively, and the enzyme
kinetics of alanine aminotransferase (GPT) was determined by Reitman-Frankel
assay, lactate dehydrogenase (LDH) by the chemical inhibition lactic acid
substrate method, alkaline phosphatase (AKP) by the disodium phenyl phosphate
colorimetric method, acetylcholine esterase (AChE) and malate dehydrogenas (MDH)
by ELISA, and succinate dehydrogenase (SDH) by the phenazine methyl sulfate
reaction method (PMS) in the soft tissues of O. hupensis before and after AIBL
treatment. RESULTS: Following exposure to 3.55 mg/L AIBL solution for 24 h, the
GPT, LDH, and AKP activities significantly improved in the soft tissues of O.
hupensis, while the SDH and MDH activities were significantly lowered in the head
foot and liver. However, AIBL treatment did not cause significant effect on AChE
activity in O. hupensis. CONCLUSIONS: AIBL causes significant damages to O.
hupensis liver and can efficiently act on anaerobic and aerobic respiration loci,
which will hinder energy metabolism, and cause inadequate energy supply in cells
used for normal secretion, eventually leading to O. hupensis death.
PMID- 29376279
TI - [Analysis of malaria epidemic situation and control in Hubei Province from 1974
to 2015].
AB - OBJECTIVE: To analyze the malaria control measures and epidemic trend in Hubei
Province from 1974 to 2015, so as to provide the evidence for malaria elimination
path analysis. METHODS: The malaria control data in Hubei Province from 1974 to
2015 were collected and analyzed retrospectively by descriptive epidemiological
methods. RESULTS: The epidemic process of malaria in Hubei Province was divided
into four stages. From 1974 to 1979, it was high prevalence state of malaria, and
the average annual incidence was 174.47/10 000. From 1980 to 1999, the main
control strategies were to control the infection source and mosquitoes, and the
average annual incidence was 17.30/10 000, significantly downward. From 2000 to
2009, through the surveillance of infection sources and controlling malaria
outbreaks and strengthening the floating population management, the average
annual incidence was 0.42/10 000. After 2010, followed by the elimination phase
of malaria, the incidence continued to decline. In 2013, there was no local
infection for the first time. The difference of average annual incidence among
above-mentioned stages was statistically significant (chi2 = 1 254.36, P < 0.05).
CONCLUSIONS: The malaria epidemic process in Hubei Provincial experienced the
high epidemic stage, sharply drop stage, low incidence phase and the elimination
phase. However, the natural factors affecting malaria still exist. Therefore,
strengthening the control of imported malaria and surveillance should be the main
task in the process of eliminating malaria in the future.
PMID- 29376280
TI - [Epidemiological analysis of malaria prevalence in Sichuan Province, 2012-2014].
AB - OBJECTIVE: To understand the characteristics of malaria prevalence in Sichuan
Province from 2012 to 2014, so as to provide evidences for improving the work of
malaria elimination. METHODS: The epidemiological data of malaria cases in
Sichuan Province were collected from the Disease Surveillance Information
Reporting System of Chinese Center for Disease Control and Prevention, and
analyzed with the descriptive epidemiological method. RESULTS: A total of 690
imported malaria cases were reported in Sichuan Province from 2012 to 2014. In
detail, 54.49% (376/690) were falciparum malaria cases, 42.03% (290/690) were
vivax malaria cases, 0.58% (4/690) were malariae malaria cases, 1.88% (13/690)
were ovale malaria cases, and 1.01% (7/690) were mixed infection cases with
Plasmodium vivax and P. falciparum. Among them, five cases died. Totally 671
malaria cases were infected overseas, accounting for 97.25% of the total cases.
Among which, 517 patients returned from Africa and 138 patients returned from
Southeast Asia. There were malaria cases reported in the whole year, and 185
cases were reported in May and June, accounting for 26.81% of the total cases.
The cases distributed in 20 prefecture-level cities in the whole province, and
there were 137 and 105 ones reported in Guangan and Chengdu, respectively, which
accounted for 35.07% of the total cases. CONCLUSIONS: The number of patients with
overseas imported malaria in Sichuan Province increases year by year, and
therefore effective measures should be carried out to control the overseas
imported malaria.
PMID- 29376281
TI - [Analysis of highly cited papers related to malaria in Chinese journals from 2006
to 2013].
AB - OBJECTIVE: To analyze the highly cited malaria papers published in Chinese
journals from 2006 to 2013, so as to provide the evidence for formulating the
plan of selecting topics to the journal editors. METHODS: The published articles
related to malaria included in CNKI and Wanfang medical network from 2006 to 2013
were collected, and the highly cited papers were selected according to the
citation frequency calculated by Price's formula. Then the characteristics of the
highly cited papers were analyzed. RESULTS: From 2006 to 2013, a total of 1 976
published papers related to malaria were searched in Chinese journals and 98
papers of them were selected as highly cited papers. In the highly cited papers,
18 papers were published in China Tropical Medicine, and 16 and 15 papers were
published in Chinese Journal of Parasitology and Parasitic Diseases and Chinese
Journal of Schistosomiasis Control, respectively; and original articles accounted
for 42.86%; the first authors of these papers were from 44 institutions, and
40.91% of them were from centers for disease control and prevention (CDCs); a
percentage of 22.45% of the highly cited papers received fund programs, and most
of them were national or provincial funds. The research hotspots were focused on
the epidemiology and control, and epidemic situation of malaria. CONCLUSIONS: The
highly cited papers related to malaria are mainly from CDCs and research
institutions, and the related journals could use this information to chose topics
and solicit contributions to improve their influence.
PMID- 29376283
TI - [Analysis of polymorphism of Pfhrp2 gene in Plasmodium falciparum from falciparum
malaria patients in Yunnan Province].
AB - OBJECTIVE: To analyze the polymorphism of histidine rich protein 2 (HRP II) gene
in Plasmodium falciparum (Pfhrp2) from falciparum malaria patients in Yunnan
Province, so as to lay the foundation for studying the defection of antigen genes
of Plasmodium. METHODS: The filter paper blood samples and related information of
falciparum malaria cases reported were obtained in Yunnan Province from August
2012 to September 2015. Under the guidance of the specific primers, the exon2
regions in Pfhrp2 gene in P. falciparum from DNA samples were amplified by PCR,
and the PCR products were sequenced. The sequences of exon2 region in Pfhrp2 gene
were blasted by comparing with the reference sequences AY816237, AY816240, and
AY816301. Next, the polymorphism of the sequence in exon2 region of Pfhrp2 gene
was analyzed by MEGA 5.04 software. The conserved sites and genetic distances
between sequences were calculated by using the software as well, and the
clustering tree was drawn according to the genetic distances between the amino
acid sequences. RESULTS: A total of 218 bloods samples from the falciparum
malaria cases in 15 prefectures of Yunnan Province were collected, and the
sources of infection included Yunnan, Africa and Myanmar. The PCR results showed
that the exon2 regions in Pfhrp2 genes of 155 samples were positive by
amplification and their products were sequenced successfully. The sequence
analysis showed that the length range of the amino acid residues of exon2 region
in Pfhrp2 gene was from 115 aa to 298 aa, the average length was 239.7 aa. There
was no statistically significance among the means of the amino acid residues of
the isolates from Africa (239.9 aa), Myanmar (239.5 aa) and Yunnan (241.6 aa) (F
= 0.025, P > 0.05). All the 155 amino acid sequences ended with type 12 repeat,
98.1% (152/155) of them started with type 1 repeat and 1.9% (3/155) of them
started with type 2. Type 2 presented most frequently repeat in all the sequences
and the average repeat times were 12.9. The homologous locus of the DNA sequences
in exon2 regions of the 155 Pfhrp2 genes was 894 bp, among which the conservative
sites accounted for 20.6% (186/894), and the variable sites for 78.2% (699/ 894).
The genetic distances between the sequences of Africa isolates ranged from 0 to
0.741, and those of the Myanmar and Yunnan isolates were 0-0.948 and 0-0.750,
respectively. The cluster analysis showed that all the 155 sequences clustered
into 3 categories on genetic distances between amino acid sequences according to
the size of the amino acid sequence length. At the same level, the sequences had
approximate lengths and amino acid repeat types. CONCLUSIONS: The sequence of
exon2 region in Pfhrp2 gene of P. falciparum from falciparum malaria cases in
Yunnan Province is highly polymorphic, the P. falciparum isolates are clustered
mainly according to the size of the amino acid sequence of exon2 region in Pfhrp2
gene.
PMID- 29376282
TI - [Multiple insecticide resistances and kdr mutation of Culex pipiens pallens in
north-central Anhui Province].
AB - OBJECTIVE: To understand the status of resistance to multiple insecticides and
the frequencies of kdr mutations in Culex pipiens pallens from north-central
Anhui Province. METHODS: From July to September, 2014, the C. pipiens pallens
mosquito larvae were collected in Huaibei, Bengbu and Chuzhou cities of the north
central Anhui Province and reared to adults. The female adult mosquitoes at 3-5
days post emergence were tested for susceptibility to the four insecticides,
namely 0.05% deltamethrin, 5% malathion, 0.1% bendiocarb and 4% DDT, by using the
standard WHO resistance tube bioassay. The detection of the point mutations of
the kdr gene at codon 1014 was conducted by PCR and DNA sequencing in the
deltamethrin-resistant and -susceptible mosquitoes. RESULTS: High levels of
resistance to all the four insecticides were found in all the three tested
populations, although mosquito mortality varied among populations and test
insecticides. Among the test insecticides, DDT showed lowest mortality with no
significant difference (F = 1.027, P > 0.05) in all test populations, whereas
significantly different mortalities were observed among populations for the
remained three insecticides tested (deltamethrin, malathion, and bendiocarb) (F =
23.823, 33.955, 128.841; all P < 0.01). Two types of non-synonymous kdr mutation
at codon position 1014 (L1014F and L1014S) were observed. A positive correlation
between L1014F mutation frequencies and deltamethrin resistance levels were
detected in the three mosquito populations (r2 = 0.718, P < 0.01). CONCLUSIONS:
The observed high levels of resistance to multiple-insecticides coupled with the
occurrence of medium to high kdr frequencies in populations of C. pipiens pallens
could profoundly affect the mosquito vector control programme in China. The local
health departments need to strengthen vector dynamic monitoring and implement
rational resistance management strategies.
PMID- 29376284
TI - [Investigation on epidemic foci of paragonimiasis in eastern part of Zhenghe
County, Fujian Province].
AB - OBJECTIVE: To investigate the species and hosts of Paragonimus and its infection
rate in eastern part of Zhenghe County, Fujian Province, so as to determine the
local foci of Paragonimus. METHODS: The snails, crabs and stools of wild cats
were collected for the examinations of cercariae, metacercariae and eggs of
Paragonimus. The geographical and environmental conditions of the areas were also
investigated. RESULTS: A total of 4 890 Pseudobythinella jianouensis snails and 1
035 Semisulcospira liberlina snails were examined, and the cercariae of
Paragonimus were only found in P. jianouensis, with an infection rate of 0.10%
(5/4 890). Bottapotamon zhengheensis sp. nov. as the second intermediate host of
P. skrjabini, were examined, and the infection rate was 85.29% (29/34) and the
average numbers of metacercariae per crab and per gram of crab tissues were 3.85
and 0.62, respectively. Thirty-six Sinopotamun fujianensis crabs, as the second
intermediate host of P. westermani, were examined, and the infection rate was
38.89% (14/36) and the average numbers of metacercariae per crab and per gram of
crab tissues were 6.43 and 0.03, respectively. The eggs of Paragonimus were
detected in 1 of 2 muck specimens of wild cats. CONCLUSIONS: The data suggest
that there is a focus of middle-to-high level of infection caused by P.
westermani and P. skrjabini in the eastern part of Zhenghe County.
PMID- 29376285
TI - [Population dynamics and spatial distribution pattern of Aleuroglyphus ovatus].
AB - OBJECTIVE: To characterize the population dynamics and spatial distribution of
Aleuroglyphus ovatus in the flour warehouse, so as to provide the basic evidence
for improving the sampling guidelines that are essential for effective pest
monitoring and management. METHODS: The samples from flour warehouses of four
localities were collected, examined and counted for A. ovatus in every month in
Wuhu City. The dispersion pattern target, Iwao m*/x regression analysis and
Taylor power method were used for analyzing the spatial distribution pattern of
A. ovatus in the flour warehouses. RESULTS: The peaks of population dynamics of
A. ovatus were discovered in July and September, respectively. The indexes of
dispersion were as follows:I > 0, CA > 0, m*/x > 1. At the same time, the
parameters in the equation of Iwao:m*=5.471+1.022 x (r = 0.999) and Taylor: lgS2
= 0.697+1.111 lg x (r = 0.987) showed that the spatial distribution pattern of A.
ovatus was assembled. CONCLUSIONS: The peaks of population dynamics of A. ovatus
in the flour warehouse are bimodal pattern, and the spatial distribution pattern
of A. ovatus is assembled.
PMID- 29376287
TI - [Investigation on Cheyletoidea mites breeding in culture environment of
Eupolyphaga sinensis and morphologic observation of Eucheyletia reticulate
Cunliffe].
AB - OBJECTIVE: To investigate the species of Cheyletoidea mites breeding in the
culture environment of Eupolyphaga sinensis and to observe the morphology of
Eucheyletia reticulata Cunliffe. METHODS: The soil samples from an E. sinensis
farm in northern Anhui were collected. The mites in the soil samples were
separated directly under a microscope and the glass specimens were made to
observe the morphological feature of the mites under a light microscope, then the
mites species were identified and classified based on the morphological
characteristics. RESULTS: In the culture soil of E. sinensis, totally 7 kinds of
Cheyletoidea mites were isolated, namely Eucheyletia reticulata Cunliffe,
Cheyletus eruditus Schrank, Cheyletus malaccensis Oudemans, Cheyletus troussarti
Oudemans, Cheyletus aveisor Rohdendorz, Acaropsis sollers Rohdendorz and
Cheletomorpha lepidopterorum Shaw. They belonged to genera Eucheyletia,
Cheletomorpha, Acaropsis and Cheyletus of Cheyletidae Leach family. The
Eucheyletia reticulata Cunliffe was firstly found in the culture environment of
E. sinensis, and its gnathosoma was large, the pedipalpal femurs were expanding
and there were two strips of comb hair and two smooth bristles on the pedipalpal
tarsus, and the back of the body was covered with two pieces of tergum, which
were decorated with reticular pattern. The body and foot setae were fan-shape.
CONCLUSIONS: There are various of Cheyletoidea mites found in the breeding
environment of E. sinensis. These mites are important species for pest control in
the culture environments of E. sinensis. Related measures should be taken to
prevent the excessive growth of Cheyletoidea mites, so as to avoid the adverse
effects on the quality and quantity of E. sinensis.
PMID- 29376286
TI - [Analysis of soil components along water channel of east route of South-to-North
Water Diversion Project].
AB - OBJECTIVE: To explore the relationship between the soil components along the
areas of the east route of the Southto-North Water Diversion Project and the
survival situation of Oncomelania hupensis snails. METHODS: Four study sites were
selected along the project, and soil samples were collected to analyze the
components. The amounts of components among the different study sites were
compared statistically. RESULTS: Except zinc, sodium, and phosphorus, the
contents of the soil components where the snails survived were different from
those where snails were dead (t = -19.150 to 12.810, all P < 0.01). However, the
logistic regression analysis did not obtain a model with statistical
significance. CONCLUSIONS: O. hupensis snails live in the soil with adequate
organic matter and neutral pH value. Along with the global warming and the
operation of the South-toNorth Water Diversion Project, O. hupensis snails may
survive in the northern area, and therefore, the surveillance work should be
strengthened.
PMID- 29376288
TI - [Risk factors of Schistosoma japonicum infection in Xingzi County].
AB - OBJECTIVE: To explore the risk factors of Schistosoma japonicum infection in the
residents in Xingzi County, Jiangxi Province. METHODS: Six administrative
villages from different areas were randomly selected by the cluster sampling
method as the study sites in Xingzi Country in 2013, and all the residents aged 5
years or above were investigated epidemiologically, and the schistosome infection
was surveyed by Kato-Katz technique. The risk factors of schistosomiasis were
analyzed by using the Chi-square test analysis and multivariate Logistic
regression model. RESULTS: In 2013, there were 2 050 residents received the stool
examination and 146 persons were positive, the schistosome infection rate was
7.1%. The Chi-square test showed that gender, age, occupation and education level
were associated with the population infection rate (chi2 = 26.485,16.836,25.700,
90.805, all P < 0.05). The multivariate Logistic regression mode showed that the
probability of schistosomiasis for the male was 3.041 times as much as that for
the female; the probability of schistosomiasis for the illiteracy and primary
education level crowd was 8.870 times as much as that for the college degree or
above crowed; the probability of schistosomiasis for the junior middle school
education level crowd was 5.598 times as much as that for the college degree or
above crowed; the probability of schistosomiasis for the high school education
level crowd was 2.995 times as much as that for the college degree or above
crowed; the probability of infection of fishermen was the highest, which was
3.053 times as much as that for the other professional crowds. CONCLUSIONS: The
risk factors of schistosome infection mainly include gender, occupation and the
education level. We should strengthen the health education of schistosomiasis
control, protection against the infested water contact, and so on.
PMID- 29376289
TI - [Surveillance of schistosomiasis in Hubei Province in 2014].
AB - OBJECTIVE: To analyze the surveillance results of schistosomiasis and understand
the transmission situation in Hubei Province in 2014. METHODS: According to the
Scheme of Schistosomiasis Surveillance in Hubei Province (the 2009 edition), 207
endemic sites were selected, where the schistosome infections of residents and
livestock, and the distribution of Oncomelania hupensis snails were investigated.
RESULTS: In the 207 sites, there were 27 sites without positive results of the
blood tests and 129 sites without positive results of the stool tests. The
infection rates of residents and cattle were 0.17% and 0, respectively. The
density of living snails was 0.339 3 snails/0.1m2, the rate of living snails was
10.12%, and the infection rate of snails was 0. The infection rate of residents
in the inner embankment type was 0.22%, higher than the rates in the other types.
In different age groups, the infection rate in the 50-59 years age group was
higher than the rates in other age groups. The infection rates of residents in
farmer and fisherman were higher than those in the other occupation groups. The
infection rate of floating people was 0, and no acute schistosomiasis patients
were found. CONCLUSIONS: The endemic situation of schistosomiasis has decreased
obviously in Hubei Province, and the whole province has achieved the criteria for
transmission control of schistosomiasis.
PMID- 29376290
TI - [Analysis of malaria epidemic situation in Changzhou City from 2010 to 2014].
AB - OBJECTIVE: To grasp the malaria epidemic situation in Changzhou City from 2010 to
2014, so as to provide the evidence for formulating and adjusting malaria control
strategies and measures. METHODS: The epidemiological data of malaria cases in
the special report system from 2010 to 2014 were collected, and the malaria
epidemic situation and the diagnosis and treatment of malaria patients were
analyzed. RESULTS: There were 132 malaria cases reported in Changzhou City from
2010 to 2014, with a decrease of 27.47% compared to 182 cases from 2005 to 2009.
Among the 132 cases, 2 cases were local infected cases and the remaining 130
cases were imported cases. Four cases were clinically diagnosed and 128 cases
were laboratory confirmed, with 97 cases of malignant malaria, 14 cases of vivax
malaria, 13 cases of ovale malaria, and 4 cases of quartan malaria. The 132 cases
were distributed in five districts:56 cases in Jintan City, 51 cases in Liyang
City, 19 cases in Tianning District, 3 cases in Wujin District, and 3 cases in
Xinbei District. The median duration between the onset and treatment of the
disease was 2 days, and the median duration between the diagnosis and treatment
was 1 day. The diagnosing units were concentrated on the county-level disease
control agencies, and medical institutions at county and municipal levels,
accounting for 92.42% (122/132). From 2011 to 2014, there were no local malaria
cases. CONCLUSIONS: From 2012 to 2014, there have been no local malaria cases in
Changzhou City, and the goal of eliminating malaria has been achieved basically.
However, there are still many imported malaria cases, and the infected species
are diverse. Therefore, imported malaria is still the key of malaria prevention
and control.
PMID- 29376291
TI - [Investigation and analysis of overseas imported malaria prevalence in Henan
Province from 2012 to 2014].
AB - OBJECTIVE: To understand the epidemic characteristics of imported malaria in
Henan Province from 2012 to 2014, so as to provide the evidence for proposing the
reasonable suggestions for imported malaria prevention and control. METHODS: The
data of imported malaria were collected and the epidemic characteristics were
analyzed in Henan Province from 2012 to 2014. RESULTS: A total of 569 imported
malaria cases were reported in Henan Province from 2012 to 2014, including 445
cases of falciparum malaria, 48 cases of vivax malaria, 61 cases of ovale
malaria, 14 cases of quartan malaria, and 1 case of mixed infection. All the
malaria cases were confirmed in laboratory. During the three years, the time of
higher incidence was in Spring Festival, and May and June, and the cases mainly
distributed in Luoyang, Zhengzhou, Puyang, Xinxiang, Anyang, Xuchang and Nanyang
cities, accounting for 68.54%. Totally 82.43% of the patients were male youth and
middle-aged. Of the 569 patients, 534 (93.85%) returned from Africa and 35
(6.15%) returned from Southeast Asian countries. The average time was 6.22 d and
the median was 4 d from the onset to diagnosis. Among 569 cases, 290 (50.97%)
were confirmed by different levels of centers for disease prevention and control,
and 279 (49.03%) were diagnosed by different levels of medical institutions.
CONCLUSIONS: The imported malaria cases have been increased obviously in recent 3
years in Henan Province. Therefore, the health education for the knowledge about
malaria prevention and control, and the technician training should be
strengthened.
PMID- 29376292
TI - [Analysis of malaria epidemic situation in Pingguo County, Guangxi Zhuang
Autonomous Region from 1951 to 2014].
AB - OBJECTIVE: To explore the law and distribution characteristics of malaria
prevalence in Pingguo County, Guangxi Zhuang Autonomous Region, so as to provide
the evidence for formulating the targeted control strategy and measures after
malaria elimination. METHODS: The data of malaria epidemic situation in Pingguo
County from 1951 to 2014 were collected and analyzed with the retrospective
epidemiological method. RESULTS: From 1951 to 2014, there were 71 365 malaria
cases reported in the county. The malaria incidence dropped from 429.43/10 000 in
1960 to 0 in 1993. Since 2002, there was no local endogenous infection case
reported. In 1993, this county achieved the standard of malaria elimination
basically, and in 2014, passed the examination and evaluation of malaria
elimination. CONCLUSIONS: In Pingguo County, the comprehensive malarial control
strategy is effective in different stages. In the future, the monitoring of
mobile population and dealing with imported malaria cases timely is the key of
consolidation of malaria control achievements.
PMID- 29376293
TI - [Epidemiological situation of human parasitic diseases through three
investigations in Jinhu County, Jiangsu Province].
AB - OBJECTIVE: To understand the epidemiological situation of human parasitic
diseases in Jinhu County, so as to provide the evidence for formulating further
control strategy. METHODS: The investigated local residents were sampled by the
random cluster sampling method in 1989, 1999 and 2015. The infections of
intestinal helminthes were detected by Kato-Katz technique, the eggs of
Enterubius vermicularis were examined by cellophane anal swab for children, the
intestinal protozoa were examined by the saline smear and iodine staining
methods. RESULTS: The total rates of parasitic infections were 62.57%, 9.32% and
0.49% in 1989, 1999 and 2015, respectively. Compared to those in 1989 and in
1999, the infection rate in 2015 was decreased by 99.22% and 94.74%,
respectively. The numbers of detected parasite species were 14, 10 and 4 in 1989,
1999 and 2015, respectively. The intensities of infections were mainly mild in
three investigations, and the intensities of all the infections in 2015 were
mild. The species of infected parasites were mainly single, however, multiple
infections were observed in 1989, including 4 parasite species (0.72%) and 3
parasite species (7.02%). Only in 1989, the difference between sexes was
significant and the infection rate of the female was higher than that of the male
(chi2 =18.01, P<0.01). CONCLUSIONS: The infection rates of human parasites are
decreased gradually and stabilized at the low level in Jinhu County. However, the
surveillance work still should be strengthened to consolidate the achievement.
PMID- 29376294
TI - [Study on Histiostomas apromyzarum breeding in traditional Chinese medicine
Rhizoma bletillae].
AB - OBJECTIVE: To investigate the Histiostomas apromyzarum breeding in traditional
Chinese medicine Rhizoma Bletillae. METHODS: The scurf and dust of R. bletillae
were obtained by brushing, and the mites samples were separated and identified.
RESULTS: Totally 520 g samples were collected, and 56 adult mites (female-male
ratio 0.12) and 18 dormant bodies were obtained from the samples, and they were
identified as H. apromyzarum with an average breeding density of 0.14/g.
CONCLUSIONS: H. apromyzarum could breed on the traditional Chinese medicine R.
bletillae, and the corresponding control measures should be strengthened.
PMID- 29376295
TI - [Status and challenges for schistosomiasis in transmission-interrupted areas in
Jiangxi Province].
AB - This report analyzes the status of schistosomiasis epidemic, surveillance and
challenges in transmission-interrupted areas of Jiangxi Province. There are 24
schistosomiasis transmission interrupted counties (cities, districts) among 39
endemic counties (cities, districts). Among the 24 counties, there are 6 counties
with Oncomelania hupensis snails and 461 villages endemic, with 1.29 million
residents. Since 2009, there have not been acute and chronic cases of
schistosomiasis and all the patients are historical advanced schistosomiasis
cases. The area with snail habitats is 37.02 hm2. Since 2015, the schistosomiasis
surveillance has covered the entire transmission interrupted areas. However,
there are still many challenges, such as endemic instability, weak surveillance
capacity, lack of surveillance awareness and other issues. Towards the
schistosomiasis elimination, the surveillance should be based on the snail
monitoring mainly, supplemented by the mobile population monitoring, and in
addition, the capacity building, standardized report of endemic, and snail
habitat reform should also be further strengthened.
PMID- 29376296
TI - [Analysis of demands of African students for China-Africa malaria prevention
training].
AB - OBJECTIVE: To understand the demands of African students on the China-Africa
malaria prevention training programs as well as explore further suggestions on
the student selection and course content design. METHODS: A self-administered
questionnaire survey was conducted, and all the students who attended in the
malaria prevention training courses in 2014 and 2015 were included. The Chi
square test was conducted to analyse the correlations between professional
backgrounds, work statues and training needs. RESULTS: A total of 161 individuals
were sampled eventually. These participants were trained in either English
(58.4%) or French (41.6%). Most of the participants were male (69.3%), the major
of them were mainly clinical technology specialty (40.0%), and most of them
worked in malaria area within 10 years (56.4%). Moreover, 48.2% of the
participants used more than 76% of total work time on malaria control, and more
than 80% worked in national or provincial/municipal level. The working areas of
these participants were focused on clinical field (41.4%) and official field
(29.9%), and only a few of them were from research positions (11.9%). The most
needed course content in malaria training was strategy and epidemiology knowledge
for malaria prevention and control (65.5%), while clinical workers were most
needed to be trained (39.2%). The participants who came from French speaking
countries preferred strategy training (chi2 = 12.528, P < 0.01), and those worked
in the national level were aslo more likely to choose strategies training course
(chi2 = 10.508, P < 0.05). CONCLUSIONS: Currently, the China-Africa malaria
prevention training programs could basically satisfy African students'needs.
However, more aimed courses should be designed according to their professional
backgrounds, national situation, work experiences on malaria control, and
institutional levels.
PMID- 29376297
TI - [Epidemiological analysis of imported malaria in Hailing District, Taizhou City
from 2010 to 2015].
AB - OBJECTIVE: To investigate the epidemiological characteristics of imported malaria
in Hailing District, Taizhou City, so as to provide the evidence for formulating
the effective prevention and control strategy. METHODS: The network reports,
questionnaires and epidemic reports of imported malaria cases in Hailing District
from 2010 to 2015 were collected and analyzed retrospectively. RESULTS: From 2010
to 2015, totally 46 cases of imported malaria were reported, including 40 cases
of falciparum malaria (86.96%), 4 cases of ovale malaria (8.70%), 1 case of
quartan malaria (2.17%) and 1 case of multi-infection of falciparum malaria and
tertian malaria (2.17%). No seasonal trend of time of the disease onset was
observed. All the patients were return abroad with clear residence histories in
malaria epidemic areas. The average time from disease onset to definite diagnosis
was 2 d and 8 patients were definitely diagnosed within 24 h. All the 46 patients
were cured. CONCLUSIONS: All the malaria cases reported were imported cases in
Hailing District in the last five years. Effective prevention and control
measures are the key to the malaria elimination.
PMID- 29376298
TI - [Quality analysis of malaria blood smears of fever patients in Changzhou City in
2014].
AB - OBJECTIVE: To understand the quality of blood smears for Plasmodium detection in
Changzhou City, so as to provide the technical support for malaria surveillance
in the post-elimination period. METHODS: The blood smears of fever patients from
each cities (districts) in Changzhou City in 2014, including more than 3% of the
negatives and all the positives, were selected. The quality of the blood smear
making, dyeing, cleanliness and results were reviewed by the experts from the
municipal malaria microscopy station, and the data were analyzed statistically.
RESULTS: Totally 996 negative blood smears were reviewed in 2014, with a review
rate of 4.52%. The qualified rates of blood smear making, dyeing and cleanliness
were 92.87%, 93.27% and 94.48%, respectively. A total of 34 positive blood smears
were reviewed, and there were no false detections and leak detections. The
qualified rates of blood smear making and dyeing were more than 90% in all the
seven cities (districts). As for qualified rate of cleanliness, except the rate
in Qishuyan District (81.36%), those in the other six cities (districts) were all
above 90%. The qualified rates of blood smear making, dyeing and cleanliness were
all more than 90% in first-class, second-class and third-class hospitals. The
major quality defects of the blood smears were sediment, abnormal making and
thick membrane shedding, and the proportions were 25.91%, 21.76% and 19.17%,
respectively. CONCLUSIONS: The quality of blood smears for Plasmodium detection
in Changzhou City is satisfying. In the future, the training and supervision
still should be strengthened to guarantee the capacity of microscopic
examinations for Plasmodium detection in the surveillance of post-elimination
period.
PMID- 29376299
TI - [Clinical characteristics of 96 patients with advanced schistosomiasis in
Yingcheng City].
AB - OBJECTIVE: To understand the clinical characteristics of patients with advanced
schistosomiasis in Yingcheng City, so as to provide evidences for the assistance
work of advanced schistosomiasis. METHODS: The clinical data of all the advanced
schistosomiasis patients in Yingcheng City were collected and analyzed. RESULTS:
Up to December 30, 2014, there were 96 advanced schistosomiasis patients in
Yingcheng City, among which, 68 cases (70.8%) were male, 28 cases (29.2%) were
female, and their average age was 64.2 years. Most of the patients (90.6%) were
ascitic type, followed by splenomegaly type (5.2%), colon proliferation type
(2.1%), and dwarf type (2.1%). Totally 48 cases (50.0%) were positive in
immunological detections, among which 8 cases (8.3%) were positive in ELISA and
12 cases (12.5%) were positive in IHA. For all the 4 indexes of hepatic fibrosis
(HA, LN, CIV and PCIII), only 15 cases (15.6%) were normal. CONCLUSIONS: Though
Yingcheng City has reached the criteria of transmission control, the hepatic
fibrosis of some patients continues to progress. Therefore, the intensity of the
treatment and assistance could not be weakened, especially for those of the
ascitic type patients.
PMID- 29376300
TI - [Diagnosis and treatment of imported African schistosomiasis].
AB - This paper summarizes the clinical diagnosis and treatment of imported African
schistosomiasis, in order to make the therapeutic standards. Imported African
schistosomiasis includes mainly schistosomiasis haematobia and schistosomiasis
mansoni in China. In order to set up the operational standards, enhance
diagnostic and cure rates, and reduce the complications, we review the related
literature combined with our experience over years, and summarize, in this paper,
the pathogenic mechanism, and key points of clinical diagnosis and treatment of
schistosomiasis haematobia and schistosomiasis mansoni, so as to provide the
reference for clinical doctors.
PMID- 29376301
TI - [Laboratory analysis of the first case of imported oval malaria in Rizhao City].
AB - OBJECTIVE: To diagnose the first imported case of Plasmodium ovale infection by
laboratory detection. METHODS: The epidemiological data and blood samples of the
case were collected, and the samples were detected by the microscopic
examination, rapid diagnostic test (RDT) and nested PCR. RESULTS: The patient was
a construction worker backing from Congo, Africa. He experienced the symptoms of
irregular fever and weakness one month after returning in Lingyang Town, Junxian
County. The results of RDT only suggested no-Plasmodium falciparum infection.
Under the microscope, it was seen that the infected RBC were obviously disfigured
and in irregular shape, the ring forms were thick and big, and also thick
granulas in big trophozoite stage and schizont stage were found. The results of
PCR showed that the size of amplified product was about 800 bp, which was
conformed to that of P. ovale. CONCLUSIONS: Though microscopic examination is the
golden standard for malaria diagnosis, as P. ovale is difficult to be identified
under microscope, the microscopic method combined with PCR test can be used for
definite diagnosis.
PMID- 29376302
TI - [One hepatic echinococcosis patient complicated with systemic sclerosis].
AB - This article reports one hepatic echinococcosis patient complicated with systemic
sclerosis. His clinical manifestations were the progressive fibrosis of the skin,
sour regurgitation, and belching. The blood examination showed that eosinophils
was reduced, and antinuclear antibody (ANA) was positive at 1:100 in cytoplasm
particle type. He was given prednisone acetate 25 mg, q. d., aspirin 100 mg, q.
d., centella triterpenes cream 12 mg t. i. d., esomeprazole 40 mg q. d., and
domperidone 10 mg t. i. d. After one week, the Rodnan skin score reduced from 27
to 17. The liver hydatid cyst resection was performed, and the follow-up showed
that his clinical manifestations improved and the Rodnan skin score reduced
further.
PMID- 29376303
TI - [Current trends in nutrigenomics of obesity].
AB - One of the most general chronic illness in the world is obesity, which lead to
progression of cardiovascular diseases, diabetes mellitus type 2, metabolic
syndrome and other diseases. Slow body weight gain, that leads to overweight, is
a long-term aftereffect of a long-term positive energy balance, which occurs as a
result of physical activity reduction and calorie intake increasing. Trend in the
reduction of physical activity and increasing the caloric value of food intake is
probably the main reason of increasing patients with obesity, but it's necessary
to mention that this tendency occurs because of genetic variation in population.
The volume of scientific information, relevant to the problem of genetic
predisposition testing to obesity, is highly increasing. This article provides an
overview of recent data on the genetics of obesity and the role of genetic
testing of candidate genes polymorphisms, as well as genes associated with
carbohydrate and lipid metabolism disorders (FTO, ADRB2, ADRB3, PPARG and a
number of others). The role of nutrigenomics in personalization of diet treatment
for obesity.
PMID- 29376304
TI - [Comparative characteristics of in vivo models of hyperlipidemia in Wistar rats
and C57Bl/6 mice].
AB - In vivo simulation of lipid disorders (hyperlipidemia, obesity, metabolic
syndrome, atherosclerosis) is of considerable interest to search for genomic,
transcriptomic and metabolomic markers that allow for differential diagnosis,
prognosis and selection of personalized diet therapy in patients with such
pathology. The aim of the study was the development and characterization of basic
biochemical parameters of in vivo models of alimentary hyperlipidemia in outbred
rats and inbred mice. The experiment was con-ducted on 48 growing female Wistar
rats, and 48 growing female mice of line C57Black/6, which were divided into 12
groups of 8 animals per group. Within 63 days the rats and mice of first
(control) group received a balanced semi synthetic diet (BD), the animals of the
second groups - high-fat diet (HFD) with 30% of the total fat by weight of dry
feed, third groups - BD and fructose solution (Fr) instead of water, the fourth
groups -HFD + Fr, fifth groups - BD supplemented with 0.5% cholesterol (Cho) by
weight of dry feed, sixth groups - BD with Cho and Fr. The amount and composition
of diets consumed were corrected during the experiment for their closest approach
in calories. After removal of animals from the experiment there were determined
the mass of internal organs, HDL, LDL, total cholesterol, triglycerides, glucose
in blood plasma, total lipids and their fatty acid composition in liver, ghrelin,
GIP, GLP-1, glucagon, leptin, PAI-1, resistin levels in blood plasma. It was
found that in both species the liver is the most sensitive to nutritional
imbalance, nutrient exerting the greatest impact on this was Fr. In rats, as
compared to mice, there was significantly more pronounced shifts in lipoprotein
spectrum in response to nutritional imbalances, especially to the consumption of
additional Cho, which was manifested in an increase of LDL, decrease of HDL and
magnification of atherogenic index. In the liver of rats fed diets with Cho,
marked steatosis developed manifested in a disproportionate increase in the lipid
content and accompanied by changes in their fatty acid composition, especially in
the ratio omega6 to omega3 PUFAs. Changing of hormones - regula-tors of
carbohydrate metabolism (GLP, glucagon) and ghrelin was significantly greater in
mice than in rats as a result of consumption of additional Fr. Effect had the
opposite direction in two species of Cho and Fr combining on leptin levels. The
significance is dis-cussed of the revealed interspecies differences in the light
of the characteristics of lipid and glucose metabolism in these two lines of
animals that are the most common models of alimentary-dependent diseases.
PMID- 29376305
TI - [State of homeostasis under administration of bear fat in rats with exogenous and
endogenous thrombinemia].
AB - In experimental studies on 448 rats treated with bear fat diet (0.08 ml/100 g
body weight), the nature and mechanisms of influence of this additive on the
process of blood coagulation in experimental thromboplastinemia of different
origin has been studied. As a result of intravenous injection in the jugular vein
of a suspension of thrombin (exog-enous thrombinemia) all clothingsee tests
lengthened in the control animals (p<0.05): prothrombin time by 11.1%, activated
partial thromboplastin time - by 13.4%, thrombin time by 16.8%. Fibrinogen fell
by 1.9 fold, that was accompanied by increase of the level of soluble fibrin
monomer complexes and reduce of activity of antithrombin III by 20.2%. At the
same time severe thrombocytopenia developed with a relative increase in the num
ber of activated forms (by 73.1%). Consumption coagulopathy was also observed in
rats treated with bear fat, but the potential of hemostatic cascade and
anticoagulation system remained high (judging by the tests PTV, thrombin time and
content of antithrombin III). Under endogenous thromboplastinemia caused by
combined stress (hypothermia + physi-cal activity) in animals of the control
group on the background of the shortening of the APTT (by 24.9%) and PTV (16.8%),
RCMP concentration increased by 52% and activity of antithrombin III increased
compensatory. There was an increase of platelet count, due to the activated
forms. To 3 h signs of hypocoagulation aggravated even more. In animals treated
with bear fat, the results of clothing tests did not differ from the original
figures, and by 3 h, the majority of the indicators have reached their original
values. The increase in platelet count has not been observed.
PMID- 29376306
TI - [Influence of linseed oil and deiterium depleted water on isotopic D/H
composition and functional antioxidant defense of the hepatobiliary system in
rabbits with carbon tetrachloride intoxication].
AB - The article presents results of studying the influence of linseed oil and
drinking diet with a modified isotopic composition with low deuterium content on
indicators of prooxidant-antioxidant system during modeling of liver toxicity.
The research was performed on 36 rabbits (weighing 3.1-3.5 kg) which were divided
into 4 groups. Group 1 consisted of control animals; in group 2, 3 and 4 in
rabbits the liver toxicity was modeled by administration of CCl4
(intraperitoneally, in the form of a 50% oil solution, 1 ml per kg bw, 2 times a
week for 30 days); nutritional correction using flaxseed oil (0.1 ml per 100 g
bw) and drinking diet with deuterium depleted water (50 ppm) was carried out in
animals from groups 3 and 4 respectively, for 30 days prior to simulation of
toxic hepatitis and more throughout the experiment. Using the method of nuclear
magnetic resonance and mass spectrometry the influence of deuterium depleted
water on D/H composition of the blood plasma, bile and liver tissues was
determined: the deuterium concentration in these biological materials significant
decreased. The most significant decrease in the deuterium content (30.2% compared
with the control group) was found in bloodplasma in animals from group 4. The
study of the state of prooxidant-antioxidant balance of the liver and bile showed
oxidative stress at the local level, with the toxic effects of carbon
tetrachloride. This was followed by EPR spectroscopy data pronounced increase of
the number of paramagnetic centers in the hepatocytes by 5.4, 1.9 and 2.8 fold in
animals of 2, 3 and 4 groups, respectively (compared to the indicators of the
first group). There was also increase in the intensity of free radical oxidation
processes in the bile with a simultaneous reduction of its antioxidant activity,
which was significantly less distinct (on average 51.18-59.8%, p<0.05) in animals
treated with nutritional correction, indicating that higher functional activity
of protective systems involved in recycling prooxidant factors using dietary
lipophilic antioxidants and water with low deuterium content. Overall, the
results of the present study indicate that existing in the liver and bile
autonomous mechanisms of regulation of the state of prooxidant-antioxidant
systems are quite sensitive to the effects of antioxidant factors of lipophilic
nature and shifts of isotopic D/H gradient, and suggest usefulness of the
products that can affect these indicators to increase adaptive capabilities of
the organism during intoxication.
PMID- 29376307
TI - [Evaluation of antioxidant properties of enriched bakery products in experiment
on laboratory animals].
AB - The purpose was to study the effect of enriched bakery products in the diet of
rats on indicators of prooxidant-antioxidant system of blood serum. Experiment
was carried out on male Wistar rats with initial weight 140-180 g. After a
quarantine during the preparatory period rats for 14 days were accustomed to the
partial (50%) replacement of the standard diet by bakery products with standard
compound-ing. Then, 7 groups of rats were formed: the 1st group of rats (control
group, n=10) continued to receive bakery products of a standard composition;
groups with the 2nd on 7th (experimental, n=8 in everyone) received enriched
bakery products: the 2nd group - with blueberry powder; the 3rd group - with
mountain ash powder; the 4th group - with sea-buckthorn powder; the 5th group -
with flour of a pine nut; the 6th group - with rice bran oil; the 7th group -
with pumpkin oil. The intensity of free radical oxidation and antioxidant
activity (by chemiluminescence method), activity of superoxide dismutase and
level of secondary oxidation products reacted with thiobarbituric acid (by
spectrophotometry) were monitored in rat blood serum. It has been shown that the
use of bakery products with different compounding in the animal diet had
different effects on indicators of prooxidant-antioxidant system of blood serum.
Bakery products containing sea buckthorn pomace powder, flour of pine nut and
rice bran oil reduced intensity of free radical oxidation in rat blood serum by
36.0, 24.6 and 18.8%, respectively. It is suggested that bakery products
containing flour of pine nut products brake a free radical oxidation in rat blood
serum in case of simultaneous content of natural antioxidants and melanoidins.
The anthocyanins of powder from blueberry berries can render antioxidant effect
and slow down formation of by-products of oxidation. No statistically significant
change on indicators of prooxidant-antioxidant system of blood serum of rats
treated with bakery products with rowan powder or pumpkin oil was found, that may
be due to animal refusal to eat such products.
PMID- 29376308
TI - [The study of physiological effect of fruit and vegetable powders in animal
experiment].
AB - The results of the study of the mineral and vitamin composition of fruit and
vegetable powders, as well as their influence on the clinical and physiological
parameters in laboratory animals (body weight, behavior patterns, functional
state of the cardiovascular and nervous systems, general clinical and biochemical
parameters of blood) were obtained. The study was performed on white Wistar rats
initial body weight 190+/-20 g that were previously kept in quarantine for 5
days. One control group and six experimental groups (6 animals in each) were
formed. Within 28 days animals from experimental groups were administered the
diet supplemented with fruit or vegetable powder (carrot, squash, beet, apples,
cabbage, pumpkin) in an amount of 3% by weight of the feed. When carrot powder
was consumed, amplification processes of catabolism of proteins, fats and
carbohydrates, as evidenced by an increase in blood levels of bilirubin, activity
of alkaline phosphatase, GGT, LDH and significant reduction of cholesterol and
triglyceride level occurred. In animals that received zucchini powder, body
weight increased by 15.6% compared to the initial, as well as the activation of
the immune response, enhance of carbohydrate metabolism (urea level and AST
activity decreased under normal blood level of total protein, albumin fraction,
bilirubin, creatinine, and LDH and ALT activity) and fat metabolism (cholesterol
and triglyceride level reducing) was observed. Consumption of beet powder caused
an increase in the number of red blood cells and platelets, elevated protein and
fat metabolism (decrease in albumin, bilirubin, creatinine and urea level at
normal parameters of total protein, glucose, AST activity, marked decrease in the
level of triglycerides and cholesterol), had a stimulating effect on the heart
(blood pressure and pulse rate increased). Consumption of apple powder caused the
activation of the immune response, improved blood formation, activated energy
metabolism (decrease in creatinine, urea, albumin, bilirubin, cholesterol and
triglycerides level, AST, ALT and alkaline phosphatase activity), slowed heart
rate and had a hypotensive effect. After uptake of cabbage powder, weight gain by
21.7% from the initial weight, increase in alkaline phosphatase and GTT activity,
activation of protein metabolism (decrease in the number of total protein,
albumin, bilirubin level) has been revealed. In the study of orienting-motor
functions it was found that physical activity and "mink" reflex increased in this
animals as well as swimming time before exhaustion raised by 27.1% compared to
control animals. Body weight increased mostly pronounced (by 29.0% of the
original) when pumpkin powder was consumed. Addition of pumkin powder contributed
to the activation of blood immune system; protein metabolism increase (raise of
total protein and urea, reduction in bilirubin level, AST activity); the duration
of swimming to exhaustion increased by 37.9%, compared with the control group. No
any negative deviation was found in the state of animals under administration of
investigated plant powders.
PMID- 29376309
TI - [Investigation of the effect of oil and flour from wheat germ meal on lipid
metabolism of students and teachers of the university].
AB - The results of investigation of alimentary correction of lipid metabolism under
the admin-istration of processed products from wheat germ - oil (with the content
of policosanol at least 1.5-8.0 mg/100 g, vitamin E - 180-200 mg/100 g, PUFA - 60
65%) and cake flour (with the content of protein - 30-35%, oil with analogue
composition -5-7%, digestible carbohydrates - 45-47%, fiber - 18-26%, vitamins
B1, B3, B6, B9, E, PP, minerals and trace elements - Zn, Mn, K, Fe, Se, P) are
presented. Volunteers among teachers and students of the university aged 16 to 65
years daily consumed wheat germ oil obtained by cold pressing in an amount of 3.5
g, regardless of the meal within 30 days. Then a part of them (30 persons)
consumed daily 50 g of oil cake obtained after pressing oil, which provided the
intake of the same amount of oil (3.5 g). Lipid metabolism param-eters were
monitored in experiment participants before receiving the processed products of
wheat germ, after germ meal intake and beyond 30 and 60 days after consumption of
wheat germ. Data analysis was carried out on three age groups: 16-24, 25-44 and
45- 65 years. All participants of the experiment showed a reduction in total
cholesterol level by 6-8%, increasing the concentration of HDL cholesterol by 3
24%, lowering LDL cholesterol concentrations by 4-21%, reduction of triglyceride
concentration by 12-24%, a positive correction of atherogenic factor values by
for 10-25%. Prolonged action of the investigated foods was established: lipid
metabolism parameters in the tested group were better than in the control group
after 30 days of intake discontinuation of oil or wheat germ flour, the positive
adjustment effect disappeared 60 days after consuming the products. The findings
demonstrate a positive effect on the normalization of lipid metabolism when cake
flour of wheat germ was administered in daily food ration, similar to the effect
of oil intake, which is important for the prevention of cardiovascular diseases
and atherosclerosis. Given the significant production of cake flour of wheat germ
(up to 90-95% of the raw material) and its not high cost as a secondary
biological resource, this product can be recommended to the introduction in the
diet of organized groups, including socially vulnerable groups.
PMID- 29376310
TI - Modification of vitamin-mineral diet composition as a model of adaptive potential
reducing in laboratory animals.
AB - This publication presents the results of research that was aimed at elaboration
of adaptive potential reducing model, intended for toxicological experiments. Two
series of research (with a duration of 70 days each) were conducted on Wistar
rats. In the 1st series five groups of animals received diets with 100, 75,50,25
and 0% of vitamins B1, B2, B3, B6 and minerals(Fe3+ and Mg2+); in the 2nd series
four groups of animals received diets with 21.37, 9.94, 4.62, 2.15% of this
vitamins and minerals. In the 1st series of studies the intervals of maximum,
medium and minimum content of essential nutrients in the diet was established; in
the 2nd series the range of the lowest possible concentrations of these elements
that provided the lowest level of adaptive potential and not causing the
pathology development was determined. The certain set of hematological,
biochemical, immunological and other indicators were investigated, this article
analyzes the results of zoometric studies, mortality of animals, as well as the
results of antioxidant status (activity of superoxide dismutase, catalase,
glutathione peroxidase, glutathione reductase and malondialdehyde content in red
blood cells) studies. Based on the evaluation of the data which were obtained in
the 1st series, it follows that a dose reduction of relevant essential nutrients
to 25% didn't significantly affect the values of the studied indicators, and the
complete elimination of these substances resulted in massive death of animals. In
the 2nd series a significant differences between the groups were observed from
the range of increased mortality (groups with 2.15 and 4.62% content of essential
nutrients) to the range of deviations from central tendency of some parameters
(group with 21.37% content). The data allowed to trace the dependence of these
differences on the levels of vitamins and minerals in the diet. The results were
used to determine threshold values of vitamins and minerals that provided the
necessary reduction of the adaptive potential level in male and female rats.
Taking into account the risk of pathology development, three dosages of essential
substances have been established - optimal, marginal and submarginal, which
provide consistent decline of adaptive potential of laboratory animals: 75, 30
and 19% for males and 75, 28 and 18% for females, respectively. The modification
of vitamin and mineral composition of the diet can be used as a model of adaptive
potential reduce in toxicological research.
PMID- 29376311
TI - Influence of nanosized amorphous silica on assimilation of vitamins B1, B2 and B6
in rats.
AB - Amorphous silica (SiO2) in the form of nanoparticles (NPs) is widely used as a
food additive E551 in many enriched foods and food supplements. The aim of this
study was to evaluate the effect of oral administration of SiO2 NPs on
assimilation and metabolism of vitamins B1, B2 and B6 in laboratory rats.
Amorphous SiO2 "Orisil-300 (r)" was used with the size of the primary NPs 20-60
nm according to the electronic, atomic force microscopy and dynamic light
scattering. The experiment was conducted on 8 groups of growing male Wistar rats
(with initial body weight 70-80g) number, respectively, 7, 7, 10, 10, 12, 12, 14
and 16 animals. Animals of the 1st, 3rd, 4th and 5th groups received through-out
the experiment balanced semi-synthetic diet. Animals of the 2nd group received a
diet depleted of vitamins B1, B2 and B6 until day 21; animals of the 6th, 7th and
8th groups -the same diet from the 1st to the 21th day, and then, before the
closure of the experiment, the diet provided with the indicated B vitamins at
100% of normal level. From day 22 of experiment and until the end at day 29 the
animals of the 3rd and 6th groups received deionized water (placebo) through
intragastric gavage; rat of the 4th and 7th groups -aqueous suspension of SiO2
dose of 1 mg/kg body weight /day, and the 5th and 8th group -100 mg/kg/day.
Urinary excretion of thiamine, riboflavin, 4-pyridoxilic acid and liver and brain
content of vitamins B1 and B2 (after acid and enzyme hydrolysis) were deter-mined
by fluorimetric methods. It was found that rats in group 2 lagged in weight gain
at day 21 significantly compared to group 1, and developed a marked deficiency of
vitamins B1, B2 and B6 according to studied safety parameters. In groups from 6
to 8 at day 29 par-tial recovery was achieved in vitamin status. Administration
of SiO2 to animal of groups 4 and 5, with normal consumption of B vitamins, had
no significant effect on any param-eters of vitamin status in comparison to group
3. However, intragastric administration of SiO2 led in animals of groups 7 and 8
to an increase in the urinary excretion of vitamins B1 and B2 and lowering of
their content in liver as compared to group 6. Administration of SiO2 had no
effect on indices of vitamin B6 sufficiency. Possible reasons are discussed for
the adverse lowering impact of SiO2 NPs on the availability of vitamins B1 and B2
and their increased clearance from the body.
PMID- 29376312
TI - Optical Anapole Metamaterial.
AB - The toroidal dipole is a localized electromagnetic excitation independent from
the familiar magnetic and electric dipoles. It corresponds to currents flowing
along minor loops of a torus. Interference of radiating induced toroidal and
electric dipoles leads to anapole, a nonradiating charge-current configuration.
Interactions of induced toroidal dipoles with electromagnetic waves have recently
been observed in artificial media at microwave, terahertz, and optical
frequencies. Here, we demonstrate a quasi-planar plasmonic metamaterial, a
combination of dumbbell aperture and vertical split-ring resonator, that exhibits
transverse toroidal moment and resonant anapole behavior in the optical part of
the spectrum upon excitation with a normally incident electromagnetic wave. Our
results prove experimentally that toroidal modes and anapole modes can provide
distinct and physically significant contributions to the absorption and
dispersion of slabs of matter in the optical part of the spectrum in conventional
transmission and reflection experiments.
PMID- 29376313
TI - Laser Tuning in van der Waals Crystals.
AB - The great progress that optoelectronic technologies have achieved in van der
Waals crystals promises the development of next-generation two-dimensional (2D)
integrated optoelectronic systems (IOSs). Here, relying on the anomalous
avoidance of pump-light absorption, the harvest of extremely strong Raman
scattering, and the achievement of polarization inheritance by the designed
optical geometry, we realized laser wavelength tuning with ultrahigh precision
(0.01 nm/25 K) in layered MoS2 and WS2 by adjusting the temperature. Our results
offer a potential approach for 2D laser tuning, while also building a substantial
theoretical foundation, which might be of use in developing future wavelength
division-multiplexing technology in 2D IOSs.
PMID- 29376314
TI - High Anisotropy in Tubular Layered Exfoliated KP15.
AB - Two-dimensional (2D) materials with high anisotropic properties, such as black
phosphorus and ReS2, show amazing potential for applications in future
nanoelectronic and optoelectronic devices. However, degradation of black
phosphorus under ambient conditions and the expensiveness of Re block their
application. In this study, another layered material, KP15, that has highly
anisotropic properties was successfully prepared. The detailed crystal structure
and electron-density distribution calculation reveal that KP15 exhibits an
anisotropic layered structure with two rows of P tubes connected by K atoms that
are antiparallel in a single layer. Outstanding chemical stability, angular
dependence of the Raman response, excitation, and exciton emission at room
temperature have been found in exfoliated KP15 nanoribbons. Importantly, the
exciton emission at room temperature suggests the existence of a large exciton
binding energy. Our results indicate that, because this layered material, KP15,
has high anisotropic properties and ultrachemical stability and is derived from
abundant raw materials, it has great potential for applications in optoelectronic
devices.
PMID- 29376315
TI - Sensitive Monitoring of Enterobacterial Contamination of Food Using Self
Propelled Janus Microsensors.
AB - Food poisoning caused by bacteria is a major cause of disease and death
worldwide. Herein we describe the use of Janus micromotors as mobile sensors for
the detection of toxins released by enterobacteria as indicators of food
contamination. The micromotors are prepared by a Pickering emulsion approach and
rely on the simultaneous encapsulation of platinum nanoparticles for enhanced
bubble-propulsion and receptor-functionalized quantum dots (QDs) for selective
binding with the 3-deoxy-d-manno-oct-2-ulosonic acid target in the endotoxin
molecule. Lipopolysaccharides (LPS) from Salmonella enterica were used as target
endotoxins, which upon interaction with the QDs induce a rapid quenching of the
native fluorescence of the micromotors in a concentration-dependent manner. The
micromotor assay can readily detect concentrations as low as 0.07 ng mL-1 of
endotoxin, which is far below the level considered toxic to humans (275 MUg mL
1). Micromotors have been successfully applied for the detection of Salmonella
toxin in food samples in 15 min compared with several hours required by the
existing Gold Standard method. Such ultrafast and reliable approach holds
considerable promise for food contamination screening while awaiting the results
of bacterial cultures in a myriad of food safety and security defense
applications.
PMID- 29376316
TI - Understanding Excess Emissions from Industrial Facilities: Evidence from Texas.
AB - We analyze excess emissions from industrial facilities in Texas using data from
the Texas Commission on Environmental Quality. Emissions are characterized as
excess if they are beyond a facility's permitted levels and if they occur during
startups, shutdowns, or malfunctions. We provide summary data on both the
pollutants most often emitted as excess emissions and the industrial sectors and
facilities responsible for those emissions. Excess emissions often represent a
substantial share of a facility's routine (or permitted) emissions. We find that
while excess emissions events are frequent, the majority of excess emissions are
emitted by the largest events. That is, the sum of emissions in the 96-100th
percentile is often several orders of magnitude larger than the remaining excess
emissions (i.e., the sum of emissions below the 95th percentile). Thus, the
majority of events emit a small amount of pollution relative to the total amount
emitted. In addition, a small group of high emitting facilities in the most
polluting industrial sectors are responsible for the vast majority of excess
emissions. Using an integrated assessment model, we estimate that the health
damages in Texas from excess emissions are approximately $150 million annually.
PMID- 29376317
TI - Imaging Artifacts in Continuous Scanning 2D LA-ICPMS Imaging Due to
Nonsynchronization Issues.
AB - Pulsed laser ablation (LA) devices in laser ablation inductively coupled plasma
mass spectrometry (LA-ICPMS) imaging have become very advanced, delivering laser
pulses with high temporal accuracy and stable energy density. However,
unintentional imaging artifacts may be generated in 2D element maps when the LA
repetition rate and the data acquisition parameters of ICPMS instruments with a
sequential mass spectrometer (i.e., quadrupole filter or sector-field mass
spectrometer) are desynchronized. This may potentially lead to interference
patterns, visible as ripples in elemental images, and thus, compromised image
quality. This paper describes the background of aliasing in continuous scanning
mode through simulation experiments and ways to modulate the effect. The
existence of this image degradation source is demonstrated experimentally via
real-life imaging of a homogeneous glass standard.
PMID- 29376318
TI - Antibiotic Resistance ABC-F Proteins: Bringing Target Protection into the
Limelight.
AB - Members of the ATP-binding cassette (ABC)-F protein subfamily collectively
mediate resistance to a broader range of clinically important antibiotic classes
than any other group of resistance proteins and are widespread in pathogenic
bacteria. Following over 25 years' of controversy regarding the mechanism by
which these proteins work, it has recently been established that they provide
antibiotic resistance through the previously recognized but underappreciated
phenomenon of target protection; they bind to the ribosome to effect the release
of ribosome-targeted antibiotics, thereby rescuing the translation apparatus from
antibiotic-mediated inhibition. Here we review the ABC-F resistance proteins with
an emphasis on their mechanism of action, first exploring the history of the
debate about how these proteins work and outlining our current state of knowledge
and then considering key questions to be addressed in understanding the molecular
detail of their function.
PMID- 29376319
TI - Multifunctional Nanoflowers for Simultaneous Multimodal Imaging and High
Sensitivity Chemo-Photothermal Treatment.
AB - Liver cancer is currently among the most challenging cancers to diagnose and
treat. It is of prime importance to minimize the side effects on healthy tissues
and reduce drug resistance for precise diagnoses and effective treatment of liver
cancer. Herein, we report a facile but high-yield approach to fabricate a
multifunctional nanomaterial through the loading of chitosan and metformin on Mn
doped Fe3O4@MoS2 nanoflowers. Mn-doped Fe3O4 cores are used as simultaneous T1/T2
magnetic resonance imaging (MRI) agents for sensitive and accurate cancer
diagnosis, while MoS2 nanosheets are used as effective near-infrared photothermal
conversion agents for potential photothermal therapy. The surface-functionalized
chitosan was able not only to improve the dispersibility of Mn-doped Fe3O4@MoS2
nanoflowers in biofluids and increase their biocompatibility, but also to
significantly enhance the photothermal effect. Furthermore, metformin loading led
to high suppression and eradication of hepatoma cells when photothermally
sensitized, but exhibited negligible effects on normal liver cells. Due to its
excellent combination of T1/T2 MRI properties with sensitive chemotherapeutic and
photothermal effects, our study highlights the promise of developing
multifunctional nanomaterials for accurate multimodal imaging-guided, and highly
sensitive therapy of liver cancer.
PMID- 29376320
TI - Unique Conformation in a Natural Interruption Sequence of Type XIX Collagen
Revealed by Its High-Resolution Crystal Structure.
AB - Naturally occurring interruptions in nonfibrillar collagen play key roles in
molecular flexibility, collagen degradation, and ligand binding. The structural
feature of the interruption sequences and the molecular basis for their functions
have not been well studied. Here, we focused on a G5G type natural interruption
sequence G-POALO-G from human type XIX collagen, a homotrimer collagen, as this
sequence possesses distinct properties compared with those of a pathological
similar Gly mutation sequence in collagen mimic peptides. We determined the
crystal structures of the host-guest peptide (GPO)3-GPOALO-(GPO)4 to 1.03 A
resolution in two crystal forms. In these structures, the interruption zone
brings localized disruptions to the triple helix and introduces a light 6-8
degrees bend with the same directional preference to the whole molecule, which
may correspond structurally to the first physiological kink site in type XIX
collagen. Furthermore, at the G5G interruption site, the presence of Ala and Leu
residues, both with free N-H groups, allows the formation of more direct and
water-mediated interchain hydrogen bonds than in the related Gly -> Ala
structure. These could partly explain the difference in thermal stability between
the different interruptions. In addition, our structures provide a detailed view
of the dynamic property of such an interrupted zone with respect to hydrogen
bonding topology, torsion angles, and helical parameters. Our results, for the
first time, also identified the binding of zinc to the end of the triple helix.
These findings will shed light on how the interruption sequence influences the
conformation of the collagen molecule and provide a structural basis for further
functional studies.
PMID- 29376321
TI - Assessing Soil Contamination Due to Oil and Gas Production Using Vegetation
Hyperspectral Reflectance.
AB - The remote assessment of soil contamination remains difficult in vegetated areas.
Recent advances in hyperspectral spectroscopy suggest making use of plant
reflectance to monitor oil and gas leakage from industrial facilities. However,
knowledge about plant response to oil contamination is still limited, so only
very few imaging applications are possible at this stage. We therefore conducted
a greenhouse experiment on three species long-term exposed to either oil
contaminated or water-deficient soils. Reflectance measurements were regularly
performed at leaf and plant scale over 61 days of exposure. Results showed an
increase of reflectance in the visible (VIS), the red-edge and the short-wave
infrared (SWIR) under both oil and water-deficit stress exposure. A contrasted
response in the near-infrared (NIR) was also observed among species. Spectra
underwent transformations to discriminate species' responses to the different
treatments using linear discriminant analysis (LDA) with a stepwise procedure.
Original and transformed spectra enabled to discriminate the plants' responses to
the different treatments without confusion after 61 days. The discriminating
wavelengths were consistent with the spectral differences observed. These results
suggest differential changes in plant pigments, structure and water content as a
response to various stressors, and open up promising perspectives for airborne
and satellite applications.
PMID- 29376322
TI - Self-Assembled Peptide-Lanthanide Nanoclusters for Safe Tumor Therapy: Overcoming
and Utilizing Biological Barriers to Peptide Drug Delivery.
AB - Developing a sophisticated nanomedicine platform to deliver therapeutics
effectively and safely into tumor/cancer cells remains challenging in the field
of nanomedicine. In particular, reliable peptide drug delivery systems capable of
overcoming biological barriers are still lacking. Here, we developed a simple,
rapid, and robust strategy to manufacture nanoclusters of ~90 nm in diameter that
are self-assembled from lanthanide-doped nanoparticles (5 nm), two anticancer
peptides with different targets (BIM and PMI), and one cyclic peptide iNGR
targeted to cancer cells. The peptide-lanthanide nanoclusters (LDC-PMI-BIM-iNGR)
enhanced the resistance of peptide drugs to proteolysis, disassembled in response
to reductive conditions that are present in the tumor microenvironment and
inhibited cancer cell growth in vitro and in vivo. Notably, LDC-PMI-BIM-iNGR
exhibited extremely low systemic toxicity and side effects in vivo. Thus, the
peptide-lanthanide nanocluster may serve as an ideal multifunctional platform for
safe, targeted, and efficient peptide drug delivery in cancer therapy.
PMID- 29376323
TI - In Situ Monitoring of Pb2+ Leaching from the Galvanic Joint Surface in a Prepared
Chlorinated Drinking Water.
AB - A novel method using a micro-ion-selective electrode (micro-ISE) technique was
developed for in situ lead monitoring at the water-metal interface of a brass
leaded solder galvanic joint in a prepared chlorinated drinking water
environment. The developed lead micro-ISE (100 MUm tip diameter) showed excellent
performance toward soluble lead (Pb2+) with sensitivity of 22.2 +/- 0.5 mV decade
1 and limit of detection (LOD) of 1.22 * 10-6 M (0.25 mg L-1). The response time
was less than 10 s with a working pH range of 2.0-7.0. Using the lead micro-ISE,
lead concentration microprofiles were measured from the bulk to the metal surface
(within 50 MUm) over time. Combined with two-dimensional (2D) pH mapping, this
work clearly demonstrated that Pb2+ ions build-up across the lead anode surface
was substantial, nonuniform, and dependent on local surface pH. A large pH
gradient (DeltapH = 6.0) developed across the brass and leaded-tin solder joint
coupon. Local pH decreases were observed above the leaded solder to a pH as low
as 4.0, indicating it was anodic relative to the brass. The low pH above the
leaded solder supported elevated lead levels where even small local pH
differences of 0.6 units (DeltapH = 0.6) resulted in about four times higher
surface lead concentrations (42.9 vs 11.6 mg L-1) and 5 times higher fluxes (18.5
* 10-6 vs 3.5 * 10-6 mg cm-2 s-1). Continuous surface lead leaching monitoring
was also conducted for 16 h.
PMID- 29376324
TI - Turning the Spotlight on Lipids in Non-Apoptotic Cell Death.
AB - Although apoptosis has long dominated the spotlight, studies in the past two
decades have expanded the repertoire of programmed cell death (PCD). Several
forms of non-apoptotic regulated cell death have been identified, with important
links to organismal homeostasis and different disease pathologies. Necroptosis,
ferroptosis, pyroptosis, and NETosis are the major forms of PCD that have
attracted attention. Clear biochemical distinctions differentiate these forms of
non-apoptotic PCD at the protein and membrane levels. For instance, pore
formation at the plasma membrane is a hallmark of necroptosis and pyroptosis;
however, different proteins facilitate pore formation in these processes. Here,
we will highlight the role of lipids in different forms of non-apoptotic PCD. In
particular, we discuss how lipids can trigger or facilitate the membrane-related
changes that result in cell death. We also highlight the use of small molecules
in elucidating the mechanisms of non-apoptotic PCD and the potential of lipid
biosynthetic pathways to perturb these processes for therapeutic applications as
a future avenue of research.
PMID- 29376325
TI - Carboxyl-Photo-Reactive MS-Cleavable Cross-Linkers: Unveiling a Hidden Aspect of
Diazirine-Based Reagents.
AB - A major challenge in cross-linking/mass spectrometry (MS) is targeting carboxyl
functions in proteins under physiological conditions that do not disturb the
protein's conformation. Cross-linking of glutamic acid and aspartic acid residues
in proteins will greatly expand the scope of structural mass spectrometry. We
discovered that carboxyl-reactive cross-linkers have already been employed for
many years in cross-linking/MS studies, yet in a completely different context.
Diazirine-based cross-linkers, such as photomethionine and succinimidyldiazirine
cross-linkers, are currently considered to react nonspecifically upon UV-A
photoactivation with all 20 proteinogenic amino acids through a reactive carbene
that inserts mainly into C-H bonds. We discovered that the cross-linking
capability of diazirines based on X-H (X = C, N, O) insertion is in fact only the
tip of the iceberg. Diazirines isomerize to linear diazo compounds that can react
with carboxylic acids to yield esters. On top of that, the resulting cross-linked
products are MS-cleavable allowing an automated analysis of cross-links via
customized software tools. Therefore, diazirines open an entirely new route for
photo-cross-linking of carboxylic acids. Previous cross-linking studies using
diazirines have to be revisited in the light of these findings.
PMID- 29376327
TI - Highly Efficient and Stable Strain-Release Radioiodination for Thiol
Chemoselective Bioconjugation.
AB - We report a novel thiol selective radioiodination method based on strain-release
reaction. A new heterobifunctional radioiodination agent which has very good
thiol selectivity and excellent stability with high radiolabeling yield was
synthesized, characterized, and applied successfully for thiol-contained peptide
labeling.
PMID- 29376328
TI - Influences of Temperature and Metal on Subcritical Hydrothermal Liquefaction of
Hyperaccumulator: Implications for the Recycling of Hazardous Hyperaccumulators.
AB - Waste Sedum plumbizincicola, a zinc (Zn) hyperaccumulator during
phytoremediation, was recycled via a subcritical hydrothermal liquefaction (HTL)
reaction into multiple streams of products, including hydrochar, bio-oil, and
carboxylic acids. Results show approximately 90% of Zn was released from the S.
plumbizincicola biomass during HTL at an optimized temperature of 220 degrees C,
and the release risk was mitigated via HTL reaction for hydrochar production. The
low-Zn hydrochar (~200 mg/kg compared to original plant of 1558 mg/kg) was
further upgraded into porous carbon (PC) with high porosity (930 m2/g) and
excellent capability of carbon dioxide (CO2) capture (3 mmol/g). The porosity,
micropore structure, and graphitization degree of PCs were manipulated by the
thermal recalcitrance of hydrochar. More importantly, results showed that the
released Zn2+ could effectively promote the production of acetic acid via the
oxidation of furfural (FF) and 5-(hydroxymethyl)-furfural (HMF). Fourier
transform ion cyclotron resonance mass spectrometry (FT-ICR MS) with negative
electrospray ionization analysis confirmed the deoxygenation and depolymerization
reactions and the production of long chain fatty acids during HTL reaction of S.
plumbizincicola. This work provides a new path for the recycling of waste
hyperaccumulator biomass into value-added products.
PMID- 29376326
TI - Design and Application of Sensors for Chemical Cytometry.
AB - The bulk cell population response to a stimulus, be it a growth factor or a
cytotoxic agent, neglects the cell-to-cell variability that can serve as a friend
or as a foe in human biology. Biochemical variations among closely related cells
furnish the basis for the adaptability of the immune system but also act as the
root cause of resistance to chemotherapy by tumors. Consequently, the ability to
probe for the presence of key biochemical variables at the single-cell level is
now recognized to be of significant biological and biomedical impact. Chemical
cytometry has emerged as an ultrasensitive single-cell platform with the
flexibility to measure an array of cellular components, ranging from metabolite
concentrations to enzyme activities. We briefly review the various chemical
cytometry strategies, including recent advances in reporter design, probe and
metabolite separation, and detection instrumentation. We also describe strategies
for improving intracellular delivery, biochemical specificity, metabolic
stability, and detection sensitivity of probes. Recent applications of these
strategies to small molecules, lipids, proteins, and other analytes are
discussed. Finally, we assess the current scope and limitations of chemical
cytometry and discuss areas for future development to meet the needs of single
cell research.
PMID- 29376329
TI - Polyethylene Glycol-Engrafted Graphene Oxide as Biocompatible Materials for
Peptide Nucleic Acid Delivery into Cells.
AB - Graphene oxide (GO) is known to strongly bind single-stranded nucleic acids with
fluorescence quenching near the GO surface. However, GO exhibits weak
biocompatibility characteristics, such as low dispersibility in cell culture
media and significant cytotoxicity. To improve dispersibility in cell culture
media and cell viability of GO, we prepared nanosized GO (nGO) constructs and
modified the nGO surface using polyethylene glycol (PEG-nGO). Single-stranded
peptide nucleic acid (PNA) was adsorbed onto the PEG-nGO and was readily desorbed
by adding complementary RNA or under low pH conditions. PNA adsorbed on the PEG
nGO was efficiently delivered into lung cancer cells via endocytosis without
affecting cell viability. Furthermore, antisense PNA delivered using PEG-nGO
effectively downregulated the expression of the target gene in cancer cells. Our
results suggest that PEG-nGO is a biocompatible carrier useful for PNA delivery
into cells and serves as a promising gene delivery tool.
PMID- 29376330
TI - Self-Formed Hybrid Interphase Layer on Lithium Metal for High-Performance Lithium
Sulfur Batteries.
AB - Lithium-sulfur (Li-S) batteries are promising candidates for high-energy storage
devices due to high theoretical capacities of both the sulfur cathode and lithium
(Li) metal anode. Considerable efforts have been devoted to improving sulfur
cathodes. However, issues associated with Li anodes, such as low Coulombic
efficiency (CE) and growth of Li dendrites, remain unsolved due to unstable solid
electrolyte interphase (SEI) and lead to poor capacity retention and a short
cycling life of Li-S batteries. In this work, we demonstrate a facile and
effective approach to fabricate a flexible and robust hybrid SEI layer through co
deposition of aromatic-based organosulfides and inorganic Li salts using
poly(sulfur-random-1,3-diisopropenylbenzene) as an additive in an electrolyte.
The aromatic-based organic components with planar backbone conformation and pi-pi
interaction in the SEI layers can improve the toughness and flexibility to
promote stable and high efficient Li deposition/dissolution. The as-formed
durable SEI layer can inhibit dendritic Li growth, enhance Li
deposition/dissolution CE (99.1% over 420 cycles), and in turn enable Li-S
batteries with good cycling stability (1000 cycles) and slow capacity decay. This
work demonstrates a route to address the issues associated with Li metal anodes
and promote the development of high-energy rechargeable Li metal batteries.
PMID- 29376331
TI - Nanoscale Titanium Dioxide (nTiO2) Transport in Natural Sediments: Importance of
Soil Organic Matter and Fe/Al Oxyhydroxides.
AB - Many engineered nanoparticle (ENP) transport experiments use quartz sand as the
transport media; however, sediments are complex in nature, with heterogeneous
compositions that may influence transport. Nanoscale titanium dioxide (nTiO2)
transport in water-saturated columns of quartz sand and variations of a natural
sediment was studied, with the objective of understanding the influence of soil
organic matter (SOM) and Fe/Al-oxyhydroxides and identifying the underlying
mechanisms. Results indicated nTiO2 transport was strongly influenced by pH and
sediment composition. When influent pH was 5, nTiO2 transport was low because
positively charged nTiO2 was attracted to negatively charged minerals and SOM.
nTiO2 transport was slightly enhanced in sediments with sufficient SOM
concentrations due to leached dissolved organic matter (DOM), which adsorbed onto
the nTiO2 surface, reversing the zeta potential to negative. When influent pH was
9, nTiO2 transport was generally high because negatively charged medium repelled
negatively charged nTiO2. However, in sediments with SOM or amorphous Fe/Al
oxyhydroxides depleted, transport was low due to pH buffering by the sediments,
causing attraction between nTiO2 and crystalline Fe oxyhydroxides. This was
counteracted by DOM adsorbing to nTiO2, stabilizing it in suspension. Our
research demonstrates the importance of SOM and Fe/Al oxyhydroxides in governing
ENP transport in natural sediments.
PMID- 29376332
TI - Three-Dimensional Free Chlorine and Monochloramine Biofilm Penetration:
Correlating Penetration with Biofilm Activity and Viability.
AB - Disinfectant biofilm penetration and its effect on biofilm aerobic activity and
viability are still unclear. In this study, free chlorine and monochloramine were
applied until full biofilm penetration occurred, and their effects on biofilm
aerobic activity and viability were investigated in three dimensions throughout
the entire biofilm depth, extending previous work where viability analysis was
limited to the upper biofilm (50 MUm depth), free chlorine penetration did not
reach completion, and only one-dimensional (depth) profiles were obtained. The
free chlorine and monochloramine biofilm concentration profiles were correlated
spatially and temporally with aerobic microbial activity and cell-membrane
integrity based viability using a combination of (1) microelectrode measurements
for disinfectant penetration and (2) LIVE/DEAD BacLight staining, cryo-cross
sectioning, and confocal micrographs analysis for viability measurements
throughout the entire biofilm depth. Compared to monochloramine, free chlorine
penetration (1) was slower, (2) led to a greater decrease in biofilm thickness
from sloughing, and (3) corresponded directly with a viability decrease. In
addition, biofilm heterogeneity led to minor differences in either disinfectant's
biofilm penetration, and prior biofilm exposure to monochloramine provided little
impact to subsequent free chlorine biofilm penetration.
PMID- 29376333
TI - Chemical Analysis of Complex Surface-Adsorbed Molecules and Their Reactions by
Means of Cluster-Induced Desorption/Ionization Mass Spectrometry.
AB - Desorption/ionization induced by neutral SO2 clusters (DINeC) is used for mass
spectrometry (MS) of surface-adsorbed molecules. The method is shown to be a
surface-sensitive analysis tool capable of detecting molecular adsorbates in a
wide range of molecular weights as well as their reactions on surfaces, which are
otherwise difficult to access. Two different surface/adsorbate systems prepared
by means of electrospray ion beam deposition (ES-IBD) were investigated: For the
peptide angiotensin II on gold, intact molecules were desorbed from the surface
when deposited by soft landing ES-IBD. By comparison to the well-controlled
amount of substance deposited by ES-IBD, the sensitivity of DINeC-MS was shown to
be on the order of 0.1% of a monolayer coverage, corresponding to femtomoles of
analyte. Depending on deposition and sample conditions, the original state of
charge of the molecules could be retrieved. Reaction of the adsorbed molecules
both with surface atoms as well as with coadsorbed D2O was monitored. Rhodamine
6G was also desorbed as an intact molecule when deposited with kinetic energies
below 50 eV. For higher deposition energy, fragmentation of the dye molecules was
observed by means of DINeC-MS.
PMID- 29376334
TI - Kinetics of Methylmercury Production Revisited.
AB - Laboratory measurements of the biologically mediated methylation of mercury (Hg)
to the neurotoxin monomethylmercury (MMHg) often exhibit kinetics that are
inconsistent with first-order kinetic models. Using time-resolved measurements of
filter passing Hg and MMHg during methylation/demethylation assays, a multisite
kinetic sorption model, and reanalyses of previous assays, we show that competing
kinetic sorption reactions can lead to time-varying availability and apparent non
first-order kinetics in Hg methylation and MMHg demethylation. The new model
employing a multisite kinetic sorption model for Hg and MMHg can describe the
range of behaviors for time-resolved methylation/demethylation data reported in
the literature including those that exhibit non-first-order kinetics.
Additionally, we show that neglecting competing sorption processes can confound
analyses of methylation/demethylation assays, resulting in rate constant
estimates that are systematically biased low. Simulations of MMHg production and
transport in a hypothetical periphyton biofilm bed illustrate the implications of
our new model and demonstrate that methylmercury production may be significantly
different than projected by single-rate first-order models.
PMID- 29376335
TI - Perfluoroalkyl Acids Including Isomers in Tree Barks from a Chinese
Fluorochemical Manufacturing Park: Implication for Airborne Transportation.
AB - Measurement of airborne perfluoroalkyl acids (PFAAs) is challenging, but
important for understanding their atmospheric transport. Tree bark is good media
for monitoring semivolatile compounds in the atmosphere. Whether it could work as
an indicator of airborne PFAAs was first examined in this study. Bark and leaf
samples collected around a Chinese fluorochemical manufacturing park (FMP) were
analyzed for PFAAs and their branched isomers. Total PFAA concentrations (?PFAAs)
in the bark (mean, 279 ng/g dw) and leaf (250 ng/g dw) samples were comparable.
?PFAAs in the barks collected within the boundaries of the FMP were significantly
(p < 0.05) higher than those outside the FMP, and displayed a decreasing spatial
trend as the distance from the FMP increased. However, such spatial difference
and trend were not observed for the leaves. PFAA compositional profiles in most
of the tree barks were consistent with each other, but different from those in
tree leaves. These results indicated that tree barks mainly accumulated airborne
PFAAs, while uptake from soil and translocation could make partial contribution
to those in leaves. Perfluorooctanoate and perfluorooctanesulfonate in barks had
strictly consistent isomeric compositions with their electrochemical fluorination
products. Overall, these results indicated that the bark could be a good
indicator of airborne PFAAs with respect to their occurrence, isomeric signature,
and atmospheric transport.
PMID- 29376336
TI - Comprehensive Analysis of the Great Lakes Top Predator Fish for Novel Halogenated
Organic Contaminants by GC*GC-HR-ToF Mass Spectrometry.
AB - The U.S. Environmental Protection Agency's Great Lakes Fish Monitoring and
Surveillance Program (GLFMSP) has traced the fate and transport of anthropogenic
chemicals in the Great Lakes region for decades. Isolating and identifying
halogenated species in fish is a major challenge due to the complexity of the
biological matrix. A nontargeted screening methodology was developed and applied
to lake trout using a 2-dimensional gas chromatograph coupled to a high
resolution time-of-flight mass spectrometer (GC*GC-HR-ToF MS). Halogenated
chemicals were identified using a combination of authentic standards and library
spectral matching, with molecular formula estimations provided by exact mass
spectral interpretation. In addition to the halogenated chemicals currently being
targeted by the GLFMSP, more than 60 nontargeted halogenated species were
identified. Most appear to be metabolites or breakdown products of larger
halogenated organics. The most abundant compound class was halomethoxyphenols
accounting for more than 60% of the total concentration of halogenated compounds
in top predator fish from all five Great Lakes illustrating the need and utility
of nontargeted halogenated screening of aquatic systems using this platform.
PMID- 29376337
TI - Increasing Analytical Separation and Duty Cycle with Nonlinear Analytical
Mobility Scan Functions in TIMS-FT-ICR MS.
AB - In this work, nonlinear, stepping analytical mobility scan functions are
implemented to increase the analytical separation and duty cycle during tandem
Trapped Ion Mobility Spectrometry and FT-ICR MS operation. The differences
between linear and stepping scan functions are described based on length of
analysis, mobility scan rate, signal-to-noise, and mobility resolving power.
Results showed that for the linear mobility scan function only a small fraction
of the scan is sampled, resulting in the lowest duty cycle 0.5% and longest
experiment times. Implementing nonlinear targeted scan functions for analysis of
known mobilities resulted in increased duty cycle (0.85%) and resolving powers (R
up to 300) with a 6-fold reduction in time from 30 to 5 min. For broad range
characterization, a nonlinear mobility stepping scan function provided the best
sensitivity, resolving power, duty cycle (4%), and points per peak. The
applicability of nonlinear mobility scan functions for the analysis of complex
mixtures is illustrated for the case of a direct infusion of a MCF-7 breast
cancer cell digest, where isobaric peptides (e.g., DFTPAELR and TTILQSTGK) were
separated in the mobility domain (RIMS: 110) and identified based on their CCS,
accurate mass (RMS: 550k), and tandem MS using IRMPD in the ICR cell.
PMID- 29376338
TI - Enhancing Membrane Protein Identification Using a Simplified Centrifugation and
Detergent-Based Membrane Extraction Approach.
AB - Membrane proteins may act as transporters, receptors, enzymes, and adhesion
anchors, accounting for nearly 70% of pharmaceutical drug targets. Difficulties
in efficient enrichment, extraction, and solubilization still exist because of
their relatively low abundance and poor solubility. A simplified membrane protein
extraction approach with advantages of user-friendly sample processing
procedures, good repeatability and significant effectiveness was developed in the
current research for enhancing enrichment and identification of membrane
proteins. This approach combining centrifugation and detergent along with LC
MS/MS successfully identified higher proportion of membrane proteins, integral
proteins and transmembrane proteins in membrane fraction (76.6%, 48.1%, and
40.6%) than in total cell lysate (41.6%, 16.4%, and 13.5%), respectively.
Moreover, our method tended to capture membrane proteins with high degree of
hydrophobicity and number of transmembrane domains as 486 out of 2106 (23.0%) had
GRAVY > 0 in membrane fraction, 488 out of 2106 (23.1%) had TMs >= 2. It also
provided for improved identification of membrane proteins as more than 60.6% of
the commonly identified membrane proteins in two cell samples were better
identified in membrane fraction with higher sequence coverage. Data are available
via ProteomeXchange with identifier PXD008456.
PMID- 29376339
TI - Discovering Putative Peptides Encoded from Noncoding RNAs in Ribosome Profiling
Data of Arabidopsis thaliana.
AB - Most noncoding RNAs are considered by their expression at low levels and as
having a limited phylogenetic distribution in the cytoplasm, indicating that they
may be only involved in specific biological processes. However, recent studies
showed the protein-coding potential of ncRNAs, indicating that they might be a
source of some special proteins. Although there are increasing noncoding RNAs
identified to be able to code proteins, it is challenging to distinguish coding
RNAs from previously annotated ncRNAs, and to detect the proteins from their
translation. In this article, we designed a pipeline to identify these noncoding
RNAs in Arabidopsis thaliana from three NCBI GEO data sets with coding potential
and predict their translation products. 31 311 noncoding RNAs were predicted to
be translated into peptides, and they showed lower conservation rate than common
proteins. In addition, we built an interaction network between these peptides and
annotated Arabidopsis proteins using BIPS, which included 69 peptides from
noncoding RNAs. Peptides in the interaction network showed different
characteristics from other noncoding RNA-derived peptides, and they participated
in several crucial biological processes, such as photorespiration and stress
responses. All the information of putative ncPEPs and their interaction with
proteins predicted above are finally integrated in a database, PncPEPDB (
http://bis.zju.edu.cn/PncPEPDB ). These results showed that peptides derived from
noncoding RNAs may play important roles in noncoding RNA regulation, which
provided another hypothesis that noncoding RNA may regulate the metabolism via
their translation products.
PMID- 29376340
TI - Repeatable Photodynamic Therapy with Triggered Signaling Pathways of Fibroblast
Cell Proliferation and Differentiation To Promote Bacteria-Accompanied Wound
Healing.
AB - Despite the development of advanced antibacterial materials, bacterial infection
is still a serious problem for wound healing because it usually induces severe
complications and cannot be eradicated completely. Most current materials cannot
simultaneously provide antibacterial activity, reusability, and biocompatibility
as well as participate in stimulating cell behaviors to promote bacteria
accompanied wound healing. This work fabricated a hybrid hydrogel embedded with
two-dimensional (2D) few-layer black phosphorus nanosheets (BPs) via simple
electrostatic interaction. Within 10 min, 98.90% Escherichia coli and 99.51%
Staphylococcus aureus can be killed rapidly by this hybrid, due to its powerful
ability to produce singlet oxygen (1O2) under simulated visible light. In
addition, this hydrogel also shows a high repeatability; that is, the
antibacterial efficacy can still reach up to 95.6 and 94.58% against E. coli and
S. aureus, respectively, even after challenging bacteria up to four times
repeatedly. In vitro and in vivo results reveal that BPs in this hybrid hydrogel
can promote the formation of the fibrinogen at the early stages during the tissue
reconstruction process for accelerated incrustation. In addition, BPs can also
trigger phosphoinositide 3-kinase (PI3K), phosphorylation of protein kinase B
(Akt), and extracellular signal-regulated kinase (ERK1/2) signaling pathways for
enhanced cellular proliferation and differentiation. Moreover, the hydrogel
causes no appreciable abnormalities or damage to major organs (heart, liver,
spleen, lung, and kidney) in rats during the wound healing process. Therefore,
this BP-based hydrogel will have great potential as a safe multimodal therapeutic
system for active wound healing and sterilization.
PMID- 29376341
TI - Occurrence of Organophosphorus Flame Retardants and Plasticizers (PFRs) in
Belgian Foodstuffs and Estimation of the Dietary Exposure of the Adult
Population.
AB - The occurrence of 14 organophosphorus flame retardants and plasticizers (PFRs)
was investigated in 165 composite food samples purchased from the Belgian market
and divided into 14 food categories, including fish, crustaceans, mussels, meat,
milk, cheese, dessert, food for infants, fats and oils, grains, eggs, potatoes
and derived products, other food (stocks), and vegetables. Seven PFRs [namely,
tri-n-butyl phosphate (TnBP), tris(2-chloroethyl) phosphate (TCEP), tris(1-chloro
2-propyl) phosphate (TCIPP), tris(1,3-dichloro-2-propyl) phosphate (TDCIPP),
triphenyl phosphate (TPHP), 2-ethylhexyldiphenyl phosphate (EHDPHP), and tris(2
ethylhexyl) phosphate (TEHP)] were detected at concentrations above
quantification limits. Fats and oils were the most contaminated category, with a
total PFR concentration of 84.4 ng/g of wet weight (ww), followed by grains (36.9
ng/g of ww) and cheese (20.1 ng/g of ww). Our results support the hypothesis that
PFR contamination may occur during industrial processing and manipulation of food
products (e.g., packaging, canning, drying, etc.). Considering the daily average
intake of food for the modal adult Belgian (15-64 years of age), the dietary
exposure to sum PFRs was estimated to be <=7500 +/- 1550 ng/day [103 +/- 21 ng/kg
of body weight (bw)/day]. For individual PFRs, TPHP contributed on average 3400
ng/day (46.6 ng/kg of bw/day), TCIPP 1350 ng/day (18.5 ng/kg of bw/day), and
EHDPHP 1090 ng/day (15 ng/kg of bw/day), values that were lower than their
corresponding health-based reference doses. The mean dietary exposure mainly
originated from grains (39%), followed by fats and oils (21%) and dairy products
(20%). No significant differences between the intakes of adult men and women were
observed.
PMID- 29376342
TI - Active Control of Inertial Focusing Positions and Particle Separations Enabled by
Velocity Profile Tuning with Coflow Systems.
AB - Inertial microfluidics has drawn much attention not only for its diverse
applications but also for counterintuitive new fluid dynamic behaviors. Inertial
focusing positions are determined by two lift forces, that is, shear gradient and
wall-induced lift forces, that are generally known to be opposite in direction in
the flow through a channel. However, the direction of shear gradient lift force
can be reversed if velocity profiles are shaped properly. We used coflows of two
liquids with different viscosities to produce complex velocity profiles that lead
to inflection point focusing and alteration of inertial focusing positions; the
number and the locations of focusing positions could be actively controlled by
tuning flow rates and viscosities of the liquids. Interestingly, 3-inlet coflow
systems showed focusing mode switching between inflection point focusing and
channel face focusing depending on Reynolds number and particle size. The
focusing mode switching occurred at a specific size threshold, which was easily
adjustable with the viscosity ratio of the coflows. This property led to
different-sized particles focusing at completely different focusing positions and
resulted in highly efficient particle separation of which the separation
threshold was tunable. Passive separation techniques, including inertial
microfluidics, generally have a limitation in the control of separation
parameters. Coflow systems can provide a simple and versatile platform for active
tuning of velocity profiles and subsequent inertial focusing characteristics,
which was demonstrated by active control of the focusing mode using viscosity
ratio tuning and temperature changes of the coflows.
PMID- 29376343
TI - Mesophase Formation Stabilizes High-Purity Magic-Sized Clusters.
AB - Magic-sized clusters (MSCs) are renowned for their identical size and closed
shell stability that inhibit conventional nanoparticle (NP) growth processes.
Though MSCs have been of increasing interest, understanding the reaction pathways
toward their nucleation and stabilization is an outstanding issue. In this work,
we demonstrate that high concentration synthesis (1000 mM) promotes a well
defined reaction pathway to form high-purity MSCs (>99.9%). The MSCs are
resistant to typical growth and dissolution processes. On the basis of insights
from in situ X-ray scattering analysis, we attribute this stability to the
accompanying production of a large (>100 nm grain size), hexagonal organic
inorganic mesophase that arrests growth of the MSCs and prevents NP growth. At
intermediate concentrations (500 mM), the MSC mesophase forms, but is unstable,
resulting in NP growth at the expense of the assemblies. These results provide an
alternate explanation for the high stability of MSCs. Whereas the conventional
mantra has been that the stability of MSCs derives from the precise arrangement
of the inorganic structures (i.e., closed-shell atomic packing), we demonstrate
that anisotropic clusters can also be stabilized by self-forming fibrous
mesophase assemblies. At lower concentration (<200 mM or >16 acid-to-metal), MSCs
are further destabilized and NPs formation dominates that of MSCs. Overall, the
high concentration approach intensifies and showcases inherent concentration
dependent surfactant phase behavior that is not accessible in conventional (i.e.,
dilute) conditions. This work provides not only a robust method to synthesize,
stabilize, and study identical MSC products but also uncovers an underappreciated
stabilizing interaction between surfactants and clusters.
PMID- 29376344
TI - Highly Stable Spherical Metallo-Capsule from a Branched Hexapodal Terpyridine and
Its Self-Assembled Berry-type Nanostructure.
AB - Discrete spherical metallo-organic capsules at the nanometer scale, especially
those constructed from unique building blocks, have received significant
attention recently because of their fascinating molecular aesthetics and
potential applications due to their compact cavities. Here, the synthesis and
characterization of a hexapodal, branched terpyridine ligand are presented along
with the nearly quantitative self-assembly of the resulting tetrameric metallo
nanosphere. This metallo-nanosphere exhibited four quasi-triangular and six
rhombus-like facets, all of which were made by the same hook-like bis
terpyridine. Collision-induced dissociation experiments were done to investigate
overall stability. The metallo-architecture and host-guest chemistry were
investigated with coronene and fully characterized by 1D and 2D NMR, ESI-MS, and
transmission electron microscopy. Furthermore, this metallo-nanosphere was
observed to hierarchically self-assemble into berry-type structures in an
acetonitrile/methanol mixture, by virtue of counterion-mediated attractions. The
functional molecular metallo-nanosphere presented here expands the reach of
terpyridine coordination systems into molecular containers and other model
systems.
PMID- 29376345
TI - Synthesis of Hollow Biomineralized CaCO3-Polydopamine Nanoparticles for
Multimodal Imaging-Guided Cancer Photodynamic Therapy with Reduced Skin
Photosensitivity.
AB - The development of activatable nanoplatforms to simultaneously improve diagnostic
and therapeutic performances while reducing side effects is highly attractive for
precision cancer medicine. Herein, we develop a one-pot, dopamine-mediated
biomineralization method using a gas diffusion procedure to prepare calcium
carbonate-polydopamine (CaCO3-PDA) composite hollow nanoparticles as a
multifunctional theranostic nanoplatform. Because of the high sensitivity of such
nanoparticles to pH, with rapid degradation under a slightly acidic environment,
the photoactivity of the loaded photosensitizer, i.e., chlorin e6 (Ce6), which is
quenched by PDA, is therefore increased within the tumor under reduced pH,
showing recovered fluorescence and enhanced singlet oxygen generation. In
addition, due to the strong affinity between metal ions and PDA, our
nanoparticles can bind with various types of metal ions, conferring them with
multimodal imaging capability. By utilizing pH-responsive multifunctional
nanocarriers, effective in vivo antitumor photodynamic therapy (PDT) can be
realized under the precise guidance of multimodal imaging. Interestingly, at
normal physiological pH, our nanoparticles are quenched and show much lower
phototoxicity to normal tissues, thus effectively reducing skin damage during
PDT. Therefore, our work presents a unique type of biomineralized theranostic
nanoparticles with inherent biocompatibility, multimodal imaging functionality,
high antitumor PDT efficacy, and reduced skin phototoxicity.
PMID- 29376346
TI - Synthesis of Prebiotic Caramels Catalyzed by Ion-Exchange Resin Particles:
Kinetic Model for the Formation of Di-d-fructose Dianhydrides.
AB - Caramel enriched in di-d-fructose dianhydrides (DFAs, a family of prebiotic
cyclic fructodisaccharides) is a functional food with beneficial properties for
health. The aim of this work was to study the conversion of fructose into DFAs
catalyzed by acid ion-exchange resin, in order to establish a simplified
mechanism of the caramelization reaction and a kinetic model for DFA formation.
Batch reactor experiments were carried out in a 250 mL spherical glass flask and
afforded up to 50% DFA yields. The mechanism proposed entails order 2 reactions
that describe fructose conversion on DFAs or formation of byproducts such as HMF
or melanoidines. A third order 1 reaction defines DFA transformation into
fructosyl-DFAs or fructo-oligosaccharides. The influence of fructose
concentration, resin loading and temperature was studied to calculate the kinetic
parameters necessary to scale up the process.
PMID- 29376347
TI - Comparisons of Protein Dynamics from Experimental Structure Ensembles, Molecular
Dynamics Ensembles, and Coarse-Grained Elastic Network Models.
AB - Predicting protein motions is important for bridging the gap between protein
structure and function. With growing numbers of structures of the same or closely
related proteins becoming available, it is now possible to understand more about
the intrinsic dynamics of a protein with principal component analysis (PCA) of
the motions apparent within ensembles of experimental structures. In this paper,
we compare the motions extracted from experimental ensembles of 50 different
proteins with the modes of motion predicted by several types of coarse-grained
elastic network models (ENMs) which additionally take into account more details
of either the protein geometry or the amino acid specificity. We further compare
the structural variations in the experimental ensembles with the motions sampled
in molecular dynamics (MD) simulations for a smaller subset of 17 proteins with
available trajectories. We find that the correlations between the motions
extracted from MD trajectories and experimental structure ensembles are slightly
different than those for the ENMs, possibly reflecting potential sampling biases.
We find that there are small gains in the predictive power of the ENMs in
reproducing motions present in either experimental or MD ensembles by accounting
for the protein geometry rather than the amino acid specificity of the
interactions.
PMID- 29376348
TI - Hafnocene-based Bicyclo[2.1.1]hexene Germylenes - Formation, Reactivity, and
Structural Flexibility.
AB - 2,5-Disilylsubstituted germole dianions 1 react with hafnocene dichloride to give
hafnocene-based bicyclo[2.1.1]hexene germylenes 3. Their formation proceeds via
hafnocene-germylene complexes 2 that were identified by NMR and UV spectroscopy.
Germylenes 3 are stabilized by homoconjugation between the empty 4p(Ge) orbital
and the pi-bond of the innercyclic C2?C3 double bond. This interaction can be
understood as sigma2, pi-coordination of the butadiene part to the dicoordinated
germanium atom that leaves the 16e- hafnocene moiety electronically unsaturated.
We demonstrate that this new class of germylenes might serve as ligand to a
variety of low-valent transition-metal complexes. The structure of the germylene
ligand in complexes with Fe(0), Ni(0), and Au(I) and in reaction products with N
heterocyclic carbenes showed an intriguing structural flexibility that allows to
accommodate different electronic situations at the ligating germanium atom. The
origin of this structural adaptability is the interplay between the topological
flexible unsaturated germanium ring and the hafnocene group.
PMID- 29376349
TI - Mechanism of Fluorescence Quenching by Acylamino Twist in the Excited State for 1
(Acylamino)anthraquinones.
AB - Nitrogen-containing anthraquinone derivatives are widely applied in vegetable
fiber dyes. In this paper, the fluorescence quenching mechanism by an acylamino
group twist in the excited state for the 1-(acylamino)anthraquinones (AYAAQs)
derivatives in acetonitrile is investigated by density functional theory (DFT)
and time-dependent density functional theory (TD-DFT) methods. The calculated
Stokes shift is in good agreement with the experimental data. The energy profiles
show that each AYAAQs derivative reveals a barrierless twist process, indicating
that the involvement of acylamino group rotation in addition to proton transfer
becomes as another important coordinate in the excited state relaxation pathway.
The effects of electron-substituted group promote twist process compared with 1
aminoanthraquinone (AAQ). Then, the cross points are searched by the constructed
linearly interpolated internal coordinate (LIIC) pathways for AYAAQs,
demonstrating that the potential energy curves of the S1 and T2 states intersect
each other and are in accord with the El-Sayed rules. So one can conclude that
the acylamino group twist and following intersystem crossing (ISC) processes are
important nonradiative inactivation channel for the S1 state of the AYAAQs
derivatives, which is more prone to proton transfer process and can explain the
low fluorescence efficiency. In addition, we have measured the phosphorescence
spectra of AAQ, and on this basis, it can be predicted that the phosphorescence
may occur for the AYAAQs derivatives.
PMID- 29376350
TI - Measurement and Characterization of Hydrogen-Deuterium Exchange Chemistry Using
Relaxation Dispersion NMR Spectroscopy.
AB - One-dimensional heteronuclear relaxation dispersion NMR spectroscopy at 13C
natural abundance successfully characterized the dynamics of the hydrogen
deuterium exchange reaction occurring at the Nepsilon position in l-arginine by
monitoring Cdelta in varying amounts of D2O. A small equilibrium isotope effect
was observed and quantified, corresponding to DeltaG = -0.14 kcal mol-1. A
bimolecular rate constant of kD = 5.1 * 109 s-1 M-1 was determined from the pH*
dependence of kex (where pH* is the direct electrode reading of pH in 10% D2O and
kex is the nuclear spin exchange rate constant), consistent with diffusion
controlled kinetics. The measurement of DeltaG serves to bridge the millisecond
time scale lifetimes of the detectable positively charged arginine species with
the nanosecond time scale lifetime of the nonobservable low-populated neutral
arginine intermediate species, thus allowing for characterization of the
equilibrium lifetimes of the various arginine species in solution as a function
of fractional solvent deuterium content. Despite the system being in fast
exchange on the chemical shift time scale, the magnitude of the secondary isotope
shift due to the exchange reaction at Nepsilon was accurately measured to be 0.12
ppm directly from curve-fitting D2O-dependent dispersion data collected at a
single static field strength. These results indicate that relaxation dispersion
NMR spectroscopy is a robust and general method for studying base-catalyzed
hydrogen-deuterium exchange chemistry at equilibrium.
PMID- 29376351
TI - Effect of Transition Metal Fragments on the Reverse Fritsch-Buttenberg-Wiechell
Type Ring Contraction Reaction of Metallabenzynes to Metal-Carbene Complexes.
AB - Metallabenzynes (1M), contrary to their organic analogues, benzynes, undergo ring
contraction to metal-carbene complexes (2M) via a reverse Fritsch-Buttenberg
Wiechell (FBW) type rearrangement. A detailed computational quantum mechanical
study has been carried out to understand the effect of different third row
transition metal fragments (ML2L'2; M = W, Re, Os, Ir, Pt; L/L' = PH3, Cl, CO) on
the stability of metallabenzynes and their reactivity toward reverse FBW type
rearrangement. Our results indicate that the late transition metal fragments
Ir(PH3)Cl3 and PtCl4 prefer 16 VE metal-carbene complex (2M), while the middle
transition metal fragments W(PH3)4, Re(PH3)3Cl, and Os(PH3)2Cl2 prefer
metallabenzyne (1M). This can be attributed to the reduced overlap between the
transition metal fragment ML2L'2 and organic fragment C5H4 in metallabenzyne 1M
when M changes from W to Pt. Furthermore, the presence of a pi-accepting ligand
CO on the metal fragment makes the conversion of 1M to 2M more feasible.
PMID- 29376352
TI - Mitotically Stable Modification of DNA Methylation in IGF2/H19 Imprinting Control
Region Is Associated with Activated Hepatic IGF2 Expression in Offspring Rats
from Betaine-Supplemented Dams.
AB - The growth-promoting action of betaine involves activation of GH/IGF-1 signaling,
yet it remains unclear whether insulin-like growth factor 2 (IGF2), an imprinting
gene, is affected by maternal dietary betaine supplementation. In this study, F1
offspring rats derived from dams fed basal or betaine-supplemented diet were
examined at D21 and D63. Maternal betaine significantly upregulated the hepatic
expression of IGF2 mRNA and protein in offspring rats at both D21 and D63, which
was accompanied by enhanced hepatic IGF2 immunoreactivity and elevated serum IGF
2 level. Higher protein expression of betaine-homocysteine methyltransferase and
DNA methyltransferase 1 was detected in the betaine group at D21, but not D63.
However, hypermethylation of the imprinting control region of the IGF2/H19 locus
at D21 was maintained at D63. These results indicate that maternal betaine
modifies DNA methylation of IGF2/H19 imprinting control region in a mitotically
stable fasion, which was associated with the activation hepatic IGF2 expression
in offspring rats.
PMID- 29376353
TI - Ni-Catalyzed Site-Selective Dicarboxylation of 1,3-Dienes with CO2.
AB - A site-selective catalytic incorporation of multiple CO2 molecules into 1,3
dienes en route to adipic acids is described. This protocol is characterized by
its mild conditions, excellent chemo- and regioselectivity and ease of execution
under CO2 (1 atm), including the use of bulk butadiene and/or isoprene
feedstocks.
PMID- 29376354
TI - Ground- and Excited-State Interactions of a Psoralen Derivative with Human
Telomeric G-Quadruplex DNA.
AB - G-quadruplex DNA has been a recent target for anticancer agents, and its binding
interactions with small molecules, often used as anticancer drugs, have become an
important area of research. Considering that psoralens have long been studied in
the context of duplex DNA but that very little is known about their potential as
G-quadruplex binders and their excited-state interaction with the latter has not
been explored, we have studied herein the binding of a planar water-soluble
psoralen derivative, 4'-aminomethyl-4,5',8-trimethylpsoralen (AMT), with the 22
mer human telomeric G-quadruplex-forming sequence, AGGG(TTAGGG)3, labeled here as
(hTel22), and investigated the consequences of photoexcitation of AMT by
calorimetric and spectroscopic techniques. The results show an enthalpy-driven
1:1 binding of AMT with hTel22 via end-stacking mode. Fluorescence quenching
experiments on 6-fluorescein amidite-labeled oligomers indicate that the binding
site is nearer to the 3' end of hTel22 in the diagonal loop region. Femtosecond
time-resolved transient absorption measurements indicate electron transfer from
the guanine moiety of hTel22 to photoexcited AMT, leading to the formation of a
radical pair species (AMT*-G*+), which survives for 30 ps and is favored by a
parallel/quasi-parallel orientation between the two. The findings reveal
psoralens as a prospective class of compounds for the development of anticancer
therapeutics by targeting the G-quadruplex DNA.
PMID- 29376355
TI - Systematic Characterization of Gas Phase Binary Pre-Nucleation Complexes
Containing H2SO4 + X, [ X = NH3, (CH3)NH2, (CH3)2NH, (CH3)3N, H2O, (CH3)OH,
(CH3)2O, HF, CH3F, PH3, (CH3)PH2, (CH3)2PH, (CH3)3P, H2S, (CH3)SH, (CH3)2S, HCl,
(CH3)Cl)]. A Computational Study.
AB - A systematic characterization of gas phase binary prenucleation complexes between
H2SO4 (SA) and other molecules present in the atmosphere (NH3, (CH3)NH2,
(CH3)2NH, (CH3)3N, H2O, (CH3)OH, (CH3)2O, HF, CH3 F, PH3, (CH3)PH2, (CH3)2PH,
(CH3)3P, H2S, (CH3)SH, (CH3)2S, HCl, (CH3)Cl) has been carried out using the
omegaB97X-D/6-311++(2d,2p) method at the DFT level of theory. A relationship
between the energy gap of the SA's LUMO and the partner molecule's HOMO, and the
increasing number of methyl groups -CH3 in the SA's partner molecule is provided.
The binding energies of the bimolecular complexes are found to be related to the
electron density in the hydrogen bond critical point, the HOMO-LUMO energy gap,
the nature of the hydrogen acceptor atom, and the frequencies shift of acid OH
bonds. The results show how the frontier orbital compatibility determines the
binding energy and that the properties of SA's OH bond which remains free of
interactions are affected by the bimolecular adduct formation.
PMID- 29376356
TI - Effect of Basicity on the Hydrolysis of the Bi(III) Aqua Ion in Solution: An Ab
Initio Molecular Dynamics Study.
AB - Hydrolysis of the Bi(III) aqua ion under a range of solution conditions has been
studied by means of ab initio molecular dynamics simulations. While the Bi(III)
aqua ion is stable in pure water, there is an increasing degree of hydrolysis
with the number of hydroxide anions in the medium. This is accompanied by a
monotonic decrease of the total coordination number to an asymptotic value of ~6,
reached under extreme basicity conditions. Comparison of the simulated Bi(III)
hydrolyzed species with the experimental species distribution at different
degrees of basicity suggests that, at the PBE/DFT level of theory here employed,
liquid water shows an overly acidic character. Predictions of theoretical EXAFS
and XANES spectra were generated from the AIMD trajectories for different Bi
hydrolyzed species, [Bi(HO)m(H2O)n]3-m+, m = 0-3 and n = 7-2. Comparison with
available experimental spectra is presented. Spectral features joined to the
degree of hydrolysis and hydration are analyzed.
PMID- 29376357
TI - Phosphinoyl Radical-Initiated 1,2-Bifunctional Thiocyanodiphenylphosphinoylation
of Alkenes.
AB - 1,2-Bifuctional thiocyanodiphenylphosphinoylation of alkenes is established
through the phosphinoyl radical addition followed by Cu-catalyzed thiocyanation.
This one-pot reaction is applicable to a range of aromatic, aliphatic, and cyclic
alkenes to afford thiocyanodiphenylphosphinoylated compounds in satisfactory
yields.
PMID- 29376358
TI - Selective Synthesis of Divergolide I.
AB - Divergolide I (1) is a naphthoquinone ansamycin that exhibits broad antibacterial
activity. Its tetracyclic ring system is believed to be biosynthetically
assembled via ring contraction of a macrocyclic precursor (proto-divergolide)
that is both a macrolactone and a macrolactam. We here report a convergent and
enantioselective synthesis that delivers the target molecule in less than 20
linear steps. Our work establishes the absolute configuration of divergolide I,
confirms its relative configuration, and demonstrates that the biomimetic
cyclization of a proto-divergolide can be surprisingly selective.
PMID- 29376359
TI - Role of Methyl-2-nitrophenol Photolysis as a Potential Source of OH Radicals in
the Polluted Atmosphere: Implications from Laboratory Investigation.
AB - Methyl-substituted 2-nitrophenols are important components of "brown carbon" from
biomass burning. Photolysis is their major gas-phase degradation pathway. To
determine the extent of light absorptions by 4-methyl-2-nitrophenol and 5-methyl
2-nitrophenol, we obtained their absorption cross sections in the 295-400 nm
region by using cavity ring-down spectroscopy. Cross-section values for 4-methyl
2-nitrophenol were (1.01 +/- 0.07) * 10-18, (5.72 +/- 0.39) * 10-18, and (1.80 +/
0.17) * 10-20 cm2/molecule at 295, 345, and 400 nm, where errors quoted
represent 1sigma measurement uncertainty. Cross-section values for 5-methyl-2
nitrophenol were (9.04 +/- 0.77) * 10-19, (5.89 +/- 0.54) * 10-18, and (2.81 +/-
0.14) * 10-20 cm2/molecule at 295, 345, and 400 nm. The HONO, NO2, and OH
formation channels following 308 and 351 nm photolysis of methyl-2-nitrophenols
were investigated. The OH quantum yields at 308 and 351 nm were obtained as the
ratio of the OH concentration generated in pump/probe laser overlap region to the
photon density absorbed by methyl-substituted 2-nitrophenol in the same region;
they were 0.066 +/- 0.021 and 0.031 +/- 0.017 for 4-methyl-2-nitrophenol and
0.078 +/- 0.038 and 0.042 +/- 0.015 for 5-methyl-2-nitrophenol, where
uncertainties represent 1sigma precision. The average HONO quantum yields at 308
and 351 nm were 0.26 +/- 0.06 and 0.26 +/- 0.03 for 4-methyl-2-nitrophenol and
0.37 +/- 0.05 and 0.35 +/- 0.06 for 5-methyl-2-nitrophenol. Estimated OH
production rates from photolyzing 10 pptv of 4-methyl- and 5-methyl-2-nitrophenol
are 2.3 * 106 and 3.0 * 106 molecules.cm-3.s-1 at 16.9 degrees zenith angle.
PMID- 29376360
TI - Steering the Geometry of Butterfly-Shaped Dimetal Carbide Cluster within a Carbon
Cage via Trifluoromethylation of Y2C2@C82(6).
AB - As one of the largest sub-branches of endohedral clusterfullerenes, dimetal
carbide clusterfullerene (CCF) in the form of M2C2@C2 n is quite intriguing since
an alternative structure of M2@C2 n+2 as conventional dimetallofullerene may
exist as well. Herein, by using high-temperature trifluoromethylation followed by
HPLC separation and single-crystal X-ray diffraction study, we report for the
first time the unambiguous structural determination of yttrium (Y)-based CCF as
its trifluoromethyl derivatives, Y2C2@C82(6)(CF3)16. Four isomers of
Y2C2@C82(6)(CF3)16 with different addition patterns of 16 CF3 groups are
successfully isolated, and two Y atoms of the butterfly-shaped Y2C2 cluster are
coordinated by two cage pentagons in each isomer. The butterfly geometry of Y2C2
cluster varies significantly in the four Y2C2@C82(6)(CF3)16 isomers, with Y...Y
distances ranging from 3.544 to 4.051 A dependent on the relative positions of
the two yttrium-coordinated pentagons on the carbon cage.
PMID- 29376361
TI - Born-Oppenheimer Molecular Dynamics Simulations of a Bromate Ion in Water Reveal
Its Dual Kosmotropic and Chaotropic Behavior.
AB - The solvation structure and dynamics of a bromate (BrO3-) ion in water are
studied by means of Born-Oppenheimer molecular dynamics simulations at two
different temperatures using the Becke-Lee-Yang-Parr functional with Grimme D3
dispersion corrections. The bromate ion possesses a pyramidal structure, and it
has two types of solvation sites, namely, the bromine and oxygen atoms. We have
looked at different radial and orientational distributions of water molecules
around the bromate ion and also investigated their hydrogen bonding properties.
The solvation structure of the bromate ion is also compared with that of the
iodate (IO3-) ion, which is structurally rather similar to the bromate ion and
was found to have some unusual solvation properties in water. It is found that
the bromate ion follows a similar trend as that followed by the iodate ion as far
as the solvation structure is concerned. However, the effect of the former on
surrounding water is found to be much weaker than that of the latter. On the
dynamical side, we have looked at diffusion, residence dynamics, and also the
orientational and hydrogen bond relaxation of water molecules around the BrO3-
ion and compared them with those of the bulk. Dynamical results are presented for
both H2O and D2O around the BrO3- ion. Interpretation of the dynamical results in
terms of structure-making (kosmotropic)/-breaking (chaotropic) properties of the
BrO3- ion reveals that the bromine atom of this ion acts as a water structure
breaker, whereas the three oxygens act as water structure makers. Thus, in spite
of being a single ion, the bromate ion has dual characteristics and the
experimentally observed kosmotropic ability of this ion is actually a trade-off
between a chaotropic site (the bromine atom) and three kosmotropic sites (three
oxygen atoms) that are present in the ion.
PMID- 29376362
TI - Facile Dehydrogenation of Ethane on the IrO2(110) Surface.
AB - Realizing the efficient and selective conversion of ethane to ethylene is
important for improving the utilization of hydrocarbon resources, yet remains a
major challenge in catalysis. Herein, ethane dehydrogenation on the IrO2(110)
surface is investigated using temperature-programmed reaction spectroscopy (TPRS)
and density functional theory (DFT) calculations. The results show that ethane
forms strongly bound sigma-complexes on IrO2(110) and that a large fraction of
the complexes undergo C-H bond cleavage during TPRS at temperatures below 200 K.
Continued heating causes as much as 40% of the dissociated ethane to
dehydrogenate and desorb as ethylene near 350 K, with the remainder oxidizing to
COx species. Both TPRS and DFT show that ethylene desorption is the rate
controlling step in the conversion of ethane to ethylene on IrO2(110) during
TPRS. Partial hydrogenation of the IrO2(110) surface is found to enhance ethylene
production from ethane while suppressing oxidation to COx species. DFT predicts
that hydrogenation of reactive oxygen atoms of the IrO2(110) surface effectively
deactivates these sites as H atom acceptors, and causes ethylene desorption to
become favored over further dehydrogenation and oxidation of ethane-derived
species. The study reveals that IrO2(110) exhibits an exceptional ability to
promote ethane dehydrogenation to ethylene near room temperature, and provides
molecular-level insights for understanding how surface properties influence
selectivity toward ethylene production.
PMID- 29376363
TI - Odor-Active Compounds in the Special Flavor Hops Huell Melon and Polaris.
AB - The volatiles isolated from samples of the special flavor hop varieties, Huell
Melon and Polaris, and from the aroma hop variety, Hallertau Tradition, by
solvent extraction and solvent-assisted flavor evaporation (SAFE) were subjected
to a comparative aroma extract dilution analysis (cAEDA), which resulted in 46
odor-active compounds in the flavor dilution (FD) factor range of 16 to 2048. On
the basis of high FD factors, myrcene, (3R)-linalool, and 2- and 3-methylbutanoic
acid were confirmed as important variety-independent hop odorants. (1R,4S)
Calamenene was identified for the first time as an odor-active compound in hops.
Clear differences in the FD factors and their subsequent objectification by
stable isotope dilution quantitation suggested that high concentrations of the
esters ethyl 2-methylbutanoate, ethyl 2-methylpropanoate, and propyl 2
methylbutanoate cause the characteristic fruity, cantaloupe-like odor note in
Huell Melon hops, whereas the fruity and minty odor notes in Polaris are
associated with high amounts of 3-methylbutyl acetate and 1,8-cineole.
PMID- 29376364
TI - Linear and Nonlinear Optical Properties of Triphenylamine-Indandione
Chromophores: Theoretical Study of the Structure-Function Relationship under the
Combined Action of Substituent and Symmetry Change.
AB - Linear and nonlinear optical properties of experimentally synthesized
triphenylamine-indandione chromophores were investigated by time-dependent
density functional theory calculations. The absorption and emission spectra, as
well as the static and dynamic first hyperpolarizabilities related to the
combined effect of substituent introduction and symmetry breaking, were discussed
in detail. Theoretical analysis indicated the uniting of indandione acceptor
group(s) with a precursor (triphenylamine, TriPhA), with the molecular symmetry
destroyed simultaneously, leads to an obvious change in both the peak position
and intensity of the linear spectra. The same process can also substantially
magnify the molecular first hyperpolarizabilities. The triphenylamine-indandione
molecules exhibit efficiencies in static first hyperpolarizability relative to
that of the electron-donating TriPhA component and the electron-accepting
indandione moiety. The optical nonlinearity would be further expanded under the
influence of a resonance effect induced by appropriate excitation. Incident light
with a wavelength nearly two times the one-photon absorption is likely to cause a
greater frequency dispersion response. In particular, the first
hyperpolarizabilities of the title compounds can be enlarged by about 3.2 times
on average by resonance enhancement at a fundamental wavelength of 1064 nm.
PMID- 29376365
TI - Mechanistic Unveiling of C?C Double-Bond Rotation and Origins of Regioselectivity
and Product E/Z Selectivity of Pd-Catalyzed Olefinic C-H Functionalization of (E)
N-Methoxy Cinnamamide.
AB - Density functional theory (DFT) calculations have been performed to study the Pd
catalyzed C-H functionalization of (E)-N-methoxy cinnamamide (E1), which
selectively provides the alpha-C-H activation products (EP as minor product and
its C?C rotation isomer ZP' as major product). Three crucial issues are solved:
(i) The detailed mechanism leading to ZP' is one issue. The computational
analyses of the mechanisms proposed in previously experimental and theoretical
literature do not seem to be consistent with the experimental findings due to the
high barriers involved. Alternatively, we present a novel oxidation/reduction
promoted mechanism featuring the Pd(0) -> Pd(II) -> Pd(0) transformation. The
newly proposed mechanism involves the initial coordination of the active catalyst
PdL2 (L = t-BuCN) with the C?C bond in EP, followed by the oxidative
cyclization/reductive decyclization-assisted C?C double-bond rotation processes
resulting in ZP' and regeneration of PdL2. (ii) The origin of the product E/Z
selectivity is the second issue. On the basis of the calculated results, it is
found that, at the initial stage of the reaction, EP is certainly completely
generated, while no ZP' formation occurred. Once E1 is used up, EP immediately
acts as the partner of the new catalytic cycle and sluggishly evolves into ZP'. A
small amount of generated ZP' would reversibly transform to EP due to the higher
barrier involved. (iii) The intrinsic reasons for the regioselectivity are the
third issue. The calculated results indicate that the regioselectivity for alpha
C-H activation is mainly attributed to the stronger electrostatic attraction
between the alpha-C and the metal center.
PMID- 29376368
TI - Topology-Based Approach to Predict Relative Stabilities of Charged and
Functionalized Fullerenes.
AB - Understanding the relationship between structure and stability is one of the
fundamental aspects of fullerene chemistry, as the number of possible cage
isomers is very large and complexity increases by orders of magnitude when
chemical groups are attached to the fullerene cage. The well-established
stability rules valid for neutral fullerenes do not apply to many charged or
functionalized fullerenes. Here we present the theory, implementation, and
applications of two simple topology-based models that allow one to predict the
relative stability of charged and functionalized fullerenes without the need for
quantum chemistry calculations: (i) the charge stabilization index (CSI) model,
based on the concepts of cage connectivity and frontier pi orbitals, which offers
a general framework for the relative stability of both positively and negatively
charged fullerenes, as well as endohedral metallofullerenes, and (ii) the
exohedral fullerene stabilization index (XSI) model, which incorporates all key
factors governing the stability of exohedral fullerenes, namely, pi
delocalization, sigma strain, and steric hindrance between addends. Based
exclusively on topological information, both models are powerful prescreening
tools for predicting the most stable structures of a large number of charged and
functionalized fullerenes. For easy use by fullerene chemists, both models have
been implemented in the FullFun (for Fullerene Functionalization) software
package, whose effectiveness and efficiency are demonstrated by some illustrative
examples.
PMID- 29376366
TI - A Regio- and Enantioselective CuH-Catalyzed Ketone Allylation with Terminal
Allenes.
AB - We report a method for the highly enantioselective CuH-catalyzed allylation of
ketones that employs terminal allenes as allylmetal surrogates. Ketones and
allenes bearing diverse and sensitive functional groups are efficiently coupled
with high stereoselectivity and exclusive branched regioselectivity. In
stoichiometric experiments, each elementary step of the proposed hydrocupration
addition-metathesis mechanism can be followed by NMR spectroscopy.
PMID- 29376369
TI - Deterministic Construction of Nodal Surfaces within Quantum Monte Carlo: The Case
of FeS.
AB - In diffusion Monte Carlo (DMC) methods, the nodes (or zeroes) of the trial wave
function dictate the magnitude of the fixed-node (FN) error. In standard DMC
implementations, the nodes are optimized by stochastically optimizing a short
multideterminant expansion in the presence of an explicitly correlated Jastrow
factor. Here, following a recent proposal, we pursue a different route and
consider the nodes of selected configuration interaction (sCI) expansions built
with the CIPSI (Configuration Interaction using a Perturbative Selection made
Iteratively) algorithm. By increasing the size of the sCI expansion, these nodes
can be systematically and deterministically improved. The present methodology is
used to investigate the properties of the transition metal sulfide molecule FeS.
This apparently simple molecule has been shown to be particularly challenging for
electronic structure theory methods due to the proximity of two low-energy
quintet electronic states of different spatial symmetry and the difficulty to
treat them on equal footing from a one-electron basis set point of view. In
particular, we show that, at the triple-zeta basis set level, all sCI results
including those extrapolated at the full CI (FCI) limit-disagree with experiment,
yielding an electronic ground state of 5Sigma+ symmetry. Performing FN-DMC
simulation with sCI nodes, we show that the correct 5Delta ground state is
obtained if sufficiently large expansions are used. Moreover, we show that one
can systematically get accurate potential energy surfaces and reproduce the
experimental dissociation energy as well as other spectroscopic constants.
PMID- 29376367
TI - Potent and Selective Inhibitors of 8-Oxoguanine DNA Glycosylase.
AB - The activity of DNA repair enzyme 8-oxoguanine DNA glycosylase (OGG1), which
excises oxidized base 8-oxoguanine (8-OG) from DNA, is closely linked to
mutagenesis, genotoxicity, cancer, and inflammation. To test the roles of OGG1
mediated repair in these pathways, we have undertaken the development of
noncovalent small-molecule inhibitors of the enzyme. Screening of a PubChem
annotated library using a recently developed fluorogenic 8-OG excision assay
resulted in multiple validated hit structures, including selected lead hit
tetrahydroquinoline 1 (IC50 = 1.7 MUM). Optimization of the tetrahydroquinoline
scaffold over five regions of the structure ultimately yielded amidobiphenyl
compound 41 (SU0268; IC50 = 0.059 MUM). SU0268 was confirmed by surface plasmon
resonance studies to bind the enzyme both in the absence and in the presence of
DNA. The compound SU0268 was shown to be selective for inhibiting OGG1 over
multiple repair enzymes, including other base excision repair enzymes, and
displayed no toxicity in two human cell lines at 10 MUM. Finally, experiments
confirm the ability of SU0268 to inhibit OGG1 in HeLa cells, resulting in an
increase in accumulation of 8-OG in DNA. The results suggest the compound SU0268
as a potentially useful tool in studies of the role of OGG1 in multiple disease
related pathways.
PMID- 29376370
TI - Developmental Toxicity of the Organic Fraction from Hydraulic Fracturing Flowback
and Produced Waters to Early Life Stages of Zebrafish ( Danio rerio).
AB - Hydraulic fracturing (HF) has emerged as a major recovery method of
unconventional oil and gas reservoirs and concerns have been raised regarding the
environmental impact of releases of Flowback and Produced Water (FPW) to aquatic
ecosystems. To investigate potential effects of HF-FPW on fish embryo
development, HF-FPW samples were collected from two different wells and the
organic fractions were isolated from both aqueous and particle phases to
eliminate the confounding effects of high salinity. Each organic extract was
characterized by non-target analysis with HPLC-Orbitrap-MS, with targeted
analysis for polycyclic aromatic hydrocarbons provided as markers of petroleum
affected water. The organic profiles differed between samples, including PAHs and
alkyl PAHs, and major substances identified by non-target analysis included
polyethylene glycols, alkyl ethoxylates, octylphenol ethoxylates, and other high
molecular weight (C49-79) ethylene oxide polymeric material. Zebrafish embryos
were exposed to various concentrations of FPW organic extracts to investigate
acute (7-day) and developmental toxicity in early life stages. The acute toxicity
(LD50) of the extracted FPW fractions ranged from 2.8* to 26* the original
organic content. Each extracted FPW fraction significantly increased spinal
malformation, pericardial edema, and delayed hatch in exposed embryos and altered
the expression of a suite of target genes related to biotransformation, oxidative
stress, and endocrine-mediation in developing zebrafish embryos. These results
provide novel information on the variation of organic profiles and developmental
toxicity among different sources and fractions of HF-FPWs.
PMID- 29376371
TI - High-Performance Magnetorheological Suspensions of Pickering-Emulsion-Polymerized
Polystyrene/Fe3O4 Particles with Enhanced Stability.
AB - The magnetorheological (MR) performance of suspensions based on core-shell
structured foamed polystyrene (PSF)/Fe3O4 particles was investigated by using a
vibrating sample magnetometer and a rotational rheometer. Core-shell-structured
polystyrene (PS)/Fe3O4 was synthesized by using the Pickering-emulsion
polymerization method in which Fe3O4 nanoparticles were added as a solid
surfactant. Foaming the PS core in PS/Fe3O4 particles was carried out by using a
supercritical carbon dioxide (scCO2) fluid. The density was measured by a
pycnometer. The densities of PS/Fe3O4 and PSF/Fe3O4 particles were significantly
lowered from that of the pure Fe3O4 particle after Pickering-emulsion
polymerization and foaming treatment. All tested suspensions displayed similar MR
behaviors but different yield strengths. The important parameter that determined
the MR performance was not the particle density but rather the surface density of
Fe3O4 on the PS core surface. The morphology was observed by scanning electron
microscopy and transmission electron microscopy. Most Fe3O4 particles stayed on
the surface of PS/Fe3O4 particles, making the surface topology bumpy and rough,
which decreased the particle sedimentation velocity. Finally, Turbiscan apparatus
was used to examine the sedimentation properties of different particle
suspensions. The suspensions of PS/Fe3O4 and PSF/Fe3O4 showed remarkably improved
stability against sedimentation, much better than the bare Fe3O4 particle
suspension because of the reduced density mismatch between the nanoparticles and
the carrier medium as well as the surface topology change.
PMID- 29376372
TI - Selectivity and Mechanism of Fengycin, an Antimicrobial Lipopeptide, from
Molecular Dynamics.
AB - Fengycin is a cyclic lipopeptide used as an agricultural fungicide. It is
synthesized by Bacillus subtilis as an immune response against fungal infection
and functions by damaging the target's cell membrane. Previous molecular dynamics
simulations and experiments have led to the hypothesis that the aggregation of
fengycins on the membrane surface plays a key role in cell disruption. Here, we
used microsecond-scale all-atom molecular dynamics simulations to understand the
specificity, selectivity, and structure of fengycin oligomers. Our simulations
suggest that fengycin is more likely to form stable oligomers in model fungal
membranes (phosphatidylcholine) compared to the model bacterial membranes
(phosphatidylethanolamine:phosphatidylglycerol). Furthermore, we characterize the
differences in the structure and kinetics of the membrane-bound aggregates and
discuss their functional implications.
PMID- 29376373
TI - Thermally Absorptive Blankets for Highly Efficient Snowbank Melting.
AB - Fallen snow is one of the most reflective surfaces found in nature. As a result,
snowbanks can take many weeks to melt even when the air temperature is above
freezing. Here, we introduce a simple and passive method for quickly melting
snowbanks by draping a thermally absorptive blanket over the snow. Using
controlled experimental conditions, it was observed that snowbanks can melt 300%
faster when a thermally absorptive blanket is placed on top. The mechanism is the
threefold increase in absorptivity of the spray-coated blanket compared to bare
snow, which allows the vast majority of the irradiation to be used to overcome
the latent heat of fusion.
PMID- 29376374
TI - Selective Coherent Anti-Stokes Raman Scattering Microscopy Employing Dual
Wavelength Nanofocused Ultrafast Plasmon Pulses.
AB - Ultrafast surface plasmon polariton (SPP) nanofocusing on a plasmonic metal
tapered tip with femtosecond laser pulses enables background-free localized
excitation beyond the diffraction limit. We demonstrate simultaneous nanofocusing
of ultrafast SPP pulses at 440 and 800 nm, which were coupled with a common
diffraction grating structure fabricated on an aluminum (Al) tapered tip, to the
tip apex with a radius of ~35 nm. We achieved selective coherent anti-Stokes
Raman scattering (CARS) microscopy that combined an 800 nm (omega) SPP pump
pulse, which achieves selective vibrational excitation by spectral focusing, and
a 440 nm (2omega) SPP probe pulse. Raman intensity of this novel 2omega-CARS
increased by a factor of 3.96 at the G-band and 4.00 at the 2D-band compared with
that with omega-CARS for the monolayer graphene. The 2omega-CARS imaging method
was applied for imaging a multiwalled carbon nanotube at the D-, G-, and 2D
bands. This dual-wavelength nanofocusing will open up new nanoscale
microspectroscopy and optical excitation at the tip apex, such as sum frequency
mixing, two-photon excitation.
PMID- 29376375
TI - Probing Charge Transport through Peptide Bonds.
AB - We measure the conductance of unmodified peptides at the single-molecule level
using the scanning tunneling microscope-based break-junction method, utilizing
the N-terminal amine group and the C-terminal carboxyl group as gold metal
binding linkers. Our conductance measurements of oligoglycine and oligoalanine
backbones do not rely on peptide side-chain linkers. We compare our results with
alkanes terminated asymmetrically with an amine group on one end and a carboxyl
group on the other to show that peptide bonds decrease the conductance of an
otherwise saturated carbon chain. Using a newly developed first-principles
approach, we attribute the decrease in conductance to charge localization at the
peptide bond, which reduces the energy of the frontier orbitals relative to the
Fermi energy and the electronic coupling to the leads, lowering the tunneling
probability. Crucially, this manifests as an increase in conductance decay of
peptide backbones with increasing length when compared with alkanes.
PMID- 29376376
TI - Surface Chemistry Dependence of Mechanochemical Reaction of Adsorbed Molecules-An
Experimental Study on Tribopolymerization of alpha-Pinene on Metal, Metal Oxide,
and Carbon Surfaces.
AB - Mechanochemical reactions between adsorbate molecules sheared at tribological
interfaces can induce association of adsorbed molecules, forming oligomeric and
polymeric products often called tribopolymers). This study revealed the role or
effect of surface chemistry of the solid substrate in mechanochemical
polymerization reactions. As a model reactant, alpha-pinene was chosen because it
was known to readily form tribopolymers at the sliding interface of stainless
steel under vapor-phase lubrication conditions. Eight different substrate
materials were tested-palladium, nickel, copper, stainless steel, gold, silicon
oxide, aluminum oxide, and diamond-like carbon (DLC). All metal substrates and
DLC were initially covered with surface oxide species formed naturally in air or
during the oxidative sample cleaning. It was found that the tribopolymerization
yield of alpha-pinene is much higher on the substrates that can chemisorb alpha
pinene, compared to the ones on which only physisorption occurs. From the load
dependence of the tribopolymerization yield, it was found that the surfaces
capable of chemisorption give a smaller critical activation volume for the
mechanochemical reaction, compared to the ones capable of physisorption only. On
the basis of these observations and infrared spectroscopy analyses of the
adsorbed molecules and the produced polymers, it was concluded that the
mechanochemical reaction mechanisms might be different between chemically
reactive and inert surfaces and that the chemical reactivity of the substrate
surface greatly influences the tribochemical polymerization reactions of adsorbed
molecules.
PMID- 29376377
TI - X-ray-Induced Fragmentation of Imidazolium-Based Ionic Liquids Studied by Soft X
ray Absorption Spectroscopy.
AB - We investigated the X-ray absorption spectroscopy (XAS) fingerprint of EMImTFSI
ionic liquid (IL) and its fragmentation products created by X-ray irradiation. To
accomplish this, we used an open geometry where an IL droplet is directly exposed
in the vacuum chamber and an enclosed geometry where the IL is confined in a cell
covered by an X-ray transparent membrane. In the open geometry, the XAS signature
was stable and consistent with experimental and theoretical spectra reported in
the literature. In contrast, when the IL is enclosed, its XAS evolves
continuously under X-ray illumination due to the accumulation of volatile
fragmentation products inside the closed cell, while they evaporate in the open
geometry. The changes in the XAS from the core levels of relevant elements (C, N,
S, F) together with density functional theory calculations allowed us to identify
the chemical nature of the fragment products and the chemical bonds most
vulnerable to rupture under soft X-ray irradiation.
PMID- 29376378
TI - Colloidal Nanocrystals of Lead-Free Double-Perovskite (Elpasolite)
Semiconductors: Synthesis and Anion Exchange To Access New Materials.
AB - Concerns about the toxicity and instability of lead-halide perovskites have
driven a recent surge in research toward alternative lead-free perovskite
materials, including lead-free double perovskites with the elpasolite structure
and visible bandgaps. Synthetic approaches to this class of materials remain
limited, however, and no examples of heterometallic elpasolites as nanomaterials
have been reported. Here, we report the synthesis and characterization of
colloidal nanocrystals of Cs2AgBiX6 (X = Cl, Br) elpasolites using a hot
injection approach. We further show that postsynthetic modification through anion
exchange and cation extraction can be used to convert these nanocrystals to new
materials including Cs2AgBiI6, which was previously unknown experimentally.
Nanocrystals of Cs2AgBiI6, synthesized via a novel anion-exchange protocol using
trimethylsilyl iodide, have strong absorption throughout the visible region,
confirming theoretical predictions that this material could be a promising
photovoltaic absorber. The synthetic methodologies presented here are expected to
be broadly generalizable. This work demonstrates that nanocrystal ion-exchange
reactivity can be used to discover and develop new lead-free halide perovskite
materials that may be difficult or impossible to access through direct synthesis.
PMID- 29376379
TI - Preparation and Structural Characterization of Free-Standing Octacalcium
Phosphate-Rich Thin Films.
AB - Free-standing films of calcium phosphates exhibit many favorable properties for
tissue engineering. In this work, a thin film of calcium phosphate is prepared in
a liposome suspension using the method of ammonia gas diffusion. The thickness of
the film is about 10 MUm, and the lateral dimensions are on the length scale of
millimeter. The results of powder X-ray diffraction and transmission electron
microscopy show that the thin films contain the mineral phases of hydroxyapatite
and octacalcium phosphate (OCP). Using solid-state NMR spectroscopy, in
particular the technique of heteronuclear correlation spectroscopy with variable
contact time, the major crystalline phase of the thin film has been confirmed to
be OCP.
PMID- 29376380
TI - Multimodal, pH Sensitive, and Magnetically Assisted Carrier of Doxorubicin
Designed and Analyzed by Means of Computer Simulations.
AB - This work deals with an analysis of drugs carriers based on the structure of a
carbon nanotube using large-scale atomistic molecular dynamics simulations. The
analyzed systems link several functions in a single architecture. They are as
follows: (i) the sidewalls and tips of carbon nanotubes are covalently
functionalized by polyethylene glycol-folic acid conjugates, and this approach
allows for creation of hydrophytic and biocompatible systems; (ii) doxorubicin is
kept in the internal space of a carbon nanotube as a mixture with dyes (p
phenylenediamine or neutral red)-it allows for pH-controlled release or
alteration of the interaction topology; (iii) the mixture of doxorubicin and dyes
in the nanotube interior is additionally sealed by fullerene nanoparticles which
act as pistons at acidic pH and loosen the tangle of polyethylene glycol chains
at the nanotube tips. This enhances the release of doxorubicin from the nanotube
when compared to the analogous system but without the fullerene caps; (iv)
another function of the carrier can be activated by filling of the fullerenes by
magnetic material-then, the carrier can be visualized by means of magnetic
resonance imaging, it can realize magnetic hyperthermia of tumor cells, and
intense rotation of the nanoparticles can be induced by the application of an
external magnetic field. That rotation enhances the release of doxorubicin from
the nanotube and leads to the increase of the rotational temperature. The studies
show that the proposed design of the drug-doxorubicin carrier reveals very
promising properties. Its fabrication is absolutely feasible, as all individual
stages necessary for its construction have been confirmed in the literature.
PMID- 29376381
TI - Plasmonic Hot Carriers-Controlled Second Harmonic Generation in WSe2 Bilayers.
AB - Modulating second harmonic generation (SHG) by a static electric field through
either electric-field-induced SHG or charge-induced SHG has been well documented.
Nonetheless, it is essential to develop the ability to dynamically control and
manipulate the nonlinear properties, preferably at high speed. Plasmonic hot
carriers can be resonantly excited in metal nanoparticles and then injected into
semiconductors within 10-100 fs, where they eventually decay on a comparable time
scale. This allows one to rapidly manipulate all kinds of characteristics of
semiconductors, including their nonlinear properties. Here we demonstrate that
plasmonically generated hot electrons can be injected from plasmonic
nanostructure into bilayer (2L) tungsten diselenide (WSe2), breaking the material
inversion symmetry and thus inducing an SHG. With a set of pump-probe experiments
we confirm that it is the dynamic separation electric field resulting from the
hot carrier injection (rather than a simple optical field enhancement) that is
the cause of SHG. Transient absorption measurement further substantiate the
plasmonic hot electrons injection and allow us to measure a rise time of ~120 fs
and a fall time of 1.9 ps. Our study creates opportunity for the ultrafast all
optical control of SHG in an all-optical manner that may enable a variety of
applications.
PMID- 29376382
TI - Simple, Hackable, Size-Selective, Amine-Functionalized Fe-Oxide Nanoparticles for
Biomedical Applications.
AB - A facile one-pot method for synthesizing amine-functionalized nonspherical Fe3O4
nanoparticles in gram-scale quantities is presented using just a single source of
iron (iron(II) chloride) and an amine (triethylamine). The amine not only
transforms iron salt to Fe3O4, but also directs the morphology of the
nanoparticles along with the temperature of the reaction and functionalizes them,
making the synthesis very economical. By modifying the surface further, these
nanoparticles promise to offer useful biomedical applications. For example, after
biocide coating, the particles are found to be 100% effective in deactivating
methicillin-resistant Staphylococcus aureus (MRSA) bacteria in 2 h. Cellular
uptake studies using biocompatible EDTA-Na3 (N-(trimethoxysilyl
propyl)ethylenediaminetriacetate, trisodium salt)-coated nanoparticles in human
glioblastoma U-251 cells show that the majority of the particles are internalized
by the cells in the presence of a small dc-magnetic field, making these particles
a potential candidate as drug carriers for magnetic field-targeted delivery and
hyperthermia.
PMID- 29376383
TI - Dynamic Photochemical and Optoelectronic Control of Photonic Fano Resonances via
Monolayer MoS2 Trions.
AB - Active tunability of photonic resonances is of great interest for various
applications such as optical switching and modulation based on optoelectronic
materials. Manipulation of charged excitons in atomically thin transition metal
dichalcogenides (TMDCs) like monolayer MoS2 offers an unexplored route for
diverse functionalities in optoelectronic nanodevices. Here, we experimentally
demonstrate the dynamic photochemical and optoelectronic control of the photonic
crystal Fano resonances by optical and electrical tuning of monolayer MoS2
refractive index via trions without any chemical treatment. The strong spatial
and spectral overlap between the photonic Fano mode and the active MoS2 monolayer
enables efficient modulation of the Fano resonance. Our approach offers new
directions for potential applications in the development of optical modulators
based on emerging 2D direct band gap semiconductors.
PMID- 29376384
TI - Effect of Reinforcement at Length Scale for Polyurethane Cellular Scaffolds by
Supramolecular Assemblies.
AB - This study is aimed to represent the role of carbonaceous nanofillers to
reinforce the commercially available polyurethane porous structure. The effect of
dimensionality of fillers to anchor the construction of stable three-dimensional
(3D) cellular architectures has been highlighted. The cellular frameworks of
commercially available thermoplastic polyurethane (TPU) have been fabricated
through the thermoreversible supramolecular self-assembly route. It was
established that the minimum shrinkage of TPU lattice structures occurred when
the solid-state network is strengthened by the topologically engineered 3D
hierarchical nanofillers, where the amount of reinforcement was found to play a
critical role. It has been established by series of structure-property
correlations that reinforcing the cellular structure to endure the capillary
stress is equally effective as supercritical drying for producing low-density
porous morphologies. The removal of liquid phase from gel is as important as the
presence of 3D fillers in the matrix for reinforcing the cellular structures when
replacing the solvent phase with air to generate a two-phase solid-gas engineered
morphology. The insight into the polyurethane network structure revealed that the
dimensionality, amount, and distribution of fillers in the matrix are critical
for reinforcing the cellular scaffolds in solid gel without any cross-linking.
PMID- 29376385
TI - Synthesis and Concentration of Organosols of Silver Nanoparticles Stabilized by
AOT: Emulsion Versus Microemulsion.
AB - In this work, we tried to combine the advantages of microemulsion and emulsion
synthesis to obtain stable concentrated organosols of Ag nanoparticles, promising
liquid-phase materials. Starting reagents were successively introduced into a
micellar solution of sodium bis-(2-ethylhexyl)sulfosuccinate (AOT) in n-decane in
the dynamic reverse emulsion mode. During the contact of the phases, Ag+ passes
into micelles and Na+ passes into emulsion microdroplets through the cation
exchange AOTNaOrg + AgNO3Aq = AOTAgOrg + NaNO3Aq. High concentrations of NaNO3
and hydrazine in the microdroplets favor an osmotic outflow of water from the
micelles, which reduces their polar cavities to ~2 nm. As a result, silver ions
are contained in the micelles, and the reducing agent is present mostly in
emulsion microdroplets. The reagents interact in the polar cavities of micelles
to form ~7 nm Ag nanoparticles. The produced nanoparticles are positively
charged, which permitted their electrophoretic concentration to obtain liquid
concentrates (up to 30% Ag) and a solid Ag-AOT composite (up to 75% Ag). Their
treatment at 250 degrees C leads to the formation of conductive films (180 mOhm
per square). The developed technique makes it possible to increase the
productivity of the process by ~30 times and opens up new avenues of practical
application for the well-studied microemulsion synthesis.
PMID- 29376386
TI - Gold Raspberry-Like Colloidosomes Prepared at the Water-Nitromethane Interface.
AB - In this study, we propose a simple shake-flask method to produce micron-size
colloidosomes from a liquid-liquid interface functionalized with a gold
nanoparticle (AuNP) film. A step-by-step extraction process of an organic phase
partially miscible with water led to the formation of raspberry-like structures
covered and protected by a gold nanofilm. The distinctive feature of the prepared
colloidosomes is a very thin shell consisting of small AuNPs of 12 or 38 nm in
diameter instead of several hundred nanometers reported previously. The
interesting and remarkable property of the proposed approach is their
reversibility: the colloidosomes may be easily transformed back to a nanofilm
state simply by adding pure organic solvent. The obtained colloidosomes have a
broadband absorbance spectrum, which makes them of great interest in applications
such as photothermal therapy, surface-enhanced Raman spectroscopy studies, and
microreactor vesicles for interfacial electrocatalysis.
PMID- 29376387
TI - Construction of a Hierarchical Architecture of Covalent Organic Frameworks via a
Postsynthetic Approach.
AB - Covalent organic frameworks (COFs) represent an emerging class of crystalline
porous materials that are constructed by the assembly of organic building blocks
linked via covalent bonds. Several strategies have been developed for the
construction of new COF structures; however, a facile approach to fabricate
hierarchical COF architectures with controlled domain structures remains a
significant challenge, and has not yet been achieved. In this study, a dynamic
covalent chemistry (DCC)-based postsynthetic approach was employed at the solid
liquid interface to construct such structures. Two-dimensional imine-bonded COFs
having different aromatic groups were prepared, and a homogeneously mixed-linker
structure and a heterogeneously core-shell hollow structure were fabricated by
controlling the reactivity of the postsynthetic reactions. Solid-state nuclear
magnetic resonance (NMR) spectroscopy and transmission electron microscopy (TEM)
confirmed the structures. COFs prepared by a postsynthetic approach exhibit
several functional advantages compared with their parent phases. Their Brunauer
Emmett-Teller (BET) surface areas are 2-fold greater than those of their parent
phases because of the higher crystallinity. In addition, the hydrophilicity of
the material and the stepwise adsorption isotherms of H2O vapor in the
hierarchical frameworks were precisely controlled, which was feasible because of
the distribution of various domains of the two COFs by controlling the
postsynthetic reaction. The approach opens new routes for constructing COF
architectures with functionalities that are not possible in a single phase.
PMID- 29376388
TI - U2@ I h(7)-C80: Crystallographic Characterization of a Long-Sought Dimetallic
Actinide Endohedral Fullerene.
AB - The nature of actinide-actinide bonds has attracted considerable attention for a
long time, especially since recent theoretical studies suggest that triple and up
to quintuple bonds should be possible, but little is known experimentally.
Actinide-actinide bonds inside fullerene cages have also been proposed, but their
existence has been debated intensively by theoreticians. Despite all the
theoretical arguments, critical experimental data for a dimetallic actinide
endohedral fullerene have never been obtained. Herein, we report the synthesis
and isolation of a dimetallic actinide endohedral metallofullerene (EMF), U2@C80.
This compound was fully characterized by mass spectrometry, single crystal X-ray
crystallography, UV-vis-NIR spectroscopy, Raman spectroscopy, cyclic voltammetry,
and X-ray absorption spectroscopy (XAS). The single crystal X-ray
crystallographic analysis unambiguously assigned the molecular structure to U2@ I
h(7)-C80. In particular, the crystallographic data revealed that the U-U distance
is within the range of 3.46-3.79 A, which is shorter than the 3.9 A previously
predicted for an elongated weak U-U bond inside the C80 cage. The XAS results
reveal that the formal charge of the U atoms trapped inside the fullerene cage is
+3, which agrees with the computational and crystallographic studies that assign
a hexaanionic carbon cage, ( I h-C80)6-. Theoretical studies confirm the presence
of a U-U bonding interaction and suggest that the weak U-U bond in U2@ I h(7)-C80
is strengthened upon reduction and weakened upon oxidation. The comprehensive
characterization of U2@ I h(7)-C80 and the overall agreement between the
experimental data and theoretical investigations provide experimental proof and
deeper understanding for actinide metal-metal bonding interactions inside a
fullerene cage.
PMID- 29376389
TI - Noncollinear Two-Component Quasirelativistic Description of Spin Interactions in
Exchange-Coupled Systems. Mapping Generalized Broken-Symmetry States to Effective
Spin Hamiltonians.
AB - We provide a consistent mapping of noncollinear two-component quasirelativistic
DFT energies with appropriate orientations of localized spinor quantization axes
for multinuclear exchange-coupled transition-metal complexes onto an uncoupled
anisotropic effective spin Hamiltonian. This provides access to the full exchange
interaction tensor between the centers of spin-coupled systems in a consistent
way. The proposed methodology may be best viewed as a generalized broken-symmetry
density functional theory approach (gBS-DFT). While the calculations provided are
limited to trinuclear systems ([M3O(OOCH)6(H2O)3]+, where M = Cr(III), Mn(III),
Fe(III)) with C3 symmetry, the method provides a general framework that is
extendable to arbitrary systems. It offers an alternative to previous approaches
to single-ion zero-field splittings, and it provides access to the less often
examined antisymmetric Dzyaloshinskii-Moriya exchange interaction. Spin-orbit
coupling is included self-consistently. This will be of particular importance for
complexes involving 4d or 5d transition metal centers or possibly also for f
block elements, where a perturbational treatment of spin-orbit coupling may not
be valid anymore. While a comparison with experimental data was indirect due to
simplifications in the chosen model structures, the agreement obtained indicates
the essential soundness of the presented approach.
PMID- 29376393
TI - Correction.
PMID- 29376390
TI - Guided Heterogeneous Nucleation of Sodium Chloride at Self-Assembled Monolayer
Modified Nanoporous Gold Films.
AB - Drug delivery devices are generally inefficient when releasing the active
compound at the targeted position. In this work, we investigate nanoporous gold
(np-Au) as the drug eluting device, and we use the precipitation of NaCl as a
model of drug sedimentation to evaluate the patterns of solute distribution.
Hydrophilic and hydrophobic modifications of np-Au result in different, but both
inhomogeneous, release patterns, with most of the precipitate forming outside the
device. In contrast, the fabrication of a hydrophobic-hydrophilic-layered
architecture allows full penetration through the bicontinuous np-Au network,
resulting in a homogeneous release pattern. Similar architectures could be used
to enhance the efficacy of drug delivery.
PMID- 29376398
TI - Spontaneous coronary artery dissection: Acute findings on coronary computed
tomography angiography.
AB - BACKGROUND: The coronary computed tomography angiography features of acute
spontaneous coronary artery dissection, an important cause of acute coronary
syndrome in young women, have not been assessed. METHODS: The "Virtual"
Multicenter Mayo Clinic Spontaneous Coronary Artery Dissection Registry was
established in 2010 and includes retrospective and prospective patient data.
Retrospective assessment of acute coronary computed tomography angiography images
was performed for 14 patients (16 vessels) who had images performed within two
days of invasive coronary angiography diagnosis of acute spontaneous coronary
artery dissection. RESULTS: Four pertinent diagnostic coronary features of acute
spontaneous coronary artery dissection were observed in order of prevalence: 1)
abrupt luminal stenosis (64%); 2) intramural hematoma (50%); 3) tapered luminal
stenosis (36%); and 4) dissection (14%). Additional findings include epicardial
fat stranding (42%), coronary tortuosity (29%), and coronary bridge (14%). Fifty
percent of patients had myocardial hypoperfusion in the myocardial distribution
of the dissected coronary artery. CONCLUSIONS: We define key coronary computed
tomography angiography features of acute spontaneous coronary artery dissection,
the most common of which are abrupt luminal stenosis and intramural hematoma.
Importantly, intramural hematoma appears similar to noncalcified atherosclerotic
plaque, emphasizing the importance of invasive coronary angiography for acute
diagnosis of spontaneous coronary artery dissection until the sensitivity and
specificity of coronary computed tomography angiography is better understood.
PMID- 29376397
TI - Associations between parental broader autism phenotype and child autism spectrum
disorder phenotype in the Study to Explore Early Development.
AB - The autism spectrum disorder phenotype varies by social and communication ability
and co-occurring developmental, behavioral, and medical conditions. Etiology is
also diverse, with myriad potential genetic origins and environmental risk
factors. Examining the influence of parental broader autism phenotype-a set of
sub-clinical characteristics of autism spectrum disorder-on child autism spectrum
disorder phenotypes may help reduce heterogeneity in potential genetic
predisposition for autism spectrum disorder. We assessed the associations between
parental broader autism phenotype and child phenotype among children of age 30-68
months enrolled in the Study to Explore Early Development (N = 707). Child autism
spectrum disorder phenotype was defined by a replication of latent classes
derived from multiple developmental and behavioral measures: Mild Language Delay
with Cognitive Rigidity, Mild Language and Motor Delay with Dysregulation (e.g.
anxiety/depression), General Developmental Delay, and Significant Developmental
Delay with Repetitive Motor Behaviors. Scores on the Social Responsiveness Scale
Adult measured parent broader autism phenotype. Broader autism phenotype in at
least one parent was associated with a child having increased odds of being
classified as mild language and motor delay with dysregulation compared to
significant developmental delay with repetitive motor behaviors (odds ratio:
2.44; 95% confidence interval: 1.16, 5.09). Children of parents with broader
autism phenotype were more likely to have a phenotype qualitatively similar to
broader autism phenotype presentation; this may have implications for etiologic
research.
PMID- 29376399
TI - Effects of chronic beta-blocker treatment on admission haemodynamics in STEMI
patients treated with primary angioplasty.
AB - BACKGROUND: The association between chronic beta-blocker treatment and
haemodynamics at admission in patients with ST-segment elevation myocardial
infarction treated by primary percutaneous coronary intervention is not well
studied. We investigated the impact of chronic beta-blocker treatment on the risk
of cardiogenic shock and pre-shock at admission in patients with ST-segment
elevation myocardial infarction treated by primary percutaneous coronary
intervention. METHODS AND RESULTS: A total of 4907 patients with ST-segment
elevation myocardial infarction treated with primary percutaneous coronary
intervention were included in the study. A total of 1148 patients (23.3%) were on
chronic beta-blocker treatment. Cardiogenic shock was observed in 264 patients
(5.3%). Pre-shock was defined as a shock index (the ratio of heart rate and
systolic blood pressure) of 0.7 or greater, and was observed in 1022 patients
(20.8%). The risk of cardiogenic shock in patients with chronic beta-blocker
treatment was not increased (adjusted hazard ratio (HR) 0.97, 95% confidence
interval (CI) 0.65-1.46, P=0.90). Chronic beta-blocker treatment was also not
associated with an increased risk of pre-shock (adjusted HR 0.86, 95% CI 0.68
1.07, P=0.19). Also after propensity score matched analysis, there was no
increased risk of cardiogenic shock or pre-shock in patients with chronic beta
blocker treatment (respectively HR 0.97, 95% CI 0.61-1.51, P=0.88 and HR 0.82,
95% CI 0.65-1.06, P=0.12). CONCLUSION: In ST-segment elevation myocardial
infarction, chronic beta-blocker treatment is not associated with an increased
risk of cardiogenic shock or pre-shock.
PMID- 29376401
TI - Polybrominated diphenyl ethers (PBDEs) levels in blood samples from children
living in the metropolitan area of Guadalajara, Jalisco, Mexico.
AB - The aim of this study was to perform a polybrominated diphenyl ethers (PBDEs)
exposure assessment using blood samples collected from children living in the
metropolitan area of Guadalajara, Jalisco, Mexico (GDL). Five congeners of PBDEs
were analyzed using a gas chromatography/mass spectrometry technique. The blood
concentrations of total PBDEs ranged from 5.50 to 169 ng/g lipid (42.0 +/- 18.0
ng/g lipid; mean +/- standard deviation). Regarding BDE congeners, the main
congener (highest blood levels) was BDE99 (14.5 +/- 5.50 ng/g lipid), followed by
BDE100 (9.80 +/- 3.40 ng/g lipid) and BDE154 (9.80 +/- 5.90 ng/g lipid), and
finally BDE153 (5.80 +/- 2.30 ng/g lipid) and BDE47 (2.20 +/- 1.20 ng/g lipid).
In conclusion, blood PBDEs concentrations of concern were detected in this study,
as blood levels were similar to the ones found in North America (the highest
worldwide).
PMID- 29376400
TI - Global named patient use program of afatinib in advanced non-small-cell lung
carcinoma patients who progressed following prior therapies.
AB - AIM: A global afatinib named patient use program in non-small-cell lung carcinoma
(NSCLC) commenced in 2010. MATERIALS & METHODS: Eligible NSCLC patients had
progressed after clinical benefit on prior erlotinib/gefitinib and/or had
activating EGFR/HER2 mutations, exhausted all other treatments, and were
ineligible for afatinib trials. RESULTS: Data, as of January 2016, were reported
on 3966 heavily pretreated NSCLC patients (41 countries; six continents). Among
2595/3966 (65.4%) patients with tumor EGFR status, 2407 (92.8%) were EGFR
mutation positive. Median time to treatment failure (2862/3966 [72.2%] patients
with available data) was 4.4 months. Among 1141/2862 (39.9%) patients with
response reported, objective response rate was 23.4% (267/1141). Safety findings
were as expected. CONCLUSION: Time to treatment failure durations and objective
response rates were encouraging.
PMID- 29376402
TI - Cost assessment of treatment of acute myocardial infarction and angiographically
visible coronary thrombus.
AB - AIM: Study was aimed to assess the real-world costs of manual thrombectomy (MT)
in selected ST-segment elevation myocardial infarction patients with
intracoronary thrombus (IT). METHODS: Study group (IT+) comprised 51 patients
with MT applied and control group (IT-) comprised 56 patients without IT who
underwent angioplasty alone. Costs comprised hospital care and cost of disposable
materials used during primary angioplasty. RESULTS: Complex management of
patients with IT is more expensive, though allows to achieve clinical outcomes
comparable to low-risk ST-segment elevation myocardial infarction patients
without IT. CONCLUSION: A complex pharmaco-interventional strategy, with
glycoprotein IIB/IIIA inhibitor and MT, though more expensive, may prove cost
effective.
PMID- 29376403
TI - Role of Subtalar Arthroscopy in Operative Treatment of Sanders Type 2 Calcaneal
Fractures Using a Sinus Tarsi Approach.
AB - BACKGROUND: This study was conducted to evaluate the usefulness of subtalar
arthroscopy in the operative treatment of Sanders type 2 calcaneus fractures
using a sinus tarsi approach. METHODS: Forty-six Sanders type 2 calcaneal
fractures were consecutively treated using a sinus tarsi approach. Intraoperative
fluoroscopy was used to evaluate fracture reduction in the first 23 patients
(fluoroscopy group), and intraoperative fluoroscopy and subtalar arthroscopy were
used in the latter 23 patients (arthroscopy group). Clinical evaluations were
performed using a visual analog scale, the Ankle-Hindfoot Scale developed by the
American Orthopaedic Foot & Ankle Society, and Short Form Health Survey.
Radiographic evaluations were performed using calcaneal and lateral radiographs
and computed tomography (CT) scans. Bohler's angles and calcaneal widths were
compared between the groups. Reduction of the posterior facet was graded
according to articular step, defect, and angulation of the posterior facet on CT.
RESULTS: At the last follow-up, clinical results as well as Bohler's angles and
calcaneal widths were not different between the groups. On immediately
postoperative CT, reduction of the posterior facet showed a higher-than-good
grade in 17 feet (73.9%) in the fluoroscopy group and a higher-than-good grade in
22 feet (95.7%) in the arthroscopy group, and these values were significantly
different between the groups ( P = .04). CONCLUSION: A combined approach using
fluoroscopy and subtalar arthroscopy showed better reduction of the posterior
facet on CT than using fluoroscopy alone. Therefore, subtalar arthroscopy could
be a useful method for detecting joint incongruence when using the sinus tarsi
approach for Sanders type 2 calcaneal fractures. LEVEL OF EVIDENCE: Level III,
comparative series.
PMID- 29376404
TI - A new benzofuran from the heartwood of Dalbergia latifolia.
AB - A new benzofuran compound, named 2-[5-hydroxy-4-methoxy-2-(3-p-henyl-trans
allyloxy)benzyl]-5-hydroxy-6-methoxy-3-phenylbenzofuran (1), together with (+)
obtusafuran (2) and isoparvifuran (3), was isolated from the heartwood of
Dalbergia latifolia. Their structures were elucidated by a combination of
spectroscopic methods and comparison with the literature. Compounds 2 and 3 were
obtained from this plant for the first time. Compound 1 exhibited moderated
antioxidant effect for scavenging 1,1-diphenyl-2-picryhydrazyl (DPPH) free
radical (IC50 = 96.7 +/- 8.9 MUM).
PMID- 29376405
TI - A new cyclohexenone from the tin mine tailings-derived fungus Aspergillus flavus
YIM DT 10012.
AB - A new cyclohexenone, named phomaligol D (1), together with two known compounds,
kojic acid (2) and phomaligol A (3) were isolated from the tin mine tailings
derived fungus Aspergillus flavus YIM DT 10012. Their structures were elucidated
by detailed analysis of spectroscopic data.
PMID- 29376406
TI - CT-Based Descriptive Classification for Residual Talar Defects Associated With
Failed Total Ankle Replacement: Technique Tip.
PMID- 29376407
TI - Investigation of chemical constituents of safflower and their tyrosinase
inhibitory activity.
AB - Investigation on bioactive chemical constituents of safflower led to the
isolation of 10 compounds from the aqueous extract, including a new alkaloid (1),
a new glucopyranoside (2), and 8 known compounds (3-10). The structures of two
new compounds were elucidated on the basis of extensive spectral analyses,
including 1D, 2D-NMR and HRESIMS. Biological research on the isolates indicated
that compounds 3, 4 and 9 remarkably inhibited tyrosinase with IC50 at 0.11, 0.20
and 0.11 mM, respectively, compared with the positive control arbutin (0.26 mM).
To investigate the interaction between enzyme and isolated compounds, an in
silico docking study was carried out. The research provided valuable experience
for phytochemistry and biological investigation on safflower.
PMID- 29376408
TI - Constructing narratives to describe video events using aided communication.
AB - Narratives are a pervasive form of discourse and a rich source for exploring a
range of language and cognitive skills. The limited research base to date
suggests that narratives generated using aided communication may be structurally
simple, and that features of cohesion and reference may be lacking. This study
reports on the analysis of narratives generated in interactions involving aided
communication in response to short, silent, video vignettes depicting events with
unintended or unexpected consequences. Two measures were applied to the data: the
Narrative Scoring Scheme and the Narrative Analysis Profile. A total of 15
participants who used aided communication interacted with three different
communication partners (peers, parents, professionals) relaying narratives about
three video events. Their narratives were evaluated with reference to narratives
of 15 peers with typical development in response to the same short videos and to
the narratives that were interpreted by their communication partners. Overall,
the narratives generated using aided communication were shorter and less complete
than those of the speaking peers, but they incorporated many similar elements.
Topic maintenance and inclusion of scene-setting elements were consistent
strengths. Communication partners offered rich interpretations of aided
narratives. Relative to the aided narratives, these interpreted narratives were
typically structurally more complete and cohesive and many incorporated more
elaborated semantic content. The data reinforce the robust value of narratives in
interaction and their potential for showcasing language and communication
achievements in aided communication.
PMID- 29376409
TI - Social and structural determinants of HIV treatment and care among black women
living with HIV infection: a systematic review: 2005-2016.
AB - Black/African American (black) women comprised 59% of women living with HIV at
the end of 2014 and 61% of HIV diagnoses among women in 2015. Black women living
with HIV infection (BWLH) have poorer health outcomes compared with women of
other races/ethnicities; social and structural determinants are often cited as
barriers and facilitators of care. The objective of this qualitative review was
to identify social and structural barriers and facilitators of HIV treatment and
care among BWLH. The systematic review was conducted in six-stages using
databases such as PubMed, PsycINFO, and Google Scholar: 1) searched for studies
that enrolled BWLH published between January 2005 and December 2016, 2) excluded
unpublished reports and commentaries, 3) limited the search to our primary
keywords, 4) limited our search to studies that included participants living with
HIV infection that were >60% black and 100% female, 5) extracted and summarized
the data, and 6) conducted a contextual review to identify common themes. Of 534
studies retrieved, 16 were included in the final review. Studies focused on: ART
medication adherence (n = 5), engagement/retention in care (n = 4), HIV care and
treatment services (n = 3), viral suppression (n = 1), and addressing multiple
HIV care outcomes (n = 3). Main barrier themes included lack of family and/or
social support, poor quality HIV services, and HIV-related stigma, particularly
from healthcare providers; facilitator themes included resilience, positive
relationships between case management and support services, high racial
consciousness, and addressing mental health. Interventions that decrease these
noted barriers and strengthen facilitators may help improve care outcomes for
BWLH. Also, more HIV stigma-reduction training for healthcare providers may be
warranted.
PMID- 29376410
TI - Mode of physical activity participation by body mass index: 2015 behavioural risk
factor surveillance system.
AB - Body mass index (BMI) continues to be used as a marker of health due its strong
correlation with adiposity and health. Physical activity (PA) has been shown to
be favourably associated with a desirable BMI. Few studies have examined mode of
PA participation across BMI indices with a mutually exclusive underweight BMI
range. The purpose of this study was to examine the relationship between modes of
PA and BMI. Data from the 2015 Behavioral Risk Factor Surveillance System was
analysed. Underweight, overweight, and obese BMI categories possessed 35, 20, and
46% lower odds of meeting current PA guidelines. The obese BMI group was found to
have lower odds of meeting the aerobic only and strength only guidelines.
Underweight, overweight, and obese groups possessed 63, 18, and 76% greater odds
of meeting neither PA guideline, respectively.
PMID- 29376411
TI - Policies and perceptions on generic drugs: The case of Greece.
AB - The increase in the consumption of generic drugs to reduce pharmaceutical
expenditure is a challenge for many countries, especially during the economic
crisis. The purpose of the present study is to review the Greek market of generic
drugs and the decisions that shape it, to determine the factors that affect Greek
patients' and doctors' attitudes about generic substitution and present a set of
measures for all stakeholders based on the findings of the secondary and primary
analysis. The study includes (a) an analysis of international and national
reports and legislation on drugs policies and (b) a questionnaire survey of 242
hospital patients and 85 doctors regarding their perceptions on generics. A small
increase in the volume of generics is recorded, yet not followed by sales value,
over the recent years that the measures for promoting generics prescription took
effect. Distrust from both patients and doctors was observed toward generics'
effectiveness and toward the appropriateness of the regulatory authorities'
quality controls. The study presents a structured set of viable measures,
applicable to many countries, for promoting generic drug consumption that can
lead to economic efficiency without degrading the health care quality.
PMID- 29376412
TI - Patient empowerment: Its implementation and systems within hospitals in England
and Greece.
AB - INTRODUCTION: International health policies recognise patient empowerment,
resulting in diverse empowerment models and systems. Research on organisational
systems for implementing patient empowerment between countries or from
organisational stakeholders' perspective, however, is limited. Aims and
methodology: This paper explores and compares organisational systems and
structures for patient empowerment implementation in six acute public hospitals
in England and Greece (three in each country), their cross-case and cross
national similarities and differences. It uses a comparative, qualitative,
explanatory embedded case study design. Semi-structured interviews with a
representative sample of stakeholders (n = 33) and documentary sources (n = 79)
were analysed with framework. RESULTS: Two main patient empowerment themes were
identified: (1) organisational leadership, systems, structures; (2) operational
structures, services, mechanisms and activities. Generic organisational systems
and structures for patient empowerment varied across-cases, but with common
organisation of empowerment roles in England and common leadership in Greece.
Operational structures, services and mechanisms supporting empowerment varied
across-cases and cross-nationally, but with similarities in the main services.
CONCLUSION: Implementation of patient empowerment was weaker in Greece than in
England, attributable to differing approaches to strategic and operational
leadership, limited development of strategies and influential organisational
structures. Overall, patient empowerment is well-embedded in organisations with a
highly visible patient empowerment profile; commitment to policies and strategy
implementation at different levels; strategic and operational leadership
investing in dedicated roles with clear authority for patient empowerment,
influential empowerment structures and mechanisms.
PMID- 29376413
TI - A case report and a literature review of primary retroperitoneal mucinous
cystadenoma: the importance of imaging in diagnosis and management.
AB - Primary retroperitoneal mucinous cystadenoma (PRMC) is an extremely rare tumor:
its histogenesis and its biological behavior remain speculative. Since most
retroperitoneal tumors are malignant, a preoperative diagnosis of benignity is
essential and it can be reached through imaging examinations, allowing a
conservative management approach. We describe the case of a 52-year-old woman
with abdominal pain and a palpable mass. Computed tomography of the abdomen
revealed a retroperitoneal cystic mass, which was resected successfully through
laparoscopy and diagnosed as PRMC. Although there are no pathognomonic, clinical
or radiological findings for PRMC, it should be included in the list of
differential diagnoses and its imaging criteria of benignity should always be
sought, with the aim to exclude malignant tumors.
PMID- 29376414
TI - Perceptions of control and improved psychological, physical, and social
functioning in postmenopausal women.
AB - Throughout life, social messages about women's bodies put them at greater risk of
specific psychological health conditions than men; yet little is known about what
psychological factors can help promote mental health in older women. In this
study, we examine how perceptions of control relate to perceptions of
psychological health, in addition to physical health, and social functioning in a
sample of postmenopausal women. Results suggest that increased perceptions of
control relate to improved perceptions of health via a reduction in negative
affect. Implications for promoting health and reducing gendered mental health
disparities are discussed.
PMID- 29376415
TI - LC-ESI-MS/MS and cytotoxic activity of three Pistacia species.
AB - LC-ESI-MS/MS was used for a comprehensive characterisation of ethanol extract
from the leaves of three Pistacia species. After optimisation of the method and
the use of the negative ionisation mode, a total of 42 different compounds were
identified, of which 22 were tentatively characterised in P. chinensis Bunge, 33
in P. khinjuk stocks and 25 in P. lentiscus L. leaves. Flavonoids, phenolic
acids, and their derivatives were the most abundant identified compounds. LC-ESI
MS/MS revealed identification of 15, 18 and 6 not previously detected compounds
in P. chinensis Bunge, P. khinjuk Stocks and P. lentiscus L., respectively. The
three extracts were also tested for their cytotoxic activities against human PC3
prostate cancer, A549 lung cancer, MCF7 breast cancer and HepG2 liver cancer.
Generally, all the extracts have a moderate cytotoxic activity against lung,
breast and prostate cancer, with different IC50. However, only P. lentiscus L.
showed moderate activity against liver cancer.
PMID- 29376416
TI - Influence of well-being variables and recovery state in physical enjoyment of
professional soccer players during small-sided games.
AB - This study aimed to assess the effects of the total quality of recovery and well
being indices (self-ratings of sleep during the preceding night, stress, fatigue
and delayed onset muscle soreness) on rating of perceived exertion (RPE) and
physical enjoyment (PE) during small-sided games. A total of 20 professional
soccer players (25 +/- 0.8 years) completed four 5-a-side game sessions of 25-min
duration each (4 * 4 min work with 3-min passive recovery in-between). All
variables were collected before each game session with the exception of RPE and
Physical Activity Enjoyment Scale that were collected after. The results
demonstrate that recovery state and pre-fatigue states were not contributing
signals of affected internal intensity and enjoyment of players. The study
established the objectivity and utility of RPE as a useful tool for determining
internal intensity during soccer-specific training as well as PE for assessing
emotional response during exercise or training session.
PMID- 29376417
TI - Adhesion of Legionella pneumophila on glass and plumbing materials commonly used
in domestic water systems.
AB - We aimed to investigate the adhesion of Legionella pneumophila serogroup1 and L.
pneumophila serogroup2-15 on glass, galvanized steel, stainless steel, copper,
Polyvinyl chloride(PVC), Cross-linked polyethylene(PEX-c) and Polypropylene
Random Copolymer(PPR). The surface physicochemical properties of both bacterial
cells and materials were estimated through contact angle measurements. The
roughness and surface topography of the materials were evaluated by Atomic Force
Microscopy. The two L. pneumophila serogroups and plumbing materials showed a
hydrophobic character, while glass surface was hydrophilic. All strains were
adhered to all materials with the exception of copper. The result showed that the
adhesion of both L. pneumophila sg1 and sg2-15 was systematically expressed with
high intensity on galvanized steel followed by PVC, PEX-c, PPR, stainless steel
and the low intensity on glass. The extent of adhesion is in correlation with the
surface roughness and acid-bases interactions, while hydrophobicity seems to have
no effect in adhesion intensity.
PMID- 29376418
TI - Growth and liver histology of Channa punctatus exposed to a common biofertilizer.
AB - Mustard oil cake (MOC) is widely used as biofertilizer in the field of
agriculture and aquaculture. Channa punctatus was exposed to 0.42 g.L-1 sublethal
concentration for 4, 7, 14, 21 and 28 days. Due to such exposure, body growth and
histological changes in liver were observed. It was revealed that weight, length
and breadth of fish were gradually increased with the days of exposure in compare
to control fish, whereas, liver showed an increase in sinusoidal space and
lipidosis during early days, followed by a recovery from the stress of MOC on the
28th day.
PMID- 29376419
TI - Rosmarinic acid protects on rat bone marrow mesenchymal stem cells from hydrogen
peroxide-induced apoptosis.
AB - To investigate the anti-oxidant activities and mechanism of rosmarinic acid (RA)
on rat bone marrow mesenchymal stem cells (rBMSCs) from ischemia-induced
apoptosis in vitro, which was established using H2O2-damage and analyzed for cell
viability, cell apoptosis, ROS, morphological changes, and levels of apoptosis
proteins. Pretreatment with RA significantly suppressed the generation of ROS,
protected the morphological changes of cells, decrease the ratio of cell
apoptosis, down-regulated the level of caspase-3, caspase-9, Bax/Bcl-2, and up
regulated the level of p-PI3K. These findings suggest that RA may protect rBMSCs
from H2O2-induced apoptosis by partly regulating PI3K/Akt signaling pathway and
can be developed as a potential anti-apoptotic agent for therapy in
cardiovascular diseases.
PMID- 29376420
TI - Development and preliminary validation of a scale to measure patient uncertainty:
The "Uncertainty Scale".
AB - Research suggests that patient uncertainty related to experiencing symptoms may
drive decisions to seek care. The only validated measure of patient uncertainty
assesses uncertainty related to defined illness. In prior work, we engaged
patients to describe uncertainty related to symptoms and used findings to develop
the 'U-Scale' scale. In this work, we present results from preliminary scale
reliability and validity testing. Psychometric testing demonstrated content
validity, high internal consistency, and evidence for concurrent validity. Next
steps include administration in diverse populations for continued refinement and
validation, and exploration of the potential contribution of uncertainty to
healthcare utilization.
PMID- 29376421
TI - Comments on "Transcutaneous electrical nerve stimulation improves walking
capacity and reduces spasticity in stroke survivors: a systematic review and meta
analysis".
PMID- 29376423
TI - [Pathophysiology, clinical and experimental possibilities of pericardial
tamponade].
AB - Acute pericardial tamponade is one of the most emergent clinical scenarios in
cardiac surgery. With numerous causes in the background, pericardial tamponade
can lead to cardiogenic shock and death. In modern diagnostic era, the
recognition of pericardial tamponade is simple, but its management and the long
term effects can still be challenging. Without the detailed understanding of the
pathophysiological pathways diagnostic and therapeutic management plans of
pericardial tamponade is very difficult. The aim of this review was to give a
complex picture of pericardial tamponade, from its role in medical history to
pathophysiology and its significance in surgical experimental models. Orv Hetil.
2018; 159(5): 163-167.
PMID- 29376424
TI - [The potential role of oscillometric devices for ankle-brachial index
measurements in clinical practice].
AB - INTRODUCTION: Oscillometric devices in contrast to the traditional Doppler based
method for ankle-brachial index measurements have promising advantages like no
need for special training, faster performance, and operator independence. AIM:
Comparative assessment of the oscillometric and Doppler-based ankle-brachial
index measurement. METHOD: Ankle-brachial index measurements were performed by
continuous wave Doppler and an automatic oscillometric device (BOSO ABI-system
100) in consecutive subjects. The comparative assessment was performed by Bland
Altman and ROC analysis. RESULTS: The two kinds of measurements (734
measurements) showed a good agreement in the ankle-brachial index spectrum close
to the cut-off value of 0.9. The agreement diminished below or above this value.
The optimal oscillometric ankle-brachial index diagnostic cut-off value was 0.96.
CONCLUSIONS: The oscillometric device is not interchangeable for Doppler devices
in the whole ankle-brachial index spectrum. Nevertheless, owing to its
discriminative power, the oscillometric measurement potentially has an efficient
role in the screening of asymptomatic patients. Orv Hetil. 2018; 159(5): 176-182.
PMID- 29376425
TI - [Hungarian health resource allocation from the viewpoint of the English
methodology].
AB - INTRODUCTION AND AIM: This paper describes both the English health resource
allocation and the attempt of its Hungarian adaptation. METHOD: We describe
calculations for a Hungarian regression model using the English 'weighted
capitation formula'. RESULTS: The model has proven statistically correct. New
independent variables and homogenous regional units have to be found for Hungary.
CONCLUSION: The English method can be used with adequate variables. Hungarian
patient-level health data can support a much more sophisticated model. Further
research activities are needed. Orv Hetil. 2018; 159(5): 183-191.
PMID- 29376426
TI - [Systemic mastocytosis with progressive disease course].
AB - Authors report on a case of a male patient of systemic mastocytosis that was
associated with extensive cutaneous lesions. Chronic diarrhoea worsening his
quality of life was well managed by the administration of antihistamines. The
pleural fluid recurrence soon after drainage has been controlled by the
administration of alpha interferon. 40 years after the onset of the first skin
signs progression has been manifested in the development of "B" (bone marrow
infiltration rate >30%, dysmyelopoiesis, serum tryptase >20 MUg/L, hepato- and
splenomegaly) and "C" symptoms (liver function test abnormalities, cytopenia,
malabsorption, osteoporosis). The patient died at age of 87. The authors' aim was
to attract attention on this rare disease and emphasize that symptomatic therapy
with antihistamines and drugs available based on customised rights by the
National Health Insurance Fund might provide good quality of life. Orv Hetil.
2018; 159(5): 192-196.
PMID- 29376428
TI - Green synthesis and antioxidant activity of novel series of benzofurans from
euparin extracted of Petasites hybridus.
AB - A novel class of benzofuran derivatives is prepared from the isocyanide-based
MCR, euparin and aldehydes in the presence of ZnO-nanorods as a catalyst in
excellent yields at room temperature under solvent-free conditions as a green
reaction medium. Also, the antioxidant activities of some synthesised compounds
such as 4a, 4b, 10a and 10b were evaluated by DPPH radical scavenging and ferric
reduction activity potential (FRAP) assays. Compound 10b, was shown moderate
radical scavenging activity and very good reducing activity compared to standards
(BHT and TBHQ).
PMID- 29376427
TI - [The role of apolipoprotein M and sphingosine 1-phosphate axis in the prevention
of atherosclerosis].
AB - Previous studies showed that plasma levels of high-density lipoprotein (HDL)
cholesterol are inversely related to risk of cardiovascular diseases. However, in
the last few decades it became obvious that beyond its plasma level, HDL
structure and function have a critical role in its anti-atherogenic efficacy.
Apolipoprotein M (ApoM) is an HDL-associated plasma protein affecting HDL
metabolism and exhibits various anti-atherosclerotic functions, such as
protection against oxidation and regulation of cholesterol efflux. Sphingosine 1
phosphate (S1P) is a potent sphingolipid mediator that regulates numerous
cellular responses including cell differentiation and migration, apoptosis and
vascular inflammation. The majority of S1P is associated to ApoM containing HDL
particles. Therefore, ApoM and S1P content of HDL have an impact on the
atherosclerotic process. Moreover, HDL-ApoM and S1P content can be altered in
several pathologic conditions such as coronary artery disease. This review covers
the currently available data on the contribution of ApoM and S1P to HDL function
in health and cardiovascular diseases. Orv Hetil. 2018; 159(5): 168-175.
PMID- 29376430
TI - Modeling of quantitative relationships between physicochemical properties of
active pharmaceutical ingredients and tensile strength of tablets using a boosted
tree.
AB - OBJECTIVES: The aim of this study was to explore the potential of boosted tree
(BT) to develop a correlation model between active pharmaceutical ingredient
(API) characteristics and a tensile strength (TS) of tablets as critical quality
attributes. METHODS: First, we evaluated 81 kinds of API characteristics, such as
particle size distribution, bulk density, tapped density, Hausner ratio, moisture
content, elastic recovery, molecular weight, and partition coefficient. Next, we
prepared tablets containing 50% API, 49% microcrystalline cellulose, and 1%
magnesium stearate using direct compression at 6, 8, and 10 kN, and measured TS.
Then, we applied BT to our dataset to develop a correlation model. Finally, the
constructed BT model was validated using k-fold cross-validation. RESULTS:
Results showed that the BT model achieved high-performance statistics, whereas
multiple regression analysis resulted in poor estimations. Sensitivity analysis
of the BT model revealed that diameter of powder particles at the 10th percentile
of the cumulative percentage size distribution was the most crucial factor for
TS. In addition, the influences of moisture content, partition coefficients, and
modal diameter were appreciably meaningful factors. CONCLUSIONS: This study
demonstrates that BT model could provide comprehensive understanding of the
latent structure underlying APIs and TS of tablets.
PMID- 29376431
TI - Plasma sE-cadherin and the plasma sE-cadherin/sVE-cadherin ratio are potential
biomarkers for chronic obstructive pulmonary disease.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is characterized by
airway inflammation with endothelial dysfunction. Cadherins are adhesion
molecules on epithelial (E-) and vascular endothelial (VE-) cells. Soluble (s)
cadherin is released from the cell surface by the effects of proteases including
matrix metalloproteinases (MMPs). OBJECTIVE: The aim of this study was to examine
the associations of sE-/sVE-cadherin levels in plasma with the development of
COPD. METHODS: Plasma sE-/VE-cadherin levels were measured by an enzyme-linked
immunosorbent assay in 115 patients with COPD, 36 symptomatic smokers (SS), 63
healthy smokers (HS) and 78 healthy non-smokers (HN). sE-cadherin and MMP-7
levels in epithelial lining fluid (ELF) were measured in 24 patients (12 COPD and
12 control). RESULTS: Plasma sE-cadherin levels and sE-cadherin/sVE-cadherin
ratios were significantly higher in COPD and SS than in HS and HN groups, while
plasma sVE-cadherin levels were lower in COPD than in HS and HN groups (p <
0.0001). sE-cadherin levels paralleled the severity of airflow limitation in both
plasma (p < 0.01) and ELF (p < 0.05), while plasma sVE-cadherin levels were
inversely correlated with the extent of emphysema (p < 0.05). MMP-7 levels were
correlated with sE-cadherin levels in ELF. CONCLUSIONS: Plasma sE-cadherin levels
and sE-cadherin/sVE-cadherin ratios are potential biomarkers for COPD.
PMID- 29376432
TI - Modifications in drug adherence after switch to fixed-dose combination of
perindopril/amlodipine in clinical practice. Results of a large-scale Italian
experience. The amlodipine-perindopril in real settings (AMPERES) study.
AB - OBJECTIVE: The purpose of this study was to assess the changes in adherence to
treatment, in patients who switched from perindopril and/or amlodipine as a
monotherapy (single-pill therapy, SPT) or two-pill combinations to fixed-dose
combination (FDC) therapy. METHODS: A large retrospective cohort study, in three
Italian Local Health Units, was performed. All adult subjects who received at
least one prescription of anti-hypertensive drugs between January 1, 2010 and
December 31, 2014 were selected. The date of the first anti-hypertensive
prescription was defined as the index-date (ID). For each patient, we evaluated
the anti-hypertensive therapy and the adherence to treatment during the two 12
month periods preceding and following the ID. Changes in the level of adherence
have been compared in patients who switched to the FDC of perindopril/amlodipine
after the ID, as well as in patients who did not. RESULTS: A total of 24,020
subjects were initially included in the study. Subjects treated with the free
dose combination switched more frequently to FDC of perindopril/amlodipine than
subjects treated with SPT (p < .001). Adherence to treatment was found to be
higher in the 3,597 subjects who switched to the perindopril/amlodipine FDC
therapy, than in the 20,423 subjects who did not. A significant decrease in the
number of concomitant anti-hypertensive drugs has been observed in patients
treated with the same FDC. CONCLUSIONS: The results show that
perindopril/amlodipine FDC increases the rate of stay-on-therapy and reduces the
number of concomitant anti-hypertensive drugs in subjects previously treated with
the same drugs as a two-pill combination or as SPT.
PMID- 29376433
TI - Process, optimization, and characterization of budesonide-loaded nanostructured
lipid carriers for the treatment of inflammatory bowel disease.
AB - The major challenge involved in the treatment of inflammatory bowel disease is
targeted delivery of the drug at the site of inflammation. As nanoparticles
possess the ability to accumulate at the site of inflammation, present
investigation aims at development of Budesonide-loaded nanostructured lipid
carrier systems (BDS-NLCs) for the treatment of inflammatory bowel disease. BDS
NLCs were prepared by employing a high pressure homogenization technique. Various
preliminary trials were performed for optimization of the NLCs in which different
processes, as well as formulation parameters, were studied. The BDS-NLCs was
optimized statistically by applying a 3-factor/3-level Box-Behnken design. Drug
concentration, surfactant concentration, and emulsifier concentration were
selected as independent variables, and % entrapment efficiency and particle size
were selected as dependent variables. The best batch comprises of 10%, 7%, and
20% w/w concentration of drug, surfactant, and emulsifier, respectively, with %
entrapment efficiency of 92.66 +/- 3.42% and particle size of 284.0 +/- 4.53 nm.
Further, in order to achieve effective delivery of nanoparticulate system to
colonic region, the developed BDS-NLCs were encapsulated in Eudragit(r) S100
coated pellets. The drug release studies of pellets depict intactness of BDS-NLCs
during palletization process, with f2 value of 75.879. The in vitro evaluation of
enteric-coated pellets revealed that a coating level of 15% weight gain is needed
in order to impart lag time of 5 h (transit time to reach colon). The results of
the study demonstrate that the developed BDS-NLCs could be used as a promising
tool for the treatment of inflammatory bowel disease.
PMID- 29376434
TI - Exercise and cognitive function in people living with HIV: a scoping review.
AB - BACKGROUND: Since the advent of antiretrovirals, people with HIV are living
longer and have improved quality of life. However, 30-60% of these individuals
experience cognitive impairment. Fortunately, physical activity has emerged as a
management strategy for cognitive impairment. PURPOSE: To map the evidence on
physical activity and cognition in HIV. METHODS: We searched five databases using
terms related to physical activity and HIV. Two authors independently reviewed
titles and abstracts for studies that addressed physical activity/exercise and
cognition in people with HIV. Authors reviewed full texts to identify articles
that met our inclusion criteria. One author extracted the data, then we collated
the results and summarized the characteristics of included studies. RESULTS:
Sixteen studies from high-income countries were included; eight were
interventional (five randomized controlled trials and three pre-post single group
observational studies) and eight were non-interventional studies. The
interventional studies included aerobic, resistive, and Tai Chi exercise for 8
weeks to 12 months in duration. Two of eight interventional studies found
exercise to benefit self-reported cognition. All eight non-interventional studies
showed a positive relationship between physical activity and cognitive function.
CONCLUSIONS: Results of this study suggest that physical activity may preserve or
improve cognition in people living with HIV. Implications for Rehabilitation
Physical activity may play a role in preserving or improving cognition in the
human immunodeficiency virus population. Exercise should be prescribed for people
with human immunodeficiency virus based on the stage of infection. Rehabilitation
professionals should follow current exercise guidelines when prescribing exercise
for people living with human immunodeficiency virus.
PMID- 29376435
TI - Insights into future therapeutics for atopic dermatitis.
AB - INTRODUCTION: Atopic Dermatitis (AD) is a common chronic inflammatory skin
disorder with a constellation of symptoms. Currently, there are numerous
therapies in various phases of drug development that target the pathogenesis of
AD. Areas covered: Our paper aims to examine small molecule therapies and other
novel agents registered for clinical trial in the phase II and mainly phase III
stages of development. A literature search using PubMed as well as
Clinicaltrials.gov was conducted. Clinical trial evidence of these novel agents
was compiled and assessed. Both topical and oral novel therapies with diverse
range of mechanistic action are currently being studied, with varying success.
These include phosphodiesterase-4 inhibitors, boron molecules, Janus kinase
inhibitors, cannabinoid receptors agonists, kappa-opioid receptor agonists. A
variety of compounds with yet undisclosed or unknown mechanisms of action are
also being studied. Expert opinion: Further research through extensive clinical
trials will allow for more information about these targeted therapies and their
potential place in the treatment algorithm of AD. Due to the success of such
therapies in treating a spectrum of chronic inflammatory diseases, we remain
hopeful that the successful development of targeted therapy for AD lies ahead.
PMID- 29376436
TI - Efficacy and safety of plecanatide in treating constipation predominant irritable
bowel syndrome.
AB - INTRODUCTION: Uroguanylin interacting with intestinal Guanylate Cyclase C (GC-C)
receptors plays an important role in gastrointestinal fluid and electrolyte
homeostasis. Plecanatide is the first uroguanylin analog that stimulates GC-C
receptors on gastrointestinal mucosa with pH-sensitive receptor binding. Binding
to the GC-C receptor activates intracellular conversion of GTP to cGMP resulting
in the stimulation of intestinal fluid secretion. Areas covered: Herein, all
published research regarding the development of and clinical experience with
plecanatide is reviewed. Clinical study results in patients with Chronic
Idiopathic Constipation (CIC) and Irritable Bowel Syndrome with Constipation (IBS
C) are also reviewed. Success in the treatment of CIC and IBS-C is supported by
beneficial effects on stool viscosity, Complete Spontaneous Bowel Movements and
visceral sensation. Finally, the discussion within focuses on the importance of
plecanatide in understanding the physiology of uroguanylin, the pathophysiology
of IBS-C and the potential for development of uroguanylin and guanylin analogs.
Expert opinion: Given this broad spectrum of potential activity for GC-C
agonists, it would not be surprising to see that the use of agents such as
plecanatide in new areas grow to a level even greater than the use for the
present CIC and IBS-C indications.
PMID- 29376437
TI - Hematopoietic stem cell transplant in adults with acute lymphoblastic leukemia:
the present state.
AB - INTRODUCTION: Allogeneic hematopoietic stem cell transplant (allo-HSCT) has an
important role in management of acute lymphoblastic leukemia (ALL). Proper
patient selection is central to ensure optimal outcomes. Areas covered: This
review covers various aspects of HSCT in ALL patients, including indications,
donor selection, conditioning regimens, and post-transplant management. Expert
commentary: Allo-HSCT is important in post-remission management of ALL but proper
risk-stratification is a major challenge. Incorporation of minimal residual
disease (MRD) and molecular testing will improve patient allocation. Patients
receiving pediatric-inspired induction who achieve molecular remission might not
need allo-HSCT in first remission. Allo-HSCT should be considered in patients who
don't achieve MDR negativity, didn't receive intensive induction, or have high
risk cytogenetic and molecular features. Despite improved responses with tyrosine
kinase inhibitors (TKIs) in Philadelphia positive (Ph+) ALL, allo-HSCT remains
standard. Matched sibling donors are the optimal graft source, but other sources
are valid alternatives. There is no single optimal conditioning regimen and
retrospective studies found myeloablative and reduced intensity regimens to be
comparable. Following allo-HSCT, there is no role for maintenance therapy in
Philadelphia-negative ALL. In Ph+ ALL, maintenance TKIs improve outcomes. The
integration of targeted and immunotherapies in the peri-transplant period holds
potential for improved outcomes.
PMID- 29376438
TI - N-methyl-D-aspartate receptor encephalitis: laboratory diagnostics and
comparative clinical features in adults and children.
AB - INTRODUCTION: N-methyl-D-aspartate receptor (NMDAR) encephalitis is the most
common autoimmune encephalitis due to autoantibodies against neuronal surface
antigens, can affect both children and adults, leading to neurological and
neuropsychological sequelae. However, it is potentially treatable and the prompt
start of immunotherapy associates with better prognosis. Conversely, misdiagnosis
can be harmful. The detection of NMDAR antibodies in serum and cerebrospinal
fluid plays a pivotal role in the diagnostic work-up. Reliable methods for NMDAR
antibody detection are thus fundamental to assure accurate diagnosis and allow
early treatments. Areas covered: This review recapitulates the pathogenic
mechanisms of NMDAR encephalitis as a model of antibody mediated synaptopathy,
and gives insights into the related state-of-the-art laboratory testing. The
differences in clinical presentations, tumor associations and responses to
treatments between adults and children are also described. Expert commentary: The
relevance of NMDAR encephalitis has placed neuroimmunology laboratories in a
crucial position, but methods for NMDAR antibody detection are awaiting thorough
and consensus-based standardizations. In the next few years, this process, along
with novel insights into the pathogenic mechanisms, could improve the disease
management and clarify the still pending role of NMDAR antibodies in healthy
people and in other more common neuropsychiatric disorders.
PMID- 29376439
TI - Pharmacotherapy for obesity in individuals with type 2 diabetes.
AB - INTRODUCTION: Type 2 diabetes (T2DM) is associated with significant morbidity and
mortality. Obesity is one of the main risk factors for T2DM and its management
requires a multidisciplinary approach, which may include pharmacotherapy. Areas
covered: In this paper, data on efficacy, tolerability and safety of FDA-approved
pharmacotherapies for obesity (orlistat, phentermine/topiramate extended-release,
lorcaserin, bupropion sustained release/naltrexone sustained release and
liraglutide) are reviewed, focusing on individuals with type 2 diabetes. Expert
opinion: Obesity is the major pathophysiologic driver of T2DM; conversely 5-10%
weight loss leads to significant improvement in glycemic control, lipids and
blood pressure. Weight loss maintenance is difficult with lifestyle interventions
alone and may require adjunctive therapies. There is good evidence for the
efficacy and tolerability of approved anti-obesity pharmacotherapies in
individuals with T2DM, with current cardiovascular safety data being most
favorable for liraglutide, orlistat and lorcaserin. Given the link between
obesity and T2DM, a weight-centric therapeutic approach including use of weight
reducing anti-diabetic therapies, and anti-obesity pharmacotherapies is both
intuitive and rational to improve glycemic and other metabolic outcomes in
patients with T2DM.
PMID- 29376440
TI - Advances in cardiorespiratory physiotherapy and their clinical impact.
AB - INTRODUCTION: Cardiorespiratory physiotherapy is an evidence-based practice that
has evolved alongside changes in medical and surgical management, analgesia, the
ageing society and increasing comorbidities of our patient populations. Continued
research provides the profession with the ability to adapt to meet the changing
patient and community needs. Areas covered: This review focuses on surgical,
respiratory and critical care settings discussing the most significant changes
over the past decade with an increased focus on rehabilitation across the care
continuum and a shift away from providing predominately airway clearance in
established disease populations but also providing this in emerging groups.
Further important changes are identification and emphases on patient self
management including changing their behaviour to more positively embrace
wellness, particularly increasing physical activity levels. This paper outlines
these changes and offers speculation on factors that may impact the profession in
the future. Expert commentary: The increasing focus on new technologies, physical
activity levels, changes to the health systems in different countries and an
increasingly comorbid and ageing society will shape the next steps in the
evolution of cardiorespiratory physiotherapy. Continued research is vital to keep
pace with these changes so that physiotherapists can provide the most effective
treatments to improve patient outcomes.
PMID- 29376441
TI - Spontaneous haemorrhage into a large abducens nerve schwannoma: a case report.
AB - PURPOSE: Abducens schwannomas are rare tumors that are not known to present with
acute haemorrhage. We present a case of a 59 year-old female on warfarin who
presented acutely with a sudden onset headache, nausea and photophobia.
Neuroimaging revealed an acute haemorrhage into a lesion that entered Dorello's
canal and was consistent with an abducens nerve schwannoma. MATERIALS AND
METHODS: The patient's case notes, imaging, histology and operative recording
were reviewed retrospectively to compile this case report. RESULTS: The tumor was
resected via a retro-mastoid approach with sacrifice of the abducens nerve.
Removal of the haematoma intra-operatively provided more space in the surgical
corridor to facilitate resection. Final histological examination confirmed the
diagnosis of schwannoma and the patient made a good post-operative recovery.
CONCLUSION: We conclude that accurate pre-operative radiological diagnosis can
facilitate surgical planning and removal of haematoma at an early stage during
the operation can create space and facilitate resection. Furthermore, abducens
schwannoma should be considered in the differential diagnosis of any heamorrhagic
cerebello-pontine angle lesion.
PMID- 29376442
TI - Drug delivery targets and systems for targeted treatment of rheumatoid arthritis.
AB - Rheumatoid arthritis (RA) is an immune-mediated inflammatory disease that
selectively attacks human joints. The common non-targeted treatment approaches
lead to obvious side effect and systemtic complication for RA patients.
Therefore, targeted drug delivery for treatment of RA has gained much attetntion
in the past few years. In this paper, we reviewed the potential targets (folate
receptor, angiogenesis, matrix metalloproteases, selectins, vasoactive intestinal
peptide receptor andFc-gamma receptor) that could be utilised to facilitate the
specific delivery of drugs to the inflammed synovium and also presented different
drug delivery systems for targeting RA, including the liposomes, various types of
nanoparticles, polymeric micelles and the macromolecular prodrugs. The strategies
combining nanotechnologies and ligand mediated active targeting for RA would be
emphatically illustrated, which was expected to be helpful for identifying
technologies and drug delivery methods for targeted treatment of RA.
PMID- 29376443
TI - Old and new synthetic cannabinoids: lessons from animal models.
AB - Synthetic cannabinoids have long been studied for their therapeutic potentials.
However, during the last decade, new generations of synthetic cannabinoid
agonists appeared on the drug market. These new psychoactive substances are
currently sold as 'marijuana-like' products as they claim to mimic the effects of
the psychoactive component of cannabis, delta-9-tetrahydrocannabinol (THC). Yet,
their effects are more intense and potent than THC, typically last longer and are
often associated to serious psychiatric consequences. Animal models of drug
addiction are frequently used in preclinical research to assess the abuse
potential of new compounds, evaluate drug positive reinforcing effects and
analyze drug-induced behaviors. Some of these protocols have been used recently
to study the newly synthesized cannabinoid agonists and have started elucidating
their pharmacology and actions in the brain. The aim of this review is to
summarize the major findings reported by animal studies that tested synthetic
cannabinoids of first, second, and third generation by using self-administration
and reinstatement models, drug discrimination and conditioned place preference
procedures. Altogether, behavioral studies clearly indicate that synthetic
cannabinoids possess abuse liability, are likely to activate the brain reward
circuit and induce positive subjective and reinforcing effects.
PMID- 29376444
TI - Hot-spot analysis for drug discovery targeting protein-protein interactions.
AB - INTRODUCTION: Protein-protein interactions are important for biological processes
and pathological situations, and are attractive targets for drug discovery.
However, rational drug design targeting protein-protein interactions is still
highly challenging. Hot-spot residues are seen as the best option to target such
interactions, but their identification requires detailed structural and energetic
characterization, which is only available for a tiny fraction of protein
interactions. Areas covered: In this review, the authors cover a variety of
computational methods that have been reported for the energetic analysis of
protein-protein interfaces in search of hot-spots, and the structural modeling of
protein-protein complexes by docking. This can help to rationalize the discovery
of small-molecule inhibitors of protein-protein interfaces of therapeutic
interest. Computational analysis and docking can help to locate the interface,
molecular dynamics can be used to find suitable cavities, and hot-spot
predictions can focus the search for inhibitors of protein-protein interactions.
Expert opinion: A major difficulty for applying rational drug design methods to
protein-protein interactions is that in the majority of cases the complex
structure is not available. Fortunately, computational docking can complement
experimental data. An interesting aspect to explore in the future is the
integration of these strategies for targeting PPIs with large-scale mutational
analysis.
PMID- 29376445
TI - Exploring Australian speech-language pathologists' use and perceptions ofnon
speech oral motor exercises.
AB - PURPOSE: To explore Australian speech-language pathologists' use of non-speech
oral motor exercises, and rationales for using/not using non-speech oral motor
exercises in clinical practice. METHODS: A total of 124 speech-language
pathologists practising in Australia, working with paediatric and/or adult
clients with speech sound difficulties, completed an online survey. RESULTS: The
majority of speech-language pathologists reported that they did not use non
speech oral motor exercises when working with paediatric or adult clients with
speech sound difficulties. However, more than half of the speech-language
pathologists working with adult clients who have dysarthria reported using non
speech oral motor exercises with this population. The most frequently reported
rationale for using non-speech oral motor exercises in speech sound difficulty
management was to improve awareness/placement of articulators. The majority of
speech-language pathologists agreed there is no clear clinical or research
evidence base to support non-speech oral motor exercise use with clients who have
speech sound difficulties. CONCLUSIONS: This study provides an overview of
Australian speech-language pathologists' reported use and perceptions of non
speech oral motor exercises' applicability and efficacy in treating paediatric
and adult clients who have speech sound difficulties. The research findings
provide speech-language pathologists with insight into how and why non-speech
oral motor exercises are currently used, and adds to the knowledge base regarding
Australian speech-language pathology practice of non-speech oral motor exercises
in the treatment of speech sound difficulties. Implications for Rehabilitation
Non-speech oral motor exercises refer to oral motor activities which do not
involve speech, but involve the manipulation or stimulation of oral structures
including the lips, tongue, jaw, and soft palate. Non-speech oral motor exercises
are intended to improve the function (e.g., movement, strength) of oral
structures. The majority of speech-language pathologists agreed there is no clear
clinical or research evidence base to support non-speech oral motor exercise use
with clients who have speech sound disorders. Non-speech oral motor exercise use
was most frequently reported in the treatment of dysarthria. Non-speech oral
motor exercise use when targeting speech sound disorders is not widely endorsed
in the literature.
PMID- 29376446
TI - Linking of assessment scales for women with urinary incontinence and the
International Classification of Functioning, Disability and Health.
AB - PURPOSE: This study aimed to link the content of four most used questionnaires to
assess the quality of life of women with urinary incontinence (International
Consultation on Incontinence Questionnaire; King's Health Questionnaire;
Incontinence Quality of Life Questionnaire and Bristol Female Urinary Tract
Symptoms Questionnaire) with the International Classification of Functioning,
Disability and Health (ICF). METHODS: Linking the questionnaires content and the
ICF was performed by two independent reviewers, with an excellent concordance
level (k = 0.941), using the method described by Cieza et al. RESULTS: A total of
94 items were analyzed, in which 154 meaningful concepts were identified and
linked to 48 ICF categories, of which 49% were from activities and participation
component (d) and 36.8% from body functions (b). CONCLUSIONS: The scales are
linked with the classification at different levels. The International
Consultation on Incontinence Questionnaire was the most limited instrument.
Bristol Female Urinary Tract Symptoms Questionnaire and Incontinence Quality of
Life Questionnaire presented greater agreement with the ICF, but the concepts in
their items are mostly linked to body functions, denoting a biomedical vision.
King's Health Questionnaire demonstrated greater affinity with the ICF, and most
concepts were related to the activities and participation categories, approaching
more effectively the biopsychosocial model. Implications for Rehabilitation
Choosing the right instrument to assess the quality of life of women with urinary
incontinence can be a difficult task, since the instruments have different
approaches. The use of International Classification of Functioning, Disability
and Health as a reference among health professionals involved in rehabilitation
of women with urinary incontinence will promote unification of the language about
this group functioning. The comparison among instruments presented here will help
the professional to choose the one that provides the greatest amount of
information in a consistent way, aiding the clinical decision-making process.
PMID- 29376447
TI - Characterizing disease-associated changes in post-translational modifications by
mass spectrometry.
AB - INTRODUCTION: Exploring post-translational modifications (PTMs) with the use of
mass spectrometry (PTMomics) is a rapidly developing area, with methods for
discovery/quantification being developed and advanced on a regular basis. PTMs
are highly important for the regulation of protein function, interaction and
activity, both in physiological and disease states. Changes in PTMs can either
cause, or be the result of a disease, making them central for biomarker studies
and studies of disease pathogenesis. Recently, it became possible to study
multiple PTMs simultaneously from low amount of sample material, thereby
increasing coverage of the PTMome obtainable from a single sample. Thus,
quantitative PTMomics holds great potential to discover biomarkers from tissue
and body fluids as well as elucidating disease mechanisms through
characterization of signaling pathways. Areas covered: Recent mass spectrometry
based methods for assessment of the PTMome, with focus on the most studied PTMs,
are highlighted. Furthermore, both data dependent and data independent
acquisition methods are evaluated. Finally, current challenges in the field are
discussed. Expert commentary: PTMomics holds great potential for clinical and
biomedical research, especially with the generation of spectral libraries of
peptides and PTMs from individual patients (permanent PTM maps) for use in
personalized medicine.
PMID- 29376448
TI - Desmopressin and nocturnal voiding dysfunction: Clinical evidence and safety
profile in the treatment of nocturia.
AB - INTRODUCTION: Nocturia is a common urinary condition experienced by both men and
women. While desmopressin has historically been utilized to treat conditions such
as central diabetes insipidus and primary nocturnal enuresis, there is an
increased interest in the use of desmopressin in the management of adult
nocturia. Areas covered: This article provides a review on the pathophysiology of
nocturia and the clinical outcomes and safety profile of desmopressin in the
management of adult nocturnal voiding dysfunction. Expert opinion: To date,
desmopressin is the only anti-diuretic hormone that is approved for nocturia.
Published literature on desmopressin demonstrate good clinical efficacy in terms
of number of nocturnal voids, voided volume and sleep period. Newer formulations
have shown that a minimum dosage of 25 MUg orally disintegrating sublingual
desmopressin appears to be ideal for women, whereas men usually benefit from a
minimum of 50 MUg. Of the known adverse drug reactions, hyponatremia remains a
major concern especially in patients over 65 years of age. At present, long term
data on desmopressin remains scarce. Lastly, it is important to stress that no
single treatment deals with nocturia in all contexts, and careful assessment
remains essential to identify the appropriate and safest treatment in each
patient.
PMID- 29376449
TI - Folate receptor-targeted lipid-albumin nanoparticles (F-LAN) for therapeutic
delivery of an Akt1 antisense oligonucleotide.
AB - BACKGROUND: RX-0201 is an antisense oligonucleotide (ASO) against Akt1 currently
in clinical trial for metastatic renal cancer. PURPOSE: To improve the delivery
of RX-0201 using folate receptor-targeted lipid-albumin nanoparticles (F-LAN).
METHODS: F-LAN were synthesized with the composition of DOTAP/soyPC/TPGS/folate
PEG-DSPE (25:70:4:1 m/m), a cationic human serum albumin-pentaethylenehexamine
(HSA-PEHA) conjugate and RX-0201. The nanoparticles were evaluated in KB human
carcinoma cells in vitro and in a KB murine xenograft tumour model in vivo for
pharmacokinetics and antitumor activities. RESULTS: The F-LAN-RX-0201 had a mean
particle size of 108.6 +/- 5.8 nm, zeta potential of 10.5 +/- 3.2 mV and ASO
loading efficiency of 71.5 +/- 4.5%. In KB cells, uptake and Akt1 inhibition by F
LAN-RX-0201 were greater than those of non-targeted LAN-RX-0201 and could be
partially blocked by excess free folate. F-LAN-RX-0201 inhibited cell growth with
an IC50 of 11.9 MUM. In contrast, LAN-RX-0201 showed lower cytotoxicity with an
IC50 of 32.0 MUM. No significant cytotoxicity was observed with up to 250 uM of
free RX-0201. Pharmacokinetic studies showed that F-LAN-RX-0201 had a longer
terminal half-life than free RX-0201 (442 vs. 219 min). In a KB xenograft tumour
model, F-LAN-RX-0201 exhibited greater tumour inhibition than LAN-RX-0201 at 16
mg/kg. Moreover, F-LAN-RX-0201 at 16 mg/kg showed comparable tumour inhibition
compared to free RX-0201 at a much higher dose of 90 mg/kg. CONCLUSIONS: F-LAN-RX
0201 showed promise as a therapeutic agent for tumours with elevated folate
receptor expression.
PMID- 29376450
TI - Barriers and facilitators to meeting aphasia guideline recommendations: what
factors influence speech pathologists' practice?
AB - PURPOSE: To explore factors influencing Australian speech pathologists' guideline
recommended aphasia management practices. METHODS: Semi-structured interviews
were conducted with hospital-based speech pathologists (n = 20). Interviews
focused on barriers and facilitators to implementing recommendations related to
five practice areas: Aphasia-friendly Information; Collaborative Goal Setting;
Timing of Therapy; Amount and Intensity of Therapy; and Conversation Partner
Training. RESULTS: Speech pathologists working only in inpatient rehabilitation
settings reported performing the recommended behaviours consistently, and
identified few implementation barriers. However, clinicians working in the acute
setting reported performing the majority of behaviours inconsistently or rarely.
Seven (of 14) Theoretical Domains Framework domains were identified as key
influencing factors. Three of these - "Environmental Context and Resources,"
"Beliefs about Consequences," and "Social Influences" - were consistently
reported as influencing practice across all five behaviours. Other important
domains included "Knowledge", "Beliefs about Capabilities," "Goals," and
"Social/Professional Role and Identity", which each influenced at least two
practice behaviours. CONCLUSIONS: Speech pathologists report a number of key
factors influencing their practice, which differ in how they influence behaviours
(i.e., a factor may be a barrier or a facilitator) depending on the behaviour and
clinical setting. Future implementation interventions need to account for the
strong influence of beliefs and social influences on speech pathology practice,
which may facilitate successful implementation. Implications for rehabilitation
Speech pathologists' aphasia management practices are often inconsistent with
guideline recommendations. Environmental and contextual barriers were identified
for all guideline-recommended practices that were investigated; however, these
barriers did not necessarily impede implementation for speech pathologists
working in inpatient rehabilitation settings. Strategies to improve both team
functioning (social influences) and the belief systems of individual clinicians
(beliefs about consequences) should be considered to improve speech pathologists'
implementation of guideline-recommended aphasia practices.
PMID- 29376451
TI - Infertile polycystic ovary syndrome patients undergoing in vitro fertilization
with the gonadotropin-releasing hormone-antagonist protocol: role of
hyperandrogenism.
AB - This retrospective cohort study is to assess the effects of hyperandrogenism (HA)
in polycystic ovary syndrome (PCOS) patients with gonadotropin-releasing hormone
(GnRH)-antagonist protocol during in vitro fertilization (IVF). Total 892
infertile Patients between 20 and 35 years of age with normal body mass index
(BMI, 18.50 -24.99 kg/m2), including those with tubal factor infertility
(control, n = 318), PCOS infertility with HA (HA PCOS, n = 244), and PCOS
infertility without HA (non-HA PCOS, n = 330), were included. Number of retrieved
oocytes was significantly higher and total Gonadotropin consumption was
significant lower in the HA PCOS group, whereas abortion rate was significantly
higher and live birth rate was significantly lower in the HA PCOS group, compared
with the control and non-HA PCOS groups. In the HA PCOS group, the number of
available embryos tended to be higher with no significance. The GnRH-antagonist
protocol is more suitable for HA PCOS patients, with lower cost and more number
of embryos available for transfer. Due to the high abortion rate and low live
birth rate, a freeze-all approach might be a preferable option for HA PCOS
patients so as to create a buffer for reducing androgen levels before
transferring freeze-thawed embryos.
PMID- 29376452
TI - Devices for ex vivo heart and lung perfusion.
AB - INTRODUCTION: The number of organs available for heart and lung transplantation
is far short of the number that is needed to meet demand. Perfusion and
ventilation of donor organs after procurement has led to exciting advances in the
field of cardiothoracic transplantation. The clinical implications of this
technology allows for techniques to evaluate the quality of an organ, active
rehabilitation of organs after procurement and prior to implantation, and
increased time between organ procurement and implantation. This ex-vivo perfusion
technique has also been referred to in the lay press as the 'heart in a box' or
'lung in a box.' Areas covered: This review includes information from case
reports, case series, and clinical trials on ex vivo heart and lung perfusion.
The focus is on the devices, ventilation and perfusion techniques, outcomes, and
application of the technology. Expert commentary: Ex vivo perfusion of donor
hearts and lungs prior to transplantation has proven to be a viable alternative
to standard cold-preservation strategies. Its use has allowed for ongoing
expansion of the donor pool. The biggest barriers to expansion of this technology
are access, cost, and lack of evidence which clearly supports superior outcomes.
PMID- 29376453
TI - A large solitary fibrous tumour of the pleura: a case report and review of the
literature.
AB - We report a clinical case of a solitary fibrous tumour of the pleura (SFTP) in a
67-year-old female patient complaining of chest pain for 2 months. A localized
large mass was found in the left inferior hemithorax by computed tomography scan.
The patient underwent a thoracotomy at the left side with endotracheal
anaesthesia. During surgical resection, the tumour was located in the left
inferior hemithorax and was attached to the inferior lobe of the left lung and
diaphragmatic pleura by a fibrous pedicle. A wedge resection of the left lower
lobe was undertaken to completely remove the tumour. Diagnosis of the SFTP was
confirmed by the surgical findings and subsequent histological and
immunohistochemical examinations. At the 6-month follow-up, no signs of local
tumour recurrence or metastasis were documented. After a 3-year follow-up, this
patient remains in good health.
PMID- 29376454
TI - In vivo efficacy and evaluation of gel-cream containing dehydrated betamethasone
dipropionate nanocapsules.
AB - This study aimed to develop gel-creams from the lyophilised product of
betamethasone dipropionate-loaded lipid-core nanocapsule suspensions and
evaluated its efficacy in a model of contact dermatitis. The gel-creams were
prepared and characterized followed by a study of in vitro drug
penetration/permeation and its in vivo efficacy. The suspensions and lyophilised
products showed nanometric size; the betamethasone content was 0.25 +/- 0.01
mg/mL and the encapsulation efficiency was approximately 100%. The nanocapsules
and redispersed powders presented control of the drug release. The gel-creams
presented pH between 6.0-6.5 and exhibited non-Newtonian flow behavior, following
the Herschel-Bulkley model. The skin penetration/permeation study indicated that
betamethasone dipropionate can reach different skin layers. For in vivo efficacy,
the contact dermatitis model was capable of causing tissue damage with changes in
enzyme activities of the purinergic system in lymphocytes. The gel-creams showed
the best dermatological and immunological efficacy and reduced oxidative damage
in the evaluated tissues.
PMID- 29376455
TI - Cyclodextrin-based nanosponges as vehicles for antiviral drugs: challenges and
perspectives.
PMID- 29376456
TI - Reduced spinal cord parenchymal cerebrospinal fluid circulation in experimental
autoimmune encephalomyelitis.
AB - An alteration of parenchymal cerebrospinal fluid circulation (CSF) has been
proposed to take part in the pathophysiology of multiple sclerosis. By using an
intragate T1-weighted high-resolution MRI of the spinal cord of freely breathing
mice injected with a gadolinium chelate in the cisterna magna, we show that a
parenchymal CSF circulation exists in the spinal cord, in addition to that
originally described in the brain. In experimental autoimmune encephalomyelitis,
a model of multiple sclerosis, we show a reduction of parenchymal CSF circulation
specifically in the spinal cord but not in the brain.
PMID- 29376457
TI - The Effect of the Presence of an Internet-Connected Mobile Tablet Computer on
Physical Activity Behavior in Children.
AB - BACKGROUND: Mobile Internet-connected electronic devices provide access to
activities that have traditionally been associated with sedentary behavior.
Because they are portable, these devices can be utilized in any environment.
Therefore, providing children with access to these devices in environments that
typically promote physical activity may result in a reduction in physical
activity behavior. PURPOSE: To assess children's physical and sedentary (ie,
sitting) activity with and without the presence of a mobile Internet-connected
tablet computer. METHODS: A total of 20 children [6.7 (1.9) y old] participated
in 2 simulated recess conditions in a gymnasium on separate days. During each
condition, children had free-choice access physical activity options and a table
of sedentary activities for 40 minutes. During 1 session, the iPad was present,
and in the other session, it was not. Physical activity was monitored via an
accelerometer, and sedentary time was monitored via a stopwatch. RESULTS:
Children significantly (P <= .03) reduced average physical activity intensity and
increased their sedentary behavior with the iPad present [4.4 (4.0) metabolic
equivalents/min and 20.9 (12.4) min sitting] versus the condition without the
iPad present [5.3 (4.0) metabolic equivalents/min and 13.6 (13.2) min sitting].
CONCLUSION: Introducing an mobile Internet-connected tablet computer into a
gymnasium reduced children's physical activity intensity by 17% and increased
sedentary behavior by 54%.
PMID- 29376458
TI - Does an in-house internist at a GP practice result in reduced referrals to
hospital-based specialist care?
AB - OBJECTIVE: Consistent evidence on the effects of specialist services in the
primary care setting is lacking. Therefore, this study evaluated the effects of
an in-house internist at a GP practice on the number of referrals to specialist
care in the hospital setting. Additionally, the involved GPs and internist were
asked to share their experiences with the intervention. DESIGN: A retrospective
interrupted times series study. SETTING: Two multidisciplinary general
practitioner (GP) practices. INTERVENTION: An internist provided in-house patient
consultations in two GP practices and participated in the multidisciplinary
meetings. SUBJECTS: The referral data extracted from the electronic medical
record system of the GP practices, including all referral letters from the GPs to
specialist care in the hospital setting. MAIN OUTCOME MEASURES: The number of
referrals to internal medicine in the hospital setting. This study used an
autoregressive integrated moving average model to estimate the effect of the
intervention taking account of a time trend and autocorrelation among the
observations, comparing the pre-intervention period with the intervention period.
RESULTS: It was found that the referrals to internal medicine did not
statistically significant decrease during the intervention period. CONCLUSIONS:
This small explorative study did not find any clues to support that an in-house
internist at a primary care setting results in a decrease of referrals to
internal medicine in the hospital setting. Key Points An in-house internist at a
primary care setting did not result in a significant decrease of referrals to
specialist care in the hospital setting. The GPs and internist experience a
learning-effect, i.e. an increase of knowledge about internal medicine issues.
PMID- 29376459
TI - Two-tube method for treatment of spontaneous esophageal rupture and concomitant
mediastinal infection.
AB - Objective Spontaneous esophageal rupture (SER) is a rare but life-threatening
condition with high mortality. The prognosis of patients with SER treated with
surgical intervention or the traditional "three-tube" method is controversial.
Thus, the aim of this study was to evaluate the clinical efficacy, feasibility,
and safety of a new "two-tube" method involving a trans-fistula drainage tube and
a three-lumen jejunal feeding tube for the treatment of SER without concomitant
pleural rupture. Methods From January 2007 to June 2016, patients with SER and
managed with the "two-tube" method or other methods were retrospectively
analyzed. Data collected included initial presentation, procedure time, duration
of treatment, numbers of patients with eventual healing of leaks, and
complications. Results The average procedure time for the "two-tube" method was
22.1 +/- 5.5 minutes. In comparison with the control method, the "two-tube"
method had a similar diagnosis time (3.6 +/- 1.4 vs. 3.4 +/- 1.4 days) but a
significantly higher successful closure rate (94.4% vs. 63.6%) and shorter
treatment time (38.2 +/- 5.6 vs. 53.6 +/- 16.9 days). No complications associated
with performance of the "two-tube" method occurred. Conclusion The "two-tube"
method is an effective and safe approach for patients with SER.
PMID- 29376460
TI - Anxiety disorders and CRP in a population cohort study with 54,326 participants:
The LifeLines study.
AB - OBJECTIVES: Growing evidence indicates that inflammatory processes may play a
role in the pathogenesis of anxiety disorders. Nevertheless, much remains to be
learned about the involvement of inflammation, including C-reactive protein
(CRP), in specific anxiety disorders. This study examines the relation between
anxiety disorders and CRP. METHODS: Associations of serum CRP with anxiety
disorders were determined in a large population study (n = 54,326 participants,
mean age = 47 years; 59% female), the LifeLines cohort. Depressive and anxiety
disorders (generalized anxiety disorder, social anxiety phobia, panic disorder
with or without agoraphobia and agoraphobia without panic disorder) were assessed
using the Mini-International Neuropsychiatric Interview. RESULTS: Anxiety
disorders, with the exception of social anxiety disorder, were significantly
associated with increased CRP. After adjusting for demographics, life style
factors, health factors, medication use, depression, and psychological stressors,
CRP remained significantly associated with panic disorder with agoraphobia (beta
= 0.01, P = .013). Moreover, CRP levels were significantly higher in people with
panic disorder with agoraphobia compared to other anxiety disorders, independent
of all covariates (F = 3.00, df = 4, P = .021). CONCLUSIONS: Panic disorder with
agoraphobia is associated with increased CRP, although the effect size of this
association is small. This indicates that neuroinflammatory mechanisms may play a
potential role in its pathophysiology.
PMID- 29376461
TI - The ability of liposomes, tailored for blood-brain barrier targeting, to reach
the brain is dramatically affected by the disease state.
AB - AIM: To investigate if and how the ability of liposomes, previously designed for
Alzheimer's therapy, to reach the brain changes in aging/pathological conditions
with respect to the healthy state. METHODS: Biodistribution and pharmacokinetics
of liposomes in young or aged healthy mice and in an Alzheimer's mouse model were
measured by radiochemical techniques. The expression of brain receptors and
structural proteins was evaluated by Western blot. RESULTS: At equal blood
levels, the amount and integrity of liposomes in the brain were dramatically
lower in Alzheimer's or aged mice, with respect to young animals. These
differences are likely attributable to molecular alterations in the brain
vasculature. CONCLUSION: Brain alterations in pathology or aging should be
considered in the design of drug delivery systems for brain targeting.
PMID- 29376462
TI - Salivary cortisol as predictor for depression characteristics and remission in
electroconvulsive therapy in older persons.
AB - OBJECTIVES: Electroconvulsive therapy (ECT) is the most effective treatment for
depression; however, consensus on predictors for ECT outcome is lacking. We aim
to examine the relation between pre-ECT salivary cortisol values and clinical
characteristics and ECT outcome in depressed, older persons. METHODS: A total of
102 inpatients meeting DSM-IV criteria for depression and referred for ECT were
selected. Salivary cortisol was assessed at five time points during the day,
providing insight into the cortisol awakening curve to the ground (AUCg) and to
the increase (AUCi) and evening cortisol level. Depression severity was assessed
using the Montgomery-Asberg Depression Rating Scale (MADRS). Remission was
defined as MADRS <10; response was defined as MADRS-reduction of at least 50%.
Regression analysis was used to assess associations between cortisol and (1)
clinical variables, including depression severity, psychomotor symptoms and
presence of psychosis, and (2) ECT outcome. RESULTS: No significant relations
were found between AUCg, AUCi, evening cortisol and depression severity,
psychomotor symptoms, and presence of psychosis. In addition, no significant
relation was found between cortisol and response or remission. CONCLUSIONS: Our
results do not support a relation between cortisol values and depression
characteristics, or ECT outcome in severely depressed, older patients treated
with ECT.
PMID- 29376463
TI - Could myeloperoxidase represent a useful biomarker for erosive osteoarthritis of
the hand?
PMID- 29376464
TI - The flavonoid, 2'-methoxy-6-methylflavone, affords neuroprotection following
focal cerebral ischaemia.
AB - Tonic inhibitory currents, mediated by extrasynaptic GABAA receptors, are
elevated at a delay following stroke. Flavonoids minimise the extent of cellular
damage following stroke, but little is known about their mode of action. We
demonstrate that the flavonoid, 2'-methoxy-6-methylflavone (0.1-10 uM; 2'MeO6MF),
increases GABAA receptor tonic currents presumably via delta-containing GABAA
receptors. Treatment with 2'MeO6MF 1-6 h post focal ischaemia dose dependently
decreases infarct volume and improves functional recovery. The effect of 2'MeO6MF
was attenuated in delta-/- mice, indicating that the effects of the flavonoid
were mediated via delta-containing GABAA receptors. Further, as flavonoids have
been shown to have multiple modes of action, we investigated the anti
inflammatory effects of 2'MeO6MF. Using a macrophage cell line, we show that
2'MeO6MF can dampen an LPS-induced elevation in NFkB activity. Assessment of
vehicle-treated stroke animals revealed a significant increase in circulating
IL1beta, TNFalpha and IFgamma levels. Treatment with 2'MeO6MF dampened the stroke
induced increase in circulating cytokines, which was blocked in the presence of
the pan-AKT inhibitor, GSK690693. These studies support the hypothesis that
compounds that potentiate tonic inhibition via delta-containing GABAA receptors
soon after stroke can afford neuroprotection.
PMID- 29376465
TI - Burden of gout in the Nordic region, 1990-2015: findings from the Global Burden
of Disease Study 2015.
AB - OBJECTIVE: To explore the burden of gout in the Nordic region, with a population
around 27 million in 2015 distributed across six countries. METHOD: We used the
findings of the 2015 Global Burden of Diseases study to report prevalence and
disability associated with gout in the Nordic region. RESULTS: From 1990 to 2015,
the number of prevalent gout cases rose by 30% to 252 967 [95% uncertainty
interval (UI) 223 478-287 288] in the Nordic region. In 2015, gout contributed to
7982 (95% UI 5431-10 800) years lived with disability (YLDs) in the region, an
increase of 29% (95% UI 24-35%) from 1990. While the crude YLD rate of gout
increased by 12.9% (95% UI 7.8-18.1%) between 1990 and 2015, the age-standardized
YLD rate remained stable. Gout was ranked as the 63rd leading cause of total YLDs
in the region in 2015, with the highest rank in men aged 55-59 years (38th
leading cause of YLDs). The corresponding rank at the global level was 94. Of 195
countries studied, four Nordic countries [Greenland (2nd), Iceland (12th),
Finland (14th), and Sweden (15th)] were among the top 15 countries with the
highest age-standardized YLD rate of gout. CONCLUSION: The burden of gout is
rising in the Nordic region. Gout's contribution to the total burden of diseases
in the region is more significant than the global average. Expected increases in
gout burden owing to population growth and ageing call for stronger preventive
and therapeutic strategies for gout management in Nordic countries.
PMID- 29376466
TI - Women's leadership in neuropsychology: historical perspectives, present trends,
and future directions.
AB - OBJECTIVE: Although psychology has become a female-dominated field, this pattern
of gender representation has not held true within the specialty of
neuropsychology. In recent years more women have been pursuing careers in
neuropsychology, and while the balance of male and female neuropsychologists as a
whole has shifted, it is unclear whether the gender composition of leadership has
also changed. Our goal was to survey various neuropsychological organizations,
training programs, editorial boards, and organizations granting board
certification to determine the current gender composition of leadership positions
within neuropsychology. METHOD: A literature review was conducted to examine past
trends of gender composition in neuropsychology, psychology, medicine, and
academia. Data on current gender compositions of the field were culled from
publicly available websites and through personal communication with
representatives from major psychological and neuropsychological organizations.
RESULTS: We found that the overall composition of the field has changed over
time, but notable gender disparities in leadership positions remain. Women still
comprise the minority of leadership positions within most neuropsychological
organizations, editorial boards for neuropsychology journals, and fellow
positions in major neuropsychological organizations. More equitable
representation has been achieved in the directorships of training programs and
ABPP/ABCN board certification. CONCLUSION: We review the historical trends in
gender discrepancies in leadership in neuropsychology and discuss these within
the broader arenas of academia, research, and medicine. We conclude with a
summary addressing potential causes for these discrepancies, including work-life
balance issues, discrimination, institutional bias, and various other factors. We
also provide pragmatic suggestions to help address these continued disparities.
PMID- 29376468
TI - Nanomedicines in the treatment of brain tumors.
PMID- 29376467
TI - Diaphragmatic dysfunction in sepsis due to severe acute pancreatitis complicated
by intra-abdominal hypertension.
AB - Objective This study aimed to examine the mechanism of diaphragmatic dysfunction
in sepsis due to severe acute pancreatitis (SAP) with intra-abdominal
hypertension (IAH) in a rat model. Methods The rats were assigned at random to
four groups: (1) control (n = 5), (2) SAP (n = 5), (3) SAP+IAH (n = 5), and (4)
SAP+IAH+SS-31 (n = 5). Length and force output of the diaphragm were analysed in
vivo. Histopathological examinations were performed by haematoxylin-eosin.
Oxidative stress levels related to protease in diaphragmatic mitochondria were
detected with a colorimetric technique. Results In the septic rat model due to
SAP complicated by IAH, myofibres were increased. Muscle contractile function was
significantly lower in the SAP+IAH group compared with the SAP and control
groups. Glutathione peroxidase and superoxide dismutase levels were significantly
lower and malondialdehyde levels were higher in the SAP and SAP+IAH groups
compared with the control group. Notably, SS-31 could reverse atrophy of
myofibres in SAP+IAH rats, as well as contractile dysfunction and mitochondrial
dysfunction in the diaphragm. Conclusions Diaphragmatic structure and
biomechanics are altered in septic rats due to SAP and IAH. This finding is
mainly due to an increase in release of mitochondrial reactive oxygen species.
PMID- 29376469
TI - Magnetic iron oxide nanoparticles as drug carriers: clinical relevance.
AB - Significant preclinical and clinical research has explored the use of magnetic
iron oxide nanoparticles (MNPs) for medical theranostics. Herein, we provide an
overview of the optimal 'design-to-perform' MNPs used in cancer therapeutics,
specifically focusing on magnetic hyperthermia, magnetic drug targeting, and
targeting delivery. An account of the progress made in the clinic using MNPs is
then analyzed. We place special emphasis on past and present magnetic
nanoformulations used in clinical settings or yet to be clinically approved.
Regrettably, as of now, no MNP drug delivery system is employed in the clinic.
Thus, identifying current limitations, misconceptions and challenges will
definitely impact the clinical success of MNP delivery theranostic systems and
their promising future potential in medicine.
PMID- 29376470
TI - A case of refractory acute sarcoid myopathy successfully treated with intravenous
immunoglobulin.
PMID- 29376472
TI - Community vulnerability and stratified risk: Hegemonic masculinity, socioeconomic
status, and HIV/AIDS in a sex work community in Kampala, Uganda.
AB - This article examines the social patterning of health, economic uncertainty,
hegemonic masculinity, and vulnerability among men who live and work in a low
income sex work community in Kampala, Uganda. This problematises the notion that
vulnerable communities are homogenous, in demographics, economic status, and
risk. This article draws on ethnographic data collected in 2016, including semi
structured interviews and participant observation. This article uses a stratified
risk framework to describe the central finding of this study, which is that men's
experience in Kataba is characterised by a struggle to fulfil the provider role
that constitutes a core aspect of their socially ascribed gender role. In a
context of economic scarcity, men's lives are fraught with strain and this
intersects with other forms of risk. Finally, by focusing on community
vulnerability rather than individual risk, this work contributes to theories of
gender and sex work, and informs HIV/AIDS praxis.
PMID- 29376471
TI - Emerging roles of SGLT2 inhibitors in obesity and insulin resistance: Focus on
fat browning and macrophage polarization.
AB - Obesity-associated low-grade inflammation underlies insulin resistance and
associated metabolic comorbidities, such as type 2 diabetes (T2D) and
nonalcoholic fatty liver disease. Excessive ectopic fat deposition in obesity
causes disorders of energy homeostasis and low-grade chronic inflammation in
metabolic tissues. In particular, obesity-induced recruitment and activation of
adipose tissue macrophages play a key role in the pathogenesis of insulin
resistance and T2D. Therefore, treatment options for energy metabolism and
macrophage polarization in obese subjects are needed. Sodium-glucose
cotransporter (SGLT) 2 inhibitors increase urinary glucose excretion by
inhibiting renal glucose reabsorption, thereby having subsequent anti
hyperglycemic effects and reducing body weight. We recently reported that the
SGLT2 inhibitor empagliflozin increases fat utilization and browning in white
adipose tissue and attenuates obesity-induced inflammation and insulin resistance
by activating M2 macrophages. Thus, this review focuses on the beneficial effects
of empagliflozin in energy homeostasis and obesity-related inflammation and
insulin resistance.
PMID- 29376473
TI - It is all about the support - The role of the extracellular matrix in
regenerating axon guidance.
AB - Although it is known for long time that the peripheral nervous system has the
capacity for self-regeneration, the molecular mechanisms by which Schwann cells
and extracellular matrix (ECM) guide the injured axons to regrow along their
original path, remains a poorly understood process. Due to the importance of ECM
molecules during development, constitutive mutant organisms display increased
lethality, therefore, conditional or inducible strategies have been used to
increase the survival of the organisms and allow the study of the role of ECM
proteins. In a recent report published in Neuron, Isaacman-Beck and colleagues
(2015) used these pioneering genetic studies on zebrafish combined with in vivo
fluorescent imaging, to investigate the micro-environmental conditions required
for targeted regeneration of the dorsal motor nerve of zebrafish larvae after
laser-transection. A candidate gene approach targeting lh3 basal laminar collagen
substrates revealed that the lh3 substrate col4alpha5 regulates dorsal nerve
regeneration by destabilizing misdirected axons. Col4alpha5 was upregulated in a
small population of lh3 expressing Schwann cells located ventrally and ventro
laterally to the injury site and found to co-localize with the molecule slit
guidance ligand 1 (slit1a). Capitalizing on the crucial observations of
mistargeted regeneration of dorsal nerves in mutant larvae, they put forward a
model in which Schwann cells shape an environment that allows and directs axonal
regeneration to their original synaptic target. In the light of Isaacman-Beck and
colleagues (2015) findings, we will review how their study contributes to the
research field, and comment on its potential implications for promoting nerve
regeneration after injury.
PMID- 29376474
TI - Relationship between plasma trans-fatty acid isomer concentrations and self
reported cardiovascular disease risk in US adults.
AB - The effect of different individual TFA isomers on cardiovascular disease (CVD)
has been a limited study, especially for stroke. We aimed to investigate the
relationships between four major plasma TFA isomer (elaidic, vaccenic,
palmitelaidic and linolelaidic acid) concentrations and the risk of CVD, stroke
and non-stroke CVD. A cross-sectional study was conducted, utilising a nationally
representative sample of US adults in the National Health and Nutrition
Examination Survey. Among the 3504 participants, 304 participants self-reported
CVD history. The highest quintile of elaidic acid intake was associated with a
233% higher CVD risk (p = .010). Adjusted for age, gender and race, palmitelaidic
acid was associated with a decreased CVD risk, but the effect size was diminished
in a subsequent analysis model. For stroke risk, we failed to identify any
associations. In addition to elaidic acid, the health effect of palmitelaidic
acid should be paid more attention in the future studies.
PMID- 29376475
TI - Non-melanoma skin cancer as a possible adverse event due to anti-interleukin-6
treatment.
PMID- 29376477
TI - Improved Osteogenesis by HVEM-Expressing Allogenic Bone Marrow-Derived
Mesenchymal Stem Cells in an Immune Activation Condition and Mouse Femoral Defect
Model.
AB - Use of allogeneic mesenchymal stem cells (allo-MSCs) in bone tissue engineering
strategies can overcome the limitations associated with autologous MSCs, but
unfortunately, the immunogenicity of allo-MSCs leads to a high rate of rejection,
unless immunosuppressive agents are used. B and T lymphocyte attenuator (BTLA) is
a newly discovered immunoglobulin superfamily inhibitory receptor, and
Herpesvirus-entry mediator (HVEM), a member of the tumor necrosis factor receptor
family, is the only ligand of BTLA. Both BTLA and HVEM are widely expressed in B
and T lymphocytes and other immune cells and play significant roles in the
negative regulation of an immunoreaction. Therefore, we hypothesized that MSCs
could be modified to maintain their bone differentiation ability through negative
regulation of the immune response, and to test this hypothesis, we generated HVEM
expressing MSCs and tested their potential for osteogenic differentiation and
bone repair in a simulated immune activation condition in vitro and in a mice
femoral defect model. We found that osteogenic differentiation of allo-MSCs was
decreased significantly in the activated immune microenvironment and that HVEM
expression by allo-MSCs inhibited the immune response, resulting in improved
osteogenic differentiation in vitro and new bone formation by allo-MSCs in a
mouse femoral defect model. Our results also preliminarily suggested that the
mechanism by which HVEM-expressing allo-MSCs overcome inflammation and enhance
osteogenesis may be related to inhibition of interleukin-17. Overall, the data
obtained in the present study provide support for the further development of HVEM
modified allo-MSCs as potentially ideal seed cells for bone tissue engineering
applications.
PMID- 29376478
TI - Relationship of self-reported sleep bruxism and awake bruxism with chronotype
profiles in Italian dental students.
AB - OBJECTIVES: A cross-sectional study was performed to assess the association
between possible sleep bruxism (SB) and awake bruxism (AB) with sleep
characteristics and chronotype (i.e. circadian cycle preference). METHODS: One
hundred-nineteen young adults filled out a self-reported questionnaire to assess
SB and AB, a questionnaire about daily life aspects, as well as the Morningness
Eveningness Questionnaire (MEQ) to profile chronotype. RESULTS: The frequency of
self-reported SB and AB was 25.2% and 37.0%, respectively. Both SB and AB were
significantly more frequent in females than males. Most participants had an
intermediate chronotype profile (n = 85; 71.4%). Neither SB (p = 0.556) nor AB (p
= 0.334) were associated with chronotype. Nightmares were more prevalent among
individuals with possible SB (38.3%). Difficulty concentrating in daily
activities was more frequently reported among individuals with AB (51.9%).
CONCLUSION: There was no association between possible SB and AB or the chronotype
profiles among Italian dental students.
PMID- 29376479
TI - Tooth loss and craniofacial factors associated with changes in mandibular
condylar morphology.
AB - OBJECTIVE: To investigate the association between tooth loss and craniofacial
factors with changes in mandibular condylar morphology. METHODS: A total of 123
patients participated in this cross-sectional study. The mandibular condylar
morphology was evaluated using digital panoramic radiography. The exposure
variables included gender, age group, molar relationship, facial growth pattern,
facial asymmetry, dental midline, posterior crossbite, presence of fixed dental
prosthesis, and tooth loss. RESULTS: Unilateral and bilateral mandibular condyle
structural alterations were found in 20.3% and 28.5% of the sample, respectively.
After the adjustment analysis, posterior crossbite (adjusted OR = 3.34, 95% CI =
1.23-9.06) and tooth loss (adjusted OR = 4.08, 95% CI = 1.72-9.64) were
associated with the outcome. The tooth type associated with mandibular condyle
structural alterations were premolars and upper molars. CONCLUSION: The findings
suggest that posterior crossbite and tooth loss are associated with mandibular
condyle structural alterations.
PMID- 29376476
TI - A bite to fight: front-line innate immune defenses against malaria parasites.
AB - Malaria infection caused by Plasmodium parasites remains a major health burden
worldwide especially in the tropics and subtropics. Plasmodium exhibits a complex
life cycle whereby it undergoes a series of developmental stages in the Anopheles
mosquito vector and the vertebrate human host. Malaria severity is mainly
attributed to the genetic complexity of the parasite which is reflected in the
sophisticated mechanisms of invasion and evasion that allow it to overcome the
immune responses of both its invertebrate and vertebrate hosts. In this review,
we aim to provide an updated, clear and concise summary of the literature
focusing on the interactions of the vertebrate innate immune system with
Plasmodium parasites, namely sporozoites, merozoites, and trophozoites. The roles
of innate immune factors, both humoral and cellular, in anti-Plasmodium defense
are described with particular emphasis on the contribution of key innate players
including neutrophils, macrophages, and natural killer cells to the clearance of
liver and blood stage parasites. A comprehensive understanding of the innate
immune responses to malaria parasites remains an important goal that would
dramatically help improve the design of original treatment strategies and
vaccines, both of which are urgently needed to relieve the burden of malaria
especially in endemic countries.
PMID- 29376480
TI - Fluorination Enhances the Osteogenic Capacity of Porcine Hydroxyapatite.
AB - In a previous study, we successfully prepared fluorinated porcine hydroxyapatite
(FPHA) by immersing porcine hydroxyapatite (PHA) in an aqueous solution of 0.25 M
sodium fluoride (NaF) under thermal treatment, and the resulting FPHA showed
better physicochemical and biological properties than PHA. The purpose of this
study was to further investigate how fluorine incorporation influenced the
biocompatibility and osteogenic capacity of PHA. The concentrations of Ca, P, F,
and Mg ions in PHA and FPHA extracts were detected by inductively coupled plasma
optical emission spectrometry. Rat bone marrow stromal cells (rBMSCs) were
treated with PHA and FPHA extracts, and the effects of these extracts on cell
proliferation and osteoblastic differentiation were evaluated via Cell Counting
Kit-8 assay, alkaline phosphatase assay, and real time-quantitative polymerase
chain reaction. For the in vivo assessment, PHA and FPHA were implanted into
subcutaneous pockets (n = 6) and rat calvarial defects (diameter = 5 mm, n = 14)
for 12 weeks to determine their biocompatibility and osteogenic capacity by using
micro-computed tomography (CT) and histological analysis. FPHA extracts, which
release higher concentrations of F and Mg ions, better promoted the osteoblastic
differentiation of rBMSCs in vitro. The result of biocompatibility evaluation
confirmed that the host response and chronic inflammation cells infiltration
degree around PHA and FPHA granules were similar. Micro-CT and histological
analysis showed newer mineralized bone formation in rats with FPHA-treated
defects than in rats with PHA-treated defects. The results of in vitro and in
vivo tests consistently indicate that fluorine incorporation effectively enhanced
the osteogenic capacity of PHA.
PMID- 29376481
TI - Dyspareunia is associated with chronic pain in premenopausal women with sickle
cell disease.
AB - OBJECTIVES: Pain is common in women with sickle cell disease (SCD), but the
prevalence of dyspareunia in this unique patient population is unknown. In this
study, we sought to determine whether chronic pain is associated with an
increased prevalence of dyspareunia in premenopausal women with SCD. METHODS: A
cross-sectional study of premenopausal women with SCD was systematically assessed
for symptoms of dyspareunia and chronic pain using a standard questionnaire.
These results were correlated with each subject's clinical pain phenotype
determined by a review of the patient's electronic medical record. RESULTS:
Ninety-one premenopausal women with SCD were examined. Thirty-two percent of the
women reported dyspareunia. Women with dyspareunia were more likely to have a
history of chronic pain (90% versus 61%, p = .006), report more pain days per
week (median (interquartile range): 6 (4-7) vs. 3 (0-7), p = .005)), and had a
higher oral morphine equivalent dose (145 (45-226) mg vs. 60 (9-160) mg, p =
.030). Using a multivariable classification tree analysis, number of days of pain
experienced per week was an important predictor of dyspareunia (p = .001).
CONCLUSION: Dyspareunia is common in women with SCD, and more common in women
with SCD and chronic pain. Providers should assess women with SCD for
dyspareunia, especially those with a chronic pain syndrome.
PMID- 29376482
TI - Effects of orthopedic insoles on static balance of older adults wearing thick
socks.
AB - BACKGROUND: The wearing of socks and insoles may affect the ability of the foot
to detect tactile input influencing postural balance. OBJECTIVES: The aim of this
study was to investigate whether (1) thick socks adversely affected the elderly
postural balance and (2) orthopedic insoles could improve the elderly postural
balance while wearing thick socks. STUDY DESIGN: Repeated-measures study design.
METHODS: In total, 14 healthy older adults were recruited. A monofilament test
was conducted to evaluate foot plantar sensation with and without thick socks.
Subjects then performed the Romberg tests under three conditions: (1) barefoot,
(2) with socks only, and (3) with both socks and insoles. Postural balance was
assessed by measuring the center of pressure movement during standing in each
experimental condition. RESULTS: Thick socks significantly decreased the
monofilament score ( p < 0.001), suggesting reduction in ability to detect
external forces. All center of pressure parameters increased significantly while
wearing thick socks ( p < 0.017), implying reduction of postural stability. They
then decreased significantly with the additional use of insoles ( p < 0.017).
CONCLUSION: Previous studies have documented the changes in plantar pressure
distribution with the use of orthopedic insoles. This study further suggests that
such changes in contact mechanics could produce some balance-improving effects,
which appears not to have been reported earlier. Clinical relevance Wearing thick
socks reduces plantar pressure sensitivity and increases postural sway which may
increase risk of falls. Orthopedic insoles and footwear with similar design could
potentially be a cost-effective method in maintaining postural balance when
wearing thick socks.
PMID- 29376483
TI - Gold Nanoparticle-Collagen Gels for Soft Tissue Augmentation.
AB - Collagen soft tissue fillers suffer from fast reabsorption, which minimizes their
use as a tissue-engineered construct. Extensive cross-linking can be utilized to
extend longevity, but changes in microstructure and biomechanics can have
deleterious effects. To enhance longevity while still achieving a natural
microstructure, gold nanoparticles (AuNPs) were conjugated to fibrilized collagen
and homogenized into an injectable form for use as a soft tissue filler. A long
term animal study in Yucatan swine was conducted to assess biocompatibility and
longevity. Two formulations of the AuNP-collagen were compared to porcine cross
linked collagen and commercially available hyaluronic acid (HA). The results of
the study demonstrated that the AuNPs may provide enhanced longevity over 6
months compared to HA and cross-linked collagen. Irritation scores indicated that
the AuNP-collagen construct (AuNP-CC) demonstrated low irritation compared to the
cross-linked collagen and HA while histology scores demonstrated good
biocompatibility. Overall, it may be possible to utilize AuNPs to stabilize and
increase the longevity of CC while still achieving biocompatibility.
PMID- 29376484
TI - Studies on the preparation and controlled release of redox/pH-responsive
zwitterionic nanoparticles based on poly-L-glutamic acid and cystamine.
AB - The enhancement of tumor intracellular drug uptake and resistance against
nonspecific protein adsorption are essential for an injectable anticancer drug
carrier. In the present study, a new type of redox/pH-responsive zwitterionic
nanoparticles (NPs) was prepared using poly-L-glutamic acid and cystamine in
aqueous solutions under mild conditions. The NPs showed surface charge
convertible feature in response to pH change of the solutions. The NPs
demonstrated excellent anti nonspecific protein adsorption. In vitro release
profiles of the NPs, they showed redox/pH dual sensitivities in vitro release.
The effective intracellular delivery behaviors were verified through
investigation of cell viability, and confocal laser scanning microscopy
observation of HeLa cells after incubation with the DOX-loaded NPs. The NPs were
non-cytotoxic and would have potential applications as a drug delivery vehicle
for enhancing intracellular uptake of anticancer drugs.
PMID- 29376486
TI - The Mental Capacity Act 2005 best interests test as applied to the elderly - is
it fit for purpose?
AB - The Mental Capacity Act 2005 ('MCA') sets out a regime which governs the making
of decisions for people who lack mental capacity. Acts must be carried out, and
decisions made, for such an incapacitated person, based on what is in her best
interests (section 4 MCA). In this paper, I consider the body of post-MCA case
law which applies the MCA best interests test to decision-making for elderly
people, in various contexts. Is the best interests test 'fit for purpose' for the
vulnerable elderly? The key aims of Parliament in introducing the test seem to
have been empowerment, protection and support - and alertness to undue influence
as well as a balance between the objective and subjective viewpoints. Laudable
attempts have been made by some judges, applying the MCA, to pay real heed to the
patient's wishes and values, and to balance physical risk with welfare and
happiness. However, it is not yet clear in my view that the new regime fully
achieves Parliament's aims. Indeed, these aims themselves should be expanded; the
law in this area should also promote the significance and value of advanced years
and should recognise Aristotle's concept of 'human flourishing' in old age.
Consideration should be given to amending the MCA, adding guidance specifically
for the elderly and also to introducing a Convention of Human Rights for the
older person and to creating a new statutory Older Persons' Commissioner and/or a
cabinet-level Minister for Ageing and Older People.
PMID- 29376485
TI - Genome-wide oxidative bisulfite sequencing identifies sex-specific methylation
differences in the human placenta.
AB - DNA methylation is an important regulator of gene function. Fetal sex is
associated with the risk of several specific pregnancy complications related to
placental function. However, the association between fetal sex and placental DNA
methylation remains poorly understood. We carried out whole-genome oxidative
bisulfite sequencing in the placentas of two healthy female and two healthy male
pregnancies generating an average genome depth of coverage of 25x. Most highly
ranked differentially methylated regions (DMRs) were located on the X chromosome
but we identified a 225 kb sex-specific DMR in the body of the CUB and Sushi
Multiple Domains 1 (CSMD1) gene on chromosome 8. The sex-specific differential
methylation pattern observed in this region was validated in additional placentas
using in-solution target capture. In a new RNA-seq data set from 64 female and 67
male placentas, CSMD1 mRNA was 1.8-fold higher in male than in female placentas
(P value = 8.5 * 10-7, Mann-Whitney test). Exon-level quantification of CSMD1
mRNA from these 131 placentas suggested a likely placenta-specific CSMD1 isoform
not detected in the 21 somatic tissues analyzed. We show that the gene body of an
autosomal gene, CSMD1, is differentially methylated in a sex- and placental
specific manner, displaying sex-specific differences in placental transcript
abundance.
PMID- 29376487
TI - Surfing the Blood Coagulation Cascade: Insight into the Vital Factor Xa.
AB - Factor Xa (FXa) plays a key role in haemostasis, it is a central part of the
blood coagulation cascade which catalyzes the production of thrombin and leads to
clot formation and wound closure. Therefore, FXa is an attractive target for the
development of new anticoagulant agents. In this review, we will first describe
the molecular features of this fundamental protein in order to understand its
mechanism of action, an essential background for the design of novel inhibitors
by means of synthetic organic chemistry or using peptides obtained from
recombinant methodologies. Then, we will review the current state of the
synthesis of novel direct FXa inhibitors along with their mechanisms of action.
Finally, approved reversal agents that aid in maintaining blood haemostasis by
using these commercial drugs will be also discussed.
PMID- 29376488
TI - Analytical and Preparative Scale Separation of Enantiomers of Chiral Drugs by
Chromatography and Related Methods.
AB - While the amino acids, enzymes and hormones are chiral, chirality plays
significant role in the life of plants, animals, as well as the human being.
Chirality of molecules is important in various industries, such as
pharmaceutical, agricultural, food, electronics, etc. Chiral drugs may have
different bioavailability, distribution, biotransformation and excretion, as well
as quantitatively and/or qualitatively different pharmacological or toxic
properties. Enantiomerically pure chiral drugs have been increasingly developed
for the pharmaceutical market due to their superiority from the viewpoints of
potency and safety. This is supported by the development of new methods for
enantioselective production of the chiral compounds, as well as by the capability
of the enantioselective analytical methods to allow a detection and
quantification of minor enantiomeric impurity in the presence of another
enantiomer in a large excess. The aim of the present review is to provide a short
summary of the basic principles of chiral separations on an analytical and
preparative scale. In addition, some selected applications for analytical
techniques, such as gas chromatography, supercritical fluid chromatography, high
performance liquid chromatography, capillary electrophoresis and capillary
electrochromatography for the separation of enantiomers of chiral pharmaceuticals
published in the last two years are also discussed.
PMID- 29376489
TI - Advances in the Development of Anticancer HSP-based Vaccines.
AB - Current advances in cancer treatment are based on the recent discoveries of
molecular mechanisms of tumour maintenance. It was shown that heat shock proteins
(HSPs) play a crucial role in the development of the immune response against
tumours. Thus, HSPs represents multifunctional agents not only with chaperone
functions, but that also possess immunomodulatory properties. These properties
are exploited for the development of HSP-based anticancer vaccines aimed to
induce cytotoxic responses against tumours. To date a number of strategies have
been suggested to facilitate HSP-based vaccine production and to increase its
effectiveness. The present review focuses on the current trend for the
development of HSP-based vaccines aimed at inducing strong immunological tumour
specific responses against cancer cells of distinct etiology and localization.
PMID- 29376490
TI - Targeting DNA repair systems in antitubercular drug development.
AB - Infections with Mycobacterium tuberculosis, the causative agent of tuberculosis,
are difficult to treat using currently available chemotherapeutics. Clinicians
agree on the urgent need for novel drugs to treat tuberculosis. In this mini
review, we summarize data that prompts the consideration of DNA repair-associated
proteins as targets for the development of new antitubercular compounds. We
discuss data, including gene expression data, that highlight the importance of
DNA repair genes during the pathogenic cycle as well as after exposure to
antimicrobials currently in use. Specifically, we report experiments on
determining the essentiality of DNA repair-related genes. We report the
availability of protein crystal structures and summarize discovered protein
inhibitors. Further, we describe phenotypes of available gene mutants of M.
tuberculosis and model organisms Mycobacterium bovis and Mycobacterium smegmatis.
We summarize experiments regarding the role of DNA repair-related proteins in
pathogenesis and virulence performed both in vitro and in vivo during the
infection of macrophages and animals. We detail the role of DNA repair genes in
acquiring mutations, which influence the rate of drug resistance acquisition.
PMID- 29376491
TI - Ganglioside GM3 and Its Role in Cancer.
AB - Ganglioside GM3 is strongly related with human tumors, such as lung, brain
cancers and melanomas, and more and more evidences have revealed that GM3
possesses powerful effects on cancer development and progression. GM3 is over
expressed on several types of cancers, and can be as a tumor-associated
carbohydrate antigen, used for immunotherapy of cancers. GM3 can also inhibit
tumor cells growth by anti-angiogenesis or motility and so on. Especially, GM3
has effects on the EGFR tyrosine kinase signaling, uPAR-related signaling and
glycolipid-enriched microdomains, which are essential for cancer signaling
conduction. It is obvious that GM3 will be a promising target for cancer
treatment.
PMID- 29376492
TI - Is the Use of Proton-pump Inhibitors a Risk Factor for Alzheimer's Disease?
Molecular Mechanisms and Clinical Implications.
AB - Proton-pump inhibitors (PPIs), such as omeprazole, lansoprazole and rabeprazole,
are used for the treatment of gastroesophageal reflux disease and peptic ulcer
disease. The use of PPIs has increased, especially in older individuals, and a
pharmacoepidemiological study indicated the use of PPIs peaks in people aged 80
years or older. In this population, Alzheimer's disease (AD) is a common
neurological disorder and type of dementia, occurring with a frequency of
approximately 10%. Currently, over 45 million people are estimated to have
dementia worldwide, and it is a major cause of death in the elderly. Recent
clinical studies have indicated that chronic use of PPIs can be a risk factor for
increased incidence of dementia, including AD. Potential molecular mechanisms
related to the pathophysiology of AD (e.g., modulation of amyloid protein
processing) have also been reported in both in vitro and in vivo studies.
Although the clinical implications of these results are inconclusive, a
literature review of the current knowledge is important for future basic and
clinical research. This review summarizes the possible mechanisms connecting the
use of PPIs and the incidence of AD. Additionally, we summarize results from
clinical studies to highlight the influence in humans.
PMID- 29376493
TI - Placental Exosomes During Gestation: Liquid Biopsies Carrying Signals for the
Regulation of Human Parturition.
AB - Parturition is defined as the action or process of giving birth to offspring.
Normal term human parturition ensues following the maturation of fetal organ
systems typically between 37 and 40 weeks of gestation. Our conventional
understanding of how parturition initiation is signaled revolves around feto
maternal immune and endocrine changes occurring in the intrauterine cavity. These
changes in turn correlate with the sequence of fetal growth and development.
These important physiological changes also result in homeostatic imbalances which
result in heightened inflammatory signaling. This disrupts the maintenance of
pregnancy, thus leading to laborrelated changes. However, the precise mechanisms
of the signaling cascades that lead to the initiation of parturition remain
unclear, although exosomes may be a mediator of this process. Exosomes are a
subtype of extracellular vesicles characterised by their endocytic origin. This
involves the trafficking of intraluminal vesicles into multivesicular bodies
(MVB) and then exocytosis via the plasmatic membranes. Exosomes are highly stable
nanovesicles that are released by a wide range of cells and organs including the
human placenta and fetal membranes. Interestingly, exosomes from placental origin
have been uncovered in maternal circulation across gestation. In addition, their
concentration is higher in pregnancies with complications such as gestational
diabetes and preeclampsia. In normal gestation, the concentration of placental
exosomes in maternal circulation correlates with placental weight at third
trimester. The role of placental exosomes across gestation has not been fully
elucidated, although recent studies suggest that placental exosomes are involved
in maternal-fetal inmmuno-tolerance, maternal systemic inflammation and nutrient
transport. The content of exosomes is of particular importance, encompassing a
large range of molecules such as mRNA, miRNAs, DNA, lipids, cell-surface
receptors, and protein mediators. These can in turn interact with either adjacent
or distal cells to reprogram their phenotype and regulate their function. Many of
the pro-parturition proinflammatory mediators reach maternal compartments from
the fetal side via circulation, but major impediments remain, such as degradation
at various levels and limited halflife in circulation. Recent findings suggest
that a more effective mode of communication and signal transport is through
exosomes, where signals are protected and will not succumb to degradation. Thus,
understanding how exosomes regulate key events throughout pregnancy and
parturition will provide an opportunity to understand the mechanisms involved in
the maternal and fetal metabolic adaptations during normal and pathological
pregnancies. Subsequently, this will assist in identifying those pregnancies at
risk of developing complications. This may also allow more appropriate
modifications of their clinical management. This review will hence examine the
current body of data to summarise our understanding of how signaling pathways
lead to the beginning of parturition. In addition, we propose that extracellular
vesicles, namely exosomes, may be an integral component of these signaling events
by transporting specific signals to prepare the maternal physiology to initiate
parturition. Understanding these signals and their mechanisms in normal term
pregnancies can provide insight into pathological activation of these signals,
which can cause spontaneous preterm parturition. Hence, this review expands on
our knowledge of exosomes as professional carriers of fetal signals to instigate
human parturition.
PMID- 29376494
TI - Antiarthritic Effect of Polar Extract of Curcuma longa on Monosodium Iodoacetate
Induced Osteoarthritis in Rats.
AB - BACKGROUND: Curcuma longa Linn, "the golden spice" is a common spice used in
Southern Asia and Middle East countries. It has a history of ethnopharmacological
use for its various activities like anti-septic, anti-inflammatory, anti-oxidant,
anti-microbial, anti-cancer and so on. OBJECTIVE: To investigate the effects of
polar extract of C. longa (PCL) against monosodium iodoacetate (MIA) induced
osteoarthritis in rat and to compare with curcuminoids, which are contemporarily
believed to be the only active phytochemicals of C. longa for relieving pain in
osteoarthritis. METHOD: Osteoarthritis in rats was induced by intra-articular
injection of monosodium iodoacetate (MIA) in right knee. PCL or curcuminoids or
tramadol was administered orally as single dose on the 5th day post MIA injection
to rats. Weight bearing capacity and percentage inhibition of nociception of PCL
treated groups were determined and compared with curcuminoids and tramadol
(reference drug). In addition, gene expression levels of type II collagen and
matrix metalloproteinases (MMP) in joint cartilage was measured by Reverse
transcription polymerase chain reaction. RESULTS: PCL significantly decreased the
difference in weight distribution between left and right limb in a dose dependent
manner. Anti-arthritic activity of PCL is evident from significant up regulation
of type II collagen gene (COL2A1) and down regulation of MMP-3 and MMP-7.
CONCLUSION: Polar extract of C. longa showed beneficial effects on joints by
exhibiting antiosteoarthritic effects via maintaining equilibrium between
anabolic and catabolic factors of joint cartilage.
PMID- 29376495
TI - Therapeutic Potential of N-heterocyclic Analogs as Anti-inflammatory Agents.
AB - BACKGROUND: Various mediators and anti-inflammatory drugs were used since from a
long time but it is still a challenge for the medicinal chemists to treat or
reduce the symptoms of inflammatory diseases. Most of the clinically used anti
inflammatory drugs such as NSAIDs, Coxibs and GCs are allied with considerable
toxicity. OBJECTIVE: The search of novel anti-inflammatory agent is not an ending
process. Although the drug treatment has been improved steadily but yet, it is
still there is a need to develop more potent therapeutic agents. METHOD: Reported
literature survey has been studied to summarize the nitrogen containing moieties
which were utilized as potential therapeutic agents. RESULTS: A variety of N
heterocyclic analogs are known to exhibit a wide range of interesting biological
activities like antioxidant, anti-inflammatory, anticonvulsant, analgesic,
antimicrobial, anticancer, antiprotozoal, antioxidant, antiparasitic,
antiplatelet, cardioprotective, anthelmintic, antidiabetic, antitubercular,
trypanocidal and anti-HIV. However, numerous approaches were used to overcome the
toxicity level such as co-administration with suitable agent/substance which
provides protection against toxicity as well to synthesise new potent and safe
anti-inflammatory drug. CONCLUSION: The present review summarizes the synthetic
methodology and therapeutic potential of some N-heterocyclic analogs as potent
anti-inflammatory agents.
PMID- 29376497
TI - L-Sulforaphane Confers Protection Against Oxidative Stress in an In Vitro Model
of Age-Related Macular Degeneration.
AB - BACKGROUND: In age-related macular degeneration, oxidative damage and abnormal
neovascularization in the retina are caused by the upregulation of vascular
endothelium growth factor and reduced expression of Glutathione-S-transferase
genes. Current treatments are only palliative. Compounds from cruciferous
vegetables (e.g. L-Sulforaphane) have been found to restore normal gene
expression levels in diseases including cancer via the activity of histone
deacetylases and DNA methyltransferases, thus retarding disease progression.
OBJECTIVE: To examine L-Sulforaphane as a potential treatment to ameliorate
aberrant levels of gene expression and metabolites observed in age-related
macular degeneration. METHOD: The in vitro oxidative stress model of AMD was
based on the exposure of Adult Retinal Pigment Epithelium-19 cell line to 200MUM
hydrogen peroxide. The effects of L-Sulforaphane on cell proliferation were
determined by MTS assay. The role of GSTM1, VEGFA, DNMT1 and HDAC6 genes in
modulating these effects was investigated using quantitative real-time polymerase
chain reaction. The metabolic profiling of L-Sulforaphane-treated cells via gas
chromatography massspectrometry was established. Significant differences between
control and treatment groups were validated using one-way ANOVA, student t-test
and post-hoc Bonferroni statistical tests (p<0.05). RESULTS: L-Sulforaphane
induced a dose-dependent increase in cell proliferation in the presence of
hydrogen peroxide by upregulating Glutathione-S-Transferase MU1 gene expression.
Metabolic profiling revealed that L-Sulforaphane increased levels of 2
monopalmitoglycerol, 9, 12, 15,-(Z-Z-Z)- Octadecatrienoic acid, 2
[Bis(trimethylsilyl)amino]ethyl bis(trimethylsilyl)-phosphate and nonanoic acid
but decreased beta-alanine levels in the absence or presence of hydrogen
peroxide, respectively. CONCLUSION: This study supports the use of L-Sulforaphane
to promote regeneration of retinal cells under oxidative stress conditions.
PMID- 29376499
TI - Reply to Comment on 'On the correct use of stepped-sine excitations for the
measurement and identification of time-varying bioimpedance'.
AB - OBJECTIVE: The authors Lang and Zalka commented on our previous note (Louarroudi
and Sanchez 2017 Physiol. Meas. 38 N73-80), indicating interpolation as a
suitable method to estimate the instantaneous impedance of a time-varying
impedance from stepped-sine excitations. APPROACH: Here, we briefly delve into
the underlying assumptions of the interpolation scheme. MAIN RESULTS: We
illustrate the approximation of the instantaneous impedance of a time-varying
impedance from stepped-sine measurements conducting experiments on a phantom.
SIGNIFICANCE: We outline the technical challenges awaiting future research
required to validate the accuracy of the instantaneous impedance approximation of
a time-varying impedance using stepped-sine excitations.
PMID- 29376496
TI - Shift from Levothyroxine Tablets to Liquid Formulation at Breakfast Improves
Quality of Life of Hypothyroid Patients.
AB - BACKGROUND: Until recently, treatment of hypothyroidism has been accomplished
using monotherapy of synthetic L-thyroxine (L-T4) sodium tablets that should be
taken 30-60 minutes before breakfast. Nowadays, a liquid preparation of
levothyroxine is available and can effectively replace tablets without the need
of waiting before having breakfast. Evidence of Quality of life (QoL) improvement
when shifting from the former to the latter preparation, however, is still
lacking. OBJECTIVE: The study aimed to assess changes in QoL of hypothyroid
patients dissatisfied with their therapy with L-T4 sodium tablets who were
switched from tablets taken 30-60 minutes before breakfast to liquid L-T4 at
breakfast. METHODS: A total of 418 consecutive hypothyroid subjects treated by
means of L-T4 tablets were asked about their satisfaction/dissatisfaction in
order to take the medication 30-60 minutes before having breakfast. Overall, 110
patients (26.3%) complained of the timing of their L-T4 therapy (30-60 minutes
before breakfast). A dedicated QoL questionnaire (ThyTSQ), taking just a few
minutes to be filled in was then administered to these dissatisfied patients.
They were therefore switched to L-T4 to be taken at breakfast. Aiming to avoid
TSH elevation due to L-T4 tablets malabsorption caused by meal interference and
gastric pH changes, patients were invited to take L-T4 liquid form, as this is
claimed to be scarcely affected by the non-fasting state. The questionnaire
(ThyTSQ) was administered again at the control visit 3 months later. TSH, FT4,
FT3 serum concentrations and metabolic parameters were also recorded. RESULTS: An
improved QoL, mainly due to an easier adherence to treatment, was reported by
66.6% of 102 patients who completed the study after shifting from taking
medication 30-60 minutes before breakfast to at breakfast ingestion (P<0.01). An
overall 10.7% of patients found the liquid formulation distasteful. Mean values
of TSH, FT4, FT3, and of metabolic parameters did not significantly change but in
eight patients (7.7%) who showed a TSH increase > 2mIU/L. CONCLUSION: In
hypothyroid subjects dissatisfied with L-T4 tablets ingested 30-60 minutes before
breakfast, the shift to the same dose of L-T4 in liquid form taken at breakfast
improved QoL in the majority of patients, without affecting thyroid function.
PMID- 29376500
TI - Nanoscale imaging of photocurrent enhancement by resonator array photovoltaic
coatings.
AB - Nanoscale surface patterning commonly used to increase absorption of solar cells
can adversely impact the open-circuit voltage due to increased surface area and
recombination. Here, we demonstrate absorptivity and photocurrent enhancement
using silicon dioxide (SiO2) nanosphere arrays on a gallium arsenide (GaAs) solar
cell that do not require direct surface patterning. Due to the combined effects
of thin-film interference and whispering gallery-like resonances within
nanosphere arrays, there is more than 20% enhancement in both absorptivity and
photocurrent. To determine the effect of the resonance coupling between
nanospheres, we perform a scanning photocurrent microscopy based on a near-field
scanning optical microscopy measurement and find a substantial local photocurrent
enhancement. The nanosphere-based antireflection coating (ARC), made by the Meyer
rod rolling technique, is a scalable and a room-temperature process; and, can
replace the conventional thin-film-based ARCs requiring expensive high
temperature vacuum deposition.
PMID- 29376501
TI - Cytotoxicity and biocompatibility of biomaterials based in polyhydroxybutyrate
reinforced with cellulose nanowhiskers determined in human peripheral leukocytes.
AB - Implants of materials that are typically considered inert have been shown to
cause early inflammatory complications. In addition, implant wear products may
also cause overproduction of proinflammatory cytokines in the long run. Among the
cytokines is tumor necrosis factor alpha (TNFalpha), which not only participates
in the inflammatory response but also in the degradation of the bone. Therefore,
a lack of production of TNFalpha by the cells of the immune system in contact
with a candidate material for implant design is an indication of the acceptance
of the biomaterial, and predicts the inflammatory response responsible for
implant intolerance. There is no standard laboratory test to evaluate an
individual response of a patient to a possible implant, although the use of
peripheral blood mononuclear cells (PBMCs) has been suggested. Here, we evaluated
the biocompatibility and cytotoxicity of films made of polyhydroxybutyrate (PHB)
reinforced with different concentrations of cellulose nanowhiskers (CNWs) using
PBMCs from healthy donors. Cells from healthy donors were cultured in the
presence of films of the biomaterial during 24 h and 7 d and the cell viability
and proinflammatory cytokines TNFalpha and IL6 production were measured. We
confirmed that PHB, CNWs and the reinforced blends (PHB/CNWs) are safe and lack
cytotoxicity in human cells, which make them good candidates for implant
materials.
PMID- 29376502
TI - Prediction and early detection of delirium in the intensive care unit by using
heart rate variability and machine learning.
AB - OBJECTIVE: Delirium is an important syndrome found in patients in the intensive
care unit (ICU), however, it is usually under-recognized during treatment. This
study was performed to investigate whether delirious patients can be successfully
distinguished from non-delirious patients by using heart rate variability (HRV)
and machine learning. APPROACH: Electrocardiography data of 140 patients was
acquired during daily ICU care, and HRV data were analyzed. Delirium, including
its type, severity, and etiologies, was evaluated daily by trained psychiatrists.
HRV data and various machine learning algorithms including linear support vector
machine (SVM), SVM with radial basis function (RBF) kernels, linear extreme
learning machine (ELM), ELM with RBF kernels, linear discriminant analysis, and
quadratic discriminant analysis were utilized to distinguish delirium patients
from non-delirium patients. MAIN RESULTS: HRV data of 4797 ECGs were included,
and 39 patients had delirium at least once during their ICU stay. The maximum
classification accuracy was acquired using SVM with RBF kernels. Our prediction
method based on HRV with machine learning was comparable to previous delirium
prediction models using massive amounts of clinical information. SIGNIFICANCE:
Our results show that autonomic alterations could be a significant feature of
patients with delirium in the ICU, suggesting the potential for the automatic
prediction and early detection of delirium based on HRV with machine learning.
PMID- 29376503
TI - On the correct use of stepped-sine excitations for the measurement of time
varying bioimpedance.
AB - Many electrochemical/bioelectrochemical systems are intrinsically nonstationary
and are affected by time-dependent phenomena. The requirement of stationarity in
the classical version of impedance spectroscopy appears to be in conflict with
the essential properties of the object, therefore a post-experimental
mathematical/analytical procedure is necessary for the reconstruction of the
'true' impedance spectra. In this communication, a method for the correction of
the impedance data is discussed.
PMID- 29376504
TI - Diagnostic reference levels for common computed tomography (CT) examinations:
results from the first Nigerian nationwide dose survey.
AB - PURPOSE: To explore doses from common adult computed tomography (CT) examinations
and propose national diagnostic reference levels (nDRLs) for Nigeria. MATERIALS
AND METHODS: This retrospective study was approved by the Nnamdi Azikiwe
University and University Teaching Hospital Institutional Review Boards (IRB:
NAUTH/CS/66/Vol8/84) and involved dose surveys of adult CT examinations across
the six geographical regions of Nigeria and Abuja from January 2016 to August
2017. Dose data of adult head, chest and abdomen/pelvis CT examinations were
extracted from patient folders. The median, 75th and 25th percentile CT dose
index volume (CTDIvol) and dose-length-product (DLP) were computed for each of
these procedures. Effective doses (E) for these examinations were estimated using
the k conversion factor as described in the ICRP publication 103 (EDLP = k *
DLP). RESULTS: The proposed 75th percentile CTDIvol for head, chest, and
abdomen/pelvis are 61 mGy, 17 mGy, and 20 mGy, respectively. The corresponding
DLPs are 1310 mGy.cm, 735 mGy.cm, and 1486 mGy.cm respectively. The effective
doses were 2.75 mSv (head), 10.29 mSv (chest), and 22.29 mSv (abdomen/pelvis).
CONCLUSION: Findings demonstrate wide dose variations within and across centres
in Nigeria. The results also show CTDIvol comparable to international standards,
but considerably higher DLP and effective doses.
PMID- 29376505
TI - Ascent of atomic force microscopy as a nanoanalytical tool for exosomes and other
extracellular vesicles.
AB - Over the last 30 years, atomic force microscopy (AFM) has made several
significant contributions to the field of biology and medicine. In this review,
we draw our attention to the recent applications and promise of AFM as a high
resolution imaging and force sensing technology for probing subcellular vesicles:
exosomes and other extracellular vesicles. Exosomes are naturally occurring
nanoparticles found in several body fluids such as blood, saliva, cerebrospinal
fluid, amniotic fluid and urine. Exosomes mediate cell-cell communication,
transport proteins and genetic content between distant cells, and are now known
to play important roles in progression of diseases such as cancers,
neurodegenerative disorders and infectious diseases. Because exosomes are smaller
than 100 nm (about 30-120 nm), the structural and molecular characterization of
these vesicles at the individual level has been challenging. AFM has revealed a
new degree of complexity in these nanosized vesicles and generated growing
interest as a nanoscale tool for characterizing the abundance, morphology,
biomechanics, and biomolecular make-up of exosomes. With the recent interest in
exosomes for diagnostic and therapeutic applications, AFM-based characterization
promises to contribute towards improved understanding of these particles at the
single vesicle and sub-vesicular levels. When coupled with complementary methods
like optical super resolution STED and Raman, AFM could further unlock the
potential of exosomes as disease biomarkers and as therapeutic agents.
PMID- 29376506
TI - Bulla formation at the tuberculin skin test site in a patient with bullous
pemphigoid: Koebnerization or severe delayed-type hypersensitivity?
PMID- 29376507
TI - Oral ulcers in heart transplant patient.
PMID- 29376508
TI - A subungual angiokeratoma with characteristic clinical and dermoscopic features.
PMID- 29376509
TI - Total serum immunoglobulin E level and specific allergens in adults with skin
diseases.
AB - Background: Immunoglobulin E (IgE) plays an important role in allergic diseases.
Although several studies have shown the association of serum total IgE and
allergen-specific IgE levels with allergic dermatological diseases such as atopic
dermatitis, there are few studies addressing this association for skin diseases
in general. Aims: We sought to evaluate IgE levels in skin diseases and
investigate the differences based on the disease type and clinical factors such
as gender and age. Methods: Data from 2836 patients who visited the dermatologic
clinic of the Konkuk University Hospital, Seoul, Republic of Korea for 4 years
were reviewed to document IgE levels and clinical information. IgE levels were
collated with the type of skin disease, gender, and age. Results: Patients with
atopic dermatitis had a much higher total IgE level and were more susceptible to
allergens as compared to other disease groups. Patients in other disease groups
showed no significant differences in IgE levels. Men showed higher total IgE
levels but the gender differences decreased with increasing age. Limitations: The
data were collected from patients at a referral centre and thus may not represent
the general population of dermatologic patients. There was a lack of information
regarding factors that could potentially influence IgE levels such as smoking
history and disease severity. Conclusions: The results suggest that there are
physiological or environmental differences in IgE-mediated immune responses
between males and females. Also, except for atopic dermatitis, there were no
clinical differences in the IgE levels among various skin diseases.
PMID- 29376510
TI - A solitary fibrofolliculoma occurring in an unusual location.
PMID- 29376511
TI - Scholarships and travel grants for residents and young dermatologists from India.
PMID- 29376512
TI - Cutaneous angiosarcoma in a patient with systemic sclerosis: First case from
India.
PMID- 29376513
TI - Evaluating the impact of an injury prevention measure regarding different
sociodemographic factors.
AB - BACKGROUND: Road traffic collisions (RTC) remain a major problem especially among
young road users. Injury prevention measures and licensing systems have
increasingly been developed to counteract some of the negative effects of RTCs in
youth. The Prevent Alcohol and Risk Related Trauma in Youth (P.A.R.T.Y.) program
is an injury prevention program that promotes prevention through reality
education. In this study, the impact of the program on different sociodemographic
subgroups of school students was analyzed. The aim was to find out which
subgroups were influenced the most and how improvements to the program can be
made. METHODS: Evaluation was performed in a pre-post-intervention setting by
means of a standardized questionnaire. The questionnaire contained three
different sections with a total of 22 questions to identify students' responses
regarding risk-behavior and risk-assessment. Evaluation was done at two different
points on the same day (pre-and post-intervention). Data were analyzed with a
focus on gender, age, residential area and level of education. Cronbach's alpha
was used to check all questions for reliability. Data were analyzed using the t
test and the Wilcoxon signed-rank test with significance defined as p less than
0.05. RESULTS: The study sample contains 193 students (range 14-17 years of age,
44% male). Female students show better results regarding risk-behavior and risk
awareness. The same applies to students of a higher educational level. And
students >= 16 years showed significantly better results in all three sections
compared to younger students. CONCLUSIONS: Morbidity and mortality due to RTCs is
a major problem in the group of young road users. Especially male road users
between 14 and 17 years of age with a low educational level are at high risk to
sustain road traffic injuries. Our results show that the P.A.R.T.Y. program has a
stronger effect on young female students. Additionally, a significant effect was
measured on students >= 16 years of age and on students with a higher educational
level. Prevention measures need to be evaluated and further improved particularly
in order to address the high-risk group of young, male road users with a lower
educational status.
PMID- 29376515
TI - Experiences of Social Support Among Chinese Women with Breast Cancer: A
Qualitative Analysis Using a Framework Approach.
AB - BACKGROUND Breast cancer and its treatment provoke a series of emotional changes
in patients during their breast cancer journeys. Social support is critical in
helping women cope with their negative emotional responses. However, few studies
have described the experiences of women with breast cancer within the Chinese
context. This qualitative study explored the experiences of Chinese women with
breast cancer regarding social support. MATERIAL AND METHODS This qualitative
study was based on constructivism epistemology. Chinese women with breast cancer
were invited to interview between June and August 2016. Purposive sampling was
used, and the women were recruited until data saturation was reached (n=25).
RESULTS We found that all participants expressed the importance of social support
during their breast cancer journeys. The thematic framework of social support was
identified, which included the following 4 interrelated themes: providers, types
of support, outcomes of support, and challenges for care. CONCLUSIONS Social
support is important in the health care of women living with breast cancer.
Healthcare professionals should provide more individualized support and care to
women during this vulnerable period.
PMID- 29376514
TI - The comparison of socioeconomic status, perceived social support and mental
status in women of reproductive age experiencing and not experiencing domestic
violence in Iran.
AB - BACKGROUND: Given the significant health effects of domestic violence against
women, the present study was conducted in 2016, in Tehran, Iran in order to
compare the socioeconomic status, perceived social support and mental status in
women of reproductive age experiencing and not experiencing domestic violence.
METHODS: This descriptive-analytical cross-sectional study was conducted on 500
women. The data collection tools used included questionnaires: demographic
information, Socioeconomic, Beck's Depression, Spielberger's Anxiety, Cohen's
Perceived Stress, Sarason's Perceived Social Support and WHO's Domestic Violence
Inventory. RESULTS: The results showed that 43.2% of women said they had
experienced at least one case of domestic violence, among which 16.4%, 15% and
36.6% of women had experienced physical, sexual and emotional-verbal types of
violence, respectively. The mean age (p less than 0.001) and educational level
(p=0/018) of violated women and their spouses (p less than 0.001) were lower than
those of non-violated women. Furthermore, violated women experienced lower
socioeconomic status (p less than 0.05), higher perceived stress (p less than
0.008), higher depression (p less than 0.001), and higher overt anxiety (0.002.
They also perceived lower levels of social support (p less than 0.001).
CONCLUSIONS: The issue of domestic violence was rather prevalent in the
participants of the present study, particularly the younger, less educated and
more socioeconomically deprived communities and families.
PMID- 29376516
TI - Corrigendum: Dislocation Strengthening without Ductility Trade-off in Metastable
Austenitic Steels.
AB - This corrects the article DOI: 10.1038/srep35345.
PMID- 29376517
TI - Corrigendum: Application of a novel phosphinothricin N-acetyltransferase (RePAT)
gene in developing glufosinate-resistant rice.
AB - This corrects the article DOI: 10.1038/srep21259.
PMID- 29376518
TI - Corrigendum: Application of Machine-Learning Models to Predict Tacrolimus Stable
Dose in Renal Transplant Recipients.
AB - This corrects the article DOI: 10.1038/srep42192.
PMID- 29376522
TI - Adipose tissue: New tissue processing technique for adipose tissues.
PMID- 29376521
TI - Tumour budding in colorectal cancer: molecular rationale for clinical
translation.
PMID- 29376520
TI - Targeting minimal residual disease: a path to cure?
AB - Therapeutics that block kinases, transcriptional modifiers, immune checkpoints
and other biological vulnerabilities are transforming cancer treatment. As a
result, many patients achieve dramatic responses, including complete
radiographical or pathological remission, yet retain minimal residual disease
(MRD), which results in relapse. New functional approaches can characterize
clonal heterogeneity and predict therapeutic sensitivity of MRD at a single-cell
level. Preliminary evidence suggests that iterative detection, profiling and
targeting of MRD would meaningfully improve outcomes and may even lead to cure.
PMID- 29376524
TI - Risk factors: Breastfeeding reduces risk of type 2 diabetes mellitus.
PMID- 29376519
TI - Fanconi anaemia and cancer: an intricate relationship.
AB - Fanconi anaemia (FA) is a genetic disorder that is characterized by bone marrow
failure (BMF), developmental abnormalities and predisposition to cancer. Together
with other proteins involved in DNA repair processes and cell division, the FA
proteins maintain genome homeostasis, and germline mutation of any one of the
genes that encode FA proteins causes FA. Monoallelic inactivation of some FA
genes, such as FA complementation group D1 (FANCD1; also known as the breast and
ovarian cancer susceptibility gene BRCA2), leads to adult-onset cancer
predisposition but does not cause FA, and somatic mutations in FA genes occur in
cancers in the general population. Carcinogenesis resulting from a dysregulated
FA pathway is multifaceted, as FA proteins monitor multiple complementary genome
surveillance checkpoints throughout interphase, where monoubiquitylation of the
FANCD2-FANCI heterodimer by the FA core complex promotes recruitment of DNA
repair effectors to chromatin lesions to resolve DNA damage and mitosis. In this
Review, we discuss how the FA pathway safeguards genome integrity throughout the
cell cycle and show how studies of FA have revealed opportunities to develop
rational therapeutics for this genetic disease and for malignancies that acquire
somatic mutations within the FA pathway.
PMID- 29376525
TI - Ligand mediated evolution of size dependent magnetism in cobalt nanoclusters.
AB - We use density functional theory to model the impact of a ligand shell on the
magnetic properties of CoN (15 <= N <= 55) nanoclusters. We study three different
ligand shells on each nanocluster core size, each known to have different
electronic interactions with the surface: pure Cl ligand shells (X-type), pure
PH3 ligand shells (L-type), and two component ligand shells with mixtures of Cl
and PH3 ligands. The simulations show that the identity, arrangement, and total
coverage of the ligand shell controls the distribution of local magnetic moments
across the CoN core. On the surface of an unpassivated CoN nanocluster, the Co-Co
coordination number (CN) is known to determine the local magnetic moments. Upon
the introduction of a ligand, the Co-Co CN remains important, however the nature
of the metal-ligand bond changes the extent to which increasing Co-Co CN quenches
magnetism. Further, we identify an additional and significant long-range impact
on local magnetic moments (LMM) from the PH3 ligand shells. Thus, we establish
important design principles of magnetic nanoclusters, where ligand shell
chemistry mediates the distribution of LMMs across a CoNLM nanocluster, allowing
a route to rational design of specific magnetic properties.
PMID- 29376526
TI - High CO2 absorption by diamino protic ionic liquids using azolide anions.
AB - For the first time, several low-viscosity diamino protic ionic liquids (DPILs),
based on azolides as anions (pyrazolide, imidazolide and triazolide) have been
synthesised, characterised and evaluated for CO2 capture. The results reveal that
these ionic liquids rapidly absorb CO2 to more than 20% w/w pointing towards
equimolar absorption.
PMID- 29376523
TI - Osteocalcin in the brain: from embryonic development to age-related decline in
cognition.
AB - A remarkable, unexpected aspect of the bone-derived hormone osteocalcin is that
it is necessary for both brain development and brain function in the mouse, as
its absence results in a profound deficit in spatial learning and memory and an
exacerbation of anxiety-like behaviour. The regulation of cognitive function by
osteocalcin, together with the fact that its circulating levels decrease in
midlife compared with adolescence in all species tested, raised the prospect that
osteocalcin might be an anti-geronic hormone that could prevent age-related
cognitive decline. As presented in this Review, recent data indicate that this is
indeed the case and that osteocalcin is necessary for the anti-geronic activity
recently ascribed to the plasma of young wild-type mice. The diversity and
amplitude of the functions of osteocalcin in the brain, during development and
postnatally, had long called for the identification of its receptor in the brain,
which was also recently achieved. This Review presents our current understanding
of the biology of osteocalcin in the brain, highlighting the bony vertebrate
specificity of the regulation of cognitive function and pointing toward where
therapeutic opportunities might exist.
PMID- 29376527
TI - Selective sensing of 2,4,6-trinitrophenol (TNP) in aqueous media with
"aggregation-induced emission enhancement" (AIEE)-active iridium(iii) complexes.
AB - A series of new phosphorescent cyclometalated iridium(iii) complexes which
possess aggregation-induced emission enhancement (AIEE) detect 2,4,6
trinitrophenol (TNP) selectively with high quenching constants in aqueous media.
The sensing mechanism was systematically investigated by mass spectrometry, 1H
and 19F NMR spectroscopy. X-ray crystal structure analysis reveals an O-HO
interaction between TNP and the ancillary ligand which explains the high
selectivity for TNP compared to other nitro-aromatics.
PMID- 29376528
TI - Supramolecular assemblies through host-guest complexation between cucurbiturils
and an amphiphilic guest molecule.
AB - We report the formation of supramolecular complexation between cucurbit[n]urils
(CBn) and an amphiphilic pyridinium-functionalized anthracene (AnPy) in aqueous
solution. The CB7 cavity is capable of accommodating the pyridinium moiety, while
CB8 can encapsulate the pyridinium and anthracene moieties at once. The
encapsulation of AnPy by CB7 leads to the formation of nanoparticles, while the
complexation of AnPy with CB8 leads to the formation of nanorods.
PMID- 29376529
TI - Gateway state-mediated, long-range tunnelling in molecular wires.
AB - If the factors controlling the decay in single-molecule electrical conductance G
with molecular length L could be understood and controlled, then this would be a
significant step forward in the design of high-conductance molecular wires. For a
wide variety of molecules conducting by phase coherent tunnelling, conductance G
decays with length following the relationship G = Ae-betaL. It is widely accepted
that the attenuation coefficient beta is determined by the position of the Fermi
energy of the electrodes relative to the energy of frontier orbitals of the
molecular bridge, whereas the terminal anchor groups which bind to the molecule
to the electrodes contribute to the pre-exponential factor A. We examine this
premise for several series of molecules which contain a central conjugated moiety
(phenyl, viologen or alpha-terthiophene) connected on either side to alkane
chains of varying length, with each end terminated by thiol or thiomethyl anchor
groups. In contrast with this expectation, we demonstrate both experimentally and
theoretically that additional electronic states located on thiol anchor groups
can significantly decrease the value of beta, by giving rise to resonances close
to EF through coupling to the bridge moiety. This interplay between the gateway
states and their coupling to a central conjugated moiety in the molecular bridges
creates a new design strategy for realising higher-transmission molecular wires
by taking advantage of the electrode-molecule interface properties.
PMID- 29376530
TI - Synthesis and properties of MoCl4 complexes with thio- and seleno-ethers and
their use for chemical vapour deposition of MoSe2 and MoS2 films.
AB - Treatment of trans-[MoCl4(MeCN)2] with L (L = Me2S, Me2Se, THT, 1/2MeSCH2CH2SMe)
in CH2Cl2 solution, or reaction of MoCl5 with excess L' (L' = nBu2S, nBu2Se,
1/2MeSCH2CH2SMe, 1/2iPrSCH2CH2SiPr, 1/2MeSCH2CH2CH2SMe, 1/2MeSeCH2CH2CH2SeMe) in
MeCN, produces the Mo(iv) complexes, [MoCl4(L)2] and [MoCl4(L')2], respectively,
in good yield. The new complexes have been characterised by IR and UV-vis
spectroscopy, elemental analysis and magnetic measurements, whilst crystal
structure analyses of trans-[MoCl4(Me2S)2], cis-[MoCl4{RS(CH2)2SR}] (R = Me, iPr)
and cis-[MoCl4{MeS(CH2)3SMe}] confirmed their identities and distorted octahedral
geometries. The potential of [MoCl4(nBu2E)2] (E = S, Se) as the first examples of
molybdenum halide derived single source CVD precursors for the growth of MoE2
thin films was first probed by TGA, which showed multi-step decomposition
processes, with the masses of the final residues consistent with MoSe2 (E = Se)
and MoCl4 (E = S), respectively. Low pressure CVD experiments conducted at 750
degrees C (E = S) and 525 degrees C (E = Se) gave silvery and golden yellow
films, respectively. Grazing incidence and in plane XRD data confirmed these to
be 2H-MoE2, whilst the texture of the MoSe2 was investigated using pole figure
measurements. SEM and EDX data, optical and Raman data on the films are also
reported.
PMID- 29376531
TI - Structure and rheology of polyelectrolyte complex coacervates.
AB - Scattering investigations of the structure and chain conformations, and the
rheological properties of polyelectrolyte complexes (PECs) comprising model
polyelectrolytes are presented. The use of charged polypeptides - (poly)-lysine
and (poly)-glutamic acid with identical backbones allowed for facile tuning of
the system parameters, including chain length, side-chain functionality, and
chirality. Systematic studies using small-angle X-ray scattering (SAXS) of liquid
PEC coacervates revealed a physical description of these materials as strongly
screened semidilute polyelectrolyte solutions comprising oppositely charged
chains. At the same time, solid PECs were found to be composed of hydrogen
bonding driven stiff ladder-like structures. While the coacervates behaved akin
to semidilute polyelectrolyte solutions upon addition of salt, the solids were
largely unaffected by it. Rheology measurements of PEC coacervates revealed a
terminal relaxation regime, with an unusual plateauing of the storage modulus at
low oscillation frequencies. The plateau may be ascribed to a combination of
instrumental limitations and the long-range electrostatic interactions
contributing to weak energy storage modes. Excellent superposition of the dynamic
moduli was achieved by a time-salt superposition. The shift factors, however,
varied more strongly than previously reported with added salt concentration.
PMID- 29376532
TI - Anion transport by ortho-phenylene bis-ureas across cell and vesicle membranes.
AB - Ortho-Phenylene bis-ureas serve as anionophores in cells expressing halide
sensitive yellow fluorescent protein, as well as in synthetic vesicles.
Activities can reach high levels, and are strongly dependent on the
deliverability of the transporters.
PMID- 29376533
TI - Purely-elastic flow instabilities and elastic turbulence in microfluidic cross
slot devices.
AB - We experimentally investigate the dynamics of viscoelastic fluid flows in cross
slot microgeometries under creeping flow conditions. We focus on the unsteady
flow regime observed at high Weissenberg numbers (Wi) with the purpose of
understanding the underlying flow signature of elastic turbulence. The effects of
the device aspect ratio and fluid rheology on the unsteady flow state are
investigated. Visualization of the flow patterns and time-resolved micro-particle
image velocimetry were carried out to study the fluid flow behavior for a wide
range of Weissenberg numbers. A periodic flow behavior is observed at low
Weissenberg numbers followed by a more complex dynamics as Wi increases,
eventually leading to the onset of elastic turbulence for very high Weissenberg
numbers.
PMID- 29376534
TI - Water ring-bouncing on repellent singularities.
AB - Texturing a flat superhydrophobic substrate with point-like superhydrophobic
macrotextures of the same repellency makes impacting water droplets take off as
rings, which leads to shorter bouncing times than on a flat substrate. We
investigate the contact time reduction on such elementary macrotextures through
experiment and simulations. We understand the observations by decomposing the
impacting drop reshaped by the defect into sub-units (or blobs) whose size is
fixed by the liquid ring width. We test the blob picture by looking at the
reduction of contact time for off-centered impacts and for impacts in grooves
that produce liquid ribbons where the blob size is fixed by the width of the
channel.
PMID- 29376535
TI - Correction: Adaptable piezoelectric hemispherical composite strips using a
scalable groove technique for a self-powered muscle monitoring system.
AB - Correction for 'Adaptable piezoelectric hemispherical composite strips using a
scalable groove technique for a self-powered muscle monitoring system' by
Nagamalleswara Rao Alluri et al., Nanoscale, 2018, 10, 907-913.
PMID- 29376536
TI - A stable thiolato-CuI-thiolato triple linkage that bridges two cobalt(iii)
centres.
AB - Treatment of Lambda-fac-[Co(d-pen-N,S)3]3- (d-H2pen = d-penicillamine) with Cu+
in water gave a stable CoCu complex, LambdaLambda-[Co2Cu3(d-pen)6]3- ([1]3-),
having three thiolato-copper(i)-thiolato moieties that bridge two cobalt(iii)
centres. Complex [1]3- was isolated as a coordination polymer of Na3[1], which
was converted to a complex salt of [Cr(H2O)6][1] by treatment with Cr(NO3)3.
PMID- 29376537
TI - The influence of anion chemistry on the ionic conductivity and molecular dynamics
in protic organic ionic plastic crystals.
AB - Proton conductors are widely used in different electrochemical devices including
fuel cells and redox flow batteries. Compared to conventional proton conducting
polymer membranes, protic organic ionic plastic crystal (POIPC) is a novel solid
state proton conductor with high proton conductivity even under anhydrous
conditions. In this work, different organic protic salts based on the same parent
di-functional cation with different anions were synthesized and characterized. It
is found that the di-protonated cation plays an important role in defining the
thermal properties, leading to stronger plastic crystal behavior and a higher
melting point. Static solid-state NMR and the synchrotron XRD results show that
the di-protonated cation allows greater dynamics in the crystal in contrast to
the mono-protonated counterparts. The 1-(N,N-dimethylammonium)-2-(ammonium)ethane
triflate ([DMEDAH2][Tf]2) has the highest ionic conductivity of 1.1 * 10-4 S cm-1
at 50 degrees C, whereas the bis(trifluoromethanesulfonyl)amide counterpart
[DMEDAH2][TFSA]2 has the lowest ionic conductivity (2.8 * 10-7 S cm-1 at 50
degrees C) with no measureable mobile ion component at this temperature. The
fraction of mobile species is significantly suppressed in the TFSA containing
salts as against the Tf systems.
PMID- 29376538
TI - The negative Poisson's ratio in graphene-based carbon foams.
AB - Using molecular dynamics simulations, we find an in-plane negative Poisson's
ratio intrinsically existing in the graphene-based three-dimensional (3D) carbon
foams (CFs) when they are compressed uniaxially. Our study shows that the
negative Poisson's ratio in the present CFs is attributed to their unique
molecular structures and triggered by the buckling of the CF structures. This
mechanism makes the negative Poisson's ratio of CFs strongly depend on their cell
length, which offers us an efficient means to tune the negative Poisson's ratio
in nanomaterials. Moreover, as the buckling modes of CFs are topographically
different when they are compressed in different directions, their negative
Poisson's ratio is found to be strongly anisotropic, which is in contrast to the
isotropic positive Poisson's ratio observed in CFs prior to buckling. The
discovery of the intrinsic negative Poisson's ratio in 3D CFs will significantly
expand the family of auxetic nanomaterials. Meanwhile, the mechanism of nano
auxetics proposed here may open up a door to manufacture new auxetic materials on
the nanoscale.
PMID- 29376539
TI - Synthesis of oligosaccharides related to galactomannans from Aspergillus
fumigatus and their NMR spectral data.
AB - The synthesis of model oligosaccharides related to antigenic galactomannans of
the dangerous fungal pathogen Aspergillus fumigatus has been performed employing
pyranoside-into-furanoside (PIF) rearrangement and controlled O(5) -> O(6)
benzoyl migration as key synthetic methods. The prepared compounds along with
some previously synthesized oligosaccharides were studied by NMR spectroscopy
with the full assignment of 1H and 13C signals and the determination of 13C NMR
glycosylation effects. The obtained NMR database on 13C NMR chemical shifts for
oligosaccharides representing galactomannan fragments forms the basis for further
structural analysis of galactomannan related polysaccharides by a non-destructive
approach based on the calculation of the 13C NMR spectra of polysaccharides by
additive schemes.
PMID- 29376540
TI - Selective protein unfolding: a universal mechanism of action for the development
of irreversible inhibitors.
AB - High-throughput differential scanning fluorimetry of GFP-tagged proteins (HT-DSF
GTP) was applied for the identification of novel enzyme inhibitors acting by a
mechanism termed: selective protein unfolding (SPU). Four different protein
targets were interrogated with the same library to identify target-selective
hits. Several hits selectively destabilized bacterial biotin protein ligase.
Structure-activity relationship data confirmed a structure-dependent mechanism of
protein unfolding. Simvastatin and altenusin were confirmed to irreversibly
inactivate biotin protein ligase. The principle of SPU combined with HT-DSF-GTP
affords an invaluable and innovative workflow for the identification of new
inhibitors with potential applications as antimicrobials and other biocides.
PMID- 29376541
TI - Application of a coupled smoothed particle hydrodynamics (SPH) and coarse-grained
(CG) numerical modelling approach to study three-dimensional (3-D) deformations
of single cells of different food-plant materials during drying.
AB - Numerical modelling has gained popularity in many science and engineering streams
due to the economic feasibility and advanced analytical features compared to
conventional experimental and theoretical models. Food drying is one of the areas
where numerical modelling is increasingly applied to improve drying process
performance and product quality. This investigation applies a three dimensional
(3-D) Smoothed Particle Hydrodynamics (SPH) and Coarse-Grained (CG) numerical
approach to predict the morphological changes of different categories of food
plant cells such as apple, grape, potato and carrot during drying. To validate
the model predictions, experimental findings from in-house experimental
procedures (for apple) and sources of literature (for grape, potato and carrot)
have been utilised. The subsequent comaprison indicate that the model predictions
demonstrate a reasonable agreement with the experimental findings, both
qualitatively and quantitatively. In this numerical model, a higher computational
accuracy has been maintained by limiting the consistency error below 1% for all
four cell types. The proposed meshfree-based approach is well-equipped to predict
the morphological changes of plant cellular structure over a wide range of
moisture contents (10% to 100% dry basis). Compared to the previous 2-D meshfree
based models developed for plant cell drying, the proposed model can draw more
useful insights on the morphological behaviour due to the 3-D nature of the
model. In addition, the proposed computational modelling approach has a high
potential to be used as a comprehensive tool in many other tissue morphology
related investigations.
PMID- 29376542
TI - Construction of iron oxide nanoparticle-based hybrid platforms for tumor imaging
and therapy.
AB - The aim of this original review is to highlight and analyze the most recent
progress and challenges in the synthesis and surface modifications of
superparamagnetic iron oxide (Fe3O4) nanoparticles (NPs) for multimodal imaging
and therapy applications, which represent important fields in medicine in general
and cancer in particular. Thus, the oncology domain is rapidly moving to a more
personalized medicine including precision imaging and theranostic approaches.
Novel biocompatible Fe3O4 nanoparticulate systems have been designed for enhanced
and targeted cellular uptake by surface layer coating modifications, to have
improved r2 relaxivity for sensitive magnetic resonance (MR) imaging
applications, to have the ability to be used for dual mode imaging, and to be
used for imaging-guided cancer therapy. In this review, we analyzed in depth the
new strategies for generating biocompatible multifunctional Fe3O4 nanoplatforms
for both the diagnosis and therapy of cancer.
PMID- 29376543
TI - Solvation effects on the vibrational modes in hydrated bicarbonate clusters.
AB - HCO3-(H2O)n clusters provide a model system to understand the solvation
interaction between the bicarbonate ion and water. Based on harmonic analysis, ab
initio molecular dynamics simulations, and comparison with infrared multiple
photon dissociation spectra and with previous results on H2PO4-(H2O)n, the
solvation effects on the vibrational modes of HCO3-(H2O)n are analyzed. Hydrogen
bond interactions have a significant impact on the vibration, especially when a
hydrogen atom is directly involved in a particular mode. The COH bending mode is
flattened, when the COH group is solvated by water molecules. The emergence of
broad water libration modes indicates the aggregation of water molecules and the
formation of a surface structure with bicarbonate on the surface.
PMID- 29376544
TI - Microkinetic model for reaction and diffusion of titanium interstitial atoms near
a TiO2(110) surface.
AB - Semiconductor surfaces provide efficient pathways for injecting native point
defects into the underlying bulk. In the case of interstitial atoms in rutile,
the TiO2(110) surface exemplifies this behavior, although extended defects in the
bulk such as platelets and crystallographic shear planes act as net sources or
sinks depending upon specific conditions. The present work constructs a
quantitative microkinetic model to describe diffusion and based upon isotopic gas
solid exchange experiments. Key activation barriers for are 0.55 eV for surface
injection, 0.50 eV for site-to-site hopping diffusion, and 3.3 eV for
dissociation of titanium interstitials from extended defects.
PMID- 29376545
TI - Idiopathic pulmonary fibrosis in a Swiss interstitial lung disease reference
centre.
AB - BACKGROUND: Idiopathic pulmonary fibrosis (IPF) differs substantially from other
idiopathic interstitial pneumonias regarding disease trajectory and the
appropriate management strategies, making meticulous diagnosis essential.
However, patient characteristics and clinical practice vary between clinical
trials, and real life and registries provide the opportunity to critically
analyse current clinical practices in order to ultimately improve patient care.
METHODS: We aimed to identify characteristics of our baseline IPF cohort at
initiation of a web-based registry for patients with idiopathic interstitial
pneumonia. Baseline and 6-month follow-up data from all consecutive IPF patients
consulting at our centre over 2 years were analysed. RESULTS: Forty IPF patients
were included for baseline and 23 for longitudinal analysis. Besides many
similarities to other IPF populations, our cohort included considerably fewer
women. Forced vital capacity impairment in our cohort was more severe and
mortality prediction poorer than in clinical trials, which emphasises the
importance to confirm the applicability of clinical trial results with data from
real life settings. CONCLUSION: Registries for rare diseases such as IPF are a
valuable resource for studying the course of the disease under current compliance
with diagnostic and treatment guidelines and to appreciate local epidemiological
particularities.
PMID- 29376546
TI - Hospital discharge of patients with ongoing care needs: a cross-sectional study
using data from a city hospital under SwissDRG.
AB - AIM OF THE STUDY: Switzerland introduced the SwissDRG in 2012. The goal of this
reimbursement system was to promote cost containment and efficiency in hospital
care. To ensure that patients with care needs are not released prematurely
because of constraints under the new hospital financing system, the Swiss law on
Acute and Transitional Care (ATC) was introduced one year earlier. The objective
of the present study was to investigate the impact of ATC and its effects on
discharge of patients with persisting care needs after hospitalisation. METHODS:
Social service workers, nurses and palliative care team members at a Swiss
municipal hospital were asked to complete a four-page closed-ended questionnaire
about patients who require care after their hospital discharge. This included
questions on discharge management, their perceptions of the appropriateness of
discharge timing and details about conflicts regarding discharge. Information on
length of stay, discharge location, age and sex was extracted from hospital
records and matched to the information from the questionnaires. Demographic data
are presented descriptively, differences between patients released to ATC and
patients released elsewhere (home, nursing home, rehabilitation, etc.) were
evaluated with chi-square tests. Logistic regression analyses were performed to
evaluate differences between those sent to ATC and rehabilitation with age,
length of stay and sex as predictors. RESULTS: A total 1410 of valid
questionnaires were collected, comprised of 746 female patients (52.9%) and 664
male patients (47.1%). The mean age of our patient cohort was 73.2 years (SD
15.1), and the mean hospital stay was 12.8 days (SD 9.1). After their hospital
stay, 553 patients (39.2%) returned home either alone, or with the help of family
members or Spitex. More than a quarter of the sample, 387 (27.4%) patients, was
sent to rehabilitation. Less than a fifth, 199 (14.1%) patients, received ATC in
an inpatient institution (e.g., nursing home). Compared with patients released
elsewhere, significantly more problems/conflicts with regard to hospital
discharge were reported for ATC patients (28.6 vs 20.6%, p = 0.01) and their
relatives (12.6 vs 7.2%, p = 0.01). Women had a higher probability of being
discharged to ATC (OR 1.522, p = 0.014) and a lower chance of receiving
rehabilitation upon discharge (OR 0.733, p = 0.014). CONCLUSION: The study
identified important concerns related to receiving ATC after a hospital stay,
that is, more problems/conflicts occur with patients discharged to ATC and that
the introduction of ATC might particularly disadvantage female patients, who are
less likely to receive rehabilitation care.
PMID- 29376547
TI - Digital health: meeting the ethical and policy challenges.
AB - Digital health encompasses a wide range of novel digital technologies related to
health and medicine. Such technologies rely on recent advances in the collection
and analysis of ever increasing amounts of data from both patients and healthy
citizens. Along with new opportunities, however, come new ethical and policy
challenges. These range from the need to adapt current evidence-based standards,
to issues of privacy, oversight, accountability and public trust as well as
national and international data governance and management. This review
illustrates key issues and challenges facing the rapidly unfolding digital health
paradigm and reflects on the impact of big data in medical research and clinical
practice both internationally and in Switzerland. It concludes by emphasising
five conditions that will be crucial to fulfil in order to foster innovation and
fair benefit sharing in digital health.
PMID- 29376548
TI - Vitamin D levels in Swiss breast cancer survivors.
AB - BACKGROUND: Cholecalciferol (vitamin D3) is widely supplemented in breast cancer
survivors because of the role of vitamin D in multiple health outcomes. METHODS:
We conducted an observational study in 332 women in Eastern Switzerland with
early, i.e., nonmetastatic breast cancer. Tumour-, patient-related and
sociodemographic variables were recorded. Cholecalciferol intake and serum 25
hydroxyvitamin D (25(OH)D) and 1,25-dihydroxyvitamin D (1,25(OH)2D) levels were
measured at the first visit (baseline) and during a follow-up visit in a median
of 210 days (range 87-857) after the first visit. Patients presenting 25(OH)D
deficiency were advised to take cholecalciferol supplementation. RESULTS: At
baseline, 60 (18%) patients had 25(OH)D deficiency (<=50 nmol/l, <=20 ng/l), and
70 (21%) had insufficiency (50-74 nmol/l, 20-29 ng/l). Out of 121 patients with
ongoing cholecalciferol supplementation at baseline, 25(OH)D deficiency and
insufficiency was observed in 9 (7%) and 16 (13%) patients, respectively, whereas
out of 52 patients with no supplementation, 15 (29%) had deficiency and 19 (37%)
had insufficiency. Only 85 (26%) patients had optimal 25(OH)D levels (75-100
nmol/l, 30-40 ng/l) at baseline. Seasonal variation was significant for 25(OH)D
(p = 0.042) and 1,25(OH)2D (p = 0.001) levels. Living in a rural area was
associated with a higher median 25(OH)D concentration as compared with living in
an urban area (87 nmol/l, range 16-216 vs 72 nmol/l, range 17-162; p = 0.001).
Regular sporting activity was positively associated with 25(OH)D (p = 0.045).
Body mass index was inversely related to both 25(OH)D and 1,25(OH)2D (Spearman's
rho = -0.24, p <0.001; rho = -0.23, p <0.001, respectively). The levels of
25(OH)D and 1,25(OH)2D were correlated (rho = 0.21, p <0.001). Age and bone
mineral density had no significant correlation with the levels of 25(OH)D. Follow
up 25(OH)D was available for 230 patients, 44 (19%) of whom had 25(OH)D
deficiency and 47 (21%) had insufficiency; 25 (41.6%) initially 25(OH)D-deficient
patients attained sufficient 25(OH)D levels, whereas 33 (16.5%) patients with
sufficient baseline 25(OH)D levels became deficient. Only 67 (30%) patients
presented optimal 25(OH)D at the follow-up. CONCLUSION: A remarkable fraction of
the patients had serum 25(OH)D below (40%) or above (30%) optimal levels, and
only around 30% of patients had optimal levels. Levels of 25(OH)D and 1,25(OH)2D
increased on cholecalciferol supplementation, but the usual supplementation
regimens were not adequate to bring 25(OH)D to the optimal range for a large
proportion of patients. TRIAL REGISTRATION NUMBER: EKSG 08/082/2B.
PMID- 29376549
TI - Technical comment on: Guller et al. Lower hospital volume is associated with
higher mortality after oesophageal, gastric, pancreatic and rectal cancer
resection.
PMID- 29376550
TI - Preventing HIV transmission through blockade of CCR5: rationale, progress and
perspectives.
AB - Of the two million people estimated to be newly infected with human
immunodeficiency virus (HIV) every year, 95% live in poorer regions of the world
where effective HIV treatment is not universally available. Strategies to reduce
the spread of HIV infection, which predominantly occurs via sexual contact, are
urgently required. In the absence of an effective vaccine, a number of approaches
to prevent HIV infection have been developed. These include using potent anti-HIV
drugs prophylactically, either through systemic administration or topical
application to the mucosal tissues that HIV initially encounters during sexual
transmission. Genetic deficiency of the chemokine receptor CCR5 provides
individuals with a remarkable degree of protection from HIV acquisition. This is
because CCR5 is the major coreceptor used by HIV to infect new target cells.
Since CCR5 deficiency does not appear to carry any health disadvantages,
targeting the receptor is a promising strategy for both therapy and prevention of
HIV. In this review we first describe the advantages and limitations of the
currently available strategies for HIV prevention, then we focus on strategies
targeting CCR5, covering the progress that has been made in developing different
classes of CCR5 inhibitors for prophylaxis, and the perspectives for their future
development as new weapons in the global fight against HIV/AIDS.
PMID- 29376551
TI - Availability and accessibility of perinatal data for the Robson classification of
caesarean sections in Switzerland.
PMID- 29376552
TI - Impact of a fracture liaison service on patient management after an osteoporotic
fracture: the CHUV FLS.
AB - In 2008, the Centre Hospitalier Universitaire Vaudois (CHUV, Lausanne,
Switzerland) initiated a Fracture Liaison Service (FLS). All patients
hospitalised for a low trauma fracture are identified by the FLS. Inpatients then
choose to be managed by either the FLS team or their general practitioner (GP).
In this study we compared the management between the FLS team and the GP in terms
of diagnosis of osteoporosis, treatment, refracture rates and mortality after FLS
recording. Results are compared with the management of osteoporosis before the
creation of the FLS, as reported in the survey study Osteocare. A total of 606
patients were included (80% women); 55% chose management by the FLS and 45% their
GP. The mean age was 78.5, and hip was the main fracture site (44%). The
percentage of patients having dual X-ray absorptiometry to diagnose osteoporosis
was significantly higher in the FLS group than the GP group (72 vs 26.5%, p
<0.01). This percentage was 31.4% in the Osteocare study. Overall, 50.3% of
patients in the FLS group had osteoporosis versus 57.5% in the GP group (p
<0.05). This percentage was 46.0% in the Osteocare study. Use of osteoporosis
medication was higher in the FLS group (FLS 100% of the patients, GP 44.1%, p
<0.001) and had increased since the Osteocare study (21.6%). One-year
nonvertebral refracture rate was higher in GP group than in the FLS patients (5.1
vs 3.0%, p <0.05), whereas more vertebral fractures were identified in the FLS
group, owing to protocol-driven regular clinical and vertebral fracture
assessment (VFA) evaluations (number of evaluations 8 vs 0, p <0.01). Unadjusted
mortality was higher in GP group than in the FLS group at one and five years
(6.93 vs 2.11% and 33.58 vs. 15.96%, p <0.04). After adjustment by age and
fracture site, these results were not significant. With FLS management, diagnosis
and treatment of osteoporosis were more frequent than with GP management; new
nonvertebral fractures were less frequent. Moreover, both forms of management had
increased relative to rates reported in a 2004-2006 nationwide survey Osteocare,
before FLS creation.
PMID- 29376553
TI - Do late preterm twins face an increased neonatal morbidity compared with
singletons?
AB - OBJECTIVE: Late preterm infants (born between 34 0/7 and 36 6/7 weeks of
gestation) have been shown to have a higher morbidity and mortality than term
infants. Furthermore, twins, both term and preterm, have a higher neonatal
morbidity than singletons. The aim of our study was to examine if late preterm
twins consequently have twice the neonatal morbidity when both risk factors
prematurity and multiple pregnancy are present. STUDY DESIGN: A retrospective
single-centre study was conducted to compare neonatal outcome of late preterm
singletons and late preterm twins born between 34 0/7 and 36 6/7 weeks of
gestation as well as term twins with a gestational age from 37 0/7 to 41 6/7
weeks. Primary outcome was length of hospitalisation. Secondary outcome was
neonatal morbidity. RESULTS: A total of 845 infants were included. Late preterm
twins (n = 243) were hospitalised significantly longer than term twins (n = 107)
(13.5 +/- 8.0 vs 6.29 +/- 2.0 days, p <0.001) and late preterm singletons (n =
495) (13.5 +/- 8.0 vs 12.6 +/- 8.6 days, p = 0.011). Hyperbilirubinaemia occurred
significantly more often in late preterm singletons than in late preterm twins
(49.7 vs 29.2%; p<0.001). Otherwise, no significant differences were detected
regarding neonatal morbidities or mortality of late preterm singletons and late
preterm twins. Late preterm twins had a significantly higher incidence of most
morbidities than term twins. CONCLUSION: Late preterm twins are hospitalised
significantly longer than similar-aged singletons, but have otherwise similar
neonatal morbidities. Hyperbilirubinaemia is less frequent in late preterm twins
than late preterm singletons.
PMID- 29376554
TI - Evaluation of the frequency of adrenal crises and preventive measures in patients
with primary and secondary adrenal insufficiency in Switzerland.
AB - AIMS OF THE STUDY: Adrenal insufficiency is a dangerous clinical condition,
leading to significant morbidity or mortality in situations with inadequate
glucocorticoid replacement treatment. We aimed to assess preventive measures in
adrenal insufficiency and the incidence and risk factors of adrenal crisis, as
well as to test the patients' knowledge about their disease. METHODS: All
patients in May and June 2016 and December 2016 and January 2017 with primary
(17.9%) or secondary (82.1%) adrenal insufficiency were prospectively included in
this observational study. They completed questionnaires about their personal and
medical background, including the occurrence of adrenal crises, and possession of
an emergency card and medication. They were asked about self-perceived subjective
knowledge of their disease and filled out two multiple-choice tests about the
modalities of the glucocorticoid replacement therapy (test A) and dose adaptation
in hypothetical clinical situations (test B) in order to objectively test their
knowledge. RESULTS: A total of 56 datasets were available for descriptive and
statistical analysis. Overall, 94.6% of the patients were equipped with an
emergency card, 64.3% had their daily hydrocortisone with them and 57.1% carried
spare hydrocortisone pills. Twelve patients had experienced at least one adrenal
crisis. There were 4.4 adrenal crises per 100 disease-years. Precipitating causes
for adrenal crises were mainly gastroenteritis, influenza and noncompliance.
Globally, the patients' self-perceived, subjective knowledge level was good to
very good. In the two objective knowledge tests, however, only 28.9% (test A) and
60.1% (test B) of the questions were answered correctly. Secondary adrenal
insufficiency reduced the chance of being in the group with better knowledge in
test A. CONCLUSIONS: The incidence of adrenal crisis in Switzerland is lower than
described in recent European studies. Although nearly all of the patients carry
their emergency cards with them, emergency treatment is available in only about
half of the patients. There is a mismatch between subjective and objective
knowledge of the disease and the education of patients with adrenal insufficiency
needs to be improved.
PMID- 29376555
TI - Reply to technical comment on: Guller et al. Lower hospital volume is associated
with higher mortality after oesophageal, gastric, pancreatic and rectal cancer
resection.
PMID- 29376556
TI - Computed tomography imaging for the characterisation of drugs with radiation
density measurements and HU spectroscopy.
AB - AIMS OF THE STUDY: To investigate the computed tomography (CT) density of
frequently administered medications (1) for the better characterisation of
substances on abdominal CT, (2) to allow radiologists to narrow down
possibilities in the identification of hyperdense material in the bowel and (3)
to provide forensic doctors with a tool to identify gastric contents before an
autopsy. MATERIAL AND METHODS: From the list of the local hospital pharmacy, the
50 most frequently used medications were identified and scanned twice with a 128
row CT scanner (Acquillion, Toshiba, Tokyo, Japan). The protocol comprised two
tube voltages of 100 kVp and 120 kVp, with a tube current of 100 mAs, a
collimation of 0.5 mm and a slice thickness of 0.5 mm. Two readers were asked to
measure the density (in Hounsfield units) and the noise (standard deviation of
the Hounsfield units) of each pill in the two scans (100/120 kVp). After 4 weeks,
both readers repeated the measurements to test repeatability (intra-rater
agreement). The behaviour of each pill in hydrochloric acid (pH 2) was examined
and the dissolution time was determined. RESULTS: The most dense pill was
Cordarone (7265 HU), and the least was Perenterol (?529 HU), with an attenuation
that was lower than fat density (120 HU). The standard deviation of pixel
density (noise) reflects inhomogeneity of the pharmacological product, varying
from 9 to 1592 HU among the different pills (at 120 kVp). The absolute average HU
increase per pill when changing to lower voltage was 78 +/- 253 HU, with a linear
fitting line with a slope of 0.21 as a constant variable in the density
spectroscopy. After 4 hours in hydrochloric acid, only six tablets were still
intact, including Flagyl and Dafalgan. The intra- and inter-rater agreements for
all measurements were nearly perfect, with a correlation coefficient r of >=0.99
(p <0.0001). CONCLUSION: Our data suggest that measuring the attenuation of drugs
on CT images, including the homogeneity, and applying CT spectroscopy can narrow
down possible identities of the most frequently medications. Other clinicians and
forensic pathologists can perform this easy measurement, as the intra- and inter
reader variability is very small.
PMID- 29376557
TI - Excellent outcome of direct antiviral treatment for chronic hepatitis C in
Switzerland.
AB - BACKGROUND: The introduction of direct acting antivirals (DAAs) for the therapy
of chronic hepatitis C (CHC) has revolutionised treatment and marks a paradigm
shift in the approach to this disease, rendering interferon-based therapies
obsolete. AIMS OF THE STUDY: We retrospectively and prospectively evaluated
treatment results after the introduction of DAA in Switzerland in a cohort of
patients with CHC. METHODS: We examined 565 patients who received DAA treatment
for CHC between November 2013 and June 2016 with regard to HCV genotype, fibrosis
stadium, treatment and outcome. In addition, outcome of re-treatment and
resistance-associated substitutions (RAS) in patients that did not achieve
sustained virological response (SVR) were evaluated. The majority of patients
participate in the Swiss Hepatitis C Cohort Study. Data were evaluated in an
intention-to-treat and a modified intention-to-treat analysis. RESULTS: Overall
SVR rate for all patients was 94% (530 of 565, 95% CI 92-96%). Of 350 patients
with HCV genotype 1 CHC, 335 achieved SVR, resulting in an SVR rate of 96% (335
of 350, 95% CI 94-98%). Patients with HCV genotype 2 achieved SVR in 94% (48 of
51, 95% CI 87-100%). Patients with HCV genotype 3 showed SVR of 92% (98 of 107,
95% CI 87-97%). In patients with HCV genotype 4, the SVR rate was substantially
lower at 85% (49 of 57, 95% CI 76-94%). The rate of advanced liver fibrosis
(Metavir F3/F4) assessed by means of liver biopsy or Fibroscan(r) in the entire
patient population was 71% (404 of 565). Out of 35 patients that did not achieve
SVR after DAA treatment, 32 had a relapse and 3 patients showed viral
breakthrough. In 17 of 35 cases (49%) patients were treatment naive and 21 of 35
patients (60%) were cirrhotic. RAS genotyping of HCV was performed in 14
patients. Nine of these 14 patients (60%) carried mutations in the NS5A region of
the virus genome. Twenty-seven percent of patients who experienced treatment
failure were not treated with recommended regimens as a result of drug
availability and reimbursement limitations. CONCLUSION: In Switzerland, novel DAA
treatments for CHC reflect the positive results from registration trials.
Genotypes 2 and 4 remained more difficult to treat between 2014 and 2016.
Patients who experienced a relapse after DAA treatment in Switzerland
predominantly showed mutations in the NS5A region of the virus genome. DAA
treatment limitations in Switzerland did prevent optimal treatment regimens in
some patients.
PMID- 29376558
TI - Funding characteristics of randomised clinical trials supported by the Swiss
National Science Foundation: a retrospective cohort study.
AB - AIMS OF THE STUDY: Failure to publish publicly funded research represents a waste
of scarce research resources across medical disciplines and countries. In
Switzerland, about 40% of randomised clinical trials (RCTs) supported by the
Swiss National Science Foundation (SNSF) were not published. We aimed to describe
funding characteristics of published and unpublished RCTs supported by the SNSF,
to quantify the amount of money spent for unpublished studies, and to compare our
results to a similar study performed in the UK. METHODS: We established a
retrospective cohort of RCTs funded by the SNSF up to 2015. For each RCT
proposal, two investigators independently identified corresponding publications
in electronic databases and trial registries. Teams of two investigators
independently extracted details from the original SNSF proposal and, if
available, from trial registries or publications. In addition, we surveyed
principal investigators about trial costs and additional sources of funding.
RESULTS: We included 101 RCTs supported by the SNSF between 1986 and 2015. Most
were single-centre RCTs with a median of 138 participants (interquartile range
[IQR] 76-400). Overall, 67 (67%) principal investigators responded to our main
survey questions. Median total costs per RCT were CHF 428 000 (IQR 282 000-900
000) of which the SNSF provided a median CHF 222 000 (67% of total costs, IQR 40
80%). Most investigators (70%) mentioned additional funding, mainly from their
own institution or private foundations. A total of CHF 6.7 million was granted to
RCTs that remained unpublished. Funding characteristics were similar to publicly
funded trials in the UK. CONCLUSIONS: A third of the total SNSF grant sum spent
on healthcare RCTs between 1986 and 2015 did not result in peer-reviewed
scientific publications. New SNSF grant schemes might improve publication
outcomes but their effectiveness needs to be evaluated.
PMID- 29376559
TI - Physical activity for women with breast cancer after adjuvant therapy.
AB - BACKGROUND: Women with a diagnosis of breast cancer may experience short- and
long-term disease and treatment-related adverse physiological and psychosocial
outcomes. These outcomes can negatively impact prognosis, health-related quality
of life (HRQoL), and psychosocial and physical function. Physical activity may
help to improve prognosis and may alleviate the adverse effects of adjuvant
therapy. OBJECTIVES: To assess effects of physical activity interventions after
adjuvant therapy for women with breast cancer. SEARCH METHODS: We searched the
Cochrane Breast Cancer Group (CBCG) Specialised Registry, the Cochrane Central
Register of Controlled Trials (CENTRAL), MEDLINE, Embase, the Cumulative Index to
Nursing and Allied Health Literature (CINAHL), the Physiotherapy Evidence
Database (PEDro), SPORTDiscus, PsycINFO, ClinicalTrials.gov, and the World Health
Organization (WHO) International Clinical Trials Registry Platform, on 18
September 2015. We also searched OpenGrey and Healthcare Management Information
Consortium databases. SELECTION CRITERIA: We searched for randomised and quasi
randomised trials comparing physical activity interventions versus control (e.g.
usual or standard care, no physical activity, no exercise, attention control,
placebo) after adjuvant therapy (i.e. after completion of chemotherapy and/or
radiation therapy, but not hormone therapy) in women with breast cancer. DATA
COLLECTION AND ANALYSIS: Two review authors independently selected studies,
assessed risk of bias, and extracted data. We contacted trial authors to ask for
additional information when needed. We calculated an overall effect size with 95%
confidence intervals (CIs) for each outcome and used GRADE to assess the quality
of evidence for the most important outcomes. MAIN RESULTS: We included 63 trials
that randomised 5761 women to a physical activity intervention (n = 3239) or to a
control (n = 2524). The duration of interventions ranged from 4 to 24 months,
with most lasting 8 or 12 weeks (37 studies). Twenty-eight studies included
aerobic exercise only, 21 involved aerobic exercise and resistance training, and
seven used resistance training only. Thirty studies described the comparison
group as usual or standard care, no intervention, or control. One-fifth of
studies reported at least 20% intervention attrition and the average physical
activity adherence was approximately 77%.No data were available on effects of
physical activity on breast cancer-related and all-cause mortality, or on breast
cancer recurrence. Analysis of immediately postintervention follow-up values and
change from baseline to end of intervention scores revealed that physical
activity interventions resulted in significant small-to-moderate improvements in
HRQoL (standardised mean difference (SMD) 0.39, 95% CI 0.21 to 0.57, 22 studies,
1996 women; SMD 0.78, 95% CI 0.39 to 1.17, 14 studies, 1459 women, respectively;
low-quality evidence), emotional function (SMD 0.21, 95% CI 0.10 to 0.32, 26
studies, 2102 women, moderate-quality evidence; SMD 0.31, 95% CI 0.09 to 0.53, 15
studies, 1579 women, respectively; low-quality evidence), perceived physical
function (SMD 0.33, 95% CI 0.18 to 0.49, 25 studies, 2129 women; SMD 0.60, 95% CI
0.23 to 0.97, 13 studies, 1433 women, respectively; moderate-quality evidence),
anxiety (SMD -0.57, 95% CI -0.95 to -0.19, 7 studies, 326 women; SMD -0.37, 95%
CI -0.63 to -0.12, 4 studies, 235 women, respectively; low-quality evidence), and
cardiorespiratory fitness (SMD 0.44, 95% CI 0.30 to 0.58, 23 studies, 1265 women,
moderate-quality evidence; SMD 0.83, 95% CI 0.40 to 1.27, 9 studies, 863 women,
respectively; very low-quality evidence).Investigators reported few minor adverse
events.Small improvements in physical activity interventions were sustained for
three months or longer postintervention in fatigue (SMD -0.43, 95% CI -0.60 to
0.26; SMD -0.47, 95% CI -0.84 to -0.11, respectively), cardiorespiratory fitness
(SMD 0.36, 95% CI 0.03 to 0.69; SMD 0.42, 95% CI 0.05 to 0.79, respectively), and
self-reported physical activity (SMD 0.44, 95% CI 0.17 to 0.72; SMD 0.51, 95% CI
0.08 to 0.93, respectively) for both follow-up values and change from baseline
scores.However, evidence of heterogeneity across trials was due to variation in
intervention components (i.e. mode, frequency, intensity, duration of
intervention and sessions) and measures used to assess outcomes. All trials
reviewed were at high risk of performance bias, and most were also at high risk
of detection, attrition, and selection bias. In light of the aforementioned
issues, we determined that the evidence was of very low, low, or moderate
quality. AUTHORS' CONCLUSIONS: No conclusions regarding breast cancer-related and
all-cause mortality or breast cancer recurrence were possible. However, physical
activity interventions may have small-to-moderate beneficial effects on HRQoL,
and on emotional or perceived physical and social function, anxiety,
cardiorespiratory fitness, and self-reported and objectively measured physical
activity. The positive results reported in the current review must be interpreted
cautiously owing to very low-to-moderate quality of evidence, heterogeneity of
interventions and outcome measures, imprecision of some estimates, and risk of
bias in many trials. Future studies with low risk of bias are required to
determine the optimal combination of physical activity modes, frequencies,
intensities, and durations needed to improve specific outcomes among women who
have undergone adjuvant therapy.
PMID- 29376562
TI - Cdk5.
PMID- 29376560
TI - Inotropic agents and vasodilator strategies for the treatment of cardiogenic
shock or low cardiac output syndrome.
AB - BACKGROUND: Cardiogenic shock (CS) and low cardiac output syndrome (LCOS) as
complications of acute myocardial infarction (AMI), heart failure (HF) or cardiac
surgery are life-threatening conditions. While there is a broad body of evidence
for the treatment of people with acute coronary syndrome under stable
haemodynamic conditions, the treatment strategies for people who become
haemodynamically unstable or develop CS remain less clear. We have therefore
summarised here the evidence on the treatment of people with CS or LCOS with
different inotropic agents and vasodilative drugs. This is the first update of a
Cochrane review originally published in 2014. OBJECTIVES: To assess efficacy and
safety of cardiac care with positive inotropic agents and vasodilator strategies
in people with CS or LCOS due to AMI, HF or cardiac surgery. SEARCH METHODS: We
searched CENTRAL, MEDLINE, Embase and CPCI-S Web of Science in June 2017. We also
searched four registers of ongoing trials and scanned reference lists and
contacted experts in the field to obtain further information. No language
restrictions were applied. SELECTION CRITERIA: Randomised controlled trials in
people with myocardial infarction, heart failure or cardiac surgery complicated
by cardiogenic shock or LCOS. DATA COLLECTION AND ANALYSIS: We used standard
methodological procedures expected by Cochrane. MAIN RESULTS: We identified 13
eligible studies with 2001 participants (mean or median age range 58 to 73 years)
and two ongoing studies. We categorised studies into eight comparisons, all
against cardiac care and additional other active drugs or placebo. These
comparisons investigated the efficacy of levosimendan versus dobutamine,
enoximone or placebo, epinephrine versus norepinephrine-dobutamine, amrinone
versus dobutamine, dopexamine versus dopamine, enoximone versus dopamine and
nitric oxide versus placebo.All trials were published in peer-reviewed journals,
and analysis was done by the intention-to-treat (ITT) principle. Twelve of 13
trials were small with few included participants. Acknowledgement of funding by
the pharmaceutical industry or missing conflict of interest statements emerged in
five of 13 trials. In general, confidence in the results of analysed studies was
reduced due to serious study limitations, very serious imprecision or
indirectness. Domains of concern, which show a high risk of more than 50%,
include performance bias (blinding of participants and personnel) and bias
affecting the quality of evidence on adverse events.Levosimendan may reduce short
term mortality compared to a therapy with dobutamine (RR 0.60, 95% CI 0.37 to
0.95; 6 studies; 1776 participants; low-quality evidence; NNT: 16 (patients with
moderate risk), NNT: 5 (patients with CS)). This initial short-term survival
benefit with levosimendan vs. dobutamine is not confirmed on long-term follow up.
There is uncertainty (due to lack of statistical power) as to the effect of
levosimendan compared to therapy with placebo (RR 0.48, 95% CI 0.12 to 1.94; 2
studies; 55 participants, very low-quality evidence) or enoximone (RR 0.50, 95%
CI 0.22 to 1.14; 1 study; 32 participants, very low-quality evidence).All
comparisons comparing other positive inotropic, inodilative or vasodilative drugs
presented uncertainty on their effect on short-term mortality with very low
quality evidence and based on only one RCT. These single studies compared
epinephrine with norepinephrine-dobutamine (RR 1.25, 95% CI 0.41 to 3.77; 30
participants), amrinone with dobutamine (RR 0.33, 95% CI 0.04 to 2.85; 30
participants), dopexamine with dopamine (no in-hospital deaths from 70
participants), enoximone with dobutamine (two deaths from 40 participants) and
nitric oxide with placebo (one death from three participants). AUTHORS'
CONCLUSIONS: Apart from low quality of evidence data suggesting a short-term
mortality benefit of levosimendan compared with dobutamine, at present there are
no robust and convincing data to support a distinct inotropic or vasodilator drug
based therapy as a superior solution to reduce mortality in haemodynamically
unstable people with cardiogenic shock or LCOS.Considering the limited evidence
derived from the present data due to a generally high risk of bias and
imprecision, it should be emphasised that there remains a great need for large,
well-designed randomised trials on this topic to close the gap between daily
practice in critical care medicine and the available evidence. It seems to be
useful to apply the concept of 'early goal-directed therapy' in cardiogenic shock
and LCOS with early haemodynamic stabilisation within predefined timelines.
Future clinical trials should therefore investigate whether such a therapeutic
concept would influence survival rates much more than looking for the 'best' drug
for haemodynamic support.
PMID- 29376566
TI - Congenital heart defects in Williams syndrome.
AB - Yuan SM. Congenital heart defects in Williams syndrome. Turk J Pediatr 2017; 59:
225-232. Williams syndrome (WS), also known as Williams-Beuren syndrome, is a
rare genetic disorder involving multiple systems including the circulatory
system. However, the etiologies of the associated congenital heart defects in WS
patients have not been sufficiently elucidated and represent therapeutic
challenges. The typical congenital heart defects in WS were supravalvar aortic
stenosis, pulmonary stenosis (both valvular and peripheral), aortic coarctation
and mitral valvar prolapse. The atypical cardiovascular anomalies include
tetralogy of Fallot, atrial septal defects, aortic and mitral valvular
insufficiencies, bicuspid aortic valves, ventricular septal defects, total
anomalous pulmonary venous return, double chambered right ventricle, Ebstein
anomaly and arterial anomalies. Deletion of the elastin gene on chromosome
7q11.23 leads to deficiency or abnormal deposition of elastin during
cardiovascular development, thereby leading to widespread cardiovascular
abnormalities in WS. In this article, the distribution, treatment and surgical
outcomes of typical and atypical cardiac defects in WS are discussed.
PMID- 29376563
TI - Physical activity, diet and other behavioural interventions for improving
cognition and school achievement in children and adolescents with obesity or
overweight.
AB - BACKGROUND: The global prevalence of childhood and adolescent obesity is high.
Lifestyle changes towards a healthy diet, increased physical activity and reduced
sedentary activities are recommended to prevent and treat obesity. Evidence
suggests that changing these health behaviours can benefit cognitive function and
school achievement in children and adolescents in general. There are various
theoretical mechanisms that suggest that children and adolescents with excessive
body fat may benefit particularly from these interventions. OBJECTIVES: To assess
whether lifestyle interventions (in the areas of diet, physical activity,
sedentary behaviour and behavioural therapy) improve school achievement,
cognitive function (e.g. executive functions) and/or future success in children
and adolescents with obesity or overweight, compared with standard care, waiting
list control, no treatment, or an attention placebo control group. SEARCH
METHODS: In February 2017, we searched CENTRAL, MEDLINE and 15 other databases.
We also searched two trials registries, reference lists, and handsearched one
journal from inception. We also contacted researchers in the field to obtain
unpublished data. SELECTION CRITERIA: We included randomised and quasi-randomised
controlled trials (RCTs) of behavioural interventions for weight management in
children and adolescents with obesity or overweight. We excluded studies in
children and adolescents with medical conditions known to affect weight status,
school achievement and cognitive function. We also excluded self- and parent
reported outcomes. DATA COLLECTION AND ANALYSIS: Four review authors
independently selected studies for inclusion. Two review authors extracted data,
assessed quality and risks of bias, and evaluated the quality of the evidence
using the GRADE approach. We contacted study authors to obtain additional
information. We used standard methodological procedures expected by Cochrane.
Where the same outcome was assessed across different intervention types, we
reported standardised effect sizes for findings from single-study and multiple
study analyses to allow comparison of intervention effects across intervention
types. To ease interpretation of the effect size, we also reported the mean
difference of effect sizes for single-study outcomes. MAIN RESULTS: We included
18 studies (59 records) of 2384 children and adolescents with obesity or
overweight. Eight studies delivered physical activity interventions, seven
studies combined physical activity programmes with healthy lifestyle education,
and three studies delivered dietary interventions. We included five RCTs and 13
cluster-RCTs. The studies took place in 10 different countries. Two were carried
out in children attending preschool, 11 were conducted in primary/elementary
school-aged children, four studies were aimed at adolescents attending
secondary/high school and one study included primary/elementary and
secondary/high school-aged children. The number of studies included for each
outcome was low, with up to only three studies per outcome. The quality of
evidence ranged from high to very low and 17 studies had a high risk of bias for
at least one item. None of the studies reported data on additional educational
support needs and adverse events.Compared to standard practice, analyses of
physical activity-only interventions suggested high-quality evidence for improved
mean cognitive executive function scores. The mean difference (MD) was 5.00 scale
points higher in an after-school exercise group compared to standard practice
(95% confidence interval (CI) 0.68 to 9.32; scale mean 100, standard deviation
15; 116 children, 1 study). There was no statistically significant beneficial
effect in favour of the intervention for mathematics, reading, or inhibition
control. The standardised mean difference (SMD) for mathematics was 0.49 (95% CI
0.04 to 1.01; 2 studies, 255 children, moderate-quality evidence) and for reading
was 0.10 (95% CI -0.30 to 0.49; 2 studies, 308 children, moderate-quality
evidence). The MD for inhibition control was -1.55 scale points (95% CI -5.85 to
2.75; scale range 0 to 100; SMD -0.15, 95% CI -0.58 to 0.28; 1 study, 84
children, very low-quality evidence). No data were available for average
achievement across subjects taught at school.There was no evidence of a
beneficial effect of physical activity interventions combined with healthy
lifestyle education on average achievement across subjects taught at school,
mathematics achievement, reading achievement or inhibition control. The MD for
average achievement across subjects taught at school was 6.37 points lower in the
intervention group compared to standard practice (95% CI -36.83 to 24.09; scale
mean 500, scale SD 70; SMD -0.18, 95% CI -0.93 to 0.58; 1 study, 31 children, low
quality evidence). The effect estimate for mathematics achievement was SMD 0.02
(95% CI -0.19 to 0.22; 3 studies, 384 children, very low-quality evidence), for
reading achievement SMD 0.00 (95% CI -0.24 to 0.24; 2 studies, 284 children, low
quality evidence), and for inhibition control SMD -0.67 (95% CI -1.50 to 0.16; 2
studies, 110 children, very low-quality evidence). No data were available for the
effect of combined physical activity and healthy lifestyle education on cognitive
executive functions.There was a moderate difference in the average achievement
across subjects taught at school favouring interventions targeting the
improvement of the school food environment compared to standard practice in
adolescents with obesity (SMD 0.46, 95% CI 0.25 to 0.66; 2 studies, 382
adolescents, low-quality evidence), but not with overweight. Replacing packed
school lunch with a nutrient-rich diet in addition to nutrition education did not
improve mathematics (MD -2.18, 95% CI -5.83 to 1.47; scale range 0 to 69; SMD
0.26, 95% CI -0.72 to 0.20; 1 study, 76 children, low-quality evidence) and
reading achievement (MD 1.17, 95% CI -4.40 to 6.73; scale range 0 to 108; SMD
0.13, 95% CI -0.35 to 0.61; 1 study, 67 children, low-quality evidence). AUTHORS'
CONCLUSIONS: Despite the large number of childhood and adolescent obesity
treatment trials, we were only able to partially assess the impact of obesity
treatment interventions on school achievement and cognitive abilities. School and
community-based physical activity interventions as part of an obesity prevention
or treatment programme can benefit executive functions of children with obesity
or overweight specifically. Similarly, school-based dietary interventions may
benefit general school achievement in children with obesity. These findings might
assist health and education practitioners to make decisions related to promoting
physical activity and healthy eating in schools. Future obesity treatment and
prevention studies in clinical, school and community settings should consider
assessing academic and cognitive as well as physical outcomes.
PMID- 29376567
TI - Cow's milk protein allergy awareness and practice among Turkish pediatricians: A
questionnaire-survey.
AB - Yuce A, Dalgic B, Cullu-Cokugras F, Cokugras H, Kansu A, Alptekin-Sarioglu A,
Sekerel BE. Cow's milk protein allergy awareness and practice among Turkish
pediatricians: A questionnaire-survey. Turk J Pediatr 2017; 59: 233-243. Region
specific recommendations for the prevention, diagnosis, and treatment of cow's
milk protein allergy (CMPA) are not available in Turkey. This cross-sectional
questionnaire-survey was designed to evaluate CMPA awareness and practice among
Turkish pediatricians. A total of 410 pediatricians were included based on their
voluntary participation. Questionnaires elicited demographic data and
pediatricians' awareness and practice of CMPA in infants and children. Atopic
dermatitis (91.5%), diarrhea (88.0%) and significant blood in stool (85.9%) were
the most common symptoms considered suggestive of CMPA. Continuation of breast
feeding via elimination of CMP containing products from maternal diet was the
most commonly selected (79.0%) therapeutic option in exclusively breast-fed
infants diagnosed with CMPA. Amino acid-based formula was the most commonly
selected formula in a non-exclusively breast-fed infant with CMPA, for infants
presenting with anaphylaxis (58.8%), enterocolitis (40.7%) or multiple food
allergies (52.0%), and also for at-risk infants (40.2%). Earliest time to re
challenge was identified to be 6 months by 52.0% of pediatricians. In conclusion,
our findings revealed high awareness of CMPA among Turkish pediatricians in terms
of clinical presentation and first priority diagnostic tests. However, CMPA
practice among Turkish pediatricians needs to be improved in terms of avoidance
of other mammalian milks, selection of therapeutic formulas among non-exclusively
breast-fed infants and at-risk infants consistent with guideline-based
indications and cost-effectivity.
PMID- 29376568
TI - Evaluation of Tissue Doppler Echocardiographic Imaging findings in children with
pulmonary hypertension.
AB - Akin A, Alehan D, Aykan HH, Ozkutlu S, Ozer S, Karagoz T. Evaluation of Tissue
Doppler Echocardiographic Imaging findings in children with pulmonary
hypertension. Turk J Pediatr 2017; 59: 244-253. Tissue Doppler Imaging has become
an important prognostic marker that can be used in follow-up and determination of
the prognosis in pulmonary hypertension patients. We compared the Tissue Doppler
imaging parameters of 34 patients with pulmonary hypertension and 43 healthy
controls. In addition, Brain-Natriuretic Peptide levels, pulmonary artery
systolic pressures measured with echocardiography, 6-minute walking tests and New
York Heart Association functional classification were compared. Among patients
with Eisenmenger syndrome and idiopathic pulmonary hypertension, Tissue Doppler
imaging parameters were mostly similar. In patients with New York Heart
Association functional class 3, mitral septal annulus E/Ea (p=0.050) and mitral
lateral annulus myocardial performance index (p=0.009) were higher than class 2
patients. In patients with higher Brain Natriuretic Peptide level, mitral lateral
annulus and tricuspid septal annulus Ea/Aa values were lower (p=0.046 and < 0.001
respectively); tricuspid septal annulus E/Ea and interventricular septum
myocardial performance index values were higher than in patients with normal
Brain-Natriuretic Peptide level (p=0.006). In conclusion tissue Doppler imaging
findings were significantly impaired in children with pulmonary hypertension
compared to the control group. Findings were similar in patients with idiopathic
pulmonary hypertension and Eisenmenger syndrome. Mitral lateral annulus
myocardial performance index value may have a prognostic importance due its
significant association with poor functional class. Due to the significant
associations between mitral lateral annulus, tricuspid septal annulus Ea/Aa,
tricuspid septal annulus Ea and E/Aa, interventricular septum-myocardial
performance index values and brain natriuretic peptide levels, these parameters
may be used in evaluating response to therapy.
PMID- 29376569
TI - Clinical features and treatment results in children with hemangioma.
AB - Atas E, Koc O, Artik HA. Clinical features and treatment results in children with
hemangioma. Turk J Pediatr 2017; 59: 254-260. Hemangioma is a frequent disease
which has a proliferative and involution phase. In this single center
retrospective study, we evaluated clinical and therapeutic features of
hemangiomas in children (n: 49). The median (range) age at onset and at admission
were 21 days (10 days-156 months) and 7 months (0.25-192 months), respectively.
The localization of lesions was: head region (n: 28; 57.2%), trunk (n: 8; 16.3%)
trunk, limb (n: 8; 16.3%), and neck region (n: 5; 10.2%) neck region. Seventeen
patients (34.7%) were treated. Following treatments were used: propranolol alone
in 12 (24.5%) patients, steroid alone in 2 (4.1%) patients, prednisone plus
propranolol in 2 (4.1%) patients, and propranolol plus surgery in 1 (2.0%)
patient. The number of hemangioma (n > 1) (p = 0.026, OR = 9.5, 95% CI 1.3-69.2)
and treatment other than observation (p = 0.008, OR = 10.5, 95% CI 1.8-59.1) were
significant predictors in the univariate analysis; treatment other than
observation was an independent predictor for clinical response (p = 0.014, OR =
9.8, 95% CI 1.5-90.9).
PMID- 29376570
TI - New prediction model for diagnosis of bacterial infection in febrile infants
younger than 90 days.
AB - Vujevic M, Benzon B, Markic J. New prediction model for diagnosis of bacterial
infection in febrile infants younger than 90 days. Turk J Pediatr 2017; 59: 261
268. Due to non-specific clinical presentation in febrile infants, extensive
laboratory testing is often carried out to distinguish simple viral disease from
serious bacterial infection (SBI). Objective of this study was to compare
efficacy of different biomarkers in early diagnosis of SBI in infants < 90 days
old. Also, we developed prediction models with whom it will be possible to
diagnose SBI with more accuracy than with any biomarkers independently. Febrile <
90-day-old infants hospitalized in 2-year-period at Department of Pediatrics,
University Hospital Centre Split with suspicion of having SBI were included in
this study. Retrospective cohort analysis of data acquired from medical records
was performed. Out of 181 enrolled patients, SBI was confirmed in 70. Most common
diagnosis was urinary tract infection (68.6%), followed by pneumonia (12.9%),
sepsis (11.4%), gastroenterocolitis (5.7%) and meningitis (1.4%). Male gender was
shown to be a risk factor for SBI in this population (p=0.008). White blood cell
count (WBC), absolute neutrophil count (ANC) and C-reactive protein (CRP) were
confirmed as the independent predictors of SBI, with CRP as the best one. Two
prediction models built by combining biomarkers and clinical variables were
selected as optimal with sensitivities of 74.3% and 75.7%, and specificities of
88.3% and 86%. Evidently, CRP is a more superior biomarker in diagnostics of SBI
comparing to WBC and ANC. Prediction models were shown to be better in predicting
SBI than independent biomarkers. Although both showed high sensitivity and
specificity, their true strength should be determined using validation cohort.
PMID- 29376571
TI - Establishment of normative data for stretched penile length in Turkish preterm
and term newborns.
AB - Halil H, Oguz SS. Establishment of normative data for stretched penile length in
Turkish preterm and term newborns. Turk J Pediatr 2017; 59: 269-273. The aim of
this study was to establish a normal range of preterm and term stretched penile
length based on their gestational age and anthropometric parameters at birth. A
total of 585 infants; 336 (57.43%) preterm and 249 (42.57%) term male infants
born between August 2015 and September 2016 with gestational age between 26 and
41 weeks were included in our study. Stretched penile length was measured from
the pubic ramus to the tip of the glans. Gestational age, stretched penile length
and anthropometric parameters at birth (weight, length and head circumference)
were recorded within the first three days of life. Variations of stretched penile
length in relation to gestational age and anthropometric parameters were
evaluated using multiple linear regression models. The mean stretched penile
length +/- SD of preterm and term neonates were 2.5+/-0.565 cm and 3.2+/-0.551cm,
respectively. In all infants, stretched penile length was significantly affected
by gestational age and birth length (OR: 0.079, 95% CI 0.059-0.098, p=0.0001,
OR:0.022, 95% CI 0.007-0.037, p=0.005, respectively). In term infants, stretched
penile length was significantly affected by gestational age (OR: 0.139, 95% CI
0.082-0.196, p=0.0001) and in preterm infants, gestational age and birth length
significantly infleuenced the stretched penile length (OR: 0.090, 95% CI 0.065
0.0115, p=0.0001, OR:0.024, 95% CI 0.009-0.039, p=0.002, respectively). This
study provides reference values of stretched penile length for term and viable
preterm Turkish infants.
PMID- 29376572
TI - Does having an asthmatic sibling affect the quality of life in children?
AB - Yilmaz O, Turkeli A, Karaca O, Yuksel H. Does having an asthmatic sibling affect
the quality of life in children? Turk J Pediatr 2017; 59: 274-280. Chronic
illness in a family member leads to deterioration of quality of life in other
members of that family. We aimed to investigate the influence of having an
asthmatic sibling on a child's quality of life (QoL). We enrolled 2-12 year aged
healthy children with an asthmatic sibling in the study group and healthy
children with a healthy sibling in the control group of this cross-sectional
study. Sociodemographic characteristics of children and disease severity
characteristics of asthmatic siblings were recorded. All parents filled in
Turkish generic PedsQLTM short form appropriate for the child's age group. Study
and control groups had 114 children each. Total PedsQLTM scores were not
significantly different in any of the age groups (p=0.23, p=0.13, p= 0.11
respectively). Emotional PedsQLTM sub-scores in children with an asthmatic
sibling were significantly worse (83.0+/-16.5 vs 91.6+/-10.9 in the 2-4 year age
group, 72.0+/-17.8 vs 92.2+/-11.6 in the 5-7 year age group, 73.7+/-24.1 vs
88.7+/-14.8 in the 8-12 year age group respectively, p<=0.002 for all).
Similarly, psychosocial sub-score was significantly lower in the 2-4 and 5-7-year
olds but not the 8-12 year old groups (p=0.01, p=0.01, p=0.08 respectively). In
conclusion, healthy children with asthmatic siblings have significantly lower
emotional QoL and this needs to investigated for other chronic diseases in
further research.
PMID- 29376573
TI - Are cytokines and cortisol important predictors for the severity of pediatric
croup: A case control study.
AB - Uzum O, Caglar A, Kume T, Sayiner A, Er A, Akgul F, Ulusoy E, Yilmaz D, Duman M.
Are cytokines and cortisol important predictors for the severity of pediatric
croup: A case control study. Turk J Pediatr 2017; 59: 281-287. The aim of this
study is to investigate the role of cytokines (TNF-alpha, IL-6, IL-10, and PAF),
cortisol, and IgE in the pathogenesis of croup and the factors determining its
clinical severity. Patients diagnosed with croup at the Pediatric Emergency
Department were included and thirty healthy children were included as a control
group. Patients' demographic characteristics, clinical findings, recurrent croup
history, and patient-family atopy history were recorded. Patients were grouped
according to the Westley croup scoring system. Blood samples were taken from the
control group and the patients for cytokines and cortisol. Respiratory pathogens
were studied with PCR. Sixty-nine pediatric cases who were diagnosed as croup
were included in the study (34 mild, 31 moderate, 4 severe). Group comparisons
were made in terms of mild and moderate/severe groups. In the moderate/severe
group, IL-10 and cortisol levels were higher than the mild group. The
moderate/severe cortisol levels between 12:00 am-6:00 pm were found to be higher.
PAF and TNF-alpha levels were detected to be higher in patients with a history of
atopy. Viral agents were isolated in 45 patients; rhinovirus PCR tests were
positive in 22 patients. In this study, rhinovirus was the most common etiology
for croup. Increased levels of IL-10 and cortisol in the moderate/severe group
indicate that different systemic and local mechanisms may play a role in the
pathogenesis of croup.
PMID- 29376574
TI - The behavior pattern of parents of patients with subacute sclerosing
panencephalitis concerning alternative medicine.
AB - Isikay S. The behavior pattern of parents of patients with subacute sclerosing
panencephalitis concerning alternative medicine. Turk J Pediatr 2017; 59: 288
294. The aim of the study was to examine the attitude of the parents of Subacute
Sclerosing Panencephalitis (SSPE) patients regarding alternative treatment
methods and compare with those of the parents of epilepsy patients. The study
comprised 39 SSPE and 53 epilepsy patients who were under follow-up in Gaziantep
Children's Hospital. A questionnaire designed to inquire about the knowledge (13
questions) and behavior (11 questions) of parents about alternative medicine
methods was given to the caregiver of all patients. The ratio of parents using
alternative medicine methods was 29/39 (74.4%) in the SSPE group and 8/53 (15.1%)
in the epilepsy group. Less than half of the parents of SSPE patients reported
talking about it with their doctors. These results show parents facing a chronic
debilitating disease frequently seek benefit from alternative methods. Most
define this treatment as complementary to the established medical treatment.
However, potential and unrecognized adverse events of alternative methods and
their interference with regular medical treatment can be of importance,
especially because treating physicians are seldom informed about concurrent use.
PMID- 29376575
TI - Evaluation of the functional capacity, respiratory functions and musculoskeletal
systems of the children with chest pain for non-cardiac reasons.
AB - Daskapan A, Sanli C, Aydogan-Arslan S, Ciledag-Ozdemir F, Korkem D, Kara U.
Evaluation of the functional capacity, respiratory functions and musculoskeletal
systems of the children with chest pain for non-cardiac reasons. Turk J Pediatr
2017; 59: 295-303. Chronic chest pain in healthy children and adolescents
generally arises from non-cardiac factors. The purpose of our study was to
compare the evaluation results of effort test, respiratory function and
musculoskeletal system in children and adolescents with chest pain for non
cardiac reasons with healthy children and adolescents. Physical activity level
was determined by the International Physical Activity Questionnaire. The
respiratory function test was performed using a spirometer. The posture analysis
was performed to determine the upper body deformities. The effort test was
performed on a treadmill. The two groups were similar in terms of the physical
activity levels, respiratory function and effort test results (p > 0.05). The
rate of the rounded shoulder, kyphosis was significantly higher in the patient
group with chest pain (p < 0.005). Consequently, the musculoskeletal system
findings including the pectoralis minor shortness, rounded shoulder, and thoracic
kyphosis could have a role in non-cardiac chest pain.
PMID- 29376576
TI - The high prevalence of inappropriate feeding among infants presenting with an
apparent life-threatening event.
AB - Kojima K, Mckinley K, Donohue P, Sigal Y. The high prevalence of inappropriate
feeding among infants presenting with an apparent life-threatening event. Turk J
Pediatr 2017; 59: 304-310. Although there are anecdotal reports of a link between
inappropriate feeding and an apparent life-threatening event (ALTE), previous
studies have not examined this association in a cohort of affected infants. This
study compared the feeding behaviors of infants who have had an ALTE with age-
and sex-matched controls. This is a single-center case control study. Forty-six
term infants aged 6 months or less, who were hospitalized over a 34-month period
following an ALTE, comprised the study sample; 92 age- and sex-matched controls
were recruited from a wellness clinic. Feeding practices reported by parents were
evaluated for their appropriateness with respect to the volume of each feeding,
and the frequency and total volume of feedings per 24-hour period, based on the
American Academy of Pediatrics guidelines. Comparisons were made between the ALTE
cases and controls. Inappropriately fed infants were compared with the rest of
the sample. The ALTE and control groups were similar with respect to the
prevalence of breastfeeding, insurance, birth weight, and weight percentile at
presentation. The ALTE group had a lower prevalence of appropriate feeding
compared to the control group (43.5% versus 63.0%, p = 0.029). Overfed infants
were at a higher weight percentile at the time of presentation (46.5th percentile
versus 31.4 < sup > th < /sup > percentile, p = 0.037). These results represent
the association between ALTE and inappropriate feeding practice, which emphasizes
the need for assessment and education regarding feeding practices in patient
presenting with an ALTE.
PMID- 29376577
TI - p.Val452Ile mutation of the SLC25A13 gene in a Turkish patient with citrin
deficiency.
AB - Seker-Yilmaz B, Kor D, Tumgor G, Ceylaner S, Onenli-Mungan N. p.Val452Ile
mutation of the SLC25A13 gene in a Turkish patient with citrin deficiency. Turk J
Pediatr 2017; 59: 311-314. Citrin deficiency is an autosomal recessive metabolic
disorder, which is caused by pathogenic mutations in the SLC25A13 gene on
chromosome 7q21.3, as the causative gene that encodes the liver type
aspartate/glutamate carrier isoform 2 (AGC2). One of the main clinical
presentations is neonatal intrahepatic cholestatic hepatitis caused by citrin
deficiency. We report a Turkish child presented with prolonged neonatal jaundice
associated with elevated plasma citrulline and galactosuria. NICCD was suspected
at this point and mutation study of SLC25A13 showed that she was homozygous for
the missense NM_014251.2:c.1354G > A (NP_055066.1:p.Val452Ile) (dbSNP:
rs143877538) mutation. Dramatic response was observed to the dietary treatment
with medium-chain triglycerides containing formula, ursodeoxycholic acid and fat
soluble vitamin supplementation. The minor allele frequency of this variant was
given as nearly as 0.01 in the South Asian population; it seems like a disease
causing variant. This is the first report of this variant in the Turkish and
European population.
PMID- 29376578
TI - An infant with glutaric aciduria type IIc diagnosed with a novel mutation.
AB - Isikay S, Yaman A, Ceylaner S. An infant with glutaric aciduria type IIc
diagnosed with a novel mutation. Turk J Pediatr 2017; 59: 315-317. Glutaric
aciduria type II is a rare inborn error of metabolism. The clinical picture is
highly variable with symptoms ranging from acute metabolic decompensations to
chronic, mainly muscular problems or even asymptomatic cases. Herein we described
a 7-month-old female patient presented with respiratory failure and diagnosed
with glutaric aciduria type II via whole exome sequencing that exhibited one
known and a novel mutation. Her blood and urine analyses were all normal. After
the diagnosis, dramatic and sustained improvement on a low-fat, low-protein, and
high-carbohydrate diet supplemented with oral riboflavin and carnitine was
determined. In especially hypotonic patients with unknown etiologies, though the
blood and urine analyses are normal, glutaric aciduria type II should also be
kept in mind and genetic tests may be required for the diagnosis.
PMID- 29376579
TI - Alpha coma in an adolescent with diabetic ketoacidosis.
AB - Ostojic S, Vukovic R, Milenkovic T, Mitrovic K, Djuric M, Nikolic L. Alpha coma
in an adolescent with diabetic ketoacidosis. Turk J Pediatr 2017; 59: 318-321.
This is the first report of alpha coma (AC) caused by brain edema in a patient
with diabetic ketoacidosis (DKA). A previously healthy 15-year-old girl was
admitted to the intensive care unit due to altered state of consciousness during
the course of treatment for DKA. Patient was in a coma, intubated and had
tachycardia with poor peripheral perfusion. Results of laboratory analyses
indicated severe DKA and computed tomography scan indicated diffuse brain edema.
The EEG pattern showed uniform alpha activity. Treatment with intravenous fluids,
insulin and mannitol was started. Patient's state of consciousness gradually
improved and on the third day she was extubated. On the fifth day, her neurologic
status and EEG findings were completely normal with no residual neurological
deficits. In conclusion, although AC is associated with a high fatality rate,
favorable outcome can be achieved with prompt recognition and treatment of
cerebral edema in pediatric patients with DKA.
PMID- 29376580
TI - Different presentations of cow's milk protein allergy during neonatal period.
AB - Aktas S, Ergenekon E, Unal S, Turkyilmaz C, Hirfanoglu IM, Atalay Y. Different
presentations of Cow's milk protein allergy during neonatal period. Turk J
Pediatr 2017; 59: 322-328. Cow's milk protein allergy (CMPA) is the most common
cause of allergy occurring in the first year of life due to infant formula or
breast-milk of mothers who are drinking cow's milk or eating cow's milk products.
Most children with allergic colitis are symptomatic in the first months, usually
by 4 weeks. There are rare cases whom were sensitized prenatally and demonstrated
symptoms in the first week, even in the first 2 days of life. The most common
clinical sign of CMPA is bloody stool in a well-appearing infant. Gross bloody
stool or fecal occult blood are also the common signs of necrotizing
enterocolitis (NEC), especially in preterm infants with systemic instability. The
treatment options are totally different so the clinician has to be very careful
evaluating the patient. We report 5 preterm cases of CMPA, two of whom were
siblings. Two of them presented with massive bloody stools and 3 of them
presented with abdominal distension and fecal occult blood all of which were
initially considered as NEC. Literature review of 20 cases with similar history
is summarized as well.
PMID- 29376581
TI - Hereditary spastic paraplegia type 35 caused by a novel FA2H mutation.
AB - Bektas G, Yesil G, Yildiz EP, Aydinli N, Caliskan M, Ozmen M. Hereditary spastic
paraplegia type 35 caused by a novel FA2H mutation. Turk J Pediatr 2017; 59: 329
334. Hereditary spastic paraplegia type 35 (SPG35) is a rare disorder
characterized by progressive spasticity. Mutations in the fatty acid 2
hydroxylase (FA2H) gene in different loci are responsible for phenotypic
variability. We aimed to define the phenotype of SPG35 linked to a novel
homozygous mutation c.160_169dup (p.Asp57Glyfs*48) in the FA2H gene, and compared
with the clinical characteristics and neuroimaging findings of the patients with
mutation in the FA2H gene. We describe a 5-year-old boy presenting with spastic
paraplegia. He developed a rapid progressive spastic paraplegia and loss of
ambulation at an early age, despite the absence of accompanying seizure,
neuropathy, cognitive impairment, speech disturbance, and optic atrophy.
Neuroimaging revealed white matter changes without brain iron accumulation. A
duplication variation; leading to a truncated protein c.160_169dup in the FA2H
gene was found on the homozygous state. A homozygous mutation c.160_169dup in the
FA2H gene, which resulted in SPG35 phenotype, may present with rapid progressive
spastic paraplegia at an early age.
PMID- 29376582
TI - A case report with sacral appendage: Is it accessory penis or human tail?
AB - Kocaoglu C, Kocaoglu C, Akkoyun I, Karanis IE. A case report with sacral
appendage: Is it accessory penis or human tail? Turk J Pediatr 2017; 59: 335-337.
Lumbo-sacro-coccygeal appendages are very rare congenital anomalies. It is
difficult to say that they are a evolutionary inheritance (tail) from our
ancestors or an anomaly (pseudotail) occuring during embrional development. If it
is a true tail, this lesion contains adipose and connective tissue, striated
muscle, blood vessel and nerves, and is covered by skin. However, if this lesion
is a pseudotail, it may be an anomalous prolongation of the coccygeal vertebra,
lipoma, teratoma, condrodystrophy or parasitic fetus. We present an infant with a
sacral appendage resembling a penis, and its clinical and pathologic differential
diagnosis and management are discussed based on literature. Sacral appendages,
such as asaccessory penis or human tail, are treated through simple surgical
excision. However, patients must be carefully evaluated regarding teratoma and
spinal cord pathology.
PMID- 29376583
TI - Clinical implications of prenatal diagnosis of aorto-left ventricular tunnel on
postnatal treatment and final outcome.
AB - Kosutic J, Prijic S, Stajevic M, Kalaba M, Ninic S, Mikovic Z, Vujic A, Popovic
S. Clinical implications of prenatal diagnosis of aorto-left ventricular tunnel
on postnatal treatment and final outcome. Turk J Pediatr 2017; 59: 342-344. There
are no more than 20 antenatally diagnosed aorto-left ventricular tunnel cases
reported in the literature. In most of them the diagnosis was made indirectly and
only after multiple fetal scans based on findings such as thick and dilated left
ventricle and grossly dilated ascending aorta. We present a patient in whom a
direct tunnel visualization and aorto-left ventricular tunnel diagnosis was made
at the 30th gestation week after a single fetal scan using the recently
introduced 'cockade sign'. Clinical implications of antenatal diagnosis on
postnatal treatment and outcome are also discussed.
PMID- 29376584
TI - Where did the salt go?
AB - Paul SP, Hicks SS, Sanjeevaiah MK, Heaton PA. Where did the salt go? Turk J
Pediatr 2017; 59: 345-348. Bronchiolitis is a self-limiting viral respiratory
tract-infection seen commonly in infants. Some infants require hospitalization
for feeding or respiratory support. A wide range of extra-pulmonary complications
such as arrhythmias, myocarditis, central apneas, seizures, and hyponatremia are
uncommonly known to occur with respiratory syncytial virus (RSV) infections. We
present a 4-week-old-female infant admitted with RSV bronchiolitis for feeding
support by nasogastric-tube. The infant suffered unexpected desaturations and
seizure-like event 30-hours post-admission. Severe hyponatremia (sodium: 114
mmol/L) was detected although cause for this remained unexplained initially.
Serum sodium improved following a bolus of 2.7% hypertonic-saline. The infant
subsequently needed advanced respiratory support. Around time of transfer to
PICU, the infant developed abdominal distension and continued to have bilious
aspirate even after 6-days. An upper gastrointestinal contrast-study confirmed
malrotation; improved following surgery. Co-existence of two serious pathologies
may have accounted for the hyponatremia: malrotation (possible source of sodium
loss into third-space) and severe bronchiolitis (inappropriate ADH-secretion).
This case highlights the importance of determining origin of hyponatremia
associated with acute bronchiolitis.
PMID- 29376585
TI - Occipital cortex dysgenesis with white matter changes due to mutations in Laminin
a2.
AB - Yis U, Dixit V, Isikay S, Karakaya M, Baydan F, Diniz G, Polat I, Hiz-Kurul S,
Cirak S. Occipital cortex dysgenesis with white matter changes due to mutations
in Laminin a2. Turk J Pediatr 2017; 59: 338-341. Laminin alpha2 related
congenital muscular dystrophy is one of the most common congenital muscular
dystrophies of childhood with or without clinical evidence of central nervous
system involvement. It may be associated with significant white matter
abnormalities resembling leukodystrophies. In this study, we elaborated on two
cases with laminin alpha2 related congenital muscular dystrophy who had occipital
cortex dysgenesis in addition to characteristic white matter abnormalities.
Although laminin alpha2 related congenital muscular dystrophy with white matter
abnormalities is known, the association with occipital cortex dysplasia has been
not well recognized by clinical colleagues.
PMID- 29376586
TI - Wickerhamomyces anomalus blood stream infection in a term newborn with pneumonia.
AB - Yilmaz-Semerci S, Demirel G, Tastekin A. Wickerhamomyces anomalus blood stream
infection in a term newborn with pneumonia. Turk J Pediatr 2017; 59: 349-351. The
incidence of invasive candidiasis is high in neonates admitted to neonatal
intensive care unit and is associated with significant morbidity and mortality
rates. Candida albicans is the most common fungal agent pathogenic to neonates
but invasive fungal infections caused by uncommon fungi have increased in recent
years. Wickerhamomyces anomalus is a very rare pathogen causing blood stream
infection in neonates, which has reportedly caused only few cases in the
literature. Here we report a case of blood stream infection caused by a fungal
agent Wickerhamomyces anomalus in a term male infant.
PMID- 29376587
TI - Is autologous cord blood transfusion effective and safe in preterm infants?
PMID- 29376588
TI - [Russian society of urology over the past 5 years].
PMID- 29376589
TI - [Application of 3D soft print models of the kidney for treatment of patients with
localized cancer of the kidney (a pilot study)].
AB - AIM: To evaluate the possibility of using 3D-printing in the management of
patients with localized kidney cancer. MATERIALS AND METHODS: The study comprised
five patients with localized kidney cancer who were treated at the Urology Clinic
of the I.M. Sechenov First Moscow State Medical University from January 2016 to
April 2017. Along with the standard examination, the patients underwent
multispiral computed tomography (MSCT) to produce patient-specific 3D-printed
models of the kidney tumors using 3D modeling and 3D printing. To evaluate the
effectiveness of using 3D-printed models, two-stage preoperative planning was
conducted, and five surgeons were surveyed using a four-question multiple choice
questionnaire. At the first stage, the planning of operations was carried out
based on MSCT findings. At the second stage, the surgeons were given patient
specific soft 3D models of the kidney with a tumor for preoperative training.
After preoperative training, patients underwent laparoscopic resection of the
kidney with a tumor. RESULTS: According to the survey results, each of the
participating surgeons at least once changed surgical plan based on data obtained
with 3D printed models of the kidney with the tumor. The implementation of
preoperative training using 3D printed models of the kidney turned out to be
effective. All patients underwent laparoscopic surgery performed by a single
surgeon with extensive experience in this type of surgery. The mean operative
time was 187 minutes. All operations were performed with main renal artery
occlusion. The men warm ischemia time was 19.5 minutes and the mean blood loss
was 170 ml. There were no conversions to open surgery and organ-removing
operations. There were no postoperative complications or deaths. All surgical
margins were negative. Morphological examination showed that four patients had
renal cell carcinoma one patient had the oncocytoma. CONCLUSION: The study
demonstrated the promise of using 3D printing for preoperative planning and
surgical performance due to a high-precision three-dimensional soft patient
specific model of the localized kidney.
PMID- 29376590
TI - [Effects of the of renal warm ischemia time on the recovery of filtration
function in the experiment].
AB - AIM: To investigate experimentally ultrastructural and biochemical signs of acute
injury to the renal parenchyma after warm renal ischemia of various duration and
subsequent reperfusion. MATERIALS AND METHODS: The experiments were performed on
44 healthy conventional female rabbits of the "Chinchilla" breed weighted 2.6-2.7
kg, which were divided into four groups. In the first, control, group included
pseudo-operated animals. In the remaining three groups, an experimental model of
warm ischemia of renal tissue was created, followed by a 60-minute reperfusion.
The renal warm ischemia time was 30, 60 and 90 minutes in the 2nd, 3rd and 4th
groups, respectively. Electron microscopy was used to study ultrastructural
disturbances of the renal parenchyma. Biochemical signs of acute kidney damage
were detected by measuring the following blood serum and/or urine analytes: NGAL,
cystatin C, KIM-1, L-FABP, interleukin-18. The glomerular filtration was
evaluated by creatinine clearance, which was determined on days 1, 5, 7, 14, 21
and 35 of follow-up. RESULTS: A 30-minute renal warm ischemia followed by a 60
minute reperfusion induced swelling and edema of the brush membrane, vacuolation
of the cytoplasm of the endothelial cells of the proximal tubules, and microvilli
restructuring. The observed disorders were reversible, and the epithelial cells
retained their viability. After 60 minutes of ischemia and 60 minutes of
reperfusion, the observed changes in the ultrastructure of the epithelial cells
were much more pronounced, some of the epithelial cells were in a state of
apoptosis. 90 min of ischemia and 60 min of reperfusion resulted in electron
microscopic signs of the mass cellular death of the tubular epithelium.
Concentration in serum and/or biochemical urine markers of acute renal damage
increased sharply after ischemic-reperfusion injury. Restoration of indicators
was observed only in cases when the renal warm ischemia time did not exceed 60
minutes. The decrease in creatinine clearance occurred in the first 24 hours
after the intervention, lasting not less than two weeks after a 30-minute warm
ischemia, at least 3 weeks after a 60-minute warm ischemia and continued more
than a month after a 90-minute renal artery occlusion. CONCLUSION: Intraoperative
warm ischemia and subsequent reperfusion are the actual reasons for the
alteration of the ultrastructure of the renal tissue and the impairment of the
filtration function. The severity of the disorders depends on the duration of the
damaging factors. After a 30-60-minute ischemia, the structural and functional
changes in the renal tissue are reversible. The mass death of nephrocytes
effectors is possible only after warm renal ischemia longer than 60 min.
PMID- 29376591
TI - [Association of polymorphism of 1800255 COL3A1 gene with pelvic organ prolapse
and urinary incontinence in women: preliminary data].
AB - RELEVANCE: Collagen type I and III have a significant role in the development of
pelvic organ prolapse (POP) and urinary incontinence in women. The role of the
COL3A1 gene polymorphism remains debatable. Some studies and meta-analyzes have
found a direct correlation between genetic defects and POP, while other
researchers have not confirmed this association. This study aimed to investigate
the association of the 1800255 COL3A1 gene polymorphism with the development of
POP and urinary incontinence in women. MATERIALS AND METHODS: The study group
comprised 52 patients (mean age 64.4 years) with verified POP and stress urinary
incontinence. The control group included 21 patients without pelvic floor
dysfunction. Patients were comparable in age and had at least one or more risk
factors for developing pelvic floor dysfunction. Exclusion criteria for both
groups were Marfan and Ehlers-Danlos syndromes and a history of surgery for POP
or incontinence (for the control group). In all women, saliva samples were
collected to detect polymorphism at the rs1800255 locus of the COL3A1 gene.
Genotyping was conducted by Sanger sequencing. RESULTS: In patients with isolated
genital prolapse, homozygous polymorphism (AA) had a low sensitivity (0.06) but
an extremely high specificity (0.95). Heterozygote (GA) had the sensitivity of
0.35, the specificity of 0.53, and the AUC of 0.44. For urinary incontinence by
homozygote (AA), sensitivity was 0.08, specificity 0.96, and by heterozygote (GA)
0.45 and 0.63, respectively. For the combination of pelvic prolapse and urinary
incontinence by homozygote (AA), sensitivity was 0.07, specificity 1.0, and
heterozygote (GA) 0.41 and 0.62, respectively. CONCLUSION: Given the high
specificity of the polymorphism at the rs1800255 locus of the COL3A1 gene,
determined by the Sanger sequencing, it can be concluded that there is an
association between this polymorphism and urinary incontinence and POP in women.
PMID- 29376592
TI - [Is there screening for urinary tuberculosis?]
AB - INTRODUCTION: Most of the patients with genitourinary tuberculosis are detected
too late. One of the reasons for this is the lack of a simple, cheap, reliable
screening. The purpose of this study was to investigate the possibility of using
a recombinant tuberculosis allergen (diaskintest) as a screening test to detect
patients with genitourinary tuberculosis. MATERIAL AND METHODS: This is a simple
prospective, open-label cohort study comprising 197 patients admitted to the
Novosibirsk Research Institute of Tuberculosis for differential diagnosis in 2014
2016. Of then, 72 patients were found to have urogenital tuberculosis, while 125
patients had chronic nonspecific infections. RESULTS: The sensitivity of the
Kochs subcutaneous tuberculin test and the diaskintest was 80.4% and 63.8%,
respectively. The specificity of the Kochs test was 91.5%, which makes it still
possible to consider it essential in the differential diagnosis of urogenital
tuberculosis and nonspecific urogenital infections. A high percentage of positive
diaskintest results in patients with chronic infectious and inflammatory diseases
of the genitourinary system caused a low specificity of the test - 37.5%.
CONCLUSION: Diaskintest can be used in screening for genitourinary tuberculosis,
but its positive result indicative for diagnosis. It may be used only as a reason
for in-depth phthisiourological examination using additional provocative tests,
in particular, Kochs test, which showed high diagnostic performance.
PMID- 29376593
TI - [Association of polymorphism of GSTT1 and GSTM1 genes with infertility in men].
AB - AIM: To identify the association between homozygous deletion genotypes of
glutathione transferase genes GSTT1 (glutathione transferase theta 1), GSTM1
(glutathione S-transferase mu1) and infertility in Russian men. MATERIALS AND
METHODS: The article presents a comparative analysis of the incidence of
homozygous deletion genotypes of glutathione transferase genes GSTM1 and GSTT1 in
Russian men with and without infertility. The study group comprised 160 infertile
Russian men of reproductive age (mean age 30.2+/-3.6 years.) The infertility
diagnosis was verified according to the WHO guidelines. The control group
comprised 104 healthy Russian volunteers (mean age 31.3+/-5.4 years.) Molecular
genetic detection of GSTM1 and GSTT1 deletion polymorphisms was performed using
PCR. The genomic DNA for the study was extracted from whole blood samples.
RESULTS: The study and control group differed significantly in incidence of GSTM1
(p=0.043) and GSTT1 (p=0.008) deletion polymorphisms. The probability of
detecting "zero" genotypes of the GSTT1 and GSTM1 genes in infertile men was 2.5
(p<0.05) and 1.7 times higher (p<0.05), respectively, than in fertile men.
CONCLUSIONS: Therefore, the study findings allow us to conclude that the deletion
genotypes of GSTM1 and GSTT1 are associated with infertility in Russian men.
Molecular genetic analysis of deletion polymorphism of glutathione transferase
genes can be recommended for a comprehensive examination of infertile men.
PMID- 29376594
TI - [Clinical and biochemical aspects of pathogenesis of urolithiasis].
AB - AIM: To investigate the role of infection in the pathogenesis of urolithiasis
using chromatography mass spectrometry analysis. MATERIALS AND METHODS: The study
analyzed clinical and laboratory data of 316 urolithiasis patients hospitalized
between February 2005 and January 2015. All patients underwent a comprehensive
clinical examination, including laboratory tests (hematological and biochemical
blood tests, clinical and bacteriological tests of urine) and chromatography mass
spectrometry analysis urine and blood. The laboratory testing was carried out
both during the patients hospital stay and outpatient follow-up. RESULTS: We
analyzed the biological material for the presence of characteristic ions. Urine
samples of 316 urolithiasis patients were found to contain activators of
"cooperative sensitivity." Moreover, there was a significant increase in the
concentration of signaling compounds of the "cooperative sensitivity" of
microorganisms in patients with complicated urolithiasis in comparison with the
control indices (lactones-0.006 plus/minus 0.0004 mmol/L, normal values less than
0.002, quinolones 0.004 plus/minus 0.0003 mmol/l, normal values - less than 0.002
and furan esters - 0.005 plus/minus 0.0004, normal values less than 0.002).
Threshold values of the activators of "cooperative sensitivity" demonstrated the
readiness of the microbial community to initiate an inflammatory process. The
presence of activators such as lactones, quinolones and furan esters in the
samples of urolithiasis patients predisposes to the activation of pathogenic
genes in a large group of microorganisms, including gram positive and gram
negative species. DISCUSSION: In our opinion, to improve the quality of
diagnostic, treatment and preventive measures in patients with different types of
stone formation, it is advisable to use chromatography mass spectrometry
analysis, which allows determination of priority clinical and laboratory
indicators. CONCLUSION: The data on the role of infection in the pathogenesis of
urolithiasis obtained by chromatographic methods suggest the possibility of using
the indicators of the activators of the "cooperative sensitivity" of microbes in
patients with various forms of urolithiasis to assess the disease severity.
PMID- 29376595
TI - [Experimental work: reconstruction of the pelvi-ureteric junction and ureter
using testicular tunica vaginalis autograft].
AB - AIM: To evaluate the results of surgical reconstruction of the upper urinary
tract using an autograft of testicular tunica vaginalis in experimental animals.
MATERIALS AND METHODS: The article presents the results of partial replacement of
the renal pelvis and ureter with an autograft in 25 male dogs. The grafts were
harvested by resection of the parietal layer of the testicular tunica vaginalis,
which was transplanted into the region of the pelvi-ureteric junction and the
proximal ureter. The upper urinary tract was drained using a ureteral stent
catheter. The results were evaluated at week 1 and months 1, 3 and six after the
operation. The functional state of the kidneys and ureters was analyzed using
excretory urography and ultrasound; the autograft biopsy specimens were examined
histologically. RESULTS: In all cases, the viability of the autograft was
completely preserved, there were no signs of secondary infection, necrosis and
impaired patency in the anastomosis zone. Histological examination revealed signs
of epithelialization, connective tissue substitution and neovasculogenesis in the
implantation zone. CONCLUSION: The proposed surgical modality is an alternative
method to restore normal urine flow in the upper urinary tract in obstructive
urological diseases. The group of obstructive urological diseases was studied
using the model of the strictures of the pelvi-ureteric junction in the
intrarenal pelvis and ureteral strictures measuring up to 3-4 cm in length.
PMID- 29376596
TI - [Transabdominal and transrectal ultrasound assessment of intravesical prostatic
protrusion].
AB - RELEVANCE: Benign prostatic hyperplasia (BPH) is one of the most common
urological diseases among men. Despite the noticeable positive effects of
pharmacotherapy on the quality of urination in BPH, the presence of an
intravesical obstruction (IVO) leads to discontinuation of conservative treatment
in favor of surgical interventions. One of the features of prostate enlargement
is the degree of its intravesical growth (intravascular prostatic protrusion,
IPP). According to some studies, IPP value of 10 mm or more is indicative of IVO
in virtually all men. AIM: To compare transabdominal and transrectal ultrasound
measurement of IPP in men with BPH. MATERIALS AND METHODS: The study comprised
108 men aged 69+/-10 years (43 to 93 years) with lower urinary tract symptoms and
BPH. Patients underwent a standard urological examination. The shape of the
prostate, prostate volume and the measurements of the IPP were assessed using
transabdominal and transrectal ultrasound. RESULTS: The IPP measurements obtained
using transabdominal and transrectal ultrasound were 9.8+/-5.7 mm (1.1 to 28 mm)
and 9.3+/-5.3 mm (0.5 to 26 mm), respectively. The IPP measurements evaluated by
transabdominal and transrectal ultrasound were found comparable regardless of the
prostate volume. CONCLUSION: Similar results in assessing PPI by both ultrasound
modalities allow them to be used equally effectively.
PMID- 29376597
TI - [Experience of 157 vesikoscopic operations in children].
AB - AIM: Recent advances in the field of minimally invasive surgical technologies in
children and adolescents have led to the development of vesicoscopic
(transvesical, pneumoscopic) access (VA). Current limitations in using VA
emphasize the need for further studies investigating surgical options for the
management of various pathological conditions of the bladder and ureterovesical
junction, the features of surgical techniques and the course of the early
postoperative period when used in pediatric urological practice. MATERIALS AND
METHODS: From 2013 to 2017, 157 patients (79 girls and 78 boys) aged between 2
months and 18 years (mean age 4.9-8.7 years) underwent surgery using VD.
Unilateral and bilateral vesicoscopic ureterocystoneoimplantation was performed
in 110 (70%) and 44 (28%) patients, respectively. A total of 198 ureters was
implanted. Three (1.9%) children underwent vesicoscopic excision of the bladder
diverticulum. Transvesicoscopic Cohen ureteric reimplantation, pneumovesical
Glenn-Anderson procedure, and Chumakov ureterocystoneoimplantation were performed
in 151 (96.1%), 2 (1.3%) and 1 (0.6%) patients, respectively. RESULTS: The mean
operative time when using VA was 126.8+/-46.7 min. In patients younger than one
year, 1-3 years, 4-17 years, it was 136.0+/-43.8 min, 130.1+/-43.5 min and
122.4+/-65.8 min, respectively. The mean length of postoperative hospital stay
was 6.2+/-2.3 days. In 3 (1.9%) cases we had to convert to open surgery. Gas
migration into the abdominal cavity occurred in 6 (3.8%) patients. Fourteen (9%)
patients had early postoperative complications. Transient obstruction of
ureterovesical junction occurred in 6 (3.8%) patients. Acute complete obstruction
of the distal ureter developed in 3 (1.9%) patients aged three months who did not
undergo drainage of the upper urinary tract intraoperatively. A paravesical urine
leak occurred in 1 (0.6%) patient. In one (0.6%) of the boys, the distal end of
the urinary drainage inserted through the trocar into the ureter migrated in the
bladder. The urine leakage from the trocar puncture occurred once (0.6%) and was
stopped by indwelling urethral catheterization for seven days. In 2 (1.3%)
patients, exacerbation of pyelonephritis required a modification in antibacterial
therapy. DISCUSSION: Despite the accumulated experience, vesicoscopic surgery
remains a laborious and complicated surgical intervention, requiring long
learning curves even for surgeons who have good manual skills in laparoscopic
surgery. CONCLUSION: In our opinion, vesicoscopic access allows the entire range
of surgical interventions on the vesicoureteral junction and bladder in children
to be performed. It is effective, significantly less traumatic than traditional
open cystotomy access, and associated with an excellent cosmetic result.
PMID- 29376598
TI - [Analysis of clinical effectiveness and risk factors for complication of
percutaneous nephrolototripsia in patients with a solitary kidney].
AB - RELEVANCE: Management of patients with large and staghorn stones of a solitary
kidney is widely debated among urologists and has not been sufficiently
investigated, which determined the relevance of this study. MATERIALS AND
METHODS: The study comprised 80 patients with large (>20 mm) and staghorn stones
of an anatomically or functionally solitary kidney. Of them, 58 patients
underwent percutaneous nephrolithotripsy (PNL), and 22 had open surgery. The
criterion of the treatment effectiveness was the complete stone clearance or
small residual fragments sized less than 3 mm. The safety criterion was the
absence of intra- and postoperative complications, according to Clavien-Dindo
grading system. The study analyzed the following factors influencing the
effectiveness and safety of PNL: the number of accesses; nephroscope diameter;
use of a nephroscope sheath; type of lithotripter; size, density, type and
composition of the stone. RESULTS: Percutaneous nephrolithotripsy demonstrated
statistically significantly better safety results compared with open surgery with
comparable effectiveness. Long-term stone recurrence rate after PNL and open
surgery was 10.4 and 18.2%, respectively. PNL resulted in a statistically
significant improvement in the kidney function while it worsened after open
surgery. The effectiveness of PNL depends on the stone type and size and the kind
of lithotripter. It was 7.5 times greater for a large stone than for staghorn
calculi and 4.6 times higher for stones sized less or equal 45 mm than for those
sized > 45 mm. Ultrasonic lithotripter was 2.2 times more effective than another
type of lithotripter. The safety of PNL depends on the nephroscope diameter, of a
sheath, the number of accesses, the type of lithotripter and the type of stone.
Using a 24-Ch nephroscope was 3.6 times safer than that with a diameter greater
than 24-Ch; not using a sheath was 3.2 times safer than using it; one access was
3 times safer than at multiple ones; using an ultrasound lithotripter was 2.7
times safer than with another type of lithotripter; treating a large stone was
2.1 times safer than a staghorn stone. CONCLUSION: The study findings can be used
to optimize the treatment of patients with large and staghorn stones of a
solitary kidney.
PMID- 29376599
TI - [Effectiveness of laparoscopic repair of primary strictures of ureteropelvic
junction].
AB - AIM: To evaluate the effectiveness of laparoscopic repair of primary strictures
of ureteropelvic junction (UPJ) depending on baseline renal function of the
ipsilateral kidney. MATERIALS AND METHODS: The study analyzed results of 134
patients (78 women and 56 men, age from 18 to 56 years) who underwent various
types of laparoscopic repair of the UPJ stricture from 2012 to 2015. Depending on
the surgical technique all patients were divided into three groups: group 1
(n=34) underwent spiral flap technique by Culp and DeWeerd, group 2 (n=59) -
Anderson-Hynes pyeloplasty and group 3 (n=41) had antevasal dismembered
pyeloplasty. All interventions ended with internal ureteral stenting for up to 6
8 weeks. Also, all patients were divided into three subgroups, depending on the
degree of renal function deficiency - less than 25%, 25-50%, and 51-75%.
Treatment effectiveness criteria included the following parameters: complete
relief of the pain syndrome, a decrease in the degree of pyeloectasia,
stabilization or improvement of the functional state of the renal parenchyma
(according to radioisotope renography), and the absence of recurrence of the UPJ
stricture. RESULTS: The overall effectiveness of UPJ laparoscopic reconstruction
was 94.7% (127 of 134). The effectiveness of the treatment was independent of the
surgical technique, the initial thickness of the renal parenchyma and the degree
of PCS dilatation. There was an inverse correlation between the treatment
effectiveness the degree of kidney function deficiency. CONCLUSION: In patients
with hydronephrosis secondary to UPJ stricture, the effectiveness of surgical
treatment is mainly determined by its timeliness. The best treatment results were
observed in patients with better renal function. The degree of renal function
deficiency should be considered the main prognostic factor for the effectiveness
of the forthcoming operation.
PMID- 29376600
TI - [The role of extraperitoneoscopic adenomectomy in the management of benign
prostatic hyperplasia greater than 80 cm3].
AB - INTRODUCTION: The current standard of surgery for benign prostatic hyperplasia
(BPH) greater than 80 cm3 includes open adenomectomy and holmium enucleation.
Transurethral resection and laser vaporization are second line interventions,
while the role of laparoscopic extraperitoneal adenomectomy is not fully
understood. AIM: To evaluate the role of laparoscopic technique as a surgical
modality for BPH greater than 80 cm3. MATERIALS AND METHODS: This study
retrospectively evaluated the results of 79 patients (mean age 68 years) who
underwent transcapsular extraperitoneoscopic adenomectomy from 2011 to 2016.
RESULTS: The mean operative time was 206 (100-450) min; the prostate volume was
134 (80-300) cm3, blood loss was 256 (30-1200) ml. The I-PSS score after surgery
decreased by an average of 18.3 points, the maximum urinary flow rate increased
by 12 ml/s, the residual urine volume reduced from 147 to 28 ml. 35 (44%)
patients underwent simultaneous operations (inguinal hernioplasty,
cystolithotomy, etc.). There was one intraoperative complication, and 10 (12.6%)
patients had postoperative complications. There were no conversions to open
surgery. Incidental prostate cancer was detected in one patient. None of the
patients required repeat surgery for infravesical obstruction. CONCLUSION:
Extraperitoneoscopic adenomectomy is efficient, safe and reproducible surgical
modality able to take the place of open surgery. There is a need for an evidence
base to support the optimal choice between various minimally invasive techniques.
Currently, laparoscopic procedure is more justified in patients with concomitant
diseases, which can be simultaneously corrected.
PMID- 29376602
TI - [Improving renal cancer care].
AB - The article reviews the rates of incidence, late diagnosis and mortality from
kidney cancer in Primorsky Krai. The authors address the issues of improving
primary and specialized medical care by introducing a three-level health care
system and restructuring of hospital beds. They propose a new medical technology
for assessing the individual risk of kidney cancer and present a program of
measures and organizational modules for prevention, early diagnosis and reduction
of mortality from kidney cancer.
PMID- 29376601
TI - [Comparative assessment of treatments for prostate adenoma greater than 100 cm3].
AB - AIM: To compare holmium laser enucleation of the prostate (HoLEP) and
endovideosurgical (EVS) adenomectomy in the treatment of prostate adenoma.
MATERIALS AND METHODS: We compared treatment results of 180 patients with
prostate adenomas greater than 100 cm3 who underwent EVS adenomectomy (n=90) and
laser enucleation of the prostate (n=90). The analysis included the following
parameters: duration of catheterization, length of postoperative hospital stay, I
PSS score, maximum urinary flow rate measured by uroflowmetry and complications
according to Clavien-Dindo grading systems. RESULTS: There were no significant
differences in patient age, preoperative prostate size, glandular tissue weight,
and operative time. The duration of catheterization (p=0.0008) and length of
postoperative hospital stay (p<0.0001) were significantly shorter in the HoLEP
group. Both groups showed a statistically significant improvement in functional
performance at three months post-surgery. Complications in the HoLEP and EVS
adenomectomy group occurred in 18 (20%) and 23 (25.55%) patients, respectively
(p>0.99). CONCLUSION: The two methods mentioned above are widely used in the
treatment of prostate adenoma. However, holmium laser enucleation of the prostate
shows similar short-term functional results and complication rates compared with
EVS adenomectomy for prostate adenomas greater than 100 cm3. The patients of the
HoLEP group had better results regarding the duration of catheterization and
length of postoperative hospital stay. Therefore, laser enucleation is the
preferred surgical modality for prostate adenomas greater than 100 cm3.
PMID- 29376603
TI - [Results of open and laparoscopic partial nephrectomy for localized renal
cancer].
AB - AIM: To compare the results of laparoscopic and open partial nephrectomy.
MATERIALS AND METHODS: From February 2000 to June 2016, 178 patients (mean age
58.2 years) with stage T1 kidney tumors underwent partial nephrectomy. This
cohort included 106 (59.5%) men and 72 (40.5%) women. Open partial nephrectomy
was performed in 102 (57.3%) patients (group 1) and laparoscopic partial
nephrectomy (LPL) - 76 (42.7%, 2nd group). The majority (92.2%) of patients
underwent resection for elective and 14 (7.8%) for absolute indications.
Preoperatively, 163 (91.6%) and 15 (8.4%) patients had stage T1a stage T1b,
respectively. The tumor size ranged from 2.4 to 6.2 cm and from 2 cm to 5.4 cm in
group 1 and 2, respectively. A comparative analysis included operative time, warm
ischemia time, blood loss, duration of drainage and the length of hospital stay.
RESULTS: Open partial nephrectomy was associated with shorter operative time (105
min versus 125 min) and warm ischemia time (14.5 vs. 20.8 min) compared with
laparoscopic partial nephrectomy. Laparoscopic partial nephrectomy was
characterized by a smaller blood loss (180 ml vs. 365 ml, p<0.05) and a shorter
length of hospital stay (2.5 days vs. 5.6 days, p<0.05). One patient from each
group was found to have positive surgical margins. CONCLUSION: Currently,
laparoscopic partial nephrectomy is the method of choice for stage T1 kidney
tumors. Despite the comparatively longer operative time and warm ischemia time,
laparoscopic partial nephrectomy leads to faster patient recovery and fewer
complications.
PMID- 29376604
TI - [Laparoscopic transperitoneal partial nephrectomy for a tumor of the upper
segment].
AB - INTRODUCTION: Laparoscopic resection of upper pole kidney tumors is a technically
challenging procedure. When tumors are located on the dorsal side of the kidney,
the renal-rotation technique may facilitate laparoscopic partial nephrectomy.
AIM: To present the technique and results of laparoscopic partial nephrectomy
(LPN) for tumors of the upper pole of the kidney with its rotation around the
renal hilum. MATERIAL AND METHODS: The study presents a retrospective analysis of
the results of 12 patients who underwent LPN for upper pole kidney tumors using
the renal-rotation technique. The kidney with the renal hilar vessels and the
upper third of the ureter were mobilized using a transperitoneal access. Then the
kidney was rotated over its pedicular axis so that the upper pole was located
inferiorly. As a result, the posterior upper pole tumor was located anteriorly,
thereby facilitating its resection. After removing the tumor and confirming
homeostasis, the kidney was returned to its original position. RESULTS: The
results of LPN using this technique were successful in all 12 patients. The mean
operative time was 120+/-35.0 (90-210) min, the warm ischemia time was 14.5+/-7.8
(10-26) min, and the blood loss was 120.0+/-65.5 (60-300) ml. The intraoperative
complication occurred in 1 (8.3%) patients, postoperative complications were
observed in 3 patients. Histopathology showed that 11 (91.7%) patients had renal
cell carcinoma and one (8.3%) had angiomyolipoma. Analysis of early (18.6+/-5.0
months) oncological outcomes showed no local recurrence and distant metastases.
CONCLUSION: With dorsally located upper pole kidney tumors, the renal-rotation
technique facilitates the performance of LPN and minimizes the risk of intra- and
postoperative complications. This method requires the maximum mobilization of the
kidney along with the renal hilar vessels and the upper third of the ureter to
rotate it for optimal resection conditions.
PMID- 29376605
TI - [Current methods of early diagnosis of prostate cancer].
AB - Prostate cancer is the most common cancer among men, except for lung cancer.
Therefore, it is imperative to identify diagnostic methods for early detection of
prostate cancer to determine patients from healthy populations, which helps guide
a timely treatment at an initial stage of the disease. The article provides an in
depth review of the most current diagnostic biomarkers of prostate cancer, their
role in clinical practice as a means of the early detection and screening for
prostate cancer.
PMID- 29376606
TI - [The role of the uretral catheter in the development of catheter- related urinary
tract infection].
AB - The most common source of nosocomial infection is the urinary tract, especially
if they it is drained with a urethral catheter. Catheter-associated urinary tract
infections account for at least 80% of all complicated urinary tract infections
and are the most common type of hospital-acquired infection. Intestinal
microflora plays the leading role in the pathogenesis of catheter-associated
urinary tract infections, whereas the most important risk factor for their
development is the long duration of urinary catheter drainage. In the case of
short-term and intermittent catheterization, routine antibiotic prophylaxis is
not required, but if a patient develops clinically significant infection,
antibiotic therapy is required followed by definitive therapy based on culture.
Urethral catheters coated with antimicrobial substances and anti-inflammatory
agents can significantly reduce the adhesion and migration of bacteria, thereby
reducing the incidence of urinary tract infections. Despite this, the incidence
of catheter-associated infection remains high. We have reviewed recent literature
related to catheter-associated urinary tract infections and the best means of
preventing this condition.
PMID- 29376607
TI - [Idiopathic hypercalciuria. Diagnosis and treatment].
AB - Most patients with idiopathic hypercalciuria and calcium nephrolithiasis have a
family history of the disease. Idiopathic hypercalciuria is a metabolic
abnormality with various causes and developmental pathways. The systematic review
describes specific mutations associated with idiopathic hypercalciuria and
nephrolithiasis. Detection of these mutations may provide a better understanding
of the pathogenesis of this heterogeneous disease and personalize patient
management depending on the detected polymorphisms. A promising treatment option
for a mutation in the vitamin D receptor gene is thiazide diuretics in
combination with bisphosphonates. Among bisphosphonates, the drug of choice which
has been most strongly supported by research evidence is alendronate.
PMID- 29376608
TI - [Biomarkers of acute hypoxia-reoxygenation injury to nercycites during
laparoscopic resection of renal parenchyma].
AB - Intraoperative occlusion of the renal artery during laparoscopic partial
nephrectomy results in warm ischemia and nonspecific hypoxia-reoxygenation
alteration of the renal parenchyma cells with a predominant injury to
epitheliocytes of the proximal segments of the tubular nephron system. The onset
and development of acute kidney injury (AKI) syndrome, which requires immediate
correction, is due to the typical pathological process of cell injury. In this
regard, the issue of timely diagnosis of AKI remains highly relevant. In patients
at high risk of developing acute renal failure, detection of AKI biomarkers
enables early diagnosis. In cases with hypoxia-reoxygenation mediated destruction
of epithelial cells of tt. renales, related to warm ischemia, the quality of
diagnosis is significantly improved if the standard work-up is supplemented by
measurements of the concentration and/or activity of cystatin C, interleukin-18,
kidney injury molecule-1, neutrophil gelatinase-associated lipocalin, liver fatty
acid binding protein, N-acetyl --glucosaminidase, glutathione S-transferase
isoform, -glutamyl transpeptidase and lactate dehydrogenase. This article
provides an overview of published evidence on the properties and diagnostic
capabilities of biomarkers of warm ischemia related AKI.
PMID- 29376609
TI - [Concomitant urogenital infections in men].
AB - The article presents possible combinations of urogenital infections of various
etiologies and some pathogenetic, clinical and epidemiological features, and
issues of epidemiological surveillance for co-infection. The authors describe in
detail combinations with each other and with other diseases of such pathogens as
Chlamydia trachomatis, Ureaplasma urealyticum, Mycoplasma spp., Neisseria
gonorrhoeae, Trichomonas vaginalis. They also focus on the problem of co
occurrence of human papillomavirus (HPV) with other urogenital pathogens. The
article raises the question of the need to introduce new scientific data on the
epidemiology of concomitant urogenital infections in men in the practice of
diagnosis, treatment, registration, and implementation of preventive and anti
epidemic measures.
PMID- 29376610
TI - [The role of stress-induced chronic subclinical inflammation in the pathogenesis
of the chronic pelvic pain syndrome IIIB in men].
AB - This literature review of recent clinical and experimental studies describes the
role of oxidative stress in the multifactorial and interdisciplinary pathogenesis
of non-inflammatory chronic pelvic pain syndrome IIIB (CPPS-IIIB) in men. The
authors outline general biological nature of oxidative stress and its mechanisms.
More detailed information is presented on cytokine-mediated chronic subclinical
inflammation, one of the key mechanisms of oxidative stress, which is currently
being actively studied. It is shown that the imbalance between pro- and anti
inflammatory cytokines observed in patients with CPPS-IIIB can explain some
features of the clinical course (in particular, the characteristics of the pain
syndrome) and the progression of this disease. In this regard, cytokine profiling
of prostatic secretion can provide valuable diagnostic, prognostic and monitoring
information in the management of this category of patients. Recently published
evidence has demonstrated the essential role of the cytokine-mediated chronic
inflammatory response as a mechanism of oxidative stress in the pathogenesis of
CPPS-IIIB. Further studies in this area are warranted and in the long term may
become a basis for the development of new effective pathogenetic pharmacotherapy
of CPPS-IIIB.
PMID- 29376611
TI - [New approaches for recovery of erectile function in patients after radical
prostatectomy].
AB - Prostate cancer (PCa) is one of the most common maligmancies and causes of death
among men. Radical prostatectomy (RP) is optimal and recommended treatment
modality for localized prostate cancer. More than half of all men undergoing
surgery experience problems with erectile function and existing treatments do not
provide a positive effect. Thus, there is a need for new approaches to the
restoration of erectile function in patients after RP. One of these is the use of
cell technologies, namely the stromal-vascular fraction and autologous platelet
rich plasma. This review examines the results of preclinical and clinical studies
investigating the efficacy and safety of these treatment options in erectile
dysfunction.
PMID- 29376612
TI - [The place of mirabegron in clinical practice].
AB - Currently, a wide range of different drugs is available for te management of
overactive bladder. This creates problems when it comes to drug selection and
personalized care for each patient. Mirabegron is the only 3-adrenomimetic agent
for the treatment of urinary disorders, which, after careful long-term multi
center randomized trials, has been approved for use in Europe and North America.
Mirabegron has proven to be very effective in patients who had previously
received anticholinergic drugs and discontinued them because of the insufficient
therapeutic effect or pronounced adverse reactions. However, the question of
using Mirabegron as a first-line treatment for overactive bladder and the
existing limitations in its administration in clinical urology practice remains
open.
PMID- 29376613
TI - [Erectile dysfunction after nerve-sparing radical prostatectomy].
AB - Nerve-sparing radical prostatectomy remains the optimal curative treatment of
prostate cancer in patients who want to maintain erectile function. Since its
development, there has been a gradual decline in its effectiveness concerning the
prevention of ED, which was associated with the currently more objective
assessment of erectile function at both the pre- and post-operative stage. There
is a knowledge gap in the precise understanding of which specific neural
structures should be preserved with the nerve-sparing technique. At the same
time, there have been proposed effective methods for visualizing the elements of
the preserved vascular-neural bundle and estimating the degree of nerve-sparing.
PMID- 29376614
TI - [A look at the problem of surgical treatment of renal cel carcinoma in the aspect
of biomolecular diagnosis and assessment of renal function].
AB - The article reviews the domestic and international literature on the issues of
biomolecular diagnosis of acute renal injury in the perioperative period in
patients with renal cell carcinoma (RCC). Emerging opportunities for early
detection of tumors make even more relevant the use of minimally invasive
interventions. Of equal importance is the assessment of renal function in
patients with diagnosed RCC and the prediction of acute renal injury and
progression of chronic kidney disease in the postoperative period. The authors
performed a systematic search for preclinical and clinical studies to identify
the main trends and achievements in the field of biomolecular diagnosis of RCC
and renal injury allowing the individual approach to choosing surgical treatment,
improve the survival and quality of life of the patient and improve the
functional state of the renal parenchyma.
PMID- 29376615
TI - [Current approaches to conservative treatment of men with concomitant benign
prostatic hyperplasia and erectile dysfunction].
AB - The article reviews the results of various conservative treatments for
concomitant benign prostatic hyperplasia (BPH) and erectile dysfunction (ED).
Phosphodiesterase type 5 (PDE5) inhibitors remain the first-line therapy for this
category of patients taking into account their positive effect on both ED and
BPH. The preferred treatment scheme includes PDE-5 inhibitor co-administered with
1-adrenoblocker. However, other combination treatments are considered promising,
for example, a PDE-5 inhibitor with a 5-reductase inhibitor or a three-component
treatment regimen: 1-adrenoblocker + 5-reductase inhibitor + PDE-5 inhibitor. All
these approaches to treating patients with BPH and ED have demonstrated high
efficiency and safety. Nevertheless, a limited number of randomized clinical
trials and short-term observations suggest the need for further studies
investigating the long-term outcomes of different treatment options for such
patients.
PMID- 29376616
TI - [Laser therapy for mens infertility. Part 2. Systematic review of clinical
trials].
AB - Male infertility is a multifactorial syndrome associated with a wide range of
pathological conditions affecting both the sexual and other body systems:
endocrine, nervous, blood, and immune. Laser therapy is a form of modern
physiotherapy that applies low-intensity laser irradiation (LILI) in various
parts of the body. It is widely used in all areas of modern medicine due to its
high efficiency, ease of use, the absence of contraindications and side effects.
The authors reviewed the results of 171 studies investigating laser therapy, of
which 93 were experimental (20 in Russian and 73 international publications),
four clinical and experimental (all in Russian), 74 clinical (73 in Russian and 1
in English).Along with domestic literature, publications in Russian from Belarus,
Georgia, Kyrgyzstan, Uzbekistan, and Ukraine were also reviewed. The review
suggests that laser therapy should be used as much as possible in the management
of male infertility not just due to high effectiveness, but also because of the
lack of alternatives. For local application, optimal laser irradiation regimens
are pulsed LILI of red (635 nm) and infrared (904 nm) spectra. For intravenous
laser blood irradiation, the authors recommend alternating a continuous LILI with
a wavelength of 635 nm (red spectrum) and 365 nm (ultraviolet spectrum).
PMID- 29376617
TI - A collaborative approach to developing student placements in care homes.
AB - If high-quality, person-centred care is to be provided for older people with
complex needs, it is essential that nurse education should aim to extend
students' knowledge and skills in longterm care, and integrated health and social
care. Northumbria University, local care homes and NHS organisations have
collaborated to develop integrated, cross-sector practice placements that support
this learning for nursing students. While there have been challenges in
developing these placements, initial feedback is positive, suggesting the
placements promote improved interorganisational working and learning for staff as
well as students.
PMID- 29376618
TI - A relationship-centred approach to managing pain in dementia.
AB - The presence of pain in a person with dementia can often be missed. The diagnosis
of dementia can overshadow the pain-inducing symptoms of other co-morbid
conditions with distress behaviours being attributed to the behavioural and
psychological symptoms of dementia. Such distress behaviours of a person with
dementia often have a profound and alarming effect on family carers. This Admiral
Nurse case study explores the assessment and management of pain in a person with
dementia in partnership with the family carer.
PMID- 29376619
TI - The effect of a leadership support programme on care home managers.
AB - AIM: To explore the effect of the My Home Life 12-month leadership support
programme on care home managers' leadership skills and professional development,
and their relationships with staff, residents and relatives. METHOD: A
qualitative study of 15 care home managers was undertaken, using focus groups to
explore the study's aims and objectives. Data were analysed using Colaizzi's
phenomenological method. FINDINGS: The leadership support programme enabled
managers to develop their leadership skills, which had a positive effect for them
and for their relationships with staff, residents and relatives. Conclusion
Participation in the programme enabled managers to make real practice development
improvements with meaningful effect for residents.
PMID- 29376620
TI - Oral misoprostol versus intravaginal dinoprostone for labor induction: a
comparison study.
AB - BACKGROUND: Induction of labor (IOL) is one of the most common procedures
performed in obstetrics, accounting for about the 20% of deliveries in the
developed countries and it still represents a challenge to obstetricians. The aim
of this study is the comparison between two techniques for IOL: oral misoprostol
and Propess(r). METHODS: A retrospective study has been carried out in a single
tertiary referral center. Clinical maternal, fetal and neonatal information was
recorded. RESULTS: A total of 863 women were included. the vaginal delivery (VD)
rate was significantly higher in the misoprostol group. The cesarean section rate
was comparable between groups. Adverse events and neonatal outcomes were
comparable between groups. CONCLUSIONS: Misoprostol shows a higher VD rate with
fewer patients needing a second type of induction and a shorter time to the onset
of active labor and to VD.
PMID- 29376621
TI - Transvaginal native-tissue repair of vaginal vault prolapse.
AB - BACKGROUND: Posthysterectomy vaginal vault prolapse repair is a challenge for
pelvic floor surgeons. Native-tissue repair procedures imply lower costs and
reduced morbidity. Our study aims to evaluate operative data, complications,
objective, subjective and functional outcomes of transvaginal native-tissue
repair for posthysterectomy vaginal vault prolapse. We also investigated
differences among available techniques. METHODS: Retrospective study including
patients with symptomatic vaginal vault prolapse (>=stage 2), previously treated
with transvaginal vault suspension through native-tissue repair. Objective
recurrence was defined as the descent of at least one compartment >=II stage
according to Pelvic Organ Prolapse Quantification (POP-Q) system or need of
reoperation. Subjective recurrence was defined as the presence of bulging
symptoms. Patients satisfaction was evaluated with PGI-I Score. RESULTS: The
study included 111 patients. Apical suspension was achieved either by uterosacral
ligament suspension (16), levator myorrhaphy (17), iliococcygeus fascia fixation
(65) or sacrospinous ligament fixation (13). No intraoperative complications were
observed. Perioperative/postoperative complications occurred in 8 patients
(7.2%). Mean follow-up was 24.5+/-12.1 months. Objective recurrence was observed
in 28 patients (25.2%). Reintervention was required by 3 patients (2.7%).
Subjective recurrence was referred by 6 patients (5.4%). Mean satisfaction
evaluated with PGI-I Score was 1.2+/-0.6. No differences in terms of operative
data, overall complications, objective, subjective cure rate and perceived
satisfaction were found among different techniques. CONCLUSIONS: Transvaginal
repair with native-tissue procedures is safe and effective in correcting
posthysterectomy vaginal vault prolapse and represents a valid alternative to
prosthetic procedures for vaginal vault prolapse treatment.
PMID- 29376622
TI - [URISCAM project: Multicenter evaluation of the UF-Series cytometer in the
urinary tract infections screening].
AB - OBJECTIVE: Urine culture, the gold standard to confirm the presence of urinary
tract infection (UTI), is the most requested assay in the microbiology
department. Our objective was to determine the diagnostic yield of the UF-Series
cytometer as a screening method for UTI. METHODS: All the urine samples sent to
the six Microbiology Laboratories participating in a period of 5 working days
were analyzed. We collected demographic variables, apart from those variables
related to urine samples: source and sample type (midstream, catheterized or
nephrostomy urines), collection with/without boric acid, cytometer parameters
(leukocyturia, bacteriuria, bacteria morphology and epithelial cells) and urine
culture results. ROC curves were plotted to determine predictive capacity of the
cytometer. RESULTS: A sample of 2,468 patients with average age of 53 years were
processed (ratio women:men 2:1). Urine culture detected 23% of positive urine
samples. The predictor variables of UTI were: morphology of bacilli, bacteriuria
>=21 bacteria/uL, age >=65 years, samples collected in the emergency service and
hospitalization and preserving conditions. With 21 bacteria/uL as a cut-off
point, we obtained a sensitivity of 93.3% and 94.5% negative predictive value,
then reducing the samples to be cultured by 28.9% with 1.6% false negatives.
CONCLUSIONS: We consider that the UF-Series is a valid and accurate tool for the
detection of UTI. Therefore, it could be used as screening method in the clinical
practice prior to the urine culture, reducing culture requirement by
approximately 30%, with a low false negative rate.
PMID- 29376623
TI - Interferon-free treatments in patients with hepatitis C genotype 3 infection in a
tertiary hospital.
AB - OBJECTIVE: Hepatitis C virus genotype 3 represents a unique entity within HCV
treatment and multiple studies have documented that HCV genotype 3 infection is
associated with more rapid disease progression than other genotypes, resulting in
increased risk of cirrhosis, hepatocellular carcinoma, and all-cause mortality.
In the current study, we further evaluated the real-world effectiveness of 12
weeks of ledipasvir/sofosbuvir +/- ribavirin (LDV/SOF +/- RBV) and sofosbuvir +
daclatasvir (SOF + DCV) for treatment-naive or treatment-experienced patients
infected with HCV genotype 3, with or without cirrhosis. METHODS: Retrospective
and observational study carried out in a third level hospital. Study period:
April 2015 to January 2016. Inclusion criteria: Patients with HCV genotype-3
infection treated either with LDV/SOF +/- RBV or with SOF + DCV during study
period treated for 12 weeks. The patients that were treated during 24 weeks were
excluded and those treated with peg-interferon. The main endpoint measured was
the sustained virologic response (SVR) at 12 weeks (SVR12) and the secondary
endpoint was SVR at 24 weeks (SVR24). RESULTS: During the study period, 603
patients were treated in our hospital: 71 with genotype 3. We included 46
patients who were treated with LDV/SOF +/- RBV or SOF + DCV for 12 weeks. A
43.75% (7/16) of all patients treated with LDV/SOF achieved SVR12, 90% (9/10) of
the patients treated with LDV/SOF+RBV achieved SVR12 and 95% (19/20) of the
patients treated with SOF+DCV achieved SVR12. There was statistically significant
difference (p=0.001) between LDV/SOF respect to SOF+DCV and between LDV/SOF with
regard to LDV/SOF +RBV (p=0.018) used to treat HCV genotype 3 infection.
CONCLUSIONS: In conclusion, in our cohort of patients, the combination of SOF +
DCV followed by LDV/SOF + RBV 12 weeks were the most effective in patients with
HCV genotype 3 and with cirrhosis (SVR12 90% and 80%, respectively) and in those
without cirrhosis (SVR12 100% in both combinations). All patients who achieved
SVR12 also achieved SVR24, regardless of the regimen received.
PMID- 29376624
TI - Investigation of non-saphenous veins in C0S patients.
AB - BACKGROUND: C0S patients have symptoms of chronic venous disorders (CVDs), though
an anatomical or pathophysiological explanation is lacking. C0 and C1 classified
lower limbs can present with valve incompetence from the second to sixth
generation of tributaries of saphenous veins despite the absence of a detectable
saphenous truncal reflux. We hypothesized that C0S venous symptoms could stem
from the second to sixth generation of saphenous tributaries and small veins that
are not connected to the saphenous system. The aim was to explore these veins in
C0S subjects and compare them with asymptomatic C0A subjects. METHODS: This was
an open, controlled study enrolling 36 subjects, where C0S patients (N.=18) were
compared with asymptomatic C0A subjects (N.=18). The VAS (visual analog scale)
was used to assess leg pain. Veins were assessed using B-flow ultrasound (valve
anatomy), continuous-wave (CW) Doppler (flow patterns), biomicroscopy
(visualization of nutritional vessels), laser Doppler flowmetry (quantify supine
microvascular perfusion) and quantitative digital photo-plethysmography (PPG)
(measuring post-exercise venous refilling time [VRT]). RESULTS: There were no
significant differences between C0S and C0A subjects in terms of microvascular
perfusion (laser-Doppler), nutritional vessels (biomicroscopy) and VRT (PPG). B
flow ultrasound was unable to acquire sufficient data in second generation
saphenous tributaries. However, the CW Doppler identified two different flow
patterns: uni- and bidirectional. Bidirectional flow was significantly (P=0.05)
higher in C0S versus C0A patients. CONCLUSIONS: CW Doppler, using a flat high
sensitivity probe, revealed the presence of a bidirectional flow that was
significantly (P=0.05) higher in C0S than in control patients, suggesting the
presence of reflux in non axial veins. These data give a new perspective on the
management of C0S patients.
PMID- 29376625
TI - Surgical revision vs. stent-graft to treat venous anastomosis stenosis following
surgical thrombectomy of hemodialysis grafts.
AB - BACKGROUND: There is no unanimous strategy for treating stenosis of the venous
anastomosis (VA) of an occluded arteriovenous graft (AVG) following surgical
thrombectomy. In this study, we compared classical surgical treatment and
endovascular treatment procedures with the use of stent- graft in a single center
study. The aim was to evaluate whether, the VA stenosis of thrombosed AVG treated
endovascularly by stent-graft implantation, have as good results as surgical VA
treatment, so that it may be considered the method of choice. METHODS: The study
included patients who underwent surgical AVG thrombectomy with subsequent
angiographically confirmed VA stenosis between 1/2009 and 12/2014. Surgical
angioplasty was then performed in 15 patients and 17 patients underwent primary
stent-graft implantation. RESULTS: In the surgically treated patients, the
postintervention primary patency, primary assisted patency and secondary patency
after 12 months were 50.7%, 56.3%, 62.4%, respectively. In the group of patients
with occluded AVG who underwent stent-graft implantation, the postintervention
primary patency, primary assisted patency and secondary patency after 12 months
were 32.8%, 44.1% a 55.6%, respectively. No statistically significant difference
in primary patency (P=0.391), primary assisted patency (P=0.605), and secondary
patency (P=0.702) was observed between the groups. CONCLUSIONS: Stent-graft
implantation into stenotic VA of an occluded AVG showed to be effective and
maintained good long-term patency. It is the preferred method due to its minimal
invasiveness. The superiority of this method must be confirmed on a larger set of
patients.
PMID- 29376626
TI - Nanotechnological Strategies for Treatment of Leishmaniasis--A Review.
AB - The World Health Organization (WHO) estimates that more than one billion people
suffer from neglected tropical diseases. Leishmaniasis is a widespread disease,
affecting 12 million people around the world with about 1-2 million estimated new
cases occurring every year. Although pentavalent antimonial drugs are the most
frequently prescribed treatments for leishmaniasis, they produce severe side
effects, including cardiotoxicity and hepatotoxicity. Other compounds, such as
amphotericin B, pentamidine and miltefosine, are second choice drugs, but they
also produce side effects that can endanger the patient's life. Nowadays, there
are two approaches to develop new therapies: one is the search for new drugs and
the other is the optimization of actual drug formulation. Traditional drug
discovery takes 10 to 12 years in general and involves high costs; around one
billion dollars on average to develop a drug. A possibility to improve
leishmaniasis treatment would be the application of nanotechnology-drug delivery
systems which can enhance the therapeutic potency of existing drugs by optimizing
their adsorption, distribution, metabolism and excretion (ADME) and reducing
toxicity. In this review we will discuss examples how nanotechnology-drug
delivery systems have been used to improve the therapeutic aspects of existing
antileishmanial drugs.
PMID- 29376627
TI - Low Density Lipoprotein Peptide-Conjugated Gold Nanorods for Combating Gastric
Cancer.
AB - As a potential drug carrier, the toxicity of gold nanorods (AuNRs) has been
extensively studied to ensure their safety. Some of these studies reported that
AuNRs caused a series of toxic cell responses and inspired the hypothesis that
AuNRs may act as anti-cancer agents. In the present study, we synthesized AuNRs
(72* 17 nm) and low density lipoprotein (LDL) peptide-RLT modified AuNRs to test
this hypothesis. A tumor cell inhibition assay was conducted in five cell lines,
and RLT-AuNRs demonstrated the most efficient inhibition of SGC-7901 cells. RLT
AuNRs inhibited SGC-7901 cells and increased SGC-7901 cell apoptosis more
effectively than did AuNRs and DOX in vitro. Treatment with RLT-AuNRs reduced the
tumor volume, decreased the tumor weight, and enhanced the tumor inhibition
rates. RLT-AuNRs showed comparable anti-tumor efficacy with DOX but possessed
higher in vivo safety than did DOX. Nude mice treated with RLT-AuNRs showed good
health and gained weight during the ten-day anti-tumor therapy. Histological
results showed no tissue toxicity of RLT-AuNRs. Therefore, RLT-AuNRs may be a
viable anti-tumor agent for gastric cancer.
PMID- 29376628
TI - Silica Cross-Linked Micellar Core--Shell Nanoparticles Encapsulating IR-780 with
Strong Bright and Good Biocompatibility for Optical Imaging In Vivo.
AB - IR-780 iodide, a near-infrared (NIR) fluorescent heptamethine dye, has attracted
wide attention in the biomedical community. However, hydrophobicity and toxicity
severely limit its further biomedical application. Although many successful
efforts have been made to increase its solubility and biocompatibility, a strong
fluorescent signal and longer retention time are in high demand in biomedical
application in vivo as well as basic science research. In this work, we report
the development of novel silica cross-linked micellar core-shell nanoparticles
encapsulating IR-780 (NIR-Silica NPs) and their utility for biomedical imaging in
vivo. Compared to free IR-780, the solubility of NIR-Silica NPs was not only
greatly increased, but there was also a dramatic 5-7-fold enhancement of
fluorescence intensity. More importantly, the exceptionally small size (25 nm)
and colloidal stability of the NPs are also sufficient to realize the enhanced
permeability and retention effect. In vitro cell viability assays further
indicated that the NIR-Silica NPs are safer even at the highest concentration
tested of 1.0 mg/mL. Finally, sentinel lymph node mapping and long-term tumor
imaging in vivo demonstrated that the NIR-Silica NPs have a long circulation time
and higher signal-to-noise ratio at a very low dye concentration and short
exposure time (0.2 s). Therefore, the NIR-Silica NPs may be a promising
fluorescence imaging agent for clinical application.
PMID- 29376629
TI - Texture-Dependent Adhesion in Polydopamine Nanomembranes.
AB - The conformal nature of in situ polymerization of adhesive dopamine molecules
permits the strong underwater adhesion between polydopamine (PDA) nanomembranes
and the target substrates. However, the adhesive interaction between the
postdeposit PDA nanomembranes and other macrobodies is strongly influenced by the
texture of PDA nanomembranes. Here we report the texture-dependent adhesion of
PDA nanomembranes both in air and aqueous environments. Despite the nanometer
scale roughness of PDA nanomembranes, interfacial adhesion between PDA
nanomembranes and elastomeric bodies are the strong function of the root-mean
square roughness of PDA nanomembranes, root-mean-square gradient of PDA
nanomembranes, and the elasticity of the bulk materials. Reduced adhesion due to
increased texture is intensified in hydrated conditions, possibly hinting that
the conventional explanation of the negative effect of water to adhesion from a
molecular level needs to be revisited. These findings can inform the role of
adhesive interaction in conformal coatings and provide an explanation for the
differential adhesion observed in freestanding PDA nanomembranes.
PMID- 29376630
TI - Highly Sensitive and Quality Self-Testable Electrochemiluminescence Assay of DNA
Methyltransferase Activity Using Multifunctional Sandwich-Assembled Carbon
Nitride Nanosheets.
AB - DNA methylation catalyzed by methylase plays a key role in many biological
activities. However, developing a highly sensitive, simple, and reliable way for
evaluation of DNA methyltransferase (MTase) activity is still a challenge. Here,
we report a sandwich-assembled electrochemiluminescence (ECL) biosensor using
multifunctional carbon nitride nanosheets (CNNS) to evaluate the Dam MTase
activity. The CNNS could not only be used as an excellent substrate to conjugate
a large amount of hairpin probe DNA to improve the sensitivity but also be
utilized as an internal reliability checker and an analyte reporter in the bottom
and top layers of the biosensor, respectively. Such a unique sandwich
configuration of CNNS well coupled the advantages of ECL luminophor that were
generally assembled in the bottom or top layer in a conventional manner. As a
result, the biosensor exhibited an ultralow detection limit down to 0.043 U/mL
and a linear range between 0.05 and 80 U/mL, superior to the MTase activity assay
in most previous reports. We highlighted the great potential of emerging CNNS
luminophor in developing highly sensitive and smart quality self-testable ECL
sensing systems using a sandwiched configuration for early disease diagnosis,
treatment, and management.
PMID- 29376631
TI - One-Step Preparation of Highly Hydrophobic and Oleophilic Melamine Sponges via
Metal-Ion-Induced Wettability Transition.
AB - Hydrophobic and oleophilic absorbent materials have received wide attention in
recent years for potential applications in pollutant removal from accidental
spills of oil or organic chemicals. In this work, we report a metal-ion-induced
hydrophobic melamine sponge (MII-HMS) prepared by a one-step solution immersion
process. The commercial melamine sponge (intrinsically superhydrophilic with a
water contact angle of ~0 degrees ) is immersed in an aqueous solution of
transition metal ions (e.g., FeCl3, Fe(NO3)3, Zn(NO3)2, Ni(NO3)2, and Co(NO3)2)
for a short period, followed by drying. This simple process renders the
transition of the superhydrophilic melamine sponge to become highly hydrophobic
(a water contact angle of ~130 degrees ). Results from X-ray photoelectron
spectroscopy and infrared spectroscopy suggest that the unprecedented transition
is likely due to the formation of metal complexes during immersion. The MII-HMS
is also oleophilic, exhibiting excellent oil absorption capabilities, ~71-157
times of its weight, for a wide range of oils and organic solvents. Our work
offers a simple, scalable, and economical approach to fabricate highly efficient
absorbent materials for potential applications in oil spill recovery and
environmental remediation.
PMID- 29376632
TI - Electrochemical Investigation of Natural Ore Molybdenite (MoS2) as a First-Hand
Anode for Lithium Storages.
AB - Considering serious pollution from the traditional chemical synthesis process,
the resource-rich, clean, and first-hand electrode materials are greatly desired.
Natural ore molybdenite (MoS2), as the low-cost, high-yield, and environmental
friendly natural source, is investigated as a first-hand anode material for
lithium-ion batteries (LIBs). Compared with chemosynthetic pure MoS2, natural
molybdenite provides an ordered ion diffusion channel more effectively owing to
its excellent characteristics, containing well-crystalline, large lattice
distance, and trance dopants. Even at a large current density of 2.0 A g-1, a
natural molybdenite electrode employing a carboxymethyl cellulose binder displays
an initial charge capacity of 1199 mA h g-1 with a capacity retention of 72%
after 1000 cycles, much higher than those of the electrodes utilizing a
poly(vinylidene fluoride) binder. These types of binders play a crucial role in
stabilizing a microstructure demonstrated by ex situ scanning electron microscopy
and in affecting pseudocapacitive contributions quantitatively determined by a
series of kinetic exploration. Briefly, this work might open up a new avenue
toward the use of natural molybdenite as a first-hand LIB anode in scalable
applications and deepen our understanding on the fundamental effect of binders in
the metal-sulfide.
PMID- 29376633
TI - Organelle-Directed Staudinger Reaction Enabling Fluorescence-on Resolution of
Mitochondrial Electropotentials via a Self-Immolative Charge Reversal Probe.
AB - Organelles often feature parameters pertinent to functions and yet responsive to
biochemical stress. The electropotential across the mitochondrial membrane
(DeltaPsim) is a crucial mediator of cell fates. Herein we report a bioorthogonal
reaction enabled fluorescence-on probing of DeltaPsim alterations featuring
anionic fluorescein-triphenylphosphonium diad (F-TPP), which is released via
intramitochondria Staudinger reaction triggered self-immolation of o
azidomethylbenzoylated F-TPP. Compared to classical cationic mitochondria
specific dyes, F-TPP is hydrophilic and negatively charged. Effectively
discerning DeltaPsim changes upon diverse stress inducers, the organelle-directed
bioorthogonal imaging strategy offers unprecedented choices to probe
mitochondrial biology with functional molecules that are otherwise inaccessible
via physiological organelle-probe affinity.
PMID- 29376634
TI - Metal-Organic Framework-Derived Co3ZnC/Co Embedded in Nitrogen-Doped Carbon
Nanotube-Grafted Carbon Polyhedra as a High-Performance Electrocatalyst for Water
Splitting.
AB - The development of efficient, low-cost, and stable electrocatalysts for overall
water splitting is of great significance for energy conversion. Transition-metal
carbides (TMCs) with high catalytic activity and low cost have attracted great
interests. Nevertheless, utilizing an efficient catalyst for overall water
splitting is still a challenging issue for TMCs. Herein, we report the synthesis
of a high-performance electrocatalyst comprising Co3ZnC and Co nanoparticles
embedded in a nitrogen-doped carbon nanotube-grafted carbon polyhedral (Co3ZnC/Co
NCCP) by the pyrolysis of bimetallic zeolitic imidazolate frameworks in a
reductive atmosphere of Ar/H2. The Co3ZnC/Co-NCCP exhibits remarkable
electrochemical activity in catalyzing both the oxygen evolution reaction and
hydrogen evolution reaction, in terms of low overpotential and excellent
stability. Furthermore, the Co3ZnC/Co-NCCP catalyst leads to a highly performed
overall water splitting in the 1 M KOH electrolyte, delivering a current density
of 10 mA cm-2 at a low applied external potential of 1.65 V and shows good
stability without obvious deactivation after 10 h operation. The present strategy
opens a new avenue to the design of efficient electrocatalysts in electrochemical
applications.
PMID- 29376635
TI - Single Drop Whole Blood Diagnostics: Portable Biomedical Sensor for Cardiac
Troponin I Detection.
AB - Detection of disease biomarkers from whole blood is very important in disease
prevention and management. However, new generation assays like point-of-care or
mobile diagnostics face a myriad of challenges in detecting proteins from whole
blood. In this research, we have designed, fabricated, and characterized a
portable biomedical sensor for the detection of cardiac troponin I (cTnI)
directly from whole blood, without sample pretreatments. The sensing methodology
is based on an extended gate electrical double layer (EDL) gated field effect
transistor (FET) biosensor that can offer very high sensitivity, a wide dynamic
range, and high selectivity to target analyte. The sensing methodology is not
impeded by electrostatic screening and can be applied to all types of FET
sensors. A portable biomedical system is designed to carry out the diagnostic
assay in a very simple and rapid manner, that allows the user to screen for
target protein from a single drop of blood, in 5 min. This biomedical sensor can
be used in hospitals and homes alike, for early detection of cTnI which is a
clinical marker for acute myocardial infarction. This sensing methodology could
potentially revolutionize the modern health care industry.
PMID- 29376636
TI - In Situ Synthesis and Single Crystal Synchrotron X-ray Diffraction Study of ht
Sn3Sb2: An Example of How Complex Modulated Structures Are Becoming Generally
Accessible.
AB - Recent developments in X-ray sources and detectors and the parallel development
of software for nonstandard crystallography has made analysis of very complex
structural problems accessible to nonexperts. Here, we report the successful
solution of the structure of ht-Sn3Sb2, an analysis that presents several
challenges but that is still manageable in a relatively straightforward way. This
compound exists only in a narrow temperature regime and undergoes an unquenchable
phase transformation on cooling to room temperature; it contains two elements
with close to identical scattering factors, and the structure is incommensurately
modulated with four symmetry dependent modulation wave vectors. In this study, an
attempt was first made to synthesize the title compound by in-house crystal
growth in the stability region of ht-Sn3Sb2, followed by cooling to room
temperature. This is known to produce mutiply twinned stistaite and elemental
tin, and this sample, freshly prepared, was then reheated in situ at the single
crystal materials beamline Crystal at the synchrotron Soleil. This method was
unsuccessful as reheating the sample led to loss of Sn from stistaite as revealed
by a change in the measured modulation wave vector. The compound was instead
successfully synthesized in situ at the beamline by the topochemical reaction of
single crystalline stistaite and liquid tin. A well-formed crystal of stistaite
was enclosed in a quartz capillary together with a large excess of tin and heated
above the melting point of tin but below the melting point of ht-Sn3Sb2. The
structure was probed by sychrotron X-ray diffraction using a wavelength close to
the absorption edge of Sn to maximize elemental contrast. In the diffraction
patterns, first order satellites were observed, making the structure of ht-Sn3Sb2
incommensurately modulated. Further analysis exposes four q-vectors running along
the body diagonals of the cubic unit cell (q1' = alpha alpha alpha, q2' = -alpha
alpha -alpha, q3' = -alpha -alpha alpha, q4' = alpha -alpha -alpha). To
facilitate the analysis, the q vectors were instead treated as axial (q1 = alpha
0 0, q2 = 0 alpha 0, q3 = 0 0 alpha) and an F-type extinction condition for
satellites was introduced so that only reflections with hklmnp, mnp all odd or
all even, were considered. Further, the modulation functions F(qi) were set to
zero, and only modulation functions of the type F(qi') were refined. The final
model uses the four modulation functions, F(q1'), F(q2'), F(q3'), and F(q4'), to
model occupancy Sn/Sb and positional modulation. The model shows a structure that
comprises small NaCl type clusters, typically 7 * 7 * 7 atoms in extension,
interspersed between single layers of elemental tin. The terminating layers of
tin are slightly puckered, emulating an incipient deformation toward the
structure of the layers perpendicular to the [001] direction in elemental tin. It
is notable that this model is complementary to that of stistaite. In stistaite,
two-dimensionally infinite slabs of rock salt are interspersed between layers of
antimony along the trigonal [001] direction, so that the terminating Sb layers
are the puckered bilayers typical for elemental Sb. Since all modulation
functions are simple first-order harmonics, the structural model describes a
locally disordered and most probably dynamic ordering.
PMID- 29376637
TI - First-Principle Study of Li-Ion Storage of Functionalized Ti2C Monolayer with
Vacancies.
AB - Two-dimensional transition metal carbides are notable as promising anode
materials for Li-ion batteries (LIBs). Using first-principle calculations, we
investigate the effect of vacancies on the Li adsorption and diffusion on Ti2C
and Ti2CT2 (where T denotes surface terminations, F or OH) monolayers.
Interestingly, we find that the carbon vacancies (VC) tend to enhance the
adsorption of Li in Ti2C monolayer, whereas the titanium vacancies (VTi) play a
similar role in Ti2CT2 when functional groups present. The presence of vacancies
further leads to a change in the diffusion behavior of Li atoms. In this context,
we propose an idea to mitigate the adverse effects on Li diffusion performance by
regulating the functional groups. In the presence of VC, the surface of Ti2C
monolayer is suggested to be modified with OH- groups due to its relatively low
diffusion barrier in the range of 0.025-0.037 eV when Li diffuses around VC,
whereas in the presence of VTi, the surface is suggested to remove the functional
groups, resulting in a decrease of energy barrier by about 1 eV when Li atom
diffuses around VTi. The present study may provide a guideline to improve the Li
ion storage performance of Ti2C monolayers as electrode materials in LIBs, with
atomic vacancies being taken into consideration.
PMID- 29376638
TI - Environmental Impacts by Fragments Released from Nanoenabled Products: A
Multiassay, Multimaterial Exploration by the SUN Approach.
AB - Nanoenabled products (NEPs) have numerous outdoor uses in construction,
transportation or consumer scenarios, and there is evidence that their fragments
are released in the environment at low rates. We hypothesized that the lower
surface availability of NEPs fragment reduced their environmental effects with
respect to pristine nanomaterials. This hypothesis was explored by testing
fragments generated by intentional micronisation ("the SUN approach"; Nowack et
al. Meeting the Needs for Released Nanomaterials Required for Further Testing:
The SUN Approach. Environmental Science & Technology, 2016 (50), 2747). The NEPs
were composed of four matrices (epoxy, polyolefin, polyoxymethylene, and cement)
with up to 5% content of three nanomaterials (carbon nanotubes, iron oxide, and
organic pigment). Regardless of the type of nanomaterial or matrix used, it was
observed that nanomaterials were only partially exposed at the NEP fragment
surface, indicating that mostly the intrinsic and extrinsic properties of the
matrix drove the NEP fragment toxicity. Ecotoxicity in multiple assays was done
covering relevant media from terrestrial to aquatic, including sewage treatment
plant (biological activity), soil worms (Enchytraeus crypticus), and fish
(zebrafish embryo and larvae and trout cell lines). We designed the studies to
explore the possible modulation of ecotoxicity by nanomaterial additives in
plastics/polymer/cement, finding none. The results support NEPs grouping by the
matrix material regarding ecotoxicological effect during the use phase.
Furthermore, control results on nanomaterial-free polymer fragments representing
microplastic had no significant adverse effects up to the highest concentration
tested.
PMID- 29376639
TI - Unfound Associated Resonant Model and Its Impact on Response of a Quartz Crystal
Microbalance in the Liquid Phase.
AB - Quartz crystal microbalance (QCM) is an important tool to detect in real time the
mass change at the nanogram level. However, for a QCM operated in the liquid
phase, the Sauerbrey equation is usually disturbed by the changes in liquid
properties and the longitudinal wave effect. Herein, we report another unfound
associated high-frequency resonance (HFR) model for the QCM, with the intensity 2
orders of magnitude higher than that of the fundamental peak in the liquid phase.
The HFR model exhibits obvious impact on the response of QCM in the thickness
shear model (TSM), especially for overtones. The frequency of HFR peak is
decreased dramatically with increasing conductivity or permittivity of the liquid
phase, resulting in considerable additional frequency shifts in the TSM as
baseline drift. Compared to that with a faraway HFR peak, the overlapping of HFR
peak to a TSM overtone results in the frequency shifts of +/-50-70 kHz with its
intensity enhancement by 3 orders of magnitude in the later. The HFR behavior is
explained by an equivalent circuit model including leading wire inductance,
liquid inductance, and static capacitance of QCM. Taking into account the HFR
model, the positive frequency shifts of the QCM at high overtones during the cell
adhesion process is understandable. Combining the TSM and HFR is an effective way
to improve the stability of QCM and provides more reliable information from the
responses of QCM. The HFR may have potential application in chemical and
biological sensors.
PMID- 29376640
TI - Different Response Kinetics to Temperature and Water Vapor of Acrylamide Polymers
Obtained by Initiated Chemical Vapor Deposition.
AB - Thermoresponsive polymers undergo a reversible phase transition at their lower
critical solution temperature (LCST) from a hydrated hydrophilic state at
temperatures below the LCST to a collapsed hydrophobic state at higher
temperatures. This results in a strong response to temperature when in aqueous
environment. This study shows that hydrogel thin films synthesized by initiated
chemical vapor deposition show fast and strong response to temperature also in
water vapor environment. Thin films of cross-linked poly(N-isopropylacrylamide),
p(NIPAAm), were found to have a sharp change in thickness by 200% in water vapor
at temperatures above and below the LCST. Additionally, the stimuli-responsive
poly(N,N-diethylacrylamide) was investigated and compared to results found for
p(NIPAAm). Analysis of the swelling kinetics performed with in situ spectroscopic
ellipsometry with variable stage temperature shows differences for swelling and
deswelling processes, and a hysteresis in the thickness profile was found as a
function of temperature and of temperature change rate.
PMID- 29376641
TI - Targeted Delivery of a gamma-Glutamyl Transpeptidase Activatable Near-Infrared
Fluorescent Probe for Selective Cancer Imaging.
AB - The noninvasive and specific detection of cancer cells in living subjects has
been essential for the success of cancer diagnoses and treatments. Herein, we
report a strategy of combining an alphavbeta3-integrin-receptor-targetable
ligand, c-RGD, with the gamma-glutamyl transpeptidase (GGT)-recognizable
substrate, gamma-glutamate (gamma-Glu), to develop a tumor-targeting and GGT
activatable near-infrared (NIR)-fluorescent probe for the noninvasive imaging of
tumors in living mice. We demonstrated that the probe's fluorescence was off
initially, but when the gamma-Glu in the probe was specifically cleaved by GGT,
the fluorescent product was released and could be selectively taken up by U87MG
tumor cells via alphavbeta3-receptor-mediated endocytosis. Remarkably, enhanced
intracellular NIR fluorescence distributed mainly in the lysosomes was observed
in the tumor cells only, showing that the probe was capable of differentiating
the tumor cells from the GGT-positive, alphavbeta3-deficient normal cells.
Moreover, the probe also showed a high selectivity for the real-time and
noninvasive detection of GGT activity in xenograft U87MG tumors following iv
administration. This study reveals the advantage of using a combination of
receptor-mediated cell uptake and molecular-target-triggered activation to design
molecular probes for improved cancer imaging, which could facilitate effective
cancer diagnoses.
PMID- 29376642
TI - Bioimaging and Biosensing of Ferrous Ion in Neurons and HepG2 Cells upon
Oxidative Stress.
AB - Iron ions, as a main component of intracellular labile iron, not only play an
important function in oxygen transport, enzymatic reactions, and electron
transport but also are vitally important in oxidative stress. In this work, we
developed a ratiometric fluorescent biosensor for ferrous ion (Fe2+), in which
gold nanoclusters (AuNCs) were synthesized as a stable fluorescent probe and a
ligand (FeL) was designed for specific recognition of Fe2+ and conjugated onto
AuNCs (AuNC@FeL). Meanwhile, water-soluble sulfocyanine 7 N-hydroxysuccinimide
ester (Cy7 NHS ester) was immobilized onto AuNC@FeL as a reference element. The
developed ratiometric fluorescent nansosensor displayed good linearity with the
concentration of Fe2+ in the range of 1-105 MUM, and detection limit was achieved
down to 210 nM. In addition, this nanosensor responded to Fe2+ in less than 1.23
s and showed high selectivity against other metal ions, amino acids, and reactive
oxygen species. With the advantages of high selectivity and accuracy, as well as
quick response and long-term stability, this organic-inorganic ratiometric
fluorescent probe was successfully applied in real-time biosensing and bioimaging
of Fe2+ in neurons and HepG2 cells. By use of this tool, it was found that the
increasing concentration of Fe2+ in live cells was closely related to oxidative
stress.
PMID- 29376643
TI - Interface Engineering of High-Performance Perovskite Photodetectors Based on
PVP/SnO2 Electron Transport Layer.
AB - Hybrid organic-inorganic perovskites have attracted intensive interest as active
materials for high-performance photodetectors. However, studies on the electron
transport layer (ETL) and its influence on the response time of photodetectors
remain limited. Herein, we compare the performances of perovskite photodetectors
with TiO2 and SnO2 ETLs, especially on the response time. Both photodetectors
exhibit a high on/off current ratio of 105, a large detectivity around 1012
Jones, and a linear dynamic range over 80 dB. The SnO2-based perovskite
photodiodes show ultrahigh response rates of 3 and 6 MUs for the rise and decay
times, respectively. However, photodetectors with TiO2 ETLs have low responsivity
and long response time at low driving voltage, which is attributed to the
electron extraction barrier at the TiO2/perovskite interface and the charge traps
in the TiO2 layer. Furthermore, the dark current of SnO2-based perovskite
photodiodes is effectively suppressed by inserting a poly(vinylpyrrolidone)
interlayer, and then the on/off current ratio increases to 1.2 * 106,
corresponding to an improvement of 1 order of magnitude. Such low-cost, solution
processable perovskite photodetectors with high performance show promising
potential for future optoelectronic applications.
PMID- 29376644
TI - NIR Phosphorescent Intramolecularly Bridged Benzoporphyrins and Their Application
in Oxygen-Compensated Glucose Optode.
AB - A glucose optode measuring the internal oxygen gradient is presented. The
multilayer biosensor is composed of (i) analyte-impermeable transparent support,
(ii) first oxygen-sensing layer combined with an enzymatic layer, (iii) diffusion
barrier, and (iv) second oxygen-sensing layer. To make this design suitable for
measurement in subcutaneous tissue, a pair of NIR phosphorescent indicators with
very different spectral properties is chosen. Combination of a conventional
Pt(II) tetrabenzoporphyrin dye (absorption and emission maxima at 617 and 772 nm,
respectively) used in the first layer and a new intramolecularly bridged Pt(II)
complex (absorption and emission maxima at 673 and 872 nm, respectively) in the
second layer enables efficient separation of both emission signals. This
specially designed dye class is accessible via Scholl-reaction from
tetraphenyltetrabenzoporphyrin complexes. For the first time, the new optode
allows simultaneous glucose and oxygen measurement in a single spot and therefore
accurate compensation of oxygen heterogeneities resulting from fluctuations in
the tissue. The presented material covers the dynamic ranges from 0 to 150 hPa O2
and from 0 to 360 mg/dL (20 mM) glucose (at 37 degrees C).
PMID- 29376645
TI - Optimal Laser Wavelength for Femtosecond Ionization of Polycyclic Aromatic
Hydrocarbons and Their Nitrated Compounds in Mass Spectrometry.
AB - The ionization and fragmentation processes were examined for a standard sample
mixture containing 16 polycyclic aromatic hydrocarbons (PAHs) and 3 nitro-PAHs
(NPAHs) by gas chromatography combined with mass spectrometry (GC/MS) using a
femtosecond laser emitting at 400, 800, or 1200 nm as the ionization source. The
signal intensities of NPAHs were lower and the fragmentation more extensive
compared to those values for PAHs, especially at shorter wavelengths (400 nm).
These results can be explained by efficient intersystem crossing to triplet
levels and the shorter excited-state lifetimes of neutral NPAHs molecules,
compared to the pulse width of the laser. Fragmentation was significantly
suppressed by nonresonant multiphoton ionization when a laser emitting at longer
wavelengths (1200 nm) was used. This result can be explained by the absorption
spectrum of the molecular ion and the excess energy remaining in the ionized
state. In fact, there was no absorption band at 1200 nm for the molecular ion,
and the excess energy would be minimal when a near-infrared laser is used, which
suppresses the fragmentation even for NPAHs. A doubly charged molecular ion was
observed for PAHs but not for NPAHs, probably owing to the higher stability of
the PAH molecule, the electrons of which are more strongly bound and are more
resistive to field ionization. To demonstrate the utility of this technique, the
sample extracted from particulate matter 2.5 (PM2.5) emitted from a diesel engine
was measured. NPAHs as well as PAHs were clearly determined at 1200 nm, at which
the background signal arising from the interference was drastically suppressed.
PMID- 29376646
TI - Anthropogenic Emissions of Hydrogen Chloride and Fine Particulate Chloride in
China.
AB - Particulate chloride (Cl-) can be transformed to nitryl chloride (ClNO2) via
heterogeneous reaction with nitrogen pentoxide (N2O5) at night. Photolysis of
ClNO2 and subsequent reactions of chlorine radical with other gases can
significantly affect the atmospheric photochemistry. In China, the only available
integrated anthropogenic chloride emission inventory was compiled in the 1990s
with low spatial resolution, which hinders assessment of impact of ClNO2 on
current air quality. In this study, we developed an up-to-date and high
resolution anthropogenic inventory of hydrogen chloride (HCl) and fine
particulate Cl- emissions in China for 2014 with 0.1 degrees * 0.1 degrees
resolution. Detailed local data and county-level activity data were collected and
complied. The anthropogenic emissions of HCl and fine particulate Cl- in 2014
were estimated to be 458 and 486 Gg, respectively. Biomass burning was the
largest contributor, accounting for 75% of fine particulate Cl- emission and 32%
of HCl emission. Northeast China and North China Plain were the largest chloride
emitters. The monthly distribution varied in different regions, due to different
agricultural activities and climate conditions. This work updates the chloride
emission information and improves its spatial and temporal resolution, which
enables better quantification of the ClNO2 production and its impact over China.
PMID- 29376647
TI - Polyselenide Anchoring Using Transition-Metal Disulfides for Enhanced Lithium
Selenium Batteries.
AB - While selenium has recently been proposed as a lithium battery cathode as a
promising alternative to a lithium-sulfur battery, dissolution of intermediate
species should be resolved to improve its cycle stability. Here, we report the
promising results of transition-metal disulfides as an anchoring material and the
underlying origin for preventing active material loss from the electrode using
density functional theory calculations. Group 5 and 4 disulfides (VS2, NbS2,
TaS2, TiS2, ZrS2, and HfS2) in particular show anchoring capabilities superior to
those of group 6 disulfides (CrS2, MoS2, and WS2). The governing interaction
controlling the latter relative anchoring strengths is shown to be charge
transfer as understood by crystal-field theory. The current findings and
methodologies provide novel chemical insight for the further design of inorganic
anchoring materials for both lithium-selenium and lithium-sulfur batteries.
PMID- 29376648
TI - A Mechanistic Understanding of Hydrogen Peroxide Decomposition by Vanadium
Minerals for Diethyl Phthalate Degradation.
AB - The interaction of naturally occurring minerals with H2O2 affects the remediation
efficiency of polluted sites in in situ chemical oxidation (ISCO) treatments.
However, interactions between vanadium(V) minerals and H2O2 have rarely been
explored. In this study, H2O2 decomposition by various vanadium-containing
minerals including V(III), V(IV), and V(V) oxides was examined, and the mechanism
of hydroxyl radical (*OH) generation for contaminant degradation was studied.
Vanadium minerals were found to catalyze H2O2 decomposition efficiently to
produce *OH for diethyl phthalate (DEP) degradation in both aqueous solutions
with a wide pH range and in soil slurry. Electron paramagnetic resonance (EPR), X
ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) analyses, and free
radical quenching studies suggested that *OH was produced via single electron
transfer from V(III)/V(IV) to H2O2 followed a Fenton-like pathway on the surface
of V2O3 and VO2 particles, whereas the oxygen vacancy (OV) was mainly responsible
for *OH formation on the surface of V2O5 particles. This study provides new
insight into the mechanism of interactions between vanadium minerals and H2O2
during H2O2-based ISCO.
PMID- 29376649
TI - Determining Biodegradation Kinetics of Hydrocarbons at Low Concentrations:
Covering 5 and 9 Orders of Magnitude of Kow and Kaw.
AB - A partitioning-based experimental platform was developed and applied to determine
primary biodegradation kinetics of 53 hydrocarbons at ng/L to MUg/L
concentrations covering C8-C20, 11 structural classes, and several orders of
magnitude in hydrophobicity and volatility: (1) Passive dosing from a loaded
silicone donor was used to set the concentration of each hydrocarbon in mixture
stock solutions; (2) these solutions were combined with environmental water
samples in gastight auto sampler vials for 1-100 days incubation, and (3)
automated solid phase microextraction (SPME) coupled to GC-MS was applied
directly on these test systems for measuring primary biodegradation relative to
abiotic controls. First order biodegradation kinetics were obtained for 40
hydrocarbons in activated sludge filtrate, 18 in seawater, and 21 in lake water.
Water phase half-lives in seawater and lake water were poorly related to
hydrophobicity and volatility but were, with a few exceptions, within a factor of
10 or shorter than BioHCwin predictions. The most persistent hydrocarbons,
1,1,4,4,6-pentamethyldecalin, perhydropyrene, 1,2,3,6,7,8-hexahydropyrene, and
2,2,4,4,6,8,8-heptamethylnonane, showed limited or inconsistent degradation in
all three environmental media. This biodegradation approach can cover a large
chemical space at low substrate concentrations, which makes it highly suited for
optimizing predictive models for environmental biodegradation.
PMID- 29376650
TI - Facile Synthesis, Geometry, and 2'-Substituent-Dependent in Vivo Activity of 5'
(E)- and 5'-(Z)-Vinylphosphonate-Modified siRNA Conjugates.
AB - (E)-Vinylphosphonate ((E)-VP), a metabolically stable phosphate mimic at the 5'
end of the antisense strand, enhances the in vivo potency of siRNA. Here we
describe a straightforward synthetic approach to incorporate a nucleotide
carrying a vinylphosphonate (VP) moiety at the 5'-end of oligonucleotides under
standard solid-phase synthesis and deprotection conditions by utilizing
pivaloyloxymethyl (POM) protected VP-nucleoside phosphoramidites. The POM
protection enhances scope and scalability of 5'-VP-modified oligonucleotides and,
in a broader sense, the synthesis of oligonucleotides modified with phosphonate
moieties. Trivalent N-acetylgalactosamine-conjugated small interfering RNA
(GalNAc-siRNA) comprising (E)-geometrical isomer of VP showed improved RISC
loading with robust RNAi-mediated gene silencing in mice compared to the
corresponding (Z)-isomer despite similar tissue accumulation. We also obtained
structural insights into why bulkier 2'-ribosugar substitutions such as 2'-O-[2
(methylamino)-2-oxoethyl] are well tolerated only when combined with 5'-(E)-VP.
PMID- 29376651
TI - Increasing Structural Dimensionality of Alkali Metal Fluoridotitanates(IV).
AB - Reactions between AF (A = Li, Na, K, Rb, Cs) and TiF4 (with starting
n(AF):n(TiF4) molar ratios in the range from 3:1 to 1:3) in anhydrous hydrogen
fluoride yield [TiF6]2-, [TiF5]-, [Ti4F19]3-, [Ti2F9]-, and [Ti6F27]3- salts.
With the exception of the A2TiF6 compounds, which consist of A+ cations and
octahedral [TiF6]2- anions, all of these materials arise from the condensation of
TiF6 units. The anionic part in the crystal structures of A[TiF5] (A = K, Cs) and
A[TiF5].HF (A = Na, K, Rb) is composed of infinite ([TiF5]-)infinity chains built
of TiF6 octahedra sharing joint vertices. Each structure shows a slightly
different geometry of the ([TiF5]-)infinity chains. The crystal structure of
Na[Ti2F9].HF is constructed from polymeric ([Ti2F9]-)infinity anions that appear
as two parallel infinite zigzag chains comprising TiF6 units, where each TiF6
unit of one chain is connected to a TiF6 unit of the other chain through a shared
fluorine vertex. Slow decomposition of single crystals of K4[Ti8F36].8HF and
Rb4[Ti8F36].6HF ( Shlyapnikov , I. M. ; et al. Chem. Commun. 2013 , 49 , 2703 )
leads to the formation of [Ti2F9]- (Rb) and [Ti6F27]3- (K, Rb) salts. The former
displays the same ([Ti2F9]-)infinity double chain as in Na[Ti2F9].HF, while the
anionic part in the latter, ([Ti6F27]3-)infinity, represents the first example of
a three-dimensional network built of TiF6 octahedra. The ([Ti6F27]3-)infinity
anion was also found in [H3O]3[Ti6F27]. The crystal structure determination of
Cs3[Ti4F19] revealed a new type of polymeric fluoridotitanate(IV) anion,
([Ti4F19]3-)infinity. Similar to the ([Ti2F9]-)infinity anion, it is also built
of zigzag double chains comprising TiF6 units. However, in the former there are
fewer connections between TiF6 units of two neighboring chains than in the
latter.
PMID- 29376652
TI - Retraction of "Mutational Analysis of 48G7 Reveals that Somatic Hypermutation
Affects Both Antibody Stability and Binding Affinity".
PMID- 29376653
TI - Redox Chemistry of Bis(pyrrolyl)pyridine Chromium and Molybdenum Complexes: An
Experimental and Density Functional Theoretical Study.
AB - The three- and four-membered redox series [Cr(MePDP)2]z (z = 1-, 2-, 3-) and
[Mo(MePDP)2]z (z = 0, 1-, 2-, 3-) were synthesized to study the redox properties
of the pincer ligand MePDP2- (H2MePDP = 2,6-bis(5-methyl-3-phenyl-1H-pyrrol-2
yl)pyridine). The monoanionic complexes were characterized by X-ray
crystallography, UV/vis/NIR spectroscopy, and magnetic susceptibility
measurements. Experimental and density functional theory (DFT) studies are
consistent with closed-shell MePDP2- ligands and +III oxidation states (d3, S =
3/2) for the central metal ions. Cyclic voltammetry established multiple
reversible redox processes for [M(MePDP)2]1- (M = Cr, Mo), which were further
investigated via chemical oxidation and reduction. For molybdenum, one-electron
oxidation yielded Mo(MePDP)2 which was characterized by X-ray crystallography,
UV/vis/NIR, and magnetic susceptibility measurements. The experimental and
computational data indicate metal-centered oxidation to a MoIV complex (d2, S =
1) with two MePDP2- ligands. In contrast, one- and two-electron reductions were
found to be ligand centered resulting in the formation of MePDP*3- radicals, in
which the unpaired electron is predominantly located on the central pyridine ring
of the ligand. The presence of ligand radicals was established experimentally by
observation of ligand-to-ligand intervalence charge transfer (LLIVCT) bands in
the UV/vis/NIR spectra of the dianionic and trianionic complexes and further
supported by broken-symmetry DFT calculations. X-ray crystallographic analyses of
the one-electron-reduced species [M(MePDP)2]2- (S = 1, M = Cr, Mo) established
structural indicators for pincer reduction and showed localization of the radical
on one of the two pincer ligands. The two-electron-reduced, trianionic complexes
(S = 1/2) were characterized by UV/vis/NIR spectroscopy, magnetic susceptibility
measurements, and EPR spectroscopy. The electronic structures of the reduced
complexes are best described as containing +III metal ions (d3)
antiferromagnetically coupled to one and two radical ligands for the dianionic
and trianionic species, respectively.
PMID- 29376654
TI - Selective Electrocatalytic Reduction of Nitrite to Dinitrogen Based on Decoupled
Proton-Electron Transfer.
AB - The development of denitrification catalysts which can reduce nitrate and nitrite
to dinitrogen is critical for sustaining the nitrogen cycle. However, regulating
the selectivity has proven to be a challenge, due to the difficulty of
controlling complex multielectron/proton reactions. Here we report that utilizing
sequential proton-electron transfer (SPET) pathways is a viable strategy to
enhance the selectivity of electrochemical reactions. The selectivity of an oxo
molybdenum sulfide electrocatalyst toward nitrite reduction to dinitrogen
exhibited a volcano-type pH dependence with a maximum at pH 5. The pH-dependent
formation of the intermediate species (distorted Mo(V) oxo species) identified
using operando electron paramagnetic resonance (EPR) and Raman spectroscopy was
in accord with a mathematical prediction that the pKa of the reaction
intermediates determines the pH-dependence of the SPET-derived product. By
utilizing this acute pH dependence, we achieved a Faradaic efficiency of 13.5%
for nitrite reduction to dinitrogen, which is the highest value reported to date
under neutral conditions.
PMID- 29376655
TI - Penning Ionization of Acene Molecules by Helium Nanodroplets.
AB - Acene molecules (anthracene, tetracene, pentacene) and fullerene (C60) are
embedded in He nanodroplets (HeN) and probed by EUV synchrotron radiation. When
resonantly exciting the He nanodroplets, the embedded molecules M are efficiently
ionized by the Penning reaction HeN* + M -> HeN + M+ + e-. However, the Penning
electron spectra are all broad and structureless, largely differing from those
measured by binary Penning collisions, as well as from those measured for dopants
bound to the He droplet surface. Simulations based on elastic binary electron-He
collisions qualitatively reproduce the measured spectra only when assuming
unexpectedly large He droplets, indicating that electron spectra of molecules
embedded in helium nanodroplets are severely affected by collective electron
helium interactions.
PMID- 29376656
TI - Conformations of Protonated AlaDap and DapAla Characterized by IRMPD Spectroscopy
and Molecular Modeling.
AB - Oligopeptides containing 2,3-diaminopropionic acid (Dap) serve as a unique model
to study conformational effects on the ionizability of a side-chain group. In
this study, conformations of acetylated isomeric dipeptide ions containing
alanine (Ala) and Dap, AlaDapH+ and DapAlaH+, are studied by infrared multiple
photon dissociation (IRMPD) spectroscopy and computation. The IRMPD spectra are
characterized in detail by comparing them with theoretical IR spectra of a set of
low-energy conformations calculated at the omegaB97X-D/6-311+G(d) level of
theory. The averaged IR spectra according to the Boltzmann distribution of the
set of conformations have a good match to the IRMPD spectra. The characteristic
amide I band of AlaDapH+ appears to be downshifted compared to that of DapAlaH+.
The relative positions of the amide band suggest a stronger hydrogen-bonding
interaction between the charged side-chain amino group and the amide carbonyl
groups in AlaDapH+ than in DapAlaH+. The stronger hydrogen bonding in the former
is likely due to a better alignment of the N-H and O?C bonds, which enables an
effective sequestering of the positive charge at the amino group. The effect
results in a higher proton affinity of acetylated dipeptides with the Dap residue
at the C-terminus.
PMID- 29376657
TI - Acaulide, an Osteogenic Macrodiolide from Acaulium sp. H-JQSF, an Isopod
Associated Fungus.
AB - Acaulide (1), a macrodiolide with an unprecedented framework, was characterized
along with its shunt products-acaulones A (2) and B (3)-from the culture of
Acaulium sp. H-JQSF associated with the isopod Armadillidium vulgare. The spiro
linked 14-, 14-, and 6-membered cycles of 1 arise likely from iterative
intermolecular Michael addition reactions. Biological evaluation in the
prednisolone-induced osteoporotic zebrafish demonstrated that 1 is
antiosteoporotic at 0.4 and 2.0 MUM.
PMID- 29376658
TI - Tandem Rh-Catalyzed [4 + 2] Vinylic C-H O-Annulation of Exocyclic Enones with
Alkynes and 1,5-H Shift.
AB - Active pyrylium intermediates are in situ generated by a Rh-catalyzed vinylic C-H
annulation reaction between exocyclic alpha,beta-enones and alkynes, which
undergo a base-promoted rearrangement via 1,5-H shift to form 1H-benzo[f]chromene
derivatives.
PMID- 29376660
TI - Perceived Child Weight Status, Family Structure and Functioning, and Support for
Health Behaviors in a Sample of Bariatric Surgery Patients.
AB - INTRODUCTION: The purpose of this study is to describe the associations between
bariatric surgery patients' perspectives of their child's weight status, family
support for eating and exercise behavior change, and family structure and
functioning. METHOD: A cross-sectional descriptive design with pre- and
postsurgery (N = 224) patients was used. Demographics, perceptions of child
weight status, family support for eating habits and exercise, and family
functioning were assessed from patients at a University Bariatric Clinic.
RESULTS: Patients who perceived their child to be overweight/obese reported more
impaired family functioning, less family exercise participation, and more
discouragement for eating habit change in the family compared to patients who did
not perceive their child to be overweight/obese. Single parents more often
perceived their children to be overweight/obese, and had more impaired family
functioning, and less support for changing eating habits and family exercise
participation. Patients with impaired family functioning reported less support
for changing eating habits and family exercise participation. DISCUSSION:
Bariatric patients who perceived their child to be overweight/obese and
identified as single parents reported more impaired family functioning and less
support for eating habits and family participation in exercise. Assessing pre-
and postsurgery measures from parents and children will allow the further
identification of relationship variables that can be targeted to promote positive
family changes that benefit parents and children long-term. (PsycINFO Database
Record
PMID- 29376659
TI - Parsimonious Charge Deconvolution for Native Mass Spectrometry.
AB - Charge deconvolution infers the mass from mass over charge (m/z) measurements in
electrospray ionization mass spectra. When applied over a wide input m/z or broad
target mass range, charge-deconvolution algorithms can produce artifacts, such as
false masses at one-half or one-third of the correct mass. Indeed, a maximum
entropy term in the objective function of MaxEnt, the most commonly used charge
deconvolution algorithm, favors a deconvolved spectrum with many peaks over one
with fewer peaks. Here we describe a new "parsimonious" charge deconvolution
algorithm that produces fewer artifacts. The algorithm is especially well-suited
to high-resolution native mass spectrometry of intact glycoproteins and protein
complexes. Deconvolution of native mass spectra poses special challenges due to
salt and small molecule adducts, multimers, wide mass ranges, and fewer and lower
charge states. We demonstrate the performance of the new deconvolution algorithm
on a range of samples. On the heavily glycosylated plasma properdin glycoprotein,
the new algorithm could deconvolve monomer and dimer simultaneously and, when
focused on the m/z range of the monomer, gave accurate and interpretable masses
for glycoforms that had previously been analyzed manually using m/z peaks rather
than deconvolved masses. On therapeutic antibodies, the new algorithm facilitated
the analysis of extensions, truncations, and Fab glycosylation. The algorithm
facilitates the use of native mass spectrometry for the qualitative and
quantitative analysis of protein and protein assemblies.
PMID- 29376661
TI - Characterizing cognitive and visuomotor control in children with sensory
processing dysfunction and autism spectrum disorders.
AB - OBJECTIVE: Children with autism spectrum disorders (ASD) and sensory processing
dysfunction (SPD) are reported to show difficulties involving cognitive and
visuomotor control. We sought to determine whether performance on computerized,
behavioral measures of cognitive control aimed at assessing selective attention,
as well as visuomotor abilities differentiated children with ASD (n = 14), SPD (n
= 14) and typically developing controls (TDC; n = 28). METHOD: Cognitive control
differences were measured by assessing selective attention-based abilities both
with and without distracting stimuli, and visuomotor differences were measured by
characterizing visuomotor tracking and tracing skills. Performance in cognitive
control and visuomotor domains were investigated globally as composite scores,
and specifically within each task. RESULTS: Our results indicated that though the
ASD group showed the most impaired selective attention performance, the SPD group
had intermediate abilities-performing above the ASD group but below the TDC
group. Furthermore, both the SPD and ASD groups demonstrated equally impaired
visuomotor abilities relative to the TDC group. A correlational analysis between
cognitive and visuomotor control suggest a relationship between these overlapping
control networks. CONCLUSIONS: This study supports the importance of direct,
phenotypic characterizations of control-based abilities in children with ASD and
SPD to personalize characterization and treatment interventions for at-risk
children. (PsycINFO Database Record
PMID- 29376662
TI - The painful duality of envy: Evidence for an integrative theory and a meta
analysis on the relation of envy and schadenfreude.
AB - Despite envy's importance as a driver of social behavior, scholars disagree on
its conceptualization. We review the literature and distinguish three incongruent
theories: (a) Malicious Envy Theory (i.e., envy as uniform and malicious), (b)
Dual Envy Theory (i.e., envy as taking on 2 forms, benign and malicious), and (c)
Pain Theory of Envy (i.e., envy as uniform and driven by pain). Moreover, within
and across theories, operationalizations of envy have included various different
components. We integrate these conceptualizations using a data-driven approach,
deriving a comprehensive theory of envy in 5 studies (total N = 1,237)-the Pain
driven Dual Envy (PaDE) Theory. Exploratory and confirmatory factor analyses of
an exhaustive set of envy components (Studies 1-4) suggest that envy consists of
3 factors: Pain (i.e., preoccupation with the envy-eliciting situation,
inferiority), predicts both benign envy (i.e., desire for the envy object,
improvement motivation, emulation of the other), and malicious envy (i.e.,
communication about the other, directed aggression, nondirected aggression). An
experience-sampling study (Study 5) suggests that pain constitutes a quickly
fading reaction, whereas benign and malicious envy are enduring attitudinal
constructs. We apply this theory in a meta-analysis on the controversial relation
of envy and schadenfreude (N = 4,366), finding that envy and schadenfreude are
more strongly and positively correlated to the extent that the respective
research operationalizes envy as malicious, compared with as pain or benign envy.
We discuss how the PaDE Theory can illuminate research on envy in diverse
settings, and envy's relation to other distinct emotions. (PsycINFO Database
Record
PMID- 29376663
TI - Motivation moderates the effects of social support visibility.
AB - Social support can sometimes have negative consequences for recipients. One way
of circumventing these negative effects is to provide support in an 'invisible'
or indirect manner, such that recipients do not construe the behavior as a
supportive act. However, little is known about how recipients' motivational
states influence when visible (direct) support or invisible support is more
beneficial. Using the framework of Regulatory Mode Theory, we predicted that
recipients motivated to engage in critical evaluation (i.e., those with a
predominant assessment motivation) would find invisible support more beneficial
than visible support, whereas recipients motivated to initiate action (i.e.,
those with a predominant locomotion motivation) would find visible support more
beneficial than invisible support. Findings from one 2 * 2 experiment (Study 1),
two laboratory experiments (Studies 2-3), one dyadic study involving support
conversations between friends (Study 4), and a meta-analysis aggregating data
from all four studies supported these hypotheses. As predicted, support outcomes
were better for assessment predominant recipients following invisible support,
but were better for locomotion predominant recipients following visible support.
Results indicate that support attempts could be made more effective by
considering both support visibility and recipient motivation. (PsycINFO Database
Record
PMID- 29376664
TI - Personality Trait Development Across the Transition to Retirement.
AB - In this study, we examined trajectories of Big Five personality development in
the 5 years before and after retirement. Our sample was composed of 690 retirees
(ages 51-81) and a propensity-score matched comparison group of 532 nonretirees
drawn from a nationally representative longitudinal study of the Netherlands.
Participants contributed data across a maximum of 6 measurement waves over a
period of 7 years. In the month after retirement, participants experienced sudden
increases in openness and agreeableness followed by gradual declines in these
traits over the next 5 years. Emotional stability increased before and after
retirement. The transition to retirement was not associated with changes in
conscientiousness or extraversion. Further, we found significant individual
differences in development across the transition to retirement for each
personality trait but could not identify any moderators that accounted for these
individual differences. These results contribute to our understanding of
personality development in older adulthood as well as the temporal dynamics of
personality change in response to major life events. (PsycINFO Database Record
PMID- 29376665
TI - Utilization of primary care among college students with mental health disorders.
AB - OBJECTIVE: Our goal was to assess whether integration of behavioral and medical
services in college settings is associated with decreased use of primary care by
patients with mental health diagnoses (MHDs). METHOD: The cohort consisted of
80,219 patients with at least 1 MHD in 21 universities. Two levels of service
integration were defined: "standard"-universities with separate electronic health
records (EHR) systems and minimal clinical collaboration between services, and
"enhanced"-universities with shared EHR and collaborative patient care.
Frequency, the total number of primary care visits, and complexity, the total
visit length for primary care per unit time, were compared by using multilevel
models. RESULTS: Nine schools met the criteria of enhanced clinical integration;
a tenth school shifted to enhanced service during the study period. Student and
patient demographics and clinical diagnoses were similar between the 2
categories. When controlling for variance in age, sex, and total time in school,
patients with MHDs in standard systems had 15.72% (95% confidence interval [CI]:
10.77%-20.44%) more primary care visits and 22.88% (95% CI: 21.42%-24.38%) more
time than patients in enhanced systems. CONCLUSIONS: Students with MHDs have
significantly lower utilization of primary care services in integrated health
care systems, but only a minority of institutions nationally have adopted this
model of care. Although further research is needed to specifically assess
differences in health outcomes and perceived suffering, it is possible that
reduced primary care visits in enhanced integrative service settings with robust
mental health support indicates overall reduction in perceived suffering for
patients/clients. (PsycINFO Database Record
PMID- 29376666
TI - Celebrating the 125th anniversary of the American Psychological Association: A
quarter century of neuropsychology.
AB - OBJECTIVE: The American Psychological Association (APA) celebrated its 125th
anniversary in 2017. As part of this celebration, the APA journal Neuropsychology
has published in its November 2017 issue 11 papers describing some of the
advances in the field of neuropsychology over the past 25 years. METHOD: The
papers address three broad topics: assessment and intervention, brain imaging,
and theory and methods. RESULTS: The papers describe the rise of new assessment
and intervention technologies, the impact of evidence for neuroplasticity on
neurorehabilitation. Examples of the use of mathematical models of cognition to
investigate latent neurobehavioral processes, the development of the field of
neuropsychology in select international countries, the increasing sophistication
of brain imaging methods, the recent evidence for localizationist and
connectionist accounts of neurobehavioral functioning, the advances in
neurobehavioral genomics, and descriptions of newly developed statistical models
of longitudinal change. CONCLUSION: Together the papers convey evidence of the
vibrant growth in the field of neuropsychology over the quarter century since
APA's 100th anniversary in 1992. (PsycINFO Database Record
PMID- 29376669
TI - Is clinical virtual reality ready for primetime?
AB - OBJECTIVE: Since the mid-1990s, a significant scientific literature has evolved
regarding the outcomes from the use of what we now refer to as clinical virtual
reality (VR). This use of VR simulation technology has produced encouraging
results when applied to address cognitive, psychological, motor, and functional
impairments across a wide range of clinical health conditions. This article
addresses the question, "Is clinical VR ready for primetime?" METHOD: After a
brief description of the various forms of VR technology, we discuss the
trajectory of clinical VR over the last 20 years and summarize the basic assets
that VR offers for creating clinical applications. The discussion then addresses
the question of readiness in terms of the theoretical basis for clinical VR
assets, the research to date, the pragmatic factors regarding availability,
usability, and costs of clinical VR content/systems, and the ethical issues for
the safe use of VR with clinical populations. RESULTS: Our review of the
theoretical underpinnings and research findings to date leads to the prediction
that clinical VR will have a significant impact on future research and practice.
Pragmatic issues that can influence adoption across many areas of psychology also
appear favorable, but professional guidelines will be needed to promote its safe
and ethical use. CONCLUSIONS: Although there is still much research needed to
advance the science in this area, we strongly believe that clinical VR
applications will become indispensable tools in the toolbox of psychological
researchers and practitioners and will only grow in relevance and popularity in
the future. (PsycINFO Database Record
PMID- 29376667
TI - How technology is reshaping cognitive assessment: Lessons from the Framingham
Heart Study.
AB - OBJECTIVE: This article elucidates how the Boston process approach (BPA) can
amplify the role of neuropsychology in the study of preclinical and clinical
dementia, particularly Alzheimer's disease (AD), and how advancements in
technology expand BPA capacity objectively and exponentially. METHOD: The BPA is
based on a conceptualization of cognition as being comprised of multiple
processes, the nature of which could not possibly be captured by a single score
on a test or battery of tests. Identification of these processes is only possible
with careful observation of an individual during the entire testing process to
determine how, when, and why a person fails, which helps to reveal the integrity
of the cognitive processes underlying the behavior. RESULTS: BPA use within the
Framingham Heart Study is described, including how digital technology has been
incorporated to enhance the sensitivity of BPA to detect insidious onset changes
even earlier than had been previously possible. The digital technology movement
will dramatically alter the means by which cognitive function is assessed going
forward. CONCLUSIONS: Technological advances will catalyze groundbreaking
discoveries for effective treatments of neurodegenerative cognitive disorders,
such as AD, and inform novel strategies for dementia prevention and sustained
lifelong cognitive health. (PsycINFO Database Record
PMID- 29376668
TI - Quantitative modeling of category learning deficits in various patient
populations.
AB - OBJECTIVE: To provide a select review of our applications of quantitative
modeling to highlight the utility of such approaches to better understand the
neuropsychological deficits associated with various neurologic and psychiatric
diseases. METHOD: We review our work examining category learning in various
patient populations, including individuals with basal ganglia disorders
(Huntington's Disease and Parkinson's disease), amnesia and Eating Disorders.
RESULTS: Our review suggests that the use of quantitative models has enabled a
better understanding of the learning deficits often observed in these conditions
and has allowed us to form novel hypotheses about the neurobiological bases of
their deficits. CONCLUSIONS: We feel that the use of neurobiologically inspired
quantitative modeling holds great promise in neuropsychological assessment and
that future clinical measures should incorporate the use of such models as part
of their standard scoring. Appropriate studies need to be completed, however, to
determine whether such modeling techniques adhere to the rigorous psychometric
properties necessary for a valid and reliable application in a clinical setting.
(PsycINFO Database Record
PMID- 29376670
TI - International growth of neuropsychology.
AB - OBJECTIVE: Twenty-five years ago, the field of neuropsychology was well
established in North America, Europe, and Australia, with less presence
elsewhere. This article discusses the development of neuropsychology over the
last 25 years in other regions. METHOD: The growth of neuropsychology in Asia,
Africa, the Middle East, Central and South America, and New Zealand is reviewed.
Sources drawn on include a 2016 edition of The Clinical Neuropsychologist
describing the practice of neuropsychology in 18 countries; papers on the
profession of neuropsychology in Latin America (Arango-Lasprilla, Stevens,
Paredes, Ardila, & Rivera, 2016), the history of neuropsychology in Asia (Lee,
Wang, & Collinson, 2016), and neuropsychology in Central America (Judd, 2017);
INSNET; and personal communications. CONCLUSIONS: There has been tremendous
variability in the development of neuropsychology across these regions over the
last 25 years. Obstacles to the growth of neuropsychology have included economic
constraints on health care provision, limited availability of appropriate
assessment and treatment methods, linguistic diversity and illiteracy, stigma
toward and/or lack of awareness of neuropsychological disorders, lack of graduate
training and clinical supervision, absence of accreditation of neuropsychologists
as a clinical profession, poor pay, and diminished visibility of the field within
the regional culture. Despite these obstacles, neuropsychological research and
practice is establishing itself in these regions and has grown significantly over
the last quarter century. Major challenges remain in establishing awareness of
the significance of and developing culturally appropriate methods of assessing
and rehabilitating cognitive aspects of brain disorders, training programs,
recognition as a profession, and dedicated funding for neuropsychology positions.
(PsycINFO Database Record
PMID- 29376671
TI - Structural neuroimaging in neuropsychology: History and contemporary
applications.
AB - OBJECTIVE: Neuropsychology's origins began long before there were any in vivo
methods to image the brain. That changed with the advent of computed tomography
in the 1970s and magnetic resonance imaging in the early 1980s. Now computed
tomography and magnetic resonance imaging are routinely a part of
neuropsychological investigations with an increasing number of sophisticated
methods for image analysis. METHOD: This review examines the history of
neuroimaging utilization in neuropsychological investigations, highlighting the
basic methods that go into image quantification and the various metrics that can
be derived. Neuroimaging methods and limitations for identify what constitutes a
lesion are discussed. Likewise, the influence of various demographic and
developmental factors that influence quantification of brain structure are
reviewed. CONCLUSIONS: Neuroimaging is an integral part of 21st Century
neuropsychology. The importance of neuroimaging to advancing neuropsychology is
emphasized. (PsycINFO Database Record
PMID- 29376673
TI - Neuropsychology's social landscape: Common ground with social neuroscience.
AB - Looking back 25 years into neuropsychology's past coincides almost perfectly with
the birth of social neuroscience as a discipline. Social neuroscience aims to
identify the biological bases of social behavior through multilevel analyses of
neural, cognitive, and social processes. Neuropsychology, on the other hand,
aspires to understand brain-behavior relationships more generally. Given that
much of human behavior comprises social interactions, the goals, theories,
methods, and findings derived from social neuroscience are likely to have bearing
on the issues and interests of neuropsychologists. This review summarizes some of
the main developments that have emerged from social neuroscience and their
relevance to neuropsychology. Applications of social neuroscience principles are
presented in the context of brain insult, assessment, and intervention.
Recommendations are made for improving neuropsychological approaches to the
evaluation of social cognition and competence. In closing, a discussion of the
challenges and possible future directions for the 2 disciplines is offered.
(PsycINFO Database Record
PMID- 29376672
TI - Functional brain imaging in neuropsychology over the past 25 years.
AB - OBJECTIVE: Outline effects of functional neuroimaging on neuropsychology over the
past 25 years. METHOD: Functional neuroimaging methods and studies will be
described that provide a historical context, offer examples of the utility of
neuroimaging in specific domains, and discuss the limitations and future
directions of neuroimaging in neuropsychology. RESULTS: Tracking the history of
publications on functional neuroimaging related to neuropsychology indicates
early involvement of neuropsychologists in the development of these
methodologies. Initial progress in neuropsychological application of functional
neuroimaging has been hampered by costs and the exposure to ionizing radiation.
With rapid evolution of functional methods-in particular functional MRI (fMRI)
neuroimaging has profoundly transformed our knowledge of the brain. Its current
applications span the spectrum of normative development to clinical applications.
The field is moving toward applying sophisticated statistical approaches that
will help elucidate distinct neural activation networks associated with specific
behavioral domains. The impact of functional neuroimaging on clinical
neuropsychology is more circumscribed, but the prospects remain enticing.
CONCLUSIONS: The theoretical insights and empirical findings of functional
neuroimaging have been led by many neuropsychologists and have transformed the
field of behavioral neuroscience. Thus far they have had limited effects on the
clinical practices of neuropsychologists. Perhaps it is time to add training in
functional neuroimaging to the clinical neuropsychologist's toolkit and from
there to the clinic or bedside. (PsycINFO Database Record
PMID- 29376674
TI - Cognitive genomics: Searching for the genetic roots of neuropsychological
functioning.
AB - OBJECTIVE: Human cognition has long been known to be under substantial genetic
control. With the complete mapping of the human genome, genome-wide association
studies for many complex traits have proliferated; however, the highly polygenic
nature of intelligence has made the identification of the precise genes that
influence both global and specific cognitive abilities more difficult than
anticipated. METHOD: Here, we review the latest developments in the genomics of
cognition, including a discussion of methodological advances in the genetic
analysis of complex traits, and shared genetic contributions to cognitive
abilities and neuropsychiatric disorders. RESULTS: A wealth of twin and family
studies have provided compelling evidence for a strong heritable component of
both global and specific cognitive abilities, and for the existence of
"generalist genes" responsible for a large portion of the variance in diverse
cognitive abilities. Increasingly sophisticated analytic tools and ever-larger
sample sizes are now facilitating the identification of specific genetic and
molecular underpinnings of cognitive abilities, leading to optimism regarding
possibilities for novel treatments for illnesses related to cognitive function.
CONCLUSIONS: We conclude with a set of future directions for the field, which
will further accelerate discoveries regarding the biological pathways relevant to
cognitive abilities. These, in turn, may be further interrogated in order to link
biological mechanisms to behavior. (PsycINFO Database Record
PMID- 29376677
TI - Quantum-Limited Directional Amplifiers with Optomechanics.
AB - Directional amplifiers are an important resource in quantum-information
processing, as they protect sensitive quantum systems from excess noise. Here, we
propose an implementation of phase-preserving and phase-sensitive directional
amplifiers for microwave signals in an electromechanical setup comprising two
microwave cavities and two mechanical resonators. We show that both can reach
their respective quantum limits on added noise. In the reverse direction, they
emit thermal noise stemming from the mechanical resonators; we discuss how this
noise can be suppressed, a crucial aspect for technological applications. The
isolation bandwidth in both is of the order of the mechanical linewidth divided
by the amplitude gain. We derive the bandwidth and gain-bandwidth product for
both and find that the phase-sensitive amplifier has an unlimited gain-bandwidth
product. Our study represents an important step toward flexible, on-chip
integrated nonreciprocal amplifiers of microwave signals.
PMID- 29376678
TI - Electrostatically Tunable Adhesion in a High Speed Sliding Interface.
AB - Contact hysteresis between sliding interfaces is a widely observed phenomenon
from macro- to nanoscale sliding interfaces. Most such studies are done using an
atomic force microscope (AFM) where the sliding speed is a few MUm/s. Here, we
present a unique study on stiction between the head-disk interface of
commercially available hard disk drives, wherein the vertical clearance between
the head and the disk is of the same order as in various AFM-based fundamental
studies but with a sliding speed that is nearly 6 orders of magnitude higher. We
demonstrate that, although the electrostatic force (dc or ac voltage) is an
attractive force, the ac-voltage-induced out-of-plane oscillation of the head
with respect to the disk is able to completely suppress the contact hysteresis.
PMID- 29376679
TI - Quantum Transduction with Adaptive Control.
AB - Quantum transducers play a crucial role in hybrid quantum networks. A good
quantum transducer can faithfully convert quantum signals from one mode to
another with minimum decoherence. Most investigations of quantum transduction are
based on the protocol of direct mode conversion. However, the direct protocol
requires the matching condition, which in practice is not always feasible. Here
we propose an adaptive protocol for quantum transducers, which can convert
quantum signals without requiring the matching condition. The adaptive protocol
only consists of Gaussian operations, feasible in various physical platforms.
Moreover, we show that the adaptive protocol can be robust against imperfections
associated with finite squeezing, thermal noise, and homodyne detection, and it
can be implemented to realize quantum state transfer between microwave and
optical modes.
PMID- 29376680
TI - Exploring Partonic Structure of Hadrons Using ab initio Lattice QCD Calculations.
AB - Following our previous proposal, we construct a class of good "lattice cross
sections" (LCSs), from which we can study the partonic structure of hadrons from
ab initio lattice QCD calculations. These good LCSs, on the one hand, can be
calculated directly in lattice QCD, and on the other hand, can be factorized into
parton distribution functions (PDFs) with calculable coefficients, in the same
way as QCD factorization for factorizable hadronic cross sections. PDFs could be
extracted from QCD global analysis of the lattice QCD generated data of LCSs. We
also show that the proposed functions for lattice QCD calculation of PDFs in the
literature are special cases of these good LCSs.
PMID- 29376681
TI - Sign Switch of Gaussian Bending Modulus for Microemulsions: A Self-Consistent
Field Analysis Exploring Scale Invariant Curvature Energies.
AB - Bending rigidities of tensionless balanced liquid-liquid interfaces as occurring
in microemulsions are predicted using self-consistent field theory for
molecularly inhomogeneous systems. Considering geometries with scale invariant
curvature energies gives unambiguous bending rigidities for systems with fixed
chemical potentials: the minimal surface Im3m cubic phase is used to find the
Gaussian bending rigidity kappa[over -], and a torus with Willmore energy
W=2pi^{2} allows for direct evaluation of the mean bending modulus kappa.
Consistent with this, the spherical droplet gives access to 2kappa+kappa[over -].
We observe that kappa[over -] tends to be negative for strong segregation and
positive for weak segregation, a finding which is instrumental for understanding
phase transitions from a lamellar to a spongelike microemulsion. Invariably,
kappa remains positive and increases with increasing strength of segregation.
PMID- 29376676
TI - Spin Dependence of eta Meson Production in Proton-Proton Collisions Close to
Threshold.
AB - Taking advantage of the high acceptance and axial symmetry of the WASA-at-COSY
detector, and the high polarization degree of the proton beam of COSY, the
reaction p[over ->]p->ppeta has been measured close to threshold to explore the
analyzing power A_{y}. The angular distribution of A_{y} is determined with the
precision improved by more than 1 order of magnitude with respect to previous
results, allowing a first accurate comparison with theoretical predictions. The
determined analyzing power is consistent with zero for an excess energy of Q=15
MeV, signaling s-wave production with no evidence for higher partial waves. At
Q=72 MeV the data reveal strong interference of Ps and Pp partial waves and
cancellation of (Pp)^{2} and Ss^{*}Sd contributions. These results rule out the
presently available theoretical predictions for the production mechanism of the
eta meson.
PMID- 29376682
TI - Stable Liquid Jets Bouncing off Soft Gels.
AB - A liquid jet can stably bounce off a sufficiently soft gel by following the
contour of the dimple created upon impact. This new phenomenon is insensitive to
the wetting properties of the gels and was observed for different liquids over a
wide range of surface tensions, gamma=24-72 mN/m. In contrast, other jet rebound
phenomena are typically sensitive to gamma: only a high gamma jet rebounds off a
hard solid (e.g. superhydrophobic surface) and only a low gamma jet bounces off a
liquid bath. This is because an air layer must be stabilized between the two
interfaces. For a soft gel, no air layer is necessary and the jet rebound remains
stable even when there is direct liquid-gel contact.
PMID- 29376683
TI - Exploring Zeptosecond Quantum Equilibration Dynamics: From Deep-Inelastic to
Fusion-Fission Outcomes in ^{58}Ni+^{60}Ni Reactions.
AB - Energy dissipative processes play a key role in how quantum many-body systems
dynamically evolve toward equilibrium. In closed quantum systems, such processes
are attributed to the transfer of energy from collective motion to single
particle degrees of freedom; however, the quantum many-body dynamics of this
evolutionary process is poorly understood. To explore energy dissipative
phenomena and equilibration dynamics in one such system, an experimental
investigation of deep-inelastic and fusion-fission outcomes in the
^{58}Ni+^{60}Ni reaction has been carried out. Experimental outcomes have been
compared to theoretical predictions using time dependent Hartree-Fock and time
dependent random phase approximation approaches, which, respectively, incorporate
one-body energy dissipation and fluctuations. Excellent quantitative agreement
has been found between experiment and calculations, indicating that microscopic
models incorporating one-body dissipation and fluctuations provide a potential
tool for exploring dissipation in low-energy heavy ion collisions.
PMID- 29376684
TI - Incoherent Qubit Control Using the Quantum Zeno Effect.
AB - The quantum Zeno effect is the suppression of Hamiltonian evolution by repeated
observation, which pins the system to an eigenstate of the measurement
observable. Using measurement alone, control of the state can be achieved if the
observable is slowly varied, so that the state tracks the now time-dependent
eigenstate. We demonstrate this using a circuit-QED readout technique that
couples to a dynamically controllable observable of a qubit. Continuous
monitoring of the measurement record allows us to detect an escape from the
eigenstate, thus serving as a built-in form of error detection. We show this by
postselecting on realizations with high fidelity with respect to the target
state. Our dynamical measurement operator technique offers a new tool for
numerous forms of quantum feedback protocols, including adaptive measurements and
rapid state purification.
PMID- 29376685
TI - Erratum: Measurement of Body-Centered-Cubic Aluminum at 475 GPa [Phys. Rev. Lett.
119, 175702 (2017)].
AB - This corrects the article DOI: 10.1103/PhysRevLett.119.175702.
PMID- 29376686
TI - Connecting Dissipation and Phase Slips in a Josephson Junction between Fermionic
Superfluids.
AB - We study the emergence of dissipation in an atomic Josephson junction between
weakly coupled superfluid Fermi gases. We find that vortex-induced phase slippage
is the dominant microscopic source of dissipation across the Bose-Einstein
condensate-Bardeen-Cooper-Schrieffer crossover. We explore different dynamical
regimes by tuning the bias chemical potential between the two superfluid
reservoirs. For small excitations, we observe dissipation and phase coherence to
coexist, with a resistive current followed by well-defined Josephson
oscillations. We link the junction transport properties to the phase-slippage
mechanism, finding that vortex nucleation is primarily responsible for the
observed trends of conductance and critical current. For large excitations, we
observe the irreversible loss of coherence between the two superfluids, and
transport cannot be described only within an uncorrelated phase-slip picture. Our
findings open new directions for investigating the interplay between dissipative
and superfluid transport in strongly correlated Fermi systems, and general
concepts in out-of-equilibrium quantum systems.
PMID- 29376687
TI - Quantum States with a Positive Partial Transpose are Useful for Metrology.
AB - We show that multipartite quantum states that have a positive partial transpose
with respect to all bipartitions of the particles can outperform separable states
in linear interferometers. We introduce a powerful iterative method to find such
states. We present some examples for multipartite states and examine the scaling
of the precision with the particle number. Some bipartite examples are also shown
that possess an entanglement very robust to noise. We also discuss the relation
of metrological usefulness to Bell inequality violation. We find that quantum
states that do not violate any Bell inequality can outperform separable states
metrologically. We present such states with a positive partial transpose, as well
as with a nonpositive partial transpose.
PMID- 29376688
TI - Chaotic Properties of a Turbulent Isotropic Fluid.
AB - By tracking the divergence of two initially close trajectories in phase space in
an Eulerian approach to forced turbulence, the relation between the maximal
Lyapunov exponent lambda and the Reynolds number Re is measured using direct
numerical simulations, performed on up to 2048^{3} collocation points. The
Lyapunov exponent is found to solely depend on the Reynolds number with
lambda?Re^{0.53} and that after a transient period the divergence of trajectories
grows at the same rate at all scales. Finally a linear divergence is seen that is
dependent on the energy forcing rate. Links are made with other chaotic systems.
PMID- 29376689
TI - Direct Measurement of the Surface Energy of Bimetallic Nanoparticles: Evidence of
Vegard's Rulelike Dependence.
AB - We use in situ transmission electron microscopy to monitor in real time the
evaporation of gold, copper, and bimetallic copper-gold nanoparticles at high
temperature. Besides, we extend the Kelvin equation to two-component systems to
predict the evaporation rates of spherical liquid mono- and bimetallic
nanoparticles. By linking this macroscopic model to experimental TEM data, we
determine the surface energies of pure gold, pure copper, Cu_{50}Au_{50}, and
Cu_{25}Au_{75} nanoparticles in the liquid state. Our model suggests that the
surface energy varies linearly with the composition in the liquid Cu-Au
nanoalloy; i.e., it follows a Vegard's rulelike dependence. To get atomic-scale
insights into the thermodynamic properties of Cu-Au alloys on the whole
composition range, we perform Monte Carlo simulations employing N-body
interatomic potentials. These simulations at a microscopic level confirm the
Vegard's rulelike behavior of the surface energy obtained from experiments
combined with macroscopic modeling.
PMID- 29376690
TI - On-the-Fly Machine Learning of Atomic Potential in Density Functional Theory
Structure Optimization.
AB - Machine learning (ML) is used to derive local stability information for density
functional theory calculations of systems in relation to the recently discovered
SnO_{2}(110)-(4*1) reconstruction. The ML model is trained on (structure, total
energy) relations collected during global minimum energy search runs with an
evolutionary algorithm (EA). While being built, the ML model is used to guide the
EA, thereby speeding up the overall rate by which the EA succeeds. Inspection of
the local atomic potentials emerging from the model further shows chemically
intuitive patterns.
PMID- 29376691
TI - Velocity Gradient Power Functional for Brownian Dynamics.
AB - We present an explicit and simple approximation for the superadiabatic excess
(over ideal gas) free power functional, admitting the study of the nonequilibrium
dynamics of overdamped Brownian many-body systems. The functional depends on the
local velocity gradient and is systematically obtained from treating the
microscopic stress distribution as a conjugate field. The resulting
superadiabatic forces are beyond dynamical density functional theory and are of a
viscous nature. Their high accuracy is demonstrated by comparison to simulation
results.
PMID- 29376675
TI - Nuclear Dependence of the Transverse-Single-Spin Asymmetry for Forward Neutron
Production in Polarized p+A Collisions at sqrt[s_{NN}]=200 GeV.
AB - During 2015, the Relativistic Heavy Ion Collider (RHIC) provided collisions of
transversely polarized protons with Au and Al nuclei for the first time, enabling
the exploration of transverse-single-spin asymmetries with heavy nuclei. Large
single-spin asymmetries in very forward neutron production have been previously
observed in transversely polarized p+p collisions at RHIC, and the existing
theoretical framework that was successful in describing the single-spin asymmetry
in p+p collisions predicts only a moderate atomic-mass-number (A) dependence. In
contrast, the asymmetries observed at RHIC in p+A collisions showed a
surprisingly strong A dependence in inclusive forward neutron production. The
observed asymmetry in p+Al collisions is much smaller, while the asymmetry in
p+Au collisions is a factor of 3 larger in absolute value and of opposite sign.
The interplay of different neutron production mechanisms is discussed as a
possible explanation of the observed A dependence.
PMID- 29376692
TI - Spectrum Estimation of Density Operators with Alkaline-Earth Atoms.
AB - We show that Ramsey spectroscopy of fermionic alkaline-earth atoms in a square
well trap provides an efficient and accurate estimate for the eigenspectrum of a
density matrix whose n copies are stored in the nuclear spins of n such atoms.
This spectrum estimation is enabled by the high symmetry of the interaction
Hamiltonian, dictated, in turn, by the decoupling of the nuclear spin from the
electrons and by the shape of the square-well trap. Practical performance of this
procedure and its potential applications to quantum computing and time keeping
with alkaline-earth atoms are discussed.
PMID- 29376693
TI - Ultracold Heteronuclear Three-Body Systems: How Diabaticity Limits the
Universality of Recombination into Shallow Dimers.
AB - The mass-imbalanced three-body recombination process that forms a shallow dimer
is shown to possess a rich Efimov-Stuckelberg landscape, with corresponding
spectra that differ fundamentally from the homonuclear case. A semianalytical
treatment of the three-body recombination predicts unusual spectra with
intertwined resonance peaks and minima and yields in-depth insight into the
behavior of the corresponding Efimov spectra. In particular, the patterns of the
Efimov-Stuckelberg landscape are shown to depend inherently on the degree of
diabaticity of the three-body collisions, which strongly affects the universality
of the heteronuclear Efimov states.
PMID- 29376694
TI - Mean-Potential Law in Evolutionary Games.
AB - The Letter presents a novel way to connect random walks, stochastic differential
equations, and evolutionary game theory. We introduce a new concept of a
potential function for discrete-space stochastic systems. It is based on a
correspondence between one-dimensional stochastic differential equations and
random walks, which may be exact not only in the continuous limit but also in
finite-state spaces. Our method is useful for computation of fixation
probabilities in discrete stochastic dynamical systems with two absorbing states.
We apply it to evolutionary games, formulating two simple and intuitive criteria
for evolutionary stability of pure Nash equilibria in finite populations. In
particular, we show that the 1/3 law of evolutionary games, introduced by Nowak
et al. [Nature, 2004], follows from a more general mean-potential law.
PMID- 29376695
TI - Defect in the Joint Spectrum of Hydrogen due to Monodromy.
AB - In addition to the well-known case of spherical coordinates, the Schrodinger
equation of the hydrogen atom separates in three further coordinate systems.
Separating in a particular coordinate system defines a system of three commuting
operators. We show that the joint spectrum of the Hamilton operator, the z
component of the angular momentum, and an operator involving the z component of
the quantum Laplace-Runge-Lenz vector obtained from separation in prolate
spheroidal coordinates has quantum monodromy for energies sufficiently close to
the ionization threshold. The precise value of the energy above which monodromy
is observed depends on the distance of the focus points of the spheroidal
coordinates. The presence of monodromy means that one cannot globally assign
quantum numbers to the joint spectrum. Whereas the principal quantum number n and
the magnetic quantum number m correspond to the Bohr-Sommerfeld quantization of
globally defined classical actions a third quantum number cannot be globally
defined because the third action is globally multivalued.
PMID- 29376696
TI - Light-Cone and Diffusive Propagation of Correlations in a Many-Body Dissipative
System.
AB - We analyze the propagation of correlations after a sudden interaction change in a
strongly interacting quantum system in contact with an environment. In
particular, we consider an interaction quench in the Bose-Hubbard model, deep
within the Mott-insulating phase, under the effect of dephasing. We observe that
dissipation effectively speeds up the propagation of single-particle correlations
while reducing their coherence. In contrast, for two-point density correlations,
the initial ballistic propagation regime gives way to diffusion at intermediate
times. Numerical simulations, based on a time-dependent matrix product state
algorithm, are supplemented by a quantitatively accurate fermionic quasiparticle
approach providing an intuitive description of the initial dynamics in terms of
holon and doublon excitations.
PMID- 29376697
TI - Raman Amplification with a Flying Focus.
AB - We propose a new laser amplifier scheme utilizing stimulated Raman scattering in
plasma in conjunction with a "flying focus"-a chromatic focusing system combined
with a chirped pump beam that provides spatiotemporal control over the pump's
focal spot. Pump intensity isosurfaces are made to propagate at v=-c so as to be
in sync with the injected counterpropagating seed pulse. By setting the pump
intensity in the interaction region to be just above the ionization threshold of
the background gas, an ionization wave is produced that travels at a fixed
distance ahead of the seed. Simulations show that this will make it possible to
optimize the plasma temperature and mitigate many of the issues that are known to
have impacted previous Raman amplification experiments, in particular, the growth
of precursors.
PMID- 29376698
TI - Measurement of Preheat Due to Nonlocal Electron Transport in Warm Dense Matter.
AB - This Letter presents a novel approach to study electron transport in warm dense
matter. It also includes the first x-ray Thomson scattering (XRTS) measurement
from low-density CH foams compressed by a strong laser-driven shock at the OMEGA
laser facility. The XRTS measurement is combined with velocity interferometry
(VISAR) and optical pyrometry (SOP) providing a robust measurement of
thermodynamic conditions in the shock. Evidence of significant preheat
contributing to elevated temperatures reaching 17.5-35 eV in shocked CH foam is
measured by XRTS. These measurements are complemented by abnormally high shock
velocities observed by VISAR and early emission seen by SOP. These results are
compared to radiation hydrodynamics simulations that include first-principles
treatment of nonlocal electron transport in warm dense matter with excellent
agreement. Additional simulations confirm that the x-ray contribution to this
preheat is negligible.
PMID- 29376699
TI - Longitudinal Negative Magnetoresistance and Magnetotransport Phenomena in
Conventional and Topological Conductors.
AB - Recently, a large negative longitudinal (parallel to the magnetic field)
magnetoresistance was observed in Weyl and Dirac semimetals. It is believed to be
related to the chiral anomaly associated with topological electron band structure
of these materials. We show that in a certain range of parameters such a
phenomenon can also exist in conventional centrosymmetric and time-reversal
invariant conductors, lacking topological protection of the electron spectrum and
the chiral anomaly. We also discuss the magnetic field enhancement of the
longitudinal components of the thermal conductivity and thermoelectric tensors.
PMID- 29376700
TI - Topological Nodal-Net Semimetal in a Graphene Network Structure.
AB - Topological semimetals are characterized by the nodal points in their electronic
structure near the Fermi level, either discrete or forming a continuous line or
ring, which are responsible for exotic properties related to the topology of bulk
bands. Here we identify by ab initio calculations a distinct topological
semimetal that exhibits nodal nets comprising multiple interconnected nodal lines
in bulk and have two coupled drumheadlike flat bands around the Fermi level on
its surface. This nodal net semimetal state is proposed to be realized in a
graphene network structure that can be constructed by inserting a benzene ring
into each C?C bond in the bct-C_{4} lattice or by a crystalline modification of
the (5,5) carbon nanotube. These results expand the realm of nodal manifolds in
topological semimetals, offering a new platform for exploring novel physics in
these fascinating materials.
PMID- 29376701
TI - Analysis of the Daya Bay Reactor Antineutrino Flux Changes with Fuel Burnup.
AB - We investigate the recent Daya Bay results on the changes in the antineutrino
flux and spectrum with the burnup of the reactor fuel. We find that the
discrepancy between current model predictions and the Daya Bay results can be
traced to the original measured ^{235}U/^{239}Pu ratio of the fission beta
spectra that were used as a base for the expected antineutrino fluxes. An
analysis of the antineutrino spectra that is based on a summation over all
fission fragment beta decays, using nuclear database input, explains all of the
features seen in the Daya Bay evolution data. However, this summation method
still allows for an anomaly. We conclude that there is currently not enough
information to use the antineutrino flux changes to rule out the possible
existence of sterile neutrinos.
PMID- 29376702
TI - Surfactant Variations in Porous Media Localize Capillary Instabilities during
Haines Jumps.
AB - We use confocal microscopy to measure velocity and interfacial tension between a
trapped wetting phase with a surfactant and a flowing, invading nonwetting phase
in a porous medium. We relate interfacial tension variations at the fluid-fluid
interface to surfactant concentration and show that these variations localize the
destabilization of capillary forces and lead to rapid local invasion of the
nonwetting fluid, resulting in a Haines jump. These spatial variations in
surfactant concentration are caused by velocity variations at the fluid-fluid
interfaces and lead to localization of the Haines jumps even in otherwise very
uniform pore structure and pressure conditions. Our results provide new insight
into the nature of Haines jumps, one of the most ubiquitous and important
instabilities in flow in porous media.
PMID- 29376704
TI - Thermal Dark Matter Below a MeV.
AB - We consider a class of models in which thermal dark matter is lighter than a MeV.
If dark matter thermalizes with the standard model below the temperature of
neutrino-photon decoupling, equilibration and freeze-out cool and heat the
standard model bath comparably, alleviating constraints from measurements of the
effective number of neutrino species. We demonstrate this mechanism in a model
consisting of fermionic dark matter coupled to a light scalar mediator. Thermal
dark matter can be as light as a few keV, while remaining compatible with
existing cosmological and astrophysical observations. This framework motivates
new experiments in the direct search for sub-MeV thermal dark matter and light
force carriers.
PMID- 29376705
TI - Towards Device-Independent Information Processing on General Quantum Networks.
AB - The violation of certain Bell inequalities allows for device-independent
information processing secure against nonsignaling eavesdroppers. However, this
only holds for the Bell network, in which two or more agents perform local
measurements on a single shared source of entanglement. To overcome the practical
constraints that entangled systems can only be transmitted over relatively short
distances, large-scale multisource networks have been employed. Do there exist
analogs of Bell inequalities for such networks, whose violation is a resource for
device independence? In this Letter, the violation of recently derived polynomial
Bell inequalities will be shown to allow for device independence on multisource
networks, secure against nonsignaling eavesdroppers.
PMID- 29376703
TI - Soft X-Ray Second Harmonic Generation as an Interfacial Probe.
AB - Nonlinear optical processes at soft x-ray wavelengths have remained largely
unexplored due to the lack of available light sources with the requisite
intensity and coherence. Here we report the observation of soft x-ray second
harmonic generation near the carbon K edge (~284 eV) in graphite thin films
generated by high intensity, coherent soft x-ray pulses at the FERMI free
electron laser. Our experimental results and accompanying first-principles
theoretical analysis highlight the effect of resonant enhancement above the
carbon K edge and show the technique to be interfacially sensitive in a
centrosymmetric sample with second harmonic intensity arising primarily from the
first atomic layer at the open surface. This technique and the associated
theoretical framework demonstrate the ability to selectively probe interfaces,
including those that are buried, with elemental specificity, providing a new tool
for a range of scientific problems.
PMID- 29376706
TI - Edge Modes and Nonlocal Conductance in Graphene Superlattices.
AB - We study the existence of edge modes in gapped moire superlattices of graphene
monolayer ribbons on a hexagonal boron nitride substrate. We find that the
superlattice bands acquire finite Chern numbers, which lead to a valley Hall
effect. The presence of dispersive edge modes is confirmed by calculations of the
band structure of realistic nanoribbons using tight binding methods. These edge
states are only weakly sensitive to disorder, as short-range scattering processes
lead to mean free paths of the order of microns. The results explain the
existence of edge currents when the chemical potential lies within the bulk
superlattice gap, and offer an explanation for existing nonlocal resistivity
measurements in graphene ribbons on boron nitride.
PMID- 29376707
TI - Inner Phases of Colloidal Hexagonal Spin Ice.
AB - Using numerical simulations that mimic recent experiments on hexagonal colloidal
ice, we show that colloidal hexagonal artificial spin ice exhibits an inner phase
within its ice state that has not been observed previously. Under increasing
colloid-colloid repulsion, the initially paramagnetic system crosses into a
disordered ice regime, then forms a topologically charge ordered state with
disordered colloids, and finally reaches a threefold degenerate, ordered
ferromagnetic state. This is reminiscent of, yet distinct from, the inner phases
of the magnetic kagome spin ice analog. The difference in the inner phases of the
two systems is explained by their difference in energetics and frustration.
PMID- 29376708
TI - Measuring Anomalous Heating in a Planar Ion Trap with Variable Ion-Surface
Separation.
AB - Cold ions trapped in the vicinity of conductive surfaces experience heating of
their oscillatory motion. Typically, the rate of this heating is orders of
magnitude larger than expected from electric field fluctuations due to thermal
motion of electrons in the conductors. This effect, known as anomalous heating,
is not fully understood. One of the open questions is the heating rate's
dependence on the ion-electrode separation. We present a direct measurement of
this dependence in an ion trap of simple planar geometry. The heating rates are
determined by taking images of a single ^{172}Yb^{+} ion's resonance fluorescence
after a variable heating time and deducing the trapped ion's temperature from
measuring its average oscillation amplitude. Assuming a power law for the heating
rate versus ion-surface separation dependence, an exponent of -3.79+/-0.12 is
measured.
PMID- 29376709
TI - First-Principles Prediction of New Electrides with Nontrivial Band Topology Based
on One-Dimensional Building Blocks.
AB - We introduce a new class of electrides with nontrivial band topology by coupling
materials database searches and first-principles-calculations-based analysis.
Cs_{3}O and Ba_{3}N are for the first time identified as a new class of
electrides, consisting of one-dimensional (1D) nanorod building blocks. Their
crystal structures mimic beta-TiCl_{3} with the position of anions and cations
exchanged. Unlike the weakly coupled nanorods of beta-TiCl_{3}, Cs_{3}O and
Ba_{3}N retain 1D anionic electrons along the hollow interrod sites;
additionally, a strong interrod interaction in C_{3}O and Ba_{3}N induces band
inversion in a 2D superatomic triangular lattice, resulting in Dirac-node lines.
The new class of electrides can serve as a prototype for new electrides with a
large cavity space that can be utilized for various applications such as gas
storage, ion transport, and metal intercalation.
PMID- 29376710
TI - Robust 2-Qubit Gates in a Linear Ion Crystal Using a Frequency-Modulated Driving
Force.
AB - In an ion trap quantum computer, collective motional modes are used to entangle
two or more qubits in order to execute multiqubit logical gates. Any residual
entanglement between the internal and motional states of the ions results in loss
of fidelity, especially when there are many spectator ions in the crystal. We
propose using a frequency-modulated driving force to minimize such errors. In
simulation, we obtained an optimized frequency-modulated 2-qubit gate that can
suppress errors to less than 0.01% and is robust against frequency drifts over +/
1 kHz. Experimentally, we have obtained a 2-qubit gate fidelity of 98.3(4)%, a
state-of-the-art result for 2-qubit gates with five ions.
PMID- 29376711
TI - Voltage Control of Rare-Earth Magnetic Moments at the Magnetic-Insulator-Metal
Interface.
AB - The large spin-orbit interaction in the lanthanides implies a strong coupling
between their internal charge and spin degrees of freedom. We formulate the
coupling between the voltage and the local magnetic moments of rare-earth atoms
with a partially filled 4f shell at the interface between an insulator and a
metal. The rare-earth-mediated torques allow the power-efficient control of
spintronic devices by electric-field-induced ferromagnetic resonance and
magnetization switching.
PMID- 29376712
TI - Publisher's Note: Measurement of Singly Cabibbo Suppressed Decays Lambda_{c}^{+}
>ppi^{+}pi^{-} and Lambda_{c}^{+}->pK^{+}K^{-} [Phys. Rev. Lett. 117, 232002
(2016)].
AB - This corrects the article DOI: 10.1103/PhysRevLett.117.232002.
PMID- 29376713
TI - Switching of Magnons by Electric and Magnetic Fields in Multiferroic Borates.
AB - Electric manipulation of magnetic properties is a key problem of materials
research. To fulfill the requirements of modern electronics, these processes must
be shifted to high frequencies. In multiferroic materials, this may be achieved
by electric and magnetic control of their fundamental excitations. Here we
identify magnetic vibrations in multiferroic iron borates that are simultaneously
sensitive to external electric and magnetic fields. Nearly 100% modulation of the
terahertz radiation in an external field is demonstrated for
SmFe_{3}(BO_{3})_{4}. High sensitivity can be explained by a modification of the
spin orientation that controls the excitation conditions in multiferroic borates.
These experiments demonstrate the possibility to alter terahertz magnetic
properties of materials independently by external electric and magnetic fields.
PMID- 29376714
TI - Nonlinear Large Scale Flow in a Precessing Cylinder and Its Ability To Drive
Dynamo Action.
AB - We have conducted experimental measurements and numerical simulations of a
precession-driven flow in a cylindrical cavity. The study is dedicated to the
precession dynamo experiment currently under construction at Helmholtz-Zentrum
Dresden-Rossendorf and aims at the evaluation of the hydrodynamic flow with
respect to its ability to drive a dynamo. We focus on the strongly nonlinear
regime in which the flow is essentially composed of the directly forced primary
Kelvin mode and higher modes in terms of standing inertial waves arising from
nonlinear self-interactions. We obtain an excellent agreement between experiment
and simulation with regard to both flow amplitudes and flow geometry. A
peculiarity is the resonance-like emergence of an axisymmetric mode that
represents a double roll structure in the meridional plane. Kinematic simulations
of the magnetic field evolution induced by the time-averaged flow yield dynamo
action at critical magnetic Reynolds numbers around Rm^{c}~430, which is well
within the range of the planned liquid sodium experiment.
PMID- 29376715
TI - Model-Free Prediction of Large Spatiotemporally Chaotic Systems from Data: A
Reservoir Computing Approach.
AB - We demonstrate the effectiveness of using machine learning for model-free
prediction of spatiotemporally chaotic systems of arbitrarily large spatial
extent and attractor dimension purely from observations of the system's past
evolution. We present a parallel scheme with an example implementation based on
the reservoir computing paradigm and demonstrate the scalability of our scheme
using the Kuramoto-Sivashinsky equation as an example of a spatiotemporally
chaotic system.
PMID- 29376716
TI - Higher-Order Topological Insulators and Semimetals on the Breathing Kagome and
Pyrochlore Lattices.
AB - A second-order topological insulator in d dimensions is an insulator which has no
d-1 dimensional topological boundary states but has d-2 dimensional topological
boundary states. It is an extended notion of the conventional topological
insulator. Higher-order topological insulators have been investigated in square
and cubic lattices. In this Letter, we generalize them to breathing kagome and
pyrochlore lattices. First, we construct a second-order topological insulator on
the breathing Kagome lattice. Three topological boundary states emerge at the
corner of the triangle, realizing a 1/3 fractional charge at each corner. Second,
we construct a third-order topological insulator on the breathing pyrochlore
lattice. Four topological boundary states emerge at the corners of the
tetrahedron with a 1/4 fractional charge at each corner. These higher-order
topological insulators are characterized by the quantized polarization, which
constitutes the bulk topological index. Finally, we study a second-order
topological semimetal by stacking the breathing kagome lattice.
PMID- 29376717
TI - Mean-Field Scenario for the Athermal Creep Dynamics of Yield-Stress Fluids.
AB - We develop a theoretical description based on an existent mean-field model for
the transient dynamics prior to the steady flow of yielding materials. The mean
field model not only reproduces the experimentally observed nonlinear time
dependence of the shear-rate response to an external stress, but also allows for
the determination of the different physical processes involved in the onset of
the reacceleration phase after the initial slowing down and a distinct
fluidization phase. The fluidization time displays a power-law dependence on the
distance of the applied stress to an age-dependent yield stress, which is not
universal but strongly dependent on initial conditions.
PMID- 29376719
TI - Phase Diagram of Hydrogen and a Hydrogen-Helium Mixture at Planetary Conditions
by Quantum Monte Carlo Simulations.
AB - Understanding planetary interiors is directly linked to our ability of simulating
exotic quantum mechanical systems such as hydrogen (H) and hydrogen-helium (H-He)
mixtures at high pressures and temperatures. Equation of state (EOS) tables based
on density functional theory are commonly used by planetary scientists, although
this method allows only for a qualitative description of the phase diagram. Here
we report quantum Monte Carlo (QMC) molecular dynamics simulations of pure H and
H-He mixture. We calculate the first QMC EOS at 6000 K for a H-He mixture of a
protosolar composition, and show the crucial influence of He on the H
metallization pressure. Our results can be used to calibrate other EOS
calculations and are very timely given the accurate determination of Jupiter's
gravitational field from the NASA Juno mission and the effort to determine its
structure.
PMID- 29376718
TI - Enhanced Control and Reproducibility of Non-Neutral Plasmas.
AB - The simultaneous control of the density and particle number of non-neutral
plasmas confined in Penning-Malmberg traps is demonstrated. Control is achieved
by setting the plasma's density by applying a rotating electric field while
simultaneously fixing its axial potential via evaporative cooling. This novel
method is particularly useful for stabilizing positron plasmas, as the procedures
used to collect positrons from radioactive sources typically yield plasmas with
variable densities and particle numbers; it also simplifies optimization studies
that require plasma parameter scans. The reproducibility achieved by applying
this technique to the positron and electron plasmas used by the ALPHA
antihydrogen experiment at CERN, combined with other developments, contributed to
a 10-fold increase in the antiatom trapping rate.
PMID- 29376720
TI - Electromagnon with Sensitive Terahertz Magnetochromism in a Room-Temperature
Magnetoelectric Hexaferrite.
AB - An electromagnon in the magnetoelectric (ME) hexaferrite
Ba_{0.5}Sr_{2.5}Co_{2}Fe_{24}O_{41} (Co_{2}Z-type) single crystal is identified
by time-domain terahertz (THz) spectroscopy. The associated THz resonance is
active on the electric field (E^{omega}) of the THz light parallel to the c axis
(? [001]), whose spectral weight develops at a markedly high temperature,
coinciding with a transverse conical magnetic order below 410 K. The resonance
frequency of 1.03 THz at 20 K changes -8.7% and +5.8% under external magnetic
field (H) of 2 kOe along [001] and [120], respectively. A model Hamiltonian
describing the conical magnetic order elucidates that the dynamical ME effect
arises from antiphase motion of spins which are coupled with modulating electric
dipoles through the exchange striction mechanism. Moreover, the calculated
frequency shift points to the key role of the Dzyaloshinskii-Moriya interaction
that is altered by static electric polarization change under different H.
PMID- 29376721
TI - Lossless Brownian Information Engine.
AB - We report on a lossless information engine that converts nearly all available
information from an error-free feedback protocol into mechanical work. Combining
high-precision detection at a resolution of 1 nm with ultrafast feedback control,
the engine is tuned to extract the maximum work from information on the position
of a Brownian particle. We show that the work produced by the engine achieves a
bound set by a generalized second law of thermodynamics, demonstrating for the
first time the sharpness of this bound. We validate a generalized Jarzynski
equality for error-free feedback-controlled information engines.
PMID- 29376722
TI - Erratum: Optimal Continuous Variable Quantum Teleportation with Limited Resources
[Phys. Rev. Lett. 119, 120503 (2017)].
AB - This corrects the article DOI: 10.1103/PhysRevLett.119.120503.
PMID- 29376723
TI - Unraveling the Role of Order-to-Disorder Transition in Shear Thickening
Suspensions.
AB - Using high-resolution in situ small angle x-ray scattering in conjunction with
oscillatory shear on highly monodisperse silica suspensions, we demonstrate that
an order-to-disorder transition leads to a dynamic shear thickening in a lower
stress regime than the standard steady shear thickening. We show that the order
to-disorder transition is controlled by strain, which is distinguishably
different from steady shear thickening, which is a stress-related phenomenon. The
appearance of this two-step shear thinning and thickening transition is also
influenced by the particle size, monodispersity, and measurement conditions
(i.e., oscillatory shear versus steady shear). Our results show definitively that
the order-to-disorder transition-induced thickening is completely unrelated to
the mechanism that drives steady shear thickening.
PMID- 29376724
TI - Imaging Correlations in Heterodyne Spectra for Quantum Displacement Sensing.
AB - The extraordinary sensitivity of the output field of an optical cavity to small
quantum-scale displacements has led to breakthroughs such as the first detection
of gravitational waves and of the motions of quantum ground-state cooled
mechanical oscillators. While heterodyne detection of the output optical field of
an optomechanical system exhibits asymmetries which provide a key signature that
the mechanical oscillator has attained the quantum regime, important quantum
correlations are lost. In turn, homodyning can detect quantum squeezing in an
optical quadrature but loses the important sideband asymmetries. Here we
introduce and experimentally demonstrate a new technique, subjecting the
autocorrelators of the output current to filter functions, which restores the
lost heterodyne correlations (whether classical or quantum), drastically
augmenting the useful information accessible. The filtering even adjusts for
moderate errors in the locking phase of the local oscillator. Hence we
demonstrate the single-shot measurement of hundreds of different field
quadratures allowing the rapid imaging of detailed features from a simple
heterodyne trace. We also obtain a spectrum of hybrid homodyne-heterodyne
character, with motional sidebands of combined amplitudes comparable to homodyne.
Although investigated here in a thermal regime, the method's robustness and
generality represents a promising new approach to sensing of quantum-scale
displacements.
PMID- 29376725
TI - Space-Group Symmetries Generate Chaotic Fluid Advection in Crystalline Granular
Media.
AB - The classical connection between symmetry breaking and the onset of chaos in
dynamical systems harks back to the seminal theory of Noether [Transp. Theory
Statist. Phys. 1, 186 (1918)10.1080/00411457108231446]. We study the Lagrangian
kinematics of steady 3D Stokes flow through simple cubic and body-centered cubic
(bcc) crystalline lattices of close-packed spheres, and uncover an important
exception. While breaking of point-group symmetries is a necessary condition for
chaotic mixing in both lattices, a further space-group (glide) symmetry of the
bcc lattice generates a transition from globally regular to globally chaotic
dynamics. This finding provides new insights into chaotic mixing in porous media
and has significant implications for understanding the impact of symmetries upon
generic dynamical systems.
PMID- 29376726
TI - Evidence for Spin Singlet Pairing with Strong Uniaxial Anisotropy in
URu_{2}Si_{2} Using Nuclear Magnetic Resonance.
AB - In order to identify the spin contribution to superconducting pairing compatible
with the so-called "hidden order", ^{29}Si nuclear magnetic resonance
measurements have been performed using a high-quality single crystal of
URu_{2}Si_{2}. A clear reduction of the ^{29}Si Knight shift in the
superconducting state has been observed under a magnetic field applied along the
crystalline c axis, corresponding to the magnetic easy axis. These results
provide direct evidence for the formation of spin-singlet Cooper pairs.
Consequently, results indicating a very tiny change of the in-plane Knight shift
reported previously demonstrate extreme uniaxial anisotropy for the spin
susceptibility in the hidden order state.
PMID- 29376728
TI - Erratum: Quantum Criticality in Resonant Andreev Conduction [Phys. Rev. Lett.
119, 116802 (2017)].
AB - This corrects the article DOI: 10.1103/PhysRevLett.119.116802.
PMID- 29376727
TI - First Measurement of the g Factor in the Chiral Band: The Case of the ^{128}Cs
Isomeric State.
AB - The g factor of the 56 ns half-life isomeric state in ^{128}Cs has been measured
using the time-differential perturbed angular distribution method. This state is
the bandhead of the positive-parity chiral rotational band, which emerges when an
unpaired proton, an unpaired neutron hole, and an even-even core are coupled such
that their angular momentum vectors are aplanar (chiral configuration). g-factor
measurements can give important information on the relative orientation of the
three angular momentum vectors. The measured g factor g=+0.59(1) shows that there
is an important contribution of the core rotation in the total angular momentum
of the isomeric state. Moreover, a quantitative theoretical analysis supports the
conclusion that the three angular momentum vectors lie almost in one plane, which
suggests that the chiral configuration in ^{128}Cs demonstrated in previous works
by characteristic patterns of electromagnetic transitions appears only above some
value of the total nuclear spin.
PMID- 29376730
TI - Displacement Operators and Constraints on Boundary Central Charges.
AB - Boundary conformal field theories have several additional terms in the trace
anomaly of the stress tensor associated purely with the boundary. We constrain
the corresponding boundary central charges in three- and four-dimensional
conformal field theories in terms of two- and three-point correlation functions
of the displacement operator. We provide a general derivation by comparing the
trace anomaly with scale dependent contact terms in the correlation functions. We
conjecture a relation between the a-type boundary charge in three dimensions and
the stress tensor two-point function near the boundary. We check our results for
several free theories.
PMID- 29376732
TI - Visual attention during the evaluation of facial attractiveness is influenced by
facial angles and smile.
AB - OBJECTIVE: To examine the changes in visual attention influenced by facial angles
and smile during the evaluation of facial attractiveness. MATERIALS AND METHODS:
Thirty-three young adults were asked to rate the overall facial attractiveness
(task 1 and 3) or to select the most attractive face (task 2) by looking at
multiple panel stimuli consisting of 0 degrees , 15 degrees , 30 degrees , 45
degrees , 60 degrees , and 90 degrees rotated facial photos with or without a
smile for three model face photos and a self-photo (self-face). Eye gaze and
fixation time (FT) were monitored by the eye-tracking device during the
performance. Participants were asked to fill out a subjective questionnaire
asking, "Which face was primarily looked at when evaluating facial
attractiveness?" RESULTS: When rating the overall facial attractiveness (task 1)
for model faces, FT was highest for the 0 degrees face and lowest for the 90
degrees face regardless of the smile ( P < .01). However, when the most
attractive face was to be selected (task 2), the FT of the 0 degrees face
decreased, while it significantly increased for the 45 degrees face ( P < .001).
When facial attractiveness was evaluated with the simplified panels combined with
facial angles and smile (task 3), the FT of the 0 degrees smiling face was the
highest ( P < .01). While most participants reported that they looked mainly at
the 0 degrees smiling face when rating facial attractiveness, visual attention
was broadly distributed within facial angles. CONCLUSIONS: Laterally rotated
faces and presence of a smile highly influence visual attention during the
evaluation of facial esthetics.
PMID- 29376731
TI - Paraspinal arteriovenous fistula: Stuttgart classification based on experience
and a review of the literature.
AB - The term "paraspinal arteriovenous shunts" (PAVSs) summarizes an inhomogeneous
variety of rare vascular disorders. PAVSs have been observed as congenital or
acquired lesions. The clinical course of PAVSs may be asymptomatic or present
with life-threatening symptoms. Based on a collection of individual cases from
three institutions and a literature evaluation, we propose the following
classification: PAVSs that are part of a genetic syndrome are separated from
"isolated" PAVSs. Isolated PAVSs are subdivided into "acquired", "traumatic" and
"congenital" without an identifiable genetic hereditary disorder. The subgroups
are differentiated by the route of venous drainage, being exclusively extraspinal
or involving intraspinal veins. PAVSs associated to a genetic syndrome may either
have a metameric link or occur together with a systemic genetic disorder. Again
extra-vs intraspinal venous drainage is differentiated. The indication for
treatment is based on individual circumstances (e.g. myelon compression, vascular
bruit, high volume output cardiac failure). Most PAVSs can be treated by
endovascular means using detachable coils, liquid embolic agents or stents and
derivates.
PMID- 29376733
TI - Primary failure of eruption: Clinical and genetic findings in the mixed
dentition.
AB - OBJECTIVE: To test the hypothesis that mutations in the parathyroid hormone 1
receptor ( PTH1R) include effects in both primary and permanent teeth. MATERIALS
AND METHODS: DNA was extracted from saliva samples of 29 patients (8 familial and
21 sporadic) who presented with clinical evidence of infraoccluded teeth, and
their unaffected relatives (N = 22). Sequencing followed by mutational analysis
of the coding regions of PTH1R gene was completed for all individuals (N = 29).
RESULTS: Eight of 29 cases revealed a heterozygous pathogenic variant in the
PTH1R gene; five of eight variants represented distinct mutations based on
comparison with the dbSNP, HGMD, and ESP databases. One mutation (c.1765 T>C
p.Trp89Arg) was found to segregate within a family (n = 3). In silico analyses
for all variants revealed a putative pathogenic effect. A genotype-phenotype
correlation was reported as defined by a functional mutation in PTH1R and
corresponding effects on one or more posterior teeth only; unilateral or
bilateral involvement, infraoccluded primary teeth. CONCLUSIONS: Novel mutations
were reported in the PTH1R gene that included PFE-affected primary molars, thus
providing the basis for using a genetic diagnostic tool for early diagnosis
leading to proper management.
PMID- 29376734
TI - Measuring the effectiveness of patient-chosen reminder methods in a private
orthodontic practice.
AB - OBJECTIVE: To evaluate the effectiveness of patient-chosen appointment reminder
methods (phone call, e-mail, or SMS text) in reducing no-show rates. MATERIALS
AND METHODS: This was a retrospective case study that determined the correlation
between patient-chosen appointment reminder methods and no-show rates in a
private orthodontic practice. This study was conducted in a single office
location of a multioffice private orthodontic practice using data gathered in
2015. The subjects were patients who self-selected the appointment reminder
method (phone call, e-mail, or SMS text). Patient appointment data were collected
over a 6-month period. Patient attendance was analyzed with descriptive
statistics to determine any significant differences among patient-chosen reminder
methods. RESULTS: There was a total of 1193 appointments with an average no-show
rate of 2.43% across the three reminder methods. No statistically significant
differences ( P = .569) were observed in the no-show rates between the three
methods: phone call (3.49%), e-mail (2.68%), and SMS text (1.90%). CONCLUSIONS:
The electronic appointment reminder methods (SMS text and e-mail) had lower no
show rates compared with the phone call method, with SMS text having the lowest
no-show rate of 1.90%. However, since no significant differences were observed
between the three patient-chosen reminder methods, providers may want to allow
patients to choose their reminder method to decrease no-shows.
PMID- 29376735
TI - Adaptive parametric prediction of event times in clinical trials.
AB - BACKGROUND: In event-based clinical trials, it is common to conduct interim
analyses at planned landmark event counts. Accurate prediction of the timing of
these events can support logistical planning and the efficient allocation of
resources. As the trial progresses, one may wish to use the accumulating data to
refine predictions. PURPOSE: Available methods to predict event times include
parametric cure and non-cure models and a nonparametric approach involving
Bayesian bootstrap simulation. The parametric methods work well when their
underlying assumptions are met, and the nonparametric method gives calibrated but
inefficient predictions across a range of true models. In the early stages of a
trial, when predictions have high marginal value, it is difficult to infer the
form of the underlying model. We seek to develop a method that will adaptively
identify the best-fitting model and use it to create robust predictions. METHODS:
At each prediction time, we repeat the following steps: (1) resample the data;
(2) identify, from among a set of candidate models, the one with the highest
posterior probability; and (3) sample from the predictive posterior of the data
under the selected model. RESULTS: A Monte Carlo study demonstrates that the
adaptive method produces prediction intervals whose coverage is robust within the
family of selected models. The intervals are generally wider than those produced
assuming the correct model, but narrower than nonparametric prediction intervals.
We demonstrate our method with applications to two completed trials: The
International Chronic Granulomatous Disease study and Radiation Therapy Oncology
Group trial 0129. LIMITATIONS: Intervals produced under any method can be badly
calibrated when the sample size is small and unhelpfully wide when predicting the
remote future. Early predictions can be inaccurate if there are changes in
enrollment practices or trends in survival. CONCLUSIONS: An adaptive event-time
prediction method that selects the model given the available data can give
improved robustness compared to methods based on less flexible parametric models.
PMID- 29376736
TI - Estimation of proton density fat fraction of the salivary gland.
AB - OBJECTIVE: Our first objective was to prove the validity of the six-point Dixon
method for estimating the proton density fat fraction (PDFF) of the salivary
gland. The second objective was to estimate the salivary gland PDFF using Dixon
method to evaluate the pathological conditions. METHODS: At first, 12 volunteers
underwent two types of sequences: single-voxel magnetic resonance spectroscopy
and the Dixon method and the PDFFs obtained by the two methods were compared.
Next, a total of 67 individuals [normal, n = 46; Sjogren's syndrome (SS), n = 11;
and IgG4-related dacryoadenitis and sialadenitis (IgG4-DS), n = 4, parotitis, n =
6] were enrolled to estimate the parotid gland (PG) and submandibular gland (SMG)
PDFF using the Dixon method. RESULTS: This volunteer study demonstrated excellent
correlation between two methods (R2 = 0.964, slope = 1.05). In the normal group,
the PG-PDFF was correlated with the weight and body mass index (BMI) (rho = 0.38,
p = 0.0085; and rho = 0.63, p < 0.0001). The SMG-PDFF was also correlated with
the weight, BMI, and serum triglyceride (rho = 0.37, p = 0.0067; rho = 0.42, p =
0.0022; and rho = 0.35, p = 0.024). The PG-PDFF of the SS group (48.2 +/- 15.1%)
was higher than that of any other groups; however, no significant difference was
found due to the wide overlap. The SS group (39.0 +/- 26.14%) also had
significantly higher SMG-PDFF than the normal group (8.9 +/- 5.4%), p < 0.0001)
and IgG4-DS group (3.8 +/- 2.3%), p = 0.020). CONCLUSION: The Dixon method is a
feasible method for estimating the PDFF and demonstrates fat accumulation in SMG
in the SS group. Advances in knowledge: The PDFF obtained by the Dixon method is
helpful for understanding the salivary gland pathological condition.
PMID- 29376737
TI - Comparison of the accuracy of periapical radiography with CBCT taken at 3
different voxel sizes in detecting simulated endodontic complications: an ex vivo
study.
AB - OBJECTIVES: To compare the accuracy of a photostimulable phosphor plateP sensor
with cone beam CT (CBCT) images in the detection of simulated endodontic
complications. METHODS: Following simulated endodontic complications were created
in 40 extracted human mandibular molar teeth: Group 1, Instrument separation (N =
10); Group 2, Strip perforation (N = 10); Group 3, Underfilling of root canals (N
= 10); Group 4, Overfilling of root canals (N = 10). Intraoral and CBCT images
(voxel size: 0.075 , 0.1 and 0.2 mm) were taken. Images were scored by 4
observers according to a 5-point scale. Weighted kappa and intraclass correlation
coefficients were calculated. Receiveroperating characteristic analysis was
performed and DeLong test was used to compare areaunder curve values.
Significance level was set at p < 0.05. RESULTS: Intraobserver kappa ranged from
moderate (0.417) to excellent (0.918). Intraclass correlation coefficients ranged
from moderate (0.482) to excellent (0.855). For Group 1 (instrument separation)
the highest Az values were obtained for intraoral images and the lowest for CBCT
(0.2 mm voxel size) (p < 0.05). The highest Az values were obtained for Group 2
(strip perforation) among all groups. With all CBCT image settings, observers
performed similarly and better than intraoral images (p < 0.05) in detection of
strip perforation. For Group 3 (underfilling), higher Az values for CBCT images
were obtained compared to intraoral images without statistically significant
difference (p > 0.05). For Group 4 (overfilling), higher Az values for CBCT
images were obtained when compared to digital intraoral for observer 1 and 2 (p <
0.05). CONCLUSIONS: CBCT images may be useful as an adjunct to periapical imaging
in the detection of endodontic complications, such as strip perforation and
overfilled root canals.
PMID- 29376729
TI - Observation of New Properties of Secondary Cosmic Rays Lithium, Beryllium, and
Boron by the Alpha Magnetic Spectrometer on the International Space Station.
AB - We report on the observation of new properties of secondary cosmic rays Li, Be,
and B measured in the rigidity (momentum per unit charge) range 1.9 GV to 3.3 TV
with a total of 5.4*10^{6} nuclei collected by AMS during the first five years of
operation aboard the International Space Station. The Li and B fluxes have an
identical rigidity dependence above 7 GV and all three fluxes have an identical
rigidity dependence above 30 GV with the Li/Be flux ratio of 2.0+/-0.1. The three
fluxes deviate from a single power law above 200 GV in an identical way. This
behavior of secondary cosmic rays has also been observed in the AMS measurement
of primary cosmic rays He, C, and O but the rigidity dependences of primary
cosmic rays and of secondary cosmic rays are distinctly different. In particular,
above 200 GV, the secondary cosmic rays harden more than the primary cosmic rays.
PMID- 29376738
TI - An appraisal of moulting on post-moult egg production and egg weight distribution
in white layer hens; meta-analysis.
AB - 1. The aim of this study was to establish how different moulting methods and body
weight losses influenced post-moult performance and USDA egg weight distribution.
2. Data on 5 laying flocks (#34-38) of the North Carolina Layer Performance and
Management Test were used in this meta-analysis. 3. The moulting methods were non
fasted moulted (NF), short feed restricted (SF), 13-d feed restricted (FR), non
anorexic moult programme (NA), non-anorexic moult programme with low sodium
(NALS) as well as non-moulting programme as control treatment. The percentages of
targeted body weight loss during the moulting period were 20, 24, 25 and 30% of
body weight at the end of the first egg production cycle. 4. Post-moult egg
production and egg mass were influenced by all moulting methods. Maximum increase
in post-moult egg production rate and egg mass occurred with FR and NF
programmes, respectively, at 30% of body weight loss, compared to non-moulted
hens. Non-fasting methods reduced mortality rate more effectively than fasting
methods. 5. Moulting resulted in increases in percentage of grade A and decreases
in percentage of grade B eggs. Non-fasting methods increased percentage of grade
A eggs more effectively than fasting methods. Percentage of cracked eggs
decreased in moulted rather than non-moulted hens and the lowest rate was
associated with the NA programme. 6. Post-moult egg weight was not significantly
influenced by moulting methods. However, percentage of body weight reduction
affected egg weight. The optimum increment in egg weight was achieved by 24% body
weight reduction. 7. Overall, non-fasting methods resulted in similar egg
production compared with fasting methods. Considering post-moult mortality and
USDA egg weight distribution, non-fasting methods, especially NF and NA
programmes, performed much better than fasting methods, indicating that non
fasting moulting methods, which are better for animal welfare, are effective
alternatives to fasting methods.
PMID- 29376739
TI - The diagnostic accuracy of cross-sectional imaging for detecting acute scaphoid
fractures in children: a systematic review.
AB - OBJECTIVE: To determine the diagnostic accuracy of cross-sectional imaging for
the diagnosis of acute scaphoid fractures in children. METHODS: A systematic
review of Medline, Embase and Cochrane databases between 1980 and July 2017 was
independently performed by two observers. Criteria for study inclusion in a meta
analysis and assessment of the quality of such studies using the QADAS tool, were
predetermined. RESULTS: No studies were eligible for inclusion in a meta
analysis. Three studies (of low quality when assessed against the STARD
guidelines for reporting of studies of diagnostic accuracy) assessed MRI
(performed between Days 2 and 10 after acute injury) for the diagnosis of
scaphoid fractures in a total of 119 children (age range 6 to 16 years). Study 1
(45 children) reported inter-observer reliability of radiographs and MRI of 0.53
and 0.95 respectively. Study 3 (18 children) reported a negative predictive value
of MRI (even as early as Day 2), of 100%. No measure of diagnostic accuracy or
observer reliability was reported in Study 2 (56 children). In all 3 studies, MRI
identified more scaphoid fractures (and other carpal injuries) than radiographs.
Study 3 showed that follow-up MRI between Days 38 and 45 added no new information
compared to initial MRI. CONCLUSION: Based on a systematic review of the
literature, there is currently no evidence on which to suggest an imaging
protocol for suspected scaphoid fracture in children. Until such evidence is
available, existing guidelines (which are based on findings from adult studies)
should be followed. Advances in knowledge: (1) There is low quality evidence
regarding the diagnostic accuracy of cross-sectional imaging for suspected
scaphoid fractures in children and no evidence on which to propose an optimal
imaging strategy. (2) Until such evidence is available, current guidelines (based
predominantly on findings in adults and expert opinion) should be followed.
PMID- 29376740
TI - Evaluation of spinal involvement in children with mucopolysaccharidosis VI: the
role of MRI.
AB - OBJECTIVE: To evaluate spinal MRI features of mucopolysaccharidosis (MPS) VI and
to assess the correlation with clinical findings. METHODS: We retrospectively
evaluated spinal MRI scans and clinical findings at the time of imaging in 14
patients (8 male, 6 female) with MPS VI. Craniometric measurements were performed
and the images were assessed for bony anomalies, spinal stenosis and spinal cord
compression. The degree of cervical cord compression was scored and correlated
with neurological examination findings at the time of imaging. Vertebral
alignment, structural changes in spinal ligaments and intervertebral discs were
also assessed. RESULTS: All patients had cervical stenosis due to bony stenosis
and thickened retrodental tissue (median: 6.05 mm, range 3.3-8 mm). Retrodental
tissue thickness was found to increase with age (p = 0.042). Compressive
myelopathy was detected at upper cervical level in 11 (79%) and lower thoracic
level in 2 patients (14%). Significant inverse correlation was found between
cervical myelopathy scores and neurological strength scores. The most common bony
changes were hypo/dysplastic odontoid; cervical platyspondyly with anterior
inferior beaking; thoracic posterior end plate depressions and lumbar posterior
scalloping. Kyphosis due to retrolisthesis of the beaked lumbar vertebrae and
acute sacrococcygeal angulations were other remarkable findings. CONCLUSION: MRI
is an essential component in evaluation of spinal involvement in MPS VI, and
scanning of the entire spine is recommended to rule out thoracic cord
compression. Advances in knowledge: This study provides a detailed description of
spinal MRI findings in MPS VI and underlines the role of MRI in management of
cord compression.
PMID- 29376741
TI - Management of elderly patients with glioblastoma-multiforme-a systematic review.
AB - The management of elderly patients with glioblastoma-multiforme (GBM) remains
poorly defined with many experts in the past advocating best supportive care, in
view of limited evidence on efficacy of more aggressive treatment protocols.
There is randomised evidence (NORDIC and NA-O8 studies) to support the use of
surgery followed by adjuvant monotherapy with either radiotherapy (RT) using
hypofractionated regimes (e.g. 36 Gy in 6 fractions OR 40 Gy in 15 fractions) or
chemotherapy with temozolomide (TMZ) in patients expressing methylation of
promoter for O6-methylguanine-DNA methyltransferase enzyme. However, the role of
combined-modality therapy involving the use of combined RT and TMZ protocols has
remained controversial with data from the EORTC (European Organisation for
Research and Treatment of Cancer)-NCIC (National Cancer Institute of Canada)
studies indicating that patients more than 65 years of age may not benefit
significantly from combining standard RT fractionation using 60 Gy in 30
fractions with concurrent and adjuvant TMZ. More recently, randomised data has
emerged on combining hypofractionated RT with concurrent and adjuvant TMZ. We
provide a comprehensive review of literature with the aim of defining an evidence
based algorithm for management of elderly glioblastoma-multiforme population.
PMID- 29376744
TI - Coated blade spray: shifting the paradigm of direct sample introduction to MS.
AB - Coated blade spray (CBS) is a solid-phase microextraction-based technology that
can be directly coupled to MS to enable the rapid qualitative and quantitative
analysis of complex matrices. The goal of this mini review is to concisely
introduce CBS's operational fundamentals and to consider how it
correlates/contrasts with existing direct-to-MS technologies suitable for
bioanalytical applications. In addition, we provide a fair comparison of CBS to
other existing solid-phase microextraction-to-MS approaches, as well as an
overview of recent CBS applications/strategies that have been developed to
analyze diverse compounds present in biofluids.
PMID- 29376743
TI - National Patterns of Commonly Prescribed Psychotropic Medications to Young
People.
AB - OBJECTIVE: To describe national annual prescribing patterns of stimulant,
antidepressant, and antipsychotic medications to young people. METHODS:
Prescriptions for three commonly prescribed psychotropic classes (stimulants,
antidepressants, and antipsychotics) to young people aged 3-24 years were
analyzed from the IMS LifeLink LRx National Longitudinal Prescription database (n
= 6,351,482). Denominators were adjusted to generalize estimates to the U.S.
POPULATION: Comparisons are presented of percentages filling >=1 prescription of
each medication class during the study year stratified by patient sex, age, and
prescriber specialty. RESULTS: The total annual percentage of prescriptions
filled by youth for any of the three medication classes was by age 3-5 years
(0.8%), 6-12 years (5.4%), 13-18 years (7.7%), and 19-24 years (6.0%). Stimulant
use was highest for older children (age 11 = 5.7%). Antidepressant use tended to
increase with age and was highest for young adults (age 24 = 4.8%). Annual
antipsychotic prescription percentages were lower than antidepressant or
stimulant percentages for all age groups, with a peak in adolescence (age 16 =
1.3%). Annual stimulant and antipsychotic percentages for males were higher than
corresponding percentages for females, but converged for young adults.
Psychiatrists and child psychiatrists accounted for most of the prescriptions of
antidepressants (22.2%-53.2%) and antipsychotics (51.7%-70%), but fewer of the
stimulant prescriptions (30.4%-36.2%). CONCLUSIONS: The age and sex distribution
of stimulants and antidepressants among young people is broadly consistent with
known epidemiologic patterns of their established indications for attention
deficit/hyperactivity disorder, anxiety, and depression. The pattern of
antipsychotics may reflect the heterogeneity of disorders and conditions treated
with this medication class.
PMID- 29376747
TI - Estimating the public economic consequences of introducing varenicline smoking
cessation therapy in South Korea using a fiscal analytic framework.
AB - BACKGROUND AND AIMS: Smoking gives rise to many cross-sectorial public costs and
benefits for government. Costs arise from increased healthcare spending and work
related social benefits, while smoking itself provides significant revenue for
government from tobacco taxes. To better understand the public economic impact of
smoking and smoking cessation therapies, this study developed a government
perspective framework for assessing smoking-attributable morbidity and mortality
and associated public costs. This framework includes changes in lifetime tax
revenue and health costs, as well as changes in tobacco tax revenue, from fewer
smokers. METHODS: A modified generational accounting framework was developed to
assess relationships between smoking-attributable morbidity and mortality and
public economic consequences of smoking, including lifetime tax revenue
gains/losses, government social transfers, and health spending. Based on the
current prevalence of smoking in South Korean males, a cohort model was developed
for smokers, former-smokers, and never-smokers. The model simulated the lifetime
discounted fiscal transfers for different age cohorts in 5 year age bands, and
the return on investment (ROI) from smoking cessation therapy. RESULTS: Former
smokers are estimated to generate higher lifetime earnings and direct tax
revenues and lower lifetime healthcare costs due to the reduction of smoking
attributable mortality and morbidity compared to smokers, even after accounting
for reduced tobacco taxes paid. Based on the costs of public investments in
varenicline, this study estimated a ROI from 1.4-1.7, depending on treatment age,
with higher ROI in younger cohorts, with an average ROI of 1.6 for those aged
less than 65. CONCLUSIONS: This analysis suggests that reductions in smoking can
generate positive public economic benefits for government, even after accounting
for lost tobacco tax revenues. The results described here are likely applicable
to countries having similar underlying smoking prevalence, comparable taxation
rates, and social benefit protection provided to individuals with smoking-related
conditions.
PMID- 29376746
TI - Carbon stable isotope composition of modern and archaeological Cornelian cherry
fruit stones: a pilot study.
AB - The carbon stable isotope content of Cornelian cherry stones collected from wild
tree stands in Serbia, SE Europe, was measured using elemental analyser-isotope
ratio mass spectrometry, with the aim of recording natural carbon isotope
composition of the fruit stones and its possible variation. The results show a
significant variation in the carbon isotope values; we identified several
environmental factors that, along with a number of other possible determinants,
likely contributed to this variation. The obtained data are compared with the
measurement of carbon isotope content of an archaeological specimen of Cornelian
cherry stone discovered at the Neolithic site of Vinca (ca. 5600-4500 BC) in
Serbia. Notwithstanding the limitedness of the data and the complexity
surrounding carbon fractionation and the isotopic variation, it is suggested that
the differences/similarities in carbon isotope ratios between modern and
archaeological Cornelian cherry stones, when measured for much larger
assemblages, could potentially offer a glimpse into growing conditions of
Cornelian cherry trees in the past.
PMID- 29376745
TI - Prediction of detectability of the mandibular canal by quantitative image quality
evaluation using cone beam CT.
AB - OBJECTIVES: To compare the results of a new quantitative image quality evaluation
method that requires no observers with the results of receiver operating
characteristic (ROC) analysis in detecting the mandibular canal (MC) in cone beam
CT (CBCT) images. METHODS: A Teflon (polytetrafluoroethylene) plate phantom with
holes of different depths was scanned with two CBCT systems. One CBCT system was
equipped with an image intensifier (Experiment 1), and the other was equipped
with a flat panel detector (Experiment 2). Holes that were above the threshold
gray value (DeltaG), calculated using just-noticeable difference (JND), were
extracted. The number of extracted holes was used as the index of the image
quality, and was compared with the Az values calculated by ROC analysis to detect
the MC. RESULTS: The number of extracted holes reflected the influence of
different scanning conditions, and showed a strong correlation with the Az values
calculated by ROC analysis. Indices of the number of extracted holes
corresponding to high Az values for detecting the MC were obtained in both
experiments. CONCLUSIONS: Our image quality evaluation method applying JND to
images of a standardized phantom is a quantitative method that could be useful
for evaluating the detectability of the MC in CBCT images.
PMID- 29376748
TI - Claimed effects, outcome variables and methods of measurement for health claims
on foods related to the gastrointestinal tract proposed under regulation (EC)
1924/2006.
AB - Most of the requests of authorisation to the use of health claims pursuant to
Regulation EC 1924/2006 related to the gastrointestinal (GI) tract have received
a negative opinion by the European Food Safety Authority (EFSA), mainly because
of an insufficient substantiation of the claimed effect (CE). The present
manuscript refers to the collection, collation and critical analysis of outcome
variables (OVs) and methods of measurement (MMs) related to the GI tract
compliant with Regulation 1924/2006. The critical evaluation of OVs and MMs was
based on the literature review, with the final aim of defining their
appropriateness in the context of a specific CE. The results obtained are
relevant for the choice of the best OVs and MMs to be used in randomised
controlled trials aimed to substantiate the claims on the GI tract. Moreover, the
results can be used by EFSA for updating the guidance for the scientific
requirements of such health claims.
PMID- 29376750
TI - Heterozygous familial hypercholesterolemia and HIV infection: The appropriate
therapeutic flow chart is still to be written?
PMID- 29376751
TI - Shared preventive strategies between cardiovascular diseases and
neurodegenerative diseases.
PMID- 29376749
TI - Beneficial effects of inhaled nitric oxide with intravenous steroid in an
ischemia-reperfusion model involving aortic clamping.
AB - This study evaluated the effects of inhaled nitric oxide (iNO) therapy combined
with intravenous (IV) corticosteroids on hemodynamics, selected cytokines, and
kidney messenger RNA toll-like receptor 4 (mRNA TLR4) expression in ischemia
reperfusion injury animal model. The primary endpoint was the evaluation of
circulatory, respiratory, and renal function over time. We also investigated the
profile of selected cytokines and high-mobility group box 1 (HMGB1) protein, as
well as renal mRNA TLR4 activation determined by quantitative real-time
polymerase chain reaction analysis. Pigs (n = 19) under sevoflurane AnaConDa
anesthesia/sedation were randomized and subjected to abdominal laparotomy and
alternatively suprarenal aortic cross-clamping (SRACC) for 90 min or sham
surgery: Group 1 (n = 8) iNO (80 ppm) + IV corticosteroids (25 mg *3) started 30
min before SRACC and continued 2 h after SRACC release, followed with decreased
iNO (30 ppm) until the end of observation, Group 2 (n = 8) 90 min SRACC, Group 3
(n = 3)-sham surgery. Renal biopsies were sampled 1 hr before SRACC and at 3 and
20 h after SRACC release. Aortic clamping increased TLR4 mRNA expression in
ischemic kidneys, but significant changes were recorded only in the control group
( P = 0.016). Treatment with iNO and hydrocortisone reduced TLR4 mRNA expression
to pre-ischemic conditions, and the difference observed in mRNA expression was
significant between control and treatment group after 3 h ( P = 0.042). Moreover,
animals subjected to treatment with iNO and hydrocortisone displayed an
attenuated systemic inflammatory response and lowered pulmonary vascular
resistance plus increased oxygen delivery. The results indicated that iNO therapy
combined with IV corticosteroids improved central and systemic hemodynamics,
oxygen delivery, and diminished the systemic inflammatory response and renal mRNA
TLR4 expression.
PMID- 29376752
TI - Association between serum calcium, serum phosphate and aortic stenosis with
implications for prevention.
AB - Background Aortic stenosis is the most common cause of valvular heart disease
with no means of prevention. Lowering serum levels of calcium or phosphate are
potential preventive strategies but observational studies on the associations
with aortic stenosis are inconsistent. Design and methods A case-control study
was conducted in 132 individuals undergoing echocardiography (63 with aortic
stenosis and 69 without) and the results combined with three other comparable
studies (914 individuals overall) to provide a summary odds ratio of aortic
stenosis for a 0.1 mmol/L increase (approximately one standard deviation) in
calcium and phosphate respectively. The relationship between calcium and
phosphate and the severity of aortic stenosis, according to peak trans-aortic
velocity, was also examined in the case-control study using linear regression.
Results Both calcium and phosphate were positively associated with aortic
stenosis. The summary odds ratio for a 0.1 mmol/L increase in calcium was 1.79
(95% confidence interval 1.07-2.99), p = 0.027 and for phosphate it was 1.47
(1.08-2.01), p = 0.015. Peak trans-aortic velocity increased with phosphate
levels, 9% (4%-14%) per 0.1 mmol/L, p = 0.001, but not with calcium, p = 0.089.
Conclusions If the associations are causal and reversible, these results indicate
that a small reduction in calcium or phosphate levels, within the physiological
rage, would translate into a clinically significant reduction in the risk of
aortic stenosis. Randomised trials of calcium and phosphate lowering therapies in
aortic stenosis are needed.
PMID- 29376753
TI - Cardiovascular diseases in patients receiving small molecules with anti-vascular
endothelial growth factor activity: A meta-analysis of approximately 29,000
cancer patients.
AB - Background Targeted therapy with tyrosine kinase inhibitors with anti-vascular
endothelial growth factor activity improves survival of cancer patients.
Cardiovascular complications are critical and it is unknown whether these require
specific treatment strategies. We aimed to clarify the associated risk of
cardiovascular adverse events in patients treated with tyrosine kinase
inhibitors. Design The design of this study was a meta-analysis of randomised
controlled trials. Methods We searched PubMed, Cochrane, EMBASE and Web of
Science databases for randomised controlled trials published until January 2017
that assessed patients with different types of cancer treated with or without
tyrosine kinase inhibitors in addition to standard chemotherapy. Results A total
of 29,252 patients from 71 randomised controlled trials were included. Tyrosine
kinase inhibitor treatment was associated with a higher cardiac ischaemia
relative risk (relative risk = 1.69; 95% confidence interval: 1.12-2.57; p =
0.01), with the highest risks observed for sorafenib and patients with renal
cancer. Risk of thrombocytopaenia (relative risk = 2.2; 95% confidence interval:
1.73-2.79; p < 0.001) was highest for regorafenib and patients with breast
cancer. Left ventricular systolic dysfunction was increased after tyrosine kinase
inhibitor therapy (relative risk = 2.53; 95% confidence interval:1.79 - 3.57; p <
0.001), with the highest risks reported for sunitinib and hepatocellular cancer.
QT corrected interval prolongation (relative risk = 6.25; 95% confidence
interval: 3.44-11.38; p < 0.001) and arterial hypertension (relative risk = 3.78;
95% confidence interval: 3.15-4.54; p < 0.001) were reported. The relative risks
of arterial adverse events, cerebral ischaemia, venous adverse events and
pulmonary embolism were similar across groups. Conclusion Tyrosine kinase
inhibitors increase the risk of severe cardiovascular and particularly thrombotic
adverse events. Specific treatment regimens when prescribing tyrosine kinase
inhibitor therapies appear desirable.
PMID- 29376754
TI - Relation between aortic knob width and subclinical left ventricular dysfunction
in hypertensive patients.
AB - BACKGROUND: The assessment of left ventricular (LV) structure and function is
important in the evaluation of hypertensive heart disease, as it provides
information on the cardiovascular morbidity and mortality. Aortic knob width
(AKW) is a measurement of radiographic structure formed by the foreshortened
aortic arch and a portion of the descending aorta. The main aim of this study was
to investigate the relation between AKW on the routine chest radiography and
subclinical LV dysfunction in hypertensive patients. PATIENTS AND METHODS: A
total of 144 patients with hypertension admitted to the cardiology outpatients
clinic were enrolled consecutively. The patients were divided into two groups
according to tissue Doppler-derived myocardial performance index (MPI):
subclinical LV dysfunction group (abnormal MPI >= 0.5, n = 85) and absence of
subclinical LV dysfunction group (normal MPI< 0.5, n = 59). RESULTS: Patients
with subclinical LV dysfunction were older (60 +/- 8 vs. 54 +/- 8, p = 0.001).
Left ventricular mass index (LVMI) (96 +/- 27 vs. 74 +/- 24, p < 0.001) and
prevalence of LV hypertrophy (28 vs. 8%, p = 0.011) were significantly different
between two groups. Patients with subclinical LV dysfunction had higher AKW (42
+/- 6 vs. 34 +/- 5, p < 0.001) compared with patients without subclinical LV
dysfunction. There was a significant correlation between MPI and AKW (r = 0.7, p
< 0.001). Multiple logistic regression analysis showed that AKW (beta = 0.617, p
= 0.001) and posterior wall thickness (PWth) (beta = 1.189, p = 0.021) were
independently associated with subclinical LV dysfunction. Analysis using the
Receiver Operating Characteristic (ROC) curve has demonstrated that aortic knob
of 37 mm constitutes the cutoff value for the presence of subclinical LV
dysfunction with 85.9% sensitivity and 86.4% specificity (The Area under the
Curve +/- Standard Error (AUC+/-SE) = 0.916 +/- 0.024, p < 0.001). CONCLUSION:
AKW may provide important predictive information on subclinical LV dysfunction in
patients with hypertension.
PMID- 29376756
TI - Foreword - Increase in clinical trials for probiotics and prebiotics.
AB - After a steady increase over recent years, last year we experienced our first
drop in Impact Factor (IF): from 3.301 to 2.923. Although last year I concluded
that I was pretty awful at predicting the future (Venema, 2017; and I still
haven't found a probiotic to improve that ...), this result was not entirely
unexpected. As a young journal (we have yet to celebrate our 10th anniversary),
the IF will inevitably fluctuate a little. I keep track of the IF development
over the course of the year and we are well on our way to achieving an IF of
above 2 again (with still another 6 months to go until the end of June, when the
new impact factors will be provided by Clarivate Analytics), which isn't bad at
all for a young journal.
PMID- 29376757
TI - Bone-targeting dendrimer for the delivery of methotrexate and treatment of bone
metastasis.
AB - We developed a bone-targeting dendrimer for the delivery of anti-tumour agents
and effective treatment of bone metastasis, in which alendronate (ALN), a bone
targeting moiety, is covalently bonded to a polyethylene glycol (PEG)-conjugated
polyamidoamine (PAMAM) dendrimer (PEG-PAMAM-ALN). Approximately 7.0 and 21.9% of
the administered doses of [111In]PAMAM and PEG-PAMAM-ALN accumulated in the bones
within 180 min after intravenous injection in mice, respectively. [3H]-labelled
methotrexate (MTX) rapidly disappeared from the blood, and bone distribution was
found to be only 1.1% of the administered dose at 180 min. In contrast, 21.5% of
the administered dose of [3H]MTX-loaded PEG-PAMAM-ALN accumulated in the bones at
180 min after intravenous injection in mice, which was approximately 20-fold
higher than that of [3H]MTX. In a bone metastatic tumour mouse model, in which
B16-BL6/Luc cells were injected into the left ventricle of female C57BL/6 mice,
the growth of metastatic tumour in the bones was significantly inhibited by
intravenous injection of MTX-loaded PEG-PAMAM-ALN. These findings indicate that
PEG-PAMAM-ALN is a promising bone-targeting carrier for the delivery of anti
tumour agents and treatment of bone metastasis.
PMID- 29376758
TI - Responsible Life Science Research: The Pakistani Leadership Model.
PMID- 29376759
TI - Oestrogen receptor-mediated liposomal drug delivery for treating melanoma.
AB - Function of steroid hormone oestrogen that transactivates oestrogen receptor (ER)
is expressed in multiple organs. Except for malignancies of gynaecological
organs, ER remains largely unutilised as a target to treat cancers of ER
expressing brain, prostate, skin etc. We have previously developed oestrogen
targeting cationic lipid molecule (ES-C10), which showed targeted killing of ER +
breast and skin cancer cells. In this study, we explored the targeting ability of
ES-C10 as a ligand as well as its additive killing effect (if any), when
incorporated in two different liposomes (DCME and DCDE), carrying two anticancer
molecules MCIS3 and DocetaxelTM, respectively. DCME and DCDE exhibited higher
cytotoxicity in ER + cancer cells than in ER - cancer or in non-cancer cells.
Both liposomes induced ER-mediated cytotoxicity and caspase 3-induced apoptosis
in ER + melanoma cells. Further, decreased levels of pAkt, and increased levels
of PTEN and p53 were also observed. Both the targeted liposomes were least
haemolytic. These selectively delivered drug-cargoes to tumour mass over other
vital organs and induced better anti-tumour effect, which led to increased
survivability than their respective controls. In conclusion, we demonstrated the
development of two independent liposomal drug-delivery systems associated with an
anticancer, oestrogen-structure based ligand for efficient, ER-mediated anti
melanoma effect.
PMID- 29376760
TI - Comparison of costs and outcomes of dapagliflozin with other glucose-lowering
therapy classes added to metformin using a short-term cost-effectiveness model in
the US setting.
AB - OBJECTIVE: To compare 1-year costs and benefits of dapagliflozin (DAPA), a sodium
glucose cotransporter-2 (SGLT-2) inhibitor, with those of other treatments for
type 2 diabetes (T2D), such as glucagon-like peptide-1 receptor agonists (GLP
1RAs), sulfonylureas (SUs), thiazolidinediones (TZDs), and dipeptidyl peptidase-4
inhibitors (DPP-4i), all combined with metformin. METHODS: A short-term decision
analytic model with a 1-year time horizon was developed from a payer's
perspective in the United States setting. Costs and benefits associated with four
clinical end-points (glycated hemoglobin [A1C], body weight, systolic blood
pressure [SBP], and risk of hypoglycemia) were evaluated in the analysis. The
impact of DAPA and other glucose-lowering therapy classes on these clinical end
points was estimated from a network meta-analysis (NMA). Data for costs and
quality-adjusted life-years (QALYs) associated with a per-unit change in these
clinical end-points were taken from published literature. Drug prices were taken
from an annual wholesale price list. All costs were inflation-adjusted to
December 2016 costs using the medical care component of the consumer price index.
Total costs (both medical and drug costs), total QALYs, and incremental cost
effectiveness ratios (ICERs) were estimated. Sensitivity analyses (SA) were
performed to explore uncertainty in the inputs. To assess face validity, results
from the short-term model were compared with long-term models published for these
drugs. RESULTS: The total annual medical cost for DAPA was less than that for GLP
1RA ($186 less), DPP-4i ($1,142 less), SU ($2,474 less), and TZD ($1,640 less).
Treatment with DAPA resulted in an average QALY gain of 0.0107, 0.0587, 0.1137,
and 0.0715 per treated patient when compared with GLP-1RA, DPP-4i, SU, and TZD,
respectively. ICERs for DAPA vs SU and TZD were $19,005 and $25,835,
respectively. DAPA was a cost-saving option when compared with GLP-1RAs and DPP
4is. Among all four clinical end-points, change in weight had the greatest impact
on total annual costs and ICERS. Sensitivity analysis showed that results were
robust, and results from the short-term model were found to be similar to those
of published long-term models. CONCLUSION: This analysis showed that DAPA was
cost-saving compared with GLP-1RA and DPP-4i, and cost-effective compared with SU
and TZD in the US setting over 1 year. Furthermore, the results suggest that,
among the four composite clinical end-points, change in weight and SBP had an
impact on cost-effectiveness results.
PMID- 29376761
TI - Meaningful relief with flurbiprofen 8.75 mg spray in patients with sore throat
due to upper respiratory tract infection.
AB - AIM: Evaluate the efficacy of flurbiprofen 8.75 mg spray for sore throat relief.
PATIENTS & METHODS: Randomized, double-blind study in adults with sore throat due
to upper respiratory tract infection who took flurbiprofen (n = 249) or placebo
spray (n = 256). Pain relief was assessed using the Sore Throat Relief Rating
Scale. RESULTS: Flurbiprofen spray provided significantly greater relief versus
placebo from 20 min to 6 h (p < 0.0001; maximum difference: 75 min). Sore throat
severity was reduced >=-2.2 on the Sore Throat Scale from 75 min to 6 h,
indicating meaningful relief. Significantly more patients taking flurbiprofen
spray reported >=30 min of 'at least moderate' relief versus placebo over 6 h (p
< 0.0001). Most adverse events were mild. CONCLUSION: Flurbiprofen spray provides
rapid, long-lasting and clinically meaningful relief from sore throat (ANZCTR:
ACTRN12612000457842).
PMID- 29376762
TI - Cluster analysis for repeated data with dropout: Sensitivity analysis using a
distal event.
AB - Degeneration of the aortic wall becomes life-threatening when the risk of rupture
increases. Cluster analysis on repeated measures of the diameter of the artery
revealed two subgroups of patients included in a surveillance program. These
results were obtained under the assumption of missingness at random. In this
article, we study the vulnerability of the cluster analysis results - the
estimated trajectories and the posterior membership probabilities - by applying
different missing-data models for non-ignorable dropout, as proposed by Muthen et
al. (2011) to the growth of the diameter of the artery.
PMID- 29376763
TI - Enhancing individual and collaborative eyewitness memory with category clustering
recall.
AB - Most crimes have multiple eyewitnesses. The police typically interview co
witnesses separately. In time-sensitive investigations, this could slow down
evidence accumulation. Having co-witnesses collaboratively recall a crime could
potentially expedite evidence accumulation. However, past research shows that
collaborative group members often have conflicting retrieval strategies that
disrupt each other, degrading overall recall. This cost could potentially be
overcome by aligning group members' retrieval strategies with category clustering
recall (CCR), which is a retrieval strategy where information is recalled from a
series of forensically relevant categories (e.g., recalling the protagonists'
appearance, then actions). This study examined the costs and benefits of
collaborative eyewitness memory by having collaborative pairs of strangers,
nominal pairs (i.e., two individuals whose recall is pooled) and lone individuals
watch a crime and recall it using free recall or CCR. The collaborative pairs
recalled the crime faster than the nominal pairs. They also recalled more correct
information than individuals but less than nominal pairs, irrespective of the
retrieval method. There is therefore a speed-recall completeness trade-off when
collaborative groups recall crimes. Importantly, all participants recalled more
correct information when using CCR. This provides initial evidence suggesting
that CCR is superior to free recall. Further research examining CCR's benefits is
recommended.
PMID- 29376764
TI - Metallated porphyrin-doped conjugated polymer nanoparticles for efficient
photodynamic therapy of brain and colorectal tumor cells.
AB - AIM: Assess biocompatibility, uptake and photodynamic therapy (PDT) mechanism of
metallated porphyrin doped conjugated polymer nanoparticles (CPNs) in human brain
and colorectal tumor cells and macrophages. MATERIALS & METHODS: CPNs were
developed employing 9,9-dioctylfluorene-alt-benzothiadiazole, an amphiphilic
polymer (PS-PEG-COOH), and platinum octaethylporphyrin. T98G, SW480 and RAW
264.7 cell lines were exposed to CPNs to assess uptake and intracellular
localization. Additionally, a PDT protocol using CPNs was employed for the in
vitro killing of cancer and macrophage cell lines. RESULTS & CONCLUSION: CPNs
were well incorporated into glioblastoma and macrophage cells with localization
in lysosomes. SW480 cells were less efficient incorporating CPNs with
localization in the plasma membrane. In all cell lines PDT treatment was
efficient inducing oxidative stress that triggered apoptosis.
PMID- 29376765
TI - Phytosomal bilayer-enveloped casein micelles for codelivery of monascus yellow
pigments and resveratrol to breast cancer.
AB - AIM: Multireservoir nanocarriers were fabricated for delivering antineoplastic
drug cocktail from herbal and fungal origin. Monascus yellow pigments (MYPs),
monascin and ankaflavin, were isolated from red-mold rice, and incorporated
within casein micelles (CAS MCs) along with the herbal drug, resveratrol (RSV).
Both drugs (MYPs and RSV) were simultaneously incorporated into the hydrophobic
core of CAS MCs. Alternatively, MYPs-loaded CAS MCs were enveloped within RSV
phytosomal bilayer elaborating multireservoir nanocarriers. RESULTS: Cytotoxicity
studies confirmed the superiority of multireservoir nanocarriers against MCF-7
breast cancer cells. The in vivo antitumor efficacy was revealed by reduction of
the tumor volume and growth biomarkers. CONCLUSION: Multireservoir CAS
nanocarriers for codelivery of both MYPs and RSV may be promising alternative to
traditional breast cancer therapy.
PMID- 29376766
TI - Recollection improves with age: children's and adults' accounts of their
childhood experiences.
AB - Since the time of Freud, psychologists have drawn conclusions about children's
memory on the basis of retrospective research with adults. Here, we turn the
tables by examining what prospective studies with children and adolescents can
tell us about the retrospective memory accounts provided by adults. Adults were
interviewed about recent events and events from different points during their
childhood (Age 5, Age 10) and early adolescence (Age 13). Children (5- and 8- to
9-year-olds) and young adolescents (12- to 13-year-olds) were interviewed about
recent events. When matched for age at the time of encoding, adults recalled more
about the target events than did 5-year-olds, even though the retention interval
for adults was substantially longer. We conclude that retrospective studies with
adults may lead researchers to overestimate the content of the early childhood
memories that survive. We discuss the theoretical implications of these findings
for an understanding of memory development and the practical implications for the
interpretation of adults' retrospective accounts in the courtroom.
PMID- 29376767
TI - Re-examination of "release-from-PI" phenomena: recall accuracy does not recover
after a semantic switch.
AB - Recall accuracy decreases over successive memory trials using similar memoranda.
This effect reflects proactive interference (PI) - the tendency for previously
studied information to reduce recall of new information. However, recall improves
if memoranda for a subsequent trial are semantically dissimilar from the previous
trials. This improvement is thought to reflect a release from PI. We tested
whether PI is reduced or released from the semantic category for which it had
been induced by employing paradigms which featured inducement, semantic switch,
and then return-to-original category epochs. Two experiments confirmed that PI
was not released after various semantic switch trials (effects from d = -0.93 to
1.6). Combined analyses from both studies demonstrated that the number of
intervening new category trials did not reduce or release PI. In fact, in all
conditions recall accuracy decreased, demonstrating that PI is maintained and can
increase after the new category trials. The release-from-PI account cannot
accommodate these broader dynamics of PI. This account is also incongruent with
evidence and theory from cognitive psychology, linguistics, and neuroscience. We
propose a reintroduction-of-PI account which explains these broader PI dynamics
and is consistent with the wider psychological and neurosciences.
PMID- 29376768
TI - An efficient and practical synthesis of formylglycinamide ribonucleotide (FGAR).
AB - An efficient five-step synthetic route for multigram-scale preparation of
formylglycinamide ribonucleotide (FGAR) from peracetylated beta-d-ribofuranosyl
azide has been developed.
PMID- 29376769
TI - Tricyclic nitrogen base 1,N6-ethenoadenine and its ribosides as substrates for
purine-nucleoside phosphorylases: Spectroscopic and kinetic studies.
AB - The title compound is an excellent substrate for E. coli PNP, as well as for its
D204N mutant. The main product of the synthetic reaction is N9-riboside, but some
amount of N7-riboside is also present. Surprisingly, 1,N6-ethenoadenine is also
ribosylated by both wild-type and mutated (N243D) forms of calf PNP, which
catalyze the synthesis of a different riboside, tentatively identified as N6-beta
D-ribosyl-1,N6-ethenoadenine. All ribosides are susceptible to phosphorolysis by
the E. coli PNP (wild type). All the ribosides are fluorescent and can be
utilized as analytical probes.
PMID- 29376770
TI - Sacral examination in spinal cord injury: Is it really needed?
AB - OBJECTIVE: To determine if a self-report measure of S4-5 motor and sensory
function in patients with chronic SCI accurately predicts sacral examination
results. DESIGN: Prospective, single-blinded self-report survey compared with
sacral exam. SETTING: Outpatient SCI clinic. PARTICIPANTS: 116 patients aged 18+
with chronic SCI > 6 months who have undergone sacral exam. INTERVENTIONS: The
survey included demographic/clinical and sacral function information such as
light tough (LT), pinprick sensation (PP), deep anal pressure (DAP) and voluntary
anal contraction (VAC). Survey results and sacral exam were compared and
stratified by the patient's American Spinal Cord Injury Association Impairment
Scale (AIS) category. OUTCOME MEASURES: Sacral self-report survey, AIS
examination. RESULTS: Mean age was 41.3 +/- 14.4 years with majority male (69%)
and Caucasian (71.6%). Overall, Positive Predictive Value (PPV) ranged between
48% (VAC) to 73% (DAP) and Negative Predictive Value (NPV) between 92% (VAC) to
100% (LT). AIS-A had NPV of 100% across all categories, and AIS-D had PPV of 100%
across all categories. CONCLUSION: Patient report of sacral sparing can predict
negative sensation in patients with AIS-A and predict positive sensation in
persons with AIS-D. Overall, the self-report of sacral sparing of motor and
sensory function is not predictive enough to rely on for accurate classification.
PMID- 29376773
TI - Can spatialisation be extended to episodic memory and open sets?
AB - The SPoARC effect (Spatial Positional Associated Response Codes) has only been
observed in working memory (WM) using closed sets. It is interpreted as showing
that individuals spatialise to-be-remembered items in a left-to-right fashion,
using spatialisation as context. Given that context is crucial for episodic
memory (EM), we tested if this effect could be observed in EM by using 15-word
lists taken from an open set. After each list, 30 probes were sequentially
displayed to test recognition. The left/right-hand key assignment for yes/no
answers was varied. No SPoARC effect was observed. However, as all previous
SPoARC experiments had used short lists and closed sets, it was not possible to
know if this absence of SPoARC was due to the open set feature or the length of
the lists. A second experiment was thus run using open sets and short 5-word
lists, which do not necessitate EM to be remembered. A SPoARC effect was observed
indicating that Experiment 1 result was due to the involvement of supra-span
lists and that SPoARC effects do not extend to EM with open sets. Experiment 2
also enabled us to generalise the SPoARC effect to open sets in WM for the first
time.
PMID- 29376774
TI - Comparison of affective responses during and after low volume high-intensity
interval exercise, continuous moderate- and continuous high-intensity exercise in
active, untrained, healthy males.
AB - This study compared affective responses to low volume high-intensity interval
exercise (HIIE), moderate-intensity continuous exercise (MICE) and high-intensity
continuous exercise (HICE). Twelve untrained males ([Formula: see text] 48.2 +/-
6.7 ml.kg-1.min-1) completed MICE (30 min cycle at 85% of ventilatory threshold
(VT)), HICE (cycle at 105% of VT matched with MICE for total work), and HIIE (10
x 6 s cycle sprints with 60 s recovery). Affective valence and perceived
activation were measured before exercise, post warm-up, every 20% of exercise
time, and 1, 5, 10, and 15 min post-exercise. Affective valence during exercise
declined by 1.75 +/- 2.42, 1.17 +/- 1.99, and 0.42 +/- 1.38 units in HICE, HIIE,
and MICE, respectively, but was not statistically influenced by trial (P = 0.35),
time (P = 0.06), or interaction effect (P = 0.08). Affective valence during HICE
and HIIE was consistently less positive than MICE. Affective valence post
exercise was not statistically influenced by trial (P = 0.10) and at 5 min post
exercise exceeded end-exercise values (P = 0.048). Circumplex profiles showed no
negative affect in any trial. Affective responses to low volume HIIE are similar
to HICE but remain positive and rebound rapidly, suggesting it may be a potential
alternative exercise prescription.
PMID- 29376775
TI - Influence of ionic crosslinkers (Ca2+/Ba2+/Zn2+) on the mechanical and biological
properties of 3D Bioplotted Hydrogel Scaffolds.
AB - Three dimensional (3D) bioplotting requires appropriate crosslinkers to crosslink
the hydrogel precursor while simultaneously maintaining the viability of embedded
cells. However, the evaluation and comparison of various types of crosslinkers in
bioplotting remains underexplored to date. This paper presents our study of the
influence of three ionic crosslinkers-calcium chloride (CaCl2), barium chloride
(BaCl2), and zinc chloride (ZnCl2)-on the mechanical and biological properties of
3D bioplotted alginate scaffolds. The scaffold mechanical properties
characterized included the elastic modulus, swelling, and degradation while the
biological properties considered included Schwann cell viability and surface
morphology. The mechanical and biological properties of the bioplotted scaffolds
were both dependent on the crosslinkers used for fabrication; specifically,
crosslinking ions resulted in the elastic modulus of the hydrogels decreasing in
the order BaCl2>CaCl2>ZnCl2 over 42 days while Schwann cell viability decreased
in the order CaCl2>BaCl2>ZnCl2 over 7 days. Taken together, these results offer
insights that are effective in terms of manipulating the 3D bioplotting process
so as to tune and optimize the mechanical and biological performance of the
plotted scaffolds for tissue engineering applications.
PMID- 29376777
TI - The mysterious thymus gland and its surgery.
PMID- 29376776
TI - A natively paired antibody library yields drug leads with higher sensitivity and
specificity than a randomly paired antibody library.
AB - Deep sequencing and single-chain variable fragment (scFv) yeast display methods
are becoming more popular for discovery of therapeutic antibody candidates in
mouse B cell repertoires. In this study, we compare a deep sequencing and scFv
display method that retains native heavy and light chain pairing with a related
method that randomly pairs heavy and light chain. We performed the studies in a
humanized mouse, using interleukin 21 receptor (IL-21R) as a test immunogen. We
identified 44 high-affinity binder scFv with the native pairing method and 100
high-affinity binder scFv with the random pairing method. 30% of the natively
paired scFv binders were also discovered with the randomly paired method, and 13%
of the randomly paired binders were also discovered with the natively paired
method. Additionally, 33% of the scFv binders discovered only in the randomly
paired library were initially present in the natively paired pre-sort library.
Thus, a significant proportion of "randomly paired" scFv were actually natively
paired. We synthesized and produced 46 of the candidates as full-length
antibodies and subjected them to a panel of binding assays to characterize their
therapeutic potential. 87% of the antibodies were verified as binding IL-21R by
at least one assay. We found that antibodies with native light chains were more
likely to bind IL-21R than antibodies with non-native light chains, suggesting a
higher false positive rate for antibodies from the randomly paired library.
Additionally, the randomly paired method failed to identify nearly half of the
true natively paired binders, suggesting a higher false negative rate. We
conclude that natively paired libraries have critical advantages in sensitivity
and specificity for antibody discovery programs.
PMID- 29376778
TI - Perioperative management of implantable cardioverter defibrillators.
PMID- 29376780
TI - The theatre 'cockpit' - lessons from aviation.
PMID- 29376781
TI - Auld Lang Syne.
PMID- 29376783
TI - An evaluation of a flipped approach to risk training in the operating theatre.
PMID- 29376782
TI - A rare reaction to synthetic mono-filament sutures: a report of two cases.
AB - Due to their clinical advantages, synthetic mono-filament sutures are dominantly
used for postoperative wound closures. We present two patients who suffered rare
skin reactions to either poliglecaprone 25 or glyconate sutures, following breast
cancer lumpectomy. This report aims to make perioperative practitioners and
clinicians aware of reactions to sutures and possible management options.
PMID- 29376785
TI - Which pen? A comparative study of surgical site markers.
AB - A preoperative requirement is the correct and clear marking of a specific
surgical site. We aimed to compare the ability of marker pens to withstand
surgical preparation. Five volunteers with different Fitzpatrick skin types were
marked with ten pens. Marked skin sites were prepared with chlorhexidine followed
by chlorhexidine, betadine followed by chlorhexidine, and betadine followed by
betadine. Each site was photographed in theatre. Two volunteers ranked the top
three most visible marker pens from each photograph. The results showed that
Sharpie(r) W10 black, Dual Tip (Purple Surgical), and Easimark modern regular tip
(Leonhard Lang) were the best performers across all skin types. Red pen should be
avoided with betadine skin preparation. The study concludes that the above named
three markers are the best at withstanding surgical skin preparation. Different
skin types require different colour ink for maximal clarity in marking. Biro and
drywipe markers should never be used for surgical marking.
PMID- 29376786
TI - The effect of multimedia-based nursing visit on preoperative anxiety and vital
signs in patients undergoing lumbar disc herniation surgery: A randomised
clinical trial.
AB - This study was a randomised clinical trial on 60 candidates undergoing lumbar
disc herniation surgery. Anxiety rate and vital signs were measured before and
after the intervention. There was a statistically significant difference between
the two groups in terms of the preoperative anxiety, systolic and diastolic blood
pressure, pulse and respiratory rate (P = 0.0001). Neurosurgery perioperative
nurses can make use of multimedia in preoperative nursing visit based on the
needs of patients.
PMID- 29376819
TI - Associations between provider and hospital volumes and postoperative mortality
following total hip arthroplasty in New Brunswick: results from a provincial
level cohort study.
AB - BACKGROUND: Several international studies have reported negative associations
between hospital and/or provider volume and risk of postoperative death following
total hip arthroplasty (THA). The only Canadian studies to report on this have
been based in Ontario and have found no such association. We describe
associations between postoperative deaths following THA and provider caseload
volume, also adjusted for hospital volume, in a population-based cohort in New
Brunswick. METHODS: Our analyses are based on hospital discharge abstract data
linked to vital statistics and to patient registry data. We considered all first
known admissions for THA in New Brunswick between Jan. 1, 2007, and Dec. 31,
2013. Provider volume was defined as total THAs performed over the preceding 2
years. We fit logistic regression models to identify odds of dying within 30 and
90 days according to provider caseload volume adjusted for selected personal and
contextual characteristics. RESULTS: About 7095 patients were admitted for THA in
New Brunswick over the 7-year study period and 170 died within 30 days. We found
no associations with provider volume and postoperative mortality in any of our
models. Adjustment for contextual characteristics or hospital volume had no
effects on this association. CONCLUSION: Our results suggest that patients
admitted for hip replacements in New Brunswick can expect to have similar risk of
death regardless of whether they are admitted to see a provider with high or low
THA volumes and of whether they are admitted to the province's larger or smaller
hospitals.
PMID- 29376820
TI - Kidney paired donation and the unique challenges of kidney shipment in Canada.
AB - SUMMARY: Kidney paired donation (KPD) programs are an effort to bridge the
disparity between kidney supply and demand. These programs combine several
incompatible donor-recipient pairs in a national paired exchange database,
thereby increasing the number of compatible matches. But KPD programs face unique
challenges, particularly the large distances that often separate donors and
recipients. Here we discuss key factors to consider when transitioning from a
donor travelling model to a kidney shipment model in the Canadian context.
PMID- 29376821
TI - Surgical intervention in pediatric trauma at a level 1 trauma hospital: a
retrospective cohort study and report of cost data.
AB - BACKGROUND: Given that the management of severely injured children requires
coordinated care provided by multiple pediatric surgical subspecialties, we
sought to describe the frequency and associated costs of surgical intervention
among pediatric trauma patients admitted to a level 1 trauma centre in
southwestern Ontario. METHODS: All pediatric (age < 18 yr) trauma patients
treated at the Children's Hospital - London Health Sciences Centre (CH-LHSC)
between 2002 and 2013 were included in this study. We compared patients
undergoing surgical intervention with a nonsurgical group with respect to
demographic characteristics and outcomes. Hospital-associated costs were
calculated only for the surgical group. RESULTS: Of 784 injured children, 258
(33%) required surgery, 40% of whom underwent orthopedic interventions. These
patients were older and more severely injured, and they had longer lengths of
stay than their nonsurgical counterparts. There was no difference in mortality
between the groups. Seventy-four surgical patients required intervention within 4
hours of admission; 45% of them required neurosurgical intervention. The median
cost of hospitalization was $27 571 for the surgical group. CONCLUSION: One-third
of pediatric trauma patients required surgical intervention, of whom one-third
required intervention within 4 hours of arrival. Despite the associated costs,
the surgical treatment of children was associated with comparable mortality to
nonsurgical treatment of less severely injured patients. This study represents
the most recent update to the per patient cost for surgically treated pediatric
trauma patients in Ontario, Canada, and helps to highlight the multispecialty
care needed for the management of injured children.
PMID- 29376822
TI - Suppression and facilitation of human neural responses.
AB - Efficient neural processing depends on regulating responses through suppression
and facilitation of neural activity. Utilizing a well-known visual motion
paradigm that evokes behavioral suppression and facilitation, and combining five
different methodologies (behavioral psychophysics, computational modeling,
functional MRI, pharmacology, and magnetic resonance spectroscopy), we provide
evidence that challenges commonly held assumptions about the neural processes
underlying suppression and facilitation. We show that: (1) both suppression and
facilitation can emerge from a single, computational principle - divisive
normalization; there is no need to invoke separate neural mechanisms, (2) neural
suppression and facilitation in the motion-selective area MT mirror perception,
but strong suppression also occurs in earlier visual areas, and (3) suppression
is not primarily driven by GABA-mediated inhibition. Thus, while commonly used
spatial suppression paradigms may provide insight into neural response magnitudes
in visual areas, they should not be used to infer neural inhibition.
PMID- 29376824
TI - Cell volume changes contribute to epithelial morphogenesis in zebrafish Kupffer's
vesicle.
AB - How epithelial cell behaviors are coordinately regulated to sculpt tissue
architecture is a fundamental question in biology. Kupffer's vesicle (KV), a
transient organ with a fluid-filled lumen, provides a simple system to
investigate the interplay between intrinsic cellular mechanisms and external
forces during epithelial morphogenesis. Using 3-dimensional (3D) analyses of
single cells we identify asymmetric cell volume changes along the anteroposterior
axis of KV that coincide with asymmetric cell shape changes. Blocking ion flux
prevents these cell volume changes and cell shape changes. Vertex simulations
suggest cell shape changes do not depend on lumen expansion. Consistent with this
prediction, asymmetric changes in KV cell volume and shape occur normally when KV
lumen growth fails due to leaky cell adhesions. These results indicate ion flux
mediates cell volume changes that contribute to asymmetric cell shape changes in
KV, and that these changes in epithelial morphology are separable from lumen
generated forces.
PMID- 29376825
TI - The modulation of neural gain facilitates a transition between functional
segregation and integration in the brain.
AB - Cognitive function relies on a dynamic, context-sensitive balance between
functional integration and segregation in the brain. Previous work has proposed
that this balance is mediated by global fluctuations in neural gain by
projections from ascending neuromodulatory nuclei. To test this hypothesis in
silico, we studied the effects of neural gain on network dynamics in a model of
large-scale neuronal dynamics. We found that increases in neural gain directed
the network through an abrupt dynamical transition, leading to an integrated
network topology that was maximal in frontoparietal 'rich club' regions. This
gain-mediated transition was also associated with increased topological
complexity, as well as increased variability in time-resolved topological
structure, further highlighting the potential computational benefits of the gain
mediated network transition. These results support the hypothesis that neural
gain modulation has the computational capacity to mediate the balance between
integration and segregation in the brain.
PMID- 29376823
TI - The genome of the Hi5 germ cell line from Trichoplusia ni, an agricultural pest
and novel model for small RNA biology.
AB - We report a draft assembly of the genome of Hi5 cells from the lepidopteran
insect pest, Trichoplusia ni, assigning 90.6% of bases to one of 28 chromosomes
and predicting 14,037 protein-coding genes. Chemoreception and detoxification
gene families reveal T. ni-specific gene expansions that may explain its
widespread distribution and rapid adaptation to insecticides. Transcriptome and
small RNA data from thorax, ovary, testis, and the germline-derived Hi5 cell line
show distinct expression profiles for 295 microRNA- and >393 piRNA-producing
loci, as well as 39 genes encoding small RNA pathway proteins. Nearly all of the
W chromosome is devoted to piRNA production, and T. ni siRNAs are not 2'-O
methylated. To enable use of Hi5 cells as a model system, we have established
genome editing and single-cell cloning protocols. The T. ni genome provides
insights into pest control and allows Hi5 cells to become a new tool for studying
small RNAs ex vivo.
PMID- 29376826
TI - Mitochondria-specific photoactivation to monitor local sphingosine metabolism and
function.
AB - Photoactivation ('uncaging') is a powerful approach for releasing bioactive small
molecules in living cells. Current uncaging methods are limited by the random
distribution of caged molecules within cells. We have developed a mitochondria
specific photoactivation method, which permitted us to release free sphingosine
inside mitochondria and thereafter monitor local sphingosine metabolism by
lipidomics. Our results indicate that sphingosine was quickly phosphorylated into
sphingosine 1-phosphate (S1P) driven by sphingosine kinases. In time-course
studies, the mitochondria-specific uncaged sphingosine demonstrated distinct
metabolic patterns compared to globally-released sphingosine, and did not induce
calcium spikes. Our data provide direct evidence that sphingolipid metabolism and
signaling are highly dependent on the subcellular location and opens up new
possibilities to study the effects of lipid localization on signaling and
metabolic fate.
PMID- 29376827
TI - Activating the regenerative potential of Muller glia cells in a regeneration
deficient retina.
AB - Regeneration responses in animals are widespread across phyla. To identify
molecular players that confer regenerative capacities to non-regenerative species
is of key relevance for basic research and translational approaches. Here, we
report a differential response in retinal regeneration between medaka (Oryzias
latipes) and zebrafish (Danio rerio). In contrast to zebrafish, medaka Muller
glia (olMG) cells behave like progenitors and exhibit a restricted capacity to
regenerate the retina. After injury, olMG cells proliferate but fail to self
renew and ultimately only restore photoreceptors. In our injury paradigm, we
observed that in contrast to zebrafish, proliferating olMG cells do not maintain
sox2 expression. Sustained sox2 expression in olMG cells confers regenerative
responses similar to those of zebrafish MG (drMG) cells. We show that a single,
cell-autonomous factor reprograms olMG cells and establishes a regeneration-like
mode. Our results position medaka as an attractive model to delineate key
regeneration factors with translational potential.
PMID- 29376828
TI - Portraits of a pressure sensor.
AB - Near atomic-resolution structures have provided insights into the mechanisms by
which the Piezo1 ion channel senses and responds to mechanical stimuli.
PMID- 29376830
TI - Bond-orientational order and Frank's constant in two-dimensional colloidal hard
spheres.
AB - Recently, the full phase behaviour of 2D colloidal hard spheres was
experimentally established, and found to involve a first order liquid to hexatic
transition and a continuous hexatic to crystal transition (Thorneywork et al 2017
Phys. Rev. Lett. 118 158001). Here, we expand upon this work by considering the
behaviour of the bond-orientational correlation time and Frank's constant in the
region of these phase transitions. We also consider the excess entropy, as
calculated from the radial distribution functions, for a wide range of area
fractions covering the liquid, hexatic and crystal phases. In all cases, the
behaviour of these quantities further corroborates the previously reported
melting scenario of 2D colloidal hard spheres.
PMID- 29376831
TI - Topologically-protected one-way leaky waves in nonreciprocal plasmonic
structures.
AB - We investigate topologically-protected unidirectional leaky waves on magnetized
plasmonic structures acting as homogeneous photonic topological insulators. Our
theoretical analyses and numerical experiments aim at unveiling the general
properties of these exotic surface waves, and their nonreciprocal and topological
nature. In particular, we study the behavior of topological leaky modes in
stratified structures composed of a magnetized plasma at the interface with
isotropic conventional media, and we show how to engineer their propagation and
radiation properties, leading to topologically-protected backscattering-immune
wave propagation, and highly directive and tunable radiation. Taking advantage of
the non-trivial topological properties of these leaky modes, we also
theoretically demonstrate advanced functionalities, including arbitrary re
routing of leaky waves on the surface of bodies with complex shapes, as well as
the realization of topological leaky-wave (nano)antennas with isolated channels
of radiation that are completely independent and separately tunable. Our findings
help shedding light on the behavior of topologically-protected modes in open wave
guiding structures, and may open intriguing directions for future antenna
generations based on topological structures, at microwaves and optical
frequencies.
PMID- 29376829
TI - TGF-beta uses a novel mode of receptor activation to phosphorylate SMAD1/5 and
induce epithelial-to-mesenchymal transition.
AB - The best characterized signaling pathway downstream of transforming growth factor
beta (TGF-beta) is through SMAD2 and SMAD3. However, TGF-beta also induces
phosphorylation of SMAD1 and SMAD5, but the mechanism of this phosphorylation and
its functional relevance is not known. Here, we show that TGF-beta-induced
SMAD1/5 phosphorylation requires members of two classes of type I receptor,
TGFBR1 and ACVR1, and establish a new paradigm for receptor activation where
TGFBR1 phosphorylates and activates ACVR1, which phosphorylates SMAD1/5. We
demonstrate the biological significance of this pathway by showing that
approximately a quarter of the TGF-beta-induced transcriptome depends on SMAD1/5
signaling, with major early transcriptional targets being the ID genes. Finally,
we show that TGF-beta-induced epithelial-to-mesenchymal transition requires
signaling via both the SMAD3 and SMAD1/5 pathways, with SMAD1/5 signaling being
essential to induce ID1. Therefore, combinatorial signaling via both SMAD
pathways is essential for the full TGF-beta-induced transcriptional program and
physiological responses.
PMID- 29376832
TI - Surface charge method for molecular surfaces with curved areal elements I.
Spherical triangles.
AB - Parametrizing a curved surface with flat triangles in electrostatics problems
creates a diverging electric field. One way to avoid this is to have curved areal
elements. However, charge density integration over curved patches appears
difficult. This paper, dealing with spherical triangles, is the first in a series
aiming to solve this problem. Here, we lay the ground work for employing curved
patches for applying the surface charge method to electrostatics. We show
analytically how one may control the accuracy by expanding in powers of the the
arc length (multiplied by the curvature). To accommodate not extremely small
curved areal elements, we have provided enough details to include higher order
corrections that are needed for better accuracy when slightly larger surface
elements are used.
PMID- 29376833
TI - Ultrahigh lattice thermal conductivity in topological semimetal TaN caused by a
large acoustic-optical gap.
AB - Topological semimetals may have potential applications such as in topological
qubits, spintronics and quantum computations. Efficient heat dissipation is a key
factor for the reliability and stability of topological semimetal-based nano
electronics devices, which is closely related to high thermal conductivity. In
this work, the elastic properties and lattice thermal conductivity of TaN are
investigated using first-principles calculations and the linearized phonon
Boltzmann equation within the single-mode relaxation time approximation.
According to the calculated bulk modulus, shear modulus and C 44, TaN can be
regarded as a potential incompressible and hard material. The room-temperature
lattice thermal conductivity is predicted to be 838.62 [Formula: see text] along
the a axis and 1080.40 [Formula: see text] along the c axis, showing very strong
anisotropy. It is found that the lattice thermal conductivity of TaN is several
tens of times higher than other topological semimetals, such as TaAs, MoP and
ZrTe, which is due to the very longer phonon lifetimes for TaN than other
topological semimetals. The very different atomic masses of Ta and N atoms lead
to a very large acoustic-optical band gap, and then prohibit the scattering
between acoustic and optical phonon modes, which gives rise to very long phonon
lifetimes. Calculated results show that isotope scattering has little effect on
lattice thermal conductivity, and that phonons with mean free paths larger than
20 (80) [Formula: see text] along the c direction at 300 K have little
contribution to the total lattice thermal conductivity. This work implies that
TaN-based nano-electronics devices may be more stable and reliable due to
efficient heat dissipation, and motivates further experimental works to study
lattice thermal conductivity of TaN.
PMID- 29376834
TI - Quasi free-standing epitaxial graphene fabrication on 3C-SiC/Si(111).
AB - Growing graphene on SiC thin films on Si is a cheaper alternative to the growth
on bulk SiC, and for this reason it has been recently intensively investigated.
Here we study the effect of hydrogen intercalation on epitaxial graphene obtained
by high temperature annealing on 3C-SiC/Si(111) in ultra-high vacuum. By using a
combination of core-level photoelectron spectroscopy, low energy electron
diffraction, and near-edge x-ray absorption fine structure (NEXAFS) we find that
hydrogen saturates the Si atoms at the topmost layer of the substrate, leading to
free-standing graphene on 3C-SiC/Si(111). The intercalated hydrogen fully desorbs
after heating the sample at 850 degrees C and the buffer layer appears again,
similar to what has been reported for bulk SiC. However, the NEXAFS analysis
sheds new light on the effect of hydrogen intercalation, showing an improvement
of graphene's flatness after annealing in atomic H at 600 degrees C. These
results provide new insight into free-standing graphene fabrication on SiC/Si
thin films.
PMID- 29376835
TI - Broad-spectrum enhanced absorption of graphene-molybdenum disulfide photovoltaic
cells in metal-mirror microcavity.
AB - The optical absorption of graphene-molybdenum disulfide photovoltaic cells (GM
PVc) in wedge-shaped metal-mirror microcavities (w-MMCs) combined with a spectrum
splitting structure was studied. Results showed that the combination of spectrum
splitting structure and w-MMC can enable the light absorption of GM-PVcs to reach
about 65% in the broad spectrum. The influence of processing errors on the
absorption of GM-PVcs in w-MMCs was 3-14 times lower than that of GM-PVcs in
wedge photonic crystal microcavities. The light absorption of GM-PVcs reached 60%
in the broad spectrum, even with the processing errors. The proposed structure is
easy to implement and may have potentially important applications in the
development of ultra-thin and high-efficiency solar cells and optoelectronic
devices.
PMID- 29376836
TI - Micrometer-sized TPM emulsion droplets with surface-mobile binding groups.
AB - Colloids coated with lipid membranes have been widely employed for fundamental
studies of lipid membrane processes, biotechnological applications such as drug
delivery and biosensing, and more recently, for self-assembly. The latter has
been made possible by inserting DNA oligomers with covalently linked hydrophobic
anchors into the membrane. The lateral mobility of the DNA linkers on micrometer
sized droplets and solid particles has opened the door to creating structures
with unprecedented structural flexibility. Here, we investigate micro-emulsions
of TPM (3-(trimethoxysilyl)propyl methacrylate) as a platform for lipid
monolayers and further functionalization with proteins and DNA oligonucleotides.
TPM droplets can be produced with a narrow size distribution and are
polymerizable, thus providing supports for model lipid membranes with controlled
size and curvature. With fluorescence recovery after photobleaching, we observed
that droplet-attached lipids, NeutrAvidin proteins, as well as DNA
oligonucleotides all show mobility on the surface. We explored the assembly of
micron-sized particles on TPM-droplets by exploiting either avidin-biotin
interactions or double-stranded DNA with complementary single-stranded end
groups. While the single molecules are mobile, the particles that are attached to
them are not. We propose that this is caused by the heterogeneous nature of
emulsified TPM, which forms an oligomer network that limits the collective motion
of linkers, but allows the surface mobility of individual molecules.
PMID- 29376837
TI - Distinguishing quantum dot-like localized states from quantum well-like extended
states across the exciton emission line in a quantum well.
AB - We have closely examined the emission spectrum at the heavy-hole exciton
resonance in a high-quality GaAs multi-quantum well sample using picosecond
excitation-correlation photoluminescence (ECPL) spectroscopy. Dynamics of the
ECPL signal at low and high energy sides of the excitonic photoluminescence (PL)
peak show complementary behavior. The ECPL signal is positive (negative) below
(above) the PL peak and it changes sign within a narrow band of energy lying
between the excitonic absorption and emission peaks. The energy at which this
sign change takes place is interpreted as the excitonic mobility edge as it
separates localized excitons in quantum dot-like states from mobile excitons in
quantum well-like states.
PMID- 29376838
TI - Model observer for assessing digital breast tomosynthesis for multi-lesion
detection in the presence of anatomical noise.
AB - Model observers are widely used in task-based assessments of medical image
quality. The presence of multiple abnormalities in a single set of images, such
as in multifocal multicentric breast cancer (MFMC), has an immense clinical
impact on treatment planning and survival outcomes. Detecting multiple breast
tumors is challenging as MFMC is relatively uncommon, and human observers do not
know the number or locations of tumors a priori. Digital breast tomosynthesis
(DBT), in which an x-ray beam sweeps over a limited angular range across the
breast, has the potential to improve the detection of multiple tumors. However,
prior studies of DBT image quality all focus on unifocal breast cancers. In this
study, we extended our 2D multi-lesion (ML) channelized Hotelling observer (CHO)
into a 3D ML-CHO that detects multiple lesions from volumetric imaging data. Then
we employed the 3D ML-CHO to identify optimal DBT acquisition geometries for
detection of MFMC. Digital breast phantoms with multiple embedded synthetic
lesions were scanned by simulated DBT scanners of different geometries
(wide/narrow angular span, different number of projections per scan) to simulate
MFMC cases. With new implementations of 3D partial least squares (PLS) and
modified Laguerre-Gauss (LG) channels, the 3D ML-CHO made detection decisions
based upon the overall information from individual DBT slices and their
correlations. Our evaluation results show that: (1) the 3D ML-CHO could achieve
good detection performance with a small number of channels, and 3D PLS channels
on average outperform the counterpart LG channels; (2) incorporating locally
varying anatomical backgrounds and their correlations as in the 3D ML-CHO is
essential for multi-lesion detection; (3) the most effective DBT geometry for
detection of MFMC may vary when the task of clinical interest changes, and a
given DBT geometry may not yield images that are equally informative for
detecting MF, MC, and unifocal cancers.
PMID- 29376839
TI - Exploration of 2-Dimensional Bio-functionalized Phosphorene Nanosheets (Black
Phosphorous) for Label free Haptoglobin Electro-immunosensing Applications.
AB - We report on the development of an antibody-functionalized interface based on
electrochemically active liquid-exfoliated two-dimensional phosphorene (Ph)
nanosheets-also known as black phosphorous nanosheets-for the label-free
electrochemical immunosensing of a haptoglobin (Hp) biomarker, a clinical marker
of severe inflammation. The electrodeposition has been achieved over the screen
printed electrode (SPE) using liquid-assisted ultrasonically exfoliated black
phosphorus nanosheets. Subsequently, Ph-SPEs bioconjugated with Hp antibodies
(Ab), using electrostatic interactions via a poly-L-lysine (PLL) linker for
biointerface development. Electrochemical analysis demonstrates that the Ab
modified Ph-SPEs (Ab@Ph-SPE) exhibit enhanced electroconducting behavior as
compared to the pristine electrodes. This Ab-functionalized phosphorene-based
electrochemical immunosensor platform has demonstrated remarkable sensitivity and
specificity, having a dynamic linear response range from 0.01 mg/mL to 10 mg/mL
for Hp in standard and serum samples with a low detection limit (~0.011 mg/mL)
using the label-free electrochemical technique. The sensor electrodes were also
studied with other closely relative interferents to investigate cross reactivity
and specificity. This strategy opens up avenues to POC (point-of-care) and on
farm livestock disease monitoring technologies for multiplexed diagnosis in
complex biological samples such as serum. The technique is simple in fabrication
and provides an analytical response in less than 60 seconds.
PMID- 29376840
TI - Growth of wurtzite CdTe nanowires on fluorine-doped tin oxide glass substrates
and room-temperature bandgap parameter determination.
AB - The growth of CdTe nanowires, catalyzed by Sn, was achieved on fluorine-doped tin
oxide glass by physical vapor transport. CdTe nanowires grew along the <0001>
direction, with a very rare and phase-pure wurtzite structure, at 290 degrees C.
CdTe nanowires grew under Te-limited conditions by forming SnTe nanostructures in
the catalysts and the wurtzite structure was energetically favored. By
polarization-dependent and power-dependent micro-photoluminescence measurements
of individual nanowires, heavy and light hole-related transitions could be
differentiated, and the fundamental bandgap of wurtzite CdTe at room temperature
was determined to be 1.562 eV, which was 52 meV higher than that of zinc-blende
CdTe. From the analysis of doublet photoluminescence spectra, the valence band
splitting energy between heavy hole and light hole bands was estimated to be 43
meV.
PMID- 29376841
TI - Unidirectional emission in an all-dielectric nanoantenna.
AB - All-dielectric nanoantennas are a promising alternative to plasmonic optical
antennas for engineering light emission because of their low-loss nature in the
optical spectrum. Nevertheless, it is still challenging to manipulate directional
light emission with subwavelength all-dielectric nanoantennas. Here, we propose
and numerically demonstrate that a hollow silicon nanodisk can serve as a
versatile antenna for directing and enhancing the emission from either an
electric or magnetic dipole emitter. When primarily coupled to both electric and
magnetic dipole modes of a nanoantenna, broadband nearly-unidirectional emission
can be realized by the interference of two modes, which can be spectrally tuned
via the geometric parameters in an easy way. More importantly, the emission
directions for the magnetic and electric dipole emitters are shown as opposite to
each other through control of the phase difference between the induced magnetic
and electric dipole modes of the antenna. Meanwhile, the Purcell factors can be
enhanced by more than one order of magnitude and high quantum efficiencies can be
maintained at the visible spectrum for both kinds of dipole emitters. We further
show that these unidirectional emission phenomena can withstand small disorder
effects of in-plane dipole orientation and location. Our study provides a simple
yet versatile platform that can shape the emission of both magnetic and electric
dipole emitters.
PMID- 29376842
TI - Diameter-tailored telecom-band luminescence in InP/InAs heterostructure nanowires
grown on InP (111)B substrate with continuously-modulated diameter from
microscale to nanoscale.
AB - We report diameter-tailored luminescence in telecom band of InP/InAs multi
heterostructure nanowires with continuously-modulated diameter from microscale to
nanoscale. By using the self-catalyzed vapor-solid-liquid approach, we tune the
indium particle size, and consequently the InP/InAs nanowire diameter, during
growth by modulating the flow rate of the indium source material. This technique
allows a high degree of continuous tuning in a wide scale from microscale to
nanoscale. Hence it offers an original way to bridge the gap between microscale
featured photolithographic and nanoscale-featured nanolithographic processes and
to incorporate InAs quantum disks with tunable diameters into a single InP/InAs
quantum heterostructure nanowire. We realized site-defined nanowires with
nanoscale diameters initiated from site-defined microscale-diameter particles
made with a conventional photolithographic process. The luminescence wavelength
from InAs quantum disks is directly connected to the nanowire diameter, by which
the strain in the InAs quantum disks is tailored. This work provides new
opportunities in the fabrication and design of nanowire devices that extends
beyond what is achievable with the current technologies and enables the nanowire
shape to be engineered thus offering the potential to broaden the application
range of nanowire devices.
PMID- 29376843
TI - Isolated metachronous breast metastasis from renal cell carcinoma: A report of
two cases.
AB - Metastases to the breast are very uncommon as compared to primary tumours. Breast
is an unusual site for metastasis from renal cell carcinoma. Only occasional
cases are reported in the literature. These metastases must be clearly diagnosed
as the treatment of primary breast cancer and metastases differs markedly.
Treatment of isolated metastases from renal cell carcinoma is usually surgical
resection. We report two cases of isolated metachronous metastases to breast from
renal cell carcinoma.
PMID- 29376844
TI - Clinico-pathologic disparities of breast cancer in Hispanic/Latina women.
AB - BACKGROUND: Breast cancer is the leading cause of cancer death in Hispanic/Latina
women nationwide. Limited cancer research has been conducted in this population.
El Paso, Texas is a large border city with a population of around 900,000, of
which 85% are Latinos and would provide a suitable setting for this study. The
aim of this study is to evaluate ethnic differences and cancer characteristics in
Hispanic/latina women with breast cancer. METHODS: After IRB approval, we
retrospectively analyzed the variables of patients with breast cancer treated
consecutively at a large tertiary medical center in El Paso, TX between 2005
2015. Descriptive statistics, bivariate, and multivariable analyses were
conducted. RESULTS: 1,252 patients were identified. Mean age at diagnosis was 57
years. 1074 were Hispanics/Latinas (86%). When comparing Hispanics versus non
Hispanics, 31% of Hispanics compared to 24% Non-Hispanics were diagnosed at age
<50 (P = 0.043). More Hispanics are uninsured (34%) compared to Non-Hispanics
(25%) (p = 0.008). Hispanics presenting with advanced stages were more likely to
be uninsured (P = 0.02). CONCLUSIONS: This analysis confirms that
Hispanics/Latinas are diagnosed with breast cancer at a younger age and are more
commonly uninsured than Non-Hispanics. We did not observe significant differences
in the prevalence of ER+, triple negative or Her2 -neu positive disease or stages
at presentation between the 2 groups in this cohort, however the non-Hispanic
group was constituted only 14% of the studied population. A larger multi
institutional comparative study is being conducted to confirm these findings.
PMID- 29376845
TI - Articular cartilage response to a sliding load using two different-sized
spherical indenters1.
AB - BACKGROUND: Cartilage surface contact geometry influences the deformational
behavior and stress distribution throughout the extracellular matrix (ECM) under
load. OBJECTIVE: To test the correlation between the mechanical and cellular
response of articular cartilage when loaded with two different-sized spherical
indenters under dynamic reciprocating sliding motion. METHODS: Articular
cartilage explants were subjected to a reciprocating sliding load using a 17.6 mm
or 30.2 mm spherical ball for 2000 cycles at 10 mm/s and 4 kg axial load.
Deformation of the cartilage was recorded and contact parameters were calculated
according to Hertzian theory. After mechanical loading cartilage samples were
collected and analyzed for ECM collagen damage, gene regulation and proteoglycan
(PG) loss. RESULTS: Significantly higher ECM deformation and strain and lower
dynamic effective modulus were found for explants loaded with the smaller
diameter indenter whereas contact radius and stress remained unaffected. Also,
the 17.6 mm indenter increased PG loss and significantly upregulated genes for
ECM proteins and enzymes as compared to the 30.2 mm indenter. CONCLUSION: Sliding
loads that increase ECM deformation/strain were found to induce enzyme-mediated
catabolic processes in articular cartilage explants. These observations provide
further understanding of how changes in cartilage contact mechanics under dynamic
conditions can affect the cellular response.
PMID- 29376846
TI - Remodeling of the arterial wall: Response to restoration of normal blood flow
after flow reduction.
AB - BACKGROUND: Although many studies have shown that arteries change diameter in
response to chronic change in blood flow (BF), keeping wall shear stress (WSS) at
physiologically normal levels, relatively little is known about the effects of
flow restoration after flow reduction and also the role of vascular smooth muscle
(VSM) during such a remodeling process. OBJECTIVE: To elucidate the biomechanical
responses of the arterial wall to the restoration of normal BF after flow
reduction and compare the results with our previous results observed in response
to decreased BF alone. METHODS: Carotid artery BF in the Wistar rat was decreased
by ligation and then restored to normal levels by release of the ligation. The
effects of BF changes on the biomechanical properties of the carotid arterial
wall were determined from measurements of diameters and pressures of excised
artery segments. RESULTS: During BF reduction and restoration, WSS was maintained
at physiological levels by changes in the internal diameter. No significant
changes in the incremental elastic modulus were found in response to changes in
BF. VSM tone was significantly enhanced during the changes in BF. CONCLUSIONS:
Arteries change diameters in response to BF reduction and also flow restoration
to normal after flow reduction, keeping WSS at physiologically normal levels. The
lack of changes in vascular elasticity suggests that there were no significant
changes in major wall constituents, such as elastin and collagen. VSM may play
the dominant role in observed arterial remodeling and adaptation.
PMID- 29376847
TI - Early Stage Alterations in CA1 Extracellular Region Proteins Indicate
Dysregulation of IL6 and Iron Homeostasis in the 5XFAD Alzheimer's Disease Mouse
Model.
AB - In recent years, an increasing number of research papers revealed that the
compositional and volumetric alterations in the extracellular matrix are the
consequences of aging and may be related to Alzheimer's disease (AD). In this
study, we aimed to demonstrate the alterations in hippocampal extracellular fluid
proteins in vivo using the 5XFAD mouse model. Samples were obtained from
hippocampi of 5XFAD mice (n = 6) and their non-transgenic littermates by
intracerebral push-pull perfusion technique at 3 months of age, representing the
pre-pathological stage of the AD. Proteins in the hippocampal perfusates were
analyzed by Ultra Performance Liquid Chromatography-Electrospray Ionization
Quadrupole Time-of-Flight Mass Spectrometry (UPLC-ESI-qTOF-MS/MS). 178 proteins
were identified and 19 proteins of them were found to be statistically
significantly altered (p<=0.05, fold change >=40%, unique peptide count >=3) in
the hippocampal CA1 extracellular fluid of the 5XFAD mouse model. Ingenuity
pathway analysis of the protein expression results identified IL6 as an upstream
regulator. The upregulation of IL6 was validated by immunohistochemical staining
of the hippocampus and cortex of the 5XFAD mice prior to Abeta plaque formation.
Furthermore, the iron level in the hippocampus was measured by inductively
coupled plasma-mass spectrometry as IL6 is mentioned in several studies to take
part in iron homeostasis and inflammation and found to be increased in 5XFAD mice
hippocampus. Alterations in extracellular matrix proteins in addition to
increasing amount of hippocampal IL6 and iron in the early stages of AD may
reveal inflammation-mediated iron dyshomeostasis in the early stages of
neurodegeneration.
PMID- 29376848
TI - Drugs for Dementia and Excess of Hospitalization: A Longitudinal French Study.
AB - BACKGROUND: The impact of adverse effects of drugs for dementia on the risk of
hospitalization has not been much studied despite the impact of hospitalizations
on cognitive decline. OBJECTIVE: To determine if the main adverse effects of
cholinesterase inhibitors and memantine may be associated with excess of
hospitalization and to quantify the subsequent impact on healthcare expenditures.
METHODS: A representative sample of the French national health insurance
beneficiaries aged 65 and older and suffering from dementia were included and
followed from 2007 to 2014. Binary logit models for longitudinal data (GEE
estimation technique) were used to estimate the excess of hospitalization events
related to the adverse effects of anti-dementia drugs and then to derive the
additional costs of hospitalizations for the public health insurance fund.
RESULTS: In total, 7,668 patients were followed, generating 111,133 individual
observations over the 8-year period. Treated patients were hospitalized
significantly more than non-treated patients (adjusted Odd Ratio (OR) = 1.08, 95%
confidence interval (95% CI) = [1.02 to 1.13], p = 0.004), mainly with
cholinesterase inhibitors for cardiac (OR = 1.21, 95% CI = [1.01 to 1.46], p =
0.034) and gastrointestinal events (OR = 1.43, 95% CI = [1.01-2.05], p = 0.045),
especially with rivastigmine. When extrapolated to the entire population, this
corresponded to an annual additional cost of ? 55,000. CONCLUSION: Prescription
of antidementia drugs, more specifically rivastigmine, increases the risk of
hospitalizations via their cardiac and gastrointestinal adverse effects and lead
to additional health care expenditures. Even though these results must be
confirmed, they may encourage cautious consideration of the balance between
benefits and harms before a prescription is given.
PMID- 29376849
TI - Progression of Alzheimer's Disease-Related Pathology and Cell Counts in a Patient
with Idiopathic Normal Pressure Hydrocephalus.
AB - We had an opportunity to assess the change observed in the brain regarding
Alzheimer's disease (AD)-related alterations, cell count, and inflammation that
took place during a period of 21 months in a subject with a definite diagnosis of
AD and idiopathic Normal Pressure Hydrocephalus (iNPH). Four neuronal markers,
i.e., synaptophysin, microtubule associated protein 2, non-phosphorylated
neurofilament H (SMI32), and embryonic lethal abnormal visual system proteins 3/4
HuC/HuD (HuC/HuD); three microglial markers CD68, Human Leucocytic Antigen DR,
ionized calcium-binding adaptor molecule 1, glial fibrillary acidic protein
(GFAP); and AD-related markers, hyperphosphorylated tau (HPtau) and amyloid-beta
(Abeta, Abeta40, Abeta42) were assessed. Morphometrically assessed
immunoreactivity of all neuronal and all microglial markers and Abeta42 decreased
parallel with an increase in the HPtau in the frontal cortex. The expression of
GFAP was stable with time. The first sample was obtained during the therapeutic
shunting procedure for iNPH, and the second sample was obtained postmortem.
Negligible reactive changes were observed surrounding the shunt channel. In
conclusion, in the late stage of AD with time, a neuronal loss, increase in the
HPtau, and decrease in Abeta42 and microglia was observed, whereas the expression
of GFAP was rather stable. The observations described here suggest that when a
brain biopsy has been obtained from an adult subject with iNPH, the assessment of
postmortem brain is of major significance.
PMID- 29376850
TI - A Link between Subjective Perceptions of Memory and Physical Function:
Implications for Subjective Cognitive Decline.
AB - Subjective impairment in memory is a frequently defining feature of subjective
cognitive decline (SCD), a state hypothesized to precede objectively apparent
cognitive symptoms of Alzheimer's disease (AD) and to hold promise as a non
invasive, inexpensive, preclinical indicator of AD. However, a full model of the
factors that contribute to subjective memory (SM), and therefore to SCD, has yet
to be articulated. While SM impairment is widely known to be associated with
negative affect, the extent to which SM functioning may also reflect other
factors, particularly subjective beliefs or perceptions about one's health, is
not known. To examine the extent to which SM is associated with subjective
perceptions of health more broadly, the current study investigated the link
between SM and subjective physical functioning (independent of depressive affect,
and objective cognitive and physical function) in an ethnically diverse sample of
471 older adults enrolled in the population-based Northern Manhattan Aging
Project. 199 (42%) participants endorsed no difficulty on a 5-point SM index
while 272 (58%) endorsed some degree of difficulty. As hypothesized, SM
correlated with both depression and subjective physical function, but not with
age, education, global cognition, or objective physical function. When objective
and subjective physical function were entered in two separate, adjusted linear
regressions predicting SM, only subjective physical function and depressive
affect independently predicted SM. Subjective perceptions of memory appear to
reflect individuals' broader health perceptions in part. Articulating the various
correlates of SM will improve identification of SCD specific to preclinical AD.
PMID- 29376851
TI - Validation of the Delayed Matching-to-Sample Task 48 (DMS48) in Elderly Chinese.
AB - BACKGROUND: Delayed Matching-to-Sample Task 48 (DMS48), a brief tool measuring
visual recognition memory, is valid to identify the early stage of Alzheimer's
disease (AD) in Caucasians. However, little data is available in Chinese.
OBJECTIVE: To develop norms and optimal cutoff points for the DMS48 in Chinese
elders. METHODS: A cross-sectional study was conducted in seven memory clinics
from five cities across China. DMS48 was applied to 369 Chinese aged 50 or older
(138 cognitively normal [CN], 112 mild cognitive impairment due to AD (MCI-A),
and 119 mild AD dementia). The demographic factors which influence DMS48 scores
were investigated and the norms were established considering those factors.
Receiver operating characteristic (ROC) analysis was used to determine the
optimal cutoff points. RESULTS: Age was shown to influence DMS48 scores (r =
0.36, p < 0.05), and we presented the age-stratified normative data for the
DMS48. The optimal cutoff point is 42/43 for identifying cognitive impairment
(MCI-A and AD dementia) against CN (sensitivity 97.80% and specificity 89.13%)
and MCI-A against CN (sensitivity 86.60% and specificity 94.20%). A cutoff of
39/40 obtained good sensitivity (100.00%) and specificity (94.90%) in
discriminating AD dementia from CN. The age-stratified optimal cutoff points for
identifying MCI-A were 43/44 for individuals aged 50 to 59 years old, 42/43 for
60 to 69 years old, 41/42 for 70 to 79 years old, and 40/41 for 80 or older,
respectively (sensitivity 84.80% and specificity 95.70%). CONCLUSION: This study
proved that DMS48 is of good validation in screening MCI-A in elderly Chinese.
PMID- 29376852
TI - Structural Connectivity Alterations Along the Alzheimer's Disease Continuum:
Reproducibility Across Two Independent Samples and Correlation with Cerebrospinal
Fluid Amyloid-beta and Tau.
AB - BACKGROUND: Gray matter changes associated with the progression of Alzheimer's
disease (AD) have been thoroughly studied. However, alterations in white matter
tracts have received less attention, particularly during early or preclinical
stages of the disease. OBJECTIVE: To identify the structural connectivity changes
across the AD continuum. METHODS: We performed probabilistic tractography in a
total of 183 subjects on two independent samples that include control (n = 68)
and preclinical AD individuals (n = 28), patients diagnosed with mild cognitive
impairment (MCI) due to AD (n = 44), and AD patients (n = 43). We compared the
connectivity between groups, and with CSF Abeta42 and tau biomarkers. RESULTS: We
observed disconnections in preclinical individuals, mainly located in the
temporal lobe. This pattern of disconnection spread to the parietal and frontal
lobes at the MCI stage and involved almost all the brain in AD. These findings
were not driven by gray matter atrophy. DISCUSSION: Using tractography, we were
able to identify white matter changes between subsequent disease stages and,
notably, also in preclinical AD. Therefore, this method may be useful for
detecting early and specific brain structural changes during preclinical AD
stage.
PMID- 29376853
TI - The Impact of APOE E4 in Alzheimer's Disease Differs According to Age.
AB - We evaluated how the impact of apolipoprotein E4 (APOE4) differs according to age
in Alzheimer's disease (AD) patients. We recruited 846 AD patients and 815
cognitively normal controls and categorized into three groups with respect to
their age (<65, 65-74, and >=75 years). We evaluated the risk of AD in APOE4
carriers and compared cortical thickness and cognitive function according to
APOE4 status in each age group. At the point of this study, in young (<65 years)
AD, APOE4 noncarriers had the most severe frontal and perisylvian atrophy, while
in old (>=75 years) AD, APOE4 carriers had the most severe medial temporal
atrophy. In AD under 75 years, APOE4 noncarriers and heterozygotes showed worse
performance in language, visuospatial, and frontal function compared to
homozygotes, while, in old (>=75 years) AD, APOE4 homozygotes showed worse
performance in memory compared to noncarriers. As the detrimental effects of
APOE4 seen in older AD patients were not found in younger AD patients, we suggest
that some unrevealed factors are associated with cortical atrophy and non
amnestic cognitive dysfunction in young AD with APOE4 noncarriers.
PMID- 29376854
TI - Detection of Dementia Cases in Two Swedish Health Registers: A Validation Study.
AB - BACKGROUND: Population-based health registers are potential assets in
epidemiological research; however, the quality of case ascertainment is crucial.
OBJECTIVE: To compare the case ascertainment of dementia, from the National
Patient Register (NPR) and the Cause of Death Register (CDR) with dementia
diagnoses from six Swedish population based studies. METHODS: Sensitivity,
specificity, and positive predictive value (PPV) of dementia identification in
NPR and CDR were estimated by individual record linkage with six Swedish
population based studies (n = 19,035). Time to detection in NPR was estimated
using data on dementia incidence from longitudinal studies with more than two
decades of follow-up. RESULTS: Barely half of the dementia cases were ever
detected by NPR or CDR. Using data from longitudinal studies we estimated that a
record with a dementia diagnosis appears in the NPR on average 5.5 years after
first diagnosis. Although the ability of the registers to detect dementia cases
was moderate, the ability to detect non-dementia cases was almost perfect (99%).
When registers indicate that there is a dementia diagnosis, there are very few
instances in which the clinicians determined the person was not demented. Indeed,
PPVs were close to 90%. However, misclassification between dementia subtype
diagnoses is quite common, especially in NPR. CONCLUSIONS: Although the overall
sensitivity is low, the specificity and the positive predictive value are very
high. This suggests that hospital and death registers can be used to identify
dementia cases in the community, but at the cost of missing a large proportion of
the cases.
PMID- 29376855
TI - SORL1 Variants in Familial Alzheimer's Disease.
AB - The SORL1 gene encodes a protein involved in the amyloidogenic process, and its
variants have been associated with Alzheimer's disease (AD) physiopathology. We
screened for SORL1 variants in 124 familial (44 early- and 80 late-onset)
dementia of Alzheimer type (DAT) cases. Nine potentially pathogenic changes
(three not previously reported and six rare variants) were found in nine probands
(7%). After screening the control population and siblings (presence in at least
1/200 controls and/or absence of segregation pattern), a causal relationship with
the disease was considered unlikely in six variants and uncertain in one. The
change Trp848Ter and a splice-site variant remained likely correlated with the
disease. SORL1 mutations are present in 7% of our familial DAT cohort, though in
most cases cannot be considered the direct cause of the disease.
PMID- 29376856
TI - In vivo Depiction of alpha7 Nicotinic Receptor Loss for Cognitive Decline in
Alzheimer's Disease.
AB - BACKGROUND: The alpha7 subtype of the nicotinic acetylcholine receptor (nAChR) is
considered important in higher cognitive functions, and cholinergic loss
underpins the pathophysiology of Alzheimer's disease (AD). However, the
relationships between alpha7 nAChR function and clinical functions or amyloid
beta (Abeta) deposition remain to be explored in the living AD brain. OBJECTIVE:
We aimed to elucidate the relationship between alpha7 nAChR availability in the
specific cholinergic region and cognitive decline in the Abeta-confirmed AD
brain. METHODS: Twenty AD patients and ten age-matched healthy subjects were
examined. The alpha7-nAChR availability and Abeta deposition were evaluated using
positron emission tomography with an alpha7 nAChR radiotracer 11C-(R)-MeQAA and
11C-Pittsburg compound B (11C-PiB), respectively. Semi-quantified values of
tracer binding were estimated with a simplified reference tissue method for BPND
of 11C-(R)-MeQAA and a tissue ratio method for SUVR of 11C-PiB. These parameters
and clinical scores were compared voxel-wise using a statistical parametric
mapping method. RESULTS: The levels of 11C-(R)-MeQAA BPND in the temporal and
prefrontal cholinergic projection regions were significantly lower in AD, and
negative correlations were found between 11C-PiB SUVR and 11C-(R)-MeQAA BPND in
the region of the nucleus basalis magnocellularis and medial prefrontal cortex.
Levels of 11C-(R)-MeQAA BPND were significantly correlated with memory and
frontal function scores in AD. CONCLUSION: The association between Abeta burden
and alpha7-nAChR reduction in the basal forebrain cholinergic system was
highlighted in relation to cognitive decline in AD. This suggests that Abeta
linked alpha7-nAChR reduction is clinico-pathophyisologically important for
considering a good therapeutic target in AD.
PMID- 29376858
TI - Exercise Training on Locomotion in Patients with Alzheimer's Disease: A
Feasibility Study.
AB - BACKGROUND: Although current literature has shown that patients with Alzheimer's
disease (AD) have worse locomotion compared with healthy counterparts, no studies
have focused on the efficacy of exercise training in improving gait abnormalities
including biomechanics and metabolic aspects, in this population. OBJECTIVE: To
verify the effectiveness of exercise training (ET) on gait parameters (i.e.,
speed, step and stride length, single and double support, and energy cost of
walking (Cw)) in patients with AD with respect to a standard cognitive treatment
(CT). METHODS: In this study, we included a small portion of data belonging to a
larger study (ClinicalTrials.gov number, NCT03034746). Patients with AD (Mini
Mental State Examination 22+/-5) were included in the study. Gait parameters and
Cw were assessed at baseline and after 6 months (72 treatment sessions) of
treatment. ET included 90 min of aerobic and strength training. CT included 90
min of cognitive stimuli. RESULTS: The 16 patients assigned to ET exhibited
significant improvement of Cw (-0.9+/-0.1 J/kg.m-1), while differences in gait
parameters were negligible. The effect on gait parameters were undetectable in
the 18 patients assigned to CT (-0.2+/-0.5 J/kg.m-1). CONCLUSIONS: Data from this
study showed that ET program seems effective in improving Cw in patients with AD.
Interestingly, the positive effect of ET on Cw was not coupled with ameliorations
of patient's gait parameters, suggesting that the gain of metabolic aspects of
locomotion were the main factors responsible for this positive result.
PMID- 29376859
TI - Dysregulation and Dislocation of SFPQ Disturbed DNA Organization in Alzheimer's
Disease and Frontotemporal Dementia.
AB - SFPQ (Splicing factor proline- and glutamine-rich) is a DNA and RNA binding
protein involved in transcription, pre-mRNA splicing, and DNA damage repair. SFPQ
was found dysregulated in a few tauopathies such as Alzheimer's disease (AD) and
frontotemporal dementia (FTD). In addition, knock-down of SFPQ induced FTD-like
behavior in mouse. To confirm the role of SFPQ in AD and FTD, we analyzed the
brain sections from the AD and FTD brain samples with SFPQ upregulation and
dislocation. Specifically, we observed SFPQ dislocated to the cytoplasm and
nuclear envelopes, and DNA structures and organizations were associated with
these dislocation phenotypes in AD and FTD brains. Consistently, we also found
decreased DAPI intensities and smaller chromocenters associated with SFPQ
dislocation in nerural-2a (N2a) cells. As the upregulation and
hyperphosphorylation of tau protein is a hallmark of AD and FTD, our study sought
to investigate potential interactions between tau and SFPQ by co-transfection and
co-immunoprecipitation assays in N2a cells. SFPQ dislocation was found enhanced
with tau co-transfection and tau co-transfection further resulted in extended DNA
disorganization in N2a cells. Overall, our results indicate that dysregulation
and dislocation of SFPQ and subsequent DNA disorganization might be a novel
pathway in the progression of AD and FTD.
PMID- 29376860
TI - Mutation Screening of the CHCHD2 Gene for Alzheimer's Disease and Frontotemporal
Dementia in Chinese Mainland Population.
AB - As an important multifunctional protein involved in regulation of mitochondrial
metabolism, CHCHD2 was identified as a causative gene for Parkinson's disease
(PD), yet the relationship between CHCHD2 and neurodegenerative dementia is not
well understood. We directly sequenced the entire coding region of CHCHD2 gene in
150 AD patients, 84 FTD patients, and 417 controls. Four rare putative pathogenic
variants of CHCHD2, including rs142444896 (c.5C>T, p.P2L), rs752705344 (c.15C>G,
p.S5R), rs145190179 (c.94G>A, p.A32T), and rs182992574 (c.255T>A, p.S85R) were
identified from a cohort composed of 150 AD and 84 FTD patients. These results
suggest that CH CHD2 gene play an important role in other neurodegenerative
disorders from our dementia study in China.
PMID- 29376861
TI - The Progression of Neuropsychiatric Symptoms in Alzheimer's Disease During a Five
Year Follow-Up: Kuopio ALSOVA Study.
AB - BACKGROUND: An improved understanding of the role of neuropsychiatric symptoms
(NPS) in the course of Alzheimer's disease (AD) has recently emerged. NPS lead to
hospitalization and caregiver stress, but are more variable during the course of
the disease than other symptoms. Knowledge about the role of specific NPS in
disease progression and prognosis is especially limited. OBJECTIVES: To examine
the relationship between specific NPS and AD severity during a 5-year follow-up
period, and to determine which baseline NPS predict AD progression. METHODS: 236
persons with very mild (CDR 0.5) or mild (CDR 1) AD at baseline and their
caregivers were followed up for five years as part of the ALSOVA study. The
Neuropsychiatric Inventory was used to assess NPS, and AD severity progression
was measured with the Clinical Dementia Rating Sum of Boxes. Data was analyzed
with Generalized Estimated Equations and Linear Mixed Models. RESULTS: The
baseline NPS that best predicted AD progression were delusions, agitation, and
aberrant motor behavior, while AD severity during follow-up was associated with
hallucinations, delusions, agitation, apathy, aberrant motor behavior, and sleep
and appetite disturbances. CONCLUSIONS: Persons with mild AD presenting
delusions, agitation, and aberrant motor behavior at the time of diagnosis could
have a more rapidly progressing disease, and some NPS are associated with AD
severity. These results highlight the importance of evaluating NPS at the time of
AD diagnosis, and the need to offer additional support to persons presenting
delusions, agitation and aberrant motor behavior, and their caregivers.
PMID- 29376862
TI - Humanin Exerts Neuroprotection During Cardiac Ischemia-Reperfusion Injury.
AB - Cardiac ischemia-reperfusion (I/R) injury has been shown to impair brain
function. Humanin analogue (HNG) given prior to cardiac ischemia has been shown
to attenuate both heart and brain mitochondrial dysfunction caused by cardiac I/R
injury. In a clinical setting, patients received medical treatment for acute
myocardial infarction either during or after the onset of myocardial ischemia;
thus, in this study, we tested the hypothesis that the administration of HNG
during cardiac I/R injury has therapeutic potential for brain protection. Thirty
six male Wistar rats were divided into two groups: a cardiac I/R group (n = 30),
and a sham group (n = 6). The I/R rats were then divided into five subgroups to
receive: 1) vehicle; 2) HNG (84 MUg/kg); 3) HNG (168 MUg/kg); 4) HNG (252 MUg/kg)
intravenously administered during the cardiac-ischemia; and 5) HNG at 252 MUg/kg
given at the onset of reperfusion. At the end of treatment, brains were removed
for determination of blood-brain barrier (BBB) breakdown, oxidative stress, brain
mitochondrial function, brain mitochondrial dynamics, p-tau, amyloid-beta (Abeta)
and apoptosis. HNG at a dose of 168 and 252 MUg/kg administered during ischemia,
and 252 MUg/kg given at the onset of reperfusion effectively attenuated the brain
mitochondrial dysfunction, tau hyperphosphorylation and Abeta accumulation, and
apoptosis, without reducing BBB breakdown, brain oxidative stress, or
mitochondrial dynamic, caused by cardiac I/R injury. In conclusion, humanin
exerted neuroprotection during induced cardiac I/R injury via improvement in
brain mitochondrial function, and the reduction of Alzheimer's disease pathology
and apoptosis.
PMID- 29376863
TI - Altered Expression of Circulating Cdc42 in Frontotemporal Lobar Degeneration.
AB - The term frontotemporal lobar degeneration (FTLD) defines a group of
heterogeneous conditions histologically characterized by neuronal degeneration,
inclusions of various proteins, and synaptic loss. However, the molecular
mechanisms contributing to these alterations are still unknown. As the Rho-GTPase
family member Cell division cycle 42 (Cdc42) plays a key role in the regulation
of actin cytoskeleton dynamics and spine formation, we investigated whether Cdc42
protein levels were altered in the disease. Cdc42 was increased in the frontal
cortex of FTLD patients compared to age-matched controls, but also in Alzheimer's
disease (AD) patients included in the data-set. On the other hand, the pool of
circulating Cdc42 in the plasma was altered in FTLD but not in AD patients.
Interestingly, the stratification of the FTLD patients according to the different
clinical variants showed a specific decrease of Cdc42 expression in the
behavioral subgroup. This data support a role of Cdc42 in FTLD and specifically
in the behavioral variant.
PMID- 29376864
TI - The Effects and Meanings of Receiving a Diagnosis of Mild Cognitive Impairment or
Alzheimer's Disease When One Lives Alone.
AB - BACKGROUND: One third of older adults with cognitive impairment live alone and
are at high risk for poor health outcomes. Little is known about how older adults
who live alone experience the process of receiving a diagnosis of mild cognitive
impairment (MCI) or Alzheimer's disease (AD). OBJECTIVE: The aim of this study
was to understand the effects and meanings of receiving a diagnosis of MCI or AD
on the lived experience of older adults living alone. METHODS: This is a
qualitative study of adults age 65 and over living alone with cognitive
impairment. Participants' lived experiences were elicited through ethnographic
interviews and participant observation in their homes. Using a qualitative
content analysis approach, interview transcripts and fieldnotes were analyzed to
identify codes and themes. RESULTS: Twenty-nine older adults and 6 members of
their social circles completed 114 ethnographic interviews. Core themes included:
relief, distress, ambiguous recollections, and not knowing what to do.
Participants sometimes felt uplifted and relieved by the diagnostic process. Some
participants did not mention having received a diagnosis or had only partial
recollections about it. Participants reported that, as time passed, they did not
know what to do with regard to the treatment of their condition. Sometimes they
also did not know how to prepare for a likely worsening of their condition, which
they would experience while living alone. CONCLUSION: Findings suggest the need
for more tailored care and follow-up as soon as MCI or AD is diagnosed in persons
living alone.
PMID- 29376866
TI - Dilated Perivascular Spaces in the Centrum Semiovale Begin to Develop in Middle
Age.
AB - BACKGROUND: Dilated perivascular spaces in the centrum semiovale (CSO-PVS) are
closely related to small vessel disease. However, recent studies have revealed
that cerebral amyloid angiopathy can cause dilation of the CSO-PVS and
obstruction of interstitial fluid flow along the intramural periarterial
drainage. OBJECTIVE: To examine the severity and age-related prevalence of CSO
PVS through magnetic resonance imaging (MRI) and investigate their clinically
relevant factors. METHODS: This study included 1,060 subjects who participated in
our brain program. The subjects ranged from 23 to 83 years in age and were active
in society. The frequencies of the MRI abnormalities of small vessel diseases,
including CSO-PVS, were examined. The CSO-PVS severity was classified into three
grades: G0, G1, G2, according to the visual rating. The subjects were divided
into five age groups and their age-related frequencies were also studied. Using
the clinico-laboratory data of 712 subjects, the clinically relevant factors of
CSO-PVS were investigated using logistic regression analysis. RESULTS: The
frequencies of all G0 ("normal") MRI abnormalities significantly decreased with
age. A high prevalence of G2 CSO-PVS was observed (24%) in the youngest group
aged<=39 years, whereas other MRI abnormalities in this group were not or rarely
observed. In multivariable logistic regression analyses, G2 CSO-PVS was found to
be closely associated with age, hypertension, and the estimated glomerular
filtration ratio. CONCLUSIONS: This study reveals that CSO-PVS begin to develop
in subjects aged less than 39 years. Age-related changes are involved. Further
studies are necessary to elucidate the pathophysiological role of the CSO-PVS.
PMID- 29376865
TI - Associations of Dietary Protein and Fiber Intake with Brain and Blood Amyloid
beta.
AB - Accumulating evidence suggests a diet high in protein and fiber may confer some
protection against Alzheimer's disease (AD). However, no human studies to-date
have assessed the relationship between protein and fiber intake, and plasma and
brain amyloid-beta (Abeta). Consequently, this cross-sectional study,
investigated the association of self-reported dietary intakes of protein and
fiber, with plasma and brain Abeta burden (n = 541, and n = 162 respectively), in
a well-characterized cohort of cognitively normal older adults, drawn from the
larger Australian Imaging, Biomarkers and Lifestyle (AIBL) study of aging. We
observed 12.59 and 8.43 higher odds of 'high' brain Abeta burden (PiB PET
SUVR>=1.5) if protein intake fell in the lowest and middle tertile, respectively,
compared to the highest tertile (p = 0.008; p = 0.013). Thus, in this cohort, the
more protein consumed, the less likelihood of 'high' Abeta burden in the brain.
No other significant associations were observed. The results of this study
highlight the potentially protective impact of high dietary protein intake on
brain Abeta burden in older adults, before objective memory decline is apparent.
While longitudinal validation is required, these findings may assist in the
development of dietary approaches aimed at preventing or delaying AD onset.
PMID- 29376867
TI - Antemortem-Postmortem Correlation of Florbetapir (18F) PET Amyloid Imaging with
Quantitative Biochemical Measures of Abeta42 but not Abeta40.
AB - Amyloid imaging demonstrates the in vivo presence of amyloid-beta (Abeta)
deposits in the aging human brain but it is still unknown which structural forms
and modifications of Abeta are detected. In Alzheimer's disease, most amyloid
deposits are predominantly composed of Abeta ending at amino acid residues Val40
or Ala42. It has been reported that Abeta40 is largely restricted to neuritic
plaques while Abeta42 may be deposited in amyloid plaques of all types, and is
often the sole component of diffuse plaques. The distinction is important as it
is mainly the neuritic plaques that correlate with cognitive impairment while
diffuse plaques may be the initial type of Abeta deposited. Whether PET amyloid
ligands such as florbetapir-18F (Amyvid) are partially or wholly selective for
brain deposits of Abeta40 or Abeta42 is currently unknown. We compared antemortem
florbetapir PET cortical/cerebellar signal intensity (SUVr) of 55 subjects with
postmortem biochemical (ELISA) measurements employing specific antibodies against
Abeta40 and Abeta42. Spearman's univariable correlations were significant for
both Abeta40 and Abeta42, but were much stronger for Abeta42. Multiple linear
regression showed significance only for Abeta42. These results suggest that
florbetapir binds only weakly, if at all, to Abeta40. This may be in part due to
the higher likelihood for Abeta42 to be present in a beta-pleated sheet tertiary
structure, or to differences between Abeta40 and Abeta42 in beta-pleated sheet
tertiary or quaternary structure.
PMID- 29376857
TI - The Relevance of Iron in the Pathogenesis of Multiple System Atrophy: A
Viewpoint.
AB - Iron is essential for cellular development and maintenance of multiple
physiological processes in the central nervous system. The disturbance of its
homeostasis leads to abnormal iron deposition in the brain and causes
neurotoxicity via generation of free radicals and oxidative stress. Iron toxicity
has been established in the pathogenesis of Parkinson's disease; however, its
contribution to multiple system atrophy (MSA) remains elusive. MSA is
characterized by cytoplasmic inclusions of misfolded alpha-synuclein (alpha-SYN)
in oligodendrocytes referred to as glial cytoplasmic inclusions (GCIs).
Remarkably, the oligodendrocytes possess high amounts of iron, which together
with GCI pathology make a contribution toward MSA pathogenesis likely. Consistent
with this observation, the GCI density is associated with neurodegeneration in
central autonomic networks as well as olivopontocerebellar and striatonigral
pathways. Iron converts native alpha-SYN into a beta-sheet conformation and
promotes its aggregation either directly or via increasing levels of oxidative
stress. Interestingly, alpha-SYN possesses ferrireductase activity and alpha-SYN
expression underlies iron mediated translational control via RNA stem loop
structures. Despite a correlation between progressive putaminal atrophy and iron
accumulation as well as clinical decline, it remains unclear whether pathologic
iron accumulation in MSA is a secondary event in the cascade of neuronal
degeneration rather than a primary cause. This review summarizes the current
knowledge of iron in MSA and gives evidence for perturbed iron homeostasis as a
potential pathogenic factor in MSA-associated neurodegeneration.
PMID- 29376868
TI - Geographical Distribution and Diversity of Gut Microbial NADH:Ubiquinone
Oxidoreductase Sequence Associated with Alzheimer's Disease.
AB - Earlier we reported induction of neurotoxicity and neurodegeneration by
tryptophan metabolites that link the metabolic alterations to Alzheimer's disease
(AD). Tryptophan is a product of Shikimate pathway (SP). Human cells lack SP,
which is found in human gut bacteria exclusively using SP to produce aromatic
amino acids (AAA). This study is a first attempt toward gene-targeted analysis of
human gut microbiota in AD fecal samples. The oligonucleotide primers newly
designed for this work target SP-AAA in environmental bacteria associated with
human activity. Using polymerase chain reaction (PCR), we found unique gut
bacterial sequence in most AD patients (18 of 20), albeit rarely in controls (1
of 13). Cloning and sequencing AD-associated PCR products (ADPP) enables
identification of Na(+)-transporting NADH: Ubiquinone reductase (NQR) in
Clostridium sp. The ADPP of unrelated AD patients possess near identical
sequences. NQR substrate, ubiquinone is a SP product and human neuroprotectant. A
deficit in ubiquinone has been determined in a number of neuromuscular and
neurodegenerative disorders. Antibacterial therapy prompted an ADPP reduction in
an ADPP-positive control person who was later diagnosed with AD-dementia. We
explored the gut microbiome databases and uncovered a sequence similarity (up to
97%) between ADPP and some healthy individuals from different geographical
locations. Importantly, our main finding of the significant difference in the gut
microbial genotypes between the AD and control human populations is a
breakthrough.
PMID- 29376869
TI - Establishing a New Screening System for Mild Cognitive Impairment and Alzheimer's
Disease with Mental Rotation Tasks that Evaluate Visuospatial Function.
AB - BACKGROUND: The mental rotation task is well-known for the assessment of
visuospatial function; however, it has not been used for screening of dementia
patients. OBJECTIVE: The aim of this study was to create a simple screening test
for patients with mild cognitive impairment (MCI) and Alzheimer's disease (AD) by
focusing on non-amnestic symptoms. METHODS: Age-matched healthy controls (age
75.3+/-6.8), patients with MCI (76.5+/-5.5), and AD (78.2+/-5.0) participated in
this study. They carried out mental rotation tasks targeting geometric graphics
or alphabetical characters with three rotating angles (0 degrees , 90 degrees ,
and 180 degrees ) and indicated the correct answer. Response accuracy and
reaction time were recorded along with their eye movements using an eye tracker.
To quantify their visual processing strategy, the run count ratio (RC ratio) was
calculated by dividing the mean number of fixations in incorrect answers by that
in correct answers. RESULTS: AD patients showed lower accuracy and longer
reaction time than controls. They also showed a significantly greater number of
fixation and smaller saccade amplitude than controls, while fixation duration did
not differ significantly. The RC ratio was higher for AD, followed by MCI and
control groups. By setting the cut-off value to 0.47 in the 180 degrees rotating
angle task, we could differentiate MCI patients from controls with a probability
of 80.0%. CONCLUSIONS: We established a new screening system for dementia
patients by evaluating visuospatial function. The RC ratio during a mental
rotation task is useful for discriminating MCI patients from controls.
PMID- 29376870
TI - Assay of Plasma Phosphorylated Tau Protein (Threonine 181) and Total Tau Protein
in Early-Stage Alzheimer's Disease.
AB - The feasibility of assaying plasma phosphorylated tau protein (threonine 181),
denoted p-tau181, using immunomagnetic reduction (IMR) is explored. The reagent
for assaying p-tau181 with IMR was synthesized, and its analytic performances
were characterized. Seventy-three subjects were recruited. Each participant was
examined with neuropsychological tests, magnetic resonance imaging, and IMR assay
for plasma p-tau181. Using commercially available IMR kits, the plasma total tau
protein (T-tau) of each subject was assayed. The dynamic range for assaying p
tau181 using IMR was 1.96*10-2 pg/ml to 104 pg/ml. There was no significant
interference from total tau protein in the assay of p-tau181. The measured
concentrations of plasma p-tau181 were 2.46+/-1.09 pg/ml for healthy controls,
4.41+/-1.85 pg/ml for MCI due to AD, and 6.14+/-1.59 pg/ml for very mild AD.
Meanwhile, the measured concentrations of plasma T-tau were 18.85+/-10.16 pg/ml
for healthy controls, 32.98+/-10.18 pg/ml for MCI due to AD, and 37.54+/-12.29
pg/ml for very mild AD. A significant difference in plasma p-tau181 was observed
between healthy controls and MCI due to AD (p < 0.001) and between MCI due to AD
and very mild AD (p < 0.001). However, for the plasma T-tau concentration, a
significant difference existed only between healthy controls and MCI due to AD (p
< 0.001). This implies that the plasma p-tau181 level is correlated more to AD
severity than plasma T-tau is. Additionally, p-tau181 was observed as
approximately 14% of T-tau in human plasma.
PMID- 29376871
TI - Retinoic Acid Enhances Apolipoprotein E Synthesis in Human Macrophages.
AB - Apolipoprotein E (ApoE) represents a pivotal target in Alzheimer's disease (AD)
and is modulated through retinoic acid (RA), an endogenous neuroprotective and
anti-inflammatory compound. A major source of ApoE are microglia, which are
pathologically activated in AD. Activated microglia are known to block RA
signaling. This suggests a vicious cycle between inflammation, RA signaling, and
ApoE homeostasis in AD pathogenesis. To test this hypothesis, we investigated
effects of RA and proinflammatory activation on ApoE synthesis in primary human
macrophage-derived microglial-like cells. Our results indicate that
proinflammatory activation attenuates ApoE synthesis, an effect blocked by RA.
PMID- 29376872
TI - Characteristics of Cognitively Normal Mexican-Americans with Cognitive
Complaints.
AB - BACKGROUND: Subjective cognitive complaints in cognitively normal adults have
been linked to later cognitive decline and dementia. Research on the
characteristics of this group has been conducted on a variety of clinical and
community-based populations. The current study focuses on the rapidly expanding
population of Mexican-American elders. OBJECTIVE: The objective of the study is
the determination of characteristics of cognitively normal Mexican-Americans with
cognitive complaints. METHODS: Data on 319 cognitively normal participants in a
large-scale community-based study of elderly Mexican-Americans (HABLE) were
analyzed comparing those with cognitive complaints with those without on clinical
characteristics, affective status, neuropsychological functioning, and proteomic
markers. RESULTS: Those expressing concern about cognitive decline scored lower
on the MMSE, were more likely to have significantly more affective symptoms,
higher levels of diabetic markers, poorer performance on attention and executive
functioning, and a different pattern of inflammatory markers. CONCLUSION:
Although longitudinal research is needed to determine the impact of these
differences on later cognition, possible targets for early intervention with
Mexican-Americans were identified.
PMID- 29376873
TI - Lentivirus-Mediated HDAC3 Inhibition Attenuates Oxidative Stress in APPswe/PS1dE9
Mice.
AB - Amyloid-beta (Abeta) induces a burst of oxidative stress and plays a critical
role in the pathogenesis of Alzheimer's disease (AD). Our previous results have
shown that histone deacetylase 3 (HDAC3) inhibition ameliorates spatial memory
deficits and decreases the Abeta burden in the brains of 9-month-old
APPswe/PS1dE9 (APP/PS1) mice. In this study, we investigated the role of HDAC3
inhibition in oxidative stress in vivo and in vitro models of AD. HDAC3 was
detected mainly in the neurons, and HDAC3 inhibition significantly decreased
reactive oxygen species generation and improved primary cortical neuron
viability. In addition, HDAC3 inhibition attenuated spatial memory dysfunction in
6-month-old APP/PS1 mice, and decreased the apoptotic rate in the hippocampi as
demonstrated by TUNEL staining. HDAC3 inhibition also reduced markers of lipid
peroxidation, protein oxidation, and DNA/RNA oxidation in the hippocampi of
APP/PS1 mice. Moreover, HDAC3 inhibition inactivated the c-Abl/MST1/YAP signaling
pathway in the hippocampi of APP/PS1 mice. In conclusion, our data show that
HDAC3 inhibition can attenuate spatial memory deficits and inhibit oxidative
stress in APP/PS1 mice; these results indicate a potential strategy for AD
treatment.
PMID- 29376874
TI - Profiling of Specific Gene Expression Pathways in Peripheral Cells from Prodromal
Alzheimer's Disease Patients.
AB - Herein, we performed a gene expression profiling in a cohort of 10 mild cognitive
impairment (MCI), subdivided, according to the analysis of cerebrospinal fluid
biomarkers, in prodromal Alzheimer's disease (AD) and non-AD MCI, as compared
with 27 AD patients and 24 controls, in order to detect early gene expression
alterations. We observed a significant upregulation of insulin (INS) and INS
Receptor (INSR) expression levels in AD both prodromal and fully symptomatic, as
compared with controls, but not in MCI subjects. Our results suggest an early
dysregulation of INS and INSR in AD pathogenesis and pave the way to a possible
utility of these transcripts as peripheral biomarkers.
PMID- 29376875
TI - White Matter Hyperintensity Predicts the Risk of Incident Cognitive Decline in
Community Dwelling Elderly.
AB - BACKGROUND: Unlike western countries, data on white matter hyperintensity (WMH)
in community dwelling elderly in Asian population is very limited. OBJECTIVE: To
examine the relation between baseline WMH burden and the risk of incident
cognitive decline in a community-based cohort with Chinese-dwelling elderly.
METHODS: We prospectively evaluated the incident cognitive decline for 226
participants in the Shanghai Aging Study. Baseline WMH severity was visually
rated by the age-related white matter changes (ARWMC) scale based on MRI. Cox
proportional hazards regression model was used to estimate the relative risk (RR)
of total ARWMC scale, global ARWMC score, presence of lacune and microbleed, for
incident cognitive decline by adjusting potential confounders. RESULTS: Forty
subjects were identified with incident cognitive decline (new onset 34 mild
cognitive impairment and 6 dementia) during a median duration of 6 years follow
up. The incidence of cognitive decline was 3.0 (95% confidence interval [CI] 2.2
4.1) per 100 person-years. Increasing total ARWMC scale [RR1.21 (95% CI 1.06
1.39), p = 0.004)], confluent WMH [RR3.16 (95% CI 1.50-6.64), p = 0.002), and
presence of lacunes [RR 2.73 (95% CI 1.21-6.15)] at baseline were independent
predictors of incident cognitive decline. CONCLUSION: Our study demonstrated that
confluent WMH may increase the risk of incident cognitive decline by 3 folds in
community dwelling subjects. Small vessel disease may cause heavy burden of
cognitive impairment in the elderly in China.
PMID- 29376876
TI - Endogenous Murine Amyloid-beta Peptide Assembles into Aggregates in the Aged
C57BL/6J Mouse Suggesting These Animals as a Model to Study Pathogenesis of
Amyloid-beta Plaque Formation.
AB - Amyloid-beta peptide (Abeta), paired helical filament-tau (PHF-tau), and alpha
synuclein are in the focus of neuroscience research because they aggregate in
brains of patients with Alzheimer's and Parkinson's diseases. For this purpose,
transgenic mouse models were used containing the human genes for
AbetaPP/presenilin/tau or alpha-synuclein with the most frequent mutations. This
is not ideal because most patients develop sporadic forms of the diseases with no
causative single gene defect and furthermore the aggregation of human proteins in
man is not necessarily the same in rodents. We hypothesized that for such cases
the aged mouse could be an alternative model and analyzed the distribution of
endogenous Abeta, PHF-tau, and alpha-synuclein in mouse brains at different ages.
Whereas Abeta was below detectable levels at birth, it was present at high levels
in the 15-month-old mouse. Abeta was found in the cytosol and lysosomes of
neurons of the temporal cortex, cingulate area, pons, and cerebellum as well as
extracellularly in the periventricular zone. Contrary to Abeta, mouse brain was
devoid of PHF-tau-positive neurofibrillary tangles. alpha-Synuclein was
detectable in the newborn mouse with highest levels in the marginal zone of the
lateral cortex and average levels in the hippocampus, pons, and cerebellum. Brain
area specific differences in the alpha-synuclein level persisted up to 15 months
of age, but increased 3-fold in all areas over time. alpha-Synuclein resided in
the neuropil, but not in intracellular aggregates even in the aged mouse. We
suggest the aged mouse as a model to study Abeta plaque formation.
PMID- 29376877
TI - A Natural Benzofuran from the Patagonic Aleurodiscus vitellinus Fungus has Potent
Neuroprotective Properties on a Cellular Model of Amyloid-beta Peptide Toxicity.
AB - Alzheimer's disease (AD) is characterized by amyloid plaques that form due to an
increase in amyloid-beta peptide (Abeta) aggregation. One strategy in the search
of new treatments for AD focuses on compounds that decrease Abeta accumulation.
Compounds containing a benzofuran ring have been described to play an important
role in decreasing Abeta-induced toxicity; however, only synthetic benzofurans
have been tested thus far. The aim of the present study was to examine the in
vitro neuroprotective properties of fomannoxin (Fx), a natural benzofuran
isolated from cultures of the Andean-Patagonian fungi Aleurodiscus vitellinus,
and evaluate its effect on Abeta peptide. We tested the effect of Fx at a wide
concentration range (10-11-10-4 M) in PC-12 cells, and found the compound did not
alter cellular viability. Fx also showed a concentration-dependent effect on the
Abeta-induced toxicity in PC12 cells, showing viability above 100% at 10-6 M. We
then measured the effect of Fx (10-7-10-5 M) on the frequency of cytosolic Ca2+
transients in rat hippocampal neurons at both acute and chronic (24 h) times.
Acute incubation with Fx increased the frequency of cytosolic Ca2+ transients to
values around 200%, whereas chronic incubation with Fx increased the frequency of
Ca2+ transients. Finally, the Abeta-induced decrease in intracellular Ca2+
transients was prevented when Fx (10-6 M) was co-incubated with Abeta (5*10-6 M).
The results suggest a potent neuroprotective effect of this naturally occurring
benzofuran against Abeta peptide toxicity that could be mediated by an
interference with it binding to plasma membrane, and lead Fx as new chemical
entity to develop pharmacological tools against Abeta peptide neurotoxicity.
PMID- 29376878
TI - A Longitudinal Study of Total and Phosphorylated alpha-Synuclein with Other
Biomarkers in Cerebrospinal Fluid of Alzheimer's Disease and Mild Cognitive
Impairment.
AB - Alzheimer's disease (AD) features a dynamic sequence of amyloid deposition,
neurodegeneration, and cognitive impairment. A significant fraction of AD brains
also displays Lewy body pathology, suggesting that addition of classically
Parkinson's disease-related proteins to the AD biomarker panel may be of value.
To determine whether addition of cerebrospinal fluid (CSF) total alpha-synuclein
and its form phosphorylated at S129 (pS129) to the AD biomarker panel [Amyloid
beta1-42 (Abeta42), tau, and phosphorylated tau (p-tau181)] improves its
performance, we examined CSF samples collected longitudinally up to 7 years as
part of the Alzheimer's Disease Neuroimaging Initiative. From 87 AD, 177 mild
cognitive impairment (MCI), and 104 age-matched healthy controls, 792 baseline
and longitudinal CSF samples were tested for total alpha-synuclein, pS129,
Abeta42, tau, and p-tau181. pS129, but not total alpha-synuclein, was weakly
associated with diagnosis at baseline when t-tau/Abeta42 was included in the
statistical model (beta= 0.0026, p = 0.041, 95% CI [(0.0001)-(0.005)]). CSF alpha
synuclein predicted Alzheimer's Disease Assessment Scale-Cognitive (beta= -0.59,
p = 0.0015, 95% CI [(-0.96)-(-0.23)]), memory (beta= 0.4, p = 0.00025, 95% CI
[(0.16)-(0.59)]), and executive (0.62,<0.0001, 95% CI [(0.31)-(0.93)]) function
composite scores, and progression from MCI to AD (beta= 0.019, p = 0.0011, 95% CI
[(0.002)-(0.20)]). pS129 was associated with executive function (beta= -2.55, p =
0.0085, 95% CI [(-4.45)-(-0.66)]). Lower values in the mismatch between alpha
synuclein and p-tau181 predicted faster cognitive decline (beta= 0.64, p =
0.0012, 95% CI [(0.48)-(0.84)]). Longitudinal biomarker changes did not differ
between groups, and may not reflect AD progression. The alpha-synuclein-p-tau181
Mismatch could better predict longitudinal cognitive changes than classical AD
markers alone, and its pathological correlates should be investigated further.
PMID- 29376879
TI - The origin of complex human diversity: Stochastic epistatic modules and the
intrinsic compatibility between distributional robustness and phenotypic
changeability
AB - The continuing prevalence of a highly heritable and hypo-reproductive extreme
tail of a human neurobehavioral quantitative diversity suggests the reproductive
majority retains the genetic mechanisms for extremes. From the perspective of
stochastic epistasis, the effect of an epistatic modifier variant can randomly
vary in both phenotypic value and effect direction among carriers depending on
the genetic identity and the modifier carriers are ubiquitous in the population.
The neutrality of the mean genetic effect in carriers ensures the survival of the
variant under selection pressures. Functionally or metabolically related modifier
variants make an epistatic network module and dozens of modules may be involved
in the phenotype. To assess the significance of stochastic epistasis, a
simplified module-based model was simulated. The individual repertoire of the
modifier variants in a module also contributes in genetic identity, which
determines the genetic contribution of each carrier modifier. Because the entire
contribution of a module to phenotypic outcome is unpredictable in the model, the
module effect represents the total contribution of related modifiers as a
stochastic unit in simulations. As a result, the intrinsic compatibility between
distributional robustness and quantitative changeability could mathematically be
simulated using the model. The artificial normal distribution shape in large
sized simulations was preserved in each generation even if the lowest fitness
tail was non-reproductive. The robustness of normality across generations is
analogous to the real situation of complex human diversity, including
neurodevelopmental conditions. The repeated regeneration of a non-reproductive
extreme tail may be essential for survival and change of the reproductive
majority, implying extremes for others. Further simulation to illustrate how the
fitness of extreme individuals can be low across generations may be necessary to
increase the plausibility of this stochastic epistasis model.
PMID- 29376881
TI - Modeling the interaction of navigational systems in a reward-based virtual
navigation task.
AB - Existence of allocentric and egocentric systems for human navigation, mediating
spatial, and response learning, respectively, has so far been discussed. It is
controversial whether navigational strategies and their underlying learning
systems and, accordingly, the activation of their associated brain areas are
independent/parallel or whether they functionally/causally interact in a
competitive or in a cooperative manner to solve navigational tasks. The insights
provided by neural networks involved in reward-based navigation attributed to
individual involvement or interactions of learning systems have been surveyed.
This paper characterizes the interactions of neural networks by constructing
generative neural models and investigating their functional and effective
connectivity patterns. A single-subject computer-based virtual reality
environment was constructed to simulate a navigation task within a naturalistic
large-scale space wherein participants were rewarded for using either a place,
response, or mixed strategy at different navigational stages. First, functional
analyses were undertaken to evaluate neural activities via mapping brain
activation and making statistical inference. Effects of interest, spatial and
response learning/retrieval, and their competition and cooperation were
investigated. The optimal generative model was then estimated using dynamic
casual modeling to quantify effective connectivities within the network. This
analysis revealed how experimental conditions supported competition and
cooperation strategies and how they modulated the underlying network. Results
suggest that when navigational strategies cooperated, there were statistically
significant, functional, and effective connectivities between hippocampus and
striatum. However, when the strategies competed, effective connections were not
established among these regions. Instead, connections between
hippocampus/striatum and prefrontal cortex were strengthened. It can be inferred
that a type of dynamical reconfiguration occurs within a network responsible for
navigation when strategies interact either cooperatively or competitively. This
supports adaptive causal organization of the brain when it is engaged with goal
directed behavior.
PMID- 29376880
TI - The role of rosemary extract in degeneration of hippocampal neurons induced by
kainic acid in the rat: A behavioral and histochemical approach.
AB - Systemic Kainic Acid (KA) administration has been used to induce experimental
temporal lobe epilepsy in rats. The aim of this study was to evaluate the
neuroprotective effect of rosemary extract (RE, 40% Carnosic acid) against KA
induced neurotoxicity in hippocampus and impaired learning and memory. Animals
received a single dose of KA (9.5 mg/kg) intraperitoneally (i.p.) (KA group) and
were observed for 2 h and were scored from 0 (for normal, no convulsion) to 5
(for continuous generalized limbic seizures). RE (100 mg/kg, orally) was
administered daily for 23 days, starting a week before KA injection (KA+RE
group). Neuronal degeneration in hippocampus was demonstrated by using Fluoro
Jade B immunofluorescence. The number of pyramidal cells in hippocampus was
evaluated by Nissl staining. Also, the Morris Water Maze and Shuttle box have
been used to assess spatial memory and passive avoidance learning, respectively.
Our results revealed that, after treatment with RE, neuronal loss in CA1
decreased significantly in the animals in KA+RE group. The Morris water
navigation task results revealed that spatial memory impairment decreased in the
animals in KA+RE group. Furthermore, results in Shuttle box test showed that
passive avoidance learning impairment significantly, upgraded in the animals in
KA+RE group. These results suggest that RE may improve the spatial and working
memory deficits and also neuronal degeneration induced by toxicity of KA in the
rat hippocampus, due to its antioxidant activities.
PMID- 29376882
TI - Relative wave energy-based adaptive neuro-fuzzy inference system for estimation
of the depth of anaesthesia.
AB - The advancement in medical research and intelligent modeling techniques has lead
to the developments in anaesthesia management. The present study is targeted to
estimate the depth of anaesthesia using cognitive signal processing and
intelligent modeling techniques. The neurophysiological signal that reflects
cognitive state of anaesthetic drugs is the electroencephalogram signal. The
information available on electroencephalogram signals during anaesthesia are
drawn by extracting relative wave energy features from the anaesthetic
electroencephalogram signals. Discrete wavelet transform is used to decomposes
the electroencephalogram signals into four levels and then relative wave energy
is computed from approximate and detail coefficients of sub-band signals.
Relative wave energy is extracted to find out the degree of importance of
different electroencephalogram frequency bands associated with different
anaesthetic phases awake, induction, maintenance and recovery. The Kruskal-Wallis
statistical test is applied on the relative wave energy features to check the
discriminating capability of relative wave energy features as awake, light
anaesthesia, moderate anaesthesia and deep anaesthesia. A novel depth of
anaesthesia index is generated by implementing a Adaptive neuro-fuzzy inference
system based fuzzy c-means clustering algorithm which uses relative wave energy
features as inputs. Finally, the generated depth of anaesthesia index is compared
with a commercially available depth of anaesthesia monitor Bispectral index.
PMID- 29376883
TI - How mental fatigue affects the neural sources of P300 component
AB - Existence of allocentric and egocentric systems for human navigation, mediating
spatial, and response learning, respectively, has so far been discussed. It is
controversial whether navigational strategies and their underlying learning
systems and, accordingly, the activation of their associated brain areas are
independent/parallel or whether they functionally/causally interact in a
competitive or in a cooperative manner to solve navigational tasks. The insights
provided by neural networks involved in reward-based navigation attributed to
individual involvement or interactions of learning systems have been surveyed.
This paper characterizes the interactions of neural networks by constructing
generative neural models and investigating their functional and effective
connectivity patterns. A single-subject computer-based virtual reality
environment was constructed to simulate a navigation task within a naturalistic
large-scale space wherein participants were rewarded for using either a place,
response, or mixed strategy at different navigational stages. First, functional
analyses were undertaken to evaluate neural activities via mapping brain
activation and making statistical inference. Effects of interest, spatial and
response learning/retrieval, and their competition and cooperation were
investigated. The optimal generative model was then estimated using dynamic
casual modeling to quantify effective connectivities within the network. This
analysis revealed how experimental conditions supported competition and
cooperation strategies and how they modulated the underlying network. Results
suggest that when navigational strategies cooperated, there were statistically
significant, functional, and effective connectivities between hippocampus and
striatum. However, when the strategies competed, effective connections were not
established among these regions. Instead, connections between
hippocampus/striatum and prefrontal cortex were strengthened. It can be inferred
that a type of dynamical reconfiguration occurs within a network responsible for
navigation when strategies interact either cooperatively or competitively. This
supports adaptive causal organization of the brain when it is engaged with goal
directed behavior.
PMID- 29376884
TI - Investigating visual effects of a disgust nocebo with fMRI.
AB - There is growing evidence that placebos are able to influence visual perception.
A previous functional magnetic resonance imaging study on the processing of
disgust images demonstrated that a ''disgust placebo'' (inert pill administered
with the verbal suggestion of an anti-nausea medication) reduced visual cortex
activity and connectivity. In the present functional magnetic resonance imaging
investigation, visual effects corresponding to a ''disgust nocebo'' (an odorless
substance introduced as an aversive smell that enhances disgust feelings) were
examined. Data from 29 females were reanalyzed. They viewed disgusting, fear
eliciting, and neutral images once with and once without the nocebo. In the
nocebo condition with disgusting images disgust experienced that significantly
increased fusiform gyrus activation, which also showed enhanced coupling with the
amygdala and several (extra)striate cortex regions. The nocebo changed the
affective value and motivational relevance of the stimuli as well the perception
of basic visual features. These findings demonstrate that nocebo-related
expectations can have a strong influence on the experience of sensory input.
PMID- 29376885
TI - Application of a brain-computer interface for person authentication using EEG
responses to photo stimuli.
AB - In this paper, a personal authentication system that can effectively identify
individuals by generating unique electroencephalogram signal features in response
to self-face and non-self-face photos is presented. To achieve performance
stability, a sequence of self-face photographs including first-occurrence
position and non-first-occurrence position are taken into account in the serial
occurrence of visual stimuli. Additionally, a Fisher linear classification method
and event-related potential technique for feature analysis is adapted to yield
remarkably better outcomes than those obtained by most existing
PMID- 29376886
TI - Scalp acupuncture plus low-frequency rTMS promotes repair of brain white matter
tracts in stroke patients: A DTI study.
AB - To study the clinical effects of scalp acupuncture plus low frequency rTMS in
hemiplegic stroke patients. A total of 28 hemiplegic stroke patients were
recruited and randomly assigned to the experimental group (scalp acupuncture low
frequency rTMS routine rehabilitation treatment) or the control group (scalp
acupuncture routine rehabilitation treatment). All patients received a diffusion
tensor imaging examination on the day of admission and on the fourteenth day.
Compared with pre-treatment, the upper limb motor function score and ability of
daily life score increased significantly in the two groups, and motor function
improvement was much greater in the experimental group. Fractional anisotropy
values significantly increased in white matter tracts, such as the corticospinal
tract, forceps minor, superior longitudinal fasciculus and uncinate fasciculus in
the two groups. Compared with pretreatment, the fractional anisotropy values
increased and mean diffusion values decreased synchronously in the forceps minor,
left inferior fronto-occipital fasciculus, left inferior longitudinal fasciculus,
left superior longitudinal fasciculus and left uncinate fasciculus in the
experimental group. Before and after treatment, there were no significant
differences in the changes of fractional anisotropy values between the two
groups, but the changes of the mean diffusion values in the experimental group
were much greater than those in the control group in the left superior
longitudinal fasciculus and the left uncinate fasciculus (p<0.05). Moreover, the
increased fractional anisotropy values in the forceps minor in the experimental
group were significantly positively correlated with the increased Fugl-Meyer
assessment score. Our study concluded that based on routine rehabilitation
treatment, scalp acupuncture plus low frequency rTMS can promote white matter
tracts repair better than scalp acupuncture alone; the motor function improvement
of the hemiplegic upper limb may be closely related to the rehabilitation of the
forceps minor; the combination of scalp acupuncture and low frequency rTMS is
expected to provide a more optimal rehabilitation protocol for stroke hemiplegic
patients.
PMID- 29376887
TI - Hypothalamic loss of Snord116 recapitulates the hyperphagia of Prader-Willi
syndrome.
AB - Profound hyperphagia is a major disabling feature of Prader-Willi syndrome (PWS).
Characterization of the mechanisms that underlie PWS-associated hyperphagia has
been slowed by the paucity of animal models with increased food intake or
obesity. Mice with a microdeletion encompassing the Snord116 cluster of noncoding
RNAs encoded within the Prader-Willi minimal deletion critical region have
previously been reported to show growth retardation and hyperphagia. Here,
consistent with previous reports, we observed growth retardation in Snord116+/-P
mice with a congenital paternal Snord116 deletion. However, these mice neither
displayed increased food intake nor had reduced hypothalamic expression of the
proprotein convertase 1 gene PCSK1 or its upstream regulator NHLH2, which have
recently been suggested to be key mediators of PWS pathogenesis. Specifically, we
disrupted Snord116 expression in the mediobasal hypothalamus in Snord116fl mice
via bilateral stereotaxic injections of a Cre-expressing adeno-associated virus
(AAV). While the Cre-injected mice had no change in measured energy expenditure,
they became hyperphagic between 9 and 10 weeks after injection, with a subset of
animals developing marked obesity. In conclusion, we show that selective
disruption of Snord116 expression in the mediobasal hypothalamus models the
hyperphagia of PWS.
PMID- 29376888
TI - Microglia are required for protection against lethal coronavirus encephalitis in
mice.
AB - Recent findings have highlighted the role of microglia in orchestrating normal
development and refining neural network connectivity in the healthy CNS.
Microglia are not only vital cells in maintaining CNS homeostasis, but also
respond to injury, infection, and disease by undergoing proliferation and changes
in transcription and morphology. A better understanding of the specific role of
microglia in responding to viral infection is complicated by the presence of
nonmicroglial myeloid cells with potentially overlapping function in the healthy
brain and by the rapid infiltration of hematopoietic myeloid cells into the brain
in diseased states. Here, we used an inhibitor of colony-stimulating factor 1
receptor (CSF1R) that depletes microglia to examine the specific roles of
microglia in response to infection with the mouse hepatitis virus (MHV), a
neurotropic coronavirus. Our results show that microglia were required during the
early days after infection to limit MHV replication and subsequent morbidity and
lethality. Additionally, microglia depletion resulted in ineffective T cell
responses. These results reveal nonredundant, critical roles for microglia in the
early innate and virus-specific T cell responses and for subsequent host
protection from viral encephalitis.
PMID- 29376890
TI - Newly found arsons ignite the fire of gut GVHD.
AB - Acute graft-versus-host disease (GVHD) in the gut is common following
hematopoetic cell transplantation (HCT) and is associated with high mortality.
However, it remains unclear whether Th1 or Th17 CD4+ T cells can initiate acute
gut GVHD. In this issue of the JCI, Ullrich and colleagues identified a subset of
CD4+ T cells that express high levels of IL-7Ralpha and granulocyte-macrophage
CSF (IL-7RalphahiGM-CSF+) cells that are involved in the induction of acute gut
GVHD in murine models. The IL-7RalphahiGM-CSF+ effector memory cells were BATF
dependent, RORgammat independent, produced large amounts of GM-CSF and IFN-gamma,
and released little IL-17. CD4+IL-7RalphahiGM-CSF+ cells were not classical Th17
cells but had more of a Th1-like phenotype, despite their dependence on BATF.
This work suggests that targeting the IL-7R/BATF/GM-CSF axis has therapeutic
potential for treating acute gut GVHD.
PMID- 29376889
TI - BATF-dependent IL-7RhiGM-CSF+ T cells control intestinal graft-versus-host
disease.
AB - Acute graft-versus-host disease (GVHD) represents a severe, T cell-driven
inflammatory complication following allogeneic hematopoietic cell transplantation
(allo-HCT). GVHD often affects the intestine and is associated with a poor
prognosis. Although frequently detectable, proinflammatory mechanisms exerted by
intestinal tissue-infiltrating Th cell subsets remain to be fully elucidated.
Here, we show that the Th17-defining transcription factor basic leucine zipper
transcription factor ATF-like (BATF) was strongly regulated across human and
mouse intestinal GVHD tissues. Studies in complete MHC-mismatched and minor
histocompatibility-mismatched (miHA-mismatched) GVHD models revealed that BATF
expressing T cells were functionally indispensable for intestinal GVHD
manifestation. Mechanistically, BATF controlled the formation of colon
infiltrating, IL-7 receptor-positive (IL-7R+), granulocyte-macrophage colony
stimulating factor-positive (GM-CSF+), donor T effector memory (Tem) cells. This
T cell subset was sufficient to promote intestinal GVHD, while its occurrence was
largely dependent on T cell-intrinsic BATF expression, required IL-7-IL-7R
interaction, and was enhanced by GM-CSF. Thus, this study identifies BATF
dependent pathogenic GM-CSF+ effector T cells as critical promoters of intestinal
inflammation in GVHD and hence putatively provides mechanistic insight into
inflammatory processes previously assumed to be selectively Th17 driven.
PMID- 29376891
TI - Hypothalamic loss of Snord116 and Prader-Willi syndrome hyperphagia: the buck
stops here?
AB - Hyperphagia and obesity are the best-known manifestations of Prader-Willi
syndrome (PWS) and are responsible for most of the overall morbidity and
mortality associated with the disease. Yet these PWS symptoms remain poorly
understood and without effective pharmacologic therapies. Mouse models attempting
to recapitulate both the genetic alterations and marked hyperphagia plus obesity
of PWS have been enigmatic, leading to skepticism about the use of mouse models
to investigate PWS. In this issue of the JCI, Polex-Wolf and colleagues challenge
the skeptics by successfully inducing hyperphagia following bilateral mediobasal
hypothalamic deletion of the Snord116 gene from adult mice. Obesity also
resulted, although only in a subset of mice. While this approach represents an
exciting advance, highlighting a pathologic effect of loss of mediobasal
hypothalamic Snord116 expression on the development of PWS's hallmark symptoms,
the variability in the body-weight and body composition responses to this site
selective gene deletion raises several questions.
PMID- 29376893
TI - Use of Ocular Hypotensive Medications in Portugal: PEM Study: A Cross-sectional
Nationwide Analysis: Erratum.
PMID- 29376894
TI - Welcome Message from the Editor-in-Chief.
AB - Fungi are one of the most important and diverse groups of organisms on the
planet, having a dual impact on humanity. They adversely impact human and animal
health and can be a scourge to agriculture, while in turn serving as a beneficial
source for foods and beverages, new medications, and biocontrol. There are
approximately 1.5 million different species of fungi on Earth, which largely
reside in soil and plant. They are also readily found on human skin and within
the gastrointestinal and genitourinary tract, yet only about 300 species are
known to make people sick [1,2]. Fungi are bountiful in the environment and we
encounter them everyday, usually in the form of freely dispersed spores and
hyphal fragments that we breath-in. Typically, encounters with fungi are
harmless, as the human immune systems is well poised to handle such interactions.
However, some fungal species pose significant health risks, such as endemic
mycoses or those producing toxins like mycotoxins. Most importantly, immune
dysfunction can lead to serious life-threatening diseases or severe fungal
induced allergic diseases such as asthma or other chronic conditions [3]. In
fact, most invasive fungal diseases are associated with changes in the host such
as immunosuppression, antibiotic-mediated disruption of microflora, or other
immunosuppressing conditions resulting from HIV/AIDS and hematologic malignancies
[3,4]. Such diseases require therapy with antifungal agents. Yet, there are only
limited classes available to treat invasive fungal infection, and emerging drug
resistance further restricts treatment options. In some cases, agents used to
control agriculturally important moulds are the same class as those used to treat
humans, and de novo resistance can emerge from the environment [5]. Fungi are not
always easy to detect and cryptic chronic infections in the form of unculturable
organisms can confound diagnosis [6]. [...].
PMID- 29376892
TI - Factor XII and uPAR upregulate neutrophil functions to influence wound healing.
AB - Coagulation factor XII (FXII) deficiency is associated with decreased neutrophil
migration, but the mechanisms remain uncharacterized. Here, we examine how FXII
contributes to the inflammatory response. In 2 models of sterile inflammation,
FXII-deficient mice (F12-/-) had fewer neutrophils recruited than WT mice. We
discovered that neutrophils produced a pool of FXII that is functionally distinct
from hepatic-derived FXII and contributes to neutrophil trafficking at sites of
inflammation. FXII signals in neutrophils through urokinase plasminogen activator
receptor-mediated (uPAR-mediated) Akt2 phosphorylation at S474 (pAktS474).
Downstream of pAkt2S474, FXII stimulation of neutrophils upregulated surface
expression of alphaMbeta2 integrin, increased intracellular calcium, and promoted
extracellular DNA release. The sum of these activities contributed to neutrophil
cell adhesion, migration, and release of neutrophil extracellular traps in a
process called NETosis. Decreased neutrophil signaling in F12-/- mice resulted in
less inflammation and faster wound healing. Targeting hepatic F12 with siRNA did
not affect neutrophil migration, whereas WT BM transplanted into F12-/- hosts was
sufficient to correct the neutrophil migration defect in F12-/- mice and restore
wound inflammation. Importantly, these activities were a zymogen FXII function
and independent of FXIIa and contact activation, highlighting that FXII has a
sophisticated role in vivo that has not been previously appreciated.
PMID- 29376895
TI - Cutaneous Protothecosis in a Patient with Chronic Lymphocytic Leukemia: A Case
Report and Literature Review.
AB - Protothecosis is a rare infection, which has the potential to cause severe
disease in patients with underlying immunosuppression. We describe a case of an
elderly female with chronic lymphocytic leukemia (CLL), as well as other risk
factors, who presented with pustular and erythematous plaques, initially presumed
to be leukemia cutis. A biopsy with special stains revealed the lesions to be
cutaneous protothecosis, thus presenting a most unusual concurrence of disease
entities. The literature to date on this rare infection will be reviewed.
PMID- 29376896
TI - Antifungal Treatment for Pityriasis Versicolor.
AB - BACKGROUND: Pityriasis versicolor (PV), also known as tinea versicolor, is caused
by Malassezia species. This condition is one of the most common superficial
fungal infections worldwide, particularly in tropical climates. PV is difficult
to cure and the chances for relapse or recurrent infections are high due to the
presence of Malassezia in the normal skin flora. This review focuses on the
clinical evidence supporting the efficacy of antifungal treatment for PV. METHOD:
A systematic review of literature from the PubMed database was conducted up to 30
September 2014. The search criteria were "(pityriasis versicolor OR tinea
versicolor) AND treatment", with full text available and English language
required. CONCLUSIONS: Topical antifungal medications are the first-line
treatment for PV, including zinc pyrithione, ketoconazole, and terbinafine. In
cases of severe or recalcitrant PV, the oral antifungal medications itraconazole
and fluconazole may be more appropriate, with pramiconazole a possible future
option. Oral terbinafine is not effective in treating PV and oral ketoconazole
should no longer be prescribed. Maintenance, or prophylactic, therapy may be
useful in preventing recurrent infection; however, at this time, there is limited
research evaluating the efficacy of prophylactic antifungal treatment.
PMID- 29376897
TI - Onychomycosis: A Review.
AB - Onychomycosis is the most common nail infective disorder. It is caused mainly by
anthropophilic dermatophytes, in particular by Trichophyton rubrum and T.
mentagrophytes var. interdigitale. Yeasts, like Candida albicans and C.
parapsilosis, and molds, like Aspergillus spp., represent the second cause of
onychomycosis. The clinical suspect of onychomycosis should be confirmed my
mycology. Onychoscopy is a new method that can help the physician, as in
onychomycosis, it shows a typical fringed proximal margin. Treatment is chosen
depending on the modality of nail invasion, fungus species and the number of
affected nails. Oral treatments are often limited by drug interactions, while
topical antifungal lacquers have less efficacy. A combination of both oral and
systemic treatment is often the best choice.
PMID- 29376898
TI - Laser Therapy for Onychomycosis: Fact or Fiction?
AB - Onychomycosis is a common fungal infection, afflicting some 10% of the adult
population in industrialized countries. Aside from cosmetic concerns,
onychomycosis can be the cause of toe and foot pain, as well as the underlying
etiology for serious secondary bacterial infections and traumatic ulcerations. In
select populations, such as diabetics, the latter conditions may even result in
loss of all or part of the lower extremity. Thus, a simple, cost-effective and
safe treatment for onychomycosis is highly desirable. Although both topical and
oral systemic antifungal agents are available for this purpose, they are not
always effective, carry some medical risks, are associated with potentially
significant drug-drug interactions, and may be unacceptable to patient and
healthcare provider alike. Physical modalities, such as laser therapy, therefore
appear appealing. The question is whether laser treatment is sufficiently
efficacious and safe to warrant the current high cost per treatment. The readily
available literature on this controversy will be reviewed herein.
PMID- 29376899
TI - Host-Specialist Dominated Ectomycorrhizal Communities of Pinus cembra are not
Affected by Temperature Manipulation.
AB - Ectomycorrhizae (EM) are important for the survival of seedlings and trees, but
how they will react to global warming or changes in soil fertility is still in
question. We tested the effect of soil temperature manipulation and nitrogen
fertilization on EM communities in a high-altitude Pinus cembra afforestation.
The trees had been inoculated in the 1960s in a nursery with a mixture of Suillus
placidus, S. plorans and S. sibircus. Sampling was performed during the third
year of temperature manipulation in June and October 2013. Root tips were
counted, sorted into morphotypes, and sequenced. Fungal biomass was measured as
ergosterol and hyphal length. The EM potential of the soil was assessed with
internal transcribed spacers (ITS) clone libraries from in-growth mesh bags (MB).
Temperature manipulation of +/- 1 degrees C had no effect on the EM community. A
total of 33 operational taxonomic units (OTUs) were identified, 20 from the
roots, 13 from MB. The inoculated Suillus spp. colonized 82% of the root tips,
thus demonstrating that the inoculation was sustainable. Nitrogen fertilization
had no impact on the EM community, but promoted depletion in soil organic matter,
and caused a reduction in soil fungal biomass.
PMID- 29376900
TI - Analyses of Sporocarps, Morphotyped Ectomycorrhizae, Environmental ITS and LSU
Sequences Identify Common Genera that Occur at a Periglacial Site.
AB - Periglacial substrates exposed by retreating glaciers represent extreme and
sensitive environments defined by a variety of abiotic stressors that challenge
organismal establishment and survival. The simple communities often residing at
these sites enable their analyses in depth. We utilized existing data and mined
published sporocarp, morphotyped ectomycorrhizae (ECM), as well as environmental
sequence data of internal transcribed spacer (ITS) and large subunit (LSU)
regions of the ribosomal RNA gene to identify taxa that occur at a glacier
forefront in the North Cascades Mountains in Washington State in the USA. The
discrete data types consistently identified several common and widely distributed
genera, perhaps best exemplified by Inocybe and Laccaria. Although we expected
low diversity and richness, our environmental sequence data included 37 ITS and
26 LSU operational taxonomic units (OTUs) that likely form ECM. While
environmental surveys of metabarcode markers detected large numbers of targeted
ECM taxa, both the fruiting body and the morphotype datasets included genera that
were undetected in either of the metabarcode datasets. These included hypogeous
(Hymenogaster) and epigeous (Lactarius) taxa, some of which may produce large
sporocarps but may possess small and/or spatially patchy genets. We highlight the
importance of combining various data types to provide a comprehensive view of a
fungal community, even in an environment assumed to host communities of low
species richness and diversity.
PMID- 29376901
TI - Histoplasmosis and Blastomycosis in Solid Organ Transplant Recipients.
AB - Histoplasmosis and blastomycosis are geographically restricted dimorphic fungi
that cause infection after the conidia produced in the mold phase are inhaled
into the lungs. In the lungs, at 37 degrees C, these organisms undergo
transformation into the yeast phase. In transplant recipients, infection can
occur by exposure to the mold in the environment, by reactivation of infection
that had occurred previously and had been controlled by the host until
immunosuppressive medications were given post-transplantation, and finally by
transmission from the donor organ in the case of histoplasmosis. In transplant
recipients, disseminated infection is common, and pulmonary infection is more
likely to be severe than in a non-immunosuppressed person. Diagnosis has been
improved, allowing earlier treatment, with the use of rapid antigen tests
performed on serum and urine. Initial treatment, for all but the mildest cases of
acute pulmonary histoplasmosis, should be with a lipid formulation of
amphotericin B. After clinical improvement has occurred, step-down therapy with
itraconazole is recommended for a total of 12 months for most transplant
recipients, but some patients will require long-term suppressive therapy to
prevent relapse of disease.
PMID- 29376902
TI - Efinaconazole Topical Solution, 10%: Factors Contributing to Onychomycosis
Success.
AB - To provide an adequate therapeutic effect against onychomycosis, it has been
suggested that topical drugs should have two properties: drug permeability
through the nail plate and into the nail bed, and retention of their antifungal
activity in the disease-affected areas. Only recently has the importance of other
delivery routes (such as subungual) been discussed. Efinaconazole has been shown
to have a more potent antifungal activity in vitro than the most commonly used
onychomycosis treatments. The low keratin affinity of efinaconazole contributes
to its effective delivery through the nail plate and retention of its antifungal
activity. Its unique low surface tension formulation provides good wetting
properties affording drug delivery both through and under the nail. High
antifungal drug concentrations have been demonstrated in the nail of
onychomycosis patients, and effectiveness of efinaconazole topical solution, 10%
confirmed in two large well-controlled multicenter Phase 3 clinical studies in
patients with mild-to-moderate disease.
PMID- 29376903
TI - Cryptococcus: Shedding New Light on an Inveterate Yeast.
AB - Cryptococcus has emerged as a significant pathogen in immunocompromised patients.
While the diagnostic testing and the antifungal treatment of cryptococcal
infections have become firmly established in clinical practice, new developments
and areas of ambiguity merit further consideration. These include the potential
for donor transmission of Cryptococcus; cirrhosis-associated cryptococcosis,
particularly during transplant candidacy; the utility of serum cryptococcal
antigen testing of asymptomatic individuals in high-prevalence, poor-resource
areas; pathogenesis and treatment of the immune reconstitution syndrome,
specifically in relation to antiretroviral therapy and immunosuppressive
medications; and new challenges posed by the emerging species of Cryptococcus
gatti. In this article, we summarize the literature pertaining to these topics,
focusing on recent progress.
PMID- 29376904
TI - The Immunologic Response to Trichophyton Rubrum in Lower Extremity Fungal
Infections.
AB - Manifestations of Trichophyton rubrum infestations, such as tinea pedis, tinea
cruris, and tinea corporis, are among the most common human skin diseases seen
throughout the world. About 80% of patients presenting with acute dermatophytosis
respond well to topical antifungal treatment. However, the remaining 20% of
patients progress into a chronic state of dermatophytosis, which is resistant to
antifungal treatment. Therefore, it is necessary to have a better understanding
and appreciation for the diverse immune responses to Trichophyton as this is
critical for the development of therapeutic strategies for those individuals who
suffer from a chronic manifestation of Trichophyton rubrum (T. rubrum) infection.
As a result, a comprehensive literature review was conducted to review and
discuss previous studies that evaluated the human body's defense to T. rubrum
infections and to understand why and how these fungal infections invade the host
defense system. Our research revealed that a cell-mediated immune response is
critical in defending the body against T. rubrum. However, this organism has
mechanisms that enable it to evade the immune system. Therefore, a more
successful treatment for chronic T. rubrum infection would involve targeting the
mechanisms of T. rubrum that diminish the immune response, while restoring the
cell-mediated immune response.
PMID- 29376905
TI - Effective Single Photodynamic Treatment of ex Vivo Onychomycosis Using a
Multifunctional Porphyrin Photosensitizer and Green Light.
AB - Onychomycosis is predominantly caused by the dermatophytes Trichophyton rubrum,
Trichophyton mentagrophytes and Trichophyton tonsurans. The main treatment
obstacle concerns low nail-plate drug permeability. In vitro antifungal
photodynamic treatment (PDT) and nail penetration enhancing effectiveness have
been proven for multifunctional photosensitizer 5,10,15-tris(4-N
methylpyridinium)-20-(4-(butyramido-methylcysteinyl)-hydroxyphenyl)-[21H,23H]
porphine trichloride (PORTHE). This study investigates single PORTHE green
laser/LED PDT of varying degrees of ex vivo onychomycoses in a human nail model.
T. mentagrophytes, T. rubrum, T. tonsurans onychomycoses were ex vivo induced on
nail pieces at 28 degrees C (normal air) and 37 degrees C (6.4% CO2) during 3
to 35 days and PDTs applied to the 37 degrees C infections. All dermatophytes
showed increasingly nail plate invasion at 37 degrees C between 7 and 35 days;
arthroconidia were observed after 35 days for T. mentagrophytes and T. tonsurans.
Using 81 J/cm2 (532 nm) 7-day T. mentagrophytes onychomycoses were cured (92%)
with 80 uM PORTHE (pH 8) after 24 h propylene glycol (PG, 40%) pre-treatment and
35-day onychomycoses (52%-67%) with 24 h PORTHE (40-80 uM)/40% PG treatment (pH
5). 28 J/cm2 LED light (525 +/- 37 nm) improved cure rates to 72%, 83% and 73%
for, respectively, T. mentagrophytus, T. rubrum and T. tonsurans 35-day
onychomycoses and to 100% after double PDT. Data indicate PDT relevance for
onychomycosis.
PMID- 29376906
TI - Special Issue: Mycorrhizal Fungi in Sensitive Environments.
AB - The scope of this special issue is to understand whether and how mycorrhizal
symbiosis can be included as an agriculture and agroforestry tool that promotes
more environmentally friendly practices, and whether it promotes the protection
of sensitive areas. Three papers are included in this special issue, each dealing
with a different sensitive environment. These papers present fundamental aspects
that should be taken into account when planning or reporting studies related to
mycorrhizal fungi in sensitive environments.
PMID- 29376907
TI - The Dermatologist's Approach to Onychomycosis.
AB - Onychomycosis is a fungal infection of the toenails or fingernails that can
involve any component of the nail unit, including the matrix, bed, and plate. It
is a common disorder that may be a reservoir for infection resulting in
significant medical problems. Moreover, onychomycosis can have a substantial
influence on one's quality of life. An understanding of the disorder and updated
management is important for all health care professionals. Aside from reducing
quality of life, sequelae of the disease may include pain and disfigurement,
possibly leading to more serious physical and occupational limitations.
Dermatologists, Podiatrists, and other clinicians who treat onychomycosis are now
entering a new era when considering treatment options-topical modalities are
proving more effective than those of the past. The once sought after concept of
viable, effective, well-tolerated, and still easy-to-use monotherapy alternatives
to oral therapy treatments for onychomycosis is now within reach given recent
study data. In addition, these therapies may also find a role in combination and
maintenance therapy; in order to treat the entire disease the practitioner needs
to optimize these topical agents as sustained therapy after initial clearance to
reduce recurrence or re-infection given the nature of the disease.
PMID- 29376908
TI - Ectomycorrhizal Fungal Communities and Enzymatic Activities Vary across an
Ecotone between a Forest and Field.
AB - Extracellular enzymes degrade macromolecules into soluble substrates and are
important for nutrient cycling in soils, where microorganisms, such as
ectomycorrhizal (ECM) fungi, produce these enzymes to obtain nutrients. Ecotones
between forests and fields represent intriguing arenas for examining the effect
of the environment on ECM community structure and enzyme activity because tree
maturity, ECM composition, and environmental variables may all be changing
simultaneously. We studied the composition and enzymatic activity of ECM
associated with loblolly pine (Pinus taeda) across an ecotone between a forest
where P. taeda is established and an old field where P. taeda saplings had been
growing for <5 years. ECM community and environmental characteristics influenced
enzyme activity in the field, indicating that controls on enzyme activity may be
intricately linked to the ECM community, but this was not true in the forest.
Members of the Russulaceae were associated with increased phenol oxidase activity
and decreased peroxidase activity in the field. Members of the Atheliaceae were
particularly susceptible to changes in their abiotic environment, but this did
not mediate differences in enzyme activity. These results emphasize the complex
nature of factors that dictate the distribution of ECM and activity of their
enzymes across a habitat boundary.
PMID- 29376909
TI - Environmental and Genetic Factors on the Development of Onychomycosis.
AB - Since the early 20th century, onychomycosis originated with the onset of war, the
use of occlusive footwear, and the mass migration of people by transportation in
the United States. Even though onychomycosis has a high prevalence in the US,
other parts of the world including Canada, West Africa, Southeast Asia, Northern
Australia, and Europe have been well documented with cases of fungal toenail
infection in their environments. Trichophyton rubrum (T. rubrum) is the major
dermatophyte responsible for toenail fungal infection and is typically diagnosed
in conjunction with tinea pedis, especially in individuals older than 60 years.
Gender roles, age, cultural habits, shoe gear, sports activities, and genetic
predisposition all contribute to the different presentation of onychomycosis in
these areas where organisms like dermatophytes, candida, and molds were isolated
in a variety of cases. Despite the differences in isolated pathogens, treatment
outcomes remained consistent. This literature review discusses the influence of
tinea pedis, genetics, shoe gear, sports, and age on the development of
onychomycosis.
PMID- 29376911
TI - Diagnostic Modalities for Invasive Mould Infections among Hematopoietic Stem Cell
Transplant and Solid Organ Recipients: Performance Characteristics and Practical
Roles in the Clinic.
AB - The morbidity and mortality of hematopoietic stem cell and solid organ transplant
patients with invasive fungal infections (IFIs) remain high despite an increase
in the number of effective antifungal agents. Early diagnosis leading to timely
administration of antifungal therapy has been linked to better outcomes.
Unfortunately, the diagnosis of IFIs remains challenging. The current gold
standard for diagnosis is a combination of histopathology and culture, for which
the sensitivity is <50%. Over the past two decades, a plethora of non-culture
based antigen and molecular assays have been developed and clinically validated.
In this article, we will review the performance of the current commercially
available non-cultural diagnostics and discuss their practical roles in the
clinic.
PMID- 29376910
TI - Application of Culture-Independent Rapid Diagnostic Tests in the Management of
Invasive Candidiasis and Cryptococcosis.
AB - The diagnosis of invasive candidiasis (IC) and cryptococcosis is often
complicated by slow and insensitive culture-based methods. Such delay results in
poor outcomes due to the lack of timely therapeutic interventions. Advances in
serological, biochemical, molecular and proteomic approaches have made a
favorable impact on this process, improving the timeliness and accuracy of
diagnosis with resultant improvements in outcome. This paper will serve as an
overview of recent developments in the diagnostic approaches to infections due to
these important yeast-fungi.
PMID- 29376912
TI - Candida glabrata, Friend and Foe.
AB - Candida glabrata is mostly good, but, at times, it is an opportunistic pathogen.
Previously known as Torulopsis glabrata, it enjoyed a good reputation and was
even present in starter cultures. Its haploid genome and lack of mating made it
an attractive challenge for yeast genetics studies. However, more recently it has
become better known due to its character as an emerging cause of candidiasis, and
for its resistance to multidrugs that are employed for candidiasis treatment.
While now classified as Candida glabrata, it is still not a good fit and tends to
stand alone as a very unique yeast. In terms of sequence, it is dissimilar to
other Candida yeast and most similar to Saccharomyces cerevisiae.
PMID- 29376914
TI - The Fungus among Us: Cryptococcus neoformans and Cryptococcus gattii Ecological
Modeling for Colombia.
AB - The environmental isolation of Cryptococcus spp. is typically a difficult
undertaking. Collecting samples in the field is costly in terms of travel,
personnel time and materials. Furthermore, the recovery rate of Cryptococcus spp.
may be very low, thereby requiring a large number of samples to be taken without
any guarantee of success. Ecological niche modeling is a tool that has
traditionally been used to forecast the distribution of plant and animal of
species for biodiversity and conservation purposes. Here, we use it in a public
health application to produce risk area maps for cryptococcal disease in
Colombia. The Genetic Algorithm for Ruleset Production (GARP) was used to create
models for Cryptococcus neoformans (C. neoformans) and Cryptococcus gattii (C.
gattii), based on environmental sampling and clinical records data recorded since
1987. These maps could be used to focus public health messaging related to
cryptococcal disease, and it enables us to characterize the ecological niche for
Cryptococcus in Colombia. We found that the OPEN ACCESS J. Fungi 2015, 1 333
ecological niche for C. gattii in Colombia is quite diverse, establishing itself
in sub-tropical and temperate ecoregions within the country. This suggests that
C. gattii is highly adaptive to different ecological conditions in Colombia and
different regions of the world.
PMID- 29376915
TI - Role of New Antifungal Agents in the Treatment of Invasive Fungal Infections in
Transplant Recipients: Isavuconazole and New Posaconazole Formulations.
AB - Invasive fungal infections are a major cause of morbidity and mortality among
solid organ transplant (SOT) and hematopoietic stem cell transplant (HSCT)
recipients. Transplant patients are at risk for such invasive fungal infections.
The most common invasive fungal infections are invasive candidiasis in the SOT
and invasive aspergillosis in the HSCT. In this article, we will discuss the
epidemiology of invasive fungal infections in the transplant recipients and
susceptibility patterns of the fungi associated with these infections.
Additionally, the pharmacology and clinical efficacy of the new antifungal,
isavuconazole, and the new posaconazole formulations will be reviewed.
Isavuconazole is a new extended-spectrum triazole that was recently approved for
the treatment of invasive aspergillosis and mucormycosis. Advantages of this
triazole include the availability of a water-soluble intravenous formulation,
excellent bioavailability of the oral formulation, and predictable
pharmacokinetics in adults. Posaconazole, a broad-spectrum triazole antifungal
agent, is approved for the prevention of invasive aspergillosis and candidiasis
in addition to the treatment of oropharyngeal candidiasis. Posaconazole oral
suspension solution has shown some limitations in the setting of fasting state
absorption, elevated gastrointestinal pH, and increased motility. The newly
approved delayed-release oral tablet and intravenous solution formulations
provide additional treatment options by reducing interpatient variability and
providing flexibility in these set of critically ill patients. This review will
detail these most recent studies.
PMID- 29376913
TI - Pneumocystis Pneumonia in Solid-Organ Transplant Recipients.
AB - Pneumocystis pneumonia (PCP) is well known and described in AIDS patients. Due to
the increasing use of cytotoxic and immunosuppressive therapies, the incidence of
this infection has dramatically increased in the last years in patients with
other predisposing immunodeficiencies and remains an important cause of morbidity
and mortality in solid-organ transplant (SOT) recipients. PCP in HIV-negative
patients, such as SOT patients, harbors some specificity compared to AIDS
patients, which could change the medical management of these patients. This
article summarizes the current knowledge on the epidemiology, risk factors,
clinical manifestations, diagnoses, prevention, and treatment of Pneumocystis
pneumonia in solid-organ transplant recipients, with a particular focus on the
changes caused by the use of post-transplantation prophylaxis.
PMID- 29376916
TI - Pushing the Limits of MALDI-TOF Mass Spectrometry: Beyond Fungal Species
Identification.
AB - Matrix assisted laser desorption ionization time of flight (MALDI-TOF) is a
powerful analytical tool that has revolutionized microbial identification.
Routinely used for bacterial identification, MALDI-TOF has recently been applied
to both yeast and filamentous fungi, confirming its pivotal role in the rapid and
reliable diagnosis of infections. Subspecies-level identification holds an
important role in epidemiological investigations aimed at tracing virulent or
drug resistant clones. This review focuses on present and future applications of
this versatile tool in the clinical mycology laboratory.
PMID- 29376917
TI - The Biological Diversity and Production of Volatile Organic Compounds by Stem
Inhabiting Endophytic Fungi of Ecuador.
AB - Fungal endophytes colonize every major lineage of land plants without causing
apparent harm to their hosts. Despite their production of interesting and
potentially novel compounds, endophytes-particularly those inhabiting stem
tissues-are still a vastly underexplored component of microbial diversity. In
this study, we explored the diversity of over 1500 fungal endophyte isolates
collected from three Ecuadorian ecosystems: lowland tropical forest, cloud
forest, and coastal dry forest. We sought to determine whether Ecuador's fungal
endophytes are hyperdiverse, and whether that biological diversity is reflected
in the endophytes' chemical diversity. To assess this chemical diversity, we
analyzed a subset of isolates for their production of volatile organic compounds
(VOCs), a representative class of natural products. This study yielded a total of
1526 fungal ITS sequences comprising some 315 operational taxonomic units (OTUs),
resulting in a non-asymptotic OTU accumulation curve and characterized by a
Fisher's alpha of 120 and a Shannon Diversity score of 7.56. These figures
suggest that the Ecuadorian endophytes are hyperdiverse. Furthermore, the 113
isolates screened for VOCs produced more than 140 unique compounds. These results
present a mere snapshot of the remarkable biological and chemical diversity of
stem-inhabiting endophytic fungi from a single neotropical country.
PMID- 29376919
TI - Phaeohyphomycosis in Transplant Patients.
AB - Phaeohyphomycosis is caused by a large, heterogenous group of darkly pigmented
fungi. The presence of melanin in their cell walls is characteristic, and is
likely an important virulence factor. These infections are being increasingly
seen in a variety of clinical syndromes in both immunocompromised and normal
individuals. Transplant patients are especially at risk due their prolonged
immunosuppression. There are no specific diagnostic tests for these fungi, though
the Fontana-Masson stain is relatively specific in tissue. They are generally
seen in a worldwide distribution, though a few species are only found in specific
geographic regions. Management of these infections is not standardized due to
lack of clinical trials, though recommendations are available based on clinical
experience from case reports and series and animal models. Superficial infections
may be treated without systemic therapy. Central nervous system infections are
unique in that they often affect otherwise normal individuals, and are difficult
to treat. Disseminated infections carry a high mortality despite aggressive
therapy, usually with multiple antifungal drugs. Considerable work is needed to
determine optimal diagnostic and treatment strategies for these infections.
PMID- 29376918
TI - Masking the Pathogen: Evolutionary Strategies of Fungi and Their Bacterial
Counterparts.
AB - Pathogens reduce immune recognition of their cell surfaces using a variety of
inert structural polysaccharides. For example, capsular polysaccharides play
critical roles in microbial survival strategies. Capsules are widely distributed
among bacterial species, but relatively rare in eukaryotic microorganisms, where
they have evolved considerable complexity in structure and regulation and are
exemplified by that of the HIV/AIDS-related fungus Cryptococcus neoformans.
Endemic fungi that affect normal hosts such as Histoplasma capsulatum and
Blastomyces dermatitidis have also evolved protective polysaccharide coverings in
the form of immunologically inert alpha-(1,3)-glucan polysaccharides to protect
their more immunogenic beta-(1,3)-glucan-containing cell walls. In this review we
provide a comparative update on bacterial and fungal capsular structures and
immunogenic properties as well as the polysaccharide masking strategies of
endemic fungal pathogens.
PMID- 29376920
TI - Colliding Epidemics and the Rise of Cryptococcosis.
AB - Discovered more than 100 years ago as a human pathogen, the Cryptococcus
neoformans-Cryptococcus gattii (C. neoformans-C. gattii) complex has seen a large
global resurgence in its association with clinical disease in the last 30 years.
First isolated in fermenting peach juice, and identified as a human pathogen in
1894 in a patient with bone lesions, this environmental pathogen has now found
niches in soil, trees, birds, and domestic pets. Cryptococcosis is well
recognized as an opportunistic infection and was first noted to be associated
with reticuloendothelial cancers in the 1950s. Since then, advances in transplant
immunology, medical science and surgical techniques have led to increasing
numbers of solid organ transplantations (SOT) and hematological stem cell
transplantations being performed, and the use of biological immunotherapeutics in
increasingly high-risk and older individuals, have contributed to the further
rise in cryptococcosis. Globally, however, the major driver for revivification of
cryptococcosis is undoubtedly the HIV epidemic, particularly in Sub-Saharan
Africa where access to care and antiretroviral therapy remains limited and
advanced immunodeficiency, poverty and malnutrition remains the norm. As a
zoonotic disease, environmental outbreaks of both human and animal cryptococcosis
have been reported, possibly driven by climate change. This is best exemplified
by the resurgence of C. gattii infection in Vancouver Island, Canada, and the
Pacific Northwest of the United States since 1999. Here we describe how the
colliding epidemics of HIV, transplantation and immunologics, climate change and
migration have contributed to the rise of cryptococcosis.
PMID- 29376921
TI - Microsporidiosis in Vertebrate Companion Exotic Animals.
AB - Veterinarians caring for companion animals may encounter microsporidia in various
host species, and diagnosis and treatment of these fungal organisms can be
particularly challenging. Fourteen microsporidial species have been reported to
infect humans and some of them are zoonotic; however, to date, direct zoonotic
transmission is difficult to document versus transit through the digestive tract.
In this context, summarizing information available about microsporidiosis of
companion exotic animals is relevant due to the proximity of these animals to
their owners. Diagnostic modalities and therapeutic challenges are reviewed by
taxa. Further studies are needed to better assess risks associated with animal
microsporidia for immunosuppressed owners and to improve detection and treatment
of infected companion animals.
PMID- 29376922
TI - Severe Dermatophytosis and Acquired or Innate Immunodeficiency: A Review.
AB - Dermatophytes are keratinophilic fungi responsible for benign and common forms of
infection worldwide. However, they can lead to rare and severe diseases in
immunocompromised patients. Severe forms include extensive and/or invasive
dermatophytosis, i.e., deep dermatophytosis and Majocchi's granuloma. They are
reported in immunocompromised hosts with primary (autosomal recessive CARD9
deficiency) or acquired (solid organ transplantation, autoimmune diseases
requiring immunosuppressive treatments, HIV infection) immunodeficiencies. The
clinical manifestations of the infection are not specific. Lymph node and organ
involvement may also occur. Diagnosis requires both mycological and histological
findings. There is no consensus on treatment. Systemic antifungal agents such as
terbinafine and azoles (itraconazole or posaconazole) are effective. However,
long-term outcome and treatment management depend on the site and extent of the
infection and the nature of the underlying immunodeficiency.
PMID- 29376923
TI - Pediatric Invasive Candidiasis: Epidemiology and Diagnosis in Children.
AB - Pediatric patients present with differing underlying conditions and cytotoxic
therapeutic protocols, so the differing epidemiology of invasive candidiasis in
children versus adults is not surprising. Understanding the Candida species
epidemiology is critical, as we often begin empiric therapy or therapy before
antifungal susceptibilities are known. Reports with newer molecular diagnostic
assays for invasive candidiasis are rare and require more study to develop firm
pediatric-specific guidance. Antifungal treatment of pediatric candidiasis is
reviewed in the context of larger epidemiologic studies and the few trials
completed to date.
PMID- 29376924
TI - Transcriptomic Crosstalk between Fungal Invasive Pathogens and Their Host Cells:
Opportunities and Challenges for Next-Generation Sequencing Methods.
AB - Fungal invasive infections are an increasing health problem. The intrinsic
complexity of pathogenic fungi and the unmet clinical need for new and more
effective treatments requires a detailed knowledge of the infection process.
During infection, fungal pathogens are able to trigger a specific transcriptional
program in their host cells. The detailed knowledge of this transcriptional
program will allow for a better understanding of the infection process and
consequently will help in the future design of more efficient therapeutic
strategies. Simultaneous transcriptomic studies of pathogen and host by high
throughput sequencing (dual RNA-seq) is an unbiased protocol to understand the
intricate regulatory networks underlying the infectious process. This protocol is
starting to be applied to the study of the interactions between fungal pathogens
and their hosts. To date, our knowledge of the molecular basis of infection for
fungal pathogens is still very limited, and the putative role of regulatory
players such as non-coding RNAs or epigenetic factors remains elusive. The wider
application of high-throughput transcriptomics in the near future will help to
understand the fungal mechanisms for colonization and survival, as well as to
characterize the molecular responses of the host cell against a fungal infection.
PMID- 29376926
TI - Genome Studies on Nematophagous and Entomogenous Fungi in China.
AB - The nematophagous and entomogenous fungi are natural enemies of nematodes and
insects and have been utilized by humans to control agricultural and forestry
pests. Some of these fungi have been or are being developed as biological control
agents in China and worldwide. Several important nematophagous and entomogenous
fungi, including nematode-trapping fungi (Arthrobotrys oligospora and
Drechslerella stenobrocha), nematode endoparasite (Hirsutella minnesotensis),
insect pathogens (Beauveria bassiana and Metarhizium spp.) and Chinese medicinal
fungi (Ophiocordyceps sinensis and Cordyceps militaris), have been genome
sequenced and extensively analyzed in China. The biology, evolution, and
pharmaceutical application of these fungi and their interacting with host
nematodes and insects revealed by genomes, comparing genomes coupled with
transcriptomes are summarized and reviewed in this paper.
PMID- 29376927
TI - Invasive Candidiasis in Various Patient Populations: Incorporating Non-Culture
Diagnostic Tests into Rational Management Strategies.
AB - Mortality rates due to invasive candidiasis remain unacceptably high, in part
because the poor sensitivity and slow turn-around time of cultures delay the
initiation of antifungal treatment. beta-d-glucan (Fungitell) and polymerase
chain reaction (PCR)-based (T2Candida) assays are FDA-approved adjuncts to
cultures for diagnosing invasive candidiasis, but their clinical roles are
unclear. We propose a Bayesian framework for interpreting non-culture test
results and developing rational patient management strategies, which considers
test performance and types of invasive candidiasis that are most common in
various patient populations. beta-d-glucan sensitivity/specificity for candidemia
and intra-abdominal candidiasis is ~80%/80% and ~60%/75%, respectively. In
settings with 1%-10% likelihood of candidemia, anticipated beta-d-glucan positive
and negative predictive values are ~4%-31% and >=97%, respectively. Corresponding
values in settings with 3%-30% likelihood of intra-abdominal candidiasis are ~7%
51% and ~78%-98%. beta-d-glucan is predicted to be useful in guiding antifungal
treatment for wide ranges of populations at-risk for candidemia (incidence ~5%
40%) or intra-abdominal candidiasis (~7%-20%). Validated PCR-based assays should
broaden windows to include populations at lower-risk for candidemia (incidence
>=~2%) and higher-risk for intra-abdominal candidiasis (up to ~40%). In the
management of individual patients, non-culture tests may also have value outside
of these windows. The proposals we put forth are not definitive treatment
guidelines, but rather represent starting points for clinical trial design and
debate by the infectious diseases community. The principles presented here will
be applicable to other assays as they enter the clinic, and to existing assays as
more data become available from different populations.
PMID- 29376928
TI - Omics for Investigating Chitosan as an Antifungal and Gene Modulator.
AB - Chitosan is a biopolymer with a wide range of applications. The use of chitosan
in clinical medicine to control infections by fungal pathogens such as Candida
spp. is one of its most promising applications in view of the reduced number of
antifungals available. Chitosan increases intracellular oxidative stress, then
permeabilizes the plasma membrane of sensitive filamentous fungus Neurospora
crassa and yeast. Transcriptomics reveals plasma membrane homeostasis and
oxidative metabolism genes as key players in the response of fungi to chitosan. A
lipase and a monosaccharide transporter, both inner plasma membrane proteins, and
a glutathione transferase are main chitosan targets in N. crassa. Biocontrol
fungi such as Pochonia chlamydosporia have a low content of polyunsaturated free
fatty acids in their plasma membranes and are resistant to chitosan. Genome
sequencing of P. chlamydosporia reveals a wide gene machinery to degrade and
assimilate chitosan. Chitosan increases P. chlamydosporia sporulation and
enhances parasitism of plant parasitic nematodes by the fungus. Omics studies
allow understanding the mode of action of chitosan and help its development as an
antifungal and gene modulator.
PMID- 29376929
TI - Colony-PCR Is a Rapid Method for DNA Amplification of Hyphomycetes.
AB - Fungal pure cultures identified with both classical morphological methods and
through barcoding sequences are a basic requirement for reliable reference
sequences in public databases. Improved techniques for an accelerated DNA barcode
reference library construction will result in considerably improved sequence
databases covering a wider taxonomic range. Fast, cheap, and reliable methods for
obtaining DNA sequences from fungal isolates are, therefore, a valuable tool for
the scientific community. Direct colony PCR was already successfully established
for yeasts, but has not been evaluated for a wide range of anamorphic soil fungi
up to now, and a direct amplification protocol for hyphomycetes without tissue
pre-treatment has not been published so far. Here, we present a colony PCR
technique directly from fungal hyphae without previous DNA extraction or other
prior manipulation. Seven hundred eighty-eight fungal strains from 48 genera were
tested with a success rate of 86%. PCR success varied considerably: DNA of fungi
belonging to the genera Cladosporium, Geomyces, Fusarium, and Mortierella could
be amplified with high success. DNA of soil-borne yeasts was always successfully
amplified. Absidia, Mucor, Trichoderma, and Penicillium isolates had noticeably
lower PCR success.
PMID- 29376930
TI - Exploitation of Aspergillus terreus for the Production of Natural Statins.
AB - The fungus Aspergillus (A.) terreus has dominated the biological production of
the "blockbuster" drugs known as statins. The statins are a class of drugs that
inhibit HMG-CoA reductase and lead to lower cholesterol production. The statins
were initially discovered in fungi and for many years fungi were the sole source
for the statins. At present, novel chemically synthesised statins are produced as
inspired by the naturally occurring statin molecules. The isolation of the
natural statins, compactin, mevastatin and lovastatin from A. terreus represents
one of the great achievements of industrial microbiology. Here we review the
discovery of statins, along with strategies that have been applied to scale up
their production by A. terreus strains. The strategies encompass many of the
techniques available in industrial microbiology and include the optimization of
media and fermentation conditions, the improvement of strains through classical
mutagenesis, induced genetic manipulation and the use of statistical design.
PMID- 29376931
TI - Preemptive Therapy for Cryptococcal Meningitis: A Valid Strategy for Latin
America?
AB - AIDS-related cryptococcal meningitis continues to cause a substantial burden of
death in low and middle income countries. Better diagnostics allow detection of
cryptococcosis in the asymptomatic phase and using these technologies to screen
at-risk persons would likely reduce mortality. The World Health Organization
recommends cryptococcal antigen screening among populations with a prevalence of
cryptococcal antigenaemia (CRAG) > 3%. There is scarce data about CRAG prevalence
in Latin America. Four studies (only one published as a full text) showed
asymptomatic CRAG prevalence between 2.7% and 6.2% in several sub-sets of HIV
infected patients. The CRAG lateral flow assay (LFA) has several advantages over
other techniques for actual implementation of a screening program. Although more
studies are necessary to confirm available data, implementation of the CRAG
screening strategy seems to be opportune in Latin America.
PMID- 29376932
TI - Aspergillosis in Chronic Granulomatous Disease.
AB - Patients with chronic granulomatous disease (CGD) have the highest life-time
incidence of invasive aspergillosis and despite the availability of antifungal
prophylaxis, infections by Aspergillus species remain the single most common
infectious cause of death in CGD. Recent developments in curative treatment
options, such as haematopoietic stem cell transplantation, will change the
prevalence of infectious complications including invasive aspergillosis in CGD
patients. However, invasive aspergillosis in a previously healthy host is often
the first presenting feature of this primary immunodeficiency. Recognizing the
characteristic clinical presentation and understanding how to diagnose and treat
invasive aspergillosis in CGD is of utmost relevance to improve clinical
outcomes. Significant differences exist in fungal epidemiology, clinical signs
and symptoms, and the usefulness of non-culture based diagnostic tools between
the CGD host and neutropenic patients, reflecting underlying differences in the
pathogenesis of invasive aspergillosis shaped by the nicotinamide adenine
dinucleotide phosphate (NADPH)-oxidase deficiency.
PMID- 29376933
TI - Low Titer Pneumocystis jirovecii Infections: More than Just Colonization?
AB - Non-pneumonia Pneumocystis jirovecii colonization is thought to occur frequently
in immunocompetent individuals. The aim was to analyze if P. jirovecii low-titer
detections have more impact than just colonization. From our total cohort of
patients for which P. jirovecii testing by qPCR was requested, we selected
exclusively those that were fully immunocompetent. Patients were defined as fully
immunocompetent if they did not receive immunosuppressive therapy, displayed
regular antibody titers, and did not suffer from acquired, inherited or
autoimmune diseases. Only those patients with complete medical records available
were included. A retrospective analysis identified patients with P. jirovecii
colonization and successful antibiotic therapy in response to laboratory pathogen
detection. We identified 30 fully immunocompetent patients with P. jirovecii
colonization suspected to suffer from infection with the pathogen, but with
milder symptoms than pneumonia. All patients were successfully treated with
cotrimoxazole against P. jirovecii and resolved from chronic cough and recurrent
pulmonary infections. The fact that all patients displayed recovery from their
clinical symptoms gives raise to the hypothesis that P. jirovecii infections may
also occur in immunocompetent patients but with milder symptoms.
PMID- 29376934
TI - Allergic Bronchopulmonary Aspergillosis.
AB - Allergic bronchopulmonary aspergillosis (ABPA), a progressive fungal allergic
lung disease, is a common complication of asthma or cystic fibrosis. Although
ABPA has been recognized since the 1950s, recent research has underscored the
importance of Th2 immune deviation and granulocyte activation in its
pathogenesis. There is also strong evidence of widespread under-diagnosis due to
the complexity and lack of standardization of diagnostic criteria. Treatment has
long focused on downregulation of the inflammatory response with prolonged
courses of oral glucocorticosteroids, but more recently concerns with steroid
toxicity and availability of new treatment modalities has led to trials of oral
azoles, inhaled amphotericin, pulse intravenous steroids, and subcutaneously
injected anti-IgE monoclonal antibody omalizumab, all of which show evidence of
efficacy and reduced toxicity.
PMID- 29376937
TI - Galactomannan and 1,3-beta-d-Glucan Testing for the Diagnosis of Invasive
Aspergillosis.
AB - Invasive aspergillosis (IA) is a severe complication among hematopoietic stem
cell transplant recipients or patients with hematological malignancies and
neutropenia following anti-cancer therapy. Moreover, IA is increasingly observed
in other populations, such as solid-organ transplant recipients, patients with
solid tumors or auto-immune diseases, and among intensive care unit patients.
Frequent delay in diagnosis is associated with high mortality rates. Cultures
from clinical specimens remain sterile in many cases and the diagnosis of IA
often only relies on non-specific radiological signs in the presence of host risk
factors. Tests for detection of galactomannan- (GM) and 1,3-beta-d-glucan (BDG)
are useful adjunctive tools for the early diagnosis of IA and may have a role in
monitoring response to therapy. However, the sensitivity and specificity of these
fungal biomarkers are not optimal and variations between patient populations are
observed. This review discusses the role and interpretation of GM and BDG testing
for the diagnosis of IA in different clinical samples (serum, bronchoalveolar
lavage fluid, cerebrospinal fluid) and different groups of patients (onco
hematological patients, solid-organ transplant recipients, other patients at risk
of IA).
PMID- 29376936
TI - Pediatric Invasive Aspergillosis.
AB - Invasive aspergillosis (IA) is a disease of increasing importance in pediatrics
due to growth of the immunocompromised populations at risk and improvements in
long-term survival for many of these groups. While general principles of
diagnosis and therapy apply similarly across the age spectrum, there are unique
considerations for clinicians who care for children and adolescents with IA. This
review will highlight important differences in the epidemiology, clinical
manifestations, diagnosis, and therapy of pediatric IA.
PMID- 29376935
TI - Chronic Pulmonary Aspergillosis-Where Are We? and Where Are We Going?
AB - Chronic pulmonary aspergillosis (CPA) is estimated to affect 3 million people
worldwide making it an under recognised, but significant health problem across
the globe, conferring significant morbidity and mortality. With variable disease
forms, high levels of associated respiratory co-morbidity, limited therapeutic
options and prolonged treatment strategies, CPA is a challenging disease for both
patients and healthcare professionals. CPA can mimic smear-negative tuberculosis
(TB), pulmonary histoplasmosis or coccidioidomycosis. Cultures for Aspergillus
are usually negative, however, the detection of Aspergillus IgG is a simple and
sensitive test widely used in diagnosis. When a fungal ball/aspergilloma is
visible radiologically, the diagnosis has been made late. Sometimes weight loss
and fatigue are predominant symptoms; pyrexia is rare. Despite the efforts of the
mycology community, and significant strides being taken in optimising the care of
these patients, much remains to be learnt about this patient population, the
disease itself and the best use of available therapies, with the development of
new therapies being a key priority. Here, current knowledge and practices are
reviewed, and areas of research priority highlighted.
PMID- 29376939
TI - Correction: Kwon-Chung, K.J. et al. Is Cryptococcus gattii a Primary Pathogen? J.
Fungi 2015, 1, 154-167.
AB - The authors of the published paper [1] would like to correct Table 1.[...].
PMID- 29376938
TI - Triazole Resistance in Aspergillus spp.: A Worldwide Problem?
AB - Since the first description of an azole-resistant A. fumigatus strain in 1997,
there has been an increasing number of papers describing the emergence of azole
resistance. Firstly reported in the USA and soon after in Europe, it has now been
described worldwide, challenging the management of human aspergillosis. The main
mechanism of resistance is the modification of the azole target enzyme: 14-alpha
sterol demethylase, encoded by the cyp51A gene; although recently, other
resistance mechanisms have also been implicated. In addition, a shift in the
epidemiology has been noted with other Aspergillus species (mostly azole
resistant) increasingly being reported as causative agents of human disease. This
paper reviews the current situation of Aspergillus azole resistance and its
implications in the clinical setting.
PMID- 29376940
TI - PCR Technology for Detection of Invasive Aspergillosis.
AB - The application of molecular technologies to aid diagnosis and management of
infectious diseases has had a major impact and many assays are in routine use.
Diagnosis of aspergillosis has lagged behind. Lack of standardization and limited
commercial interest have meant that PCR was not included in consensus diagnostic
criteria for invasive fungal disease. In the last ten years careful evaluation
and validation by the Aspergillus European PCR initiative with the development of
standardized extraction, amplification and detection protocols for various
specimen types, has provided the opportunity for clinical utility to be
investigated. PCR has the potential to not only exclude a diagnosis of invasive
aspergillosis but in combination with antigen testing may offer an approach for
the early diagnosis and treatment of invasive aspergillosis in high-risk
populations, with the added benefit of detection of genetic markers associated
with antifungal resistance.
PMID- 29376942
TI - Treatment of Primary Pulmonary Aspergillosis: An Assessment of the Evidence.
AB - Aspergillus spp. are a group of filamentous molds that were first described due
to a perceived similarity to an aspergillum, or liturgical device used to
sprinkle holy water, when viewed under a microscope. Although commonly inhaled
due to their ubiquitous nature within the environment, an invasive fungal
infection (IFI) is a rare outcome that is often reserved for those patients who
are immunocompromised. Given the potential for significant morbidity and
mortality within this patient population from IFI due to Aspergillus spp., along
with the rise in the use of therapies that confer immunosuppression, there is an
increasing need for appropriate initial clinical suspicion leading to accurate
diagnosis and effective treatment. Voriconazole remains the first line agent for
therapy; however, the use of polyenes, novel triazole agents, or voriconazole in
combination with an echinocandin may also be utilized. Consideration as to which
particular agent and for what duration should be made in the individual context
for each patient based upon underlying immunosuppression, comorbidities, and
overall tolerance of therapy.
PMID- 29376941
TI - Inositol Polyphosphate Kinases, Fungal Virulence and Drug Discovery.
AB - Opportunistic fungi are a major cause of morbidity and mortality world-wide,
particularly in immunocompromised individuals. Developing new treatments to
combat invasive fungal disease is challenging given that fungal and mammalian
host cells are eukaryotic, with similar organization and physiology. Even
therapies targeting unique fungal cell features have limitations and drug
resistance is emerging. New approaches to the development of antifungal drugs are
therefore needed urgently. Cryptococcus neoformans, the commonest cause of fungal
meningitis worldwide, is an accepted model for studying fungal pathogenicity and
driving drug discovery. We recently characterized a phospholipase C (Plc1)
dependent pathway in C. neoformans comprising of sequentially-acting inositol
polyphosphate kinases (IPK), which are involved in synthesizing inositol
polyphosphates (IP). We also showed that the pathway is essential for fungal
cellular function and pathogenicity. The IP products of the pathway are
structurally diverse, each consisting of an inositol ring, with phosphate (P) and
pyrophosphate (PP) groups covalently attached at different positions. This review
focuses on (1) the characterization of the Plc1/IPK pathway in C. neoformans; (2)
the identification of PP-IP5 (IP7) as the most crucial IP species for fungal
fitness and virulence in a mouse model of fungal infection; and (3) why IPK
enzymes represent suitable candidates for drug development.
PMID- 29376944
TI - Correction: Stewart, E.R.; Thompson, G.R. Treatment of Primary Pulmonary
Aspergillosis: An Assessment of the Evidence. J. Fungi 2016, 2, 25.
AB - The authors of the published paper [1] would like to correct Table 1.[...].
PMID- 29376943
TI - New Horizons in Antifungal Therapy.
AB - Recent investigations have yielded both profound insights into the mechanisms
required by pathogenic fungi for virulence within the human host, as well as
novel potential targets for antifungal therapeutics. Some of these studies have
resulted in the identification of novel compounds that act against these pathways
and also demonstrate potent antifungal activity. However, considerable effort is
required to move from pre-clinical compound testing to true clinical trials, a
necessary step toward ultimately bringing new drugs to market. The rising
incidence of invasive fungal infections mandates continued efforts to identify
new strategies for antifungal therapy. Moreover, these life-threatening
infections often occur in our most vulnerable patient populations. In addition to
finding completely novel antifungal compounds, there is also a renewed effort to
redirect existing drugs for use as antifungal agents. Several recent screens have
identified potent antifungal activity in compounds previously indicated for other
uses in humans. Together, the combined efforts of academic investigators and the
pharmaceutical industry is resulting in exciting new possibilities for the
treatment of invasive fungal infections.
PMID- 29376945
TI - Phylogenetic Analysis of the Synnema-Producing Genus Synnemapestaloides.
AB - Synnemapestaloides rhododendri, the type species of the genus Synnemapestaloides,
is a pathogen of Rhododendron brachycarpum. This fungus produces six-celled
conidia with appendages at both end cells, and are generated by annellidic
conidiogenous cells on the synnema. These conidial structures are similar to
those of the genus Pestalotia. The monotypic genus Synnemapestaloides is
currently classified in the family Amphisphaeriaceae solely based on conidial
morphology. Here we demonstrate that Synnemapestaloides represents a distinct
genus in the family Sporocadaceae (Amphisphaeriales) based on differences in the
nucleotide sequences of the partial large subunit rDNA gene, the rDNA internal
transcribed spacer, and the partial beta-tubulin. The genus most closely related
to Synnemapestaloides is Seimatosporium and the species most similar to
Synnemapestaloides rhododendri is Seim. foliicola which produces short synnema
like conidiomata (sporodochia). These results demonstrate that Seim. foliicola
should be transferred to Synnemapestaloides, and also demonstrate that
Sporocadaceae can have synnematal in addition to pycnidial and acervular
conidiomata.
PMID- 29376947
TI - Special Issue "Aspergillus fumigatus: From Diagnosis to Therapy".
AB - Aspergillus fumigatus is an enigmatic pathogen.
PMID- 29376946
TI - Virulence Factors as Targets for Anticryptococcal Therapy.
AB - The global mortality due to cryptococcosis caused by Cryptococcus neoformans or
C. gattii is unacceptably high. Currently available therapies are decades old and
may be impacted by drug resistance. Therefore, the need for more effective
antifungal drugs for cryptococcosis is evident. A number of Cryptococcus
virulence factors have been studied in detail, providing crucial information
about the fungal biology and putative molecular targets for antifungals. This
review focuses on the use of well-described virulence factors of Cryptococcus as
potential anticryptococcal agents.
PMID- 29376949
TI - The Quest for a Vaccine Against Coccidioidomycosis: A Neglected Disease of the
Americas.
AB - Coccidioidomycosis (Valley Fever) is a disease caused by inhalation of
Coccidioides spp. This neglected disease has substantial public health impact
despite its geographic restriction to desert areas of the southwestern U.S.,
Mexico, Central and South America. The incidence of this infection in California
and Arizona has been increasing over the past fifteen years. Several large cities
are within the endemic region in the U.S. Coccidioidomycosis accounts for 25,000
hospital admissions per year in California. While most cases of
coccidioidomycosis resolve spontaneously, up to 40% are severe enough to require
anti-fungal treatment, and a significant number disseminate beyond the lungs.
Disseminated infection involving the meninges is fatal without appropriate
treatment. Infection with Coccidioides spp. is protective against a second
infection, so vaccination seems biologically plausible. This review of efforts to
develop a vaccine against coccidioidomycosis focuses on vaccine approaches and
the difficulties in identifying protein antigen/adjuvant combinations that
protect in experimental mouse models. Although the quest for a vaccine is still
in the early stage, scientific efforts for vaccine development may pave the way
for future success.
PMID- 29376950
TI - [Surgical management of non-functioning pancreatic neuroendocrine tumors].
AB - AIM: To analyze immediate and long-term results of surgical treatment of patients
with non-functioning pancreatic neuroendocrine tumors (pNETs). MATERIAL AND
METHODS: Outcomes in 21 patients with non-functioning pNETs were retrospectively
analyzed. RESULTS: Long-term results were followed-up in 18 (85%) cases, median
follow-up was 39 months. Postoperative mortality was 4.7%. The incidence of
postoperative complications Clavien-Dindo degree IIIA and over was 20.8%, overall
5-year survival - 89%, desease-free 5-year survival - 78%. CONCLUSION: At present
time surgical intervention remains the only radical method of non-functioning
pNETs management. Threshold tumor dimension should be 15 mm that determines
surgical intervention or active surveillance. From an oncological point of view
tumor enucleation is permissible only in case of small dimensions (up to 2 cm)
and full confidence in low degree of malignancy. Lymphadenectomy should be
performed in all cases in standard fashion because lymph node involvement is
reliably poor prognostic sign.
PMID- 29376951
TI - [Perforated cholecystitis. Classification and atypical clinical forms].
AB - AIM: To obtain new data for diagnosis and treatment of patients with perforated
cholecystitis. MATERIAL AND METHODS: It was analyzed the variants of original
classification of perforated cholecystitis by Fedorov S.P. - Neimeier O.W.
(1934). Moreover, we have assessed treatment of 292 patients with gallbladder
perforation (own material of Faculty Surgery Clinic). RESULTS: According to
continuous 20-year follow-up perforated cholecystitis was observed in 2.9% of
patients with various forms of gallbladder inflammation (n=292 out of 10 215).
The frequency of atypical clinical forms of gallbladder perforation including
multiple and combined perforation, perforation with acute intestinal obstruction
and intraabdominal bleeding was 10% (n=29 of 292). Overall mortality in atypical
clinical forms related to whole cohort with perforated cholecystitis was 2% (n=6
of 292). CONCLUSION: Atypical clinical forms of gallbladder perforation require
specific treatment strategy due to the need for emergency surgical interventions.
At the same time, the possibilities of video-assisted surgery are somewhat
limited compared with other forms of gallbladder inflammation and can be used
only in a third of patients.
PMID- 29376952
TI - [Effect of different nutritional support on pancreatic secretion in acute
pancreatitis].
AB - AIM: To develop and justify optimal nutritional support in early phase of acute
pancreatitis (AP). MATERIAL AND METHODS: 140 AP patients were enrolled. They were
divided into groups depending on nutritional support: group I (n=70) - early
enteral tube feeding (ETF) with balanced mixtures, group II (n=30) - early ETF
with oligopeptide mixture, group III (n=40) - total parenteral nutrition (TPN).
The subgroups were also isolated depending on medication: A - Octreotide, B -
Quamatel, C - Octreotide + Quamatel. Pancreatic secretion was evaluated by using
of course of disease, instrumental methods, APUD-system hormone levels (secretin,
cholecystokinin, somatostatin, vasointestinal peptide). RESULTS: ETF was followed
by pancreas enlargement despite ongoing therapy, while TPN led to gradual
reduction of pancreatic size up to normal values. alpha-amylase level
progressively decreased in all groups, however in patients who underwent ETF (I
and II) mean values of the enzyme were significantly higher compared with TPN
(group III). Secretin, cholecystokinin and vasointestinal peptide were increasing
in most cases, while the level of somatostatin was below normal in all groups.
CONCLUSION: Enteral tube feeding (balanced and oligopeptide mixtures) contributes
to pancreatic secretion compared with TPN, but this negative impact is eliminated
by antisecretory therapy. Dual medication (Octreotide + Quamatel) is more
preferable than monotherapy (Octreotide or Quamatel).
PMID- 29376948
TI - Allergic Aspergillus Rhinosinusitis.
AB - Allergic fungal rhinosinusitis (AFRS) is a unique variety of chronic polypoid
rhinosinusitis usually in atopic individuals, characterized by presence of
eosinophilic mucin and fungal hyphae in paranasal sinuses without invasion into
surrounding mucosa. It has emerged as an important disease involving a large
population across the world with geographic variation in incidence and
epidemiology. The disease is surrounded by controversies regarding its definition
and etiopathogenesis. A working group on "Fungal Sinusitis" under the
International Society for Human and Animal Mycology (ISHAM) addressed some of
those issues, but many questions remain unanswered. The descriptions of
"eosinophilic fungal rhinosinusitis" (EFRS), "eosinophilic mucin rhinosinusitis"
(EMRS) and mucosal invasion by hyphae in few patients have increased the problem
to delineate the disease. Various hypotheses exist for etiopathogenesis of AFRS
with considerable overlap, though recent extensive studies have made certain in
depth understanding. The diagnosis of AFRS is a multi-disciplinary approach
including the imaging, histopathology, mycology and immunological investigations.
Though there is no uniform management protocol for AFRS, surgical clearing of the
sinuses with steroid therapy are commonly practiced. The role of antifungal
agents, leukotriene antagonists and immunomodulators is still questionable. The
present review covers the controversies, recent advances in pathogenesis,
diagnosis, and management of AFRS.
PMID- 29376953
TI - [Antegrade approach for cholangiolithiasis complicated by mechanical jaundice].
AB - AIM: To improve an efficiency of surgical treatment of patients with
cholelithiasis complicated by obstructive jaundice through antegrade
interventional approach. MATERIAL AND METHODS: 166 patients aged from 23 to 92
years with cholangiolithiasis complicated by mechanical jaundice were enrolled.
Patients were divided into 2 groups: group I (136) - retrograde endoscopic
method, group II (30) - antegrade interventional approach. RESULTS: In the first
group surgical efficacy was 79.4%. Morbidity and mortality were 13% and 2%
respectively. In the second group these values were 96.7%, 10% and 3%
respectively. CONCLUSION: Antegrade interventional approach for minimally
invasive procedures is technically feasible, has the same effectiveness as the
retrograde endoscopic method and also all advantages of minimally invasive
techniques.
PMID- 29376954
TI - [An efficacy of carotid arteries repair for tortuosity combined with stenosis].
AB - AIM: To assess an efficacy of carotid arteries reconstruction in patients with
internal carotid artery stenosis combined with tortuosity. MATERIAL AND METHODS:
86 patients with ICA tortuosity and stenosis were enrolled. All patients were
divided into groups depending on type of surgery: group I - open carotid
endarterectomy (CEA) followed by obligatory repair with synthetic patch (31 (36%)
patients); group II - eversion CEA with ICA resection, redressation and
reimplantation into own ostium (35 (40.7%) patients); group III - ICA replacement
(20 (23.3%) patients). Synthetic prosthesis and autovein were used in 13 (65%)
and 7 (35%) patients respectively. The study included patients with ICA stenosis
>=60% (any type of plaque) and any degree of cerebrovascular insufficiency or ICA
stenosis <60% (plaque type I-III) with CVI grade II-IV combined with S- or C
tortuosity, bend or loop with blood flow velocity over 110 cm/s and its
turbulence. Only 6 (7.0%) out of 86 patients had no clinical signs of
CVI/previous stroke. Asymptomatic/symptomatic patients ratio was following in all
groups: group I - 12 (38.7%)/19 (61.3%); group II - 29 (82.9%)/6 (17.1%); group
III - 10 (50%)/10 (50%). RESULTS: Within 6-month follow-up 22 (70.9%) out of 31
patients were asymptomatic in group I, 30 (85.7%) (p=0.9475) out of 35 - in group
II, 9 (45%) (p=0.9511) out of 20 patients - in group III and 1 (5%) patient
developed thrombosis of the reconstruction zone followed by ischemic stroke.
After 12 months following patients were asymptomatic: 22 (70.9%) in group I, 30
(85.7%) (p=0.9475) in group II and 9 (45%) patients (p=0.9511) in group III.
After 1 year 4 (33.3%) out of 12 patients with CVI grade IV had partial
regression of focal neurological symptoms. CONCLUSION: Surgery for ICA tortuosity
combined with stenosis confirmed its efficacy and safety for both asymptomatic
and symptomatic patients. Significantly better results were observed in eversion
CEA compared with conventional procedure and ICA replacement.
PMID- 29376955
TI - [Pathogenesis and prevention of venous and arterial thromboembolic events in
patients after deep vein thrombosis of lower extremities].
AB - AIM: To define the role of thrombophilic and other procoagulant conditions in
pathogenesis of deep vein thrombosis and the effectiveness of pathogenetic
secondary prevention of venous and arterial thromboembolic events. MATERIAL AND
METHODS: The study included 107 patients for the period 2007-2016 who were
divided into 3 groups. The main group (n=40) - lifelong individual antithrombotic
therapy with warfarin predominantly; the second (control) group (n=39) - warfarin
administration for 3-6 months; the third (additional) group (n=28) - specific
life-long therapy depending on procoagulant status which was assessed according
to original scale. The main anticoagulants were rivoroxaban or dabigatran
etexilate. Recurrent venous thromboembolic complications (RVTE) were observed in
one (2.5%) patient of the first group and in 8 (20.5%) cases of the second group.
In the third group RVTE were absent (significant differences, p<0.03 and 0.001,
respectively). Arterial thromboembolic diseases were noted in 1 (2.5%) patient of
the first group, in 4 (10.25%) cases of the second group and in none of the third
group (significantly only for group II vs. group III, p<0.01). RESULTS:
Individual antithrombotic therapy reduces the incidence of recurrent venous and
arterial thromboembolic events in patients with idiopathic deep vein thrombosis.
PMID- 29376956
TI - [Treatment of advanced age patients with polytrauma through lethal outcome risk
assessment].
AB - AIM: To objectify timing of DCS stages implementation in advanced age patients
through lethal outcome risk assessment. MATERIAL AND METHODS: 128 advanced age
patients with polytrauma were enrolled. RESULTS: It was concluded that
specialized prognosis scale for advanced age patients with polytrauma allowed to
objectify the transition time between DCS stages that led to decrease of
mortality by 10.6%.
PMID- 29376957
TI - ['Conservative' organ-sparing approach for ovarian torsion in children].
AB - AIM: To improve the outcomes in children with ovarian torsion. MATERIAL AND
METHODS: Three clinical observations of patients with ovarian torsion are
presented. Duration of the disease was 3-4 days. All children underwent organ
sparing surgery including untwisting followed by ovariopexy. RESULTS: All
children were examined in early and late postoperative period (up to 5 years)
after organ-sparing procedures. Ultrasonic picture of the ovaries including
structure and dimensions corresponded to age, the follicles were determined.
CONCLUSION: 'Conservative' approach allows to preserve anatomical structure and
potential for reproductive function.
PMID- 29376958
TI - [Law and educational components of patient's safety in surgery].
AB - AIM: To evaluate law and educational components of patient's safety (PS) in
surgery. MATERIAL AND METHODS: In order to analyze complex causes of adverse
outcomes in surgery we performed an interviewing of 110 surgeons, 42 emergency
physicians and 25 health care managers. The main keynote consisted in assessing
law and educational components of PS. RESULTS: The study revealed significant
professional shortcomings in law PS level and low educational and motivational
activity of physicians of all specialties. CONCLUSION: Multi-faceted nature of PS
problem requires multidisciplinary training of modern surgeons not only in the
knowledge of key risk factors for adverse outcomes, but also in satisfaction of
non-medical expectations of patients. Due to numerous objective reasons Russian
surgical school should have the opportunity not to blindly copy the experience of
our foreign colleagues, but to scientifically substantiate the development of own
national security system both for surgical patients and medical workers
themselves.
PMID- 29376959
TI - [Clinical experience with the use of rivaroxaban in the treatment of cancer
patients with venous thrombosis].
AB - : The urgency of the problem. The incidence of various thromboembolic
complications in patients with oncopathology reaches 5-12%. When treating VTE in
patients with oncology it is necessary to choose between two generally recognized
alternatives. The recommended two-component scheme of the initiating phase of
anticoagulant therapy with subsequent long-term admission of VKA is fraught with
the development of clinically significant bleeding during the initial selection
of the dose of warfarin and an increased risk of recurrence of VTE. Long-term
parenteral use of LMWH is often negatively treated by patients and adversely
affects compliance. For these reasons, enteral administration of new oral
anticoagulants is promising for prolonged anticoagulant therapy in this category
of patients. The paper cites three clinical cases of treatment of patients with
acute venous thrombosis of deep veins against a background of different
oncological processes. In the first case - the operated previously for cancer, in
the second case - to be treated over oncological process and in the third case -
in the primary cancer detection. DISCUSSION: The results of the studies of
EINSTEIN-DVT and EINSTEIN-PE allow us to consider the use of rivaroxaban in the
treatment of patients with VTE on the background of oncopathology. The
possibility of its use from the first day, in our opinion, is a significant
advantage, since it allows us to reveal the clinical effectiveness of
anticoagulant therapy already during the first stage of treatment, since NOAKs
does not imply the possibility of laboratory monitoring.
PMID- 29376960
TI - [Surgical treatment of lung cancer combined with advanced atherosclerosis under
intra-aortic balloon counterpulsation].
PMID- 29376961
TI - [Chylothorax management after bilateral bi-directional cavopulmonary
anastomosis].
PMID- 29376962
TI - [Acute mesenteric venous thrombosis in pregnant women with thrombophilia and
doubled inferior vena cava].
PMID- 29376963
TI - [Valved conduits in pediatric cardiac surgery].
PMID- 29376964
TI - [Perioperative complications prognosis in carotid endarterectomy].
PMID- 29376965
TI - [Surgical treatment of benign tumors and tumor-like diseases of hand bones].
PMID- 29376966
TI - [Nanobiomedical technologies in surgery].
PMID- 29376967
TI - [Diagnosis and treatment of seroma after anterior abdominal wall hernia repair by
using of mesh implant].
PMID- 29376968
TI - [The non-medicamentous methods for the prevention and treatment of the patients
presenting with neurocirculatory asthenia and concomitant enhanced
meteosensitivity].
AB - OBJECTIVE: The relevance of the problem stated in the title of this article comes
from the significant increase in the prevalence of the functional cardiovascular
disorders having been documented during the past years especially such as
circulatory asthenia that most frequently affects the young people of the working
age suffering from the systemic neurogenic imbalance in the organism and can be
seriously aggravated by the influence of biotropic weather conditions and be
responsible for enhanced meteosensitivity that has negative effect on the quality
of life and impairs the effectiveness of the therapeutic interventions. AIM: The
objective of the present study was to provide the scientifically sound
substantiation of the feasibility of the application of the non-medicamentous
methods (including the interval hypoxic training and "dry" carbonic baths) for
the prevention and treatment of neurocirculatory asthenia complicated by enhanced
meteosensitivity and evaluate the therapeutic effectiveness of these approach.
MATERIAL AND METHODS: A total of 50 patients with the verified diagnosis of
neurocirculatory asthenia were recruited to participate in the study. All the
patients were divided into two groups. 62% of them exhibited the well apparent
meteosensitivity and were included in the study group 1. Group 2 was comprised of
the remaining patients (38% of their total number) presenting with
neurocirculatory asthenia who did not suffer appreciable changes in the general
physical and mental state under the influence of varying weather conditions. The
patients of both groups received the identical combined treatment consisting of
interval hypoxic training and taking "dry" carbonic baths. Monitoring of the main
meteorological parameters was carried out on a daily basis. It was combined with
the assessment of the weather conditions from the medical perspective, the
evaluation of the physical performance capability of the patients based on the
results of the veloergometric testing, and the estimation of their functional
state of the autonomous nervous system with the use of the data obtained in
cardiointervalographic studies. In addition, the state of the microcirculatory
system was evaluated by means of laser Doppler flowmetry and making use of a
capillary blood flow analyzer. The psychological status of the patients was
characterized using a computer-generated version of the abridged multifactorial
questionnaire for the elucidation of the manifest personality-scale anxiety
(Spielbeger's State-Trait Anxiety Inventory). All these studies were carried out
both before and after the course of non-medicamentous therapy. RESULTS: After
the course of the combined non-medicamentous treatment had been completed the
health status of the patients comprising the two groups was found to be improved
as appeared from the decrease of the number and severity of subjective autonomous
manifestations, the positive changes in the functional state of the
cardiovascular system and the autonomic nervous system as well as in the general
psychological status. The most clinically significant result of the treatment
included the reduction in the incidence of the severe meteopathic reactions in
the patients of group 2 (from 14% before to 3% after therapy). The frequency of
moderately expressed meteopathic reactions likewise decreased (from 31% before to
14% after the treatment). CONCLUSIONS: The study has demonstrated that under the
environmental and climatic conditions of the of Moscow region formation of
biotropic weather factors of the hypoxic type (39%) constitutes a serious risk
factor contributing to the development of imbalance in the vegetative nervous
system and its exacerbations in response to variations of weather parameters. The
application of the non-medicamentous therapeutic modalities (including interval
hypoxic training and "dry" carbonic baths) for the management of the
meteosensitive patients presenting with neurocirculatory asthenia is
pathogenetically justified, and they can be recommended for both the treatment
and prevention of weather- dependent pathological processes and their
exacerbations.
PMID- 29376969
TI - [The commonest therapeutic methods for laser irradiation of blood].
AB - One of the most widely employed methods of laser therapy is laser irradiation of
blood (LIB). There are two modifications of this technique, one being intravenous
low-intensity laser irradiation of blood (ILIB), the other non-invasive blood
irradiation(NLIB). The two methods have been developing independently since
either has its advantages and disadvantages. The present article was designed to
review the main currently available techniques for laser irradiation of blood
which are presented in the form of tables (charts). Replacing the UV irradiation
of blood with UV lamps by laser ultraviolet irradiation of blood (LUVIB(r)) has
made it possible to significantly simplify the technique and enhanced its
efficiency. The most effective options for ILIB are the combined techniques: ILIB
635 + LUVIB(r) and ILIB-525 + LUVIB. The most effective technique for ELIB is
believed to be the use of low-intensity pulsed laser light with a wavelength of
635 nm and output power up to 40 W.
PMID- 29376970
TI - [The experimental evaluation of the protective effect of the extract of the
cyclodextrin-containing phytotherapeutic composition on the development of
osteoporosis].
AB - OBJECTIVE: The relevance of the problem considered in the present communication
arises to-day from the widespread prevalence of osteoporosis (OP), the rather low
effectiveness of the methods currently available for the treatment of this
condition, and iatrogenic effects of its medication therapy. The great diversity
of phytotherapeutic modalities of traditional medicine are is only poorly
supported by the results of the scientific studies results; moreover, most of
them are coming from the foreign literature publications. AIM: The objective of
the present study was to evaluate the influence of the phytotherapeutic
preparation (Phytocost) having cyclodextrin in its composition on the restoration
of the cartilaginous and bony tissues based on the experimental research with the
use of the OP experimental model. MATERIAL AND METHODS: The experimental studies
were performed on 5 month-old Balb/c mice used as the prednisolone-induced
osteoporosis model. The animals were divided into four study groups according to
the daily doses administered to them: 0.005; 0.05; 0.5 and 5.0 mg/mouse during 30
days and 3 control groups: intact, 14 and 30 days after prednisolone
administration, naive mice. RESULTS: The study has demonstrated the dose
dependent protective effect of cyclodextrin-containing Phytocost. The most
pronounced effect in the form of reduction of osteoblast number and the increase
in the number of osteocytes was obtained at a Phytocost dose of 0.5 mg/mouse. No
undesirable adverse reactions were documented during the study. CONCLUSION: The
domestically produced Phytocost composition differs from its foreign analogues in
that it contains a significantly greater number of constituent components because
the ultimate goal of the study was to create a medication acting on all currently
known mechanisms of OP pathogenesis, whereas our Chinese colleagues proceed from
the ancient knowledge gained by traditional medicine that it is sometimes
difficult to understand and explain in the light of the modern concepts. All the
plants used as raw materials for Phytocost production grow at the territory of
the Russian Federation which provides the possibilities for the efficient import
substitution of the components necessary for the manufacture of the preparation
in question.
PMID- 29376971
TI - [One-time effects of drinking mineral water and tap water enriched with silver
nanoparticles on the biochemical markers of liver condition and metabolic
parameters in healthy rats].
AB - AIM: The objective of the present research was to study the influence of tap
water enriched with silver nanoparticles (NP) as well as that of "Krasnoarmeysky"
and "Essentuki No17" mineral waters after their single administration through the
oral gavage to the rats on the metabolism of carbohydrates and lipids, the
biochemical markers of the liver condition, and the endocrine profile in the
healthy animals. MATERIAL AND METHODS: The laboratory animals (130 male Wistar
rats) were allocated to thirteen groups comprised of 10 rats each as follows: 1st
group (n=10) intact animals, 2nd group (5 minutes after the administration of
silver NP (n=10), 3rd group (15 minutes after the of silver NP), 4th group (60
minutes after the administration of silver NP), 5th group (n=10) (5 minutes after
the introduction of the "Krasnoarmeysky" mineral water), 6th group (n=10) (15 min
after the introduction of the "Krasnoarmeysky" mineral water), 7th group (n=10),
(60 minutes after the introduction of the "Krasnoarmeysky" mineral water) 8th
group (n=10) (5 minutes after the introduction of the "Essentuki No 17" mineral
water), 9th group (n=10) (15 min after the introduction of the "Essentuki No 7"
mineral water) , 10th group (n=10) (60 minutes after the introduction of the
"Essentuki No17" mineral water), 11th group (n=10) (5 minutes after
administration of tap water (control),12th group (n=10) (15 minutes after
administration of tap water (control), and 13th (n=10) group 60 minutes after
administration of tap water (control). RESULTS: The study has demonstrated that
the tap water enriched with silver nanoparticles similar to the mineral waters
caused stress reactions that are inferior to those induced by "Essentuki No17"
mineral water in terms of the magnitude; however, the effect provoked by the tap
water was of longer duration. Moreover, the tap water enriched with silver
nanoparticles stimulates prooxidant reactions, and inhibit the activity of
antioxidant protection. Silver nanoparticles appear to produce some destructive
effect on the hepatocytes. CONCLUSION: The silver nanoparticles present in the
tap water have a significant biological potential of their own. Moreover, their
one-time action is apt to alter the biological potential of the water into which
they are administered. The single intake of the tap water enriched with silver
nanoparticles by the healthy laboratory animals produces the response that
resembles that of the drinking mineral water.
PMID- 29376972
TI - [The peculiar hydrogeochemical features of the hydrosphere in the regions of salt
dome tectonics (as exemplified by the giant salt domes of the Peri-Caspian
Lowland megabasin)].
AB - The Peri-Caspian Lowland megabasin is a vast region of ancient salt accumulation
that occurred during the Permian period. The territory over which the salt domes
were formed gave rise to and accumulated huge resources of subterranean brackish
and salted waters within the hypergenesis zone that also included brine and
mineral mud lakes containing chemical elements of great therapeutic value.
Nowadays, the subterranean waters (leaches, pools, and springs) provide the
sources of the mineral-rich materials of balneological significance, such as
sulfide, bromine, and boron-containing mineral waters the ion and mineral
composition of which together with the high degree of mineralization makes them
highly valuable for medicinal applications. Both leaches and peloids are
extensively used in chemical industry and cosmetology. Brine lakes of the Peri
Caspian Depression are hypersaline lake water bodies with waters of the chlorine
magnesium type having marine origin. These lakes are fed by ground waters
(especially those coming from the salt springs) in the combination with
atmospheric precipitation. The chemical composition of brine and peloids of lakes
Inder and Baskunchak is characterized by the high degree of mineralization with
sodium chloride the concentration of which amounts to 264 i 325 g/dm3
respectively. The natural brine of the lake Inder contains the following
biologically active components: Br - 460 mg/dm3 and H3BO3 - 100 mg/dm3. The
territories surrounding the lakes Inder, Baskunchak, and El'ton are the sources
of salt-saturated sulfide-silty muds characterized by a high degree of
mineralization (250-306 mg/dm3) due to the presence of 0,15-0,50% of FeS. In
addition, they contain biologically active bromine and borates, besides hydrogen
sulphide. The ground-water discharge sites at the territories around lakes Inder
and Baskunchak are located largely in the vicinity of the Permian sulphate
halogen deposits. The springs bring mostly water with the enhanced content of
sodium chloride and the high degree of mineralization ranging from 20-30 g/dm3 to
150 g/dm3. They can be considered to be the analogues of waters of the Usol'skiy,
Staroruskiy, ands Vologodskiy types and are highly suitable for the application
for the purpose of balneotherapy.
PMID- 29376973
TI - [The modular strategy for the informational support of medical activities based
at the spa and health resort facilities under conditions of infrastructure
deficit].
AB - The Crimean peninsula, by virtue of its unique geographical conditions, has a
variety of natural resources providing a basis for the maintenance of the health
resort activities in the region. However, most local health centers suffer from
the chronic and difficultly avoidable in the short term problems with logistical
support, including the shortage of computers and the lack of modern network
infrastructure. This circumstance limits the deployment capabilities of high
grade medical information systems for the automation of all components of the
activity of health resorts and the significant improvement of the performance of
other aspects of their work, such as efficient patient routing, workflow
optimization, limiting the opportunities for the realization of certain
corruption schemes by the staff. We have studied the routing of patients and the
associated document flow in a number of Crimean spa and health resort facilities
(sanatoriums). As a result, the basic work places at which information contained
in the documents is undergoing changes were identified. Based on these data, the
basic (modular) concept of the development of medical information system was
formulated. According to the principle of modularity, the structure of the
information system has been modified and optimized. The stages of implementation
of this approach at various levels of logistic facilities were described, defined
and justified. The key feature of the proposed system consists in that even the
minimal equipment of computing infrastructure units (starting from a single
workplace, such as a "medical receptionist") may be sufficient to achieve the
significant degree of automation in the workflow, provide monitoring and analysis
of the medical records of the spa and health resort facilities. By gradually
increasing the number of related automated workplaces and modules, it is possible
to expand the capabilities of the system up to the full automation of a given
health resort facility.
PMID- 29376974
TI - [Rehabilitation of the patients presenting with the operated spine syndrome
during the complicated postoperative period after discectomy].
AB - This article presents an analytical review of the literature concerning the
problem of rehabilitation of the patients following the surgical treatment of
hernias of intervertebral disks. The relevance of this problem and the importance
of the related research activities in the context of neurorehabilitation are
beyond any doubt. Despite the obvious progress in the modernization of the
methods and technologies for medical rehabilitation, the number of re-operations
in connection with the recurrences of herniated discs remains too high and the
overall success thus far achieved in this field falls short of expectations. The
authors discuss in detail the need for and the contemporary approaches to the
rehabilitative treatment of the patients undergoing vertebral microdiscectomy
including medication therapy, physiotherapy an therapeutic physical exercises.
The variants of the application of magnetic stimulation during the early period
of the rehabilitative treatment of the patients following the minimally invasive
interventions for discogenic radiculopathy are considered.
PMID- 29376975
TI - [Skin receptors and therapeutic physical factors].
AB - This review presents the data on the receptor function of the skin and its role
in the formation of the body's response to the action of physical therapy.
Especially much attention is given in the paper to the influence of ultrasound,
microwaves, laser radiation, various medical environments, and other physical
factors on the morphofunctional condition of the skin receptors. Possible
mechanisms of changes in receptor activity under effects of physical therapeutic
factors are analyzed. Moreover, the paper summarizes the directions of further
possible studies on the interactions between physical factors and cutaneous
receptors.
PMID- 29376976
TI - [The feasibility of the application of cryotherapy and radonotherapy for the
treatment of the patients presenting with osteoarthritis].
AB - Osteoarthritis (OA) is currently considered to be one of the most widespread
diseases. Its main clinical symptoms include pain and dysfunction of joints. In
the present review of the foreign and domestic literature, the questions of
pathogenesis and risk factors underlying the development of osteoarthritis are
discussed. The understanding of OA pathogenesis have altered essentially in the
recent years which made necessary the search for the novel approaches to the
treatment of this pathology. According to the modern views of OA origin and
progression, its therapy should be based on the application of the combination of
medicamentous and non-medicamentous modalities including, in particular,
collective and individual activities focused on the implementation of the
programs of therapeutic physical training as an obligatory component of OA
prophylaxis and treatment. The present review gives evidence of the feasibility
of the application of the methods of cryotherapy and radonotherapy for the
management of the patients suffering from osteoarthritis. It is emphasized that
many recent publications report extensive investigations of the clinical and
pathogenetic aspects of the application of these methods for the combined
regenerative treatment of the patients presenting with gonarthrosis. The
influence of cryotherapy and radonotherapy on the neuroendocrine and immune
systems is discussed with special reference to the possibility of regulation of
the metabolic processes and retardation of inflammation. It is concluded that the
introduction of the above methods into the compulsory individual program for the
regenerative treatment of patients presenting with osteoarthritis is
pathologically substantiated since it greatly contributes to the reduction of
pain and retardation of the progress of the disease. The main pharmaceutical
preparations for the OA treatment remain to be slow-acting symptomatic medicines
possessed of the chondro-protective effect.
PMID- 29376977
TI - [Emotional and personality characteristics of patients with dystonia].
AB - AIM: To examine emotional characteristics, especially personality profile, of
patients with dystonia. MATERIAL AND METHODS: Three hundred patients with
dystonia were enrolled in the study. Control groups consisted of 50 healthy
individuals and 62 patients with hemifacial spasm (GFS). HADS, Beck depression
inventory and Spielberger-Khanin anxiety scale were used. The 16 Personality
Factors Questionnaire (16PF) was used to study personality profile. RESULTS AND
CONCLUSION: Higher levels of anxiety and depression in patients with dystonia
compared to the control groups were identified. The level of anxiety and
depression was not correlated with disease severity. Personality profile study
confirmed the high level of anxiety in patients with dystonia and additionally
showed increased emotional instability and poor emotional control. Intellectual
personality characteristics of patients with dystonia had no differences compared
to the control groups. An analysis of socio-psychological characteristics of
personality in patients with dystonia and GFS showed restricted interpersonal and
social communications since the motor defect noticeable to others leads to severe
social exclusion and the desire for self-isolation.
PMID- 29376978
TI - [Endogenous episodes of juvenile psychosis with religious delusions].
AB - AIM: To identify clinical and psychopathological features, conditions of
formation and prognostic significance of delusions with religious content in
endogenous psychotic states in adolescence. MATERIAL AND METHODS: Fifty-three
male patients, aged from 16 to 25 years, with juvenile endogenous psychosis, with
a psychotic episode with religious content of delusion were examined. Clinical,
psychopathological, psychometrical (The Dawkins scale) and statistical methods
were used. RESULTS AND CONCLUSION: General psychopathological features of
psychotic states with religious delusions, according to the specificity of
adolescent age, were identified. Common types of religious delusional episodes,
forming by primal interpretive (delusion of sin, delusion of demonic possession)
and sensual (messianic and antagonistic delusion, religious oneiroid) mechanisms
were distinguished. A role of the previous religiosity, including overvalued
religious ideas, was clarified. It was found out that the duration of the pre
manifest stage, hospitalization and the period of 'untreated psychosis', was
longer in patients with religious delusions compared to patients with other types
of delusions. Patients with interpretive mechanism of delusion formation
demonstrated the subsequent intensification of religiosity that was not common
for psychotic episodes with the sensual mechanism of delusion formation.
PMID- 29376979
TI - [Differential approaches to the treatment of acute psychosis due to the use of
synthetic cannabinoids].
AB - Based on the study of 43 patients with acute psychosis due to the use of
synthetic cannabinoids, the authors showed that the inclusion of neuroleptic
drugs in the complex therapy increases the efficacy of therapy. In choosing a
neuroleptic it is important to take into account not only the psychopathological
structure of psychosis, but also the severity of somatic and neurological
disorders associated with psychosis.
PMID- 29376980
TI - [Syndrome dopamine dysregulation and deep brain stimulation of the subthalamic
nucleus in Parkinson's disease].
AB - AIM: Dopamine dysregulation syndrome (DDS) is a complication of the dopaminergic
therapy in Parkinson's disease (PD); it is manifested as a compulsive medication
use and may have negative impact on patients' social, psychological, and physical
functioning. An effect of deep brain stimulation in the subthalamic nucleus (DBS
STN) on DDS is not fully understood. Therefore, the degree of DDS during DBS STN
in PD patients was evaluated in the study. MATERIAL AND METHODS: The main group
included 15 patients with DDS symptoms in the preoperative period. The comparison
group consisted of 15 patients without DDS symptoms and the control group
consisted of 15 patients who did not undergo surgery. RESULTS AND CONCLUSION: The
severity of motor disturbances in the surgery groups has decreased significantly
(by 45%). Motor complications during DBS STN in patients with DDS have decreased
by 50%; a decrease in the reduction of doses of dopaminergic preparations was
noted as well.
PMID- 29376981
TI - [The efficacy of botulinotherapy in the correction of the pain syndrome and
quality of life of patients with cervical dystonia].
AB - AIM: To evaluate the severity of pain, emotional status and humoral serotonin in
patients with cervical dystonia (CD) before and after the botulinotherapy.
MATERIAL AND METHODS: A simple, open, comparative study of clinical
characteristics of hyperkinesis, pain and emotional status, quality of life and
contents of serum and blood platelet serotonin in 48 patients (32 women and 16
men) with CD, in age from 37 to 53 years, before and one month after the
botulinotherapy with disport in dose of 500--1000 U was carried out. A control
group included 15 healthy people. RESULTS: All patients (100%) complained of
involuntary movements and pain in the neck. The overall score on a scale of
dystonic movements in the group of patients was 16,7+/-7,7 points, on TWSTRS -
46,48+/-6,2 points, on the Visual Analogue Scale, the average level of pain was
6,4+/-1,08 points. The degree of depression according to the Hamilton scale was
significantly higher (p<0.05) compared to the control group. The level of trait
and state anxiety measured with the Spielberger-Khanin scale was significantly
higher (p<0.005) in patients with CD than in the controls. The correlation
analysis revealed a direct dependence of the intensity of pain subscale TWSTRS
with the degree of anxiety on the Hamilton scale and the amount of final points
of dystonic movements. The level of serotonin in the serum was significantly
lower in patients compared to the controls. After botulinotherapy, pain scores,
anxiety and depression have significantly decreased and the level of blood
platelet serotonin has increased. CONCLUSION: Botulinotherapy with dysport in CD
patients reduces the degree of pain, depression, improves quality of life and
stimulates the serotoninergic system.
PMID- 29376982
TI - [The efficacy of prevention of postoperative cognitive dysfunction in cardiac
surgeries with the use of the cerebrolysin].
AB - AIM: To assess the efficacy of postoperative cytoprotection with cerebrolysin in
cardiac surgeries without using cardiopulmonary bypass and to analyze the changes
in the blood circulation in the postoperative period in groups with- and without
cerebrolysin preconditioning. MATERIAL AND METHODS: Thirty-eight patients, who
underwent coronary and mammaro-coronary bypass grafting without using
cardiopulmonary bypass, were included in the study. Fifteen patients received
cerebrolysin before surgery. RESULTS AND CONCLUSION: Cerebrolysin improved
cognitive test scores. Positive changes on anxiety and depression scales were
observed as well. In the group of patients treated with cerebrolysin,
quantitative parameters of the cerebral blood flow were in stable condition, with
a slight increase on the 10th day after surgery, which may indicate increasing
stress resistance of cells of the central nervous system after appropriate
pharmacological protection.
PMID- 29376983
TI - [Antiinflammatory treatment in patients with chronic back pain].
AB - : Non-steroidal anti-inflammatory drugs (NSAIDs) are part of the treatment of
patients with chronic nonspecific back pain (CBP). An analysis of the reasons for
the absence of NSAIDs administration or cancellation of previously iniciated
NSAIDs in patients with CBP was the goal of this study. MATERIAL AND METHODS: We
analyzed the peculiarities of treatment of CBP in 250 patients at baseline and
after 1 and 2 years. The features of drug therapy, the reasons for therapy
cancellation were evaluated. RESULTS AND CONCLUSION: 52 (20.8%) of patients with
CBP were not treated with NSAIDs, 38 (15.2%) recieved NSAIDs in 4 and > days per
week, 44 (17.6%) - 3 and < days a week, 62 (24.8%) took NSAID in 7-14 day 2-5
times per year, 54 (21.6%) - in on-demand mode. Absence of NSAIDs administration
in CBP was associated with older age, the presence of comorbidites, with non
alcoholic fatty liver disease and obesity. The cancelletion of previously
initiated NSAID was equally associated with the resolution of pain and with the
failure to respond to therapy, with the presence of obesity and with the intake
of nonselective NSAIDs. Continuation of the initiated effective anti-inflammatory
therapy was associated with the use of coxibs, complex treatment with the use of
neirobion, with the presence of normal body weight, and with the achievement of a
decrease in the severity of the pain syndrome even in the absence of its complete
resolution. Increased adherence to treatment with NSAIDs in CBP patients can be
achieved by increasing of its effectiveness through the use of NSAIDs (especially
coxibs) with B group vitamins (B1, B6, B12), by normalizing of BMI and
effectively controlling liver function.
PMID- 29376984
TI - [Neurosonography of the facial nerve in children with idiopathic neuropathy of
facial nerve].
AB - AIM: To find the correlation between neurophysiological and neurosonographic
(NSG) parameters of the facial nerve of children with idiopathic neuropathy of
the facial nerve (NLN) in the acute period with good and poor prognosis of
recovery of facial nerve function. MATERIAL AND METHODS: Sixty-five children with
NLN (mean age 11.5+/-4.9 years) and 57 children of control group (mean age 12.5+/
5.2 years) were examined. All children with NLN were studied using NSG with the
measurement of the diameter of the facial nerve in the area of processus
stylomastoideus and in the parotid gland. Stimulation electroneuromyography of
the facial nerve with the registration of the M-response from the m. orbicularis
oculi and evaluation of the degree of paresis of facial muscles using the 6-point
House-Brackmann scale on the 10-15 day were performed. On the 30th day after
manifestation of paresis, children with NLN were divided into two groups: the
good recovery group 1 (n=54) and the poor prognosis recovery group (n=11).
Correlation between NSG and electroneuromyography indicators with good and poor
prognosis of recovery of facial nerve function was analyzed. RESULTS AND
CONCLUSION: Based on the results obtained, 85% sensitivity and 77% specificity of
measuring the diameter of the facial nerve using NSG in predicting poor prognosis
of recovery of facial nerve function was shown. Normative and thresholds NSG
parameters of the facial nerve in children were obtained.
PMID- 29376985
TI - [Latent and simple forms of schizophrenia in the concept of E. Bleuler].
AB - E. Bleuler's concept of latent schizophrenia, its relationship with the form of
simple schizophrenia and the foundation of the idea that each form of
schizophrenia can be latent are analyzed. Bleuler's interpretation of the meaning
of different psychopathological symptoms for the diagnosis of schizophrenia and
some innate contradictions of his diagnostic approach (declared criteria of
absoluteness) are discussed. Different influences of Bleuler's concept on the
following national concepts of schizophrenia and its development in the Russian
psychiatry are noted.
PMID- 29376986
TI - [Pathogenesis of endothelial dysfunction in cerebral atherosclerosis and their
correction].
AB - The authors review the studies on oxidative stress in the pathogenesis of
cerebrovascular diseases (CVD) and highlight a contribution of endothelial
dysfunction to the CVD development. Own experience of using divasa in patients of
old and very old age with chronic CVD comorbid to cerebral atherosclerosis is
described.
PMID- 29376987
TI - [A study of the neuroprotective effect of mexidol on the cell model of glutamate
stress].
AB - AIM: To study a neuroprotective effect of mexidol on the cell model of glutamate
stress. MATERIAL AND METHODS: Cytological studies of an effect of glutamate
stress on cerebellar granule cells were carried out. RESULTS: Mexidol increased
neuronal survival after the addition of glutamate by 8-10% (p<0.05). The effect
of mexidol was more pronounced at the stage of neuron culture growth (5 days),
cell survivability increased on average by 20%. CONCLUSION: The results of the
study confirmed the neuroprotective effect of mexidol in the neuronal culture in
glutamate toxicity model.
PMID- 29376988
TI - [Pathogenesis of cognitive disorders in patients with Duchenne muscular
dystrophy].
AB - AIM: Clarification of the pathogenesis of cognitive disorders in patients with
Duchenne muscular dystrophy in the clinical laboratory and molecular genetic
study. MATERIAL AND METHODS: Thirty-six male patients with Duchenne muscular
dystrophy (DMD), aged from 5 to 22 years (mean age 13.7 years), were examined.
The control group consisted of 30 healthy people (7-22 years old, mean age 13.8).
The clinical, molecular-genetic and laboratory study was conducted. The search
for mutations in the dystrophin gene was carried out using multiplex PCR and
multiplex ligation-dependent probe amplification. The laboratory study included
determination of neurotrophins: brain-derived neurotrophic factor (BDNF), nerve
growth factor (NGF) and ciliary neurotrophic factor (CNTF) using immunoenzyme
method in serum. RESULTS AND CONCLUSION: Severe cognitive impairment was found in
33% of patients with DMD. The distribution of mutations in the DMD gene was not
uniform, most often the mutations were found in the region from exon 43 to exon
50. Serum concentration of NGF in patients with DMD was higher than in the
control group (2391 pg/ml [1587; 4136] and 553 pg / ml [314; 864], respectively
(p<0.001)). In the group of patients with cognitive disorders, there was a
decreased concentration of BGF (23 670 [21 700; 30 720] pg/ml (p<0.001)). In
patients with BGF concentration less than 31 000 pg/ml, the chances of cognitive
disorders were more than 10 times higher (p<0.001, odds ratio OR=12.0, 95% CI
[1.9-76.4]). Thus, biochemical mechanisms, such as NGF overexpression and BGF
deficiency, are involved in the development of cognitive disorders in patients
with DMD.
PMID- 29376989
TI - [Syndrome of mild encephalopathy with a reversible splenial corpus callosum
lesion].
AB - Mild encephalopathy with a reversible splenial lesion (MERS) is a clinico
radiological syndrome that has been associated with a variety of underlying
etiologies including viral and bacterial infections, epilepsy and antiepileptic
drug cessation, hypoglycemia and electrolyte imbalance. We report a case of a 24
year-old woman who had an isolated splenial lesion in the corpus callosum on
neuroimaging associated with MERS.
PMID- 29376990
TI - [REM-sleep behavior disorder and sleepwalking in a patient with Parkinson's
disease and essential tremor].
AB - REM-sleep behavior disorder (RBD), a form of parasomnia, is characterized by
motor and/or speech activity during sleep corresponding to the dream content. RBD
is currently considered as a marker of onset of neurodegenerative diseases
(sinucleinopathies) and as a most specific early symptom of Parkinson's disease
(PD). The authors describe a clinical case of a patient with the combination of
essential tremor, initial signs of PD and RBD. A polysomnographic study has
confirmed clinical signs of RBD and determined the disturbances of sleep
architecture: the increase in falling asleep, number of awakenings, wakefulness
during sleep, the absence of deep slow-wave sleep. The particular features of the
patient were essential tremor and sleep walking.
PMID- 29376991
TI - [Progressive multifocal encephalopathy in neurological practice].
AB - Progressive multifocal leukoencephalopathy (PML) is considered so far as a rare
rapidly progressive demyelinating CNS disease caused by the JC virus activation.
Current data suggest that PML developed in people with impaired immunity.
However, in some cases PML developed in the absence of severe immunological
deficit. In clinical practice, the early diagnosis of PML is difficult because
symptoms are mild and, therefore, the physician should be familiar with
diagnostic criteria of this disease to make the correct diagnosis and start the
symptomatic therapy as soon as possible. This will help to improve patient's
state though there is no effective treatment of PML so far. Up to the present
moment, antiviral medications, cytostatic drugs, serotonin receptor antagonists,
plasmapheresis are widely used. These methods can be used only if the causes of
PML have been identified while the patient needs urgent help. Thus, the schemes
of pathogenetic treatment with the drugs with pleiotropic effects should be used.
PMID- 29376992
TI - [Seven principles in the treatment of vestibular vertigo and results of the study
of VIRTUOSO].
AB - This article reports the results of the international post-marketing
observational program VIRTUOSO aimed at the evaluation of the efficacy of
betahistine dihydrochloride at the dose of 48 mg/day for 1-2 months in patients
with paroxysmal vertigo of various origins. The clinical response was rated as
good, very good or excellent in 74.1% of the patients (p<0.001). Monthly vertigo
attack frequency with betahistine decreased in average from 8.0 to 3.0 (p<0.001).
Vertigo attack frequency further decreased during the 2-month follow-up after the
end of betahistine treatment. No serious adverse effects of betahistine have been
reported.
PMID- 29376993
TI - [Divasa in the treatment and prevention of cerebrovascular diseases].
AB - Disturbances of hemorheology, hemostasis and fibrinolysis play an important role
in the pathogenesis and pathophysiology of chronic cerebral ischemia.
Physiological functioning of the endothelium is disturbed under the action of
damaging factors. Divaza is created on the basis of release-active antibodies to
S100b protein and antibodies to endothelial NO-synthase. The efficacy of divaza
in the treatment of chronic cerebral ischemia and related diseases (cognitive
impairment, anxiety) was demonstrated. A normalizing effect of divaza in the dose
of 2 tablets 3 times daily between meals during 12 weeks on endothelial function
is shown.
PMID- 29376994
TI - [Dipyridamole in the treatment and prevention of cerebral venous thrombosis in
women using hormonal contraceptives].
AB - The review covers the topic of cerebral venous thrombosis (CVT) in women taking
hormonal contraceptives. The paper gives the definition of CVT, the history and
analysis of epidemiological data. It was shown that the increased risk of CVT
occurs due to the influence of hormonal contraceptives on the system of
hemostasis and severity of the risk depends on the preparation formula, the way
and duration of its administration. The authors show the role of combination of
hormonal contraceptives with other risk factors and predisposing conditions for
the development of thrombosis. The rules for choosing the method of contraception
in accordance with generally accepted recommendations are suggested. The
description of pathogenic mechanisms of CVT, clinical picture and disease as well
as neuroimaging criteria and the rules for choosing a diagnostic method are
presented. The authors provide the description of complex therapy in the acute
period of the disease, the rules for secondary prevention. Particular attention
is paid to the possibility of using dipyridamole, which has a pleiotropic effect,
influencing all the components of the Virchov triad, as a part of a complex
therapy and secondary prevention.
PMID- 29376995
TI - [A profile of antidepressive effects of agomelatine and a current view on the
mechanism of its action].
AB - Agomelatine is one of the latest antidepressants (melatoninergic agonists) with a
new mechanism of action. From the positions of classical monoaminoergic theory,
tts mechanism of action is difficult to understand, because the drug increases
the levels of monoamines and neurotrophic factors, while not affecting their
reuptake and negative feedback, which control neurotransmission level. Besides
the effect on suprachiasmatic nucleus, a relevant role in the mechanism of action
of agomelatine plays its special functionally selective (with regard to
intracellular signaling pathways) interaction with heteromeric complexes of
serotonin 5-NT2S and melatonin MT2 receptors in the hippocampus and cerebral
cortex. Agomelatine is competitive to other modern antidepressants in the
efficacy assessed by the percentage of complete responders and superior in the
total frequency of remissions. Compared to other SSRI antidepressants,
agomelatine is more effective for anhedonia. In these cases, agomelatine
increases the level of brain-derived neurotrophic factor (BDNF) in the blood of
responders.
PMID- 29376996
TI - [Psychotherapy in treatment and rehabilitation of patients with multiple
sclerosis].
AB - Presented is a review of the use of psychotherapy and psychological intervention
methods in treatment and rehabilitation of patients with multiple sclerosis (MS).
Main indications for psychotherapy in MS are anxiety and depression, asthenia,
movement disorders, cognitive decline or sleep disturbances. The applied methods
of psychotherapy refer to behavioral, cognitive, humanistic, combined or
alternative approaches. Individual and group sessions are most frequently used
forms of psychotherapy. Positive effects of psychotherapy in MS patients are
confirmed by data of randomized studies and neuroimaging. Psychotherapy should be
included into complex multidisciplinary programs of treatment and rehabilitation
of MS patients.
PMID- 29376997
TI - [Primary headache - risk factor or comorbid pathology in cognitive impairment].
AB - Currently, the relationship between headache and dementia is considered more
widely than just a comorbidity. The severity of migraine and tension-type
headache and the severity of cognitive impairment are strongly correlated. Common
pathophysiological mechanisms underlie the relationship between primary headache
and cognitive impairment. Antinociceptive changes in primary headaches and in
glutamate excitotoxicity in dementia developed due to hyper excitability of NMDA
receptors are strongly interdependent. It is important in the aspect of possible
correction of this pathology by NMDA-antagonists. Memantine not only slows the
progression of cognitive symptoms in dementia, but also significantly influences
the frequency and severity of primary headache.
PMID- 29376998
TI - [Modern technologies and prospects of rehabilitation of patients after ischemic
stroke].
AB - Despite the great achievements in the field of neurorehabilitation, a significant
proportion of patients after an ischemic stroke have persistent motor
disturbances even after timely and adequately carried out restorative measures.
The article discusses the issues of neuroplasticity, modern diagnostic
technologies for studying this phenomenon; prognostic factors for recovery
deficit following stroke and determining the effectiveness of ongoing treatment.
The principles of neuroprotective therapy in ischemic stroke are considered,
which is a pathogenetically justified direction at all stages of restorative
treatment after cerebral circulation disorders. One of the most studied original
cytoprotectors, demonstrating safety, efficacy and good tolerability, is
cytoflavin. The results of numerous clinical trials have revealed a significant
positive clinical and morphological dynamics when taking cytoflavin in patients
after ischemic stroke.
PMID- 29376999
TI - [Phenazepam: to the question of the efficacy and safety of benzodiazepine
derivatives].
AB - The widespread use of benzodiazepines in general medicalpractice in patients with
complaints of anxiety and insomnia is due to a combination of efficacy and
relative safety. Benzodiazepines have several advantages over other groups of
sedatives: a wider range of doses between anxiolytic and sedative effect, a
higher ratio between the average lethal and average effective doses, the lower
risk of abuse. However, with prolonged use of even therapeutic doses of
benzodiazepines (more than 6 months), the risk of dependence may increase - more
often with the use of high-potency short-acting drugs. Nevertheless, this risk
with the correct use of drugs is greatly exaggerated. In many patients, prolonged
therapy with benzodiazepines causes an improvement in the condition without the
development of serious side effects. Do not abandon the use of this group of
substances, following prejudices, but the appointment of benzodiazepines requires
a thorough diagnostic assessment of the patient's condition and rational use of
drugs.
PMID- 29377000
TI - [New possibilities of treatment of low back pain].
AB - Low back pain (LBP) is a syndrome caused by degenerative spine diseases and a
common reason for referral for medical care. LBP is mostly often caused by
osteoarthritis (OA) that needs long-term treatment with nonsteroidal anti
inflammatory drugs. The treatment is associated with a risk of side-effects. The
authors consider the possibility of using slow-acting drugs for symptomatic
treatment of OA (SYSADOA) in patients with LBP and present the data on anti
inflammatory effects of chondroitin sulfate on the chondral tissue in OA. The
results of the studies on the use of SYSADOA in LBP are analyzed.
PMID- 29377001
TI - Partial DNA-guided Cas9 enables genome editing with reduced off-target activity.
AB - CRISPR-Cas9 is a versatile RNA-guided genome editing tool. Here we demonstrate
that partial replacement of RNA nucleotides with DNA nucleotides in CRISPR RNA
(crRNA) enables efficient gene editing in human cells. This strategy of partial
DNA replacement retains on-target activity when used with both crRNA and sgRNA,
as well as with multiple guide sequences. Partial DNA replacement also works for
crRNA of Cpf1, another CRISPR system. We find that partial DNA replacement in the
guide sequence significantly reduces off-target genome editing through focused
analysis of off-target cleavage, measurement of mismatch tolerance and genome
wide profiling of off-target sites. Using the structure of the Cas9-sgRNA complex
as a guide, the majority of the 3' end of crRNA can be replaced with DNA
nucleotide, and the 5 - and 3'-DNA-replaced crRNA enables efficient genome
editing. Cas9 guided by a DNA-RNA chimera may provide a generalized strategy to
reduce both the cost and the off-target genome editing in human cells.
PMID- 29377002
TI - Lytic xylan oxidases from wood-decay fungi unlock biomass degradation.
AB - Wood biomass is the most abundant feedstock envisioned for the development of
modern biorefineries. However, the cost-effective conversion of this form of
biomass into commodity products is limited by its resistance to enzymatic
degradation. Here we describe a new family of fungal lytic polysaccharide
monooxygenases (LPMOs) prevalent among white-rot and brown-rot basidiomycetes
that is active on xylans-a recalcitrant polysaccharide abundant in wood biomass.
Two AA14 LPMO members from the white-rot fungus Pycnoporus coccineus
substantially increase the efficiency of wood saccharification through oxidative
cleavage of highly refractory xylan-coated cellulose fibers. The discovery of
this unique enzyme activity advances our knowledge on the degradation of woody
biomass in nature and offers an innovative solution for improving enzyme
cocktails for biorefinery applications.
PMID- 29377005
TI - Dementia: Peripheral inflammation could be a prodromal indicator of dementia.
PMID- 29377003
TI - Rewiring T-cell responses to soluble factors with chimeric antigen receptors.
AB - Chimeric antigen receptor (CAR)-expressing T cells targeting surface-bound tumor
antigens have yielded promising clinical outcomes, with two CD19 CAR-T cell
therapies recently receiving FDA approval for the treatment of B-cell
malignancies. The adoption of CARs for the recognition of soluble ligands, a
distinct class of biomarkers in physiology and disease, could considerably
broaden the utility of CARs in disease treatment. In this study, we demonstrate
that CAR-T cells can be engineered to respond robustly to diverse soluble
ligands, including the CD19 ectodomain, GFP variants, and transforming growth
factor beta (TGF-beta). We additionally show that CAR signaling in response to
soluble ligands relies on ligand-mediated CAR dimerization and that CAR
responsiveness to soluble ligands can be fine-tuned by adjusting the mechanical
coupling between the CAR's ligand-binding and signaling domains. Our results
support a role for mechanotransduction in CAR signaling and demonstrate an
approach for systematically engineering immune-cell responses to soluble,
extracellular ligands.
PMID- 29377004
TI - Antagonism of PPAR-gamma signaling expands human hematopoietic stem and
progenitor cells by enhancing glycolysis.
AB - Hematopoietic stem cells (HSCs) quiescently reside in bone marrow niches and have
the capacity to self-renew or differentiate to form all of the blood cells
throughout the lifespan of an animal. Allogeneic HSC transplantation is a life
saving treatment for malignant and nonmalignant disorders. HSCs isolated from
umbilical cord blood (CB) are used for hematopoietic cell transplantation (HCT),
but due to the limited numbers of HSCs in single units of umbilical CB, a number
of methods have been proposed for ex vivo expansion of human HSCs. We show here
that antagonism of peroxisome proliferator-activated receptor (PPAR)-gamma
promotes ex vivo expansion of phenotypically and functionally defined subsets of
human CB HSCs and hematopoietic progenitor cells (HSPCs). PPAR-gamma antagonism
in CB HSPCs strongly downregulated expression of several differentiation
associated genes, as well as fructose-bisphosphatase 1 (FBP1; which encodes a
negative regulator of glycolysis), and enhanced glycolysis without compromising
mitochondrial metabolism. The expansion of CB HSPCs by PPAR-gamma antagonism was
completely suppressed by removal of glucose or inhibition of glycolysis.
Moreover, knockdown of FBP1 expression promoted glycolysis and ex vivo expansion
of long-term repopulating CB HSPCs, whereas overexpression of FBP1 suppressed the
expansion of CB HSPCs that was induced by PPAR-gamma antagonism. Our study
suggests the possibility for a new and simple means for metabolic reprogramming
of CB HSPCs to improve the efficacy of HCT.
PMID- 29377006
TI - Traumatic brain injury: Minocycline reduces microglial activation but increases
neurodegeneration after TBI.
PMID- 29377007
TI - Neurodegenerative disease: Proteome points to synaptic dysfunction in dementia.
PMID- 29377009
TI - Stroke: Kv1.3 inhibition shows therapeutic potential in animal models of
ischaemic stroke.
PMID- 29377008
TI - Blood-brain barrier breakdown in Alzheimer disease and other neurodegenerative
disorders.
AB - The blood-brain barrier (BBB) is a continuous endothelial membrane within brain
microvessels that has sealed cell-to-cell contacts and is sheathed by mural
vascular cells and perivascular astrocyte end-feet. The BBB protects neurons from
factors present in the systemic circulation and maintains the highly regulated
CNS internal milieu, which is required for proper synaptic and neuronal
functioning. BBB disruption allows influx into the brain of neurotoxic blood
derived debris, cells and microbial pathogens and is associated with inflammatory
and immune responses, which can initiate multiple pathways of neurodegeneration.
This Review discusses neuroimaging studies in the living human brain and post
mortem tissue as well as biomarker studies demonstrating BBB breakdown in
Alzheimer disease, Parkinson disease, Huntington disease, amyotrophic lateral
sclerosis, multiple sclerosis, HIV-1-associated dementia and chronic traumatic
encephalopathy. The pathogenic mechanisms by which BBB breakdown leads to
neuronal injury, synaptic dysfunction, loss of neuronal connectivity and
neurodegeneration are described. The importance of a healthy BBB for therapeutic
drug delivery and the adverse effects of disease-initiated, pathological BBB
breakdown in relation to brain delivery of neuropharmaceuticals are briefly
discussed. Finally, future directions, gaps in the field and opportunities to
control the course of neurological diseases by targeting the BBB are presented.
PMID- 29377011
TI - Neurological disorders of gait, balance and posture: a sign-based approach.
AB - Neurological disorders of gait, balance and posture are both debilitating and
common. Adequate recognition of these so-called disorders of axial mobility is
important as they can offer useful clues to the underlying pathology in patients
with an uncertain clinical diagnosis, such as those early in the course of
neurological disorders. Medical teaching programmes typically take classic
clinical presentations as the starting point and present students with a
representative constellation of features that jointly characterize a particular
axial motor syndrome. However, patients rarely present in this way to a physician
in clinical practice. Particularly in the early stages of a disease, patients
might display just one (or at best only a few) abnormal signs of gait, balance or
posture. Importantly, these individual signs are never pathognomonic for any
specific disorder but rather come with an associated differential diagnosis. In
this Perspective, we offer a new diagnostic approach in which the presenting
signs are taken as the starting point for a focused differential diagnosis and a
tailored search into the underlying neurological syndrome.
PMID- 29377012
TI - Widespread bacterial protein histidine phosphorylation revealed by mass
spectrometry-based proteomics.
AB - For decades, major difficulties in analyzing histidine phosphorylation have
limited the study of phosphohistidine signaling. Here we report a method
revealing widespread and abundant protein histidine phosphorylation in
Escherichia coli. We generated an extensive E. coli phosphoproteome data set, in
which a remarkably high percentage (~10%) of phosphorylation sites are
phosphohistidine sites. This resource should help enable a better understanding
of the biological function of histidine phosphorylation.
PMID- 29377013
TI - Ab initio electron density determination directly from solution scattering data.
AB - Using a novel iterative structure factor retrieval algorithm, here I show that
electron density can be directly calculated from solution scattering data without
modeling. The algorithm was validated with experimental data from 12 different
biological macromolecules. This approach avoids many of the assumptions limiting
the resolution and accuracy of modeling algorithms by explicitly calculating
electron density. This algorithm can be applied to a wide variety of molecular
systems.
PMID- 29377014
TI - STED super-resolved microscopy.
AB - Stimulated emission depletion (STED) microscopy provides subdiffraction
resolution while preserving useful aspects of fluorescence microscopy, such as
optical sectioning, and molecular specificity and sensitivity. However,
sophisticated microscopy architectures and high illumination intensities have
limited STED microscopy's widespread use in the past. Here we summarize the
progress that is mitigating these problems and giving substantial momentum to
STED microscopy applications. We discuss the future of this method in regard to
spatiotemporal limits, live-cell imaging and combination with spectroscopy.
Advances in these areas may elevate STED microscopy to a standard method for
imaging in the life sciences.
PMID- 29377016
TI - Acupuncture and low back pain.
PMID- 29377015
TI - Vitamin A and retinoic acid combined have a more potent effect compared to
vitamin A alone on the uptake of retinol into extrahepatic tissues of neonatal
rats raised under vitamin A-marginal conditions.
AB - Background: Vitamin A (VA, retinol) supplementation is widely used to reduce
child mortality in low-income countries. However, existing research suggests that
supplementation with VA alone may not be optimal for infants. Objective: We
compared the effect of VA vs. VA combined with retinoic acid (VARA) on retinol
uptake and turnover in organs of neonatal rats raised under VA-marginal
conditions. Methods: Secondary analysis was conducted on data obtained from two
prior kinetic studies of Sprague-Dawley neonatal rats nursed by mothers fed a VA
marginal diet (0.35 mg retinol equivalents/kg diet). On postnatal d 4, pups had
been treated with a single dose of VA (6 MUg/g; n = 52; VA study), VA + 10%
retinoic acid (6 MUg/g; n = 42; VARA study) or placebo (canola oil; n = 94; both
studies), all containing ~2 MUCi of [3H]retinol as the tracer for VA. Total
retinol concentrations and tracer levels had been measured in plasma and tissues
from 1 h to 14 d after dosing. Control group data from both studies were merged
prior to analysis. Kinetic parameters were re-estimated and compared
statistically. Results: VARA supplementation administered to neonatal rats within
a few days after birth resulted in a lower turnover of retinol in the lungs,
kidneys, and carcass and less frequent recycling of retinol between plasma and
organs (100 vs. 288 times in VARA- vs. VA-treated group). Although the VA
supplementation resulted in a higher concentration of retinol in the liver, VARA
supplementation led to a higher uptake of postprandial retinyl esters into the
lungs, intestines, and carcass. Conclusions: Given the relatively higher retinol
uptake into several extrahepatic organs of neonates dosed orally with VARA, this
form of supplementation may serve as a targeted treatment of low VA levels in the
extrahepatic organs that continue to develop postnatally.
PMID- 29377010
TI - Brain insulin resistance in type 2 diabetes and Alzheimer disease: concepts and
conundrums.
AB - Considerable overlap has been identified in the risk factors, comorbidities and
putative pathophysiological mechanisms of Alzheimer disease and related dementias
(ADRDs) and type 2 diabetes mellitus (T2DM), two of the most pressing epidemics
of our time. Much is known about the biology of each condition, but whether T2DM
and ADRDs are parallel phenomena arising from coincidental roots in ageing or
synergistic diseases linked by vicious pathophysiological cycles remains unclear.
Insulin resistance is a core feature of T2DM and is emerging as a potentially
important feature of ADRDs. Here, we review key observations and experimental
data on insulin signalling in the brain, highlighting its actions in neurons and
glia. In addition, we define the concept of 'brain insulin resistance' and review
the growing, although still inconsistent, literature concerning cognitive
impairment and neuropathological abnormalities in T2DM, obesity and insulin
resistance. Lastly, we review evidence of intrinsic brain insulin resistance in
ADRDs. By expanding our understanding of the overlapping mechanisms of these
conditions, we hope to accelerate the rational development of preventive, disease
modifying and symptomatic treatments for cognitive dysfunction in T2DM and ADRDs
alike.
PMID- 29377017
TI - Commentary: Effects of Video Game Training on Measures of Selective Attention and
Working Memory in Older Adults: Results from a Randomized Controlled Trial.
PMID- 29377018
TI - Retraction: Response of methane production via propionate oxidation to
carboxylated multiwalled carbon nanotubes in paddy soil enrichments.
AB - [This retracts the article DOI: 10.7717/peerj.4267.].
PMID- 29377019
TI - Erratum: Correction of Acknowledgements.
AB - [This corrects the article on p. 542 in vol. 60, PMID: 29184862.].
PMID- 29377020
TI - Corrigendum: Ginsenoside Rb1 Enhances Atherosclerotic Plaque Stability by
Improving Autophagy and Lipid Metabolism in Macrophage Foam Cells.
AB - [This corrects the article on p. 727 in vol. 8, PMID: 29114222.].
PMID- 29377021
TI - Surgical antimicrobial prophylaxis.
PMID- 29377022
TI - Correction to: Management and investigation of a Serratia marcescens outbreak in
a neonatal unit in Switzerland - the role of hand hygiene and whole genome
sequencing.
AB - [This corrects the article DOI: 10.1186/s13756-017-0285-x.].
PMID- 29377023
TI - Stenting as a treatment for exercise-induced intracranial hypertension from
bilateral jugular vein obstruction.
PMID- 29377024
TI - Long-term registries: Answering tough questions with big data?
PMID- 29377026
TI - Bridging crossroads to improve patient outcomes.
PMID- 29377025
TI - Erratum to "Anti-Inflammatory Effect of 3-Bromo-4,5-Dihydroxybenzaldehyde, a
Component of Polysiphonia morrowii, In Vivo and In Vitro" [Toxicol. Res. 33
(2017) 325-332].
AB - [This corrects the article on p. 325 in vol. 33, PMID: 29071017.].
PMID- 29377028
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1002/rmb2.12034.].
PMID- 29377027
TI - Focusing on transitions of care: A change is here.
AB - Transitions of care have emerged as an important point of vulnerability in the
health care system where medical errors and clinical deterioration can occur.
Most research in the area has focused on non-neurologically ill patients in the
postdischarge transition from the inpatient to outpatient clinical environment in
part due to the emergence of hospital readmissions reduction programs. A
multidisciplinary strategy that addresses several common opportunities for
improvement can mitigate the risk to patients during these periods and can serve
as an opportunity for neurologists to take the lead in developing systems-based
solutions that can ultimately enhance the quality of care for our patients.
PMID- 29377029
TI - Antimicrobial prophylaxis for dental surgery.
PMID- 29377030
TI - A practical guide to evaluating sleep disturbance in concussion patients.
AB - Purpose of review: To provide an introduction and review of sleep metrics
appropriate for use with an outpatient concussed population. Recent findings:
Although sleep issues are frequently identified in concussion, sleep disturbance
is rarely assessed in outpatient settings. Given that sleep disturbance may be
indicative of, or contribute to, delayed neurocognitive recovery, measurement
tools for sleep, rest, and activity behavior may be of both practical and
research utility. Summary: Because sleep disturbance symptoms may vary between or
within an individual throughout the course of recovery, it is recommended that
sleep be measured at regular intervals over the entirety of recovery. Included is
a discussion on how to select appropriate measures based on patient symptomology
in addition to common practical concerns. Additional clinical considerations, a
review of traditional pencil and paper methods of continuous sleep behavior
monitoring, as well as technologies for measuring sleep and activity behavior are
also included.
PMID- 29377031
TI - Corrigendum: Much More than a Cardiotonic Steroid: Modulation of Inflammation by
Ouabain.
AB - [This corrects the article on p. 895 in vol. 8, PMID: 29176951.].
PMID- 29377032
TI - Erratum Notice of Formatting Correction: In Vitro Cysteine Reactivates
Organophosphate Insecticide Dichlorvos-Inhibited Human Cholinesterases.
AB - [This corrects the article on p. E293 in vol. 17, PMID: 29062551.].
PMID- 29377033
TI - Potential Mechanisms Underlying TGF-beta-mediated Complement Activation in Lung
Fibrosis.
AB - While our previous studies suggest that limiting bleomycin-induced complement
activation suppresses TGF-beta signaling, the specific hierarchical interactions
between TGF-beta and complement in lung fibrosis are unclear. Herein, we
investigated the mechanisms underlying TGF-beta-induced complement activation in
the pathogenesis of lung fibrosis. C57-BL6 mice were given intratracheal
instillations of adenoviral vectors overexpressing TGF-beta (Ad-TGFbeta) or the
firefly gene-luciferase (Ad-Luc; control). Two weeks later, mice with fibrotic
lungs were instilled RNAi specific to receptors for C3a or C5a-C3ar or C5ar, and
sacrificed at day 28. Histopathological analyses revealed that genetic silencing
of C3ar or C5ar arrested the progression of TGF-beta-induced lung fibrosis,
collagen deposition and content (hydroxyproline, col1a1/2); and significantly
suppressed local complement activation. With genetic silencing of either C3ar or
C5ar, in Ad-TGFbeta-injured lungs: we detected the recovery of Smad7 (TGF-beta
inhibitor) and diminished local release of DAF (membrane-bound complement
inhibitor); in vitro: TGF-beta-mediated loss of DAF was prevented. Conversely,
blockade of the TGF-beta receptor prevented C3a-mediated loss of DAF in both
normal primary human alveolar and small airway epithelial cells. Of the 52 miRNAs
analyzed as part of the Affymetrix array, normal primary human SAECs exposed to
C3a, C5a or TGF-beta caused discrete and overlapping miRNA regulation related to
epithelial proliferation or apoptosis (miR-891A, miR-4442, miR-548, miR-4633),
cellular contractility (miR-1197) and lung fibrosis (miR-21, miR-200C, miR-31HG,
miR-503). Our studies present potential mechanisms by which TGF-beta activates
complement and promotes lung fibrosis.
PMID- 29377034
TI - Commentary: No unique effect of intergroup competition on cooperation: non
competitive thresholds are as effective as competition between groups for
increasing human cooperative behavior.
PMID- 29377035
TI - The non-Huntington disease choreas: Five new things.
AB - Purpose of review: Chorea can be due to a wide variety of causes. In this review,
I provide updates on several recently identified genetic and autoimmune causes of
chorea, and review evidence supporting the use of deep brain stimulation in
chorea. Recent findings: New genes that may cause chorea include ADCY5 (encoding
for adenylate cyclase 5) C9ORF72 (in addition to amyotrophic lateral sclerosis
and frontotemporal dementia), and those responsible for the neurodegeneration
with brain iron accumulation disorders. Novel autoantibodies are increasingly
being identified as associated with a variety of neurologic syndromes, including
chorea, in both paraneoplastic and non-paraneoplastic settings. Deep brain
stimulation can be a useful intervention in patients with chorea who do not
respond to oral medications, whether due to neurodegenerative or nondegenerative
causes. Summary: New causes of chorea continue to be identified. Correct
diagnosis is essential for prognostication and treatment.
PMID- 29377036
TI - Social capital, collective action, and communal grazing lands in Uganda.
AB - Recent scholars have found that collective action can be harnessed to sustainably
manage common property, contrary to longstanding hypotheses that without
effective external regulation community members will exploit communal resources.
Researchers have also found that social capital, in addition to biophysical
conditions and community attributes, is an important element of successful
collective action. However, few studies exploring this topic have specifically
examined communal grazing land, which is a critical component of rural
livelihoods in many parts of the developing world. To address this gap, we
explore the role that collective action plays in maintaining communal grazing
lands through bridging, bonding, and linking social capital. In cases where the
community does have communal grazing lands, we also explore the role of social
capital in determining the condition of the land and the inclusiveness of access.
Our analyses draw upon a community-level dataset composed of Uganda RePEAT survey
data linked with high resolution gridded socio-environmental data. We observe
that strong community bonds are associated with higher odds of successful
collective action. However, increased links to external market forces may
decrease the odds of successful collective action. These findings provide
additional evidence for the complex relationship between social capital,
collective action, and common property natural resource management.
PMID- 29377037
TI - MRI pattern approach of adult-onset inherited leukoencephalopathies.
PMID- 29377039
TI - Erratum: How neurologists are paid: Part 3: Hospital support, Veterans
Administration, and neurohospitalists.
AB - [This corrects the article on p. 412 in vol. 5, PMID: 26526185.].
PMID- 29377038
TI - Corrigendum: Meclizine Prevents Ovariectomy-Induced Bone Loss and Inhibits
Osteoclastogenesis Partially by Upregulating PXR.
AB - [This corrects the article on p. 693 in vol. 8, PMID: 29046637.].
PMID- 29377040
TI - Re: Neurology Research in Saudi Arabia: Urgent call for action.
PMID- 29377041
TI - Use of a web portal among adult clinic patients seen for type 2 diabetes
mellitus.
AB - Background: To determine the number of adult clinic patients seen for type 2
diabetes mellitus (T2DM) at an academic medical center and to examine
characteristics of those who had or had not registered for a web portal. Methods:
Electronic records were reviewed to identify web portal registration by patients
treated for T2DM by age, sex, race and Hispanic ethnicity, and service (General
Internal Medicine, Endocrinology). Results: A total of 1,401 patients with T2DM
were seen in General Internal Medicine and Endocrinology outpatient clinics. Less
than one third (32%) had registered for the web portal. Women were more likely to
have registered for the web portal than men [odds ratio (OR) =1.25; 95% CI, 0.99
1.57; P<0.063]. Black patients were less likely to have registered than whites
(OR =0.40; 95% CI, 0.31-0.51; P<0.001). Patients who were 18-25 years of age were
less likely to have registered for the web portal, along with those who were 56
to 60 and >66 years of age. In multivariate analysis, a statistically significant
association was observed between web portal registration and General Internal
Medicine clinic vs. Endocrinology clinic (OR =2.96, P<0.001). Inverse
associations were observed with age >18-25 years, male sex (adjusted OR =0.71,
P=0.006), and Black race (OR =0.33, P<0.001). Conclusions: Additional research is
needed to identify portal design features that improve glycemic control and
interventions that will increase use of patient portals, especially among Black
patients with T2DM and those with low health literacy or computer literacy.
PMID- 29377042
TI - A superhydrophobic cone to facilitate the xenomonitoring of filarial parasites,
malaria, and trypanosomes using mosquito excreta/feces.
AB - Background: Molecular xenomonitoring (MX), the testing of insect vectors for the
presence of human pathogens, has the potential to provide a non-invasive and cost
effective method for monitoring the prevalence of disease within a community.
Current MX methods require the capture and processing of large numbers of
mosquitoes, particularly in areas of low endemicity, increasing the time, cost
and labour required. Screening the excreta/feces (E/F) released from mosquitoes,
rather than whole carcasses, improves the throughput by removing the need to
discriminate vector species since non-vectors release ingested pathogens in E/F.
It also enables larger numbers of mosquitoes to be processed per pool. However,
this new screening approach requires a method of efficiently collecting E/F.
Methods: We developed a cone with a superhydrophobic surface to allow for the
efficient collection of E/F. Using mosquitoes exposed to either Plasmodium
falciparum, Brugia malayi or Trypanosoma bruceibrucei, we tested the performance
of the superhydrophobic cone alongside two other collection methods. Results: All
collection methods enabled the detection of DNA from the three parasites. Using
the superhydrophobic cone to deposit E/F into a small tube provided the highest
number of positive samples (16 out of 18) and facilitated detection of parasite
DNA in E/F from individual mosquitoes. Further tests showed that following a
simple washing step, the cone can be reused multiple times, further improving its
cost-effectiveness. Conclusions: Incorporating the superhydrophobic cone into
mosquito traps or holding containers could provide a simple and efficient method
for collecting E/F. Where this is not possible, swabbing the container or using
the washing method facilitates the detection of the three parasites used in this
study.
PMID- 29377043
TI - Serum prolactin in seizure diagnosis: Glass half-full or half-empty?
PMID- 29377044
TI - Diagnostic value of serum prolactin levels in PNES in the epilepsy monitoring
unit.
AB - Background: This is a retrospective study to determine the usefulness of serum
prolactin levels in the epilepsy monitoring unit (EMU) for distinguishing
psychogenic nonepileptic seizures (PNES) from epileptic seizures (ES). Methods:
All the records of consecutive patients admitted to the EMU between 2008 and 2012
were reviewed. Patients with a diagnosis of PNES and ES were selected. Serum
prolactin level was obtained within 20 minutes for all patients, and an elevated
prolactin level was defined as twice the patient's baseline value. A total of 607
records were reviewed, and 389 patients were excluded because of incomplete
information or lack of a clinical event. Results: A total of 218 patients were
included in the analysis. A further 18 patients were excluded because of simple
partial seizures or because the documented time of obtaining serum prolactin was
not clear. A total of 146 patients had PNES, of which 42 had elevated prolactin
levels, representing a 28.8% false-positive rate. All 22 patients with
generalized tonic-clonic seizures had elevated serum prolactin; however, 5 of 32
patients with complex partial seizures did not have an elevated prolactin level,
representing a 15.6% false-negative rate. Conclusions: Serum prolactin levels do
not provide any additional support for distinguishing PNES from ES. Furthermore,
serum prolactin levels in this setting add unnecessary blood draws and financial
burden for the patients. Therefore, prolactin levels should not be obtained
routinely in the EMU. Classification of evidence: This study provides Class III
evidence that postevent elevation of serum prolactin occurs in 84.4% of patients
with ES and 28.8% of patients with PNES.
PMID- 29377045
TI - Properties of hail storms over China and the United States from the Tropical
Rainfall Measuring Mission.
AB - A 16-yr record of hail reports over the south U.S. and from weather stations in
China are collocated with Precipitation Features (PF) derived from the Tropical
Rainfall Measuring Mission (TRMM) radar and passive microwave observations.
Differences in the way hail is reported in the two nations make it difficult to
draw meaningful conclusions about storm frequency. But taking the two together
yields a wide spectrum of hail sizes, suitable for comparing with remote sensing
measurements. While U.S. hail reports are dominated by cases with hail size
greater than 19 mm, hail reports in China mostly include diameters of 1-10 mm and
mostly occur over the Tibetan Plateau. The fraction of PFs collocated with hail
reports (hail PFs) reaches 3% in the plains of the U.S. In China, the fraction is
higher in high elevation regions than low elevation regions. Hail PFs (as
reported in the U.S.) show lower brightness temperatures, higher lightning flash
rates, stronger maximum reflectivity, and higher echo tops than those with
smaller hail, as reported in China. The average near surface maximum reflectivity
of hail PFs at high elevations (>= 2000 m) in China is about 5 dB smaller than
those at low elevations. Larger hail is reported with PFs having stronger maximum
reflectivity above 6 km, though the median of maximum reflectivity values at
levels below 5 km is similar among the storms with large and small hail sizes.
PMID- 29377050
TI - High-Pressure Water Injection Injuries of the Hand May Not Be Trivial.
AB - High-pressure water injection injuries of the hand are uncommon, and there is
limited literature to guide their treatment. The ideal management of these
injuries, whether nonoperative with close observation or early surgical
debridement, remains unknown. The authors retrospectively identified a cohort of
patients with high-pressure water injection injuries to the hand during a 16-year
period. Data collected included demographics, location of injection, hand
dominance, type of treatment, need for additional surgery, and complications. The
authors attempted to reach all patients by phone and email to assess long-term
motion loss, sensation loss, and chronic pain. Nineteen patients met the
inclusion criteria. The nondominant hand was involved in 84% and the index finger
in nearly half. Two of 10 patients in the early surgery group required additional
procedures, including a trigger finger release and serial debridements for
Pseudomonas infection. Three of 9 patients without early debridement eventually
required surgery, including debridement of a septic flexor tenosynovitis,
fingertip amputation, and metacarpophalangeal disarticulation. Sixteen percent of
patients developed infection, and 1 patient developed compartment syndrome. This
is the largest reported cohort of both operatively and nonoperatively treated
high-pressure water injection injuries to the hand. This is the first report of
amputation as a complication. Infection and delayed presentation portend a poor
outcome. Complications may arise even after early surgical debridement, and long
term sequelae are common. These injuries are not inherently benign and warrant
immediate medical attention, early antibiotics, and a low threshold for close
observation or surgical debridement. [Orthopedics. 2018; 41(2):e245-e251.].
PMID- 29377051
TI - Scientific Misconduct (Fraud) in Medical Writing.
AB - Scientific misconduct (fraud) in medical writing is an important and not
infrequent problem for the scientific community. Although noteworthy examples of
fraud surface occasionally in the media, detection of fraud in medical publishing
is generally not as straightforward as one might think. National bodies on ethics
in science, strict selection criteria, a robust peer-review process, careful
statistical validation, and anti-plagiarism and image-fraud detection software
contribute to the production of high-quality manuscripts. This article reviews
the various types of fraud in medical writing, discusses the related literature,
and describes tools journals implement to unmask fraud. [Orthopedics. 2018;
41(2):e176-e183].
PMID- 29377052
TI - Long-term Outcomes of Partial Trapeziectomy With Capsular Interposition
Arthroplasty for Osteoarthritis of the Thumb Basal Joint.
AB - The purpose of this study was to describe long-term outcomes of partial
trapeziectomy with capsular interposition (PTCI) arthroplasty for patients with
osteoarthritis of the basal joint of the thumb. A total of 27 patients (20 women,
7 men; 32 thumbs) with a mean age of 61 years (range, 47-74 years) agreed to
return for follow-up and were included in the study. Mean postoperative follow-up
was 64.3 months (range, 28-112 months). Evaluation included tests for grip and
pinch strength; range of motion of the metacarpophalangeal joint; measurement of
the first web space; completion of the Disabilities of the Arm, Shoulder and Hand
(DASH) questionnaire; visual analog scale (VAS) measurements; and radiographic
examination of the hand. A paired, 2-tailed t test was used to determine
statistical significance (P<.05) of pre- and postoperative values. Postoperative
values for grip strength were significantly increased from preoperative values.
No significant loss of pinch strength was noted. Excessive hyperextension of the
metacarpophalangeal joint did not occur, and the first web space was maintained.
The mean DASH questionnaire and VAS scores were 5.06 (range, 0-26.5) and 0.32,
respectively. Use of PTCI arthroplasty resulted in minimal loss in thumb height
(7%) and significantly reduced thumb metacarpal subluxation (13%). There were no
reported complications. The low DASH questionnaire and VAS scores compare well
with other studies and indicate good functional outcomes. In treating thumb basal
joint osteoarthritis, use of PTCI arthroplasty may result in improved thumb
stability and grip strength, minimal subsidence of the thumb metacarpal, and
reduced joint subluxation. [Orthopedics. 2018; 41(2):e228-e233.].
PMID- 29377053
TI - Factors Associated With Failure of Hip Arthroscopy in Patients With Hip
Dysplasia.
AB - The purpose of this study was to compare a group of dysplastic hips treated
successfully with hip arthroscopy with a group of dysplastic hips treated
unsuccessfully with hip arthroscopy to determine (1) preoperative patient
characteristics and radiographic parameters and (2) intraoperative findings and
treatment associated with outcome. The authors retrospectively reviewed a
prospective database of 20 adult patients (17 female, 3 male) with hip dysplasia
who underwent primary hip arthroscopy between January 2009 and February 2013.
Modified Beck scores to quantify cartilage damage as well as preoperative and
postoperative radiographic measurements (including alpha, Tonnis, and lateral
center edge angles [LCEAs]) were compared between patients who failed hip
arthroscopy (11 patients) and those who did not (9 patients). Failure after hip
arthroscopy was defined as a modified Harris hip score of less than 80 or the
need for subsequent hip arthroscopy, arthroplasty, or periacetabular osteotomy.
The mean follow-up for the successful patients was 58 months (range, 37-82
months), with an average modified Harris hip score of 93 at most recent follow
up. Preoperative radiographs showed a lower mean LCEA (18.0 degrees vs 21.3
degrees ; P=.02) in the failure group, and all successes occurred with a LCEA of
17 degrees or greater. The failure group was more likely to have rim resection
of greater than 3 mm performed (hazard ratio, 3.53; P=.04). Among the hips with
dysplasia undergoing arthroscopic treatment, patients with a poor outcome were
more likely to have an LCEA of less than 17 degrees and intraoperative rim
resection of greater than 3 mm. Furthermore, the labral repair group did
substantially better than the labral debridement group. [Orthopedics. 2018;
41(2):e234-e239.].
PMID- 29377054
TI - Mechanical Testing of Epiphysiodesis Screws.
AB - Epiphysiodesis is performed to treat leg-length discrepancies and angular
deformities in children. However, when placed across a physis to modulate growth,
screws can bend or break postoperatively. This study evaluated the mechanical
properties of 3 different screw designs commonly used when performing an
epiphysiodesis. Six 4.0-mm cannulated, fully threaded; six 4.0-mm cannulated,
partially threaded; and six 4.0-mm noncannulated, partially threaded cancellous
screws underwent cantilever bending and tension testing in a simulated physis.
All screws were tested in simulated cancellous bone foam blocks. All testing was
performed using a servo-hydraulic testing machine to determine stiffness and
ultimate load. For statistical analysis, one-way analysis of variance with
Tukey's honestly significant difference test in post hoc analysis was used to
assess significant differences among groups (P<.05). The noncannulated, partially
threaded screws had a significantly lower stiffness than the 2 cannulated screw
types in the tension test (P<.001) and bending test (P<.001). Additionally, the
noncannulated, partially threaded screws had significantly higher ultimate load
to failure than the 2 cannulated screw types in the tension test (P<.001) and the
cannulated, partially threaded screws in the bending test (P=.045). The results
indicate that noncannulated, partially threaded screws have a higher ultimate
load capacity and are less stiff than both cannulated, partially threaded screws
and cannulated, fully threaded screws. Surgeons should take into consideration
that noncannulated, partially threaded screws are less likely to fail following
epiphysiodesis. [Orthopedics. 2018; 41(2):e240-e244.].
PMID- 29377055
TI - Outcomes of Cementless Total Knee Arthroplasty in Patients With Rheumatoid
Arthritis.
AB - The objective of this study was to evaluate implant survivorship, clinical
outcomes, postoperative complications, and radiographic outcomes of cementless
total knee arthroplasty (TKA) in patients who have rheumatoid arthritis (RA).
Patients who underwent a primary cementless posterior-stabilized TKA and who had
RA were reviewed. A total of 126 TKAs in 122 patients who had a mean follow-up of
4 years were analyzed. Implant survivorship was calculated. Postoperative
clinical and radiographic follow-up was performed at approximately 6 weeks and 3
months and then annually. Changes in range of motion and Knee Society scores were
noted. Radiographic evaluation was conducted as part of the follow-up process.
Implant survivorship was 99.2%, with 1 aseptic failure. At final follow-up, mean
extension and flexion were 2 degrees (range, 0 degrees -10 degrees ) and 124
degrees (range, 95 degrees -140 degrees ), respectively. Mean Knee Society pain
and function scores were 92 points (range, 80-100 points) and 84 points (range,
70-90 points), respectively. There were no surgical complications. No progressive
radiolucencies, loosening, or subsidence were noted except from the single
aseptic failure reported. This study reports excellent survivorship and clinical
and radiographic outcomes of cementless TKAs in RA patients. Although the
decision regarding whether to use cemented or cementless TKAs in these patients
should be based on surgeon experience and patient characteristics, the recent
advances in implant fixation of cementless TKAs indicate no salient
contraindications for RA patients. [Orthopedics. 2018; 41(2):103-106.].
PMID- 29377056
TI - Determination of the maximum tolerated dose of intranasal sufentanil and
midazolam in Chinese: a pilot study.
AB - BACKGROUND: The purpose of this study was to determine the maximum tolerated dose
(MTD, the dose of causing 10% respiratory depression) of intranasal sufentanil
(SUF) and midazolam (MID) for sedation during gastroscopy by continual
reassessment method (CRM). METHODS: Patients (18-65 years old) scheduled for
gastroscopy were recruited in this study. Subjects received intranasal SUF and
MID for sedation. The dose of MID (5 mg) was fixed, while the dose of SUF was
increased progressively (six incremental doses ranging from 0-0.60 MUg/kg, n = 3
for each dose). The first cohort received a conservative, predetermined dose of 5
mg MID and 0 MUg/kg SUF, subsequent cohorts received doses of SUF that were
determined by the responses of all previous patients using Bayesian-based
software. The dose allocated to the next cohort is the one with an updated
posterior response probability closest to 10%. RESULTS: Thirty Chinese patients
scheduled for gastroscopy were included. Probability of respiratory depression at
each dose was as follows: 5 mg MID + 0 MUg/kg SUF, 0.4%; 5 mg MID + 0.1 MUg/kg
SUF, 0.8%; 5 mg MID + 0.2 MUg/kg SUF, 1.8%; 5 mg MID + 0.3 MUg/kg SUF, 3.7%; 5 mg
MID + 0.4 MUg/kg SUF, 9.9%; 5 mg MID + 0.5 MUg/kg SUF, 17.8%; 5 mg MID + 0.6
MUg/kg SUF, 36.0%. CONCLUSION: The MTD of intranasal MID and SUF for sedation
during gastroscopy causing 10% respiratory depression is 5 mg MID + 0.4 MUg/kg
SUF, based on CRM.
PMID- 29377057
TI - Digital Therapeutics: An Integral Component of Digital Innovation in Drug
Development.
AB - Digital therapeutics represent a new treatment modality in which digital systems
such as smartphone apps are used as regulatory-approved, prescribed therapeutic
interventions to treat medical conditions. In this article we provide a critical
overview of the rationale for investing in such novel modalities, including the
unmet medical needs addressed by digital therapeutics and the potential for
reducing current costs of medical care. We also discuss emerging pathways to
regulatory approval and how innovative business models are enabling further
growth in the development of digital therapeutics. We conclude by providing some
recent examples of digital therapeutics that have gained regulatory approval and
highlight opportunities for the near future.
PMID- 29377058
TI - A simplified guide to randomized controlled trials.
AB - A randomized controlled trial is a prospective, comparative, quantitative
study/experiment performed under controlled conditions with random allocation of
interventions to comparison groups. The randomized controlled trial is the most
rigorous and robust research method of determining whether a cause-effect
relation exists between an intervention and an outcome. High-quality evidence can
be generated by performing an randomized controlled trial when evaluating the
effectiveness and safety of an intervention. Furthermore, randomized controlled
trials yield themselves well to systematic review and meta-analysis providing a
solid base for synthesizing evidence generated by such studies. Evidence-based
clinical practice improves patient outcomes and safety, and is generally cost
effective. Therefore, randomized controlled trials are becoming increasingly
popular in all areas of clinical medicine including perinatology. However,
designing and conducting an randomized controlled trial, analyzing data,
interpreting findings and disseminating results can be challenging as there are
several practicalities to be considered. In this review, we provide simple
descriptive guidance on planning, conducting, analyzing and reporting randomized
controlled trials.
PMID- 29377059
TI - Decoding diagnosis and lifetime consumption in alcohol dependence from grey
matter pattern information.
AB - OBJECTIVE: We investigated the potential of computer-based models to decode
diagnosis and lifetime consumption in alcohol dependence (AD) from grey-matter
pattern information. As machine-learning approaches to psychiatric neuroimaging
have recently come under scrutiny due to unclear generalization and the opacity
of algorithms, our investigation aimed to address a number of methodological
criticisms. METHOD: Participants were adult individuals diagnosed with AD (N =
119) and substance-naive controls (N = 97) ages 20-65 who underwent structural
MRI. Machine-learning models were applied to predict diagnosis and lifetime
alcohol consumption. RESULTS: A classification scheme based on regional grey
matter attained 74% diagnostic accuracy and predicted lifetime consumption with
high accuracy (r = 0.56, P < 10-10 ). A key advantage of the classification
scheme was its algorithmic transparency, revealing cingulate, insular and
inferior frontal cortices as important brain areas underlying classification.
Validation of the classification scheme on data of an independent trial was
successful with nearly identical accuracy, addressing the concern of
generalization. Finally, compared to a blinded radiologist, computer-based
classification showed higher accuracy and sensitivity, reduced age and gender
biases, but lower specificity. CONCLUSION: Computer-based models applied to whole
brain grey-matter predicted diagnosis and lifetime consumption in AD with good
accuracy. Computer-based classification may be particularly suited as a screening
tool with high sensitivity.
PMID- 29377060
TI - Battles Worth Fighting.
PMID- 29377061
TI - Individualized lung recruitment maneuver guided by pulse-oximetry in anesthetized
patients undergoing laparoscopy: a feasibility study.
AB - BACKGROUND: We conducted this study to test whether pulse-oximetry hemoglobin
saturation (SpO2 ) can personalize the implementation of an open-lung approach
during laparoscopy. Thirty patients with SpO2 >= 97% on room-air before
anesthesia were studied. After anesthesia and capnoperitoneum the FIO2 was
reduced to 0.21. Those patients whose SpO2 decreased below 97% - an indication of
shunt related to atelectasis - completed the following phases: (1) First
recruitment maneuver (RM), until reaching lung's opening pressure, defined as the
inspiratory pressure level yielding a SpO2 >= 97%; (2) decremental positive end
expiratory (PEEP) titration trial until reaching lung's closing pressure defined
as the PEEP level yielding a SpO2 < 97%; (3) second RM and, (4) ongoing
ventilation with PEEP adjusted above the detected closing pressure. RESULTS: When
breathing air, in 24 of 30 patients SpO2 was < 97%, PaO2 /FIO2 ? 53.3 kPa and
negative end-expiratory transpulmonary pressure (PTP-EE ). The mean (SD) opening
pressures were found at 40 (5) and 33 (4) cmH2 O during the first and second RM,
respectively (P < 0.001; 95% CI: 3.2-7.7). The closing pressure was found at 11
(5) cmH2 O. This SpO2 -guided approach increased PTP-EE (from -6.4 to 1.2 cmH2 O,
P < 0.001) and PaO2 /FIO2 (from 30.3 to 58.1 kPa, P < 0.001) while decreased
driving pressure (from 18 to 10 cmH2 O, P < 0.001). SpO2 discriminated the lung's
opening and closing pressures with accuracy taking the reference parameter PTP-EE
(area under the receiver-operating-curve of 0.89, 95% CI: 0.80-0.99). CONCLUSION:
The non-invasive SpO2 monitoring can help to individualize an open-lung approach,
including all involved steps, from the identification of those patients who can
benefit from recruitment, the identification of opening and closing pressures to
the subsequent monitoring of an open-lung condition.
PMID- 29377062
TI - Adverse effects of anti-epileptics in trigeminal neuralgiform pain.
AB - BACKGROUND: Side effects of anti-epileptic drugs (AEDs) have not been adequately
documented in trigeminal neuralgia and its variants. The aim of this
observational cross-sectional study was to compare the A-B Neuropsychological
Assessment Schedule (ABNAS), which measures cognitive side effects to the Adverse
Events Profile (AEP), which looks at a broader range of side effects, and to
investigate drug/dosage relationships with questionnaire scores to help determine
a point at which a drug change would be indicated. METHODS: One hundred five
patients were recruited from a facial pain clinic, over a 10-month period. Self
complete questionnaire scores were compared between patients using different
AEDs. RESULTS: A-B Neuropsychological Assessment Schedule score correlated well
with AEP indicating that cognitive side effects were a significant burden. Toxic
range on the ABNAS was estimated to occur when scores were >43/72 (95% CI: 37.4
48.6). Polytherapy is weakly associated with the higher scores. Oxcarbazepine
dosage was found to linearly correlate with AEP and ABNAS scores, better than
carbamazepine dosage. Memory alteration was least common with lamotrigine and
oxcarbazepine, and there was less association between fatigues with
oxcarbazepine/pregabalin. CONCLUSION: Anti-epileptic drugs have significant side
effects. The ABNAS questionnaire is a useful tool along with the AEP to recognize
and monitor AEDs' side effects and to help to adjust medications to optimal
dosage.
PMID- 29377063
TI - Measurement of Typhi Vi antibodies can be used to assess adaptive immunity in
patients with immunodeficiency.
AB - Vaccine-specific antibody responses are essential in the diagnosis of antibody
deficiencies. Responses to Pneumovax II are used to assess the response to
polysaccharide antigens, but interpretation may be complicated. Typhim Vi(r) , a
polysaccharide vaccine for Salmonella typhoid fever, may be an additional option
for assessing humoral responses in patients suspected of having an
immunodeficiency. Here we report a UK multi-centre study describing the
analytical and clinical performance of a Typhi Vi immunoglobulin (Ig)G enzyme
linked immunosorbent assay (ELISA) calibrated to an affinity-purified Typhi Vi
IgG preparation. Intra- and interassay imprecision was low and the assay was
linear, between 7.4 and 574 U/ml (slope = 0.99-1.00; R2 > 0.99); 71% of blood
donors had undetectable Typhi Vi IgG antibody concentrations. Of those with
antibody concentrations > 7.4 U/ml, the concentration range was 7.7-167 U/ml. In
antibody-deficient patients receiving antibody replacement therapy the median
Typhi Vi IgG antibody concentrations were < 25 U/ml. In vaccinated normal
healthy volunteers, the median concentration post-vaccination was 107 U/ml (range
31-542 U/ml). Eight of eight patients (100%) had post-vaccination concentration
increases of at least threefold and six of eight (75%) of at least 10-fold. In an
antibody-deficient population (n = 23), only 30% had post-vaccination
concentration increases of at least threefold and 10% of at least 10-fold. The
antibody responses to Pneumovax II and Typhim Vi(r) correlated. We conclude that
IgG responses to Typhim Vi(r) vaccination can be measured using the VaccZyme
Salmonella typhi Vi IgG ELISA, and that measurement of these antibodies maybe a
useful additional test to accompany Pneumovax II responses for the assessment of
antibody deficiencies.
PMID- 29377065
TI - The effects of added whey protein to a pre-operative carbohydrate drink on
glucose and insulin response.
AB - BACKGROUND: Pre-operative complex carbohydrate (CHO) drinks are recommended to
attenuate post-operative insulin resistance. However, many institutions use
simple CHO drinks, which while convenient, may have less metabolic effects. Whey
protein may enhance insulin release when added to complex CHO. The aim of this
study was to compare the insulin response to simple CHO vs. simple CHO
supplemented with whey protein. METHODS: Twelve healthy volunteers participated
in this double-blinded, within subject, cross-over design study investigating
insulin response to simple CHO drink vs. simple CHO + whey (CHO + W) drink. The
primary outcome was the accumulated insulin response during 180 min after
ingestion of the drinks (Area under the curve, AUC). Secondary outcomes included
plasma glucose and ghrelin levels, and gastric emptying rate estimated by
acetaminophen absorption technique. Data presented as mean (SD). RESULTS: There
was no differences in accumulated insulin response after the CHO or CHO + W
drinks [AUC: 15 (8) vs. 20 (14) nmol/l, P = 0.27]. Insulin and glucose levels
peaked between 30 and 60 min and reached 215 (95) pmol/l and 7 (1) mmol/l after
the CHO drink and to 264 (232) pmol/l and 6.5 (1) mmol/l after the CHO + W drink.
There were no differences in glucose or ghrelin levels or gastric emptying with
the addition of whey. CONCLUSION: The addition of whey protein to a simple CHO
drink did not change the insulin response in healthy individuals. The peak
insulin responses to simple CHO with or without whey protein were lower than that
previously reported with complex CHO drinks. The impact of simple carbohydrate
drinks with lower insulin response on peri-operative insulin sensitivity requires
further study.
PMID- 29377066
TI - A triple-blind, placebo-controlled randomised trial of the ilioinguinal
transversus abdominis plane (I-TAP) nerve block for elective caesarean section.
AB - This study investigated the efficacy of a new ilioinguinal-transversus abdominis
plane block when used as a component of multimodal analgesia. We conducted a
prospective, triple-blind, placebo-controlled randomised study of 100 women
undergoing elective caesarean section. All women had spinal anaesthesia with
hyperbaric bupivacaine, 15 MUg fentanyl and 150 MUg morphine, as well as 100 mg
diclofenac and 1.5 g paracetamol rectally. Women were randomly allocated to
receive the ilioinguinal-transversus abdominis plane block or a sham block at the
end of surgery. The primary outcome was the difference in fentanyl patient
controlled analgesia dose at 24 h. Secondary outcomes included postoperative pain
scores, adverse effects and maternal satisfaction. The cumulative mean (95%CI)
fentanyl dose at 24 h was 71.9 (55.6-92.7) MUg in the ilioinguinal-transversus
abdominis group compared with 179.1 (138.5-231.4) MUg in the control group (p <
0.001). Visual analogue scale pain scores averaged across time-points were 1.9
(1.5-2.3) mm vs. 5.0 (4.3-5.9) mm (p = 0.006) at rest, and 4.7 (4.1-5.5) mm vs.
11.3 (9.9-13.0) mm (p = 0.001) on movement, respectively. Post-hoc analysis
showed that the ilioinguinal-transversus abdominis group was less likely to use
>= 1000 MUg fentanyl compared with the control group (2% vs. 16%; p = 0.016).
There were no differences in opioid-related side-effects or maternal satisfaction
with analgesia. The addition of the ilioinguinal-transversus abdominis plane
block provides superior analgesia to our usual multimodal analgesic regimen.
PMID- 29377064
TI - Benefit of Preemptive Pharmacogenetic Information on Clinical Outcome.
AB - The development of new knowledge around the genetic determinants of variable drug
action has naturally raised the question of how this new knowledge can be used to
improve the outcome of drug therapy. Two broad approaches have been taken: a
point-of-care approach in which genotyping for specific variant(s) is undertaken
at the time of drug prescription, and a preemptive approach in which multiple
genetic variants are typed in an individual patient and the information archived
for later use when a drug with a "pharmacogenetic story" is prescribed. This
review addresses the current state of implementation, the rationale for these
approaches, and barriers that must be overcome. Benefits to pharmacogenetic
testing are only now being defined and will be discussed.
PMID- 29377067
TI - Bone marrow biopsies not indicated for response assessment in follicular lymphoma
trials regardless of type of imaging study - Response to Adams and Kwee.
PMID- 29377068
TI - Dissection of a circulating CD3+ CD20+ T cell subpopulation in patients with
psoriasis.
AB - CD3+ CD20+ T cells are a population of CD3+ T cells that express CD20 and
identified in healthy donors and autoimmune diseases. However, the nature and
role of these cells in patients with psoriasis remain unclear. In this study, we
aimed to investigate the level, phenotype, functional and clinical relevance of
CD3+ CD20+ T cells in the peripheral blood of patients with psoriasis. We found
that a small subset of CD3+ T cells expressed CD20 molecule in the peripheral
blood of patients with psoriasis, and their levels were similar to those in
healthy donors. Circulating CD3+ CD20+ T cells in patients with psoriasis were
enriched in CD4+ cells and displayed an activated effector phenotype, as these
cells contained fewer CD45RA+ -naive and CCR7+ cells with increased activity than
those of CD3+ T cells lacking CD20. In addition, compared with healthy donors,
circulating CD3+ CD20+ T cells in patients with psoriasis produced more
cytokines, interleukin (IL)-17A, tumour necrosis factor (TNF)-alpha and IL-21,
but not IL-4 and IFN-gamma. Furthermore, a significantly positive correlation was
found between the levels of IL-17A, TNF-alpha and IL-21-production CD3+ CD20+ T
cells with Psoriasis Area and Severity Index scores. Our findings suggest that
CD3+ CD20+ T cells may play a role in the pathogenesis of psoriasis.
PMID- 29377069
TI - Megakaryocytes harbour the del(5q) abnormality despite complete clinical and
cytogenetic remission induced by lenalidomide treatment.
AB - The mechanisms underlying lenalidomide-resistance of del(5q) MDS stem cells
remain to be elucidated and may include cell-intrinsic as well as
microenvironmental causes. Abnormal hypolobated megakaryocytes constitute one of
the hallmarks of del(5q) MDS. We hypothesized that these cells have potential
implications for the regulation of haematopoietic stem cells (HSC) similarly to
what has recently been described for megakaryocytes in the murine system.
Therefore, we conducted a study to determine the response of abnormal hypolobated
megakaryocytes to lenalidomide therapy. We studied lenalidomide-treated patients
in the MDS-004 trial as well as a cohort seen at our institution. Morphological
evaluation at time of complete cytogenetic remission (CCyR) demonstrated the
persistence of hypolobated megakaryocytes in all evaluable patients (n = 9).
Furthermore, we provide evidence that the abnormal hypolobated morphology is
restricted to del(5q) megakaryocytes, both at diagnosis and during CCyR. Using
fluorescence in situ hybridisation analysis on flow-sorted stem- and progenitor
populations, we observed a similar degree of clonal involvement in megakaryocyte
erythroid-progenitors as in HSC. Taken together, our findings suggest that
megakaryocyte morphology might aid in the evaluation of patients where
discontinuation of lenalidomide is considered and offers interesting hypotheses
for further investigation of lenalidomide resistance.
PMID- 29377070
TI - Factors influencing risk-based care of the childhood cancer survivor in the 21st
century.
AB - The population of adult survivors of childhood cancer continues to grow as
survival rates improve. Although it is well established that these survivors
experience various complications and comorbidities related to their malignancy
and treatment, this risk is modified by many factors that are not directly linked
to their cancer history. Research evaluating the influence of patient-specific
demographic and genetic factors, premorbid and comorbid conditions, health
behaviors, and aging has identified additional risk factors that influence cancer
treatment-related toxicity and possible targets for intervention in this
population. Furthermore, although current long-term follow-up guidelines
comprehensively address specific therapy-related risks and provide screening
recommendations, the risk profile of the population continues to evolve with
ongoing modification of treatment strategies and the emergence of novel
therapeutics. To address the multifactorial modifiers of cancer treatment-related
health risk and evolving treatment approaches, a patient-centered and risk
adapted approach to care that often requires a multidisciplinary team approach,
including medical and behavioral providers, is necessary for this population. CA
Cancer J Clin 2018;68:133-152. (c) 2018 American Cancer Society.
PMID- 29377071
TI - How I manage red cell transfusions in patients with sickle cell disease.
AB - Sickle cell disease is one of the commonest serious inherited diseases in the
world, and red cell transfusion is still one of the few effective treatments for
acute and chronic complications. Transfusion corrects anaemia and dilutes out the
number of red cells able to cause vaso-occlusion and vascular damage. Urgent red
cell transfusions are used to correct acute anaemia, treat acute chest syndrome
and patients with acute neurological symptoms. We use elective transfusions
preoperatively for moderate risk surgery, and in some pregnant women. There is
good evidence for the use of long-term regular transfusions in primary stroke
prevention, with the aim of keeping the percentage of sickle haemoglobin below
30%. Long-term transfusions are also used in secondary stroke prevention, and the
management of progressive organ damage, including renal impairment and pulmonary
hypertension. Blood needs to be matched for ABO, RH and Kell, although
alloantibodies may still develop and require more careful, extended cross
matching. Delayed haemolytic transfusion reactions are relatively common,
difficult to diagnose and manage, and potentially fatal.
PMID- 29377072
TI - The effect of interleukin-8 truncations on its interactions with
glycosaminoglycans.
AB - The chemokine interleukin-8 (IL-8, CXCL8) plays an important role in inflammatory
processes and consecutive wound healing. It recruits primarily neutrophils to
infection sites and stimulates their degranulation and phagocytosis in effector
cells. IL-8 binds glycosaminoglycans (GAGs), a class of complex linear anionic
polysaccharides often organized into diversely sulfated micro-domains, that
enriches the protein concentration locally and so facilitate the formation of
stable concentration gradients. In this study, we applied experimental and
computational techniques to investigate the binding of wild type and truncated IL
8 variants to natural and chemically modified GAGs to gain further insight into
the IL-8/GAG interaction. Circular dichroism spectroscopy of IL-8 variants did
not reveal major structural changes upon GAG binding. Heparin affinity
chromatography clearly demonstrates that gradual truncation of the C-terminal
helix leads to decreasing affinities. Similarly, surface plasmon resonance
indicates participation of both IL-8 termini in GAG binding, which strength is
dependent on GAG sulfation degree. Molecular modeling suggests that C-terminal
truncation of IL-8 weakens the interaction with GAGs by an alteration of IL-8 GAG
binding site. Our study provides more detailed understanding of the IL-8/GAG
interaction and contributes to the data of potential use for the development of
biomedical implications in tissue regeneration.
PMID- 29377073
TI - No difference in ultraviolet B-induced changes in antigen-presenting cells and
cytokines between patients with and without loss-of-function mutations in FLG.
PMID- 29377074
TI - A case of facial burn due to the misuse of garlic face mask for acne.
PMID- 29377075
TI - Factors Associated With Postmarketing Research for Approved Indications for Novel
Medicines Approved by Both the FDA and EMA Between 2005 and 2010: A Multivariable
Analysis.
AB - We examined whether drug-related characteristics-conditions, development,
manufacturers, revenues-were associated with postmarketing research in terms of
the number of trials and total population to be enrolled. We included 63 drugs,
corresponding to 3,867 postmarketing trials of approved indications. On
multivariable analysis, both the number of postmarketing trials and population to
be enrolled were associated with expected length of treatment (ratio of means
(RoM) = 2.35 and RoM = 8.65) and number of patients in pivotal trials (RoM = 1.11
and RoM = 1.25 per thousand patients). The number of postmarketing trials was
increased for drugs approved with surrogate endpoints (RoM = 2.19), generating
high revenues (RoM = 1.08 per billion dollars) and addressing greater disease
burden (RoM = 1.90 per hundred million disability-adjusted life years). The
population to be included was increased for drugs approved after an increased
number of pivotal trials (RoM = 1.82) and those unaffected by safety concerns
(RoM = 2.63). Postmarketing trials seem to be driven both by medical and market
factors.
PMID- 29377076
TI - The CDK inhibitor purvalanol A induces neutrophil apoptosis and increases the
turnover rate of Mcl-1: potential role of p38-MAPK in regulation of Mcl-1
turnover.
AB - Human neutrophils are terminally differentiated cells that do not replicate and
yet express a number of enzymes, notably cell cycle-dependent kinases (CDKs),
that are associated normally with control of DNA synthesis and cell cycle
progression. In neutrophils, CDKs appear to function mainly to regulate
apoptosis, although the mechanisms by which they regulate this process are
largely unknown. Here we show that the CDK2 inhibitor, purvalanol A, induces a
rapid decrease in myeloid cell leukaemia factor-1 (Mcl-1) levels in human
neutrophils and peripheral blood mononuclear cells (PBMCs), but only induces
apoptosis in neutrophils which are dependent upon expression on this protein for
survival. This rapid decrease in cellular Mcl-1 protein levels was due to a
purvalanol A-induced decrease in stability, with the half-life of the protein
decreasing from approximately 2 h in control cells to just over 1 h after
addition of the CDK2 inhibitor: it also blocked the granulocyte-macrophage colony
stimulating factor (GM-CSF)-dependent stabilization of Mcl-1. Purvanalol A
blocked GM-CSF-stimulated activation of extracellular-regulated kinase (Erk) and
signal transducer and activator of transcription (STAT)-3, and stimulated an
additive activation of protein kinase B (Akt) with GM-CSF. Purvalanol A alone
stimulated a rapid and sustained activation of p38-mitogen-activated protein
kinase (MAPK) and the pan p38-MAPK inhibitor, BIRB796, partly blocked the
purvalanol A-induced apoptosis and Mcl-1 loss. These novel effects of purvalanol
A may result, at least in part, from blocking GM-CSF-mediated Erk activation. In
addition, we propose that purvalanol A-induced activation of p38-MAPK is, at
least in part, responsible for its rapid effects on Mcl-1 turnover and
acceleration of neutrophil apoptosis.
PMID- 29377077
TI - Population PK and Exposure-Response Relationships for the Antibody-Drug Conjugate
Brentuximab Vedotin in CTCL Patients in the Phase III ALCANZA Study.
AB - The antibody-drug conjugate (ADC) brentuximab vedotin consists of the CD30
directed antibody attached to the microtubule-disrupting agent monomethyl
auristatin E (MMAE). In pharmacokinetic models, including data from six studies
(380 patients with classical Hodgkin's, systemic anaplastic large-cell, and
cutaneous T-cell (CTCL) lymphomas), lower clearance of ADC and modestly higher
ADC exposure in CTCL patients did not translate into higher MMAE exposure. In
CTCL patients from the phase III ALCANZA study (n = 66), improved progression
free survival with brentuximab vedotin vs. controls was not related to ADC
exposure. ADC exposure was a predictor of grade >=3 treatment-emergent adverse
events (TEAEs). Results support the consistent benefit observed with brentuximab
vedotin 1.8 mg/kg every 3 weeks across the range of exposures in ALCANZA and
support dose reductions in patients experiencing TEAEs at the starting dose.
PMID- 29377078
TI - Impact of climate change on dermatological conditions related to flooding: update
from the International Society of Dermatology Climate Change Committee.
AB - Climate change contributes to the increase in severity and frequency of flooding,
which is the most frequent and deadly disaster worldwide. Flood-related damage
can be very severe and include health effects. Among those health impacts,
dermatological diseases are one of the most frequently encountered. Both
infectious and noninfectious dermatological conditions are increasing after
flooding. We searched PubMed using the search term climate change OR global
warming OR rainfall OR flooding OR skin. Articles published in the English
language literature were included. We also searched the International Society of
Dermatology website library on climate change for additional articles. There is
an increased risk of trauma during the course of a natural disaster. The majority
of post-tsunami wound infections were polymicrobial, but gram-negative bacteria
were the leading causes. Infectious diseases with dermatological manifestations,
such as impetigo, leptospirosis, measles, dengue fever, tinea corporis, malaria,
and leishmaniasis, are important causes of morbidity among flood-afflicted
individuals. Insect bites and stings, and parasite infestations such as scabies
and cutaneous larva migrans are also frequently observed. Inflammatory conditions
including irritant contact dermatitis are among the leading dermatological
conditions. Dermatological conditions such as alopecia areata, vitiligo,
psoriasis, and urticaria can be induced or exacerbated by psychological
conditions post disaster. Prevention is essential in the management of skin
diseases because of flooding. Avoiding exposure to contaminated environments,
wearing protective devices, rapid provision of clean water and sanitation
facilities, prompt vector controls, and education about disease risk and
prevention are important.
PMID- 29377080
TI - Topical benzydamine hydrochloride for prevention of postoperative sore throat in
adults undergoing tracheal intubation for elective surgery: a systematic review
and meta-analysis.
AB - Postoperative sore throat has a negative impact on patient satisfaction and
recovery. Benzydamine hydrochloride is a non-steroidal anti-inflammatory drug
available for topical use. We performed a systematic review and meta-analysis to
assess the efficacy and safety of topical application of benzydamine to prevent
postoperative sore throat in adults undergoing elective surgery under general
anaesthesia. We searched PubMed, EMBASE, Web of Science and the Cochrane Central
Register of Controlled Trials to identify relevant randomised controlled trials
and pooled the data using a random effects model. The primary outcomes were the
incidence and severity of sore throat 24 h after surgery/extubation, and adverse
events. The quality of evidence was assessed using the grading of
recommendations, assessment, development and evaluation (GRADE) criteria.
Thirteen randomised controlled trials involving 1842 patients were included.
Compared with control patients who did not receive analgesia, benzydamine was
associated with a decreased incidence of postoperative sore throat, with a risk
ratio (95%CI) of 0.31 (0.20-0.47), but not with significantly reduced severity,
the standardised mean difference (95%CI) being -0.27 (-0.63 to 0.08). There were
no significant adverse events related to benzydamine. Benzydamine was also
associated with a reduced incidence of postoperative sore throat when compared
with lidocaine, with a risk ratio (95%CI) of 0.18 (0.07-0.43). We judged the
evidence for the outcome 'incidence of postoperative sore throat' as high
quality.
PMID- 29377079
TI - Incidence of diseases primarily affecting the skin by age group: population-based
epidemiologic study in Olmsted County, Minnesota, and comparison with age
specific incidence rates worldwide.
AB - Understanding the effects of age on the epidemiology of diseases primarily
affecting the skin is important to the practice of dermatology, both for proper
allocation of resources and for optimal patient-centered care. To fully
appreciate the effect that age may have on the population-based calculations of
incidence of diseases primarily affecting the skin in Olmsted County, Minnesota,
and worldwide, we performed a review of all relevant Rochester Epidemiology
Project-published data and compared them to similar reports in the worldwide
English literature. Using the Rochester Epidemiology Project, population-based
epidemiologic studies have been performed to estimate the incidence of specific
skin diseases over the past 50 years. In older persons (>65 years), nonmelanoma
skin cancer, lentigo maligna, herpes zoster, delusional infestation, venous
stasis syndrome, venous ulcer, and burning mouth syndrome were more commonly
diagnosed. In those younger than 65 years, atypical nevi, psoriatic arthritis,
pityriasis rosea, herpes progenitalis, genital warts, alopecia areata,
hidradenitis suppurativa, infantile hemangioma, Behcet's disease, and sarcoidosis
(isolated cutaneous, with sarcoidosis-specific cutaneous lesions and with
erythema nodosum) had a higher incidence. Many of the incidence rates by age
group of diseases primarily affecting the skin derived from the Rochester
Epidemiology Project were similar to those reported elsewhere.
PMID- 29377081
TI - Three-dimensional genome architecture in health and disease.
AB - More than a decade of massive DNA sequencing efforts have generated a large body
of genomic, transcriptomic and epigenomic information that has provided a more
and more detailed view of the functional elements and transactions within the
human genome. Considerable efforts have also focused on linking these elements
with one another by mapping their interactions and by establishing 3-dimensional
(3D) genomic landscapes in various cell and tissue types. In parallel, multiple
studies have associated genomic deletions, duplications and other rearrangements
with human pathologies. In this review, we explore recent progresses that have
allowed connecting disease-causing alterations with perturbations of the 3D
genome organization.
PMID- 29377082
TI - Genetic diversity and toxin gene distribution among serovars of Actinobacillus
pleuropneumoniae from Australian pigs.
AB - OBJECTIVE: To explore the diversity among isolates of the Actinobacillus
pleuropneumoniae serovars most common in Australia (serovars 1, 5, 7 and 15) and
to examine the Apx toxin profiles in selected representative isolates. DESIGN: A
total of 250 isolates selected from different farms were examined for their
genotypic profiles and a subset of 122 isolates for their toxin profiles.
METHODS: The isolates of serovars 1, 5, 7 and 15 selected for this study came
from different farms and different Australian states and were submitted for
serotyping to the reference laboratory. The overall diversity of the strains was
explored with the enterobacterial repetitive intergenic consensus (ERIC) PCR and
the presence of the toxin genes was investigated with a toxin PCR assay. RESULTS:
Some degree of variation was observed in the ERIC-PCR pattern within all four
serovars, ranging from 38% to 61% genetic diversity. When looking at the toxin
gene profile and, therefore, the predicted ability to produce the expected toxin
pattern, one isolate each of serovars 1 (n = 20) and 7 (n = 47) and 17 isolates
of serovar 15 (n = 40) showed variation to the expected gene profile. CONCLUSION:
The variations in toxin gene patterns, as detected by PCR, found in this study
could be related to significant changes in the gene sequence or total absence of
the gene. Variation in toxin gene sequences has been observed in other countries.
This variation in the toxin profile could also explain possible variation in
pathogenicity observed in the field.
PMID- 29377084
TI - Peter Bryan Spradbrow 1934-2017.
PMID- 29377085
TI - Modified rib pivot lateral thoracotomy: a case series.
AB - OBJECTIVE: To describe a modified rib pivot thoracotomy and its clinical
application in client-owned dogs and cats. STUDY DESIGN: Case series of 24 dogs
and 1 cat requiring a thoracotomy. METHODS: A lateral thoracic incision over the
required thoracic segment was made. The latissimus dorsi muscle was reflected
dorsally. The predetermined rib was identified and the periosteum overlying the
rib was elevated circumferentially, avoiding the intercostal neurovascular
structures. Holes were pre-placed above and below the proposed osteotomy site.
The rib was osteotomised and pivoted cranially. The pleura was incised and the
required intrathoracic procedure was then performed. The thoracic cavity was
closed by pre-placement of a suture through the pre-placed holes within the
osteotomised rib. The pleura and intercostal musculature were closed, avoiding
the intercostal neurovascular structures. The rib was re-apposed and the lateral
approach was closed. The cases included were reviewed for both the rib pivoted as
per the procedure required and postoperative complications. RESULTS: The study
group comprised 8 Staffordshire Bull Terriers, 2 Poodles, 2 German Shepherd Dogs,
1 each of Basset Hound, Rhodesian Ridgeback, Golden Retriever, Australian
Shepherd, Vizsla, Bull Mastiff, Schnauzer, Jack Russell Terrier, Bulldog,
Deerhound, Labrador Retriever and Australian Terrier, and 1 cat. A modified rib
pivot thoracotomy was performed for lung lobectomy (n = 11), oesophagectomy (7),
subtotal pericardectomy (5), patent ductus arteriosus ligation (1) and thoracic
duct ligation (1). Follow-up ranged from 2 to 40 weeks postoperatively.
Postoperative complications included seroma formation in two dogs. CONCLUSION: A
modified rib pivot thoracotomy should be considered as an alternative lateral
thoracic approach with good exposure, minimal complications and low morbidity.
PMID- 29377087
TI - Clinical features and management of schwannoma affecting the upper and lower
lips.
AB - Head and neck schwannomas comprise 25-40% of all schwannomas, with presentation
on the lips as the rarest and most surgically complicated site for perioral
tumors. A systematic literature review was conducted to include 21 cases of
patients with schwannoma of the upper or lower lips. The majority of patients
presented with a single, painless, well-encapsulated nodule on the upper or lower
lips. The nodules were consistently slow-growing, with an average 29.3 months
from symptom onset to clinical presentation. Most cases were complicated by
profound cosmetic disfigurement as well as dysphagia, dysarthria, snoring, and/or
sleep apnea. Overall, histological analysis was consistent with classic
schwannoma, and all cases were treated via complete surgical excision, and for
malignant tumors, additional therapy was utilized. All but four cases achieved
full remission by final follow-up. Recurrence rate for benign lip schwannomas was
5.3%, which is remarkably different from the standard recurrence rate of 8-24%
for benign peripheral schwannomas. Additionally, the proportion of malignant
tumors was greater for lip schwannomas than other schwannomas. Lip schwannomas
demonstrate different characteristics than schwannomas from other locations on
the body, and these remarkable differences highlight significant implications for
clinical practice. Complete excision is the primary mode of treatment with
overall excellent postoperative prognosis and rare instances of recurrence. Given
the rarity of this tumor, this review of available cases serves to
comprehensively describe clinical presentation and surgical treatment approaches
to upper and lower lip schwannomas.
PMID- 29377088
TI - Community divergence and convergence along experimental gradients of stress and
disturbance.
AB - We created 24 mesocosms containing mixtures of herbaceous species arranged along
experimentally maintained gradients of stress (external abiotic constraints
limiting biomass production) and disturbance (events causing partial or total
live biomass destruction) in order to determine the degree to which community
assembly is deterministic or historically contingent during succession. In this 7
yr experiment, we found taxonomic divergence and functional convergence during
the last 3 yr. Although communities became more functionally dissimilar as the
difference in the level of stress increased, they were equally taxonomically
different irrespective of the amount of difference between them in terms of
stress and disturbance. In addition, comparing communities experiencing the same
conditions, taxonomic community structure was more dissimilar as the levels of
stress and disturbance decreased. Therefore, community assembly was largely
deterministic from a functional perspective but more historically contingent from
a taxonomic perspective, and the relative importance of taxonomic historical
contingency decreased as the levels of stress and disturbance frequency
increased.
PMID- 29377089
TI - Topical Paromomycin for treating solitary mucosal leishmaniasis.
PMID- 29377090
TI - Harlequin ichthyosis due to novel splice site mutation in the ABCA12 gene:
postnatal to prenatal diagnosis.
AB - BACKGROUND: Harlequin ichthyosis (HI) is a severe genetic disorder caused by the
mutation in the ABCA12 gene. Infants born with this condition have markedly
thickened, hard stratum corneum skin all over the body. METHODS: A female child
born with a thick white plate of skin with deep cracks all over the body was
investigated for genes associated with congenital Ichthyosis by Next Generation
sequencing. The variant relevant to the clinical indications was identified using
Picard and GATK version 3.6. Variant's pathogenicity was predicted by "in silico"
tools like Mutation Taster 2, Mutation Assessor and LRT. Bidirectional Sanger
sequencing further validated the same variant detected in the proband and
confirmed in the parental blood and CVS. RESULTS: A homozygous 5' splice site
variation that affects the position at 4 nucleotides downstream to the donor
proximal splice site of intron 40 (c.5939+4A>G; ENST00000272895) of the ABCA12
gene was detected in the proband, and the parents were heterozygous for the same
variant. This led to the confirmation of diagnosis of Harlequin ichthyosis in the
proband. "In silico" prediction of the variant was found to be damaging by
MutationTaster2. The CVS sample during subsequent pregnancy was confirmed to be
heterozygous for the same variant. CONCLUSIONS: The novel intronic mutation found
in the proband confirmed the clinical diagnosis as a severe type of HI and has
helped the family in providing precise genetic counseling for further prevention
of the disease and carrier screening of other family members.
PMID- 29377091
TI - Pathogenesis and treatment options for chemotherapy-induced alopecia: a
systematic review.
AB - Chemotherapy-induced alopecia (CIA) is one of the most troubling long-lasting
side effects of cancer treatment. An estimated 65% of patients undergoing classic
chemotherapy will experience hair loss, which is an extremely upsetting adverse
event for many. CIA has been traditionally considered to be a diffuse,
nonscarring alopecia; however, there are increasing reports of permanent hair
loss post chemotherapy. Despite its large impact on patients, there are few
proven treatments for CIA. Recent advancements in understanding the pathogenesis
of hair loss are promising novel preventative and therapeutic strategies.
Currently, scalp cooling during chemotherapy is the most effective preventive
intervention with response rates ranging from 50 to 80%. To avoid patient
morbidity, clinicians should be aware of the pathogenesis of CIA, characteristic
patterns of hair loss associated with specific drug regimens, preventive measures
that may be taken, and therapeutic options post chemotherapy. The following
represents an updated systematic review of CIA, including characteristic clinical
patterns, pathophysiology of the disease, therapeutic approaches, as well as a
cost-effective analysis to assess the significance of this toxicity.
PMID- 29377092
TI - Nest webs beyond woodpeckers: the ecological role of other nest builders.
PMID- 29377093
TI - Environmental filtering and phylogenetic clustering correlate with the
distribution patterns of cryptic protist species.
AB - The community composition of any group of organisms should theoretically be
determined by a combination of assembly processes including resource
partitioning, competition, environmental filtering, and phylogenetic legacy.
Environmental DNA studies have revealed a huge diversity of protists in all
environments, raising questions about the ecological significance of such
diversity and the degree to which they obey to the same rules as macroscopic
organisms. The fast-growing cultivable protist species on which hypotheses are
usually experimentally tested represent only a minority of the protist diversity.
Addressing these questions for the lesser known majority can only be inferred
through observational studies. We conducted an environmental DNA survey of the
genus Nebela, a group of closely related testate (shelled) amoeba species, in
different habitats within Sphagnum-dominated peatlands. Identification based on
the mitochondrial cytochrome c oxidase 1 gene, allowed species-level resolution
as well as phylogenetic reconstruction. Community composition varied strongly
across habitats and associated environmental gradients. Species showed little
overlap in their realized niche, suggesting resource partitioning, and a strong
influence of environmental filtering driving community composition. Furthermore,
phylogenetic clustering was observed in the most nitrogen-poor samples,
supporting phylogenetic inheritance of adaptations in the group of N. guttata.
This study showed that the studied free-living unicellular eukaryotes follow to
community assembly rules similar to those known to determine plant and animal
communities; the same may be true for much of the huge functional and taxonomic
diversity of protists.
PMID- 29377094
TI - GAPO syndrome: a rare genodermatosis presenting with unique features.
PMID- 29377095
TI - Development and assessment of the Quality of Life in Childhood Epilepsy
Questionnaire (QOLCE-16).
AB - OBJECTIVE: The aim of this study was to develop and validate a brief version of
the Quality of Life in Childhood Epilepsy Questionnaire (QOLCE). A secondary aim
was to compare the results described in previously published studies using the
QOLCE-55 with those obtained using the new brief version. METHODS: Data come from
373 children involved in the Health-related Quality of Life in Children with
Epilepsy Study, a multicenter prospective cohort study. Item response theory
(IRT) methods were used to assess dimensionality and item properties and to guide
the selection of items. Replication of results using the brief measure was
conducted with multiple regression, multinomial regression, and latent mixture
modeling techniques. RESULTS: IRT methods identified a bi-factor graded response
model that best fits the data. Thirty-nine items were removed, resulting in a 16
item QOLCE (QOLCE-16) with an equal number of items in all 4 domains of
functioning (Cognitive, Emotional, Social, and Physical). Model fit was
excellent: Comparative Fit Index = 0.99; Tucker-Lewis Index = 0.99; root mean
square error of approximation = 0.052 (90% confidence interval [CI] 0.041-0.064);
weighted root mean square = 0.76. Results that were reported previously using the
QOLCE-55 and QOLCE-76 were comparable to those generated using the QOLCE-16.
SIGNIFICANCE: The QOLCE-16 is a multidimensional measure of health-related
quality of life (HRQoL) with good psychometric properties and a short-estimated
completion time. It is notable that the items were calibrated using
multidimensional IRT methods to create a measure that conforms to conventional
definitions of HRQoL. The QOLCE-16 is an appropriate measure for both clinicians
and researchers wanting to record HRQoL information in children with epilepsy.
PMID- 29377096
TI - Delayed myelination and neurodevelopment in male seizure-prone versus seizure
resistant rats.
AB - OBJECTIVE: Aberrant myelination and developmental delay have been reported in
epilepsy. However, it is unclear whether these are linked to intrinsic mechanisms
that support a predisposition toward seizures and the development of epilepsy.
Thus, we compared rates of myelination and neurodevelopment in male rats
selectively bred for enhanced susceptibility to kindling epileptogenesis (FAST)
with male rats bred for resistance (SLOW). METHODS: Myelin-specific gene
expression was compared in the brainstem, cerebellum, and cerebral hemisphere of
FAST and SLOW rats on postnatal days (PNDs) 5, 11, 17, 23, and 90 to determine
strain-specific myelination rates. Myelin protein levels were also compared at
PNDs 5 and 23 in the brainstem. Relative rates of neurodevelopment were evaluated
between PNDs 5 and 21 using physical growth landmarks and neuromotor tests
including righting reflex, cliff avoidance, negative geotaxis, and locomotor
activity. RESULTS: Myelin-specific mRNA expression was significantly down
regulated in FAST rats on PNDs 5 and 11 in all 3 brain structures, indicating
relatively delayed myelination. Likewise, corresponding protein levels were
significantly lower in FAST brainstem on PND 5. Developmental delay was evident
in the FAST strain such that only 9% of FAST pups, compared to 81% of SLOW, had
open eyes by PND 13, locomotor activity was significantly reduced between PNDs 12
and 16, and neuromotor task acquisition was delayed between PNDs 5 and 10.
SIGNIFICANCE: Relative delays in myelination and neurodevelopment co-occurred in
the seizure-prone FAST strain in the absence of seizures. These findings suggest
these symptoms are not seizure-induced and may be mechanistically linked to an
underlying pathophysiology supporting a predisposition toward developing
epilepsy.
PMID- 29377098
TI - PCDH19-related epilepsy is associated with a broad neurodevelopmental spectrum.
AB - OBJECTIVE: To characterize the features associated with PCDH19-related epilepsy,
also known as "female-limited epilepsy." METHODS: We analyzed data from
participants enrolled in the PCDH19 Registry, focusing on the seizure-related,
developmental, neurobehavioral, and sleep-related features. We evaluated variants
for pathogenicity based on previous reports, population databases, and in silico
predictions, and included individuals with pathogenic or potentially pathogenic
variants. We performed a retrospective analysis of medical records and
administered a targeted questionnaire to characterize current or past features in
probands and genotype-positive family members. RESULTS: We included 38
individuals with pathogenic or potentially pathogenic variants in PCDH19: 21 de
novo, 5 maternally inherited, 7 paternally inherited, and 5 unknown. All 38 had
epilepsy; seizure burden varied, but typical features of clustering of seizures
and association with fever were present. Thirty individuals had intellectual
disability (ID), with a wide range of severity reported; notably, 8/38 (22%) had
average intellect. Behavioral and sleep dysregulation were prominent, in 29/38
(76%) and 20/38 (53%), respectively. Autistic features were present in 22/38
(58%), of whom 12 had a formal diagnosis of autism spectrum disorder. We had
additional data from 5 genotype-positive mothers, all with average intellect and
3 with epilepsy, and from 1 genotype-positive father. SIGNIFICANCE: Our series
represents a robust cohort with carefully curated PCDH19 variants. We observed
seizures as a core feature with a range of seizure types and severity. Whereas
the majority of individuals had ID, we highlight the possibility of average
intellect in the setting of PCDH19-related epilepsy. We also note the high
prevalence and severity of neurobehavioral phenotypes associated with likely
pathogenic variants in PCDH19. Sleep dysregulation was also a major area of
concern. Our data emphasize the importance of appropriate referrals for formal
neuropsychological evaluations as well as the need for formal prospective studies
to characterize the PCDH19-related neurodevelopmental syndrome in children and
their genotype-positive parents.
PMID- 29377099
TI - A Western dietary pattern is associated with elevated level of high sensitive C
reactive protein among adolescent girls.
AB - BACKGROUND: Serum high sensitive C-reactive protein (hs-CRP), is an indicator of
low-grade inflammation, and is associated with several non-communicable diseases.
The effects of diet on inflammation have not been extensively investigated,
particularly among adolescents. We aimed to examine the association between major
dietary patterns and elevated serum level of hs-CRP among Iranian adolescent
girls. MATERIALS AND METHODS: In this cross-sectional study, a total of 670
adolescent girls were recruited from several schools in different areas of
Mashhad and Sabzevar cities, Iran. The dietary intakes of study participants were
collected using a 147-item food frequency questionnaire. To identify major
dietary patterns based on the 40 food groups, we used principal component
analysis. Serum concentration of hs-CRP was measured using commercial kits and
the BT-3000 auto-analyser. To investigate the association between dietary
patterns and elevated serum level of hs-CRP, we used logistic regression
analysis. RESULTS: Three specific dietary patterns were identified: (i) healthy,
(ii) traditional and (iii) western dietary patterns. A significant association
was found between more adherence to Western dietary pattern and elevated serum
level of hs-CRP (OR: 1.58; 95% CI: 1.02-2.42, P-trend = .03); these association
remained significant after adjustment for potential confounders. However, there
was no significant relationship between healthy and traditional dietary patterns
and elevated serum level of hs-CRP. CONCLUSION: Our results indicate that there
is a significant positive association between more adherence to Western dietary
pattern and higher serum levels of hs-CRP among Iranian adolescent girls. Further
studies, particularly longitudinal intervention studies may be required to
clarify these relationships.
PMID- 29377100
TI - Substrate-induced structural alterations of Mycobacterial mycothione reductase
and critical residues involved.
AB - Redox homeostasis is a prerequisite for survival of the pathogen Mycobacterium
tuberculosis (Mtb) which employs the low molecular weight thiol mycothiol (MSH).
The Mycobacterial NADPH-dependent mycothione reductase (MtMtr), composed of an
NADPH-, FAD-, and a dimerization-domain connected by linkers, regulates the
balance of oxidized-reduced MSH. Here, we demonstrate by small-angle X-ray
scattering, that NADPH-binding alters the oligomeric state equilibrium of the
protein with no significant overall structural change after MSH-binding. Mutation
of critical residues in the linker regions of MtMtr eliminate partially or
totally the NADPH-induced oligomerization effect with simultaneous effect on
enzyme activity. The data provide insight into the MtMtr linker regions involved
in the novel oligomerization equilibrium of the Mycobacterial enzyme.
PMID- 29377101
TI - Can there be a moral obligation to participate in biomedical research?
AB - In clinical medicine, the moral obligation to care for the individual patient is
absolute. Patient care means at least and by negative terms to minimize any risk
of treatment. In this context, the question arises about the compatibility of
clinical ethics and human biomedical research ethics. Or conversely, is there a
common ground between the two? At the opposite end of the field between clinical
ethics and biomedical research ethics is the proposal of an obligation to
participate in biomedical research, which is argued for on the basis of
biomedical knowledge being a public good available to the community as a whole.
While patient accrual during a clinical investigation would certainly be
facilitated by obligatory research participation, and the data obtained would be
at first sight-more representative for the population studied, the still feasible
refusal to participate would be stigmatizing and as such detrimental for the
patient-physician relation. This essay seeks to provide a reply to the titled
question by focusing on aspects such as individual vs common medical claims,
shared grounds between the two and an important document of medical research
ethics, that is the Nuremberg code.
PMID- 29377103
TI - Effect of Nanoparticle Surface Coating on Cell Toxicity and Mitochondria Uptake.
AB - We report on the effect of surface charge and the ligand coating composition of
CdSe/ZnS core/shell quantum dot (QD) nanoparticles on human keratinocyte toxicity
using fluorescent microscopy, flow cytometry, transmission electron microscopy.
Two commonly reported positive charged (cysteamine, polyethylenimine) and two
negative charged (glutathione, dihydrolipoic acid) ligands were studied. The QDs
were fully characterized by UV-vis absorption spectroscopy, fluorescence emission
spectroscopy, dynamic light scattering and zeta potential. Differences in surface
coatings and charges were evaluated against cellular uptake, ROS generation,
cytotoxicity, and mitochondrial targeting. Results show that the negative charged
QDs coated with GSH exhibit excellent water solubility, high quantum yield and
low cytotoxicity. Ligand composition is more important in ROS generation than
surface charge whereas surface charge is an important driver of cytotoxicity.
Most importantly we observe the selective accumulation of glutathione coated QDs
in vesicles in the mitochondria matrix. This observation suggests a new strategy
for developing mitochondria-targeted nanomaterials for drug/gene delivery.
PMID- 29377102
TI - Cholera toxin enhances interleukin-17A production in both CD4+ and CD8+ cells via
a cAMP/protein kinase A-mediated interleukin-17A promoter activation.
AB - Cholera toxin (CT) is a bacterial component that increases intracellular cAMP
levels in host cells and suppresses T-cell activation. Recently, CT was reported
to induce T helper type 17-skewing dendritic cells and activate interleukin-17A
(IL-17A) production in CD4+ T cells through a cAMP-dependent pathway. However,
the underlying mechanism by which cAMP regulates IL-17A production in T cells is
not completely defined. In this study, we took advantage of a small molecule
protein kinase A (PKA) inhibitor (H89) and different cAMP analogues: a PKA
specific activator (N6-benzoyl-adenosine-cAMP), an exchange protein activated by
cAMP-specific activator (Rp-8-chlorophenylthio-2'-O-methyl cAMP), and a PKA
inhibitor (Rp-8-bromo-cAMP), to elucidate the signalling cascade of cAMP in IL
17A regulation in T cells. We found that CT induced IL-17A production and IL-17A
promoter activity in activated CD4+ T cells through a cAMP/PKA pathway. Moreover,
this regulation was via cAMP-response element binding protein (CREB) -mediated
transcriptional activation by using the transfection of an IL-17A promoter
luciferase reporter construct and CREB small interfering RNA in Jurkat cells.
Also, we showed that CREB bound to the CRE motif located at -183 of the IL-17A
promoter in vitro. Most interestingly, not only in CD4+ T cells, CT also enhanced
cAMP/PKA-dependent IL-17A production and CREB phosphorylation in CD8+ T cells. In
conclusion, our data suggest that CT induces an IL-17A-dominated immune
microenvironment through the cAMP/PKA/CREB signalling pathway. Our study also
highlights the potentials of CT and cAMP in modulating T helper type 17 responses
in vivo.
PMID- 29377104
TI - Chemical Composition of Date Palm (Phoenix dactylifera L.) Seed Oil from Six
Saudi Arabian Cultivars.
AB - : This investigation aimed to evaluate the chemical composition and
physicochemical properties of seed oils from 6 date palm (Phoenix. dactylifera
L.) cultivars (Barhi, Khalas, Manifi, Rezeiz, Sulaj, and Sukkari) growing in
Saudi Arabia and to compare them with conventional palm olein. The mean oil
content of the seeds was about 7%. Oleic acid (48.67%) was the main fatty acid,
followed by lauric acid (17.26%), stearic acid (10.74%), palmitic acid (9.88%),
and linolenic acid (8.13%). The mean value for free fatty acids content was 0.5%.
The P. dactylifera seed oil also exhibited a mean tocol content of 70.75 mg/100
g. alpha-Tocotrienol was the most abundant isomer (30.19%), followed by gamma
tocopherol (23.61%), gamma-tocotrienol (19.07%), and alpha-tocopherol (17.52%).
The oils showed high thermal and oxidative stabilities. The findings indicate
that date seed oil has the potential to be used in the food industry as an
abundant alternative to palm olein. PRACTICAL APPLICATION: This study showed that
date seed had great nutritional value due to which it can be used for food
applications especially as frying or cooking oil. In addition, date oil has also
potential to be used in cosmetic and pharmaceutical practices as well. The
extraction of oil from Phoenix dactylifera seed on large scale can create
positive socioeconomic benefits especially for rural communities and could also
assist to resolve the environmental issues generated by excess date production in
large scale date-producing countries such as Saudi Arabia.
PMID- 29377105
TI - Paediatric oral pathology in Thailand: a 15-year retrospective review from a
medical teaching hospital.
AB - OBJECTIVES: To determine whether the spectrum of oral pathology in children seen
at a medical institution differs from studies derived from dental facilities.
METHODS: Oral biopsy records from paediatric patients (<16 years of age) were
retrieved from the pathology archives at Chulalongkorn University Hospital over a
period of 15 years. Lesions were categorised as inflammatory/reactive,
tumour/tumour-like or cystic. RESULTS: Two-hundred and thirty biopsies were
identified. Most lesions were inflammatory/reactive (62%), followed by
tumour/tumour-like (35%) and cystic (3%). The largest proportion of lesions was
found in the 12-16 years' age group. Mucocele was the most common lesion (38%),
followed by hemangioma (8.3%), irritation fibroma (6%) and nevus (6%). The
predominance of mucocele is similar to that in reports from other countries. The
proportion of malignant tumours (5%) was higher than in other studies (<1-2%). In
contrast, odontogenic cysts and odontogenic tumours were rare (3% and <1%,
respectively), compared with published studies (7-35% and 2-21%, respectively).
CONCLUSIONS: This study from a medical institution shows a somewhat different
spectrum of paediatric oral pathology compared with that reported from dental
institutions. While some of the lesions may not be treated by dentists, they
still need to be aware of these lesions because affected patients can still
present initially to a dentist.
PMID- 29377106
TI - Modulation of GSK3beta autoinhibition by Thr-7 and Thr-8.
AB - Glycogen synthase kinase 3beta (GSK-3beta) is a pivotal signaling node that
regulates a myriad of cellular functions and is deregulated in many pathological
conditions, making it an attractive therapeutic target. Inhibitory Ser-9
phosphorylation of GSK3beta by AKT is an important mechanism for negative
regulation of GSK3beta activity upon insulin stimulation. Here, we report that
Thr-7 and Thr-8 residues located in the AKT/PKB substrate consensus sequence on
GSK3beta are essential for insulin-stimulated Ser-9 phosphorylation in vivo and
for GSK3beta inactivation. Intestinal cell kinase (ICK) phosphorylates GSK3beta
Thr-7 in vitro and in vivo. Thr-8 phosphorylation partially inhibits GSK3beta,
but Thr-7 phosphorylation promotes GSK3beta activity and blocks phospho-Ser-9
dependent GSK3beta autoinhibition. Our findings uncover novel mechanistic and
signaling inputs involved in the autoinhibition of GSK3beta.
PMID- 29377107
TI - Daily very low UV dose exposure enhances adaptive immunity, compared with a
single high-dose exposure. Consequences for the control of a skin infection.
AB - Ultraviolet radiation (UVr) promotes several well-known molecular changes, which
may ultimately impact on health. Some of these effects are detrimental, like
inflammation, carcinogenesis and immunosuppression. On the other hand, UVr also
promotes vitamin D synthesis and other beneficial effects. We recently
demonstrated that exposure to very low doses of UVr on four consecutive days
[repetitive low UVd (rlUVd)] does not promote an inflammatory state, nor the
recruitment of neutrophils or lymphocytes, as the exposure to a single high UV
dose (shUVd) does. Moreover, rlUVd reinforce the epithelium by increasing
antimicrobial peptides transcription and epidermal thickness. The aim of this
study was to evaluate the adaptive immune response after shUVd and rlUVd,
determining T-cell and B-cell responses. Finally, we challenged animals exposed
to both irradiation procedures with Staphylococcus aureus to study the overall
effects of both innate and adaptive immunity during a cutaneous infection. We
observed, as expected, a marked suppression of T-cell and B-cell responses after
exposure to an shUVd but a novel and significant increase in both specific
responses after exposure to rlUVd. However, the control of the cutaneous S.
aureus infection was defective in this last group, suggesting that responses
against pathogens cannot be ruled out from isolated stimuli.
PMID- 29377108
TI - Regulation of RhoA GTPase and various transcription factors in the RhoA pathway.
AB - RhoA GTPase plays a variety of functions in regulation of cytoskeletal proteins,
cellular morphology, and migration along with various proliferation and
transcriptional activity in cells. RhoA activity is regulated by guanine
nucleotide exchange factors (GEFs), GTPase activating proteins (GAPs), and the
guanine nucleotide dissociation factor (GDI). The RhoA-RhoGDI complex exists in
the cytosol and the active GTP-bound form of RhoA is located to the membrane. GDI
displacement factors (GDFs) including IkappaB kinase gamma (IKKgamma) dissociate
the RhoA-GDI complex, allowing activation of RhoA through GEFs. In addition,
modifications of Tyr42 phosphorylation and Cys16/20 oxidation in RhoA and Tyr156
phosphorylation and oxidation of RhoGDI promote the dissociation of the RhoA
RhoGDI complex. The expression of RhoA is regulated through transcriptional
factors such as c-Myc, HIF-1alpha/2alpha, Stat 6, and NF-kappaB along with
several reported microRNAs. As the role of RhoA in regulating actin-filament
formation and myosin-actin interaction has been well described, in this review we
focus on the transcriptional activity of RhoA and also the regulation of RhoA
message itself. Of interest, in the cytosol, activated RhoA induces
transcriptional changes through filamentous actin (F-actin)-dependent ("actin
switch") or-independent means. RhoA regulates the activity of several
transcription regulators such as serum response factor (SRF)/MAL, AP-1, NF
kappaB, YAP/TAZ, beta-catenin, and hypoxia inducible factor (HIF)-1alpha.
Interestingly, RhoA also itself is localized to the nucleus by an as-yet
undiscovered mechanism.
PMID- 29377109
TI - Trauma induced heterotopic ossification patient serum alters mitogen activated
protein kinase signaling in adipose stem cells.
AB - Post-traumatic heterotopic ossification (HO) is the formation of ectopic bone in
non-osseous structures following injury. The precise mechanism for bone
development following trauma is unknown; however, early onset of HO may involve
the production of pro-osteogenic serum factors. Here we evaluated serum from a
cohort of civilian and military patients post trauma to determine early induction
gene signatures in orthopaedic trauma induced HO. To test this, human adipose
derived stromal/stem cells (hASCs) were stimulated with human serum from patients
who developed HO following trauma and evaluated for a gene panel with qPCR.
Pathway gene analysis ontology revealed that hASCs stimulated with serum from
patients who developed HO had altered gene expression in the activator protein 1
(AP1) and AP1 transcriptional targets pathways. Notably, there was a significant
repression in FOS gene expression in hASCs treated with serum from individuals
with HO. Furthermore, the mitogen-activated protein kinase (MAPK) signaling
pathway was activated in hASCs following serum exposure from individuals with HO.
Serum from both military and civilian patients with trauma induced HO had
elevated downstream genes associated with the MAPK pathways. Stimulation of hASCs
with known regulators of osteogenesis (BMP2, IL6, Forskolin, and WNT3A) failed to
recapitulate the gene signature observed in hASCs following serum stimulation,
suggesting non-canonical mechanisms for gene regulation in trauma induced HO.
These findings provide new insight for the development of HO and support ongoing
work linking the systemic response to injury with wound specific outcomes.
PMID- 29377110
TI - MicroRNA implications in the etiopathogenesis of ankylosing spondylitis.
AB - Ankylosing spondylitis (AS) is a chronic immune-mediated inflammatory disease
that affects both axial and peripheral skeletons as well as soft tissues. Recent
investigations offer that disease pathogenesis is ascribed to a complex interplay
of genetic, environmental, and immunological factors. Until now, there is no
appropriate method for early diagnosis of AS and the successful available therapy
for AS patients stay largely undefined. MicroRNAs (miRNAs), endogenous small
noncoding RNAs controlling the functions of target mRNAs and cellular processes,
are present in human plasma in a stable form and have appeared as possible
biomarkers for activity, pathogenesis, and prognosis of the disease. In the
present review, we have tried to summarize the recent findings related to miRNAs
in AS development and discuss the possible utilization of these molecules as
prognostic biomarkers or important therapeutic strategies for AS. Further
examinations are needed to determine the unique miRNAs signatures in AS and
characterize the mechanisms mediated by miRNAs in the pathology of this disease.
PMID- 29377111
TI - Representation of the stomatopod's retinal midband in the optic lobes: Putative
neural substrates for integrating chromatic, achromatic and polarization
information.
AB - Stomatopods have an elaborate visual system served by a retina that is unique to
this class of pancrustaceans. Its upper and lower eye hemispheres encode
luminance and linear polarization while an equatorial band of photoreceptors
termed the midband detects color, circularly polarized light and linear
polarization in the ultraviolet. In common with many malacostracan crustaceans,
stomatopods have stalked eyes, but they can move these independently within three
degrees of rotational freedom. Both eyes separately use saccadic and scanning
movements but they can also move in a coordinated fashion to track selected
targets or maintain a forward eyestalk posture during swimming. Visual
information is initially processed in the first two optic neuropils, the lamina
and the medulla, where the eye's midband is represented by enlarged regions
within each neuropil that contain populations of neurons, the axons of which are
segregated from the neuropil regions subtending the hemispheres. Neuronal
channels representing the midband extend from the medulla to the lobula where
populations of putative inhibitory glutamic acid decarboxylase-positive neurons
and tyrosine hydroxylase-positive neurons intrinsic to the lobula have specific
associations with the midband. Here we investigate the organization of the
midband representation in the medulla and the lobula in the context of their
overall architecture. We discuss the implications of observed arrangements, in
which midband inputs to the lobula send out collaterals that extend across the
retinotopic mosaic pertaining to the hemispheres. This organization suggests an
integrative design that diverges from the eumalacostracan ground pattern and, for
the stomatopod, enables color and polarization information to be integrated with
luminance information that presumably encodes shape and motion.
PMID- 29377112
TI - A Fast and Reliable Real-Time PCR Method for Detection of Ten Animal Species in
Meat Products.
AB - : Species substitution in meat products is a common problem reported worldwide.
This type of food fraud is, typically, an intentional act for economic gain,
using sources of low-priced meats in high-value meat products. Consequences
include economic, health, and religious concerns. Highly sensitive and efficient
techniques are thus required to detect meat species. This paper describes a
method based on real-time PCR to detect 10 animal species (Bos taurus, Sus
scrofa, Ovis aries, Capra hircus, Gallus gallus, Meleagris gallopavo, Bubalus
bubalis, Equus caballus, Felis catus, and Canis familiaris) in meat product. The
method combines species-specific and universal (used here as internal positive
control) primers, and applies melt curve analysis for amplicon checking. Method
accuracy was evaluated on 46 experimental meat mixtures and all species were
correctly identified in all cases, at 1% test sensitivity. Analysis of 14
commercial meat products revealed that 6 of 14 samples had nondeclared bovine
and/or chicken material. We performed an interlaboratory comparison using the
reference meat mixtures and commercial samples, achieving 100% of
reproducibility. The developed test proved to be effective and reliable for
routine analysis of meat products. PRACTICAL APPLICATION: This paper describes a
fast and reliable method for species detection in meat products based on real
time PCR. It can be applied for analysis of in natura or processed meat. The
method proposed here can play an important role in controlling the origin of meat
products, ensuring their quality and safety for the entire food industry
producers to consumers.
PMID- 29377113
TI - LGA-newborn from patients with pregestational obesity present reduced adiponectin
mediated vascular relaxation and endothelial dysfunction in fetoplacental
arteries.
AB - Maternal obesity is associated with large-for-gestational-age (LGA) neonates and
programming of obesity-related cardiovascular disease in the offspring, however,
the mechanisms that lead to the later are unclear. Presently, interpretations of
NO-dependent changes in vascular function in LGA newborn from obese mothers are
conflicting. Adiponectin improves endothelial function by increasing eNOS
activity and NO production. We propose that LGAs from obese mothers present a
diminished vascular response to adiponectin; thus, affecting eNOS and AMPK
activation. Chorionic arteries, umbilical cord and primary cultures of umbilical
artery endothelial cells (HUAEC) were collected at term (>38 weeks) from
uncomplicated singleton pregnancies of LGA and adequate-for-gestational (AGA)
newborn. Vascular reactivity of chorionic plate arteries was assessed by wire
myography. mRNA expression of adiponectin receptors 1 (AdipoR1) and AdipoR2 in
HUAEC was determined by qPCR. Protein expression of AdipoR1, AdipoR2, AMPK,
phospho-AMPKalphaThr172 , eNOS, and phospho-eNOSSer1177 after stimulation with
AdipoRon was determined by Western Blot. Maximal adiponectin-induced chorionic
artery relaxation in LGAs was diminished compared to control. In vitro studies
showed no differences in expression of AdipoRs, total AMPK and, eNOS activation
between groups; however, higher expression of total eNOS and AMPK activation in
HUAEC of LGA relative to AGAs were observed. LGA HUAEC showed diminished NO
production and eNOS activity compared to AGA in response to AdipoRon but no
changes in AMPK activation. Placental endothelium of LGAs shows a diminished
vascular response to adiponectin. Moreover, eNOS activation and adiponectin
dependent NO production is lower in HUAEC of LGA from obese mothers, indicating
they present dysfuncional placental-endothelial responses.
PMID- 29377114
TI - The Philippines' new postabortion care policy.
AB - In 2000, a Philippine policy clarifying the legality of medical treatment for
women with postabortion complications was introduced to address unsafe abortion
as a leading cause of maternal death, and reports of discrimination and abuse by
healthcare providers against women who had abortions illegally. Despite its
initial success as a pilot program, the policy's implementation and expansion
were not prioritized. The incidence of unsafe abortion has increased over the
years and, in 2009, the right to postabortion care was codified in national law,
yet the mistreatment and abuse of women has continued in violation of medical
ethics and the law. In 2016, following the demands of advocates and
recommendations from national and international human rights bodies, the
government introduced a new policy to strengthen the national framework for
postabortion care, clarifying the legal and ethical duties of health service
providers and offering women formal avenues for redress against abuse. The new
policy offers useful guidance for countries that are contemplating new ways to
strengthen the quality of postabortion care services in accordance with
recognized standards of medical ethics and human rights.
PMID- 29377115
TI - Perineural Spread of Recurrent Melanoma Presenting as Facial Formication.
PMID- 29377116
TI - Neural Regulation of Bone Remodeling: Identifying Novel Neural Molecules and
Pathways Between Brain and Bone.
AB - The metabolism and homeostasis of skeletal system has historically been regarded
to be associated with the endocrine system. However, such view has been expanded
with the recognition of several neural pathways playing important roles in the
regulation of bone metabolism via central relays. In particular, bone metabolism
and homeostasis has been reported to be precisely modulated by the central neural
signaling. Initiated by the finding of leptin, the axis of neural regulation on
bone expands rapidly. Semaphorin-plexin system play an important role in the
crosstalk between osteoclasts and osteoblasts, a complex system has also been
identified and includes neuropeptide Y and cannabinoids. These findings
facilitate our understanding of the central neuropeptides and neural factors in
the modulation of bone metabolism and homeostasis, and these neuronal pathways
also represent an area of research scenario that identifies the novel regulation
between brain and bone. These regulatory mechanisms correlate with other
homeostatic networks and demonstrate a more intricate and synergetic bone biology
than previously envisioned. As such, this review summarizes the current knowledge
of the neural regulation of bone metabolism and homeostasis as well as its role
in skeletal diseases, and discusses the emerging challenges presented in this
field. This article is protected by copyright. All rights reserved.
PMID- 29377117
TI - VS2DRTI: Simulating Heat and Reactive Solute Transport in Variably Saturated
Porous Media.
AB - Variably saturated groundwater flow, heat transport, and solute transport are
important processes in environmental phenomena, such as the natural evolution of
water chemistry of aquifers and streams, the storage of radioactive waste in a
geologic repository, the contamination of water resources from acid-rock
drainage, and the geologic sequestration of carbon dioxide. Up to now, our
ability to simulate these processes simultaneously with fully coupled reactive
transport models has been limited to complex and often difficult-to-use models.
To address the need for a simple and easy-to-use model, the VS2DRTI software
package has been developed for simulating water flow, heat transport, and
reactive solute transport through variably saturated porous media. The underlying
numerical model, VS2DRT, was created by coupling the flow and transport
capabilities of the VS2DT and VS2DH models with the equilibrium and kinetic
reaction capabilities of PhreeqcRM. Flow capabilities include two-dimensional,
constant-density, variably saturated flow; transport capabilities include both
heat and multicomponent solute transport; and the reaction capabilities are a
complete implementation of geochemical reactions of PHREEQC. The graphical user
interface includes a preprocessor for building simulations and a postprocessor
for visual display of simulation results. To demonstrate the simulation of
multiple processes, the model is applied to a hypothetical example of injection
of heated waste water to an aquifer with temperature-dependent cation exchange.
VS2DRTI is freely available public domain software.
PMID- 29377118
TI - Photo-Curable Metal-Chelating Coatings Offer a Scalable Approach to Production of
Antioxidant Active Packaging.
AB - : Synthetic metal chelators (for example, ethylenediaminetetraacetic acid, EDTA)
are widely used as additives to control trace transition metal induced oxidation
in consumer products. To enable removal of synthetic chelators in response to
increasing consumer demand for clean label products, metal-chelating active food
packaging technologies have been developed with demonstrated antioxidant efficacy
in simulated food systems. However, prior work in fabrication of metal-chelating
materials leveraged batch chemical reactions to tether metal-chelating ligands, a
process with limited industrial translatability for large-scale fabrication. To
improve the industrial translatability, we have designed a 2-step laminated photo
grafting process to introduce metal chelating functionality onto common polymeric
packaging materials. Iminodiacetic acid (IDA) functionalized materials were
fabricated by photo-grafting poly(acrylic acid) onto polypropylene (PP) films,
followed by a second photo-grafting process to graft-polymerize an IDA
functionalized vinyl monomer (GMA-IDA). The photo-grafting was conducted under
atmospheric conditions and was completed in 2 min. The resulting IDA
functionalized metal-chelating material was able to chelate iron and copper, and
showed antioxidant efficacy against ascorbic acid degradation, supporting its
potential to be used synergistically with natural antioxidants for preservation
of food and beverage products. The 2-step photo-grafting process improves the
throughput of active packaging coatings, enabling potential roll-to-roll
fabrication of metal-chelating active packaging materials for antioxidant food
packaging applications. PRACTICAL APPLICATION: To address consumer and retail
demands for "clean label" foods and beverages without a corresponding loss in
product quality and shelf life, producers are seeking next generation
technologies such as active packaging. In this work, we will report the synthesis
of metal-chelating active packaging films, which enable removal of the synthetic
additive, ethylenediamine tetraacetic acid. The new synthesis technique improves
the throughput of metal-chelating active packaging coatings, enabling potential
roll-to-roll fabrication of the materials for antioxidant food packaging
applications.
PMID- 29377119
TI - Secular changes in the symptom level of clinically diagnosed autism.
AB - BACKGROUND: The prevalence of autism has been reported to have increased
worldwide. A decrease over time in the number of autism symptoms required for a
clinical autism diagnosis would partly help explain this increase. This study
aimed to determine whether the symptom level of clinically diagnosed autism cases
below age 13 had changed over time. METHODS: Parents of Swedish 9-year old twins
(n = 28,118) participated in a telephone survey, in which symptoms and
dysfunction/suffering related to neurodevelopmental disorders [including autism,
but also attention-deficit/hyperactivity disorder (ADHD), Developmental
Coordination Disorder (DCD), and Learning Disabilities (LD)] in their children
were assessed over a 10-year period. Survey data was merged with the National
Patient Register containing clinically registered autism diagnoses (n = 271).
RESULTS: In individuals who had been clinically diagnosed with autism before the
age of 13, the symptom score for autism decreased on average 30% over more than a
decade in birth cohorts 1992-2002. There was an average decrease of 50% in the
autism symptom score from 2004 to 2014 in individuals who were diagnosed with
autism at ages 7-12, but there was no decrease in those diagnosed at ages 0-6.
CONCLUSIONS: Over time, considerably fewer autism symptoms seemed to be required
for a clinical diagnosis of autism, at least for those diagnosed after the
preschool years. The findings add support for the notion that the observed
increase in autism diagnoses is, at least partly, the by-product of changes in
clinical practice, and flag up the need for working in agreement with best
practice guidelines.
PMID- 29377120
TI - Human Milk Oligosaccharides Attenuate Antigen-Antibody Complex Induced Chemokine
Release from Human Intestinal Epithelial Cell Lines.
AB - : There has been increased interest in the use of dietary ingredients, including
prebiotics such as human-milk oligosaccharides (HMOs), as therapeutic strategies
for food allergy. Understanding the mechanisms underlying the beneficial effects
of HMOs is important to realizing their therapeutic potential. Here we
demonstrate that the HMO, 6'-sialyllactose (6'SL) inhibited chemokine (IL-8 and
CCL20) release from T-84 and HT-29 cells stimulated with antigen-antibody
complex, TNFalpha or PGE2 ; an effect that was PPARgamma dependent and associated
with decreased activity of the transcription factors AP-1 and NFkappaB. In
contrast, 2'-fucosyllactose (2'FL) selectively inhibited CCL20 release in
response to antigen antibody complex in a PPARgamma independent manner. This
study reinforces the concept that structurally different oligosaccharides have
distinct biological activities and identifies, for the first time, that the HMOs,
6'SL, and 2'FL, modulate human epithelial cell responses related to allergic
disease. These findings encourage further investigation of the therapeutic
potential of specific HMOs in food allergy. PRACTICAL APPLICATION: This study
provides evidence for direct effects of HMOs in addition to their prebiotic role
and demonstrates, for the first time, modulation of Ag-IgE complex activation of
human epithelial cells that may have important implications for food-allergy. The
study also reinforces the concept that structurally different oligosaccharides
have distinct biological activities. In determining the composition of infant
formula, addition of oligosaccharides with specific structures may provide direct
modulation of immune responses and potentially attenuate symptoms or development
of food allergy.
PMID- 29377121
TI - Prognostic significance of tumor-infiltrating lymphocytes in nondisseminated
nasopharyngeal carcinoma: A large-scale cohort study.
AB - The American Joint Committee on Cancer (AJCC) staging system is inadequate for an
accurate prognosis in nasopharyngeal carcinoma (NPC). Thus, new biomarkers are
under intense investigation. Here, we investigated whether the density of TILs
could predict prognosis in NPC. First, we used 1490 cases of nasopharyngeal
carcinoma samples from two independent cohorts to evaluate the density and
distribution of tumor-infiltrating lymphocytes (TILs). Second, in one cohort, we
assessed associations between TILs and clinical outcomes in 593 randomly selected
samples (defined as the training set) and validated findings in the remaining 593
samples (defined as the validation set). Furthermore, we confirmed the prognostic
value of TILs in a second independent cohort of 304 cases (defined as the
independent set). Based on multivariable Cox regression analysis, we also
established an effective prognostic nomogram including TILs to improve accuracy
in predicting disease-free survival (DFS) for patients with nondisseminated NPC.
We found that high TILs in the training set were significantly associated with
favorable DFS [hazard ratio (HR) 0.41, 95% confidence interval (CI) 0.28-0.58, p
< 0.001], overall survival (OS, HR 0.42, 95% CI 0.27-0.64, p < 0.001), distant
metastasis-free survival (DMFS, HR 0.37, 95% CI 0.23-0.58, p < 0.001) and local
regional recurrent free survival (LRRFS, HR 0.43, 95% CI 0.25-0.73, p = 0.002).
Multivariate analysis showed that TILs are an independent prognostic indicator
for DFS in all cohorts. In summary, this study indicated that TILs may reflect
the immunological heterogeneity of NPC and could represent a new prognostic
biomarker.
PMID- 29377122
TI - Effect of l-caldesmon on osteoclastogenesis in RANKL-induced RAW264.7 cells.
AB - Non-muscle caldesmon (l-CaD) is involved in the regulation of actin cytoskeletal
remodeling in the podosome formation, but its function in osteoclastogenesis
remains to be determined. In this study, RANKL-induced differentiation of
RAW264.7 murine macrophages to osteoclast-like cells (OCs) was used as a model to
determine the physiological role of l-CaD and its phosphorylation in
osteoclastogenesis. Upon RANKL treatment, RAW264.7 cells undergo cell-cell fusion
into multinucleate, and TRAP-positive large OCs with a concomitant increase of l
CaD expression. Using gain- and loss-of-function in OC precursor cells followed
by RANKL induction, we showed that the expression of l-CaD in response to RANKL
activation is an important event for osteoclastogenesis, and bone resorption. To
determine the effect of l-CaD phosphorylation in osteoclastogenesis, three decoy
peptides of l-CaD were used with, respectively, Ser-to-Ala mutations at the Erk-
and Pak1-mediated phosphorylation sites, and Ser-to-Asp mutation at the Erk
mediated phosphorylation sites. Both the former two peptides competed with the C
terminal segment of l-CaD for F-actin binding and accelerated formation of
podosome-like structures in RANKL-induced OCs, while the third peptide did not
significantly affect the F-actin binding of l-CaD, and decreased the formation of
podosome-like structures in OCs. With the experiments using dephosphorylated and
phosphorylated l-CaD mutants, we further showed that dephosphorylated l-CaD
mutant facilitated RANKL-induced TRAP activity with an increased cell fusion
index, whereas phosphorylated l-CaD decreased the TRAP activity and cell fusion.
Our findings suggested that both the level of l-CaD expression and the extent of
l-CaD phosphorylation play a role in RANKL-induced osteoclast differentiation.
PMID- 29377123
TI - Effects of bone marrow mesenchymal stem cells transfected with Ang-1 gene on
hyperoxia-induced optic nerve injury in neonatal mice.
AB - Optic nerve injury triggered retinal ganglion cell (RGC) death and optic nerve
atrophy lead to visual loss. Bone marrow mesenchymal stem cells (BMSCs) are
stromal cells, capable of proliferating and differentiating into different types
of tissues. This aims of this study is to investigate the role of BMSCs
transfected with angiopoietin-1 (Ang-1) in optic nerve injury induced by
hyperoxia in a neonatal mice model. Ang-1 overexpression vector was constructed
and used to transfect BMSCs. Reverse transcription-quantitative polymerase chain
reaction was performed to detect Ang-1 expression in BMSCs. The hyperoxia-induced
optic nerve injury model was established. The optic nerves at 6-7 mm posterior to
the eyeball were extracted, and were treated with luxol fast blue staining,
immunohistochemistry, immunofluorescence, and transmission electron microscopy to
examine the effects of Ang-1-modified BMSCs on optic nerve injury induced by
hyperoxia. The mice in the Ang-1 + BMSCs and BMSCs groups showed remarkably
improved myelin sheaths of nerve fibers compared to the hyperoxia saline group.
The positive expression and integrated optic density of Ang-1 in the Ang-1 +
BMSCs group were significantly higher compared to the air control, hyperoxia
saline and BMSCs groups. The number and diameter of myelinated nerve fibers, the
diameter of axons and the thickness of myelin sheath in the air control and Ang-1
+ BMSCs groups were higher compared to the hyperoxia saline group. Our study
provides evidence supporting that Ang-1-modified BMSCs may have preventive and
therapeutic effects on hyperoxia-induced optic nerve injury in neonatal mice.
PMID- 29377125
TI - Estimating the effective number of breeders from single parr samples for
conservation monitoring of wild populations of Atlantic salmon Salmo salar.
AB - This study assesses whether the effective number of breeders (Nb ) can be
estimated using a time and cost-effective protocol using genetic sibship
reconstruction from a single sample of young-of-the-year (YOY) for the purposes
of Atlantic salmon Salmo salar population monitoring. Nb was estimated for 10
consecutive reproductive seasons for S. salar in the River Nivelle, a small
population located at the rear-edge of the species distribution area in France,
chronically under its conservation limit and subjected to anthropogenic and
environmental changes. Subsampling of real and simulated data showed that
accurate estimates of Nb can be obtained from YOY genotypes, collected at
moderate random sampling intensity, achievable using routine juvenile
electrofishing protocols. Spatial bias and time elapsed since spawning were found
to affect estimates, which must be accounted for in sampling designs. Nb
estimated in autumn for S. salar in the River Nivelle was low and variable across
years from 23 (95% C.I. 14-41) to 75 (53-101) and was not statistically
correlated with the estimated number of returning adults, but it was positively
correlated with the estimated number of YOY at age 9 months. Nb was found to be
lower for intermediate levels of redd aggregation, suggesting that the strength
of the competition between males to access females affects reproductive success
variance depending on redd spatial configuration. Thus, environmental factors
such as habitat availability and quality for spawning and YOY development
predominate over demographic ones (number of returning adults) in driving long
term population viability for S. salar in the River Nivelle. This study showcases
Nb as an integrated parameter, encompassing demographic and ecological
information about a reproductive event, relevant to the assessment of both short
term effects of management practices and long-term population conservation
status.
PMID- 29377126
TI - Sphingosine 1-phosphate receptors regulate TLR4-induced CXCL5 release from
astrocytes and microglia.
AB - Sphingosine 1-phosphate receptors (S1PR) are G protein-coupled and compose a
family with five subtypes, S1P1R-S1P5R. The drug Gilenya(r) (Novartis, Basel,
Switzerland) (Fingolimod; FTY720) targets S1PRs and was the first oral therapy
for patients with relapsing-remitting multiple sclerosis (MS). The phosphorylated
form of FTY720 (pFTY720) binds S1PRs causing initial agonism, then subsequent
receptor internalization and functional antagonism. Internalization of S1P1R
attenuates sphingosine 1-phosphate (S1P)-mediated egress of lymphocytes from
lymph nodes, limiting aberrant immune function in MS. pFTY720 also exerts direct
actions on neurons and glial cells which express S1PRs. In this study, we
investigated the regulation of pro-inflammatory chemokine release by S1PRs in
enriched astrocytes and microglial cultures. Astrocytes and microglia were
stimulated with lipopolysaccharide (LPS) and increases in C-X-C motif chemokine 5
(CXCL5), also known as LIX (lipopolysaccharide-induced CXC chemokine) expression
were quantified. Results showed that pFTY720 attenuated LPS-induced CXCL5 (LIX)
protein release from astrocytes, as did the S1P1R selective agonist, SEW2871. In
addition, pFTY720 blocked messenger ribonucleic acid (mRNA) transcription of the
chemokines, (i) CXCL5/LIX, (ii) C-X-C motif chemokine 10 (CXCL10) also known as
interferon gamma-induced protein 10 (IP10) and (iii) chemokine (C-C motif) ligand
2 (CCL2) also known as monocyte chemoattractant protein 1 (MCP1). Interestingly,
inhibition of sphingosine kinase attenuated LPS-induced increases in mRNA levels
of all three chemokines, suggesting that LPS-TLR4 (Toll-like receptor 4)
signalling may enhance chemokine expression via S1P-S1PR transactivation. Lastly,
these observations were not limited to astrocytes since we also found that
pFTY720 attenuated LPS-induced release of CXCL5 from microglia. These data
highlight a role for S1PR signalling in regulating the levels of chemokines in
glial cells and support the notion that pFTY720 efficacy in multiple sclerosis
may involve the direct modulation of astrocytes and microglia.
PMID- 29377124
TI - Purinergic signaling in oligodendrocyte development and function.
AB - Myelin, an insulating membrane that enables rapid action potential propagation,
is an essential component of an efficient, functional vertebrate nervous system.
Oligodendrocytes, the myelinating glia of the central nervous system (CNS),
produce myelin throughout the CNS, which requires continuous proliferation,
migration, and differentiation of oligodendrocyte progenitor cells. Because
myelination is essential for efficient neurotransmission, researchers hypothesize
that neuronal signals may regulate the cascade of events necessary for this
process. The ability of oligodendrocytes and oligodendrocyte progenitor cells to
detect and respond to neuronal activity is becoming increasingly appreciated,
although the specific signals involved are still a matter of debate. Recent
evidence from multiple studies points to purinergic signaling as a potential
regulator of oligodendrocyte development and differentiation. Adenosine
triphosphate (ATP) and its derivatives are potent signaling ligands with
receptors expressed on many populations of cells in the nervous system, including
cells of the oligodendrocyte lineage. Release of ATP into the extracellular space
can initiate a multitude of signaling events, and these downstream signals are
specific to the particular purinergic receptor (or receptors) expressed, and
whether enzymes are present to hydrolyze ATP to its derivatives adenosine
diphosphate and adenosine, each of which can activate their own unique downstream
signaling cascades. This review will introduce purinergic signaling in the CNS
and discuss evidence for its effects on oligodendrocyte proliferation,
differentiation, and myelination. We will review sources of extracellular purines
in the nervous system and how changes in purinergic receptor expression may be
coupled to oligodendrocyte differentiation. We will also briefly discuss
purinergic signaling in injury and diseases of the CNS.
PMID- 29377127
TI - Dental therapists linked to improved dental outcomes for Alaska Native
communities in the Yukon-Kuskokwim Delta.
AB - OBJECTIVES: Dental Health Aide Therapists (DHATs) have been part of the dental
workforce in Alaska's Yukon-Kuskokwim (YK) Delta since 2006. They are trained to
provide preventive and restorative care such as filling and extractions. In this
study, we evaluated community-level dental outcomes associated with DHATs.
METHODS: This was a secondary data analysis of Alaska Medicaid and electronic
health record data for individuals in Alaska's YK Delta (2006-2015). The
independent variable was the number of DHAT treatment days in each community.
Child outcomes were preventive care, extractions, and general anesthesia. Adult
outcomes were preventive care and extractions. We estimated Spearman partial
correlation coefficients to test our hypotheses that increased DHAT treatment
days would be associated with larger proportions utilizing preventive care and
smaller proportions receiving extractions at the community-level. RESULTS: DHAT
treatment days were positively associated with preventive care utilization and
negatively associated with extractions for children and adults (P < 0.0001). DHAT
treatment days were not associated with increased dental treatment under general
anesthesia for children. CONCLUSIONS: Dental therapists are associated with more
preventive care and fewer extractions. State-level policies should consider
dental therapists as part of a comprehensive solution to meet the dental care
needs of individuals in underserved communities and help achieve health equity
and social justice.
PMID- 29377128
TI - The Effect of Lowering the Legal Drink-Drive Limit on the Toxicological Findings
in Driver Fatalities: A Comparison of Two Jurisdictions.
AB - In December 2014, the legal blood alcohol limit for drivers in both Scotland and
New Zealand was reduced from 80 to 50 mg/100 mL. This paper reports a
retrospective study comparing changes in the toxicological findings in deceased
drivers and motorcyclists before and after the limit change in both
jurisdictions. A year of fatal motor vehicle crashes prior to and following the
limit change is examined for both countries. In Scotland, there was an increase
in drug prevalence among fatally injured drivers and motorcyclists, with the use
of all drug groups increasing after the limit change, with the exception of
cannabinoids. In New Zealand, there was a reduction in cases involving drugs
only, but increases in the numbers of deceased drivers and motorcyclists positive
for alcohol only and co-using alcohol and drugs.
PMID- 29377129
TI - Is high-grade prostatic intraepithelial neoplasia (HGPIN) a reliable precursor
for prostate carcinoma? Implications for clonal evolution and early detection
strategies.
AB - High-grade prostatic intraepithelial neoplasia (HGPIN) is a documented putative
precursor lesion for invasive prostate adenocarcinoma. However, the precise
mechanisms of the carcinoma's development from HGPIN are unclear. Many studies
have attempted a comparative molecular genetic characterisation of HGPIN and its
corresponding carcinoma to study this transformation. However, to date, some
HGPIN mimickers, such as intraductal carcinoma, which can engage in retrograde
colonisation of the prostatic acini in an HGPIN-like manner, have been described.
In this work, we hypothesise that the lesion formerly known as HGPIN adjacent to
invasive carcinoma does not necessarily represent its respective precursor
lesion. This hypothesis stems from recent morphological, experimental, and
theoretical evidence on the development of tumour clonality, as well as recent
studies outlining the three-dimensional architecture of prostate adenocarcinomas
(most importantly, their interconnection with the tumoural glandular system).
Copyright (c) 2018 Pathological Society of Great Britain and Ireland. Published
by John Wiley & Sons, Ltd.
PMID- 29377130
TI - The microbiome and cancer.
AB - Humans coexist with a vast bacterial, fungal and viral microbiome with which we
have coevolved for millions of years. Several long recognized epidemiological
associations between particular bacteria and cancer are now understood at the
molecular level. At the same time, the arrival of next-generation sequencing
technology has permitted a thorough exploration of microbiomes such as that of
the human gut, enabling observation of taxonomic and metabolomic relationships
between the microbiome and cancer. These studies have revealed causal mechanisms
for both microbes within tumours and microbes in other host niches separated from
tumours, mediated through direct and immunological mechanisms. Copyright (c) 2018
Pathological Society of Great Britain and Ireland. Published by John Wiley &
Sons, Ltd.
PMID- 29377131
TI - Accuracy of international classification of diseases, ninth revision, codes for
postpartum hemorrhage among women undergoing cesarean delivery.
AB - BACKGROUND: Determining the accuracy of International Classification of Diseases,
Ninth Revision, Clinical Modification (ICD-9) codes for postpartum hemorrhage
(PPH) is vital for reaching valid conclusions about the epidemiology of PPH. Our
primary objectives were to assess the performance characteristics of ICD-9 PPH
codes against a reference standard using estimated blood loss (EBL) among a
cohort undergoing Cesarean delivery. STUDY DESIGN AND METHODS: We analyzed
maternal discharge and EBL data from women who underwent Cesarean delivery at
Kaiser Permanente Northern California facilities between 2010 and 2013. We
defined PPH as an EBL of at least 1000 mL. In a secondary analysis, ICD-9
performance characteristics were assessed using an EBL of at least 1500 mL to
classify severe PPH. RESULTS: We identified 35,614 hospitalizations for Cesarean
delivery. Using EBL of at least 1000 mL as the "gold standard," PPH codes had a
sensitivity of 27.8%, specificity of 97%, positive predictive value (PPV) of
74.5%, and a negative predictive value (NPV) of 80.9%. The prevalence of a PPH
code (9%) was lower than the prevalence using a blood loss of at least 1000 mL
(24%). Using a reference standard of EBL of at least 1500 mL, PPH codes had a
sensitivity of 61.7%, specificity of 93.8%, PPV of 34.2%, and NPV of 97.9%.
CONCLUSION: PPH ICD-9 codes have high specificity, moderately high PPVs and NPVs,
and low sensitivity. An EBL of at least 1500 mL as a reference standard has
higher sensitivity. Our findings suggest that, for women undergoing Cesarean
delivery, quality improvement efforts are needed to enhance PPH ICD-9 coding
accuracy in administrative data sets.
PMID- 29377133
TI - Reviewer selection biases editorial decisions on manuscripts.
AB - Many journals, including the Journal of Neurochemistry, enable authors to list
peer reviewers as 'preferred' or 'opposed' suggestions to the editor. At the
Journal of Neurochemistry, the handling editor (HE) may follow recommendations or
select non-author-suggested reviewers (non-ASRs). We investigated whether
selection of author-suggested reviewers (ASRs) influenced decisions on a paper,
and whether differences might be related to a reviewer's, editor's or
manuscript's geographical location. In this retrospective analysis, we compared
original research articles submitted to the Journal of Neurochemistry from 2013
through 2016 that were either reviewed exclusively by non-ASRs, by at least one
ASR, by at least one reviewer marked by the author as 'opposed' or none.
Manuscript outcome, reviewer rating of manuscript quality, rating of the
reviewers' performance by the editor (R-score), time to review, and the country
of the editor, reviewers and manuscript author were analyzed using non-parametric
rank-based comparisons, chi-square (chi2 ) analysis, multivariate linear
regression, one-way analysis of variance, and inter-rater reliability
determination. Original research articles that had been reviewed by at least one
ASR stood a higher chance of being accepted (525/1006 = 52%) than papers that had
been reviewed by non-ASRs only (579/1800 = 32%). An article was 2.4 times more
likely to be accepted than rejected by an ASR compared to a non-ASR (Pearson's
chi2 (1) = 181.3, p < 0.05). At decision, the editor did not simply follow the
reviewers' recommendation but had a balancing role: Rates of recommendation from
reviewers for rejection were 11.2% (139/1241) with ASRs versus 29.0% (1379/4755)
with non-ASRs (this is a ratio of 0.39 where 1 means no difference between
rejection rates for both groups), whereas the proportion of final decisions to
reject was 24.7% (248/1006) versus 45.7% (822/1800) (a ratio of 0.54,
considerably closer to 1). Recommendations by non-ASRs were more favorable for
manuscripts from USA/Canada and Europe than for Asia/Pacific or Other countries.
ASRs judged North American manuscripts most favorably, and judged papers
generally more positively (mean: 2.54 on a 1-5 scale) than did non-ASRs (mean:
3.16) reviewers, whereas time for review (13.28 vs. 13.20 days) did not differ
significantly between these groups. We also found that editors preferably
assigned reviewers from their own geographical region, but there was no tendency
for reviewers to judge papers from their own region more favorably. Our findings
strongly confirm a bias toward lower rejection rates when ASRs assess a paper,
which led to the decision to abandon the option to recommend reviewers at the
Journal of Neurochemistry. Open Data: Materials are available on
https://osf.io/jshg7/.
PMID- 29377132
TI - Never at rest: insights into the conformational dynamics of ion channels from
cryo-electron microscopy.
AB - The tightly regulated opening and closure of ion channels underlies the
electrical signals that are vital for a wide range of physiological processes.
Two decades ago the first atomic level view of ion channel structures led to a
detailed understanding of ion selectivity and conduction. In recent years,
spectacular developments in the field of cryo-electron microscopy have resulted
in cryo-EM superseding crystallography as the technique of choice for determining
near-atomic resolution structures of ion channels. Here, we will review the
recent developments in cryo-EM and its specific application to the study of ion
channel gating. We will highlight the advantages and disadvantages of the current
technology and where the field is likely to head in the next few years.
PMID- 29377134
TI - Critical role of rabphilin-3A in the pathophysiology of experimental lymphocytic
neurohypophysitis.
AB - Autoimmune hypophysitis (AH) is thought to be an autoimmune disease characterized
by lymphocytic infiltration of the pituitary gland. Among AH pathologies,
lymphocytic infundibulo-neurohypophysitis (LINH) involves infiltration of the
neurohypophysis and/or the hypothalamic infundibulum, causing central diabetes
insipidus resulting from insufficiency of arginine vasopressin secretion. The
pathophysiological and pathogenetic mechanisms underlying LINH are largely
unknown. Clinically, differentiating LINH from other pituitary diseases
accompanied by mass lesions, including tumours, has often been difficult, because
of similar clinical manifestations. We recently reported that rabphilin-3A is an
autoantigen and that anti-rabphilin-3A antibodies constitute a possible
diagnostic marker for LINH. However, the involvement of rabphilin-3A in the
pathogenesis of LINH remains to be elucidated. This study was undertaken to
explore the role of rabphilin-3A in lymphocytic neurohypophysitis and to
investigate the mechanism. We found that immunization of mice with rabphilin-3A
led to neurohypophysitis. Lymphocytic infiltration was observed in the
neurohypophysis and supraoptic nucleus 1 month after the first immunization. Mice
immunized with rabphilin-3A showed an increase in the volume of urine that was
hypotonic as compared with control mice. Administration of a cocktail of
monoclonal anti-rabphilin-3A antibodies did not induce neurohypophysitis.
However, abatacept, which is a chimeric protein that suppresses T-cell
activation, decreased the number of T cells specific for rabphilin-3A in
peripheral blood mononuclear cells (PBMCs). It ameliorated lymphocytic
infiltration of CD3+ T cells in the neurohypophysis of mice that had been
immunized with rabphilin-3A. Additionally, there was a linear association between
the number of T cells specific for rabphilin-3A in PBMCs and the number of CD3+ T
cells infiltrating the neurohypophysis. In conclusion, we suggest that rabphilin
3A is a pathogenic antigen, and that T cells specific for rabphilin-3A are
involved in the pathogenesis of neurohypophysitis in mice. Copyright (c) 2018
Pathological Society of Great Britain and Ireland. Published by John Wiley &
Sons, Ltd.
PMID- 29377135
TI - Supporting young researchers in food texture studies.
AB - : I learned about food texture by reading many articles in the Journal of Texture
Studies (JTS) and Prof. Bourne's textbook Food Texture and Viscosity, Concept and
Measurement. I present here his great contributions as both the Editor-in-Chief
of JTS and as the author of a superior textbook in the cultivation of future food
texture researchers. Prof. Bourne has provided significant guidance to those in
the industry using his textbook to suggest appropriate approaches to measure,
evaluate, and alter the textures of agro-products and processed foods. I began my
career in food rheology, learned the physiological techniques necessary for
measuring mastication, and developed relationships with good sensory scientists
along the way. I am happy to offer advice to agriculture and food scientists,
researchers, and engineers in the food industry regarding food texture. I would
like to be a follower of Prof. Bourne and support young food texture scientists
in the 21st century. PRACTICAL APPLICATIONS: Food texture studies conducted
during the last century are beginning to evolve. Based on previous publications
in the Journal of Texture Studies, I believe that several areas can be further
examined in this century. First, methods to evaluate the textures of
heterogeneous materials, such as fruits and vegetables, require improvements.
Second, the monitoring of rapid changes in food texture during oral processing
should be considered during the design of food products. Third, a new
instrumental method that mimics the movement and breakdown of soft foods by the
tongue is being developed. The optimization of food processing to reduce the loss
of agro-products and production of high-quality foods both require more attention
according to the Sustainable Development Goals adopted by the United Nations in
2015.
PMID- 29377136
TI - Characterization and differentiation of sheep's milk from Greek breeds based on
physicochemical parameters, fatty acid composition and volatile profile.
AB - BACKGROUND: There are only limited studies in the literature attempting to
differentiate sheep's milk originating from different sheep breeds. In the
present study the physicochemical parameters (pH, percent fat, percent protein,
percent lactose and percent total solids), fatty acid composition and volatile
profile of raw milk from four autochthonous Greek breeds (Karagouniko, Mpoutsiko,
Artas and Chios) were determined. The objective of the present study was to
characterize and differentiate the sheep breeds based on the above analytical
parameters in combination with chemometrics. RESULTS: The overall correct
classification rate was 79.7%%, 84.4% and 100% based on physicochemical
parameters, fatty acid composition and volatile profile, respectively. Volatiles
proved to be the most effective of parameters analyzed for the differentiation of
sheep breed. Furthermore, the combination of physicochemical parameters and fatty
acid composition gave a correct classification rate equal to 96.9%. CONCLUSION:
Either volatile profile or the combination of physicochemical parameters and
fatty acid composition may be easily determined and used for the differentiation
of sheep's milk from four different Greek breeds, this being the novelty of the
present work. This may be very important in terms of PDO (Protected Designation
of Origin) and PGI (Protected Geographical Indication) products related to
specific geographical origin and sensory characteristics. (c) 2018 Society of
Chemical Industry.
PMID- 29377137
TI - Rab 10-a traffic controller in multiple cellular pathways and locations.
AB - Rab GTPases are key regulators of eukaryotic membrane traffic, and their
functions and activities are limited to particular intracellular transport steps
and their membrane localization is by and large restricted. Some Rabs do
participate in more than one transport steps, but broadly speaking, there is a
clear demarcation between exocytic and endocytic Rabs. One Rab protein, Rab10,
however, appears to be anomalous in this regard and has a diverse array of
functions and subcellular localizations. Rab10 has been implicated in a myriad of
activities ranging from polarized exocytosis and endosomal sorting in polarized
cells, insulin-dependent Glut4 transport in adipocytes, axonal growth in neurons,
and endo-phagocytic processes in macrophages. It's reported subcellular
localizations include the endoplasmic reticulum (ER), Golgi/TGN, the
endosomes/phagosomes and the primary cilia. In this review, we summarize and
discuss the multitude of known roles of Rab10 in cellular membrane transport and
the molecular players and mechanisms associated with these roles.
PMID- 29377138
TI - Utility of zinc protoporphyrin in management of whole blood donors.
AB - BACKGROUND: Deferral for low hemoglobin (Hb) increases the likelihood that donors
do not return for future donations. Zinc protoporphyrin (ZPP) has been described
as a sensitive marker of iron-deficient erythropoiesis, before Hb decreases. It
is a relatively cheap, rapid, and easy-to-perform measurement in a drop of whole
blood. To assess the utility of ZPP measurement in donor management we examined
whether ZPP and Hb levels among first-time donors differ from repeat donors. We
further explored whether ZPP increases over subsequent donations at a donor
population level and whether increasing ZPP levels coincide with decreasing Hb
levels and donor deferral. STUDY DESIGN AND METHODS: We included first-time (n =
4983) and repeat (n = 3533) whole blood donors from the ZPP and Iron in the
Netherlands Cohort (ZINC) study. ZPP and Hb were measured at each subsequent
donation during a 4-year period after inclusion in the study. RESULTS: Median ZPP
levels were higher in repeat than in first-time donors. In first-time donors,
especially women, ZPP levels were increased with a corresponding decline in Hb
levels over subsequent donations. ZPP levels were increased among first-time
donors deferred for low Hb. CONCLUSION: Our results suggest that adding ZPP to Hb
measurements in the daily blood collection setting, especially for first-time
donors and first-time female donors may add to the identification of a donor
subpopulation with low functional iron stores.
PMID- 29377139
TI - Late-onset Becker-type muscular dystrophy in a Border terrier dog.
AB - A 9-year-old Border terrier was presented to a referral hospital after a 1-year
history of progressive stiffness and exercise intolerance. Neurological
examination was consistent with a neuromuscular disorder. Serum creatine kinase
activity was mildly elevated. A myopathy was suspected based on MRI findings and
electrophysiological examination. Muscle histopathology was consistent with a
severe non-inflammatory myopathy of a dystrophic type. Immunofluorescence and
western blotting confirmed a dystrophinopathy with an 80-kDa truncated dystrophin
fragment similar to Becker muscular dystrophy in people. To our knowledge, this
is the first description of a late-onset Becker-type muscular dystrophy in a dog,
and the first description of a dystrophinopathy in a Border terrier. Muscular
dystrophy in dogs should not be ruled out based on late onset clinical signs and
only mildly elevated creatine kinase.
PMID- 29377140
TI - Patterns of diversity, endemism and specialization in the root symbiont
communities of alder species on the island of Corsica.
AB - We investigated whether the diversity, endemicity and specificity of alder
symbionts could be changed by isolation in a Mediterranean glacial refugium. We
studied both ectomycorrhizal (EM) fungi and nitrogen-fixing actinobacteria
associated with alders, and compared their communities in Corsica and on the
European continent. Nodules and root tips were sampled on the three alder species
present in Corsica and continental France and Italy. Phylogenies based on
internal transcribed spacer (ITS) and a multilocus sequence analysis approach
were used to characterize fungal and Frankia species, respectively. Patterns of
diversity, endemism and specialization were compared between hosts and regions
for each symbiont community. In Corsica, communities were not generally richer
than on the mainland. The species richness per site depended mainly on host
identity: Alnus glutinosa and Alnus cordata hosted richer Frankia and EM
communities, respectively. Half of the Frankia species were endemic to Corsica
against only 4% of EM species. Corsica is not a hotspot of diversity for all
alder symbionts but sustains an increased frequency of poor-dispersers such as
hypogeous fungi. Generalist EM fungi and host-dependent profusely sporulating
(Sp+) Frankia were abundantly associated with Corsican A. cordata, a pattern
related to a more thermophilic and xerophylic climate and to the co-occurrence
with other host trees.
PMID- 29377141
TI - Evaluation of HPV type-replacement in unvaccinated and vaccinated adolescent
females-Post-hoc analysis of a community-randomized clinical trial (II).
AB - Efficacy of human papillomavirus (HPV) vaccines promises to control HPV
infections. However, HPV vaccination programs may lay bare an ecological niche
for non-vaccine HPV types. We evaluated type-replacement by HPV type and
vaccination strategy in a community-randomized trial executed in HPV vaccination
naive population. Thirty-three communities were randomized to gender-neutral
vaccination with AS04-adjuvanted HPV16/18 vaccine (Arm A), HPV vaccination of
girls and hepatitis B-virus (HBV) vaccination of boys (Arm B) and gender-neutral
HBV vaccination (Arm C). Resident 1992-95 born boys (40,852) and girls (39,420)
were invited. 11,662 boys and 20,513 girls were vaccinated with 20-30% and 45-48%
coverage, respectively. HPV typing of 11,396 cervicovaginal samples was performed
by high throughput PCR. Prevalence ratios (PR) between arms and ranked order of
HPV types and odds ratio (OR) for having multiple HPV types in HPV16 or 18/45
positive individuals were calculated. The ranked order of HPV types did not
significantly differ between arms or birth cohorts. For the non-HPV vaccinated
1992-1993 birth cohorts increased PR, between the gender-neutral intervention
versus control arms for HPV39 (PRA 1.84, 95% CI 1.12-3.02) and HPV51 (PRA 1.56,
95% CI 1.11-2.19) were observed. In the gender-neutral arm, increased clustering
between HPV39 and the vaccine-covered HPV types 16 or 18/45 (ORA16 = 5.1,
ORA18/45 = 11.4) was observed in the non-HPV vaccinated 1994-1995 birth cohorts.
Comparable clustering was seen between HPV51 and HPV16 or HPV18/45 (ORB16 = 4.7,
ORB18/45 = 4.3), in the girls-only arm. In conclusion, definitively consistent
postvaccination patterns of HPV type-replacement were not observed. Future
occurrence of HPV39 and HPV51 warrant investigation.
PMID- 29377143
TI - Socioeconomic and behavioral determinants of tooth brushing frequency: results
from the representative French 2010 HBSC cross-sectional study.
AB - OBJECTIVES: To describe tooth brushing frequency and its association with a wide
range of socioeconomic and behavioral characteristics, using a nationally
representative sample of school-aged children in France. METHODS: Our sample
included 11,337 students aged from 10 to 16 years, who answered the HBSC
questionnaire. Some variables were grouped into composite variables, thus
generating scores for: eating habits, health and body, relationships with
parents, socioeconomic status (SES) of family, and school life. Multivariate
logistic regression analyses were used to study the relationship between these
variables and tooth brushing frequency. RESULTS: Girls were more likely to brush
twice a day than boys [adjusted Odds Ratio: aOR 2.47, 95 percent confidence
interval CI95% (1.97; 3.11), aOR 1.89, CI95% (1.56; 2.29), aOR 1.45, CI95% (1.25;
1.68) for low, mid, and high school life score, respectively]. Students were more
likely to brush twice a day when they had high (versus low) scores for healthy
eating habits [aOR = 1.60; 95 percent CI: (1.40; 1.83)], well-being concerning
health and body [aOR = 1.61; 95 percent CI: (1.40; 1.86)] and SES [aOR = 1.25; 95
percent CI: (1.09; 1.43)]. CONCLUSIONS: We believe that preventive health
campaigns should target school and family environments more specifically to reach
the most disadvantaged sections of the population and include promotion of whole
health. The messages should be designed to efficiently reach adolescents, e.g.,
by appealing to their maturity, self-esteem, and emotional factors. Through the
incorporation of qualitative research elements, identifying the reasons for not
brushing twice a day would also help to develop new prevention programs.
PMID- 29377142
TI - Mechanisms linking T-wave alternans to spontaneous initiation of ventricular
arrhythmias in rabbit models of long QT syndrome.
AB - KEY POINTS: T-wave alternans (TWA) and T-wave lability (TWL) are precursors of
ventricular arrhythmias in long QT syndrome; however, the mechanistic link
remains to be clarified. Computer simulations show that action potential duration
(APD) prolongation and slowed heart rates promote APD alternans and chaos,
manifesting as TWA and TWL, respectively. Regional APD alternans and chaos can
exacerbate pre-existing or induce de novo APD dispersion, which combines with
enhanced ICa,L to result in premature ventricular complexes (PVCs) originating
from the APD gradient region. These PVCs can directly degenerate into re-entrant
arrhythmias without the need for an additional tissue substrate or further
exacerbate the APD dispersion to cause spontaneous initiation of ventricular
arrhythmias. Experiments conducted in transgenic long QT rabbits show that PVC
alternans occurs at slow heart rates, preceding spontaneous intuition of
ventricular arrhythmias. ABSTRACT: T-wave alternans (TWA) and irregular beat-to
beat T-wave variability or T-wave lability (TWL), the ECG manifestations of
action potential duration (APD) alternans and variability, are precursors of
ventricular arrhythmias in long QT syndromes. TWA and TWL in patients tend to
occur at normal heart rates and are usually potentiated by bradycardia. Whether
or how TWA and TWL at normal or slow heart rates are causally linked to
arrhythmogenesis remains unknown. In the present study, we used computer
simulations and experiments of a transgenic rabbit model of long QT syndrome to
investigate the underlying mechanisms. Computer simulations showed that APD
prolongation and slowed heart rates caused early afterdepolarization-mediated APD
alternans and chaos, manifesting as TWA and TWL, respectively. Regional APD
alternans and chaos exacerbated pre-existing APD dispersion and, in addition, APD
chaos could also induce APD dispersion de novo via chaos desynchronization.
Increased APD dispersion, combined with substantially enhanced ICa,L , resulted
in a tissue-scale dynamical instability that gave rise to the spontaneous
occurrence of unidirectionally propagating premature ventricular complexes (PVCs)
originating from the APD gradient region. These PVCs could directly degenerate
into re-entrant arrhythmias without the need for an additional tissue substrate
or could block the following sinus beat to result in a longer RR interval, which
further exacerbated the APD dispersion giving rise to the spontaneous occurrence
of ventricular arrhythmias. Slow heart rate-induced PVC alternans was observed in
experiments of transgenic LQT2 rabbits under isoproterenol, which was associated
with increased APD dispersion and spontaneous occurrence of ventricular
arrhythmias, in agreement with the theoretical predictions.
PMID- 29377144
TI - Are the benefits of autonomy satisfaction and the costs of autonomy frustration
dependent on individuals' autonomy strength?
AB - OBJECTIVE: From a self-determination theory perspective, individuals are assumed
to benefit and suffer from, respectively, the satisfaction and frustration of the
psychological need for autonomy, even if they score low on autonomy strength.
Yet, previous studies on need strength are scarce, operationalized need strength
differently, and produced inconsistent findings. METHOD: In two studies among 224
South African adults (Mage = 24.13, SD = 4.25; 54.0% male) and 156 Belgian
prisoners (Mage = 38.60, SD = 11.68; 88.5% male), we investigated the moderating
role of autonomy valuation and desire in the relations of autonomy satisfaction
and frustration with a variety of well-being and ill-being indicators. RESULTS:
Study 1 provided some evidence for the moderating role of mostly explicit
autonomy desire (rather than explicit autonomy valuation). In Study 2, neither
explicit nor implicit autonomy desire played a consistent moderating role.
CONCLUSIONS: Overall, these findings are congruent with a moderate (albeit not
with a strong) interpretation of the universality claim made within self
determination theory, provide initial evidence for a differentiation between
deficit-based and growth-oriented interpersonal differences in need strength, and
indicate that the potential moderating role of need strength deserves continued
attention before any firm conclusions can be drawn.
PMID- 29377145
TI - Autosomal recessive congenital cataract in captive-bred vervet monkeys
(Chlorocebus aethiops).
AB - BACKGROUND: The aim of the study was to evaluate the genetic predisposition of
congenital cataract in a colony of captive-bred vervet monkeys. METHODS: Four
congenital cataract genes: glucosaminyl (N-acetyl) transferase 2 (GCNT2), heat
shock transcription factor 4 (HSF4), crystallin alpha A (CRYAA) and lens
intrinsic membrane protein-2 (LIM2) were screened, sequenced and analysed for
possible genetic variants in 36 monkeys. Gene expression was also evaluated in
these genes. RESULTS: Fifteen sequence variants were identified in the coding
regions of three genes (GCNT2, HSF4 and CRYAA). Of these variations, only three
were missense mutations (M258V, V16I and S24N) and identified in the GCNT2
transcripts A, B and C, respectively, which resulted in a downregulated gene
expression. CONCLUSION: Although the three missense mutations in GCNT2 have a
benign effect, a possibility exists that the candidate genes (GCNT2, HSF4 and
CRYAA) might harbour mutations that are responsible for total congenital
cataract.
PMID- 29377146
TI - Brasilonema lichenoides sp. nov. and Chroococcidiopsis lichenoides sp. nov.
(Cyanobacteria): two novel cyanobacterial constituents isolated from a tripartite
lichen of headstones.
AB - Cyanolichens are an assemblage of fungi and cyanobacteria from diverse,
cosmopolitan habitats. Typically composed of a single species of cyanobacterium,
with or without another eukaryotic alga, here we present two novel cyanobionts
isolated from an undescribed tripartite lichen. This endolithic lichen was
isolated from a granite cemetery tombstone from Jacksonville, FL, and contains
two potentially nitrogen-fixing cyanobionts. Employing a total evidence approach,
we characterized the cyanobionts using molecular (the 16S rDNA and ITS gene
region), morphological, and ecological data. Phylogenetic analyses revealed two
novel taxa: Brasilonema lichenoides and Chroococcidiopsis lichenoides, both of
which fell within well-supported clades. To our knowledge, this represents the
first instance of a tripartite lichen with two cyanobacterial and no eukaryotic
members. These types of lichens may well represent an unexplored reservoir of
cyanobacterial diversity. The specific epithets are proposed under the provisions
of the International Code of Nomenclature for algae, fungi, and plants.
PMID- 29377147
TI - The influence of Aloe vera gel incorporation on the physicochemical and
mechanical properties of banana starch-chitosan edible films.
AB - BACKGROUND: Aloe vera (AV) gel is a promising material in food conservation,
given its widely reported antimicrobial and antioxidant activity; however, its
application in the formation of edible films and coatings has been small owing
its low film-forming capability. The aim of this study was to investigate the
physicochemical properties of film-forming solutions and films prepared using
unripe banana starch-chitosan and AV gel at different AV gel concentrations.
RESULTS: Our results showed that AV gel considerably affected the rheological and
optical properties of the edible coatings, mainly due to increased amounts of
solids brought by the AV gel. Film-forming capacity and physicochemical
properties were also studied; most of the film properties were affected by the
inclusion of AV gel, with decreased water vapor permeability, tensile strength
and elongation at break. Fourier transform infrared studies showed that the
inclusion of AV gel disrupts the interaction between starch and chitosan
molecules; however, further studies are needed to fully understand the specific
interactions between the components of AV gel and both starch and chitosan
molecules. CONCLUSION: Our results suggest that the addition of AV gel creates a
crosslinking effect between the phenolic compounds in AV gel and starch
molecules, which disrupts the starch-chitosan interaction and greatly affects the
properties of both the film-forming solution and edible films. (c) 2018 Society
of Chemical Industry.
PMID- 29377148
TI - Framework for laboratory harmonization of folate measurements in low- and middle
income countries and regions.
AB - The measurement of serum and red blood cell folate, two commonly used biomarkers
of folate status in populations, is complicated by analytical and data
interpretation challenges. Folate results show poor comparability across
laboratories, even using the same analytical technique. The folate microbiologic
assay produces accurate results and requires simple instrumentation. Thus, it
could be set up and maintained in low- and middle-income country laboratories.
However, the assay has to be harmonized through the use of common critical
reagents (e.g., microorganism and folate calibrator) in order to produce
comparable results across laboratories and over time, so that the same cutoff
values can be applied across surveys. There is a limited need for blood folate
measurements in a country owing to the periodic nature of surveys. Having a
network of regional resource laboratories proficient in conducting the folate
microbiologic assay and willing and able to perform service work for other
countries will be the most efficient way to create an infrastructure wherein
qualified laboratories produce reliable blood folate data. Continuous
participation of these laboratories in a certification program can verify and
document their proficiency. If the resource laboratories conduct the work on a
fee-for-service basis, they could become self-sustaining in the long run.
PMID- 29377151
TI - Rationale for the clinical guidelines for myasthenia gravis in Japan.
AB - According to the 2014 Japanese clinical guidelines for myasthenia gravis, the
most important priority in treatment is maintaining patients' health-related
quality of life. Therefore, the initial treatment goal is defined as maintaining
a postintervention status of minimal manifestations or better (according to the
Myasthenia Gravis Foundation of America classification) with an oral prednisolone
dose of 5 mg/day or less. Every effort should be made to attain this level as
rapidly as possible. To achieve this goal, the guidelines recommend minimizing
the oral prednisolone dose, starting calcineurin inhibitors early in the course
of treatment, using intravenous methylprednisolone infusion judiciously (often
combined with plasma exchange/plasmapheresis or intravenous immunoglobulin), and
effectively treating patients with an early, fast-acting treatment strategy. The
early, fast-acting treatment strategy enables more frequent and earlier
attainment of the initial goal than other strategies. Thymectomy is considered an
option for treating nonthymomatous early-onset myasthenia gravis in patients with
antiacetylcholine receptor antibodies and thymic hyperplasia in the early stages
of the disease.
PMID- 29377150
TI - Cardioprotective effects of dietary rapamycin on adult female C57BLKS/J-Leprdb
mice.
AB - Rapamycin (RAPA), an inhibitor of mTORC signaling, has been shown to extend life
span in mice and other organisms. Recently, animal and human studies have
suggested that inhibition of mTORC signaling can alleviate or prevent the
development of cardiomyopathy. In view of this, we used a murine model of type 2
diabetes (T2D), BKS-Leprdb , to determine whether RAPA treatment can mitigate the
development of T2D-induced cardiomyopathy in adult mice. Female BKS-Leprdb mice
fed diet supplemented with RAPA from 11 to 27 weeks of age showed reduced weight
gain and significant reductions of fat and lean mass compared with untreated
mice. No differences in plasma glucose or insulin levels were observed between
groups; however, RAPA-treated mice were more insulin sensitive (P < 0.01) than
untreated mice. Urine albumin/creatinine ratio was lower in RAPA-treated mice,
suggesting reduced diabetic nephropathy and improved kidney function.
Echocardiography showed significantly reduced left ventricular wall thickness in
mice treated with RAPA compared with untreated mice (P = 0.02) that was
consistent with reduced heart weight/tibia length ratios, reduced myocyte size
and cardiac fibrosis measured by histomorphology, and reduced mRNA expression of
Col1a1, a marker for cardiomyopathy. Our results suggest that inhibition of mTORC
signaling is a plausible strategy for ameliorating complications of obesity and
T2D, including cardiomyopathy.
PMID- 29377149
TI - Misfolded proinsulin in the endoplasmic reticulum during development of beta cell
failure in diabetes.
AB - The endoplasmic reticulum (ER) is broadly distributed throughout the cytoplasm of
pancreatic beta cells, and this is where all proinsulin is initially made.
Healthy beta cells can synthesize 6000 proinsulin molecules per second.
Ordinarily, nascent proinsulin entering the ER rapidly folds via the formation of
three evolutionarily conserved disulfide bonds (B7-A7, B19-A20, and A6-A11). A
modest amount of proinsulin misfolding, including both intramolecular disulfide
mispairing and intermolecular disulfide-linked protein complexes, is a natural by
product of proinsulin biosynthesis, as is the case for many proteins. The steady
state level of misfolded proinsulin-a potential ER stressor-is linked to (1)
production rate, (2) ER environment, (3) presence or absence of naturally
occurring (mutational) defects in proinsulin, and (4) clearance of misfolded
proinsulin molecules. Accumulation of misfolded proinsulin beyond a certain
threshold begins to interfere with the normal intracellular transport of
bystander proinsulin, leading to diminished insulin production and hyperglycemia,
as well as exacerbating ER stress. This is most obvious in mutant INS gene
induced Diabetes of Youth (MIDY; an autosomal dominant disease) but also likely
to occur in type 2 diabetes owing to dysregulation in proinsulin synthesis, ER
folding environment, or clearance.
PMID- 29377153
TI - Clinical trials for myasthenia gravis: a historical perspective.
AB - Symposia dedicated to myasthenia gravis and related disorders date back to 1947
and serve as markers of the progress for the field. We provide a brief historical
review of therapy development through the lens of the publications that arose
from the close to quinquennial meetings that have been supported nearly since
their inception by the Myasthenia Gravis Foundation of America and the New York
Academy of Sciences. One can appreciate great advances, false starts, and dead
ends that are found in all fields of medicine. We tally up the score card for MG
and find points scored, but the win is not yet close.
PMID- 29377152
TI - A presynaptic congenital myasthenic syndrome attributed to a homozygous sequence
variant in LAMA5.
AB - We report a severe defect of neuromuscular transmission in a consanguineous
patient with a homozygous variant in the laminin alpha5 subunit gene (LAMA5). The
variant c.8046C > T (p.Arg2659Trp) is rare and has a predicted deleterious
effect. The affected individual, who also carries a rare homozygous sequence
variant in LAMA1, had normal cognitive function, but magnetic resonance brain
imaging showed mild volume loss and periventricular T2 prolongation. Repetitive
nerve stimulation at 2 Hz showed 50% decrement of compound muscle action
potential amplitudes but 250% facilitation immediately after exercise, similar to
that seen in Lambert-Eaton myasthenic syndrome. Endplate studies demonstrated a
profound reduction of the endplate potential quantal content but normal
amplitudes of miniature endplate potentials. Electron microscopy showed endplates
with increased postsynaptic folding that were denuded or only partially occupied
by small nerve terminals. Expression studies revealed that p.Arg2659Trp caused
decreased binding of laminin alpha5 to SV2A and impaired laminin-521 cell
adhesion and cell projection support in primary neuronal cultures. In summary,
this report describing severe neuromuscular transmission failure in a patient
with a LAMA5 mutation expands the list of phenotypes associated with defects in
genes encoding alpha-laminins.
PMID- 29377154
TI - A novel glycocluster molecule prevents timothy-induced allergic airway
inflammation in mice.
AB - BACKGROUND: Allergen-specific immunotherapy (SIT) effectively alleviates type I
allergic diseases characterized by T helper (Th)2-type immunity. Our recent
studies have shown that a synthetic trivalent glycocluster, triacedimannose
(TADM), suppresses the Th2-type allergic inflammation. The aim of this study was
to compare TADM with two well-known adjuvants, unmethylated cytosine-phosphate
guanine oligodeoxynucleotide (CpG) and monophosphoryl lipid A (MPLA) in a grass
allergen-induced chronic allergic inflammation model in mice. METHODS: Female
BALB/c mice were intranasally sensitized with 50 MUL of timothy grass pollen
extract (TE) twice a week for a period of 15 weeks. Therapeutic intranasal
treatments were then performed once a week after the tenth intranasal TE
instillation using TADM (10 or 25 MUg/50 MUL), CpG-ODN (20 MUg/50 MUL) or MPLA (2
MUg/50 MUL). Groups of 9-10 animals per treatment were killed 24 hours after the
last timothy dosage. Blood, bronchoalveolar lavage (BAL) fluids and lung biopsies
were taken for subsequent analysis. RESULTS: When mice were repeatedly exposed to
TE for 15 weeks, the number of eosinophils and lymphocytes increased in the BAL
fluids. The eosinophil and lymphocyte counts decreased dose-dependently and were
practically abolished in the mice treated with TADM. Treatments with MPLA or CpG
significantly increased the numbers of neutrophils, while CpG nonsignificantly
decreased eosinophilia compared to timothy exposure. CONCLUSIONS: A novel
synthetic glycocluster molecule inhibited the development of grass-induced
eosinophilic pulmonary inflammation in mice when administrated in the airways.
This compound could be a candidate to be used either as an adjuvant in SIT or as
a topical anti-inflammatory treatment.
PMID- 29377155
TI - A novel HD-ZIP IV/MIXTA complex promotes glandular trichome initiation and
cuticle development in Artemisia annua.
AB - Glandular trichomes and cuticles are both specialized structures that cover the
epidermis of aerial plant organs. The former are commonly regarded as
'biofactories' for producing valuable natural products. The latter are generally
considered as natural barriers for defending plants against abiotic and biotic
stresses. However, the regulatory network for their formation and relationship
remains largely elusive. Here we identify a homeodomain-leucine zipper (HD-ZIP)
IV transcription factor, AaHD8, directly promoting the expression of AaHD1 for
glandular trichome initiation in Artemisia annua. We found that AaHD8 positively
regulated leaf cuticle development in A. annua via controlling the expression of
cuticle-related enzyme genes. Furthermore, AaHD8 interacted with a MIXTA-like
protein AaMIXTA1, a positive regulator of trichome initiation and cuticle
development, forming a regulatory complex and leading to enhanced transcriptional
activity in regulating the expression of AaHD1 and cuticle development genes. Our
results reveal a molecular mechanism by which a novel HD-ZIP IV/MIXTA complex
plays a significant role in regulating epidermal development, including glandular
trichome initiation and cuticle formation.
PMID- 29377156
TI - Guided undersampling classification for automated radiation therapy quality
assurance of prostate cancer treatment.
AB - PURPOSE: To test the use of well-studied and widely used classification methods
alongside newly developed data-filtering techniques specifically designed for
imbalanced-data classification in order to demonstrate proof of principle for an
automated radiation therapy (RT) quality assurance process on prostate cancer
treatment. METHODS: A series of acceptable (majority class, n = 61) and erroneous
(minority class, n = 12) RT plans as well as a disjoint set of acceptable plans
used to develop features (n = 273) were used to develop a dataset for testing. A
series of five widely used imbalanced-data classification algorithms were tested
with a modularized guided undersampling procedure that includes ensemble-outlier
filtering and normalized-cut sampling. RESULTS: Hybrid methods including either
ensemble-outlier filtering or both filtering and normalized-cut sampling yielded
the strongest performance in identifying unacceptable treatment plans.
Specifically, five methods demonstrated superior performance in both area under
the receiver operating characteristics curve and false positive rate when the
true positive rate is equal to one. Furthermore, ensemble-outlier filtering
significantly improved results in all but one hybrid method (p < 0.01). Finally,
ensemble-outlier filtering methods identified four minority instances that were
considered outliers in over 96% of cross-validation iterations. Such instances
may be considered distinct planning errors and merit additional inspection,
providing potential areas of improvement for the planning process. CONCLUSIONS:
Traditional imbalanced-data classification methods combined with ensemble-outlier
filtering and normalized-cut sampling provide a powerful framework for
identifying erroneous RT treatment plans. The proposed methodology yielded strong
classification performance and identified problematic instances with high
accuracy.
PMID- 29377157
TI - Role of the carotid body chemoreceptors in glucose homeostasis and
thermoregulation in humans.
AB - The carotid bodies (CBs) are multi-modal sensory organs located bilaterally at
the bifurcation of the carotid artery and innervated by the carotid sinus nerve
(Hering's nerve), a branch of the IX cranial nerve. While the CBs (or
embryologically analogous structures) are well known as the dominant oxygen
sensing organ in vertebrates, in mammals there is evidence that the CBs may also
sense glucose and temperature, and respond to circulating hormones and other
factors. Additionally, the CBs likely participate in regulating baseline levels
of sympathetic tone. In this brief review, we focus on the evolution of our
efforts to understand 'what else' beyond oxygen sensing the CBs do in humans.
PMID- 29377158
TI - Comparative effectiveness clinical trials to advance treatment of myasthenia
gravis.
AB - Myasthenia gravis (MG) presents many challenges for establishing treatment
efficacy through clinical trials. Among these are the rarity and heterogeneity of
the disease, spontaneous fluctuations, prolonged latency to effect for many
immunosuppressive drugs, and the uncertain generalizability of results from
randomized controlled trials (RCTs). Prospective observational study designs may
overcome some of these limitations, but attention is required to ensure that
internal validity is not compromised. Observational comparative effectiveness
research (CER) utilizes data obtained during routine clinical care to evaluate
the effectiveness of interventions in real-life practice conditions, thereby
improving generalizability to the clinic. Compared with RCTs, observational CER
studies may be less resource intensive and costly. Recent advances that have
improved the feasibility of CER studies for MG are (1) the development of MG
common data elements, (2) the publication of international consensus guidance for
MG treatment, and (3) the development of a web-based REDCap database that can be
shared and adapted to standardize data collection. This infrastructure could be
used for multisite comparisons of commonly used therapies and provides
longitudinal information on patient- and clinician-centered MG outcome measures.
A challenge is to design studies that address the potential limitations of
observational trials, such as confounding and selection and information bias.
PMID- 29377159
TI - "Think Like a Man": How Sexual Cultural Scripting and Masculinity Influence
Changes in Men's Use of Intimate Partner Violence.
AB - The purpose of the study was to (a) explore the relationship between sexual
cultural scripting and traditional masculine norms on changes in intimate partner
violence (IPV) perpetration, and (b) examine traditional masculine norms as an
effect modifier among young heterosexual men. This study is a secondary data
analysis of a prospective cohort study of 119 young heterosexual men who were
followed for 6 months. The adjusted logistic regression results revealed that
sexual cultural scripting norms were associated with an increased odds of
emotional IPV perpetration and traditional masculine norms were associated with
an increased odds of physical IPV perpetration in the past 6 months. There were
no significant interaction effects between sexual cultural scripting and
traditional masculine norms on IPV perpetration. These findings suggest that
socially constructed norms and beliefs surrounding masculinity, femininity, and
how women and men interact in sexual relationships are important constructs for
understanding the etiology of young men's use of violence against a female
partner. While primary IPV interventions targeting young men do address
masculinity, sexual cultural scripting is an additional concept that should also
be addressed.
PMID- 29377161
TI - Phylogeny and species delineation in the marine diatom Pseudo-nitzschia
(Bacillariophyta) using cox1, LSU, and ITS2 rRNA genes: A perspective in
character evolution.
AB - Analyses of the mitochondrial cox1, the nuclear-encoded large subunit (LSU), and
the internal transcribed spacer 2 (ITS2) RNA coding region of Pseudo-nitzschia
revealed that the P. pseudodelicatissima complex can be phylogenetically grouped
into three distinct clades (Groups I-III), while the P. delicatissima complex
forms another distinct clade (Group IV) in both the LSU and ITS2 phylogenetic
trees. It was elucidated that comprehensive taxon sampling (sampling of
sequences), selection of appropriate target genes and outgroup, and alignment
strategies influenced the phylogenetic accuracy. Based on the genetic divergence,
ITS2 resulted in the most resolved trees, followed by cox1 and LSU. The
morphological characters available for Pseudo-nitzschia, although limited in
number, were overall in agreement with the phylogenies when mapped onto the ITS2
tree. Information on the presence/absence of a central nodule, number of rows of
poroids in each stria, and of sectors dividing the poroids mapped onto the ITS2
tree revealed the evolution of the recently diverged species. The morphologically
based species complexes showed evolutionary relevance in agreement with molecular
phylogeny inferred from ITS2 sequence-structure data. The data set of the
hypervariable region of ITS2 improved the phylogenetic inference compared to the
cox1 and LSU data sets. The taxonomic status of P. cuspidata and P.
pseudodelicatissima requires further elucidation.
PMID- 29377160
TI - IgG4-mediated autoimmune diseases: a niche of antibody-mediated disorders.
AB - Immunoglobulin 4 (IgG4) is one of four human IgG subclasses and has several
unique functional characteristics. It exhibits low affinity for complement and
for most Fc receptors. It furthermore has generally high affinity for its
antigen, with binding occurring in a monovalent fashion, as IgG4 can exchange Fab
arms with other IgG4 molecules. Because of these characteristics, IgG4 is
believed to block its targets and prevent inflammation, which, depending on the
setting, can have a protective or pathogenic effect. One example of IgG4
pathogenicity is muscle-specific kinase (MuSK) myasthenia gravis (MG), in which
patients develop IgG4 MuSK autoantibodies, resulting in muscle weakness. As a
consequence of the distinct IgG4 characteristics, the pathomechanism of MuSK MG
is very different from IgG1-and IgG3-mediated autoimmune diseases, such as
acetylcholine receptor MG. In recent years, new autoantibodies in a spectrum of
autoimmune diseases have been discovered. Interestingly, some were found to be
predominantly IgG4. These IgG4-mediated autoimmune diseases share many
pathomechanistic aspects with MuSK MG, suggesting that IgG4-mediated autoimmunity
forms a separate niche among the antibody-mediated disorders. In this review, we
summarize the group of IgG4-mediated autoimmune diseases, discuss the role of
IgG4 in MuSK MG, and highlight interesting future research questions for IgG4
mediated autoimmunity.
PMID- 29377162
TI - Serological and experimental studies in different forms of myasthenia gravis.
AB - Antibodies to the acetylcholine receptor (AChR) have been recognized for over 40
years and have been important in the diagnosis of myasthenia gravis (MG), and its
recognition in patients of different ages and thymic pathologies. The 10-20% of
patients who do not have AChR antibodies are now known to comprise different
subgroups, the most commonly reported of which is patients with antibodies to
muscle-specific kinase (MuSK). The use of cell-based assays has extended the
repertoire of antibody tests to clustered AChRs, low-density lipoprotein receptor
related protein 4, and agrin. Autoantibodies against intracellular targets,
namely cortactin, titin, and ryanodine receptor (the latter two being associated
with the presence of thymoma), may also be helpful as biomarkers in some
patients. IgG4 MuSK antibodies are clearly pathogenic, but the coexisting IgG1,
IgG2, and IgG3 antibodies, collectively, have effects that question the dominance
of IgG4 as the sole pathologic factor in MuSK MG. After a brief historical
review, we define the different subgroups and summarize the antibody
characteristics. Experiments to demonstrate the in vitro and in vivo pathogenic
roles of MuSK antibodies are discussed.
PMID- 29377163
TI - Sulfur amino acid restriction-induced changes in redox-sensitive proteins are
associated with slow protein synthesis rates.
AB - The mechanisms underlying life span extension by sulfur amino acid restriction
(SAAR) are unclear. Cysteine and methionine are essential for the biosynthesis of
proteins and glutathione (GSH), a major redox buffer in the endoplasmic reticulum
(ER). We hypothesized that SAAR alters protein synthesis by modulating the redox
milieu. Male F344-rats were fed control (CD: 0.86% methionine without cysteine)
and SAAR diets (0.17% methionine without cysteine) for 12 weeks. Growth rates,
food intake, cysteine and GSH levels, proteins associated with redox status and
translation, and fractional protein synthesis rates (FSRs) were determined in
liver. Despite a 40% higher food intake, growth rates for SAAR rats were 27% of
those fed CD. Hepatic free cysteine in SAAR rats was 55% compared with CD rats.
SAAR altered tissue distribution of GSH, as hepatic and erythrocytic levels were
56% and 196% of those in CD rats. Lower GSH levels did not induce ER stress
(i.e., unchanged expression of Xbp1s , Chop, and Grp78), but activated PERK and
its substrates eIF2-alpha and NRF2. SAAR-induced changes in translation
initiation machinery (higher p-eIF2-alpha and 4E-BP1, and lower eIF4G-1) resulted
in slower protein synthesis rates (53% of CD). Proteins involved in the
antioxidant response (NRF2, KEAP1, GCLM, and NQO1) and protein folding (PDI and
ERO1-alpha) were increased in SAAR. Lower FSR and efficient protein folding might
be improving proteostasis in SAAR.
PMID- 29377164
TI - Therapeutic applications of betulinic acid nanoformulations.
AB - Betulinic acid (BA), a naturally occurring plant-derived pentacyclic
triterpenoid, has gained attention in recent years owing to its broad-spectrum
biological and medicinal properties. Despite the pharmacological activity of BA,
it has been associated with some drawbacks, such as poor aqueous solubility and
short half-life in vivo, which limit therapeutic application. To solve these
problems, much work in recent years has focused on enhancing BA's aqueous
solubility, half-life, and efficacy by using nanoscale drug delivery systems.
Several different kinds of nanoscale delivery systems-including polymeric
nanoparticles, magnetic nanoparticles, liposomes, polymeric conjugates,
nanoemulsions, cyclodextrin complexes, and carbon nanotubes-have been developed
for the delivery of BA. Here, we focus on the recent developments of novel
nanoformulations used to deliver BA in order to improve its efficacy.
PMID- 29377165
TI - Pathophysiological mechanisms of autoimmunity.
AB - Autoimmune diseases (AIDs) are chronic disorders characterized by inflammatory
reactions against self-antigens that can be either systemic or organ specific.
AIDs can differ in their epidemiologic features and clinical presentations, yet
all share a remarkable complexity. AIDs result from an interplay of genetic and
epigenetic factors with environmental components that are associated with
imbalances in the immune system. Many of the pathogenic mechanisms of AIDs are
also implicated in myasthenia gravis (MG), an AID in which inflammation of the
thymus leads to a neuromuscular disorder. Our goal here is to highlight the
similarities and differences between MG and other AIDs by reviewing the common
transcriptome signatures and the development of germinal centers and by
discussing some unresolved questions about autoimmune mechanisms. This review
will propose hypotheses to explain the origin of regulatory T (Treg ) cell
defects and the causes of chronicity and specificity of AIDs.
PMID- 29377166
TI - Challenging the current model of early-onset myasthenia gravis pathogenesis in
the light of the MGTX trial and histological heterogeneity of thymectomy
specimens.
AB - The MGTX trial provided evidence that, in general, thymectomy is beneficial in
adult patients up to 60 years of age with anti-acetylcholine receptor-positive,
nonthymomatous myasthenia gravis (MG). This finding supports the long-held view
that the pathogenesis of this type of MG (early-onset MG (EOMG)) starts inside
the thymus, results in the long-term intrathymic recruitment of autoantibody
producing B cells and plasma cells, and eventually spreads to the peripheral
immune system. However, observed clinical responses to treatment in the MGTX
trial were diverse. This might be due to heterogeneous epidemiological and
genetic features of EOMG patients and variable durations of corticosteroid
treatment before surgery, including a paucity of patients that were
corticosteroid naive. Furthermore, the observed histological heterogeneity
suggests that a single pathogenetic model may not fully reflect the spectrum of
events that modify the course of EOMG. Here, we describe the morphology of the
normal and MG-associated thymus, how to evaluate morphological changes, and the
current pathogenetic model of EOMG and discuss how it could be refined by
integrating MGTX-derived histological findings in thymectomy specimens and
associated clinical observations.
PMID- 29377167
TI - Acetylcholine receptor-specific immunosuppressive therapy of experimental
autoimmune myasthenia gravis and myasthenia gravis.
AB - Experimental autoimmune myasthenia gravis (EAMG) and myasthenia gravis (MG) are
caused by autoantibodies to the extracellular domain of muscle nicotinic
acetylcholine receptors (AChRs). Autoantibodies to the cytoplasmic domain of
AChRs do not cause EAMG because they cannot bind AChRs in vivo. The ideal MG
therapy would quickly and permanently suppress only the pathological autoimmune
response to AChRs. We have developed a specific immunosuppressive therapy for
EAMG that involves immunizing rats with bacterially expressed cytoplasmic domains
of human muscle AChRs. Therapy prevents onset of chronic EAMG, rapidly suppresses
ongoing EAMG, and is potent, robust, long lasting, and safe, because the
therapeutic antigen cannot induce EAMG. The therapy was developed using
incomplete Freund's adjuvant, but is likely to work equally well with alum
adjuvants routinely used for human immunizations. Therapeutic mechanisms may
involve a combination of antibody-mediated feedback suppression and regulatory T
and/or B lymphocytes.
PMID- 29377168
TI - Technical Note: Feasibility study of titanium markers in choroidal melanoma
localization for proton beam radiation therapy.
AB - PURPOSE: The purpose of this study is to explore the feasibility of the use of
titanium fiducial markers to minimize the metallic artifact seen with tantalum
markers which causes significant distortion on postoperative orbital CT scans.
METHOD: We designed and constructed the titanium markers in the shop of Crocker
Nuclear Laboratory, UC Davis, CA. The markers were placed on an eyeball phantom.
The eyeball was inserted into the Rando phantom in the orbital space. The Rando
phantom was imaged with coplanar x rays on Nucletron simulator at UCSF, on
digital panel on the eye beam line at CNL eye treatment facility and on CT
scanner at UCSF. RESULTS: The titanium markers can be clearly seen on the hard
copy of x rays and on digital panel. The CT scan of an orbit using tantalum
markers on the right eye and titanium markers on the left eye shows the metal
artifact from tantalum markers. Titanium markers show very little distortion on
CT images. CONCLUSION: The present study describes these markers and their
relative benefit in comparison with tantalum marker, which has been used for
localizing ocular tumor for decades.
PMID- 29377169
TI - Interactive effects of LPS and dentine matrix proteins on human dental pulp stem
cells.
AB - AIM: To investigate the combinatorial effects of lipopolysaccharide (LPS) and
extracted dentine matrix proteins (eDMP) on regenerative and inflammatory
responses in human dental pulp stem cells (DPSCs). METHODOLOGY: Culture media
were supplemented with several concentrations of LPS, eDMP and combinations of
both. Cell viability was assessed over 1 week by MTT assay; cell survival was
evaluated after 24 h and 7 days by flow cytometry. The expression of
mineralization-associated marker genes was determined by real-time quantitative
polymerase chain reaction (RT-qPCR). To analyse the inflammatory response,
secretion of interleukin 6 (IL-6) was quantified in the initial and the late
phase of cell culture by enzyme-linked immunosorbent assay (ELISA). Data were
treated nonparametrically and Mann-Whitney U-tests were performed to compare all
experimental groups (alpha = 0.05). RESULTS: Whereas LPS had no impact on
viability, eDMP led to a concentration-dependent decrease, which was significant
after 7 days (P <= 0.024). A moderate decline of cell survival induced by LPS was
detected after 48 h (P <= 0.026), whereas eDMP was able to reverse this effect.
eDMP alone caused increased expression of tested marker genes, LPS had no
regulatory effect. Combined eDMP and LPS induced an upregulation of collagen type
I and osteocalcin, whereas expression levels of dentine matrix acidic
phosphoprotein and dentine sialophosphoprotein were similar to the control. IL-6
secretion was increased by LPS over time. eDMP markedly elevated initial
production of IL-6 (P <= 0.002), but suppressed LPS-induced cytokine production
in the later phase. CONCLUSIONS: Lipopolysaccharide did not affect cell viability
but interfered with odontoblast-like cell differentiation of DPSCs. Proteins from
the dentine matrix may have a protective effect, attenuate the detrimental impact
of LPS and thus play an important role during pulp repair.
PMID- 29377170
TI - Effect of root canal irrigation protocols on the dislocation resistance of
mineral trioxide aggregate-based materials: A systematic review of laboratory
studies.
AB - The aim of this systematic review was to address the question: Do different
irrigating protocols have an impact on the dislocation resistance of mineral
trioxide aggregate (MTA)-based materials? The review was performed using a well
defined search strategy in three databases (PubMed, Scopus, Web of Science) to
include laboratory studies performed between January 1995 and May 2017, in
accordance with PRISMA guidelines. Two reviewers analysed the papers, assessed
the risk of bias and extracted data on teeth used, sample size, size of root
canal preparation, type of MTA-based material, irrigants, canal filling method,
storage method and duration, region of roots and the parameters of push-out
testing (slice thickness, plunger dimensions and plunger loading direction), the
main results and dislocation resistance values (in MPa). From 255 studies, 27
were included for full-text analysis. Eight papers that met the inclusion
criteria were included in this review. There was a wide variation in dislocation
resistance due to differences in irrigation sequence, time and concentration of
irrigants, storage method and duration, and the parameters of push-out bond
strength testing. A meta-analysis was not done but qualitative synthesis of the
included studies was performed. No definitive conclusion could be drawn to
evaluate the effect of irrigation protocols on dislocation resistance of MTA
based materials. Recommendations have been provided for standardized testing
methods and reporting of future studies, so as to obtain clinically relevant
information and to understand the effects of irrigating protocols on root canal
sealers and their interactions with the dentine walls of root canals.
PMID- 29377171
TI - The effect of adjusting the pH of local anaesthetics in dentistry: a systematic
review and meta-analysis.
AB - The acidic nature of commercial local anaesthetics (LAs) can cause pain during
infiltration and delay the onset of anaesthesia. It is suggested that adjusting
the pH of anaesthetic agents could minimize these effects. This systematic review
aimed to evaluate the efficacy of buffered LAs in reducing infiltration pain and
onset time during dental procedures. MEDLINE, Embase, Scopus and Scielo databases
were searched up to April 2017. Randomized controlled trials comparing buffered
and unbuffered LAs for intraoral injections were included. Risk of bias was
assessed using the Cochrane Collaboration tool. Data upon injection pain and
onset time were pooled in a random-effects model. Subgroup analyses compared
normal and inflamed tissues, and terminal infiltrations and inferior alveolar
nerve (IAN) blocks. Meta-regressions were performed to explain heterogeneity.
Fourteen articles were included in this review. Lidocaine with epinephrine was
the most used anaesthetic combination. Nonlidocaine studies (n = 2) were not
pooled in the meta-analysis. Buffered lidocaine did not result in less pain
during intraoral injections: mean difference -6.4 (95% CI -12.81 to 0.01) units
in a 0-100 scale. Alkalinized lidocaine did not reduce the onset time in normal
tissues when terminal infiltration techniques were used, but resulted in a more
rapid onset for IAN blocks (-1.26 min) and in inflamed tissues (-1.37 min);
however, this change may not be clinically relevant, considering the time
required to prepare the buffered agent. Studies performed using other anaesthetic
salts did not show robust and clinically significant results in favour of
alkalinization.
PMID- 29377172
TI - High-risk inferior myocardial infarction: Can speckle tracking predict proximal
right coronary lesions?
AB - BACKGROUND: It is important to diagnose right ventricular (RV) infarction in the
setting of acute inferior myocardial infarction (MI). We aimed to improve the
diagnostic accuracy of RV infarction and identify a high-risk subset of inferior
MI patients with proximal RCA lesions. HYPOTHESIS: We tried to find the link
between speckle tracking and coronaries in high risk inferior infarction METHODS:
This study included 68 patients within 24 hours of first acute inferior MI. Group
1 (n = 49) isolated inferior MI; group 2 (n = 19) inferior and RV MI.
echocardiography for RV free wall longitudinal strain (FWLS), RV fractional area
change (FAC), tricuspid annular plane systolic excursion (TAPSE), RV myocardial
performance index (MPI) and peak systolic velocity (S'). RESULTS: Group 2 had
higher MPI by tissue Doppler and 2D-RV average FWLS, whereas RV FAC, S', and
TAPSE were lower (P < 0.001). In group 1, 14.4% had a significant proximal RCA
lesion with impaired RV function. RV average FWLS at a cutoff value >= - 19.7%
can predict proximal RCA culprit lesion with 91.7% sensitivity and 70.5%
specificity, which was detected as an independent predictor in multivariate
logistic regression (odds ratio: 37.75, P = 0.036). CONCLUSIONS: 2D RV average
FWLS at a cutoff of >= - 19.7% is a useful added tool for diagnosis of RV
involvement and an independent predictor to rule in proximal RCA culprit lesion
in inferior-wall MI patients in the emergency department.
PMID- 29377174
TI - Muddy puddles - the microbiology of puddles located outside tertiary university
teaching hospitals.
AB - : In the British Isles, the frequency of rain results in the formation of puddles
on footpaths and roads in/around hospitals. No data are available demonstrating
the microbiological composition of such puddles and therefore a study was
undertaken to examine the microbiology of puddles in the grounds of two tertiary
university-teaching hospitals (18 sites) and compared with control puddles from
non-hospital rural environments (eight sites), estimating (i) total viable count;
(ii) identification of organisms in puddles; (iii) enumeration of Escherichia
coli: (iv) detection of Extended Spectrum beta-Lactamase producing organisms and
(v) direct antimicrobial susceptibility testing. A mean count of 2.3 * 103 CFU
per ml and 1.0 * 109 CFU per ml was obtained for hospital and non-hospital
puddles respectively. Isolates (n = 77; 54 hospital and 23 non-hospital) were
isolated comprising of 23 species among 17 genera (hospital sites), where the
majority (10/16; 62.5%) of genera identified were Gram-negative approximately, a
fifth (20.6%) were shared by hospital and non-hospital rural samples. Escherichia
coli was detected in half of the hospital puddles and under-half (37.5%) of the
rural puddles extended spectrum beta-lactamase organisms were not detected in any
samples examined. Rainwater puddles from the hospital and non-hospital
environments contain a diverse range of bacteria, which are capable of causing
infections. SIGNIFICANCE AND IMPACT OF THE STUDY: This study demonstrated the
presence of a wide diversity of bacterial taxa associated with rainwater puddles
around hospitals, many of which are capable of causing human disease. Of clinical
significance is the presence of Pseudomonas aeruginosa isolated from a hospital
puddle, particularly for patients with cystic fibrosis. The presence of
potentially disease-causing bacteria in puddles in and around hospitals
identifies a new potential environmental reservoir of bacteria. Furthermore work
is now needed to define their potential of entering or exiting hospital wards by
contaminated footwear.
PMID- 29377173
TI - Prospective evaluation of antibody response to Streptococcus gallolyticus and
risk of colorectal cancer.
AB - The gut microbiome is increasingly implicated in colorectal cancer (CRC)
development. A subgroup of patients diagnosed with CRC show high antibody
responses to Streptococcus gallolyticus subspecies gallolyticus (SGG). However,
it is unclear whether the association is also present pre-diagnostically. We
assessed the association of antibody responses to SGG proteins in pre-diagnostic
serum samples with CRC risk in a case-control study nested within a prospective
cohort. Pre-diagnostic serum samples from 485 first incident CRC cases (mean time
between blood draw and diagnosis 3.4 years) and 485 matched controls in the
European Prospective Investigation into Nutrition and Cancer (EPIC) study were
analyzed for antibody responses to 11 SGG proteins using multiplex serology. Odds
ratios (OR) and 95% confidence intervals (CI) were estimated using multivariable
conditional logistic regression models. Antibody positivity for any of the 11 SGG
proteins was significantly associated with CRC risk with 56% positive controls
compared to 63% positive cases (OR: 1.36, 95% CI: 1.04-1.77). Positivity for two
or more proteins of a previously identified SGG 6-marker panel with greater CRC
specificity was also observed among 9% of controls compared to 17% of CRC cases,
corresponding to a significantly increased CRC risk (OR: 2.17, 95% CI: 1.44
3.27). In this prospective nested case-control study, we observed a positive
association between antibody responses to SGG and CRC development in serum
samples taken before evident disease onset. Further work is required to establish
the possibly etiological significance of these observations and whether SGG
serology may be applicable for CRC risk stratification.
PMID- 29377175
TI - The effect of maternal exposure to di-(2-ethylhexyl)-phthalate on fetal cardiac
development in mice.
AB - Accumulating evidence has suggested a link between maternal di-(2-ethylhexyl)
phthalate (DEHP) exposure and various developmental abnormalities. However, the
evidence regarding the effect of maternal DEHP exposure on fetal cardiac
development is scarce. The present study aimed to determine the effect of
maternal DEHP exposure on fetal cardiac development in mice and explore the
possible involved mechanism preliminarily. The C57BL mice were randomly divided
into four groups: the vehicle group (corn oil, n = 10), 250 mg kg-1 DEHP group (n
= 15), 500 mg kg-1 DEHP group (n = 20) and 1 g kg-1 DEHP group (n = 20). Pregnant
dams in different group received respective intervention by gavage once daily
from embryonic day (E)6.5 to E14.5. Maternal weights were monitored every day and
samples were collected at E15.5. Hematoxylin and eosin staining was used to
examine fetal cardiac malformations. Real-time quantitative polymerase chain
reaction and western blot were applied to detect peroxisome proliferator
activated receptor (PPAR)alpha/PPARgamma/Nkx2.5/Gata4/Tbx5/Mef2c/Chf1 mRNA and
protein expression, respectively. Maternal DEHP exposure significantly decreased
maternal body weight, fetal weight and placental weight, and remarkably elevated
fetal cardiac malformations rate. The phenotypes of cardiac anomalies mainly
include septal defects, ventricular myocardium noncompaction and cardiac
hypoplasia. Higher doses DEHP (500 mg kg-1 and 1 g kg-1 ) could significantly
decreased fetal cardiac Gata4/Mef2c/Chf1 expression, while PPARgamma expression
was upregulated. Maternal exposure to higher doses of DEHP could result in fetal
cardiac development malformations in mice and it might have resulted from the
inhibition of cardiac GATA4/Mef2c/Chf1 expression via PPARgamma activation.
PMID- 29377176
TI - Agrin and LRP4 antibodies as new biomarkers of myasthenia gravis.
AB - Myasthenia gravis (MG) is a common disorder that affects the neuromuscular
junction. It is caused by antibodies against acetylcholine receptor and muscle
specific tyrosine kinase; however, some MG patients do not have antibodies
against either of the proteins. Recent studies have revealed antibodies against
agrin and its receptor LRP4-both critical for neuromuscular junction formation
and maintenance-in MG patients from various populations. Results from
experimental autoimmune MG animal models indicate that anti-LRP4 antibodies are
causal to MG. Clinical studies have begun to reveal the significance of the new
biomarkers. With their identification, MG appears to be a complex disease entity
that can be classified into different subtypes with different etiology, each with
unique symptoms. Future systematic studies of large cohorts of well-diagnosed MG
patients are needed to determine whether each subtype of patients would respond
to different therapeutic strategies. Results should contribute to the goal of
precision medicine for MG patients. Anti-agrin and anti-LRP4 antibodies are also
detectable in some patients with amyotrophic lateral sclerosis or Lou Gehrig's
disease; however, whether they are a cause or response to the disorder remains
unclear.
PMID- 29377178
TI - Distinct patterns of gene expression in human cardiac fibroblasts exposed to
rapamycin treatment or methionine restriction.
AB - Both methionine restriction and rapamycin treatment are robust longevity
enhancing regimens for which the mechanisms remain unclear. Cellular senescence
is a major contributor to the aging process, and we find that both the methionine
and rapamycin regimens delay or prevent activation of the senescence program in
human cells. Using a transcriptome-wide analysis, we examined the impact of
methionine restriction and rapamycin treatment on senescence-associated gene
expression in human cardiac fibroblasts. Our findings have been integrated into
gene expression data sets from human lung and skin fibroblasts during senescence.
The data demonstrate both common and tissue-specific aspects to the senescent
phenotype in these cell types. For example, cardiac fibroblasts express brain
naturetic peptide, a clinically relevant marker for cardiac failure, whereas
senescent cells from all three tissues express at least one of the insulin-like
growth factor (IGF)-binding proteins. The IGF-binding proteins are tissue
specific mediators of IGF-1, a growth factor required for proliferation of all
tissues. These data suggest that senescent cells serve tissue-specific roles.
Moreover, the prolongevity regimens produce distinct patterns of gene expression.
PMID- 29377177
TI - EAACI Position paper on the standardization of nasal allergen challenges.
AB - Nasal allergen challenge (NAC) is an important tool to diagnose allergic
rhinitis. In daily clinical routine, experimentally, or when measuring
therapeutic success clinically, nasal allergen challenge is fundamental. It is
further one of the key diagnostic tools when initiating specific allergen
immunotherapy. So far, national recommendations offered guidance on its
execution; however, international divergence left many questions unanswered.
These differences in the literature caused EAACI to initiate a task force to
answer unmet needs and find a consensus in executing nasal allergen challenge. On
the basis of a systematic review containing nasal allergen challenges of the past
years, task force members reviewed evidence, discussed open issues, and studied
variations of several subjective and objective assessment parameters to propose a
standardized way of a nasal allergen challenge procedure in clinical practice.
Besides an update on indications, contraindications, and preparations for the
test procedure, main recommendations are a bilaterally challenge with
standardized allergens, with a spray device offering 0.1 mL per nostril. A
systematic catalogue for positivity criteria is given for the variety of
established subjective and objective assessment methods as well as a schedule for
the challenge procedure. The task force recommends a unified protocol for NAC for
daily clinical practice, aiming at eliminating the previous difficulty of
comparing NAC results due to unmet needs.
PMID- 29377179
TI - Targeting EGFRL858R/T790M and EGFRL858R/T790M/C797S resistance mutations in
NSCLC: Current developments in medicinal chemistry.
AB - Both the first-generation reversible epidermal growth factor receptor (EGFR)
inhibitors gefitinib and erlotinib and the second-generation covalent epidermal
growth factor receptor tyrosine kinase inhibitor (EGFR-TKI) afatinib have
significantly improved the survival of non-small-cell lung cancer (NSCLC)
patients with activating EGFR mutations. However, a secondary EGFRT790M mutation
leads to the clinically acquired resistance to the first- and second-generation
EGFR-TKIs drugs. A number of the third-generation wild-type sparing EGFR
inhibitors, for example, WZ4002, CO1686, AZD9291, HM61713, EGF816, ASP8173, and
PF0674775, have been developed, among which AZD9291 has been approved by US FDA
for the treatment of NSCLC patients with EGFRT790M . More recently, a tertiary
EGFRC797S mutation was reported as the dominant resistance mechanism to the third
generation irreversible inhibitors. It is highly desirable to develop the fourth
generation EGFR inhibitors. This review summarizes the mechanisms of acquired
resistance and the latest medicinal chemistry advances on the third- and fourth
generation EGFR inhibitors, with special attention being paid to the allosteric
and reversible inhibitors combating the tertiary EGFRC797S mutation.
PMID- 29377180
TI - Tissue influx of neutrophils and monocytes is delayed during development of
trovafloxacin-induced tumor necrosis factor-dependent liver injury in mice.
AB - Idiosyncratic drug-induced liver injury (iDILI) has a poorly understood
pathogenesis. However, iDILI is often associated with inflammatory stress signals
in human patients as well as animal models. Tumor necrosis factor (TNF) and
neutrophils play a key role in onset of trovafloxacin (TVX)-induced iDILI, but
the exact role of neutrophils and other leukocytes remains to be defined. We
therefore set out to study the kinetics of immunological changes during the
development of TVX-induced iDILI in the established murine model of acute liver
injury induced by administration of TVX and TNF. Initially, TNF stimulated the
appearance of leukocytes, in particular neutrophils, into the liver of TVX
treated mice, but even more so in control mice treated with the non-DILI inducing
analogue levofloxacin (LVX) or saline as vehicle (Veh). This difference was
apparent at 2 hours after TNF administration, but at 4 hours, the relative
neutrophil amounts were reduced again in Veh- and LVX-treated mice whereas the
amounts in TVX-treated mice remained at the same increased level as at 2 hours.
The influx of monocytes/macrophages, which was unaffected in Veh- and LVX-treated
mice was markedly reduced or even absent in TVX-treated mice. Unlike controls,
mice receiving TVX + TNF display severe hepatotoxicity with clear pathology and
apoptosis, coagulated hepatic vessels and increased alanine aminotransferase
levels and interleukin 6/10 ratios. Findings indicate that TVX delays the acute
influx of neutrophils and monocytes/macrophages. Considering their known anti
inflammatory functions, the disruption of influx of these innate immune cells may
hamper the resolution of initial cytotoxic effects of TVX and thus contribute to
liver injury development.
PMID- 29377181
TI - Efficacy of Glucose or Amino Acid-Based Commercial Beverages in Meeting Oral
Rehydration Therapy Goals After Acute Hypertonic and Isotonic Dehydration.
AB - BACKGROUND: The efficacy of different commercial beverage compositions for
meeting oral rehydration therapy (ORT) goals in the treatment of acute
dehydration in healthy humans has not been systematically tested. The objective
of the study was to compare fluid retention, plasma volume (PV), and interstitial
fluid (ISF) volume restoration when using 1 popular glucose-based and 1 novel
amino acid-based (AA) commercial ORT beverage following experimental hypertonic
or isotonic dehydration. METHODS: Twenty-six healthy adults (21 males, 5 females)
underwent either a controlled bout of hypertonic (n = 13) or isotonic (n = 13)
dehydration (3%-4% body mass) via eccrine or renal body water and electrolyte
losses induced using exercise-heat stress (EHS) or Lasix administration (LAS),
respectively. Rehydration was achieved over 90 minutes by matching fluid intake
to water losses (1:1) using a sports drink (SP) or AA commercial ORT beverage.
Fluid retention (water and electrolytes), PV, and ISF volume changes were tracked
for 180 minutes. RESULTS: AA produced significantly (P <0.05) greater fluid
retention (75% vs 57%), ISF volume restoration, and tended (P = 0.06) to produce
greater PV restoration in trial EHS. In trial LAS, neither beverage exceeded 65%
retention, but AA replaced electrolytes and preserved ISF volume better than SP
(P <0.05). CONCLUSION: The results of this study demonstrate superior rehydration
when using AA compared with SP for both hypertonic and isotonic dehydration.
PMID- 29377182
TI - Dobrava hantavirus variants found in Apodemus flavicollis mice in Kirklareli
Province, Turkey.
AB - Hantaviruses infect humans via inhalation of viral particles within secretions of
infected rodents or rarely through direct contact with infected rodents.
Determining the prevalence of hantavirus infections among rodent populations is
of vital importance to obtain information on hantavirus-related cases and to
predict possible outbreaks. We hypothesized that DOBV strains circulating in the
Thrace Region in Turkey would be related to other Balkan DOBV strains. In this
study, hantavirus infections in the rodent population of the Kirklareli-Igneada
Region (north-western Turkey, near the Bulgarian border) were investigated. This
region is of particular importance, as it is located in the south-eastern margin
of the European continent and was used as an entrance point of Asian faunal
elements into Europe. DOBV infection was detected in eight of 73 rodents; all
were of the Apodemus flavicollis species. Partial sequences of the viral S-, M-,
and L-genome segments were recovered and compared with previously reported DOBV
sequences. The newly characterized Turkish strains were similar to other DOBV
variants. Silent nucleotide mutations were dominant. The hantavirus prevalence in
the Igneada region was similar to what has been reported in Greece and Bulgaria.
For the first time, the M-segment sequences of DOBV from Turkey were recovered
and genetic data of hantaviruses from Thrace region of Turkey were obtained.
PMID- 29377183
TI - Identification of microRNAs as potential markers of ovarian toxicity.
AB - Exposure to environmental toxicants has been associated with ovarian dysfunction
yet sensitive biomarkers of adverse effect are lacking. We previously
demonstrated that cigarette smoke exposure induced decreased relative ovarian
weight, increased follicle loss and granulosa cell autophagy in mice. We
postulate that cigarette smoke exposure will induce changes in the epigenome that
can be used to reveal potential sensitive biomarkers of ovarian toxicity.
Therefore, we evaluated differences in expression of 940 microRNAs (miRNAs),
environmentally responsive small non-coding genes that regulate expression of
genes at the post-transcriptional level, in ovarian tissue from 8-week-old female
C57BL/6 mice exposed to room air or cigarette smoke 5 days per week for 8 weeks.
A total of 152 miRNAs were dysregulated in expression, 17 of which were examined
with quantitative polymerase chain reaction analysis. Using an online miRNA
database tool, complete lists of predicted miRNA gene targets were generated, 12
of which were measured for their expression levels with quantitative polymerase
chain reaction. An online bioinformatics resource database, DAVID generated
functional classification lists of the target genes and their associated
biological pathways. Results of the present pilot study suggest that miR-379, miR
15b, miR-691, miR-872 and miR-1897-5p are potentially useful markers of ovarian
toxicity and dysfunction. Examination of the expression pattern of the target
mRNA for these miRNA species demonstrated that cigarette smoke exposure induced
significant changes that affect mitogen-activated protein kinase signaling
pathways. We therefore suggest that miRNAs could serve as sensitive markers of
ovarian toxicity and elucidate affected pathways.
PMID- 29377184
TI - Relationship between mortality and rice cadmium concentration in inhabitants of
the polluted Jinzu River basin, Toyama, Japan: A 26 year follow-up.
AB - The aim of this study was to investigate the relationship between mortality and
rice cadmium (Cd) concentration in inhabitants of a polluted area in Japan. The
target subjects were inhabitants of the Jinzu River basin who participated in
health examinations for screening of renal dysfunction from 1979 to 1984. The
mean rice Cd concentration in each hamlet was used as an index of the Cd
exposure. We conducted a 26 year follow-up survey in 3281 inhabitants (1544 men
and 1737 women) whose data regarding the rice Cd concentration were available.
Mortality risk ratios for all and specific causes were estimated after
adjustments for age at baseline, smoking status and history of hypertension using
a Cox hazard model or Fine and Gray competing risks regression model. The
mortality risk ratios of rice Cd concentration (+0.1 ppm) for all causes in women
were significantly increased (risk ratio: 1.04). Furthermore, the relative risks
of rice Cd concentration for kidney and urinary tract disease, renal diseases,
renal failure and toxic effects of cadmium were significantly increased in both
sexes. These findings indicated that increased rice Cd concentration decreased
the prognosis for life over a long-term observation in women. This result
provides important information for determining the worldwide standard for
allowable rice Cd concentration.
PMID- 29377185
TI - In Vitro Comparison of Pediatric Oxygenators With and Without Integrated Arterial
Filters in Maintaining Optimal Hemodynamic Stability and Managing Gaseous
Microemboli.
AB - The purpose of this study was to compare the Capiox FX15 oxygenator with
integrated arterial filter to the Capiox RX15 oxygenator with separate Capiox
AF125 arterial filter in terms of hemodynamic properties and gaseous microemboli
(GME) capturing. Trials were conducted at varying flow rates (2.0 L/min, 3.0
L/min, 4.0 L/min), temperatures (30 degrees C, 35 degrees C), and flow modalities
(pulsatile, nonpulsatile). Pressure and flow waveforms were recorded using a
custom-made data acquisition system. GME data were recorded using an Emboli
Detection and Classification Quantifier after injecting a 5 mL air bolus into the
venous line. Maximum instantaneous pre-oxygenator flows reached 7.4 L/min under
pulsatile conditions when the roller pump was set to a flow rate of 4 L/min. Mean
pressure drops were slightly greater in the FX15 group (P < 0.0001), and the
diverted flow from the arterial purge line was slighter greater in the FX15 group
at 3 L/min and 4 L/min (P < 0.0001). There was a slight generation of surplus
hemodynamic energy (SHE) at the pre-oxygenator site for both oxygenators under
"nonpulsatile mode." However, higher pre-oxygenator SHE levels were recorded for
both groups with "pulsatile mode." The RX15 and FX15 groups were both able to
remove all microemboli from the circuit at 2 L/min and 3 L/min in "nonpulsatile
mode." Microemboli were delivered to the patient at 4 L/min with pulsatile flows
in both groups. The RX15 oxygenator with separate AF125 arterial filter and FX15
oxygenator with integrated arterial filter performed similarly in terms of
hemodynamic performance and microemboli capturing. Pulsatile flows at 4 L/min
produced instantaneous flow rates that surpassed the documented maximum flow
rates of the oxygenators and might have contributed to the delivery of GME to the
pseudo-patient.
PMID- 29377186
TI - Positive stereotypes, negative outcomes: Reminders of the positive components of
complementary gender stereotypes impair performance in counter-stereotypical
tasks.
AB - Gender stereotypes are complementary: Women are perceived to be communal but not
agentic, whereas men are perceived to be agentic but not communal. The present
research tested whether exposure to reminders of the positive components of these
gender stereotypes can lead to stereotype threat and subsequent performance
deficits on the complementary dimension. Study 1 (N = 116 female participants)
revealed that compared to a control/no-stereotype condition, exposure to
reminders of the stereotype about women's communality (but not to reminders of
the stereotype about women's beauty) impaired women's math performance. In Study
2 (N = 86 male participants), reminders of the stereotype about men's agency (vs.
a control/no-stereotype condition) impaired men's performance in a test of socio
emotional abilities. Consistent with previous research on stereotype threat, in
both studies the effect was evident among participants with high domain
identification. These findings extend our understanding of the potentially
adverse implications of seemingly positive gender stereotypes.
PMID- 29377187
TI - Evaluation of a laparoscopically assisted ovariectomy technique in cats.
AB - OBJECTIVE: To describe a laparoscopically assisted ovariectomy (LAO) technique in
the cat with a bipolar vessel sealing device (BVSD) or suture ligation and to
compare the outcomes to open ovariohysterectomy (OO). STUDY DESIGN: Randomized
prospective study ANIMALS: Healthy, adult, sexually intact female cats (n = 30).
METHODS: Ten cats were assigned to each group: LAO with BVSD (group A), LAO with
ligation (group B), and OO with ligation (group C). Surgical times and
complications were assessed. Serum glucose and cortisol were measured prior to
surgery and at 1, 2, 4, 6, 12, and 24 hours after surgery. Pain was scored by
using an interactive visual analog scale (IVAS) at 0, 1, 2, 4, 6, 8, 12, 18, 24,
36, and 48 hours after surgery. Rescue analgesia was provided when IVAS score was
4 or more. Physiological and serum values and IVAS scores were compared among
groups with area under the curve (AUC) by using a 1-way ANOVA. RESULTS: Surgical
time was shortest for group C (19.1 +/- 5.2 minutes; P < .0002); there was no
significant difference between groups A (27.7 +/- 6.6 minutes) and B (33.2 +/-
8.2 minutes). All procedures were completed successfully. No significant
differences among groups were found in IVAS scores (P = .36), rescue analgesia (P
= .22), glucose AUC (P = .53), or cortisol AUC (P = .27). CONCLUSION: The LAO
technique was accomplished as described in all cats with no complications or
failures. Pain scores were not different from cats undergoing OO. LAO can be
performed efficiently to maximize the benefits of minimally invasive surgery and
is amenable to clinical practice.
PMID- 29377188
TI - EARLY PARENTING SUPPORT AND INFORMATION: A CONSUMER PERSPECTIVE.
AB - The transition to parenthood is a period of both joy and challenge for most
parents. There is a recognized need to support parents during this period, yet
existing interventions have shown limited evidence of efficacy. This study takes
a consumer-focused approach to examine the needs and preferences of parents both
prenatally (n = 77) and postnatally (n = 123) for parenting support. The study
used a cross-sectional design with a purpose-built online survey. Parents were
recruited via online forums, Facebook and parenting blogs, childcare centers, and
playgroups. In general, all parents were satisfied with their current levels of
both formal and informal support, and about one fourth of parents had accessed a
parenting intervention. Parents expressed a moderate level of interest in
additional parenting information, and parents expecting their first baby
indicated preferences for information about basic baby care needs whereas
postnatally, parents expressed more interest in topics around self-care and
behavior management. The implications for developing interventions and engaging
families are discussed.
PMID- 29377189
TI - Systemic bone marker expression induced by grey and white mineral trioxide
aggregate in normal and diabetic conditions.
AB - AIM: To investigate the relationship between diabetes mellitus and local/systemic
effects of both grey and white mineral trioxide aggregate (MTA) Angelus on bone
marker expression. METHODOLOGY: Wistar rats were divided into two groups: healthy
and diabetic (Alloxan induced), which were further divided into three subgroups
(control, GMTA Angelus and WMTA Angelus). Polyethylene tubes filled with MTA
materials or empty tubes were implanted in dorsal connective tissue. On days 7
and 30, blood samples were collected for calcium, phosphorus and ALP measurement.
The animals were euthanized; implanted tubes were removed and processed for
immunohistochemical analysis of osteocalcin (OCN) and osteopontin (OPN). Kruskal
Wallis followed by Dunn's multiple comparison test was performed for
nonparametric data, and anova followed by Tukey's test for parametric data.
RESULTS: No difference in systemic serum calcium levels between both groups was
observed. On day 7, serum phosphorus levels within the WMTA healthy group were
higher than that of the diabetic group. On day 30, healthy rats exhibited lower
phosphorus levels than diabetic ones. At both time points, the diabetic group was
associated with more ALP activity than the healthy group. Immunohistochemical
analyses of the healthy group revealed OCN- and OPN-positive cells in the
presence of both MTA materials. However, under diabetic conditions, both OCN and
OPN were absent. CONCLUSION: Both MTA materials were associated with an increase
in serum calcium, phosphorus and ALP, suggesting a potential systemic effect,
along with triggered differentiation of OCN- and OPN-positive cells. Moreover, in
diabetic conditions, an inhibitory effect on MTA-induced differentiation of OCN-
and OPN-positive cells was detected.
PMID- 29377190
TI - Human placental oxygenation in late gestation: experimental and theoretical
approaches.
AB - The placenta is crucial for life. It is an ephemeral but complex organ acting as
the barrier interface between maternal and fetal circulations, providing exchange
of gases, nutrients, hormones, waste products and immunoglobulins. Many gaps
exist in our understanding of the detailed placental structure and function,
particularly in relation to oxygen handling and transfer in healthy and
pathological states in utero. Measurements to understand oxygen transfer in vivo
in the human are limited, with no general agreement on the most appropriate
methods. An invasive method for measuring partial pressure of oxygen in the
intervillous space through needle electrode insertion at the time of Caesarean
sections has been reported. This allows for direct measurements in vivo whilst
maintaining near normal placental conditions; however, there are practical and
ethical implications in using this method for determination of placental
oxygenation. Furthermore, oxygen levels are likely to be highly heterogeneous
within the placenta. Emerging non-invasive techniques, such as MRI, and ex vivo
research are capable of enhancing and improving current imaging methodology for
placental villous structure and increase the precision of oxygen measurement
within placental compartments. These techniques, in combination with mathematical
modelling, have stimulated novel cross-disciplinary approaches that could advance
our understanding of placental oxygenation and its metabolism in normal and
pathological pregnancies, improving clinical treatment options and ultimately
outcomes for the patient.
PMID- 29377192
TI - Monitoring pesticide residues in dates marketed in Al-Qassim, Saudi Arabia using
a QuEChERS methodology and liquid chromatography-tandem mass spectrometry.
AB - A sensitive, simple and rapid QuEChERS extraction method and liquid
chromatography equipped with triple quadrupole mass spectrometry (LC-MS/MS) were
used to determine 42 pesticides in dates. Acidified acetonitrile and citrate
buffer salts were used to extract re-hydrated samples. Acceptable validation
performances were achieved, i.e. recovery range of 70-120% and RSD values <=20%
for 42 analytes at three different concentrations:100, 50 and 10 MUg/kg. This
method was used to analyse 200 date fruit samples (var. Sukkari) collected from
different large markets in the Al-Qassim region in Saudi Arabia. Pesticide
residues were detected in 36 (18%) of the date fruits samples, and 15 samples
(7.5%) exceeded the maximum residue levels. The ruggedness test results showed
that this method is robust and suitable for the determination of pesticide
residues in dates. Additionally, the results showed that the monitored dates did
not have a health impact on consumers in Saudi Arabia during the study period.
PMID- 29377191
TI - Psychometric properties of the PROMIS short form measures in a U.S. cohort of 961
patients with chronic hepatitis C prescribed direct acting antiviral therapy.
AB - BACKGROUND: To better understand symptoms experienced by patients infected with
chronic hepatitis C virus (HCV), valid and reliable patient-reported outcome
(PRO) measures are needed. AIM: To assess the reliability and validity of 10
patient-reported outcomes measurement information system (PROMIS) measures and
the Headache Impact Test-6 (HIT-6) in a large national sample of patients with
HCV. METHODS: Pre-treatment data from 961 patients with HCV starting direct
acting antiviral therapy at 11 U.S. liver centers were analyzed. Internal
reliability was evaluated using Cronbach's alpha coefficient; frequency
distributions were examined for floor and ceiling effects; structural validity
was investigated via item-response-theory models; convergent validity was
evaluated using correlations with theoretically-similar items from the HCV-PRO
and memorial symptom assessment scale (MSAS); and known-groups validity was
investigated by observing PRO differences by liver disease status and number of
comorbidities. RESULTS: The HIT-6 and the majority of the PROMIS measures yielded
excellent reliability (alphas >= 0.87). Ceiling effects were infrequent ( < 4%),
while 30%-59% of patients reported no symptoms (floor effects). The data
supported structural validity of the HIT-6 and most PROMIS measures. The PROMIS
measures showed moderate to strong correlations with theoretically-similar items
from the HCV-PRO and MSAS (0.39-0.77). Trends were observed between worse PRO
scores and advanced cirrhosis and greater number of comorbidities, lending
support for known-groups validity. CONCLUSIONS: The psychometric properties of
the HIT-6 and PROMIS measures performed satisfactorily in this large cohort of
patients with HCV starting direct acting antiviral therapy. Opportunities exist
for further refinement of these PROs. Evaluation of performance over time and in
under-represented subgroups is needed.
PMID- 29377193
TI - Von Willebrand factor indicates bacterial translocation, inflammation, and
procoagulant imbalance and predicts complications independently of portal
hypertension severity.
AB - BACKGROUND: Elevated plasma von Willebrand factor antigen (vWF) has been shown to
indicate the presence of clinically significant portal hypertension, and thus,
predicts the development of clinical events in patients with cirrhosis. AIM: To
investigate the impact of bacterial translocation and inflammation on vWF, as
well as the association between vWF and procoagulant imbalance. Moreover, we
assessed whether vWF predicts complications of cirrhosis, independent of the
severity of portal hypertension. METHODS: Our study population comprised 225
patients with hepatic venous pressure gradient (HVPG) >= 10 mm Hg without active
bacterial infections or hepatocellular carcinoma. RESULTS: vWF correlated with
markers of bacterial translocation (lipopolysaccharide-binding protein [LBP; rho
= 0.201; P = 0.021]), inflammation (interleukin 6 [IL-6; rho = 0.426; P < 0.001]
and C-reactive protein [CRP; rho = 0.249; P < 0.001]), and procoagulant imbalance
(factor VIII/protein C ratio; rho = 0.507; P < 0.001). Importantly, the
associations between vWF and these parameters were independent of HVPG. Moreover,
vWF (per 10%) independently predicted variceal bleeding (hazard ratio [HR]: 1.08
[95% confidence interval (95% CI): 1.01-1.16]; P = 0.023), requirement of
paracentesis (HR: 1.05 [95% CI: 1.01-1.1]; P = 0.023) and bacterial infections
(HR: 1.04 [95% CI: 1-1.09]; P = 0.04) including spontaneous bacterial peritonitis
(HR: 1.09 [95% CI: 0.999-1.18]; P = 0.053) on a trend-wise level. After backward
elimination, vWF (HR: 1.05 [95% CI: 1.02-1.08]; P = 0.003) and CRP (per 10 mg/L;
HR: 1.53 [95% CI: 1.14-2.05]; P = 0.005) remained in the final model for
transplant-free mortality. Finally, the independent prognostic value of vWF/CRP
groups for mortality was confirmed by competing risk analysis. CONCLUSION: Our
results demonstrate that vWF is not only a marker of portal hypertension but also
independently linked to bacterial translocation, inflammation and procoagulant
imbalance, which might explain its HVPG-independent association with most
clinical events. Prognostic groups based on vWF/CRP efficiently discriminate
between patients with a poor 5-year survival and patients with a favourable
prognosis.
PMID- 29377194
TI - Effect of ultraviolet irradiation on free radical scavenging activity of
immunosuppressants used in lung transplantation and comparative electron
paramagnetic resonance study of kinetics of their interactions with model free
radicals.
AB - WHAT IS KNOWN AND OBJECTIVE: The immunosuppressive drugs used in solid organ
transplantation or autoimmunological processes were studied by electron
paramagnetic resonance (EPR) spectroscopy to estimate their free radical
scavenging activity. The interactions of immunosuppressants with free radicals
were examined by an X-band (9.3 GHz) EPR spectroscopy and a model of DPPH free
radicals. METHODS: The EPR spectra of DPPH and DPPH interacting with individual
drugs were compared. Kinetic studies were performed, and the effect of
ultraviolet (UV) irradiation on the free radical scavenging activity of the
tested drugs was determined. RESULTS AND DISCUSSION: The free radical scavenging
activity of non-irradiated drugs decreased in the order: rapamycin >
mycophenolate mofetil > ciclosporin > tacrolimus. UV irradiation increased the
free radical scavenging activity of all the tested immunosuppressive drugs, and
the effect was highest for tacrolimus. For the non-irradiated samples, the speed
of free radical interactions decreased in the order: ciclosporin > tacrolimus >
mycophenolate mofetil > rapamycin. UV irradiation only slightly affected the
speed of interactions of the immunosuppressive drugs with the model DPPH free
radicals. WHAT IS NEW AND CONCLUSION: Electron paramagnetic resonance
spectroscopy is useful for obtaining information on interactions of
immunosuppressive drugs with free radicals. We hypothesized that the long-term
immunosuppressive effects of these drugs after transplantation or during
autoimmune disorders may be mediated by anti-inflammatory action in addition to
the known receptor/cell cycle inhibition.
PMID- 29377195
TI - Lognormal Approximations of Fault Tree Uncertainty Distributions.
AB - Fault trees are used in reliability modeling to create logical models of fault
combinations that can lead to undesirable events. The output of a fault tree
analysis (the top event probability) is expressed in terms of the failure
probabilities of basic events that are input to the model. Typically, the basic
event probabilities are not known exactly, but are modeled as probability
distributions: therefore, the top event probability is also represented as an
uncertainty distribution. Monte Carlo methods are generally used for evaluating
the uncertainty distribution, but such calculations are computationally intensive
and do not readily reveal the dominant contributors to the uncertainty. In this
article, a closed-form approximation for the fault tree top event uncertainty
distribution is developed, which is applicable when the uncertainties in the
basic events of the model are lognormally distributed. The results of the
approximate method are compared with results from two sampling-based methods:
namely, the Monte Carlo method and the Wilks method based on order statistics. It
is shown that the closed-form expression can provide a reasonable approximation
to results obtained by Monte Carlo sampling, without incurring the computational
expense. The Wilks method is found to be a useful means of providing an upper
bound for the percentiles of the uncertainty distribution while being
computationally inexpensive compared with full Monte Carlo sampling. The
lognormal approximation method and Wilks's method appear attractive, practical
alternatives for the evaluation of uncertainty in the output of fault trees and
similar multilinear models.
PMID- 29377196
TI - Direct-acting antiviral sustained virologic response: Impact on mortality in
patients without advanced liver disease.
AB - : The impact of sustained virologic response (SVR) on mortality after direct
acting antiviral (DAA) treatment is not well documented in patients without
advanced liver disease and affects access to treatment. This study evaluated the
impact of SVR achieved with interferon-free DAA treatment on all-cause mortality
in hepatitis C virus-infected patients without advanced liver disease. This
observational cohort analysis was comprised of 103,346 genotype 1, 2, and 3,
hepatitis C virus-monoinfected patients without advanced liver disease, defined
by FIB-4 <=3.25 and no diagnosis of cirrhosis, hepatic decompensation, or
hepatocellular carcinoma or history of liver transplantation, identified from the
Veterans Affairs Hepatitis C Clinical Case Registry. Among 40,664 patients
treated with interferon-free DAA regimens, 39,374 (96.8%) achieved SVR and 1,290
(3.2%) patients were No SVR; 62,682 patients constituted the untreated cohort.
The mortality rate for SVR patients of 1.18 deaths/100 patient-years was
significantly lower than the rates for both No SVR patients (2.84 deaths/100
patient-years; P < 0.001) and untreated patients (3.84 deaths/100 patient-years;
P < 0.001). SVR patients with FIB-4 <1.45 and 1.45-3.25 had a 46.0% (P = 0.036)
and 63.2% (P < 0.001) reduction in mortality rates, respectively, compared to No
SVR patients and 66.7% (P < 0.001) and 70.6% (P < 0.001) reduction in mortality
rates, respectively, compared to untreated patients. In multivariate Cox
proportional hazard models controlling for baseline demographics, clinical
characteristics, and comorbidities, SVR was independently associated with reduced
risk of death compared to No SVR (hazard ratio, 0.44; 95% confidence interval,
0.32-0.59; P < 0.001) and compared to untreated patients (hazard ratio, 0.32; 95%
confidence interval, 0.29-0.36; P < 0.001). CONCLUSION: Successfully treating
hepatitis C virus with DAAs in patients without clinically apparent advanced
liver disease translates into a significant mortality benefit. (Hepatology 2018).
PMID- 29377197
TI - Visible Light-Induced Radical Mediated DNA Damage.
AB - Light-responsive compounds have been used to manipulate biological systems with
spatial and temporal control of the event of interest. Illumination of
alkylcobalamins with green light (>500 nm) produces carbon-centered radicals,
which have been demonstrated to effectively cause DNA damage. Molecules that
cause DNA and RNA strand scission are useful for studying polynucleotide
structure and the binding of small molecules and proteins to polynucleotides.
Most molecules that cause DNA damage in a light-dependent manner require high
energy, short wavelength ultraviolet light, which is readily absorbed by
nucleotide bases causing damage to the polynucleotides. Therefore, using
alkylcobalamins is advantageous for causing strand scission of polynucleotides,
because they are activated by light wavelengths that are not absorbed by
nucleotide bases. Green-light illumination of methylcobalamin effectively causes
DNA strand scission based on gel mobility assays. This cleavage is due to the
generation of carbon-centered radicals based on the results of a radical trapping
study. In addition, synthesis of an alkylcobalamin with a DNA binding moiety,
spermine, improves DNA cleavage efficacy by an order of magnitude in comparison
with methylcobalamin.
PMID- 29377198
TI - Updates on obesity pharmacotherapy.
AB - Obesity is a chronic, relapsing disease that necessitates a multidisciplinary
approach to management. Behavioral changes are the foundation to management, but
adjunctive therapy is often warranted, including pharmacologic therapies and/or
bariatric surgery. Until recently, treatment options included only short-term
therapy (<=12 weeks), and paths beyond that schedule were challenging, as
knowledge of the biology of obesity was lacking. With increased recognition of
obesity as a chronic, complex medical disease, newer agents have been approved as
long-term therapy, and the cornerstone of treatment is chronic behavior and
lifestyle change. In the last decade, the Food and Drug Administration (FDA) has
approved several new weight loss medications for the chronic management of
obesity. In this review paper, we provide the latest updates on obesity
pharmacotherapy. The main areas we will cover include (1) pharmacological
management of obesity, (2) a review of FDA-approved weight loss medications, (3)
comanagement of obesity and its metabolic sequelae (type 2 diabetes mellitus,
hypertension, and dyslipidemia), and (4) obesity-centric prescribing for mental
illness, neurological disorders, and contraceptive planning.
PMID- 29377199
TI - Piecing together the puzzle of pancreatic islet adaptation in pregnancy.
AB - Pregnancy places acute demands on maternal physiology, including profound changes
in glucose homeostasis. Gestation is characterized by an increase in insulin
resistance, counterbalanced by an adaptive increase in pancreatic beta cell
production of insulin. Failure of normal adaptive responses of the islet to
increased maternal and fetal demands manifests as gestational diabetes mellitus
(GDM). The gestational changes and rapid reversal of islet adaptations following
parturition are at least partly driven by an anticipatory program rather than
post-factum compensatory adaptations. Here, I provide a comprehensive review of
the cellular and molecular mechanisms underlying normal islet adaptation during
pregnancy and how dysregulation may lead to GDM. Emerging areas of interest and
understudied areas worthy of closer examination in the future are highlighted.
PMID- 29377200
TI - Precision medicine in diabetes: an opportunity for clinical translation.
AB - Metabolic disorders present a public health challenge of staggering proportions.
In diabetes, there is an urgent need to better understand disease heterogeneity,
clinical trajectories, and related comorbidities. A pressing and timely question
is whether we are ready for precision medicine in diabetes. Some biological
insights that have emerged during the last decade have already been used to
direct clinical decision making, especially in monogenic forms of diabetes.
However, much work is necessary to integrate high-dimensional explorations into
complex disease architectures, less penetrant biological alterations, and broader
phenotypes, such as type 2 diabetes. In addition, for precision medicine to take
hold in diabetes, reproducibility, interpretability, and actionability remain key
guiding objectives. In this review, we examine how mounting data sets generated
during the last decade to understand biological variability are now inspiring new
venues to clarify diabetes nosology and ultimately translate findings into more
effective prevention and treatment strategies.
PMID- 29377203
TI - Media Smart-Targeted: Diagnostic outcomes from a two-country pragmatic online
eating disorder risk reduction trial for young adults.
AB - BACKGROUND: Diagnostic outcomes in eating disorder (ED) risk reduction trials are
important but rarely reported. METHODS: An online pragmatic randomized-controlled
trial was conducted with young-adult women in Australia and New Zealand seeking
to improve their body image. Media Smart-Targeted (MS-T) was a 9-module program
released weekly while control participants received tips for positive body image.
Eating Disorder Examination-Questionnaire (EDE-Q) scores from baseline and 12
month follow-up were used to investigate two outcomes: ED onset in those who were
asymptomatic at baseline (prevention effects); and, ED remission in those who met
diagnosis at baseline (treatment effects). RESULTS: MS-T participants were 66%
less likely than controls to develop an ED by 12-month follow-up
(nonsignificant). MS-T participants who met ED criteria at baseline were 75% less
likely than controls to still meet diagnostic criteria at follow-up. This effect
was significant and remained so for both those who did and who did not access
external face-to-face ED treatment during the trial. CONCLUSIONS: While further
investigations are necessary, MS-T has fully automated procedures, low
implementation costs, the potential to be delivered at-scale to assist those
assist those where face-to-face services are limited or not available (e.g.,
remote areas).
PMID- 29377204
TI - Meta-Analysis of Early Enteral Nutrition Provided Within 24 Hours of Admission on
Clinical Outcomes in Acute Pancreatitis.
AB - BACKGROUND: Enteral nutrition (EN) is more beneficial than parenteral nutrition
(PN) in reducing organ failure, infectious complications, and mortality of acute
pancreatitis (AP), but its timing is controversial. We attempted to evaluate the
safety and clinical outcomes of early EN within 24 hours of admission in patients
with AP, especially in predicted severe or severe acute pancreatitis (SAP).
METHODS: We searched PubMed, EMBASE Databases, Web of Science, and the Cochrane
Library for relevant articles before June 2016 using RevMan 5.2 software.
RESULTS: Eight studies containing 727 patients with AP were analyzed in the meta
analysis. Comparing early EN to late EN or total parental nutrition in AP, the
odds ratios (OR) were 0.56 (95% CI 0.23 -1.34) for the risk of mortality, 0.40
(95% CI 0.20-0.79) for multiple organ failure, 0.57 (95% CI 0.23-1.42) for
infectious complications, 0.45 (95% CI 0.17-1.21) for adverse events, and 0.83
(95% CI 0.59-1.18) for pancreatic-related infections. Furthermore, subgroup
analysis for early EN in predicted severe or SAP showed a significant reduction
in multiple organ failure (OR 0.30; 95% CI 0.09-0.96) and pancreatic-related
infections (OR 0.51, 95% CI 0.29-0.88). Early EN provided no benefits for mild to
moderate AP. CONCLUSION: Early EN within 24 hours of admission is safe and
provides benefits for predicted severe or SAP, but not for mild to moderate
pancreatitis.
PMID- 29377202
TI - Update on management of diabetic foot ulcers.
AB - Diabetic foot ulcers (DFUs) are a serious complication of diabetes that results
in significant morbidity and mortality. Mortality rates associated with the
development of a DFU are estimated to be 5% in the first 12 months, and 5-year
morality rates have been estimated at 42%. The standard practices in DFU
management include surgical debridement, dressings to facilitate a moist wound
environment and exudate control, wound off-loading, vascular assessment, and
infection and glycemic control. These practices are best coordinated by a
multidisciplinary diabetic foot wound clinic. Even with this comprehensive
approach, there is still room for improvement in DFU outcomes. Several adjuvant
therapies have been studied to reduce DFU healing times and amputation rates. We
reviewed the rationale and guidelines for current standard of care practices and
reviewed the evidence for the efficacy of adjuvant agents. The adjuvant therapies
reviewed include the following categories: nonsurgical debridement agents,
dressings and topical agents, oxygen therapies, negative pressure wound therapy,
acellular bioproducts, human growth factors, energy-based therapies, and systemic
therapies. Many of these agents have been found to be beneficial in improving
wound healing rates, although a large proportion of the data are small,
randomized controlled trials with high risks of bias.
PMID- 29377201
TI - Cardiometabolic risk in obese children.
AB - Obesity in childhood remains a significant and prevalent public health concern.
Excess adiposity in youth is a marker of increased cardiometabolic risk (CMR) in
adolescents and adults. Several longitudinal studies confirm the strong
association of pediatric obesity with the persistence of adult obesity and the
future development of cardiovascular disease, diabetes, and increased risk of
death. The economic and social impact of childhood obesity is further exacerbated
by the early onset of the chronic disease burden in young adults during their
peak productivity years. Furthermore, rising prevalence rates of severe obesity
in youth from disadvantaged and/or minority backgrounds have prompted the
creation of additional classification schemes for severe obesity to improve CMR
stratification. Current guidelines focus on primary obesity prevention efforts,
as well as screening for clustering of multiple CMR factors to target
interventions. This review summarizes the scope of the pediatric obesity
epidemic, the new severe obesity classification scheme, and examines the
association of excess adiposity with cardiovascular and metabolic risk. We will
also discuss potential questions for future investigation.
PMID- 29377205
TI - Effect of titanium ions on the Hippo/YAP signaling pathway in regulating
biological behaviors of MC3T3-E1 osteoblasts.
AB - Titanium (Ti) and its corresponding alloys have been widely applied in dental and
orthopedic implants. Owing to abrasion and corrosion of implants in the
unfavorable electrolytic aqueous environment of the host body, Ti ions could be
released from implants and accumulated in local tissues. Recent studies have
found that excessive Ti ions were toxic to osteoblasts in adjacent bone tissues
and subsequently influenced long-term effects on implant prostheses. However, the
potential molecular mechanisms underlying the damage to osteoblasts induced by Ti
ions remained unclear. Hippo signaling has been confirmed to be involved in organ
size and tissue regeneration in many organs, while its roles in osteoblasts
differentiation and bone repair remained elusive. Therefore, we hypothesize that
YAP, a regulator of Hippo pathway, inhibited osteoblast growth, skeletal
development and bone repair, as well as excessive Ti ions promoted the
progression of YAP activation. This study aimed to explore the role of Hippo/YAP
signaling pathway in the biotoxicity effect of Ti ions on osteoblast behaviors.
Here, we confirmed that 10 ppm Ti ions, a minimum concentration gradient
previously reported that was capable of suppressing osteoblasts growth, induced
nuclear expression of YAP in osteoblasts in our study. Furthermore, 10 ppm Ti ion
induced YAP activation was found to downregulate osteogenic differentiation of
MC3T3-E1 cells. Most importantly, the hypothesis we proposed that knockdown of
YAP did reverse the inhibitory effect of 10 ppm Ti ions on osteogenesis has been
verified. Taken together, our work provides insights into the mechanism of which
YAP is involved in regulating osteoblast behaviors under the effect of Ti ions,
which may help to develop therapeutic applications for Ti implant failures and
peri-implantitis.
PMID- 29377206
TI - Reply.
PMID- 29377207
TI - Farnesoid X receptor signaling activates the hepatic X-box binding protein 1
pathway in vitro and in mice.
AB - : Bile acids are endogenous ligands of the nuclear receptor, farnesoid X receptor
(FXR), and pharmacological FXR modulators are under development for the treatment
of several liver disorders. The inositol-requiring enzyme 1alpha/X-box binding
protein 1 (IRE1alpha/XBP1) pathway of the unfolded protein response (UPR) is a
protective cellular signaling pathway activated in response to endoplasmic
reticulum (ER) stress. We investigated the role of FXR signaling in activation of
the hepatic XBP1 pathway. Mice were treated with deoxycholic acid (DCA),
cholestyramine, GW4064, or underwent bile duct ligation (BDL), and hepatic UPR
activation was measured. Huh7-Ntcp and HepG2 cells were treated with FXR
agonists, inhibitor, small interfering RNA (siRNA), or small heterodimer partner
(SHP) siRNA to determine the mechanisms of IRE1alpha/XBP1 pathway activation. DCA
feeding and BDL increased and cholestyramine decreased expression of hepatic XBP1
spliced (XBP1s). XBP1 pathway activation increased in Huh7-Ntcp and HepG2 cells
treated with bile acids, 6alpha-ethyl-chenodeoxycholic acid (6-ECDCA) or GW4064.
This effect decreased with FXR knockdown and treatment with the FXR inhibitor
guggulsterone. FXR agonists increased XBP1 splicing and phosphorylated IRE1alpha
(p-IRE1alpha) expression. Overexpression of SHP similarly increased XBP1
splicing, XBP1s, and p-IRE1alpha protein expression. SHP knockdown attenuated FXR
agonist-induced XBP1s and p-IRE1alpha protein expression. Co-immunoprecipitation
(Co-IP) assays demonstrate a physical interaction between overexpressed green
fluorescent protein (GFP)-SHP and FLAG-IRE1alpha in HEK293T cells. Mice treated
with GW4064 had increased, and FXR and SHP null mice had decreased, basal Xbp1s
gene expression. CONCLUSION: FXR signaling activates the IRE1alpha/XBP1 pathway
in vivo and in vitro. FXR pathway activation increases XBP1 splicing and enhances
p-IRE1alpha expression. These effects are mediated, at least in part, by SHP.
IRE1alpha/XBP1 pathway activation by bile acids and pharmacological FXR agonists
may be protective during liver injury and may have therapeutic implications for
liver diseases. (Hepatology 2018;68:304-316).
PMID- 29377208
TI - Carcinoembryonic antigen-related cell adhesion molecule 1 controls IL-2-dependent
regulatory T-cell induction in immune-mediated hepatitis in mice.
AB - : A dysbalance between effector T cells (Tconv) and regulatory T cells (Tregs)
and impaired Treg function can cause autoimmune liver disease. Therefore, it is
important to identify molecular mechanisms that control Treg homeostasis.
Carcinoembryonic antigen-related cell adhesion molecule 1 (CEACAM1; CD66a) is an
immune coreceptor with dichotomous roles in T-cell regulation: its short isoform
(CEACAM1S) can activate T cells and induce Tregs, whereas its long isoform
(CEACAM1L), containing two intracellular immune receptor tyrosine-based
inhibitory motifs, can inhibit activated T-cell function. In the liver, CEACAM1
has antifibrotic effects in models of nonalcoholic steatohepatitis. However, its
role in immune-mediated hepatitis is unknown. In the mouse model of concanavalin
A-induced CD4+ T-cell-dependent liver injury, liver damage was aggravated and
persisted in Ceacam1-/- mice. Concomitantly, we observed hyperexpansion of Tconv,
but reduction of interleukin (IL)-2 production and hepatic forkhead box protein
P3+ (Foxp3+ )CD4+ Treg numbers. CEACAM1-/- CD4+ T cells showed impaired IL-2
mediated signal transducer and activator of transcription 5 (STAT5)
phosphorylation, which correlated with a failure of naive CEACAM1-/- CD4+ T cells
to convert into Tregs in vitro. Furthermore, CEACAM1-/- Tregs expressed reduced
levels of Foxp3, CD25, and B-cell lymphoma 2. Adoptive transfer experiments
demonstrated that hepatic Treg expansion and suppressive activity required
CEACAM1 expression on both CD4+ T cells and Tregs. We identified predominant
CEACAM1S expression on hepatic CD4+ T cells and Tregs from mice with acute liver
injury and expression of both isoforms in liver-derived CD4+ T-cell clones from
patients with liver injury. CONCLUSION: Our data suggest that CEACAM1S expression
in CD4+ T cells augments IL-2 production and STAT5 phosphorylation leading to
enhanced Treg induction and stability, which, ultimately, confers protection from
T-cell-mediated liver injury. (Hepatology 2018;68:200-214).
PMID- 29377210
TI - A Comprehensive Risk Analysis of Transportation Networks Affected by Rainfall
Induced Multihazards.
AB - Climate change and its projected natural hazards have an adverse impact on the
functionality and operation of transportation infrastructure systems. This study
presents a comprehensive framework to analyze the risk to transportation
infrastructure networks that are affected by natural hazards. The proposed risk
analysis method considers both the failure probability of infrastructure
components and the expected infrastructure network efficiency and capacity loss
due to component failure. This comprehensive approach facilitates the
identification of high-risk network links in terms of not only their
susceptibility to natural hazards but also their overall impact on the network.
The Chinese national rail system and its exposure to rainfall-related
multihazards are used as a case study. The importance of various links is
comprehensively assessed from the perspectives of topological, efficiency, and
capacity criticality. Risk maps of the national railway system are generated,
which can guide decisive action regarding investments in preventative and
adaptive measures to reduce risk.
PMID- 29377211
TI - A novel frailty index improves risk prediction of waitlist mortality over the
model for end-stage liver disease score alone.
PMID- 29377212
TI - You don't always get what you want: Does hypoxia cause sickle cell crisis?
PMID- 29377209
TI - Should vitamin B12 status be considered in assessing risk of neural tube defects?
AB - There is a strong biological premise for including vitamin B12 with folic acid in
strategies to prevent neural tube defects (NTDs), due to the closely interlinked
metabolism of these two vitamins. For example, reduction of B12 deficiency among
women of reproductive age could enhance the capacity of folic acid to prevent
NTDs by optimizing the cellular uptake and utilization of natural folate
cofactors. Vitamin B12 might also have an independent role in NTD prevention,
such that adding it in fortification programs might be more effective than
fortifying with folic acid alone. Globally, there is ample evidence of widespread
vitamin B12 deficiency in low- and middle-income countries, but there is also
considerable divergence of vitamin B12 status across regions, likely due to
genetic as well as nutritional factors. Here, I consider the evidence that low
vitamin B12 status may be an independent factor associated with risk of NTDs, and
whether a fortification strategy to improve B12 status would help reduce the
prevalence of NTDs. I seek to identify knowledge gaps in this respect and specify
research goals that would address these gaps.
PMID- 29377213
TI - Reply to "a novel mutation in the transmembrane 6 domain of GABBR2 leads to a
rett-like phenotype".
PMID- 29377215
TI - Reply.
PMID- 29377216
TI - The Safety and Efficacy of Low-Dose Naltrexone in the Management of Chronic Pain
and Inflammation in Multiple Sclerosis, Fibromyalgia, Crohn's Disease, and Other
Chronic Pain Disorders.
AB - Chronic inflammatory diseases are complex to treat and have an impact on a large
number of patients. Due to the difficulty of treating these diseases and the
great impact on quality of life, patients often seek off-label, complimentary, or
alternative medicines to gain relief from symptoms. Low-dose naltrexone has been
used off-label for treatment of pain and inflammation in multiple sclerosis,
Crohn's disease, fibromyalgia, and other diseases. Naltrexone is a mu-opioid
receptor antagonist indicated by the U.S. Food and Drug Administration for opioid
and alcohol dependence. It is hypothesized that lower than standard doses of
naltrexone inhibit cellular proliferation of T and B cells and block Toll-like
receptor 4, resulting in an analgesic and antiinflammatory effect. It is the
purpose of this review to examine the evidence of the safety, tolerability, and
efficacy of low-dose naltrexone for use in chronic pain and inflammatory
conditions. Currently, evidence supports the safety and tolerability of low-dose
naltrexone in multiple sclerosis, fibromyalgia, and Crohn's disease. Fewer
studies support the efficacy of low-dose naltrexone, with most of these focusing
on subjective measures such as quality of life or self-reported pain. These
studies do demonstrate that low-dose naltrexone has subjective benefits over
placebo, but evidence for more objective measures is limited. However, further
randomized controlled trials are needed to determine the efficacy of low-dose
naltrexone due to insufficient evidence supporting its use in these disease
states. This review provides practitioners with the extent of low-dose naltrexone
evidence so that they can be cognizant of situations where it may not be the most
appropriate therapy.
PMID- 29377217
TI - Reaction of 11 C-benzoyl chlorides with metalloid reagents: 11 C-labeling of
benzyl alcohols, benzaldehydes, and phenyl ketones from [11 C]CO.
AB - In this article, we describe the carbon-11 (11 C, t1/2 = 20.4 minutes) labeling
of benzyl alcohols, benzaldehydes, and ketones using an efficient 2-step
synthesis in which 11 C-carbon monoxide is used in an initial palladium-mediated
reaction to produce 11 C-benzoyl chloride as a key intermediate. In the second
step, the obtained 11 C-benzoyl chloride is further treated with a metalloid
reagent to furnish the final 11 C-labeled product. Benzyl alcohols were obtained
in moderated to high non-isolated radiochemical yields (RCY, 35%-90%) with
lithium aluminum hydride or lithium aluminum deuteride as metalloid reagent.
Changing the metalloid reagent to either tributyltin hydride or sodium
borohydride, allowed for the reliable syntheses of 11 C-benzaldehydes in RCYs
ranging from 58% to 95%. Finally, sodium tetraphenylborate were utilized to
obtain 11 C-phenyl ketones in high RCYs (77%-95%). The developed method provides
a new and efficient route to 3 different classes of compounds starting from aryl
iodides or aryl bromides.
PMID- 29377218
TI - Does public transportation improve the accessibility of primary dental care in
Sao Paulo, Brazil?
AB - OBJECTIVE: Advances in geospatial technologies have recognized the role of
geographic distance as a barrier to healthcare accessibility. Frequent
transportation is supposed to buffer issues with distance, while infrequent
services impede the uptake of care. The role of public transportation on the
accessibility of health care-including oral health care-is not well elucidated in
the context of megacities, such as the municipality of Sao Paulo, Brazil. This
study aimed to compare the supply of public transportation to primary dental
clinics and the population between advantaged and disadvantaged areas in Sao
Paulo city. METHODS: A total of 4101 primary dental clinics in Sao Paulo city
were identified and geocoded. Geographic coordinates were also retrieved for the
19 242 bus stops, 56 commuter rail stations and 64 rapid transit stations. Clinic
locations and transport points were integrated with the city's 19 128 constituent
census tracts-each containing sociodemographic data on the 11 252 204 residents
using Geographic Information Systems (GIS). RESULTS: Almost all clinics were
located within 0.5 km of public transportation. Half of all clinics were within
0.5 km of high-frequency transport points, and three-quarters were within 1 km.
Likewise, 99% of the population resided within 0.5 km of any public
transportation. However, only 22% were within 0.5 km of high-frequency options,
and half were within 1 km. Those within 0.5 km of high-frequency points had
higher average monthly household incomes and lower illiteracy rates, with lower
proportions of children and ethnic minorities, and higher proportions of older
people. CONCLUSION: Clinics and populations in sociodemographically disadvantaged
tracts have poorer public transportation links in Sao Paulo city.
PMID- 29377214
TI - Western lifestyle and immunopathology of multiple sclerosis.
AB - There is increasing evidence for a sudden and unprecedented rise in the incidence
of multiple sclerosis (MS) in Westernized countries over the past decades,
emphasizing the role of environmental factors. Among many candidates, rapid
changes in dietary habits seem to play a role in the pathogenesis of MS. Here, we
summarize and discuss the available evidence for the role of dietary nutrients,
such as table salt, fatty acids, and flavonoids, in the development and
pathogenesis of MS. We also discuss new and emerging risk factors accompanying
Western lifestyle, such as shift work, sleep, and circadian disruption.
PMID- 29377219
TI - Alterations in wheat pollen lipidome during high day and night temperature
stress.
AB - Understanding the adaptive changes in wheat pollen lipidome under high
temperature (HT) stress is critical to improving seed set and developing HT
tolerant wheat varieties. We measured 89 pollen lipid species under optimum and
high day and/or night temperatures using electrospray ionization-tandem mass
spectrometry in wheat plants. The pollen lipidome had a distinct composition
compared with that of leaves. Unlike in leaves, 34:3 and 36:6 species dominated
the composition of extraplastidic phospholipids in pollen under optimum and HT
conditions. The most HT-responsive lipids were extraplastidic phospholipids,
phosphatidylcholine (PC), phosphatidylethanolamine (PE), phosphatidylinositol,
phosphatidic acid, and phosphatidylserine. The unsaturation levels of the
extraplastidic phospholipids decreased through the decreases in the levels of
18:3 and increases in the levels of 16:0, 18:0, 18:1, and 18:2 acyl chains. PC
and PE were negatively correlated. Higher PC:PE at HT indicated possible PE-to-PC
conversion, lower PE formation, or increased PE degradation, relative to PC.
Correlation analysis revealed lipids experiencing coordinated metabolism under HT
and confirmed the HT responsiveness of extraplastidic phospholipids. Comparison
of the present results on wheat pollen with results of our previous research on
wheat leaves suggests that similar lipid changes contribute to HT adaptation in
both leaves and pollen, though the lipidomes have inherently distinct
compositions.
PMID- 29377220
TI - Von Willebrand factor for the liver: Friend or foe?
PMID- 29377221
TI - Sox10 positive breast carcinoma metastatic to the skin.
PMID- 29377222
TI - Assessment of a training programme on detection of temporomandibular joint
osseous changes applying pre-defined 2D multiplane cone beam computed tomography
reconstructions.
AB - OBJECTIVES: Osseous changes in temporomandibular joint disorders (TMD) are common
indications for cone beam computed tomography (CBCT). The number of such cases is
increasing while a number of qualified oral radiologists is limited. This study
investigated the usefulness of a training programme for general dental
practitioners (GDPs) regarding temporomandibular joint (TMJ) osseous changes
detection in CBCT images. METHODS: We selected CBCT images of 35 TMD cases and
chose 5 of them to use in training 7 GDPs on detecting osseous changes in the
TMJ. In evaluation directly following training, GDPs assessed the 30 remaining
cases. Three qualified radiologists served as reference standard. A 2-month
follow-up of training comprising evaluation of the same cases. The cases are
assessed according to Ahmad et al (Oral Surg Oral Med Oral Pathol Oral Radiol
Endod, 107, 2009, 844) protocol of TMJ osseous changes in CBCT images. RESULTS:
Sensitivity and specificity of the CBCT protocol were high, except for some
criteria that did not appear in the cases. Average observer sensitivity was 65%
while specificity was 87%. Average correct individual response rate was 84%. Mean
agreement among the GDPs was 73%. Observer performance had improved at the 2
month follow-up. CONCLUSION: The present educational programme could be a helpful
material on recognising possible osseous changes of TMJ and it can be used as a
part of a training programme for GDP and for specialist candidates.
PMID- 29377223
TI - Exploring sand fly salivary proteins to design multiepitope subunit vaccine to
fight against visceral leishmaniasis.
AB - Visceral leishmaniasis (VL) is caused by the parasites of Leishmania donovani
complex, leads to the death of 20 000 to 40 000 people from 56 affected
countries, worldwide. Till date, there is not a single available vaccine
candidate to prevent the VL infection, and treatment only relies upon expensive
and toxic chemotherapeutic options. Consequently, immunoinformatics approach was
applied to design a multiepitope-based subunit vaccine to enhance the humoral as
well as cell-mediated immunity. Constructed vaccine candidate was further
subjected to evaluation on allergenicity and antigenicity and physiochemical
parameters. Later on, disulfide engineering was performed to increase the
stability of vaccine construct. Also, molecular docking and molecular dynamics
simulation study were performed to check the binding affinity and stability of
toll-like receptor-4 to vaccine construct complex. Finally, codon optimization
and in silico cloning were performed to ensure the expression of proposed vaccine
construct in a microbial expression system.
PMID- 29377224
TI - Thrombotic microangiopathy in the setting of human immunodeficiency virus
infection: High incidence of severe thrombocytopenia.
AB - BACKGROUND: Human immunodeficiency virus (HIV) infection increases the risk of
thrombotic microangiopathy (TMA), but TMA in the setting of HIV infection is not
well characterized. The experience with TMA in the setting of HIV infection at
the University of Maryland Medical Center was reviewed. STUDY DESIGN AND METHODS:
Patients undergoing therapeutic plasma exchange (TPE) for TMA from January 1,
2000 through December 31, 2012 were reviewed. Those with known HIV-positive and
negative status were compared. RESULTS: Among 102 patients with known HIV status,
28 (27%) were HIV-positive, including 3 with previously undiagnosed HIV. HIV
positive patients had a median viral load of 89 500 copies/mL (range, 0->750 000
copies/mL) and a median CD4 count of 58 cells/uL (range, 2-410 cells/uL).
Compared to HIV-negative patients, HIV-positive patients more frequently
presented with concurrent infections (60.7% vs. 23.7%; P = .0007), had a trend
toward lower median platelet counts (3000/uL vs. 15 000/uL; P = .07) and more
frequently had platelet counts less than 10 000/mcL (P = .02). Nevertheless,
number of TPE procedures required for remission, remission rate, mortality, and
relapse incidence were similar in HIV-positive and HIV-negative patients.
CONCLUSIONS: The incidence described herein of HIV infection among TMA patients
is the highest reported outside of South Africa. More severe thrombocytopenia in
HIV-positive patients may reflect TMA in the setting of preexisting HIV
associated thrombocytopenia. HIV should be considered in patients with TMA, and
TMA should be considered in HIV-positive patients with severe thrombocytopenia.
PMID- 29377225
TI - Pathogenic mechanisms of invasive group A Streptococcus infections by influenza
virus-group A Streptococcus superinfection.
AB - Group A Streptococcus (GAS) are pathogenic bacteria of the genus Streptococcus
and cause severe invasive infections that comprise a wide range of diverse
diseases, including acute respiratory distress syndrome, renal failure, toxic
shock-like syndrome, sepsis, cellulitis and necrotizing fasciitis. The essential
virulence, infected host and external environmental factors required for invasive
GAS infections have not yet been determined. Superinfection with influenza virus
and GAS induced invasive GAS infections was demonstrated by our team in a mouse
model, after which clinical cases of invasive GAS infections secondary to
influenza virus infection were reported by other investigators in Japan, USA,
Canada, UK China, and other countries. However, the pathogenic mechanisms
underlying influenza virus-GAS superinfection are not yet fully understood. The
present review describes the current knowledge about invasive GAS infections by
superinfection. Topics addressed include the bacteriological, virological and
immunological mechanisms impacting invasion upon superinfection on top of
underlying influenza virus infection by GAS and other bacteria (i.e.,
Streptococcus pneumoniae and Staphylococcus aureus). Future prospects are also
discussed.
PMID- 29377226
TI - Prostaglandin-mediated recovery from bacteremia delays larval development in fall
armyworm, Spodoptera frugiperda.
AB - Insect immunity includes a surveillance system that detects and signals
infections, coupled with hemocytic and humoral immune functions. These functions
are signaled and coordinated by several biochemicals, including biogenic amines,
insect cytokines, peptides, and prostaglandins (PGs). The actions of these
mediators are coordinated within cells by various forms of cross-talk among the
signaling systems and they result in effective reactions to infection. While this
is well understood, we lack information on how immune-mediated recovery
influences subsequent juvenile development in surviving insects. We investigated
this point by posing the hypothesis that PG signaling is necessary for larval
recovery, although the recovery imposes biological costs, registered in
developmental delays and failures in surviving individuals. Here, we report that
nodulation responses to infections by the bacterium, Serratia marcescens,
increased over time up to 5 h postinfection, with no further nodulation; it
increased in a linear manner with increasing bacterial dosages. Larval
survivorship decreased with increasing bacterial doses. Treating larvae with the
PG-biosynthesis inhibitor, indomethacin, led to sharply decreased nodulation
reactions to infection, which were rescued in larvae cotreated with indomethacin
and the PG-precursor, arachidonic acid. Although nodulation was fully rescued,
all bacterial challenged larvae suffered reduced survivorship compared to
controls. Bacterial infection led to reduced developmental rates in larvae, but
not pupae. Adult emergence from pupae that developed from experimental larvae was
also decreased. Taken together, our data potently bolster our hypothesis.
PMID- 29377227
TI - Lymphoproliferative disorders in patients with chronic myeloproliferative
neoplasms: A systematic review.
AB - Patients with a Ph-negative myeloproliferative neoplasm (MPN) may harbor or
develop lymphoproliferative disorders (LPD), however, the clinical and molecular
determinants of MPN and LPD co-occurrence are still uncertain. To systematically
pool the available knowledge, we conducted a scoping review of literature
published since January 2005 and analyzed single-patient clinical data from 50
papers reporting 214 individuals harboring both MPN and LPD. Patients had been
diagnosed essential thrombocythemia (44%), polycythemia vera (29%), or
myelofibrosis (23%) at a median age of 67 years (26-94): half of them incurred a
LPD after a median of 72 months from MPN diagnosis, while in 20% the LPD
diagnosis was antecedent or synchronous. Patients mainly incurred indolent LPD,
particularly chronic lymphocytic leukemia (CLL), while aggressive lymphomas and
multiple myeloma were a relevant portion of the LPDs occurring in the follow-up
of MPN. CLL was preferentially diagnosed in PV patients and was associated with a
very high male-to-female ratio, as well as an older age at MPN diagnosis. On
converse, multiple myeloma was rarely reported in PV patients and was
preferentially diagnosed in female patients not harboring the JAK2 V617F
mutation. Based on the 46 cases reporting follow-up data, median survival after
MPN diagnosis was 96 months. This thorough review of published evidence confirms
that LPD are relevant clinical events in the history of MPN patients. Controlled
studies are needed to better refine individuals at higher risk of developing LPD,
to support surveillance programs and to avoid therapies possibly favoring LPD.
PMID- 29377229
TI - microRNA-129-5p Involved in the Neuroprotective Effect of Dexmedetomidine on
Hypoxic-Ischemic Brain Injury by Targeting COL3A1 through the Wnt/beta-Catenin
Signaling Pathway in Neonatal Rats.
AB - Our study aims to elucidate the mechanisms how microRNA-129-5p (miR-129-5p)
involved in neuroprotective effect of dexmedetomidine (DEX) on hypoxic-ischemic
brain injury (HIBI) by targeting COL3A1 through the Wnt/beta-catenin signaling
pathway in neonatal rats. A total of 120 rats were obtained, among which 15 rats
were selected as sham group and rest rats as model, DEX, DEX + negative control
(DEX + NC), DEX + miR-129-5p mimics, DEX + miR-129-5p inhibitors, DEX + XAV-939
and DEX + miR-129-5p inhibitors + XAV-939 groups. Dual-luciferase reporter assay
was performed for the target relationship between miR-129-5p and COL3A1. Weight
rate and water content of cerebral hemisphere were detected. qRT-PCR and Western
blotting were conducted to detect miR-129-5p expression and expressions of
COL3A1, E-cadherin, T-cell factor (TCF)-4, and beta-catenin. The DEX, DEX + miR
129-5p mimics, DEX + XAV-939 groups had increased weight rate of cerebral
hemisphere, but decreased water content of left cerebral hemisphere, levels of
COL3A1, beta-catenin, TCF-4, and E-cadherin in hippocampus compared with the
model and DEX + miR-129-5p inhibitors groups. COL3A1 was verified as the target
gene of the miR-129-5p. Compared with the DEX + NC and DEX + miR-129-5p
inhibitors + XAV-939 groups, the DEX + XAV-939 and DEX + miR-129-5p mimics groups
had elevated weight rate of cerebral hemisphere, but reduced water content of
left cerebral hemisphere, levels of COL3A1, beta-catenin, TCF-4, and E-cadherin
in hippocampus. Our findings demonstrate that miR-129-5p improves the
neuroprotective role of DEX in HIBI by targeting COL3A1 through the Wnt/beta
catenin signaling pathway in neonatal rats. This article is protected by
copyright. All rights reserved.
PMID- 29377228
TI - Developmental pharmacogenetics of CYP2C19 in neonates and young infants:
omeprazole as a probe drug.
AB - AIMS: Although substantial progress has been made in understanding of ontogeny of
drug metabolism, there is still a gap of knowledge in developmental
pharmacogenetics in neonates. We hypothesized that both age and pharmacogenetics
might explain the developmental pattern of CYP2C19. We conducted a population
pharmacokinetic-pharmacogenetic study to quantify the developmental
pharmacogenetics of CYP2C19 in neonates and young infants using omeprazole as a
probe drug. METHODS: Pharmacokinetic samples were collected from 51 Caucasian
neonates and young infants, who were receiving omeprazole treatment. Population
pharmacokinetic-pharmacogenetic analysis of omeprazole and its metabolites was
performed using NONMEM. RESULTS: Data fitted a one-compartment parent and
metabolite model with first-order absorption and elimination. CYP2C19 and CYP3A4
are predominantly involved in the metabolism of omeprazole despite their
relatively low activities compared to adults. The clearance of omeprazole
converted to 5-hydroxy-omeprazole (CLOMZ-M1 ) increases with postnatal age. In
CYP2C19 poor and intermediate metabolizers, model-predicted CLOMZ-M1 are 12.5% (5
95% percentile: 3-14.9%) and 44.9% (5-95% percentile: 29.9-72.6%) of the value in
extensive/ultrarapid metabolizer, respectively. Model-predicted absorption rate
constant of omeprazole is 6.93 (5-95% percentile: 3.01-14.61) times higher in
ABCB1 homozygous mutant patients, 1.86 (5-95% percentile: 0.86-3.47) times higher
in ABCB1 heterozygous patients than that in ABCB1 homozygous wild-type patients.
CONCLUSIONS: Developmental pharmacogenetics of CYP2C19 was quantitatively
described in neonates and young infants using omeprazole as a probe drug. Our
findings emphasize the importance of semiphysiological developmental
pharmacokinetic modelling approach when evaluating developmental pharmacogenetics
of drugs with multiple routes of biotransformation.
PMID- 29377230
TI - Intergenerational associations of the approximate number system in toddlers and
their parents.
AB - From birth, humans are able to discriminate quantities using the approximate
number system (ANS). However, previous methods have only been suitable to examine
ANS functioning in infancy and older children. The goals of this study were
twofold: first, to modify an existing method of assessing ANS functioning for
toddlerhood; and second, to investigate individual differences in toddlers' ANS
performance by examining correlations with their parents' ANS acuity. Using a
preferential looking paradigm, we found that 1- to 3-year-olds (N = 46) looked
significantly longer to numerically changing images compared to numerically
constant ones suggesting that the paradigm is a suitable measure of ANS
functioning in toddlerhood. Furthermore, we found a positive relation between
toddlers' ANS performance and that of their parents (assessed using a non
symbolic number comparison task) independent of children's vocabulary or parents'
perceived math ability or preference for math. These findings are consistent with
a specific intergenerational transmission of the ANS. Statement of contribution
What is already known on this subject? Past methods used to examine ANS
functioning were only suitable for infants and older children. Little research
has examined sources underlying individual difference in ANS acuity. What does
this study add? We developed a preferential looking task to assess ANS
functioning in toddlerhood. Individual differences in toddlers' ANS functioning
are correlated with their parents' ANS acuity.
PMID- 29377231
TI - Epidermotropic presentation by splenic B-cell lymphoma: The importance of
clinical-pathologic correlation.
AB - There are exceedingly rare reports of patients with epidermotropic B-cell
lymphomas. A subset presented with intermittent, variably pruritic papular
eruptions and involvement of their spleens, peripheral blood and bone marrow at
the time of diagnosis. Furthermore, some experienced an indolent course despite
dissemination of their lymphomas. We report a 66-year-old woman with a 12-year
history of intermittent eruptions of non-pruritic, salmon-colored papules on her
torso and proximal extremities that occurred in winter and resolved with outdoor
activity in spring. Skin biopsy revealed an epidermotropic B-cell lymphoma with a
non-specific B-cell phenotype and heavy chain class switching with IgG
expression. On workup, our patient exhibited mild splenomegaly and low-level
involvement of her peripheral blood and bone marrow by a kappa-restricted B-cell
population. A splenic B-cell lymphoma was diagnosed. Considering her longstanding
history and absences of cytopenias, our patient has been followed without
splenectomy or systemic therapy. Furthermore, the papules have responded
dramatically to narrowband UVB. Our case and a review of similar rare reports aim
to raise awareness among dermatopathologists and dermatologists of a clinically
distinct and indolent subset of epidermotropic splenic lymphomas with
characteristic clinical and histologic findings.
PMID- 29377232
TI - Subvalvular aortic stenosis: a review of current literature.
AB - Subvalvular aortic stenosis (SAS) is one of the common adult congenital heart
diseases, with a prevalence of 6.5%. It is usually diagnosed in the first decade
of life. Echocardiography is the test of choice to diagnose SAS. Surgical
correction is the best treatment modality, and the prognosis is usually
excellent. In this review, we describe the pathophysiology, diagnosis, prognosis,
and management of SAS with a focus on different pathophysiologic mechanisms,
diagnostic approach, and prognosis of the disease by reviewing the current
literature.
PMID- 29377233
TI - Effects of overexpression and inhibited expression of thymosin, an actin
interacting protein from Bombyx mori, on BmNPV proliferation and replication.
AB - Previous study showed that exogenously applied recombinant thymosin from Bombyx
mori (BmTHY) reduces B. mori nucleopolyhedrovirus (BmNPV) proliferation in
silkworm. Which stands to reason that BmTHY in B. mori is crucial for the defense
against BmNPV. However, little is known about the effect of endogenously
overexpressed or repressed BmTHY on B. mori resistance to virus infection. To
study this issue, we constructed an overexpression and inhibited expression
systems of BmTHY in BmN cells. The viral titer and the analysis from the
quantitative real-time polymerase chain reaction (PCR) revealed that
overexpression of BmTHY decreased the copies of BmNPV gene gp41, which goes over
to inhibit the proliferation of BmNPV in BmN cells, while the inhibited
expression of BmTHY significantly enhanced viral proliferation in infected BmN
cells. These results indicated that endogenous BmTHY can inhibit BmNPV
proliferation and replication in infected BmN cells. Furthermore, Co-IP showed
that BmTHY could bind to actin in BmN cells. Also, the overexpression or
inhibited expression of BmTHY shifted the ratio of F/G-actin in infected BmN
cells. Lastly, the BmTHY, an actin-interacting protein, might be one of the key
host factors against BmNPV, which inhibits viral proliferation and replication in
BmN cells.
PMID- 29377234
TI - LncRNA SNHG1 regulates cerebrovascular pathologies as a competing endogenous RNA
through HIF-1alpha/VEGF signaling in ischemic stroke.
AB - Studies have shown that long noncoding ribonucleic acids (lncRNAs) play critical
roles in multiple biologic processes. However, the Small Nucleolar RNA Host Gene
1 (SNHG1) function and underlying molecular mechanisms in ischemic stroke have
not yet been reported. In the present study, we found that SNHG1 expression was
remarkably increased both in isolated cerebral micro-vessels of a middle cerebral
artery occlusion (MCAO) mice model, and in oxygen-glucose deprivation (OGD)
cultured mice brain micro-vascular endothelial cells (BMECs), meanwhile, the
SNHG1 level was negatively correlated with miR-18a in MCAO mice. Mechanistically,
SNHG1 inhibition presents larger brain infarct size and worsens neurological
scores in MCAO mice. Consistent with the in vivo findings, SNHG1 inhibition also
significantly increased caspase-3 activity and cell apoptosis in OGD-cultured
BMECs. Furthermore, we found that SNHG1 functions as a competing endogenous RNA
(ceRNA) for miR-18a, thereby regulating the de-repression of its endogenous
target HIF-1alpha and promoting BMEC survival through HIF-1alpha/VEGF signaling.
This study found a neuroprotective effect of SNHG1 mediated by HIF-1alpha/VEGF
signaling through acting as a ceRNA for miR-18a. These findings reveal a novel
function of SNHG1, which contributes to an extensive understanding of ischemic
stroke and provides novel therapeutic options for this disease.
PMID- 29377235
TI - Vedolizumab in patients with concurrent primary sclerosing cholangitis and
inflammatory bowel disease does not improve liver biochemistry but is safe and
effective for the bowel disease.
AB - BACKGROUND: Blocking of lymphocyte trafficking to bile ducts is a potential
mechanism to alter the disease course of patients with primary sclerosing
cholangitis (PSC). AIM: To describe the effect of the alpha4 beta7 integrin
antibody, vedolizumab, on liver biochemistry and disease activity in patients
with PSC and inflammatory bowel disease (IBD). METHODS: This is a retrospective
multi-centre study of adult patients with a diagnosis of both IBD and PSC. The
primary outcome was change in serum alkaline phosphatase level at weeks 14 and
30. Secondary outcomes included changes in other liver biochemistries and in
clinical outcomes for the bowel disease. A safety analysis for adverse events was
performed. RESULTS: Thirty-four patients (16 Crohn's disease, 18 ulcerative
colitis) were included. Nine (26%) had a history of liver transplant. Median
follow-up on vedolizumab was 9 months (IQR: 7-16). There was no overall change in
serum alkaline phosphatase level with vedolizumab therapy (median 268 [IQR: 105
551] IU/L at baseline versus 249 [IQR: 183-634] IU/L, P = 0.99 at week 30). No
significant changes in other liver biochemistries or the Mayo PSC Risk Score were
demonstrated at week 30. Clinical remission was achieved at week 30 in 55% of
Crohn's disease and 29% of ulcerative colitis patients. Seven (21%) patients
ceased vedolizumab; six patients stopped therapy due to persistent IBD activity
and one for worsening of liver biochemistries. CONCLUSION: Vedolizumab treatment
in patients with PSC and IBD did not improve liver biochemistry but was
associated with improvement in bowel disease and a favourable safety profile.
PMID- 29377236
TI - Are the health needs of young people with cerebral palsy met during transition
from child to adult health care?
AB - BACKGROUND: The transition from child to adult health care is a particular
challenge for young people with cerebral palsy, who have a range of needs. The
measurement of reported needs, and in particular unmet needs, is one means to
assess the effectiveness of services. METHODS: We recruited 106 young people with
cerebral palsy, before transfer from child services, along with their parents to
a 3-year longitudinal study. Reported needs were measured with an 11-item
questionnaire covering speech, mobility, positioning, equipment, pain, epilepsy,
weight, control of movement, bone or joint problems, curvature of the back, and
eyesight. Categorical principal component analysis was used to create factor
scores for bivariate and regression analyses. RESULTS: A high level of reported
needs was identified particularly for control of movement, mobility, and
equipment, but these areas were generally being addressed by services. The
highest areas of unmet needs were for management of pain, bone or joint problems,
and speech. Analysis of unmet needs yielded two factor scores, daily living
health care and medical care. Unmet needs in daily living health care were
related to severity of motor impairment and to attending nonspecialist education.
Unmet needs tended to increase over time but were not significantly (p > .05)
related to whether the young person had transferred from child services.
CONCLUSIONS: Reporting of unmet needs can indicate where service development is
required, and we have shown that the approach to measurement can be improved. As
the number of unmet health needs at the start of transition is considerable,
unmet health needs after transition cannot all be attributed to poor transitional
health care. The range and continuation of needs of young people with cerebral
palsy argue for close liaison between adult services and child services and
creation of models of practice to improve coordination.
PMID- 29377237
TI - Drosophila melanogaster: A model to study obesity effects on genes expression and
developmental changes on descendants.
AB - Maternal obesity and metabolic diseases are two of the most important potential
dangers to offspring, given that impaired offspring may cause deficiencies that
impair the adult life and health. This study evaluated the oxidative damage, the
enzymatic antioxidant defenses, and the enzymes of fatty acid metabolism, such as
Acyl-CoA Synthetase and Acetyl-CoA Synthetase (mRNA expression levels), as well
as the modulation of cell stress signaling pathway, as Hsp83, and gene expression
and insulin-like peptide DILP6 in Drosophila melanogaster models that received a
high fat diet (HFD) (10% and 20% of coconut oil) throughout their development
period. After 7 days, the progenitor flies were removed and, the remaining eggs
were monitored daily, until the eclosion. The descendants were then exposed to a
regular diet (RD). The results revealed that the HFD caused a decrease in the
proportion of eclosion, lifespan, MTT reduction in mitochondrial enriched
fractions, AceCS1 levels, mRNA expression levels (SOD and CAT), and in catalase
activity a decrease was only observed in the group that received the highest
concentration of coconut oil. In parallel, it was demonstrated an increase in the
upregulation of HSP83 mRNA levels, but only when 10% of coconut oil was added,
and an increase in glucose and triglyceride levels, as well as in DILP6 mRNA
levels in larger concentration of coconut oil tested (20%). In conclusion, flies
that have progenitors fed with HFD can develop metabolic dysfunctions, causing
oxidative insults, which are involved in the shortening of lifespan.
PMID- 29377238
TI - Pretreatment of glial cell-derived neurotrophic factor and geranylgeranylacetone
ameliorates brain injury in Parkinson's disease by its anti-apoptotic and anti
oxidative property.
AB - The aim of the present study was to determine the combined effects of glial cell
derived neurotrophic factor (GDNF) and geranylgeranylacetone (GGA) on neuron
apoptosis and oxidative stress in Parkinson's disease (PD). A mouse MPTP model of
PD and cellular models of H2 O2 and MPP+ -treated PC12 cells were established.
Swimming, pole, and traction tests were used to detect behavioral impairment.
Tyrosine hydroxylase (TH) immunohistochemistry was used to evaluate the neuron
loss. TUNEL and flow cytometer method were used to identify the neuron apoptosis.
MDA levels and activities of antioxidant enzymes were used to detect the
oxidative damage. The PD model of mice received GDNF and GGA exhibited a
significant recovery in their swim, pole, and traction scores. Moreover, the
combined treatment significantly reduced the neuron apoptosis in the substantia
nigra (SN) of PD mice or in H2 O2 or MPP+ -induced PC12 cells compared with the
single drug group. In addition, significant reduction of MDA levels and
improvement of activities of CAT, SOD, and GSH-px were observed after GDNF and
GGA treatment in the PD model and H2 O2 or MPP+ -induced PC12 cells. The
combination of GDNF and GGA ameliorated neural apoptosis and oxidative damage in
PD.
PMID- 29377239
TI - Retraction: Liang, Y., Ma, Y., Li, L., Shen, X., Xin, T., Zhao, Y. and Ma, R.
(2018), Effect of Long Non-Coding RNA LINC01116 on Biological Behaviors of Non
Small Cell Lung Cancer Cells via the Hippo Signaling Pathway. J. Cell. Biochem.
Accepted Author Manuscript. doi:10.1002/jcb.26711.
AB - The above article from the Journal of Cellular Biochemistry, published online on
27 January 2018 in Wiley Online Library as an Accepted Article, has been
withdrawn by agreement between the authors, the journal Editor in Chief, Gary
Stein and Wiley Periodicals, Inc. The withdrawal has been agreed due to
determination by the authors that the data to support the therapeutic potential
of LINC01116 in non-small cell lung cancer in vivo needs further study and
analysis.
PMID- 29377240
TI - The exosomes released from different cell types and their effects in wound
healing.
AB - Despite important advances in regenerative medicine and tissue engineering,
still, wound healing remains a challenging clinical problem. Cell therapy has
opened a new viewpoint in medicine as well as wound management, although it has
some limitations. On the other hand, there are some hopes for the eliminated of
cellular therapies limitations by "exosomes." The term "exosome" has been
frequently used to describe all vesicles released by different cells into the
extracellular environment and can influence tissue responses to injury,
infection, immune system, and healing. Exosomes contain cytokines and growth
factors, signaling lipids, mRNAs, and regulatory miRNAs that have been found in
some body fluids and can be transferred between cells to mediating cell-to-cell
communication and interactions. Recently, several studies have demonstrated that
exosomes are one of the key secretory products of various cell type especially
mesenchymal stem cells (MSCs) to regulate many biological processes such wound
healing. Hence, understanding these exosomes effects may help to improve wound
management and highlight a new therapeutic model for cell-free therapies with
decreased side effects for the wound repair.
PMID- 29377241
TI - The extracellular vesicles-derived from mesenchymal stromal cells: A new
therapeutic option in regenerative medicine.
AB - Mesenchymal stem cells (MSCs) are adult multipotent cells that due to their
ability to homing to damaged tissues and differentiate into specialized cells,
are remarkable cells in the field of regenerative medicine. It's suggested that
the predominant mechanism of MSCs in tissue repair might be related to their
paracrine activity. The utilization of MSCs for tissue repair is initially based
on the differentiation ability of these cells; however now it has been revealed
that only a small fraction of the transplanted MSCs actually fuse and survive in
host tissues. Indeed, MSCs supply the microenvironment with the secretion of
soluble trophic factors, survival signals and the release of extracellular
vesicles (EVs) such as exosome. Also, the paracrine activity of EVs could mediate
the cellular communication to induce cell-differentiation/self-renewal. Recent
findings suggest that EVs released by MSCs may also be critical in the
physiological function of these cells. This review provides an overview of MSC
derived extracellular vesicles as a hopeful opportunity to advance novel cell
free therapy strategies that might prevail over the obstacles and risks
associated with the use of native or engineered stem cells. EVs are very stable;
they can pass the biological barriers without rejection and can shuttle bioactive
molecules from one cell to another, causing the exchange of genetic information
and reprogramming of the recipient cells. Moreover, extracellular vesicles may
provide therapeutic cargo for a wide range of diseases and cancer therapy.
PMID- 29377243
TI - Clinical and endoscopic evaluations of sessile serrated adenoma/polyps with
cytological dysplasia.
AB - BACKGROUND AND AIM: Although sessile serrated adenoma/polyps (SSA/Ps) are
considered to be premalignant lesions and rapidly progress to carcinomas after
they develop cytological dysplasia (CD), a treatment strategy for SSA/Ps in Asian
countries is still being debated and has not yet been established. The present
study aimed to propose a treatment strategy for SSA/Ps. METHODS:
Histopathological data of patients, who underwent colonoscopy at our center
between January 2011 and December 2016, were reviewed. Data of patients with >= 1
SSA/P were retrieved, and clinicopathological characteristics were
retrospectively analyzed. RESULTS: A total of 281 patients with 326 SSA/Ps,
including 258 patients who had 300 SSA/Ps without CD (SSA/Ps-CD[-]) and 23
patients who had 26 SSA/Ps with CD (SSA/Ps-CD[+]), were evaluated in this study.
Although SSA/Ps-CD(+) were often found in older female patients and in the
proximal colon, there were no significant differences between SSA/Ps-CD(-) and
SSA/Ps-CD(+). Endoscopic morphological findings, such as large or small nodules
on the surface and partial protrusion of the lesions, were significantly more
common in SSA/Ps-CD(+) than in SSA/Ps-CD(-). Although the diagnostic ability of
nodule/protrusion in lesions to predict CD within SSA/Ps was very high with an
accuracy of 93.9% and a negative predictive value of 95.4%, sensitivity was low
at 46.2%. SSA/Ps-CD(+) were significantly larger than SSA/Ps-CD(-), and the rate
of CD within SSA/Ps significantly increased with lesion size (<= 5 mm, 0%; 6-9
mm, 6.0%; >= 10 mm, 13.6%). CONCLUSION: The study proposes removing all SSA/Ps >=
6 mm in order to remove high-risk SSA/Ps-CD(+), with high sensitivity.
PMID- 29377244
TI - MicroRNA-132 attenuates LPS-induced inflammatory injury by targeting TRAF6 in
neuronal cell line HT-22.
AB - Epilepsy is a common neurological disorder in the central nervous system.
Inflammation disrupts the blood-brain barrier (BBB), which is responsible for
maintaining brain homeostasis. This study was aimed to investigate the functional
role of microRNA (miR)-132 in hippocampal HT-22 cells under lipopolysaccharide
(LPS) stimulation. In vitro cell inflammatory model was constructed by LPS
stimulation. Inflammatory cell injury was evaluated according to the alterations
of cell viability, apoptosis, and expression of inflammatory cytokines. Then, miR
132 level after LPS treatment was assessed. Subsequently, miR-132 was abnormally
expressed after cell transfection, and the effects of miR-132 on LPS-induced cell
inflammatory injury as well as phosphorylated levels of key kinases in the NF
kappaB and MAPK kinase (MEK)/ERK pathways were determined. The target gene of miR
132 was virtually screened and verified, and whether miR-132 affected HT-22 cells
under LPS stimulation through regulating the target gene was verified. The
results showed that the level of miR-132 was down-regulated by LPS in HT-22
cells, and the LPS-induced inflammatory injury could be reduced by miR-132
overexpression. Then, the phosphorylated levels of kinases in the NF-kappaB and
MEK/ERK pathways were decreased by miR-132 overexpression. Tumor necrosis factor
receptor-associated factor 6 (TRAF6) was predicted and verified to be a target of
miR-132. Moreover, the alterations induced by miR-132 overexpression in the LPS
treated HT-22 cells were abrogated by TRAF6 overexpression. Therefore, we drew
the conclusion that LPS down-regulated miR-132 and miR-132 attenuated LPS-induced
inflammatory cell injury by targeting TRAF6, along with the inhibition of the NF
kappaB and MEK/ERK pathways.
PMID- 29377242
TI - An update on cell intrinsic negative regulators of the NLRP3 inflammasome.
AB - Inflammasomes are multimeric protein complexes that promote inflammation (through
specific cleavage and production of bioactive IL-1beta and IL-18) and pyroptotic
cell death. The central role of inflammasomes in combating infection and
maintaining homeostasis has been studied extensively. Although inflammasome
mediated inflammation and cell death are vital to limit pathogenic insults and to
promote wound healing/tissue regeneration, unchecked/uncontrolled inflammation,
and cell death can cause cytokine storm, tissue damage, autoinflammatory and
autoimmune diseases, and even death in the afflicted individuals. NLRP3 is one of
the major cytosolic sensors that assemble an inflammasome. Given the adverse
consequences of uncontrolled inflammasome activation, our immune system has
developed tiered mechanisms to inhibit NLRP3 inflammasome activation. In this
review, we highlight and discuss recent advances and our current understanding of
mechanisms by which NLRP3 inflammasome can be negatively regulated.
PMID- 29377245
TI - Hepatitis C virus re-treatment in the era of direct-acting antivirals:
projections in the USA.
AB - BACKGROUND: The introduction of oral direct-acting antivirals (DAAs) has
dramatically changed the landscape of HCV treatment. However, a small percentage
of patients fail to achieve sustained virologic response (SVR). Understanding the
number of people who fail on DAAs and require re-treatment is important for
budget impact and disease burden projections. AIM: To quantify the number of HCV
patients who fail to achieve SVR on oral DAAs (NS5A vs. non-NS5A) and require re
treatment. METHODS: We used a mathematical model to simulate clinical management
of HCV in the USA, which included the implementation of HCV screening, treatment,
and disease progression. We simulated different waves of DAA treatment and used
real-world data to extract SVR rates and market shares of available therapies.
RESULTS: Our model projected that the number of people living without viraemia
(i.e. cured) would increase from 0.70 million in 2014 to 1.78 million by 2020.
Between 2014 and 2020, 1.50 million people would receive treatment with DAAs, of
whom 124 000 (8.3%) are projected to fail to achieve SVR. Among those treatment
failures, 66 600 (53.7%) patients would fail treatment with NS5A inhibitors and
69 600 (56.1%) would have cirrhosis. During the same period, 34 200 people would
progress to decompensated cirrhosis and 27 300 would develop hepatocellular
carcinoma after failing to achieve SVR. CONCLUSIONS: Even in the era of highly
effective DAAs, a significant number of patients will fail to achieve SVR and
will require re-treatment options. Timely and effective re-treatment is essential
to prevent the long-term sequelae of HCV.
PMID- 29377246
TI - Searching for human oncoviruses: Histories, challenges, and opportunities.
AB - Oncoviruses contribute significantly to cancer burden. A century of tumor
virological studies have led to the discovery of seven well-accepted human
oncoviruses, cumulatively responsible for approximately 15% of human cancer
cases. Virus-caused cancers are largely preventable through vaccination.
Identifying additional oncoviruses and virus-caused tumors will advance cancer
prevention and precision medicine, benefiting affected individuals, and society
as a whole. The historic success of finding human oncoviruses has provided a
unique lesson for directing new research efforts in the post-sequencing era.
Combing the experiences from these pioneer studies with emerging high-throughput
techniques will certainly accelerate new discovery and advance our knowledge of
the remaining human oncoviruses.
PMID- 29377247
TI - Preanalytical validation of an in-house radioimmunoassay for measuring
calprotectin in feline specimens.
AB - BACKGROUND: Calprotectin is a marker of inflammatory disorders in people, and
serum and fecal calprotectin were shown to be increased in dogs with
gastrointestinal inflammation. Biomarkers of gastrointestinal inflammation are
currently lacking in cats. OBJECTIVES: The purpose of the study was to
analytically validate the canine calprotectin radioimmunoassay for quantification
of calprotectin in feline specimens. METHODS: The immunoassay was analytically
validated by determining assay working range, dilutional parallelism, spiking
recovery, and intra- and inter-assay variability. Reference intervals for fecal
calprotectin were established from healthy cats, and the influence of age, sex,
and housing condition on fecal calprotectin was determined. RESULTS: The working
range of the assay was 1.5-346.2 MUg/g of feces and 11.2-8654.4 MUg/L of serum.
Observed-to-expected ratios (O/E) for serial dilutions of fecal extracts ranged
from 77.3% to 112.0% (mean: 99.2%) and from 95.7% to 161.4% (mean: 118.5%) for
spiking recovery. Intra- and inter-assay coefficients of variation for fecal
samples were <= 11.0% and <= 12.8%, respectively. Fecal calprotectin
concentrations ranged 1.5-66.5 MUg/g (3-day sample mean) and 1.5-126.1 MUg/g (3
day sample maximum). Housing conditions, sex, or age did not affect fecal
calprotectin (all P > .05). For serial dilutions of serum samples, O/E ranged
from 96.0% to 152.0% (mean: 115.7%). Serum calprotectin concentrations in healthy
cats ranged from 108.8 to 255.3 MUg/L (median: 158.2 MUg/L). CONCLUSIONS: The
canine radioimmunoassay for the measurement of calprotectin is analytically
sensitive, linear, reproducible, accurate, and sufficiently precise (CVA <=
43.2%) for use with feline feces (with a loss of accuracy at high calprotectin
concentrations). The RIs for feline fecal calprotectin are comparable to those
established for dogs. Independence of fecal calprotectin from age and sex agrees
with findings in dogs.
PMID- 29377248
TI - Characterization of estrous cycles and pregnancy in Somali wild asses (Equus
africanus somaliensis) through fecal hormone analyses.
AB - Although reproduction in the domestic horse has been well described, less is
known about reproduction in wild equids. This study describes endocrine patterns
associated with estrous cycles and pregnancy for Somali wild asses (Equus
africanus somaliensis), an endangered African equid. Fecal samples were collected
three times per week for more than 2 years from five female Somali wild asses at
the Saint Louis Zoo; progestagen and estrogen metabolites were quantified using
commercially available immunoassays. Progestagen analysis indicated that cycle
lengths were 27.2 +/- 1.2 days and females cycled throughout the year.
Progestagen levels during early pregnancy were low and not sustained above
baseline until approximately 40 weeks prior to partition. Concentrations
increased markedly around 16 weeks prior to delivery and peaked 2-3 weeks before
birth. Fecal estrogen levels also increased significantly starting 40-45 weeks
before parturition and reached their maximal value approximately 20 weeks prior
to birth. Neither foal heat nor lactational suppression of estrus was observed,
and females cycled within 45 days after delivery. These data are the first to
describe the reproductive physiology of Somali wild asses. As the species faces
increasing threats in the wild, this information may support conservation efforts
by assisting with ex situ breeding programs.
PMID- 29377249
TI - HMGB1-induced inflammatory response promotes bone healing in murine tooth
extraction socket.
AB - High mobility group box 1 (HMGB1) is a non-histone DNA-binding protein that is
secreted into the extracellular milieu in response to inflammatory stimuli. The
secreted HMGB1 has been suggested to mediate various inflammatory diseases.
However, it is still unknown whether HMGB1 is involved in a healing process in
the tooth extraction socket, the tissue containing gingival epithelium, and
alveolar bone that is exposed to oral bacteria. In this study, we constructed a
murine tooth extraction model with anti-HMGB1 neutralization antibody
administration and observed the inflammatory response and bone healing process in
tooth extraction sockets by molecular imaging of myeloperoxidase (MPO) activity,
histological analysis, and quantitative RT-PCR. The translocation of HMGB1 from
the nucleus to the cytoplasm in gingival epithelial cells and inflammatory cells
was inhibited by anti-HMGB1 antibody administration. The MPO activity around the
tooth extraction socket was significantly reduced, and the numbers of CD31- and
CD68-positive cells were significantly lower in the anti-HMGB1 antibody treatment
samples than in the control samples. The TRAP-positive cells, osteocalcin
positive cells, and the neoplastic bone area were significantly lower in anti
HMGB1 antibody treatment samples than in control samples. The expression levels
of IL-1beta and VEGF-A were also decreased in anti-HMGB1 antibody treatment
samples compared to that in control samples. Secreted HMGB1 induced initial acute
inflammation and inflammatory cells recruitment after tooth extraction. HMGB1 was
associated with angiogenesis and bone remodeling by osteoclast and osteoblast
activation and promoted bone healing in the tooth extraction socket.
PMID- 29377250
TI - Prediction of hepatic encephalopathy: Why disregard well-known risk factors?
PMID- 29377251
TI - Relationships between Dicer 1 polymorphism and expression levels in the
etiopathogenesis of preeclampsia.
AB - Preeclampsia (PE) is a pregnancy-specific complication which is a major cause of
maternal and fetal morbidity and mortality. Recent studies have shown the
aberrant expression of microRNAs (miRNAs) in the placenta of patients with PE.
Dicer1 is a key enzyme in the generation of small noncoding RNAs including
miRNAs. The aim of this study is to investigate the relationship between maternal
and placental Dicer1 rs3742330 polymorphism and placental Dicer1 mRNA expression
in PE and normotensive pregnant women. The blood and placenta of PE pregnant and
normotensive pregnant women were collected after delivery. Dicer1 rs3742330
polymorphism was genotyped using PCR-RFLP method. The mRNA expression levels were
measured using quantitative real time PCR. The maternal Dicer1 rs3742330
polymorphism was not associated with PE or PE severity; however, the placental
Dicer1 rs3742330 AG genotype was associated with two fold higher risk of PE and
three fold higher risk of severe PE (P = 0.018 and P = 0.005, respectively). The
relative mRNA expression of Dicer1 gene in the placenta did not differ between
the two groups. In addition, the relative mRNA expression of Dicer1 gene was
significantly lower in the placenta of women with rs3742330 AG+GG genotypes in
the total population (P = 0.028) and PE women (P = 0.004), but not in the control
group. In conclusion, there was a relationship between placental but not maternal
Dicer1 rs3742330 polymorphism and PE. There was no difference in Dicer1 mRNA
expression between the PE and control groups; however, it was significantly lower
in the placenta of women with rs3742330 AG+GG genotypes.
PMID- 29377252
TI - Hmox1 promotes osteogenic differentiation at the expense of reduced adipogenic
differentiation induced by BMP9 in C3H10T1/2 cells.
AB - Mesenchymal stem cells (MSCs) are multipotent progenitors that can differentiate
into a variety of cell types under proper stimuli. Bone morphogenetic protein 9
(BMP9) is able to simultaneously induce both adipogenic and osteogenic
differentiation of MSCs although the regulatory molecules involved remain to be
fully identified and characterized. Heme oxygenase 1 (Hmox1) plays an essential
role not only in fat metabolism, but also in bone development. In the present
study, we investigated the functional role of Hmox1 in BMP9-induced
osteogenic/adipogenic differentiation in MSCs line C3H10T1/2 and probed the
possible mechanism involved. We found that BMP9 promoted the endogenous
expression of Hmox1 in C3H10T1/2 cells. Overexpression of Hmox1 or cobalt
protoporphyrin (CoPP), an inducer of Hmox1, increased BMP9-induced osteogenic
differentiation in vitro. Subcutaneous stem cell implantation in nude mice
further confirmed that Hmox1 potentiated BMP9-induced ectopic bone formation in
vivo. In contrast, Hmox1 reduced BMP9-induced adipogenic differentiation in
C3H10T1/2 cells. Although had no obvious effect on BMP9-induced Smad1/5/8
phosphorylation, Hmox1 enhanced phosphorylation of p38, and AKT, while decreased
phosphorylation of ERK1/2. Furthermore, Hmox1 increased total beta-catenin
protein level, and promoted the nuclear translocation of beta-catenin in
C3H10T1/2 cells. Taken together, our study strongly suggests that Hmox1 is likely
to potentiate osteogenic differentiation and yet decrease adipogenic
differentiation induced by BMP9 possibly through regulation of multiple signaling
pathways.
PMID- 29377253
TI - How to Explore Fetal Sacral Agenesis Without Open Dysraphism: Key Prenatal
Imaging and Clinical Implications.
AB - The estimated prevalence of fetal caudal dysgenesis is 1 per 100,000 births. The
functional prognosis of sacral agenesis is dominated by the large spectrum of
associated caudal malformations. Except for cases associated with hydrocephalus
secondary to open spinal dysraphism or chromosomal anomalies, association with
mental deficiency is rare. We propose a systematic prenatal approach to cases of
fetal sacral agenesis based on 9 etiologic items: clinical context, type of
sacral dysgenesis, associated spinal cord malformations, mobility of lower limbs,
investigation of the presacral region, analysis of the gastrointestinal tract,
analysis of the genitourinary tract, associated vertebral defects, and
cytogenetic analysis.
PMID- 29377254
TI - Glycosylated CD147 reduces myocardial collagen cross-linking in cardiac
hypertrophy.
AB - The mechanism of transition from chronic pressure overload-induced cardiac
hypertrophy to heart failure is still unclear. Angiotensin II (Ang II) may be an
important factor that mediates the transition in the end-stage of cardiac
hypertrophy. In the present study, Goldblatt two-kidney one-clip (2K1C) rat model
was used to simulate Ang II-induced hypertension. The elevated Ang II not only
induced the concentric hypertrophy of left ventricle and cardiac fibrosis, but
also increased the expression and glycosylation of CD147 in 2K1C rats. The left
ventricular structure and function detected by echocardiogram showed a sign of
the transition from cardiac hypertrophy to heart failure in 16 weeks of 2K1C
rats. Ang II can activate N-acetylglucosamine transferase V (GnT-V), a key enzyme
for CD147 glycosylation. Retinoic acid, an agonist of GnT-V, further increased
glycosylated CD147, and activated matrix metalloproteinase-2/-9 (MMP-2 and MMP-9)
in the hypertrophied left ventricle of 2K1C rat. Meanwhile, collagen cross
linking in the hypertrophied left ventricle significantly reduced in 2K1C rats.
On the contrary, tunicamycin, an inhibitor of N-glycan biosynthesis, inhibited
glycosylation of CD147 and activity of MMP-2 and MMP-9, and then maintained a
stable of collagen cross-linking in the 2K1C rat hearts. The above results
suggested that Ang II increased glycosylated CD147 which activated MMP-2 and MMP
9. Collagens were degraded by the activated MMPs and then reduced collagen cross
linking. Finally, the hypertrophied left ventricle was progressively dilated in
chronic pressure overload due to losing the limitation of collagen cross-linking.
Therefore, the compensated hypertrophy of left ventricle gradually transited to
congestive heart failure.
PMID- 29377255
TI - Agmatine co-treatment attenuates allodynia and structural abnormalities in
cisplatin-induced neuropathy in rats.
AB - Cisplatin is a widely used antineoplastic agent in the treatment of various
cancers. Peripheral neuropathy is a well-known side effect of cisplatin and has
potential to result in limiting and/or reducing the dose, decreasing the quality
of life. Thus, effective treatments are needed. Agmatine is an endogenous
neuromodulator that has been shown to exert antiallodynic effects in various
animal studies. The first aim of this study was to investigate the in vitro
effects of agmatine on cisplatin-induced neurotoxicity. Primary cultures of
dorsal root ganglia (DRG) which are the primary target of drug injury were
prepared. DRG cells were incubated with cisplatin (100, 200, 500 MUm). Then,
agmatine (10, 100, 500 MUm) was administered with the submaximal concentration of
cisplatin. Cisplatin caused concentration-dependent neurotoxicity, and agmatine
did not alter this effect. The second aim was to investigate the effects of
agmatine on cisplatin-induced peripheral neuropathy in rats and the influence of
nitric oxide synthase (NOS) inhibitor, L-NAME, in this effect. Female Sprague
Dawley rats received intraperitoneal saline (control), cisplatin (3 mg/kg),
cisplatin+agmatine (100 mg/kg), or cisplatin+agmatine+L-NAME (10 mg/kg) once a
week for 5 weeks. The mechanical allodynia, hot plate, and tail clip tests were
performed, and DRG cells and sciatic nerves were analyzed. Agmatine and
agmatine+L-NAME combination attenuated CIS-induced mechanical allodynia and
degeneration in DRG cells and sciatic nerves. However, L-NAME did not potentiate
the antiallodynic or neuroprotective effect of agmatine. These findings indicate
that agmatine co-administration ameliorates cisplatin-induced neuropathy and may
be a therapeutic alternative.
PMID- 29377256
TI - Expression pattern of PD-L1 and PD-L2 in classical Hodgkin lymphoma, primary
mediastinal large B-cell lymphoma, and gray zone lymphoma.
AB - OBJECTIVES: We aimed at investigating the relationship between classical Hodgkin
lymphoma (cHL), primary mediastinal large B-cell lymphoma (PMBL), and gray zone
lymphoma (GZL) with intermediate characteristics between cHL and PMBL, from the
perspective of the aberration in programed cell death 1 and the programed death
ligands (PDLs) network. METHODS: We explored the expression levels of PDLs and
chromosomal anomalies in 67 cases: 34 cases with cHL, 20 with PMBL, and 13 with
GZL, using immunohistochemical analyses and Fluorescence In Situ Hybridization
(FISH). RESULTS: Twenty-one cHL (62%), 3 PMBL (15%), and 6 GZL (46%) cases showed
staining to PD-L1 antibodies in more than 70% of tumor cells. Two cHL (6%), 10
PMBL (50%), and 3 GZL (23%) cases were not stained by PD-L1 antibodies. Patients
over 40 years old manifest more frequent expression of PD-L1 in cHL. Proportion
of tumors stained by PD-L2 antibody was increased in PMBL. FISH analyses with a
PD-L1/PD-L2 probe detected 5 amplification, 1 gain, and 7 polysomy cases in cHL,
1 amplification and 1 polysomy case in GZL, and amplification in 1 PMBL case.
CONCLUSION: We identified increased staining of PD-L1 in cHL and that of PD-L2 in
PMBL. GZL had a pattern similar to that of cHL.
PMID- 29377257
TI - Exposure-response characterization of tofacitinib efficacy in moderate to severe
ulcerative colitis: Results from a dose-ranging phase 2 trial.
AB - AIMS: Tofacitinib is an oral, small molecule JAK inhibitor being investigated for
ulcerative colitis (UC). In a phase 2 dose-ranging study, tofacitinib
demonstrated efficacy vs. placebo as UC induction therapy. In this posthoc
analysis, we aimed to compare tofacitinib dose and plasma concentration as
predictors of efficacy and identify covariates that determined efficacy in
patients with UC. METHODS: One- and two-compartment pharmacokinetic models, with
first-order absorption and elimination, were evaluated to describe plasma
tofacitinib concentration-time data at baseline and week 8. Relationships between
tofacitinib exposure (dose, average plasma drug concentration during a dosing
interval at steady state [Cav,ss ] and trough plasma concentration at steady
state [Ctrough,ss ]) and week 8 efficacy endpoints were characterized using
logistic regression analysis. Baseline disease, demographics, prior and
concurrent UC treatment were evaluated as covariates. RESULTS: Plasma tofacitinib
concentrations increased proportionately with dose and estimated oral clearance,
and Cav,ss values were not significantly different between baseline and week 8.
Dose, Cav,ss and Ctrough,ss performed similarly as predictors of efficacy based
on statistical criteria for model fit and comparison of model predictions for
each endpoint. Individual Cav,ss values were similar between clinical remitters
and nonremitters at predicted efficacious doses (10 and 15 mg twice daily).
Baseline Mayo score was a significant determinant of efficacy. Predicted
differences from placebo in clinical remission at 10 mg twice daily for patients
with baseline Mayo score >8 and <=8 were 39% (95% CI: 7-70) and 21% (-2-50),
respectively. CONCLUSIONS: Exposure-response characterization demonstrated the
potential of tofacitinib 10 and 15 mg twice daily as induction therapy for UC
without monitoring of plasma drug concentrations for dose optimization.
PMID- 29377259
TI - A quantitative comparison between SOX10 and MART-1 immunostaining to detect
melanocytic hyperplasia in chronically sun-damaged skin.
AB - Histologic differentiation of melanoma in situ (MIS) from solar keratosis on
chronically sun-damaged skin is challenging. The first-line immunostain is
usually MART-1/Melan-A, which can exaggerate the epidermal melanocytes, causing a
diagnostic pitfall for MIS. By comparing MART-1 and SOX10 immunostaining, we
scored the percentage of epidermal melanocytes per 2-mm diameter fields in
pigmented actinic keratosis (n = 16), lichenoid keratosis (n = 7), junctional
melanocytic nevus (n = 6), keratosis with atypical melanocytic proliferation (n =
17) and MIS (n = 10). These cases represented an older population (68 years
median age) and the head and neck (50%) was the most common anatomic site. MART-1
score was significantly higher than SOX10 (P value <.05) in solar keratoses, but
showed no difference in detecting melanocytic proliferations, demonstrating their
equal detection rate of melanocytes. The sensitivity of both MART-1 and SOX10 was
100%, while their specificities were 17% and 96%, respectively. These results
show that SOX10 is more specific than MART-1 in distinguishing epidermal
melanocytes on sun-damaged skin by avoiding overdiagnosis of melanoma.
PMID- 29377258
TI - Transfer from paediatric to adult care for young adults with Type 2 diabetes: the
SEARCH for Diabetes in Youth Study.
AB - AIM: To describe factors associated with transfer from paediatric to adult care
and poor glycaemic control among young adults with Type 2 diabetes, using the
SEARCH for Diabetes in Youth study. METHODS: Young adults with Type 2 diabetes
were included if they had a baseline SEARCH visit while in paediatric care at <
18 years and >= 1 follow-up SEARCH visit thereafter at 18-25 years. At each
visit, HbA1c , BMI, self-reported demographic and healthcare provider data were
collected. Associations of demographic factors with transfer of care and poor
glycaemic control (HbA1c >= 75 mmol/mol; 9.0%) were explored with multivariable
logistic regression. RESULTS: 182 young adults with Type 2 diabetes (36% male,
75% minority, 87% with obesity) were included. Most (n = 102, 56%) reported
transfer to adult care at follow-up; a substantial proportion (n = 28, 15%)
reported no care and 29% did not transfer. Duration of diabetes [odds ratio (OR)
1.4, 95% confidence interval (95% CI) 1.1, 1.8] and age at diagnosis (OR 1.8, 95%
CI 1.4, 2.4) predicted leaving paediatric care. Transfer to adult or no care was
associated with a higher likelihood of poor glycaemic control at follow-up
(adult: OR 4.5, 95% CI 1.8, 11.2; none: OR 4.6, 95% CI 1.4, 14.6), independent of
sex, age, race/ethnicity or baseline HbA1c level. CONCLUSIONS: Young adults with
Type 2 diabetes exhibit worsening glycaemic control and loss to follow-up during
the transfer from paediatric to adult care. Our study highlights the need for
development of tailored clinical programmes and healthcare system policies to
support the growing population of young adults with youth-onset Type 2 diabetes.
PMID- 29377260
TI - Nationwide survey on the use of horse antithymocyte globulins (ATGAM) in patients
with acquired aplastic anemia: A report on behalf of the French Reference Center
for Aplastic Anemia.
AB - Antithymocyte globulins (ATG) plus cyclosporine (CSA) is the gold standard
immunosuppressive treatment (IST) for patients with aplastic anemia. A
prospective randomized trial showed in 2011 that hATG was superior to rabbit ATG
for first-line treatment of severe AA. The French Health Agency (ANSM) permitted
a patient-named authorization for temporary use (ATU) program of hATG (ATGAM,
Pfizer) in patients with AA in 2011 since commercial access to hATG is not
approved. We took advantage of this program to analyze the outcomes of 465
patients who received antithymocyte globulins (ATGAM) plus CSA as first line
treatment (n = 379; 81.5%), or for refractory (n = 26) or relapsed disease (n =
33), from September 2011 to March 2017. In the entire cohort one year, 72% of the
patients had partial and 13% had complete response, with worse response for
patients with severe AA and a longer interval between diagnosis and IST (more
than 6 months). Severe adverse events were mainly linked to infections (24%),
hemorrhages (6%), and elevated liver function tests (5%). Overall at 12 months,
9.7% of patients required second line IST and 15.6% received transplantation.
Fifty-five patients died during the study mainly because of infections (53%).
Factors predicting independently worse survival were age over 40 years,
neutrophils less than 0.5 * 109 /L, male gender and longer delay between
diagnosis and hATG (>6 months period). This study does illustrate the results of
ATGAM with CSA in a true-life perspective and confirms ATGAM as standard of care
IST to treat patients with AA not eligible for HSCT.
PMID- 29377262
TI - A selection of abstracts presented at the 43rd annual conference of the
anatomical society of Southern Africa (ASSA), 9-13 May 2015, Khaya iBHUBESI
Conference Venue, Parys, Free State, South Africa.
PMID- 29377261
TI - Quantum DNA Sequencing: A Peek Into a Dystopic Future?
PMID- 29377263
TI - The alpha1-adrenergic receptor is involved in hepcidin upregulation induced by
adrenaline and norepinephrine via the STAT3 pathway.
AB - Elevated body iron stores are associated with hypertension progression, while
hypertension is associated with elevated plasma catecholamine levels in patients.
However, there is a gap in our understanding of the connection between
catecholamines and iron regulation. Hepcidin is a key iron-regulatory hormone,
which maintains body iron balance. In the present study, we investigated the
effects of adrenaline (AD) and norepinephrine (NE) on hepatic hepcidin
regulation. Mice were treated with AD, NE, phenylephrine (PE, alpha1-adrenergic
receptor agonist), prazosin (PZ, alpha1-adrenergic receptor antagonist), and/or
propranolol (Pro, beta-adrenergic receptor antagonist). The levels of hepcidin,
as well as signal transducer and activator of transcription 3 (STAT3),
ferroportin 1 (FPN1), and ferritin-light (Ft-L) protein in the liver or spleen,
were assessed. Six hours after AD, NE, or PE treatment, hepatic hepcidin mRNA
levels increased. Pretreatment with PZ, but not Pro, abolished the effects of AD
or NE on STAT3 phosphorylation and hepatic hepcidin expression. When mice were
treated with AD or NE continuously for 7 days, an increase in hepatic hepcidin
mRNA levels and serum hepcidin concentration was also observed. Meanwhile, the
expected downstream effects of elevated hepcidin, namely decreased FPN1
expression and increased Ft-L protein and non-heme iron concentrations in the
spleen, were observed after the continuous AD or NE treatments. Taken together,
we found that AD or NE increase hepatic hepcidin expression via the alpha1
adrenergic receptor and STAT3 pathways in mice. The elevated hepatic hepcidin
decreased FPN1 levels in the spleen, likely causing the increased iron
accumulation in the spleen.
PMID- 29377264
TI - Understanding fibrosis in eosinophilic esophagitis: Are we there yet?
AB - Eosinophilic esophagitis (EoE) is an immune/antigen-mediated, progressive
fibrostenotic disease characterized by symptoms of esophageal dysfunction and
abnormal eosinophilic infiltration in the esophagus. Despite current treatment
modalities of dietary antigen elimination or topical corticosteroids, a subset of
patients do not have clinical or histologic response. Even with resolution of
superficial epithelial eosinophilia, patients may still have progressive
subepithelial fibrosis, which may lead to esophageal strictures over time.
Histologic identification of subepithelial fibrosis requires deep esophageal
biopsies, which are not routinely obtained. Herein, we review the challenges in
diagnosing and treating fibrosis in EoE. We propose the novel concept of vitamin
D supplementation to treat fibrosis in EoE through downregulation of profibrotic
mediator, transforming growth factor-beta.
PMID- 29377265
TI - Watch-and-wait policy versus rituximab-combined chemotherapy in Japanese patients
with intestinal follicular lymphoma.
AB - BACKGROUND AND AIM: Few reports have demonstrated the effectiveness of treatments
for intestinal follicular lymphoma (FL) because of the limited number of patients
who undergo comprehensive small intestinal examinations. This study compared the
efficacy of rituximab-combined chemotherapy in patients with asymptomatic and low
tumor burden (LTB) intestinal FL, according to the criteria of the Groupe d'Etude
des Lymphomes Folliculaires, with that of a "watch and wait" (W&W) approach.
METHODS: The endoscopic examination for entire gastrointestinal tracts was
performed in 29 Japanese patients with intestinal FL. These patients had CD21
positive follicular dendritic cells arranged in a duodenal pattern. In a
prospective, two-center, open-label trial, this study evaluated the efficacy of
rituximab-combined chemotherapy ([cyclophosphamide, doxorubicin, vincristine, and
prednisone] or [cyclophosphamide, vincristine, and prednisone]) and prolonged
treatment with rituximab (R-Chemo+prolongedR) in 14 patients and compared their
outcomes with those of 15 patients managed with a W&W approach. RESULTS: Four
patients managed with the W&W plan showed worsening macroscopic findings, lesion
area enlargement, or clinical stage progression but stayed on this plan because
they had LTB and experienced no changes in bowel function. In the R
Chemo+prolongedR group, all patients achieved complete remission; recurrence
occurred in one patient, who was subsequently managed with the W&W plan because
of LTB. There were no significant differences in progression-free survival
between the two groups (P = 0.1045). Overall survival was 100% in both groups.
CONCLUSIONS: The prognoses of patients with asymptomatic intestinal FL and LTB
who were managed with a W&W strategy were comparable with those of patients
receiving R-Chemo+prolongedR.
PMID- 29377266
TI - Impact of the spectral and spatial properties of natural light on indoor gas
phase chemistry: Experimental and modeling study.
AB - The characteristics of indoor light (intensity, spectral, spatial distribution)
originating from outdoors have been studied using experimental and modeling
tools. They are influenced by many parameters such as building location,
meteorological conditions, and the type of window. They have a direct impact on
indoor air quality through a change in chemical processes by varying the
photolysis rates of indoor pollutants. Transmittances of different windows have
been measured and exhibit different wavelength cutoffs, thus influencing the
potential of different species to be photolysed. The spectral distribution of
light entering indoors through the windows was measured under different
conditions and was found to be weakly dependent on the time of day for indirect
cloudy, direct sunshine, partly cloudy conditions contrary to the light
intensity, in agreement with calculations of the transmittance as a function of
the zenithal angle and the calculated outdoor spectral distribution. The same
conclusion can be drawn concerning the position within the room. The impact of
these light characteristics on the indoor chemistry has been studied using the
INCA-Indoor model by considering the variation in the photolysis rates of key
indoor species. Depending on the conditions, photolysis processes can lead to a
significant production of radicals and secondary species.
PMID- 29377267
TI - After 18 months of antiretroviral therapy, total HIV DNA decreases more
pronouncedly in patients infected by CRF01_AE than in those infected by subtype B
and CRF07_BC.
AB - Whether the amount of HIV DNA is associated with the subtype of HIV-1 after
antiretroviral therapy (ART) has not been reported. In the present study, the
amount of HIV DNA and RNA and CD4+T counts in blood and semen prior to and after
18 months of ART were compared in 48 patients infected by CRF01_AE, subtype B or
CRF07_BC of HIV-1. Viral RNA was suppressed and CD4 cell count recovery achieved
in all patients. The level of HIV DNA were similar before ART; however, patients
with CRF01_AE had less HIV DNA after ART than those with subtype B and CRF07_BC
infection. According to prediction of co-receptor usage by Geno2Pheno and PSSM in
combination, more than 35.6% of clones for CRF01_AE were predicted as CXCR4-using
before ART, whereas less than 6% of those for subtype B and CRF07_BC were
predicted as CXCR4-using. After 18 months of ART, no CXCR4-using clones were
predicted in any of the subtypes. Despite more HIV RNA and fewer CD4 + T cells in
patients with CRF01_AE before therapy, no significant differences (P > 0.05) in
viral RNA or CD4 cell counts were observed between the subtypes after 18 months
of ART. Thus, 18 months of antiretroviral therapy was more efficient in patients
with CRF01_AE. Considering that successful ART dramatically reduces the viral
load in both blood and semen, risks of sexual transmission of HIV were reduced,
contributing to prevention of rapid spread of HIV among men who have sex with men
in the region.
PMID- 29377269
TI - Ginsenoside Rh2 inhibits proliferation and migration of medulloblastoma Daoy by
down-regulation of microRNA-31.
AB - This study aimed to investigate the effects of ginsenoside Rh2 on proliferation,
apoptosis, and migration of the human medulloblastoma cell line Daoy, as well as
to explore the potential mechanisms of the effects. The human medulloblastoma
cell line Daoy was cultured in vitro and treated with or without ginsenoside Rh2.
CCK-8 assay was performed to investigate the effect of Rh2 on cell survival using
a cell counting Kit-8. Cell proliferation was assessed by BrdU assay. Cell
apoptosis was determined using flow cytometry analysis. Cell migration was
detected using a modified two-chamber migration assay. MiR-31 mimic and the NC
control were transfected into Daoy cells and detected by qRT-PCR. The expression
of Wnt3a, Wnt5a, and beta-catein was detected by Western blot analysis. Rh2
efficiently suppressed the proliferation and migration, and promoted the
apoptosis of Daoy cells. Additionally, Rh2 could down-regulate miR-31. miR-31
overexpression reversed the effects of Rh2 on proliferation, apoptosis and
migration of Daoy cells, and activated the Wnt/beta-catein signaling pathways in
Daoy cells. Rh2 could inhibit the proliferation and migration, and induce
apoptosis of Daoy medulloblastoma cells through down-regulation of miR-31 to
inactivate the Wnt/beta-catein signaling pathway. Therefore, Rh2 may have a
utility in clinical applications for the treatment of medulloblastoma.
PMID- 29377268
TI - Elevated donor plasminogen activator inhibitor-1 levels and the risk of primary
graft dysfunction.
AB - Primary graft dysfunction (PGD) following lung transplantation is associated with
elevated recipient plasma levels of plasminogen activator inhibitor-1 (PAI-1) and
the receptor for advanced glycation end products (RAGE). However, the
significance of these biomarkers in the donor plasma is uncertain. We
hypothesized that elevated donor plasma levels of PAI-1 and RAGE would be
associated with recipient PGD. We carried out a prospective unmatched case
control study of double-lung transplant recipients between May 2014 and September
2015. We compared donor plasma levels of PAI-1 and RAGE using rank-sum tests and
t tests, in 12 recipients who developed PGD grade 2 or 3 within 72 hours
postoperatively with 13 recipients who did not. Recipients who developed PGD had
higher donor plasma levels of PAI-1 than recipients who did not (median 2.7 ng/mL
vs 1.4; P = .03). Recipients with PGD also had numerically higher donor plasma
levels of RAGE than recipients without PGD, although this difference did not
achieve statistical significance (median 1061 pg/mL vs 679; P = .12). Systemic
inflammatory responses in the donor, as reflected by elevated plasma levels of
PAI-1, may contribute to the risk of developing PGD. Rapid biomarker assessment
of easily available plasma samples may assist in donor lung selection and risk
stratification.
PMID- 29377270
TI - Frontal fibrosing alopecia after antiandrogen hormonal therapy in a male patient.
PMID- 29377271
TI - Management of achalasia cardia: Expert consensus statements.
AB - Achalasia cardia (AC) is a frequently encountered motility disorder of the
esophagus resulting from an irreversible degeneration of neurons. Treatment
modalities are palliative in nature, and there is no curative treatment available
for AC as of now. Significant advancements have been made in the management of AC
over last decade. The introduction of high resolution manometry and per-oral
endoscopic myotomy (POEM) has strengthened the diagnostic and therapeutic
armamentarium of AC. High resolution manometry allows for the characterization of
the type of achalasia, which in turn has important therapeutic implications. The
endoscopic management of AC has been reinforced with the introduction of POEM
that has been found to be highly effective and safe in palliating the symptoms in
short-term to mid-term follow-up studies. POEM is less invasive than Heller's
myotomy and provides the endoscopist with the opportunity of adjusting the length
and orientation of esophageal myotomy according to the type of AC. The management
of achalasia needs to be tailored for each patient, and the role of pneumatic
balloon dilatation, POEM, or Heller's myotomy needs to be revisited. In this
review, we discuss the important aspects of diagnosis as well as management of
AC. The statements presented in the manuscript reflect the cumulative efforts of
an expert consensus group.
PMID- 29377272
TI - Successful care and propagation of the endangered amargosa vole (Microtus
californicus scirpensis) in captivity.
AB - The Amargosa vole (Microtus californicus scirpensis) is a highlyendangered rodent
endemic to a small stretch of the California portion of the Amargosa River basin
in Inyo County's Mojave Desert. Although the Amargosa vole has survived in this
naturally fragmented ecosystem for thousands of years, recent habitat degradation
due to land development, water drainage, and marsh exploitation has further
isolated the species and reduced its available habitat. As part of a conservation
effort to preserve the species, a captive breeding population was established in
2014 to serve as an insurance colony and as a source of individuals to release
into the wild as restored habitat becomes available. As this is the only captive
colony for this species, there is little published information about appropriate
care and husbandry for the Amargosa vole. Here we provide information about
behavior, diet, reproduction, drug sensitivities, and diseases that affect
successful captive care. We also provide recommendations for housing and disease
management to preserve natural behaviors and defenses in captive-born animals.
PMID- 29377273
TI - Increasing kidney donor profile index sequence does not adversely affect medium
term health-related quality of life after kidney transplantation.
AB - BACKGROUND: The United Network for Organ Sharing system allocates deceased donor
kidneys based on the kidney donor profile index (KDPI), stratified as sequences
(A <= 20%, B > 20-<35%, C >= 35-<=85%, and D > 85%), with increasing KDPI
associated with decreased graft survival. While health-related quality of life
(HRQOL) may improve after transplantation, the effect of donor kidney quality,
reflected by KDPI sequence, on post-transplant HRQOL has not been reported.
METHODS: Health-related quality of life was measured using the eight scales and
physical and mental component summaries (PCS, MCS) of the SF-36(r) Health Survey.
Multivariable mixed effects models that adjusted for age, gender, rejection, and
previous transplant and analysis of variance methods tested the effects of time
and KDPI sequence on post-transplant HRQOL. RESULTS: A total of 141 waitlisted
adults and 505 recipients (>1700 observations) were included. Pretransplant PCS
and MCS averaged, respectively, slightly below and within general population
norms (GPN; 40-60). At 31 +/- 26 months post-transplant, average PCS (41 +/- 11)
and MCS (51 +/- 11), overall and within each KDPI sequence, were within GPN. KDPI
sequence was not related to post-transplant HRQOL (P > .134) or its trajectory
(interaction P > .163). CONCLUSION: Increasing KDPI does not adversely affect the
medium-term values and trajectories of HRQOL after kidney transplantation. This
may reassure patients and centers when considering using high KDPI kidneys.
PMID- 29377275
TI - Regulatory effect of resveratrol and prednisolone on MDR1 gene expression in
acute lymphoblastic leukemia cell line (CCRF-CEM): An epigenetic perspective.
AB - Chemotherapy is the most common method to treat leukemia as well as other types
of human cancers. However, drug resistance has remained as the main challenge
against the efficacy of treatments. Furthermore, having various adverse effects,
chemotherapy drugs are becoming replaced by natural modalities for cancer
therapy. In this regard, herbal components such as resveratrol and prednisolone
have been identified to sensitize the leukemic cells to programmed cell death
through a set of complex processes. In this study, we have examined DNA
methylation on the human multidrug resistance gene 1 (MDR1) as a well-known
marker for cellular drug resistance. We evaluated the effect of resveratrol and
prednisolone on DNA methylation patterns of MDR1 gene promoter in the CCRF-CEM
cell line as a representative for acute lymphoblastic leukemia. The study was
aimed to clarify whether the MDR1 gene expression is regulated via DNA promoter
methylation as a potential underlying mechanism, following exposure to
resveratrol and prednisolone. Our data revealed that despite a strong influence
to down-regulate the MDR1 expression, Resveratrol and Prednisolone did not alter
the methylation pattern, suggesting other regulatory mechanisms in controlling
the MDR1 expression in CCRF-CEM cell line. Unchanged status of DNA methylation of
MDR1 gene may suggest that Resveratrol and Prednisolone causes the gene
expression changes through a distinct mechanism which requires further studies to
be understood. A more detailed understanding of the mechanisms beyond the
regulation of the genes involved in cancer formation will help to design novel
therapeutic strategies to fight the human cancers.
PMID- 29377274
TI - All-oral direct-acting antiviral therapy against hepatitis C virus (HCV) in human
immunodeficiency virus/HCV-coinfected subjects in real-world practice: Madrid
coinfection registry findings.
AB - : We evaluated treatment outcomes in a prospective registry of human
immunodeficiency virus/hepatitis C virus (HCV)-coinfected patients treated with
interferon-free direct-acting antiviral agent-based therapy in hospitals from the
region of Madrid between November 2014 and August 2016. We assessed sustained
viral response at 12 weeks after completion of treatment and used multivariable
logistic regression to identify predictors of treatment failure. We evaluated
2,369 patients, of whom 59.5% did not have cirrhosis, 33.9% had compensated
cirrhosis, and 6.6% had decompensated cirrhosis. The predominant HCV genotypes
were 1a (40.9%), 4 (22.4%), 1b (15.1%), and 3 (15.0%). Treatment regimens
included sofosbuvir (SOF)/ledipasvir (61.9%), SOF plus daclatasvir (14.6%),
dasabuvir plus ombitasvir/paritaprevir/ritonavir (13.2%), and other regimens
(10.3%). Ribavirin was used in 30.6% of patients. Less than 1% of patients
discontinued therapy owing to adverse events. The frequency of sustained viral
response by intention-to-treat analysis was 92.0% (95% confidence interval, 90.9%
93.1%) overall, 93.8% (92.4%-95.0%) for no cirrhosis, 91.0% (88.8%-92.9%) for
compensated cirrhosis, and 80.8% (73.7%-86.6%) for decompensated cirrhosis. The
factors associated with treatment failure were male sex (adjusted odds ratio,
1.75; 95% confidence interval, 1.14-2.69), Centers for Diseases Control and
Prevention category C (adjusted odds ratio, 1.65; 95% confidence interval, 1.12
2.41), a baseline cluster of differentiation 4-positive (CD4+) T-cell count
<200/mm3 (adjusted odds ratio, 2.30; 95% confidence interval, 1.35-3.92), an HCV
RNA load >=800,000 IU/mL (adjusted odds ratio, 1.63; 95% confidence interval,
1.14-2.36), compensated cirrhosis (adjusted odds ratio, 1.35; 95% confidence
interval, 0.96-1.89), decompensated cirrhosis (adjusted odds ratio, 2.92; 95%
confidence interval, 1.76-4.87), and the use of SOF plus simeprevir, SOF plus
ribavirin, and simeprevir plus daclatasvir. CONCLUSION: In this large real-world
study, direct-acting antiviral agent-based therapy was safe and highly effective
in coinfected patients; predictors of failure included gender, human
immunodeficiency virus-related immunosuppression, HCV RNA load, severity of liver
disease, and the use of suboptimal direct-acting antiviral agent-based regimens.
(Hepatology 2018;68:32-47).
PMID- 29377276
TI - A new automated turbidimetric immunoassay for the measurement of canine C
reactive protein.
AB - BACKGROUND: In dogs, as in humans, C-reactive protein (CRP) is a major acute
phase protein that is rapidly and prominently increased after exposure to
inflammatory stimuli. CRP measurements are used in the diagnosis and monitoring
of infectious and inflammatory diseases. OBJECTIVES: The study aim was to develop
and validate a turbidimetric immunoassay for the quantification of canine CRP
(cCRP), using canine-specific reagents and standards. METHODS: A particle
enhanced turbidimetric immunoassay was developed. The assay was set up in a fully
automated analyzer, and studies of imprecision, limits of linearity, limits of
detection, prozone effects, and interferences were carried out. The new method
was compared with 2 other commercially available automated immunoassays for cCRP:
one turbidimetric immunoassay (Gentian CRP) and one point-of-care assay based on
magnetic permeability (Life Assays CRP). RESULTS: The within-run and between-day
imprecision were <1.7% and 4.2%, respectively. The assay quantified CRP
proportionally in an analytic range up to 150 mg/L, with a prozone effect
appearing at cCRP concentrations >320 mg/L. No interference from hemoglobin (20
g/L), triglycerides (10 g/L), or bilirubin (150 mg/L) was detected. Good
agreement was observed between the results obtained with the new method and the
Gentian cCRP turbidimetric immunoassay. CONCLUSIONS: The new turbidimetric
immunoassay (Turbovet canine CRP, Acuvet Biotech) is a rapid, robust, precise,
and accurate method for the quantification of cCRP. The method can be easily set
up in automated analyzers, providing a suitable tool for routine clinical use.
PMID- 29377278
TI - Hypertrichosis of the pinnae in a patient using panitumumab.
PMID- 29377277
TI - Business of dialysis and the role of the medical director.
AB - Since the inception of the Medicare End Stage Renal Disease Program in 1972, the
medical director has been an important leader in the dialysis unit. The initial
duties of the medical director were focused on quality and safety but were
gradually expanded over the decades to include the development and oversight of
protocols to manage metabolic bone disease and anemia. As the total cost of ESRD
care has escalated, there have been progressive attempts to control costs through
additional bundling and the creation of alternative payment schemes. While we
await the financial and clinical outcomes of these initiatives, the medical
director's role continues to expand in scope and now includes an enhanced role
for not only clinical outcomes but financial outcomes as well.
PMID- 29377279
TI - Zebrafish larvae as a model to demonstrate secondary iron overload.
AB - OBJECTIVES: Thalassemia is the most common genetically inherited blood disorder
arising from a defect in hemoglobin production, resulting in ineffective
erythropoiesis and severe hemolytic anemia. While transfusion therapy corrects
the anemia, it gives rise to secondary iron overload. Current iron chelation
therapy performed using deferoxamine, and the efficiency of this drug was
demonstrated here using the zebrafish animal model. METHODS: Zebrafish larvae
were exposed for 3 days to iron [100 MUmol L-1 ferric ammonium citrate; 3-6 days
post fertilization (dpf)]. Then, iron treated larvae were exposed to 100 MUmol L
1 deferoxamine for 3 days (6-9 dpf). Total tissue iron concentration in the whole
larvae, assessed by three different assays; inductively coupled plasma mass
spectrometry, colorimetry (spectrophotometry), and microscopy using iron staining
followed by imaging and quantification. RESULTS: The three assays showed that
iron treatment alone resulted in a significant increase in total iron.
Deferoxamine treatment of the iron-loaded zebrafish larvae showed a significant
decrease in total iron concentration. CONCLUSION: This study presented a clear
evidence of the effectiveness of zebrafish larvae to use as a tool to study iron
overload and open the door for studying the efficiency of potential new iron
chelating compounds other than commercially available ones.
PMID- 29377280
TI - A fifth subtype of Kaposi's sarcoma, classic Kaposi's sarcoma in men who have sex
with men: a cohort study in Paris.
AB - BACKGROUND: Classic Kaposi's sarcoma (CKS) occurs predominantly among elderly men
and is associated with Kaposi's sarcoma-associated herpesvirus (KSHV). In low
endemic countries, KSHV infects predominantly men having sex with men (MSM).
OBJECTIVES: To describe a cohort of classic Kaposi sarcoma in a low-endemic area
for KSHV, to highlight the features of CKS in MSM and identify prognostic
factors. METHODS: Retrospective single-centre study of CKS cases. We compared MSM
to heterosexual patients. Then, we divided the patients into two subgroups, those
requiring a systemic treatment and the others, and we performed univariate and
multivariate analyses to determine aggressiveness of CKS. RESULTS: Between 2006
and 2015, seventy-four patients were included. Mean age at diagnosis was 68.9
years; sex ratio (M/F) was 6.4, and 28% were MSM; MSM patients were younger (P =
0.02), less often originated from endemic areas (P < 0.0001). KS was less severe
(P = 0.04), required more often a local treatment than a systemic one (P = 0.03).
On multivariate analysis, CD4 T-cell count > 500/mm3 at baseline was associated
with a reduced risk of severe evolution. CONCLUSION: First CKS cohort in low
endemic zone. We describe a fifth subtype of KS: KS in MSM. The CD4 T-cell count
was found to correlate with prognosis.
PMID- 29377281
TI - ER stress mediated regulation of miR23a confer Hela cells better adaptability to
utilize glycolytic pathway.
AB - Cancer cells exhibit increased dependency on aerobic glycolysis, a phenomenon
referred as the "Warburg effect" and therefore, blocking glycolysis by using non
metabolizable analogues of glucose, like 2-Deoxy glucose (2-DG), has been
proposed to be of huge therapeutic importance. One of the major drawbacks of
using 2-DG as a chemotherapeutic agent is that it can induce ER stress. ER stress
is a hall mark in many solid tumors and the unfolded protein response (UPR)
associated with it initiates many survival mechanisms in cancer cells. In the
present study, we report a novel survival mechanism associated with ER stress, by
which the cancer cells become more adapted to aerobic glycolysis. When ER stress
was induced in Hela cells by treating them with 2-DG or Thapsigargin (TG) the
expression and activity of LDH was significantly up regulated, conferring the
cells a greater glycolytic potential. A simultaneous decrease was observed in the
expression of miR-23a, which was predicted in silico to have target site on the
3'UTR of LDH A and B mRNAs. miRNA over expression studies and mRNA degradation
assays suggest that miR-23a could target LDH A and LDH B mRNAs. Further on the
basis of our results and previous scientific reports, we propose that "c-Myc,"
which is over expressed during ER stress, repress the expression of miR-23a,
which in turn regulates the expression of its target genes viz., LDH A and LDH B,
thereby making the cells more competent to survive in tumor microenvironment,
which requires efficient use of aerobic glycolysis.
PMID- 29377282
TI - Impact of intraoperative cytokine adsorption on outcome of patients undergoing
orthotopic heart transplantation-an observational study.
AB - AIM: The aim of this study was to assess the influence of intraoperative cytokine
adsorption on the perioperative vasoplegia, inflammatory response and outcome
during orthotopic heart transplantation (OHT). METHODS: Eighty-four OHT patients
were separated into the cytokine adsorption (CA)-treated group or controls.
Vasopressor demand, inflammatory response described by procalcitonin and C
reactive protein, and postoperative outcome were assessed performing propensity
score matching. RESULTS: In the 16 matched pairs, the median noradrenaline
requirement was significantly less in the CA-treated patients than in the
controls on the first and second postoperative days (0.14 vs 0.3 MUg*kg-1 *min-1
, P = .039 and 0.06 vs 0.32 MUg*kg-1 *min-1 , P = .047). The inflammatory
responses were similar in the two groups. There was a trend toward shorter length
of mechanical ventilation and intensive care unit (ICU) stay in the CA-treated
group compared to the controls. No difference in adverse events was observed
between the two groups. However, the frequency of renal replacement therapy was
significantly less in the CA-treated patients than in the controls (P = .031).
CONCLUSIONS: Intraoperative CA treatment was associated with reduced vasopressor
demand and less frequent renal replacement therapy with a favorable tendency in
length of mechanical ventilation and ICU stay. CA treatment was not linked to
higher rates of adverse events.
PMID- 29377283
TI - Soluble CD14, CD163, and CD27 biomarkers distinguish ART-suppressed youth living
with HIV from healthy controls.
AB - OBJECTIVE: To define inflammatory pathways in youth living with HIV infection
(YLWH), assessments of biomarkers associated with lymphocyte and macrophage
activation, vascular injury, or bone metabolism were performed in YLWH in
comparison with healthy controls (HC). DESIGN: Longitudinal multicenter study
comparing biomarkers in YLWH suppressed on antiretroviral therapy (ART), those
with ongoing viral replication, and HC were compared using single blood samples
obtained at end of study. METHODS: Twenty-three plasma proteins were measured by
ELISA or multiplex assays. Principal component analysis (PCA) was used to define
contributions of individual biomarkers to define outcome groups. RESULTS: The
study cohort included 129 predominantly African American, male participants, 21
25 years old at entry. Nine biomarkers of lymphocyte and macrophage activation
and cardiovascular injury differed between HC and YLWH. Significant positive
correlations were identified between lymphocyte and macrophage activation
biomarkers among HC and YLWH. Correlations distinct to YLWH were predominantly
between biomarkers of macrophage and vascular inflammation. PCA of outcome groups
showed HC and suppressed YLWH clustering together for lymphocyte activation
biomarkers, whereas macrophage activation markers showed all YLWH clustering
distinct from HC. Cardiovascular biomarkers were indistinguishable across groups.
Averaged variable importance projection to assess single biomarkers that
maximally contribute to discriminate among outcome groups identified soluble
CD27, CD14, and CD163 as the 3 most important with TNFalpha and LPS also highly
relevant in providing separation. CONCLUSIONS: Soluble inflammatory and
lymphocyte biomarkers sufficiently distinguish YLWH from HC. Persistent
macrophage activation biomarkers may provide a means to monitor consequences of
HIV infection in fully suppressed YLWH.
PMID- 29377285
TI - Retrospective study on the correlation between 18-fluorodeoxyglucose uptake in
positron emission tomography-computer tomography and tumour volume, cytological
activity as assessed with Ki-67 and GLUT-1 staining in 10 cases of Merkel cell
carcinoma.
PMID- 29377286
TI - Diagnostic approach to subcutaneous nodules in patients with neuroendocrine
tumours treated with depot somatostatin analogs: a cross-sectional study.
AB - BACKGROUND: The presence of cutaneous nodules in patients with
gastroenteropancreatic neuroendocrine tumours (GEP-NETs) receiving depot
somatostatin analogs (SSAs) is a diagnostic challenge as differential diagnosis
between injection site reactions and metastases is essential. OBJECTIVE: To
characterize the clinical, radiological, cytological and histopathological
features of subcutaneous nodules in patients with GEP-NETs treated with SSAs.
MATERIALS AND METHODS: Retrospective, cross-sectional study of patients with GEP
NETs treated with SSAs in whom subcutaneous nodules were detected on routine
abdominal computed tomography (CT) scans. High resolution and colour Doppler
ultrasonography was performed. Those patients with inconclusive radiological
studies went through fine-needle aspiration cytology (FNAC) and/or biopsy.
RESULTS: Twelve patients (five males, seven females) were included (six midgut
carcinoid NETs, six pancreatic NETs). Three patients received intramuscular depot
octreotide, seven subcutaneous lanreotide, and two both treatments. CT scan
findings were nonspecific. Sonography revealed a hyperechoic pattern in recent
injections, and a hypoechoic pattern with a characteristic hyperechoic peripheral
rim in long-term injections (more than 3 months after injection). On colour
Doppler sonography, nodules showed no signs of intralesional vascularity. Fine
needle aspiration cytology (FNAC) was performed in five patients, revealing a
characteristic acellular proteinaceous material. Biopsy in four patients showed
different reactional infiltrates around the acellular material. CONCLUSIONS: High
resolution and colour Doppler ultrasonography may be very useful for the
differential diagnosis of subcutaneous nodules in patients with GEP-NETs treated
with SSAs. FNAC and a biopsy are useful tests for confirmation of the diagnosis
in patients with inconclusive findings. We propose a management algorithm.
PMID- 29377287
TI - Multimodal assessment of orbital immune cell infiltration and tissue remodeling
during development of graves disease by 1 H19 F MRI.
AB - PURPOSE: To evaluate key molecular and cellular features of Graves orbitopathy
(GO) by simultaneous monitoring of alterations in morphology, inflammatory
patterns, and tissue remodeling. METHODS: To this end, we utilized a murine model
of GO induced by immunization with a human thyroid-stimulating hormone receptor A
subunit plasmid. Altogether, 52 mice were used: 27 GOs and 25 controls (Ctrl)
immunized with beta-galactasidose plasmid. From these, 17 GO and 12 Ctrl mice
were subjected to multimodal MRI at 9.4T, whereas 23 mice only underwent
histology. Beyond anatomical hydrogen-1 (1 H) MRI, we employed transverse
relaxation time (T2 ) mapping for visualization of edema, chemical exchange
saturation transfer (CEST) for detection of hyaluronan, and fluorine-19 (19 F)
MRI for tracking of in situ-labeled immune cells after intravenous injection of
perfluorcarbons (PFCs). RESULTS: 1 H/19 F MRI demonstrated substantial
infiltration of PFC-loaded immune cells in peri and retro-orbital regions of GO
mice, whereas healthy Ctrls showed only minor 19 F signals. In parallel, T2
mapping indicated onset of edema in periorbital tissue and adjacent ocular glands
(P = 0.038/0.017), which were associated with enhanced orbital CEST signals in GO
mice (P = 0.031). Concomitantly, a moderate expansion of retrobulbar fat (P =
0.029) was apparent; however, no signs for extraocular myopathy were detectable.
19 F MRI-based visualization of orbital inflammation exhibited the highest
significance level to discriminate between GO and Ctrl mice (P = 0.006) and
showed the best correlation with the clinical score (P = 0.0007). CONCLUSION: The
present approach permits the comprehensive characterization of orbital tissue and
holds the potential for accurate GO diagnosis in the clinical setting. Magn Reson
Med 80:711-718, 2018. (c) 2018 International Society for Magnetic Resonance in
Medicine.
PMID- 29377289
TI - Kidney allograft survival outcomes in combined intestinal-kidney transplant: An
analysis of the UNOS/OPTN database 2000-2014.
AB - BACKGROUND AND OBJECTIVES: Intestinal transplants carry a high
morbidity/mortality. Kidney allograft outcomes after combined intestinal (IT)
with kidney transplant (CIKT) remain largely uninvestigated. MATERIALS AND
METHODS: The UNOS STAR database was queried to identify all such combined organ
transplants from 2000 to 2015. RESULTS: Out of a total 2215 (51.4% peds vs 48.6%
adults) intestinal transplants, 111 (5.0%) CIKT were identified (32.4% peds vs
67.6% adults). Over the study period of CIKT, a total of 45.9% of these cases
died with a functioning kidney graft. DGF rate was 9.0%. The 1-year reported
kidney acute rejection rate was 6.3%. For the entire CIKT population over the
entire study era, the 1-, 3-, and 5-year unadjusted kidney graft survival was
57%, 39%, and 34%, while death-censored kidney graft survival was 93%, 90%, and
86%, respectively. Overall conditional 5-year kidney graft survival (defined as 1
year kidney graft survival) was 58%. Overall, patient survival was significantly
lower in recipients of CIKT compared to intestinal transplant (IT) (P < .005);
However, the 5-year conditional (1 year kidney graft) patient survival in adults
was not significantly different between IT and CIKT overall (P = .194).
CONCLUSIONS: Kidney allograft survival is primarily dependent on 1-year patient
survival. Guidelines regarding allocation of kidney allografts in CIKT need to
take into consideration utility and urgency.
PMID- 29377288
TI - Effects of anti-inflammatory drugs on the expression of tryptophan-metabolism
genes by human macrophages.
AB - Several lines of evidence link macrophage activation and inflammation with
(monoaminergic) nervous systems in the etiology of depression. IFN treatment is
associated with depressive symptoms, whereas anti-TNFalpha therapies elicit
positive mood. This study describes the actions of 2 monoaminergic
antidepressants (escitalopram, nortriptyline) and 3 anti-inflammatory drugs
(indomethacin, prednisolone, and anti-TNFalpha antibody) on the response of human
monocyte-derived macrophages (MDMs) from 6 individuals to LPS or IFN-alpha.
Expression profiling revealed robust changes in the MDM transcriptome (3294 genes
at P < 0.001) following LPS challenge, whereas a more limited subset of genes
(499) responded to IFNalpha. Contrary to published reports, administered at
nontoxic doses, neither monoaminergic antidepressant significantly modulated the
transcriptional response to either inflammatory challenge. Each anti-inflammatory
drug had a distinct impact on the expression of inflammatory cytokines and on the
profile of inducible gene expression-notably on the regulation of enzymes
involved in metabolism of tryptophan. Inter alia, the effect of anti-TNFalpha
antibody confirmed a predicted autocrine stimulatory loop in human macrophages.
The transcriptional changes were predictive of tryptophan availability and
kynurenine synthesis, as analyzed by targeted metabolomic studies on cellular
supernatants. We suggest that inflammatory processes in the brain or periphery
could impact on depression by altering the availability of tryptophan for
serotonin synthesis and/or by increasing production of neurotoxic kynurenine.
PMID- 29377290
TI - Self-Assembling of Fmoc-GC Peptide Nucleic Acid Dimers into Highly Fluorescent
Aggregates.
AB - The study of molecules that self-assemble through noncovalent interactions is one
of the most attractive topics in supramolecular chemistry. The use of short
peptides or modified nucleotides as building blocks for the aggregates is
particularly intriguing because these are very easy to synthesize; moreover,
subtle changes in the chemical structure of such building blocks may drastically
affect the properties of the aggregates. The ability of peptide nucleic acids
(PNA) to aggregate has been very little explored, despite its practical
applications. In this work we investigated the self-assembling properties of a
PNA dimer, conjugated at the N-terminus to a fluorenylmethoxycarbonyl group. This
PNA dimer forms nano-aggregates at low concentration in CHCl3 /CH3 OH mixtures.
The aggregates retain very interesting fluorescent properties (high quantum yield
in the visible region with lifetimes on the nanosecond scale), which make them
promising materials for applications in optoelectronics.
PMID- 29377292
TI - Glucose-6-phosphate-dehydrogenase deficient red blood cell units are associated
with decreased posttransfusion red blood cell survival in children with sickle
cell disease.
AB - Chronic transfusion therapy (CTT) for sickle cell disease (SCD) reduces disease
morbidity by suppressing the amount of circulating hemoglobin S (HbS)-containing
red blood cells (RBC). The effectiveness of CTT depends on the rate of RBC
clearance. Glucose-6-phosphate dehydrogenase (G6PD) deficient donor RBC may
exhibit increased hemolysis, but it is unknown if transfusion of these units
results in less effective transfusion outcomes in SCD. Children with SCD on CTT
were followed prospectively for multiple transfusions. G6PD activity of
transfused units was measured prior to expiration date. HbA clearance (DeltaHbA)
was calculated as the difference of estimated posttransfusion HbA to the
pretransfusion HbA of the subsequent transfusion episode. Sixty-two patients
received 388 transfusions. Of 755 RBC units, 687 (91%) had normal G6PD (>60%
activity), 38 (5%) had moderately low G6PD (10-60% activity), and 30 (4%) had
severely low G6PD (<10% activity). Of 358 evaluable transfusions, 54 (15%)
included >=1 G6PD deficient units, and 22 (6%) had >=1 severely deficient units.
The proportion of the transfusion episode consisting of G6PD deficient units was
associated with increased DeltaHbA for all G6PD deficient units (P = .05) and for
severely G6PD deficient units (P = .0070). In multivariate mixed effects
modeling, DeltaHbA was positively associated with severely G6PD deficient units
(P = .0074) and RBC alloimmunization (P = .03) and negatively associated with
recipient splenectomy (P = .015). Higher DeltaHbA was associated with higher HbS
and reticulocyte counts at the subsequent transfusion episode. In conclusion,
G6PD deficient RBC transfusions may have shorter in vivo survival and adversely
affect the suppression of sickle erythropoiesis.
PMID- 29377293
TI - A study of androgenic signs and disorders in Greek female patients with acne.
PMID- 29377291
TI - Stereopsis: are we assessing it in enough depth?
AB - The assessment of stereoacuity is an integral part of the ophthalmic assessment,
with the responses used to inform clinical management decisions. Stereoacuity
impacts on many aspects of life, but there are discrepancies reported where
people without measurable stereoacuity report appreciating 3-D vision. This could
be due, in part, to the presentation of the stimuli. A literature review was
undertaken to evaluate current assessment techniques, how they relate to patient
outcomes, identify the limitations of current tests and discuss how they could be
improved. Recent evidence has been collated on currently available tests, used
commonly within vision clinics, with normative data provided allowing responses
to the tests to be interpreted. The relevance of the results is evaluated in
relation to a range of outcomes, where a reduced level of stereopsis has a
negative impact on the ability of an individual to perform many tasks, and can
lead to an increase in difficulty interacting in the world. Current tests are
limited in the aspects of stereoacuity they assess and their ability to precisely
measure stereopsis. The world is not static, yet clinical tests are limited to
measuring static stereoacuity, even though higher grades of depth perception can
be identified in the presence of changing depth. Presentation methods of
stereoacuity tests have remained similar over time, with a limited number of
disparity levels assessed. New assessment methods are becoming available that
include automated staircase testing to present multiple levels of disparity using
digital technology. Current clinical tests are limited in their presentation, and
are poor at detecting/measuring stereoacuity in those with limited stereopsis.
Given the relevance of the stereoacuity measurement to management choices and
functional outcomes, new testing methods would be beneficial to fully assess
stereoacuity, both static and dynamic.
PMID- 29377294
TI - Increased ceruloplasmin expression caused by infiltrated leukocytes, activated
microglia, and astrocytes in injured female rat spinal cords.
AB - Ceruloplasmin (Cp), an enzyme containing six copper atoms, has important roles in
iron homeostasis and antioxidant defense. After spinal cord injury (SCI), the
cellular components in the local microenvironment are very complex and include
functional changes of resident cells and the infiltration of leukocytes. It has
been confirmed that Cp is elevated primarily in astrocytes and to a lesser extent
in macrophages following SCI in mice. However, its expression in other cell types
is still not very clear. In this manuscript, we provide a sensible extension of
these findings by examining this system within a female Sprague-Dawley rat model
and expanding the scope of inquiry to include additional cell types. Quantitative
reverse transcription polymerase chain reaction and Western blot analysis
revealed that the Cp mRNA and protein in SCI tissue homogenates were quite
consistent with prior publications. However, we observed that Cp was expressed
not only in GFAP+ astrocytes (consistent with prior reports) but also in CD11b+
microglia, CNPase+ oligodendrocytes, NeuN+ neurons, CD45+ leukocytes, and CD68+
activated microglia/macrophages. Quantitative analysis proved that infiltrated
leukocytes, activated microglia/macrophages, and astrocytes should be the major
sources of increased Cp.
PMID- 29377295
TI - Basal cell carcinoma of the scrotum: an important but easily overlooked entity.
PMID- 29377296
TI - Genipin ameliorates diet-induced obesity via promoting lipid mobilization and
browning of white adipose tissue in rats.
AB - Genipin is the major active component of Gardeniae fructus and has been shown to
ameliorate diabetes and insulin resistance in rat models. In this study, we first
investigated the effect of genipin on obesity and the related lipid metabolism
mechanisms in diet-induced obese rats. Our results showed that genipin reduced
body weight, food intake, and visceral fat mass; ameliorated dyslipidemia,
glucose intolerance, insulin intolerance, adipocyte hypertrophy, and hepatic
steatosis; and reduced serum tumor necrosis factor-alpha level in diet-induced
obese rats. Quantitative real-time reverse-transcription polymerase chain
reaction results further illustrated that genipin promoted lipolysis and beta
oxidation of fatty acid by upregulating gene expressions of hormone-sensitive
lipase and adipose triglyceride lipase in white adipose tissue (WAT) and
peroxisome proliferator-activated receptor-alpha and carnitine
palmitoyltransferase 1alpha in hepatic tissue. Moreover, genipin promoted
browning of WAT by upregulating the mRNA and protein levels of uncoupling protein
1 and PRD1-BF1-RIZ1 homologous domain containing 16 in WAT. Additionally, genipin
inhibited gene expressions of activin receptor-like kinase 7, tumor necrosis
factor-alpha, and interlukin-6 in WAT. These results indicated that genipin had a
potential therapeutic role in obesity, in which regulation of lipid mobilization
and browning of WAT were involved.
PMID- 29377297
TI - Patch testing in facial dermatitis using Chinese Baseline Series (60 allergens)
and Cosmetic Series (58 allergens).
PMID- 29377298
TI - Bimetallic d10 -Metal Complexes of a Bipyridine Substituted N-Heterocyclic
Carbene.
AB - The hybrid ligand 3-(2,2'-bipyridine-6-ylmethyl)-1-mesityl-1H-imidazolylidene
(NHCBipy ) featuring both carbene and N-donor sites, was selectively complexed
with various d10 metal cations in order to examine its coordination behavior with
regard to homo and heterometallic structures. Respective silver complexes can be
obtained by the silver oxide route and are suitable transmetallation reagents for
the synthesis of gold(I) compounds. Starting from the mononuclear complexes
[(NHCBipy )AuCl], [(NHCBipy )Au(C6 F5 )] and [(NHCBipy )2 Au][ClO4 ], open-chain
as well as cyclic heterobimetallic complexes containing Cu+ , Ag+ , Zn2+ , Cd2+ ,
and Hg2+ were synthesized. Furthermore, the homobimetallic species [(NHCBipy )2
M2 ][ClO4 ]2 (M=Cu, Ag) were obtained. All bimetallic compounds were fully
characterized including single-crystal X-ray analysis. Their photoluminescence
(PL) properties were investigated in the solid state at temperatures between 15
and 295 K and compared with those of the mononuclear species. There is a clear
difference in PL properties between the open chain and the cyclic
heterobimetallic complexes. The latter species show different PL properties,
depending on the metals involved. In addition, collision-induced dissociation
(CID) experiments were performed on electrosprayed cations of the cyclic
heterobimetallic compounds, to compare the metal binding at the carbene and N
donor sites.
PMID- 29377299
TI - Dosage adjustments in patients with psoriasis on adalimumab - a retrospective
chart review.
PMID- 29377301
TI - Biomineralization State of Viruses and Their Biological Potential.
AB - In nature, viruses can realize self-mineralization under metal-ion-abundant
conditions. Interestingly, the mineralized state is a transition state of the
virus when the host is not available. Mammalian viruses that share the similar
chemical properties also stand a chance of transformation into a mineralized
state. In this review, we focus on the possibility of mammalian viruses to
undergo mineralization under a physiological environment and the development of
biomineralized-based virus engineering. We will introduce the effect of
biomineralization on the physiochemical or biological properties of viruses and
we will discuss the relationship between mineral composition and biological
potentials. The new biological prospects of mineralized-state viruses, including
bypassing biological barriers, protection, and virus-host recognition, will
provide new insight for the biosecurity and prevention of viral infection. With
respect to vaccines, the mineralized state can modulate the immune recognition,
change the immunization route, and elevate the vaccine efficacy. Together, these
findings of the mineralized state of the virus may lead to a new understanding of
virus biology, application, and prevention.
PMID- 29377300
TI - Effects of 6% Tetrastarch and Lactated Ringer's Solution on Extravascular Lung
Water and Markers of Acute Renal Injury in Hemorrhaged, Isoflurane-Anesthetized
Healthy Dogs.
AB - BACKGROUND: Tetrastarch can cause acute kidney injury (AKI) in humans with
sepsis, but less likely to result in tissue edema than lactated Ringer's solution
(LRS). OBJECTIVES: Compare effects of volume replacement (VR) with LRS and 6%
tetrastarch solution (TS) on extravascular lung water (EVLW) and markers of AKI
in hemorrhaged dogs. ANIMALS: Six healthy English Pointer dogs (19.7-35.3 kg).
METHODS: Prospective crossover study. Animals underwent anesthesia without
hemorrhage (Control). Two weeks later, dogs hemorrhaged under anesthesia on 2
occasions (8-week washout intervals) and randomly received VR with LRS or TS at 3
: 1 or 1 : 1 of shed blood, respectively. Anesthesia was maintained until 4 hour
after VR for EVLW measurements derived from transpulmonary thermodilution cardiac
output. Neutrophil gelatinase-associated lipocalin (NGAL) and creatinine
concentrations in plasma and urine were measured until 72 hour after VR. RESULTS:
The EVLW index (mL/kg) was lower at 1 hour after TS (10.0 +/- 1.9) in comparison
with controls (11.9 +/- 3.4, P = 0.04), and at 4 hour after TS (9.7 +/- 1.9) in
comparison with LRS (11.8 +/- 2.7, P = 0.03). Arterial oxygen partial pressure-to
inspired oxygen fraction ratio did not differ among treatments from 0.5 to 4 hour
after VR. Urine NGAL/creatinine ratio did not differ among treatments and
remained below threshold for AKI (120,000 pg/mg). CONCLUSIONS AND CLINICAL
IMPORTANCE: Although TS causes less EVLW accumulation than LRS, neither fluid
produced evidence of lung edema (impaired oxygenation). Both fluids appear not to
cause AKI when used for VR after hemorrhage in healthy nonseptic dogs.
PMID- 29377302
TI - Vaccinium angustifolium (lowbush blueberry) leaf extract increases extravillous
trophoblast cell migration and invasion in vitro.
AB - Perturbations to extravillous trophoblast (EVT) cell migration and invasion are
associated with the development of placenta-mediated diseases. Phytochemicals
found in the lowbush blueberry plant (Vaccinium angustifolium) have been shown to
influence cell migration and invasion in models of tumorigenesis and
noncancerous, healthy cells, however never in EVT cells. We hypothesized that the
phenolic compounds present in V. angustifolium leaf extract promote trophoblast
migration and invasion. Using the HTR-8/SVneo human EVT cell line and Boyden
chamber assays, the influence of V. angustifolium leaf extract (0 to 2 * 104
ng/ml) on trophoblast cell migration (n = 4) and invasion (n = 4) was determined.
Cellular proliferation and viability were assessed using immunoreactivity to Ki67
(n = 3) and trypan blue exclusion assays (n = 3), respectively. At 20 ng/ml, V.
angustifolium leaf extract increased HTR-8/SVneo cell migration and invasion (p <
.01) and did not affect cell proliferation or viability. Chlorogenic acid was
identified as a major phenolic compound of the leaf extract and the most active
compound. Evidence from Western blot analysis (n = 3) suggests that the effects
of the leaf extract and chlorogenic acid on trophoblast migration and invasion
are mediated through an adenosine monophosphate-activated protein (AMP) kinase
dependent mechanism. Further investigations examining the potential therapeutic
applications of this natural health product extract and its major chemical
compounds in the context of placenta-mediated diseases are warranted.
PMID- 29377303
TI - Activation of Molecular Oxygen Using Durable Cobalt Encapsulated with Nitrogen
Doped Graphitic Carbon Shells for Aerobic Oxidation of Lignin-Derived Alcohols.
AB - It has long been a challenge for activating O2 by transition-metal nanocatalysts,
which might lose activity due to strong tendency for oxidation. Herein, O2 could
be activated by durable encapsulated cobalt nanoparticles (NPs) with N-doped
graphitic carbon shells (Co@N-C), but not by encapsulated cobalt NPs with
graphitic carbon, exposed cobalt NPs supported on activated carbon, or N-doped
carbon. Electron paramagnetic resonance, real-time in situ FTIR spectroscopy, and
mass spectrometry measurements demonstrated the generation of the highly active
superoxide radical, O2.- . This unique ability enables Co@N-C to afford an
excellent catalytic performance in model aerobic oxidation of monomeric lignin
derived alcohols. Further analysis elucidated that encapsulated cobalt and
nitrogen-doped graphitic carbon might contribute to the capacity through
influencing the electronic properties of outer layers. Moreover, through
isolation by N-doped graphitic carbon shells, the inner metallic cobalt NPs are
inaccessible in term of either alcohols or oxygenated products, and a distinctive
resistance to leaching and agglomeration has been achieved.
PMID- 29377304
TI - Regulation of human trophoblast cell syncytialization by transcription factors
STAT5B and NR4A3.
AB - In human trophoblast cells, cyclic AMP or its inducer forskolin (FSK) activates
two downstream signaling molecules, protein kinase A (PKA) and exchange protein
directly activated by cAMP (EPAC), both of which induce syncytialization, cell
fusion, and the production of human chorionic gonadotropin (hCG) and
progesterone. However, a transcription factor other than GCM1 and molecular
mechanisms associated with these events have not been well characterized. To
identify novel transcription factors involved in syncytialization of cAMP
stimulated human choriocarcinoma BeWo cells, the microarray analysis was
performed with RNAs extracted from PKA- or EPAC-selective cAMP analog-stimulated
BeWo cells, from which two up-regulated transcription factors, STAT5 and NR4A3,
were found. The knockdown of STAT5B decreased FSK-induced cell fusion and the
expression of syncytialization markers, CGB, syncytin1, syncytin2, GCM1, and
OVOL1, but NR4A3 knockdown increased FSK-induced cell fusion and the expression
of CGB and syncytin2. These findings indicated that cAMP-PKA up-regulated STAT5B,
followed by increase in syncytin2 expression through GCM1 and OVOL1, resulting in
cell fusion and hCG production, while cAMP-PKA-up-regulated NR4A3 could decrease
syncytin2 expression, and suggested that both positive and negative effects of
STAT5B and NR4A3, respectively, are required to control the degree of
syncytialization in human trophoblast cells.
PMID- 29377305
TI - Calbindin-D28K mediates 25(OH)D3/VDR-regulated bone formation through MMP13 and
DMP1.
AB - Calcium binding protein calbindin-D28K (CaBP28K) mediates the relationship
between vitamin D and calcium, but its mechanism remains unclear during bone
formation. The present study reports that maternal CaBP28K levels were positively
correlated with paired umbilical cord CaBP28K levels. In addition, CaBP28K levels
were positively correlated with the body length, and head and chest
circumferences of neonates, but negatively correlated with maternal 25(OH)D3
levels. CaBP28K was also downregulated in MC3T3-E1 osteoblasts when treated with
1,25(OH)2D or VDR overexpression, but was upregulated in the femur of
1alpha(OH)ase(-/-) mice. Furthermore, it was found CaBP28K may influence cell
differentiation and matrix formation through the regulation of DMP1 and the
interaction with MMP13 in osteoblasts. This suggests that CaBP28K could be a
candidate for the negative role of 1,25(OH)2D/VDR in regulating bone mass.
PMID- 29377306
TI - Limb asymmetry during recovery from anterior cruciate ligament reconstruction.
AB - There is limited literature that follows a population of Anterior Cruciate
Ligament Reconstruction (ACLR) patients through recovery. Our aim was to examine
differences in movement and loading patterns across time and between limbs over
four visits during 12 months post-ACLR. We hypothesized that kinematic and
kinetic data during a stop-jump would have time- and limb-dependent differences
through 12 months post-surgery. Twenty-three ACLR athletes performed five
vertical stop-jumps at 4, 5, 6, and 12 months post-op with motion capture and
force plate data collection. The peak knee flexion (PKF) was different between
the 4 and 12, 5 and 6, and the 5 and 12 month visits with earlier months
exhibiting higher PKF. The peak vertical ground reaction force (vGRF) was lower
at 4 than at 5 and 6 months. The peak posterior ground reaction force (pGRF) was
lower at 4 months than all other visits. Frontal knee and sagittal hip range of
motion (ROM) were different between 12 months and each previous visit.
Asymmetries were present in peak vGRF, peak knee extension moment and impulse up
to 12 months. The loading rate and peak pGRF demonstrated between limb
differences up to 6 months; limb stiffness demonstrated differences up to 5
months post-ACLR. PKF was only asymmetric at the 4 month visit. While some
variables improved in the 12 months post-ACLR, limb asymmetries in peak knee
extension moment, peak vGRF and impulse persisted up to 12 months. Additionally,
frontal plane knee and sagittal hip ROM had not normalized at 12 months. (c) 2018
Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop Res
36:1887-1893, 2018.
PMID- 29377307
TI - Stable Organic (Bi)Radicals by Delocalization of Spin Density into the Electron
Poor Chromophore Core of Isoindigo.
AB - The first isoindigo (bi)radicals were obtained by proton coupled oxidation of
their 4-hydroxyaryl substituted precursors. Optical and magnetic spectroscopic
studies revealed a singlet open-shell biradicaloid electronic ground state for
the bisphenoxyl-isoindigo (=1.20) with a small singlet-triplet energy gap of
0.065 eV and a large biradical character of y=0.79 that was corroborated by
temperature-dependent EPR spectroscopy and quantum chemical calculations. The
concept of kinetic blocking of the radical centers and delocalization of spin
density into the electron-withdrawing chromophore core of isoindigo offers an
entry into a new class of exceptionally stable open-shell functional materials
based on organic colorants.
PMID- 29377308
TI - Solution, Solid-State, and Computational Analysis of Agostic Interactions in a
Coherent Set of Low-Coordinate Rhodium(III) and Iridium(III) Complexes.
AB - A homologous family of low-coordinate complexes of the formulation trans-[M(2,2'
biphenyl)(PR3 )2 ][BArF4 ] (M=Rh, Ir; R=Ph, Cy, iPr, iBu) has been prepared and
extensively structurally characterised. Enabled through a comprehensive set of
solution phase (VT 1 H and 31 P NMR spectroscopy) and solid-state (single crystal
X-ray diffraction) data, and analysis in silico (DFT-based NBO and QTAIM
analysis), the structural features of the constituent agostic interactions have
been systematically interrogated. The combined data substantiates the adoption of
stronger agostic interactions for the IrIII compared to RhIII complexes and, with
respect to the phosphine ligands, in the order PiBu3 >PCy3 >PiPr3 >PPh3 . In
addition to these structure-property relationships, the effect of crystal packing
on the agostic interactions was investigated in the tricyclohexylphosphine
complexes. Compression of the associated cations, through inclusion of a more
bulky solvent molecule (1,2-difluorobenzene vs. CH2 Cl2 ) in the lattice or
collection of data at very low temperature (25 vs. 150 K), lead to small but
statistically significant shortening of the M-H-C distances.
PMID- 29377309
TI - Multidisciplinary work in oncology: Population-based analysis for seven invasive
tumours.
AB - The concept of multidisciplinary team meetings (MDTs) in cancer care is endorsed
internationally, but its uptake varies considerably. In Belgium, MDT meetings
were financially recognised in 2003 to encourage healthcare professionals to join
their knowledge and competences to improve the quality and coordination of cancer
care. This study aimed to evaluate for seven cancer types diagnosed between 2004
and 2011, the practices of MDT meetings in Belgium by means of population-based
administrative databases. Results show a clear increase over time in the
proportion of individual patients discussed at MDT meetings. Although this
evolution may be partly explained by the legal implementation of several
financial initiatives to stimulate MDT meetings, it also suggests an increase in
specialists' awareness of the importance of such meetings. Nevertheless, there is
still room for improvement, for specific cancer types as well as for certain
subgroups such as older patients. From the specialists' point of view, reducing
the administrative burden and time these meetings demand may entail a greater
participation to MDT meetings. Further research is needed to identify the
barriers to discuss more patients at MDT meetings and to elucidate the impact of
MDT meetings on the quality of cancer care.
PMID- 29377312
TI - Task experience influences coordinative structures and performance variables in
learning a slalom ski-simulator task.
AB - The experiment investigated the progressions of the qualitative and quantitative
changes in the movement dynamics of learning the ski-simulator as a function of
prior-related task experience. The focus was the differential timescales of
change in the candidate collective variable, neuromuscular synergies, joint
motions, and task outcome as a function of learning over 7 days of practice. Half
of the novice participants revealed in day 1 a transition of in-phase to anti
phase coupling of center of mass (CoM)-platform motion whereas the remaining
novices and experienced group all produced on the first trial an anti-phase CoM
platform coupling. The experienced group also had initially greater amplitude and
velocity of platform motion-a performance advantage over the novice group that
was reduced but not eliminated with 7 days of practice. The novice participants
who had an in-phase CoM-platform coupling on the initial trials of day 1 also
showed the most restricted platform motion in those trials. Prior-related
practice experience differentially influenced the learning of the task as
evidenced by both the qualitative organization and the quantitative motion
properties of the individual degrees of freedom (dof) to meet the task demands.
The findings provide further evidence to the proposition that CoM-platform
coupling is a candidate collective variable in the ski-simulator task that
provides organization and boundary conditions to the motions of the individual
joint dof and their couplings.
PMID- 29377310
TI - Nuclear lipid mediators: Role of nuclear sphingolipids and sphingosine-1
phosphate signaling in epigenetic regulation of inflammation and gene expression.
AB - Phospholipids, sphingolipids, and cholesterol are integral components of
eukaryotic cell organelles, including the nucleus. Recent evidence shows
characteristic features of nuclear lipid composition and signaling, which are
distinct from that of the cytoplasm and plasma membrane. While the nuclear
phosphoinositol lipid signaling in cell cycle regulation and differentiation has
been well described, there is a paucity on the role of nuclear sphingolipids and
sphingolipid signaling in different physiological and pathophysiological human
conditions. In this prospective, we describe the role of sphingolipids and
specifically focus on the sphingoid bases, such as sphingosine, ceramide, and
sphingosine-1-phosphate (S1P) generation and catabolism in nuclear signaling and
function. Particularly, S1P generated in the nucleus by phosphorylation of SPHK2
modulates HDAC activity either by direct binding or through activation of nuclear
reactive oxygen species and regulates cell cycle and pro-inflammatory gene
expression. Potential implication of association of SPHK2 with the co-repressor
complexes and generation of S1P in the nucleus on chromatin remodeling under
normal and pathological conditions is discussed. A better understanding of
sphingolipid signaling in the nucleus will facilitate the design and development
of new and novel therapeutic approaches to modulate expression of pro
inflammatory and cell cycle dependent genes in human pathologies such as cancer,
bacterial lung infection, neurodegeneration, and cystic fibrosis.
PMID- 29377314
TI - Chronology of regional lymph node metastases in cutaneous melanoma: a model based
on mitotic rate.
PMID- 29377313
TI - SOST/Sclerostin Improves Posttraumatic Osteoarthritis and Inhibits MMP2/3
Expression After Injury.
AB - Patients with anterior cruciate ligament (ACL) rupture are two times as likely to
develop posttraumatic osteoarthritis (PTOA). Annually, there are ~900,000 knee
injuries in the United States, which account for ~12% of all osteoarthritis (OA)
cases. PTOA leads to reduced physical activity, deconditioning of the
musculoskeletal system, and in severe cases requires joint replacement to restore
function. Therefore, treatments that would prevent cartilage degradation post
injury would provide attractive alternatives to surgery. Sclerostin (Sost), a Wnt
antagonist and a potent negative regulator of bone formation, has recently been
implicated in regulating chondrocyte function in OA. To determine whether
elevated levels of Sost play a protective role in PTOA, we examined the
progression of OA using a noninvasive tibial compression overload model in SOST
transgenic (SOSTTG ) and knockout (Sost-/- ) mice. Here we report that SOSTTG
mice develop moderate OA and display significantly less advanced PTOA phenotype
at 16 weeks post-injury compared with wild-type (WT) controls and Sost-/- . In
addition, SOSTTG built ~50% and ~65% less osteophyte volume than WT and Sost-/- ,
respectively. Quantification of metalloproteinase (MMP) activity showed that
SOSTTG had ~2-fold less MMP activation than WT or Sost-/- , and this was
supported by a significant reduction in MMP2/3 protein levels, suggesting that
elevated levels of SOST inhibit the activity of proteolytic enzymes known to
degrade articular cartilage matrix. Furthermore, intra-articular administration
of recombinant Sost protein, immediately post-injury, also significantly
decreased MMP activity levels relative to PBS-treated controls, and Sost
activation in response to injury was TNFalpha and NF-kappaB dependent. These
results provide in vivo evidence that sclerostin functions as a protective
molecule immediately after joint injury to prevent cartilage degradation. (c)
2018 The Authors. Journal of Bone and Mineral Research Published by Wiley
Periodicals Inc.
PMID- 29377315
TI - The Data Hustle: How Beneficiaries Benefit from Continual Data Collection and
Humanitarian Aid Research in the Somali Region of Ethiopia.
AB - Based on ethnographic and policy research in the Somali Region of Ethiopia, this
article examines how contemporary trends in the humanitarian relief industry to
mandate continual data collection, "accountability," and the "localization" of
aid have increased demands for participatory and intensive research methodologies
in crisis-affected communities. International humanitarian relief agencies hustle
to hire local staffs and recruit enough participants for their repeated research
projects, while at the same time, the so-called beneficiaries of aid also hustle
to participate in data collection as paid informants and temporary employees.
Research is an important side gig for many beneficiaries, and beneficiaries'
regular participation is vital to reforming humanitarian practice. Beneficiaries
are not therefore passive recipients of charity, but actively help produce the
representations of crisis and suffering that, in turn, potentially qualify them
for aid. Their indispensability and activity within contemporary humanitarian
"audit cultures" therefore present emergent but limited forms of counter
hegemonic power.
PMID- 29377316
TI - A utility of model input uncertainty analysis in transferring tobacco control
related economic evidence to countries with scarce resources: results from the
EQUIPT study.
AB - AIMS: To inform the transferability of tobacco control-related economic evidence
to resource-poor countries. METHODS: We ran a univariate sensitivity analysis on
a return on investment (ROI) model, the European study on Quantifying Utility of
Investment in Protection from Tobacco model (EQUIPTMOD), to identify key input
values to which the ROI estimates were sensitive. The EQUIPTMOD used a Markov
based state transition model to estimate the ROI of several tobacco control
interventions in five European countries (England, Germany, Spain, Hungary and
the Netherlands). Base case ROI estimates were obtained through average values of
model inputs (throughout the five countries), which were then replaced one at a
time with country-specific values. Tornado diagrams were used to evaluate the
significance of sensitivity, defined as a >= 10% difference in ROI estimates from
the base case estimates. RESULTS: The ROI estimates were sensitive to 18 (of 46)
input values. Examples of model inputs to which ROI estimates were sensitive
included: smoking rate, costs of smoking-related diseases (e.g. lung cancer) and
general population attributes. CONCLUSION: Countries that have limited research
time and other resources can adapt EQUIPTMOD to their own settings by choosing to
collect data on a small number of model inputs. EQUIPTMOD can therefore
facilitate transfer of tobacco control related economic evidence to new
jurisdictions.
PMID- 29377317
TI - A turning point: Head and neck cancer patients' exercise preferences and barriers
before and after participation in an exercise intervention.
AB - This study examined the exercise barriers and preferences of head and neck cancer
(HNC) survivors in relation to exercise experience. Participants (n = 22; 46.8%
response rate) completed retrospective self-report questionnaires on demographic
and medical information, exercise barriers and preferences. A subset of
participants then completed semi-structured interviews (n = 18). Participants had
previously engaged in the ENHANCE trial during, or immediately following,
radiation treatment, an average of 22.1 +/- 5.8 months before. Retrospective
questionnaires revealed that before ENHANCE participation, lack of interest and
time were the primary exercise barriers. After participation, there was a
significant decrease in typical barriers including lack of interest (p = .008),
exercise not a priority (p = .039) and exercise not in routine (p = .004). Number
of barriers experienced after ENHANCE participation was negatively correlated
with age, quality of life and minutes of resistance exercise training per week.
After ENHANCE participation, significant increases were found in preference for
exercising at a cancer centre (p = .031) and with other cancer survivors (p =
.016). Four higher order themes emerged inductively from interview data analysis
pertaining to preferences (i.e., class format) and three higher order themes
regarding barriers (physical, psychological and external). By investigating
participants' perspectives after ENHANCE participation, key factors for effective
HNC exercise programme design were identified.
PMID- 29377318
TI - Trans-carotid access for TAVR allows safe and rapid exchange for bailout valve-in
valve procedures.
AB - Trans-carotid access for trans-catheter aortic valve replacement is a valid
alternative in patients with aortic valve dysfunction, severe peripheral vascular
disease, and high-risk for surgery. However, in the event of the need for a
bailout valve-in-valve procedure, the safety of this access site is uncertain. We
report a patient with aortic regurgitation, previous coronary surgery, peripheral
vascular disease, and impaired ventricular function who underwent a CoreValve
Evolut-R (Medtronic Inc, Minneapolis, MN) implantation through the left carotid
artery followed by a successful valve-in-valve procedure with a 26-mm Edwards
Sapien3 valve (Edwards Lifesciences, Irvine, CA) through the same carotid access
site.
PMID- 29377319
TI - Comparison of Flexural Strength of Different CAD/CAM PMMA-Based Polymers.
AB - PURPOSE: To compare the flexural strength of different computer-aided
design/computer-aided manufacturing (CAD/CAM) poly(methyl methacrylate)-based
(PMMA) polymers and conventional interim resin materials after thermocycling.
MATERIALS AND METHODS: Rectangular-shaped specimens (n = 15, for each material)
(25 * 2 * 2 mm3 ) were fabricated from 3 CAD/CAM PMMA-based polymers (Telio CAD
[T]; M-PM-Disc [M]; Polident-PMMA [P]), 1 bis-acrylate composite resin (Protemp 4
[PT]), and 1 conventional PMMA (ArtConcept Artegral Dentine [C]) according to ISO
10477:2004 Standards (Dentistry-Polymer-Based Crown and Bridge Materials). The
specimens were subjected to 10,000 thermocycles (5 to 55 degrees C). Three-point
flexural strength of the specimens was tested in a universal testing machine at a
1.0 mm/min crosshead speed, and the flexural strength data (sigma) were
calculated (MPa). The flexural strength values were statistically analyzed using
1-way ANOVA, and Tukey HSD post-hoc test for multiple comparisons (alpha = 0.05).
RESULTS: Flexural strength values ranged between 66.1 +/- 13.1 and 131.9 +/- 19.8
MPa. There were significant differences among the flexural strengths of tested
materials, except for between T and P CAD/CAM PMMA-based polymers (p > 0.05).
CAD/CAM PMMA-based polymer M had the highest flexural strength and conventional
PMMA had the lowest (p < 0.05). CAD/CAM PMMA-based T and P polymers had
significantly higher flexural strength than the bis-acrylate composite resin (p <
0.05), and conventional PMMA (p < 0.0001), and significantly lower flexural
strength compared to CAD/CAM PMMA-based M (p < 0.05). CONCLUSIONS: The flexural
strength of CAD/CAM PMMA-based polymers was greater than the flexural strength of
bis-acrylate composite resin, which had a greater flexural strength compared to
conventional PMMA resin.
PMID- 29377320
TI - Assessing the economic value of maintained improvements in Type 1 diabetes
management, in terms of HbA1c , weight and hypoglycaemic event incidence.
AB - AIMS: Insulin therapy is indicated for people with Type 1 diabetes mellitus;
however, treatment-related weight gain and hypoglycaemia represent barriers to
optimal glycaemic management. This study assessed the health economic value of
maintained reductions in HbA1c , BMI and hypoglycaemia incidence among the UK
Type 1 diabetes population. METHODS: The Cardiff Type 1 Diabetes Model was used
to estimate lifetime costs, life-years and quality-adjusted life-years (QALYs)
for individuals with Type 1 diabetes at different baseline HbA1c , BMI and
hypoglycaemic event rates. Results were discounted at 3.5%, and the net monetary
benefit associated with improving Type 1 diabetes management was derived at L20
000/QALY gained. Per-person outputs were inflated to national levels using UK
Type 1 diabetes prevalence estimates. RESULTS: Modelled subjects with an HbA1c of
86 mmol/mol (10.0%) were associated with discounted lifetime per-person costs of
L23 795; L12 649 of which may be avoided by maintaining an HbA1c of 42 mmol/mol
(6.0%). Combined with estimated QALY gains of 2.80, an HbA1c of 42 mmol/mol
(6.0%) vs. 86 mmol/mol (10.0%) was associated with a L68 621 per-person net
monetary benefit. Over 1 year, unit reductions in BMI produced L120 per-person
net monetary benefit, and up to L197 for the avoidance of one non-severe
hypoglyceamic event. CONCLUSIONS: Maintained reductions in HbA1c significantly
alleviate the burden associated with Type 1 diabetes in the UK. Given the
influence of weight and hypoglycaemia on health economic outcomes, they must also
be key considerations when assessing the value of Type 1 diabetes technologies in
clinical practice.
PMID- 29377321
TI - Small rubber particle proteins from Taraxacum brevicorniculatum promote stress
tolerance and influence the size and distribution of lipid droplets and
artificial poly(cis-1,4-isoprene) bodies.
AB - Natural rubber biosynthesis occurs on rubber particles, i.e. organelles
resembling small lipid droplets localized in the laticifers of latex-containing
plant species, such as Hevea brasiliensis and Taraxacum brevicorniculatum. The
latter expresses five small rubber particle protein (SRPP) isoforms named TbSRPP1
5, the most abundant proteins in rubber particles. These proteins maintain
particle stability and are therefore necessary for rubber biosynthesis. TbSRPP1-5
were transiently expressed in Nicotiana benthamiana protoplasts and the proteins
were found to be localized on lipid droplets and in the endoplasmic reticulum,
with TbSRPP1 and TbSRPP3 also present in the cytosol. Bimolecular fluorescence
complementation confirmed pairwise interactions between all proteins except
TbSRPP2. The corresponding genes showed diverse expression profiles in young T.
brevicorniculatum plants exposed to abiotic stress, and all except TbSRPP4 and
TbSRPP5 were upregulated. Young Arabidopsis thaliana plants that overexpressed
TbSRPP2 and TbSRPP3 tolerated drought stress better than wild-type plants.
Furthermore, we used rubber particle extracts and standards to investigate the
affinity of the TbSRPPs for different phospholipids, revealing a preference for
negatively charged head groups and 18:2/16:0 fatty acid chains. This finding may
explain the effect of TbSRPP3-5 on the dispersity of artificial poly(cis-1,4
isoprene) bodies and on the lipid droplet distribution we observed in N.
benthamiana leaves. Our data provide insight into the assembly of TbSRPPs on
rubber particles, their role in rubber particle structure, and the link between
rubber biosynthesis and lipid droplet-associated stress responses, suggesting
that SRPPs form the basis of evolutionarily conserved intracellular complexes in
plants.
PMID- 29377322
TI - Shared and distinct alterations of white matter tracts in remitted and
nonremitted patients with schizophrenia.
AB - Patients with schizophrenia do not usually achieve remission state even after
adequate antipsychotics treatment. Previous studies found significant difference
in white matter integrity between patients with good outcomes and those with poor
outcomes, but difference is still unclear at individual tract level. This study
aimed to use a systematic approach to identify the tracts that were associated
with remission state in patients with schizophrenia. We evaluated 91 patients
with schizophrenia (remitted, 50; nonremitted, 41) and 50 healthy controls
through diffusion spectrum imaging. White matter tract integrity was assessed
through an automatic tract-specific analysis method to determine the mean
generalized fractional anisotropy (GFA) values of the 76 white matter tract
bundles in each participant. Analysis of covariance among the 3 groups revealed
12 tracts that were significantly different in GFA values. Post-hoc analysis
showed that compared with the healthy controls, the nonremission group had
reduced integrity in all 12 tracts, whereas the remission group had reduced
integrity in only 4 tracts. Comparison between the remission and nonremission
groups revealed 4 tracts with significant difference (i.e., the right fornix,
bilateral uncinate fasciculi, and callosal fibers connecting the temporal poles)
even after adjusting age, sex, education year, illness duration, and medication
dose. Furthermore, all the 4 tracts were correlated with negative symptoms scores
of the positive and negative syndrome scale. In conclusion, our study identified
the tracts that were associated with remission state of schizophrenia. These
tracts might be a potential prognostic marker for the symptomatic remission in
patients with schizophrenia.
PMID- 29377324
TI - Differences in Prevalence of Muscle Weakness (Sarcopenia) in Haemodialysis
Patients Determined by Hand Grip Strength Due to Variation in Guideline
Definitions of Sarcopenia.
AB - BACKGROUND: Muscle weakness is associated with increased mortality, and
hemodialysis (HD) patients are at an increased risk for muscle loss. There is no
agreed definition for muscle weakness, so we determined whether using different
cut-off criteria recommended by guideline groups altered the prevalence in HD
patients. METHODS: We measured hand grip strength (HGS) in HD outpatients,
comparing HGS with clinical guideline cut-offs (European Working Group on
Sarcopenia in Older People [EWGSOP] and North American Foundation for the
National Institutes of Health Sarcopenia Project [FNIH]) used to define muscle
wasting (sarcopenia) with age-matched and gender-matched normative data. RESULTS:
We studied 459 patients, 61.4% male, 47.3% diabetic. The prevalence of muscle
weakness was significantly different when measuring HGS; 84.5% using the EWGSOP
cut-off and 73.2% with FNIH criteria, and 75.2% using North American normative
data and 56.6% U.K. normative data (P < .01). On logistic regression, muscle
weakness was associated with age (odds ratio [OR] 1.05, P < .001), weight (OR
0.96, P < .001), serum albumin (OR 0.89, P = .007), and being nondiabetic (OR
0.31, P = .001). Of patients with no comorbidity, 66.7% were weak when compared
with 93.8% with the highest comorbidity scores (P < .001). CONCLUSION: There is
currently no agreed universal definition for sarcopenia, but the EWGSOP and FNIH
advocate HGS cut-offs as part of their definition. The prevalence of muscle
weakness varies according to cut-off and whether age-matched and gender-matched
normative data are used. In addition, patient characteristics in terms of age and
comorbidity determine the prevalence of muscle weakness.
PMID- 29377325
TI - Correspondence regarding the impact of kidney transplantation on insulin
sensitivity.
PMID- 29377326
TI - A Study on Possibility of Clinical Application for Color Measurements of Shade
Guides Using an Intraoral Digital Scanner.
AB - PURPOSE: To assess if color measurement with intraoral scanner correlates with
digital colorimeter and to evaluate the possibility of application of a digital
scanner for shade selection. MATERIALS AND METHODS: The L*a*b* values of the five
shade tabs (A1, A2, A3, A3.5, and A4) were obtained with an intraoral scanner
(TRIOS Pod) and a colorimeter (ShadeEye). Both devices were calibrated according
to the manufacturer's instructions before measurements. Color measurement values
were compared with paired t-test, and a Pearson's correlation analysis was
performed to evaluate the relationship of two methods. RESULTS: The L*a*b* values
of the colorimeter were significantly different from those of the digital scanner
(p < 0.001). The L* and b* values of both methods were strongly correlated with
each other (both p < 0.05). The device repeatability in both methods were
reported to be excellent (p < 0.05). Within the limitations of this study, color
measurements with digital intraoral scanners and computer-assisted image analysis
were in accordance with those of the colorimeter with respect to L* and b*
values; however, all the coordinates of shade tabs were significantly different
between two methods. CONCLUSIONS: The digital intraoral scanner may not be used
as the primary method of color selection in clinical practices, considering
significant differences in color parameters with colorimeter. The scanner's
capability in shade selection should be further evaluated.
PMID- 29377327
TI - Comprehensive circRNA expression profile and construction of circRNA-associated
ceRNA network in fur skin.
AB - Circular RNA (circRNA), a class of non-coding RNAs, is a new group of RNAs that
are related to tumorigenesis. The role of circRNAs in various diseases has been
already highlighted. However, the expression levels and functions of circRNAs
related to the melanocytes in the skin are poorly understood. RNA sequence was
performed to analyse the expression profiles of circRNAs in black fur skin and
white fur skin during different differentiation stages and investigate the
relevant metabolism mechanisms. Differentially expressed circRNAs were detected
using empirical Bayes sequencing (EBSeq) and then verified through the
quantitative real-time PCR method. The EQSeq analysis of circRNAs identified 11
downregulated and 32 upregulated circRNAs in the embryo of black fur skin and
white fur skin, as well as 21 downregulated and 17 upregulated circRNA in the
postnatal stage. A circRNA-microRNA (miRNA)-messenger RNA (mRNA) network was
established to predict the circRNA targets. Gene ontology (GO) analysis and Kyoto
Encyclopedia of Genes and Genomes (KEGG) pathway analysis were applied to enrich
the mRNA data further. Results showed that the specific mRNAs mainly involved in
the transcription-related GOs, especially GO:0042802, GO:0005080 and GO:0032403,
demonstrate their specific actions in transcriptional regulation. In the circRNA
miRNA-mRNA network, the most enriched GO terms of the mRNAs were pigmentation,
protein autophosphorylation and protein complex. Therefore, the circRNA-miRNA
mRNA pathway may reveal novel mechanisms for pigmentation, and circRNAs may serve
as candidates in pigmentation.
PMID- 29377328
TI - Nitrergic signaling via interstitial cells of Cajal and smooth muscle cells
influences circular smooth muscle contractility in murine colon.
AB - BACKGROUND: Regulation of gastrointestinal motility involves excitatory and
inhibitory neurotransmission. Nitric oxide (NO), the major inhibitory
neurotransmitter, acts via its receptor NO-sensitive guanylyl cyclase (NO-GC). In
the GI tract, NO-GC is expressed in several cell types such as smooth muscle
cells (SMC) and interstitial cells of Cajal (ICC). Using cell-specific knockout
mice, we have previously shown that NO-GC modulates spontaneous contractions in
colonic longitudinal smooth muscle. However, its detailed role in the colonic
circular smooth muscle is still unclear. METHODS: Myography was performed to
evaluate spontaneous contractions in rings of proximal colon (2.5 mm) from global
(GCKO) and cell-specific knockout mice for NO-GC. Immunohistochemistry and in
situ hybridization were used to specify NO-GC expression. KEY RESULTS: Colonic
circular smooth muscle showed three different contraction patterns: high
frequency ripples, slow phasic contractions, and large contractions. Ripples
formed independently of NO-GC. Slow phasic contractions occurred intermittently
in WT, SMC-GCKO, and ICC-GCKO tissue, whereas they were more prominent and
prolonged in GCKO and SMC/ICC-GCKO tissue. Tetrodotoxin and the NO-GC inhibitor
ODQ transformed slow phasic contractions of WT and single cell-specific knockout
into GCKO-like contractions. ODQ increased the frequency of large contractions in
WT and ICC-GCKO colon but not in GCKO, SMC-GCKO, and SMC/ICC-GCKO preparations.
Tetrodotoxin and hexamethonium abolished large contractions. CONCLUSIONS AND
INFERENCES: We conclude that short rings of murine colon can be effectively used
to record spontaneous contractions. Although NO-GC in SMC determines smooth
muscle tone, concerted action of NO-GC in both SMC and ICC modulates slow phasic
contractions and large contractions.
PMID- 29377329
TI - Efficient production and characterization of homopolymeric poly(3
hydroxyvalerate) produced by Bacillus strain PJC48.
AB - Aliphatic polyester, poly(3-hydroxyvalerate) (PHV), is commonly produced as a
granular component in bacterial cells of various species. Based on 16S rDNA gene
sequence analysis, strain PJC48 was identified as a Bacillus species. The current
study is aimed to screen for a high-yield strain that can produce PHV efficiently
and to increase PHV product yield by optimizing the fermentative process. We
identified a high-producer strain based on Nile red staining. Characterization of
the PHV produced by PJC48 by nuclear magnetic resonance spectroscopy revealed
that it consisted of (R)-3-hydroxyvalerate monomers. The suggested model was
validated by response surface methodology. Optimization of the PHV yield resulted
in an increase of 32.75% compared to control, with a maximum production of 1.64
g/L after 48 H.
PMID- 29377330
TI - The poly-cistronic expression of four transcriptional factors (CRX, RAX, NEURO-D,
OTX2) in fibroblasts via retro- or lentivirus causes partial reprogramming into
photoreceptor cells.
AB - The introduction of four key transcriptional factors (CRX, RAX, NEURO-D, OTX2)
allows the direct differentiation of fibroblasts to retinal photoreceptor cells.
This reprogramming was achieved with a combination of mono-cistronic viruses.
Although the combination of mono-cistronic viruses was useful, a relatively high
titer of recombinant viruses was necessary because co-infections are required. To
overcome this issue, we established a poly-cistronic expression system for direct
reprogramming and analyzed the biological characteristics of introduced cells
after the exogenous introduction. The coding region of four reprogramming factors
and EGFP (CRX, RAX, NEURO-D, OTX2, and EGFP; CNROE) was inserted into multiple
sites of the pMYs-IP retrovirus or CSII-CMV lentivirus vector. The recombinant
viruses were exposed to HE16 human embryonic fibroblasts. The expression levels
of cone related genes were detected with real-time PCR. We detected the
activation of two of the photoreceptor-related genes after the poly-cistronic
expression of CRX, RAX, NEURO-D, and OTX2, but the rest of the genes did not
exhibit transcriptional elevation. We concluded that the poly-cistronic
expression of CNROE induced partial reprogramming into photoreceptor cells. We
hypothesize that the direct reprogramming into photoreceptor cells might require
relatively high protein expression levels of transcriptional factors.
PMID- 29377331
TI - C-C Bond Formation of Mg- and Zn-Activated Carbon Dioxide.
AB - Gas-phase activation of CO2 by chloride tagged metal atoms, [ClM]- (M=Mg, Zn),
has been investigated by mass spectrometry and high-level quantum chemistry. Both
metals activate CO2 with significant bending of the CO2 moiety to form complexes
with the general formula [ClM,CO2 ]- . The structure of the metal-CO2 complex
depends on the method of formation, and the energy landscapes and reaction
dynamics have been probed by collisional induced dissociation and thermal ion
molecule reactions with isotopically labeled species. Having established these
structural relationships, the gas-phase reactivity of [ClM(kappa2 -O2 C)]- with
acetaldehyde (here considered a carbohydrate mimic) was then studied. Formation
of lactate and enolate-pyruvate complexes are observed, showing that CO2 fixation
by C-C bond formation takes place. For M=Zn, even formation of free pyruvate ([C3
H3 O3 ]- ) is observed. Implications of the observed CO2 reactivity for the
electrochemical conversion of carbon dioxide, and to biochemical and artificial
photosynthesis is briefly discussed. Detailed potential energy diagrams obtained
by the quantum chemical calculations offer models consistent with experimental
observation.
PMID- 29377332
TI - Resemblance of a model species and its mimic: Reply to Valkonen and Mappes 2014.
PMID- 29377333
TI - Permissive or Trophic Enteral Nutrition and Full Enteral Nutrition Had Similar
Effects on Clinical Outcomes in Intensive Care: A Systematic Review of Randomized
Clinical Trials.
AB - The aim of this study was to systematically review the effect of permissive
underfeeding/trophic feeding on the clinical outcomes of critically ill patients.
A systematic review of randomized clinical trials to evaluate the mortality,
length of stay, and mechanical ventilation duration in patients randomized to
either hypocaloric or full-energy enteral nutrition was performed. Data sources
included PubMed and Scopus and the reference lists of the articles retrieved. Two
independent reviewers participated in all phases of this systematic review as
proposed by the Cochrane Handbook, and the review was reported according to
Preferred Reporting Items for Systematic Reviews and Meta-Analyses guidelines. A
total of 7 randomized clinical trials that included a total of 1,717 patients
were reviewed. Intensive care unit length of stay and mechanical ventilation
duration were not statistically different between the intervention and control
groups in all randomized clinical trials, and mortality rate was also not
different between the groups. In conclusion, hypocaloric enteral nutrition had no
significantly different effects on morbidity and mortality in critically ill
patients when compared with full-energy nutrition. It is still necessary to
determine the safety of this intervention in this group of patients, the optimal
amount of energy provided, and the duration of this therapy.
PMID- 29377334
TI - Effect of finish line design on stress distribution in bilayer and monolithic
zirconia crowns: a three-dimensional finite element analysis study.
AB - This study evaluated the influence of different finish line designs and abutment
materials on the stress distribution of bilayer and monolithic zirconia crowns
using three-dimensional finite element analysis (FEA). Three-dimensional models
of two types of zirconia premolars - a yttria-stabilized zirconia framework with
veneering ceramic and a monolithic zirconia ceramic - were used in the analysis.
Cylindrical models with the finish line design of the crown abutments were
prepared with three types of margin curvature radius (CR): CR = 0 (CR0; shoulder
margin), CR = 0.5 (CR0.5; rounded shoulder margin), and CR = 1.0 (CR1.0; deep
chamfer margin). Two abutment materials (dentin and brass) were analyzed. In the
FEA model, 1 N was loaded perpendicular to the occlusal surface at the center of
the crown, and linear static analysis was performed. For all crowns, stress was
localized to the occlusal loading area as well as to the axial walls of the
proximal region. The lowest maximum principal stress values were observed when
the dentin abutment with CR0.5 was used under a monolithic zirconia crown. These
results suggest that the rounded shoulder margin and deep chamfer margin, in
combination with a monolithic zirconia crown, potentially have optimal geometry
to minimize occlusal stress.
PMID- 29377335
TI - Being mindful of mindfulness interventions in cancer: A systematic review of
intervention reporting and study methodology.
AB - OBJECTIVES: While mindfulness-based stress reduction (MBSR) and mindfulness-based
cognitive therapy (MBCT) have demonstrated efficacy in clinical populations, the
potential therapeutic benefit of mindfulness in the context of cancer is less
clear. The aim of this review was to critically appraise mindfulness intervention
reporting and study methodology. METHODS: Studies using randomized control trial
design and/or a control arm were included. PubMed, Medline, PsycINFO, CINAHL, and
Embase databases between January 1999 and April 2017 were searched. Studies were
assessed on (1) reported theoretical framework, (2) intervention description, and
(3) justification of modifications to standardized MBSR/MBCT. The overall quality
of study design and research methodology were also assessed. RESULTS: Of 30
studies identified, none adhered to MBSR. Modified versions of MBSR were reported
in 19 studies. Five studies reported variants of MBCT, 1 used a combination of
MBSR/MBCT, and 5 inadequately documented the intervention/ theoretical framework.
Overall, component and timeline modifications were poorly documented and
justified. Mean intervention contact time was less than standardized MBSR/MBCT
protocols. Target outcomes were poorly justified, and 12 studies failed to
identify a primary aim, reporting multiple outcomes. Only 9 of 15 studies
recruiting clinical populations included clinical cutoffs, and an active
therapeutic control was included in 4 studies. CONCLUSIONS: Mindfulness is
increasingly considered a standard therapy in psycho-oncology. While many studies
proclaim benefits, considerable variability, modification to standardized
protocols, and claims of benefit often reflect decreases in sub-clinical
supportive care symptomology rather than therapeutic relief of clinically
significant psychological disorders.
PMID- 29377336
TI - Handheld 3-dimensional wound measuring system.
AB - BACKGROUND: Measuring wound dimensions is important for monitoring and predicting
the healing process. In our paper we propose and validate a handheld measuring
system with dedicated software for measuring wound dimensions. METHODS: The
measuring system comprises a commercial DSLR camera and a light pattern
projection system. It is based on triangulation and structured illumination
principles which enable handheld measuring. An edge of the wound is detected from
the colour information of the measurement. Across the area of the wound, virtual
healthy skin is approximated which enables the calculation of the wound volume
and area, in addition to the wound circumference, which is calculated from the
detected edge. RESULTS: In vitro verification using virtual standards showed that
the accuracy of the analysis software is over 95% and 93% for measuring the area
and volume respectively. A significant part of the error can be attributed to the
inability of approximation to replicate entirely missing features. The accuracy
of measuring the volume reduced to about 89% when a 3D measuring step was
included in the analysis. When measuring in vivo wounds, the unrepeatability of
the system was under 8% for measuring the area, which is a bit lower than
comparable systems, and 5% for measuring the volume, which is about 4 times
lower. CONCLUSION: Based on these results and the ease of use, we conclude that
the system is suitable to be used in daily clinical practice for measuring wound
dimensions.
PMID- 29377337
TI - Landscape consequences of aggregation rules for functional equivalence in
compensatory mitigation programs.
AB - Mitigation and offset programs designed to compensate for ecosystem function
losses due to development must balance losses from affected ecosystems with gains
in restored ecosystems. Aggregation rules applied to ecosystem functions to
assess site equivalence are based on implicit assumptions about the
substitutability of functions among sites and can profoundly influence the
distribution of restored ecosystem functions on the landscape. We investigated
the consequences of rules applied to the aggregation of ecosystem functions for
wetland offsets in the Beaverhill watershed in Alberta, Canada. We considered the
fate of 3 ecosystem functions: hydrology, water purification, and biodiversity.
We set up an affect-and-offset algorithm to simulate the effect of aggregation
rules on ecosystem function for wetland offsets. Cobenefits and trade-offs among
functions and the constraints posed by the quantity and quality of restorable
sites resulted in a redistribution of functions between affected and offset
wetlands. Hydrology and water purification functions were positively correlated
with one another and negatively correlated with biodiversity function. Weighted
average rules did not replace functions in proportion to their weights. Rules
prioritizing biodiversity function led to more monofunctional wetlands and
landscapes. The minimum rule, for which the wetland score was equal to the worst
performing function, promoted multifunctional wetlands and landscapes. The
maximum rule, for which the wetland score was equal to the best performing
function, promoted monofunctional wetlands and multifunctional landscapes.
Because of implicit trade-offs among ecosystem functions, no-net-loss objectives
for multiple functions should be constructed within a landscape context. Based on
our results, we suggest criteria for the design of aggregation rules for no net
loss of ecosystem functions within a landscape context include the concepts of
substitutability, cobenefits and trade-offs, landscape constraints,
heterogeneity, and the precautionary principle.
PMID- 29377338
TI - Clinical Effectiveness of 6.5-mm-Long Implants to Support Two-Implant Fixed
Prostheses in Premolar-Molar Region: The Influence of Immediate Loading and the
Length of Splinting Implant.
AB - PURPOSE: The purpose of this retrospective clinical study was to assess the
influence of immediate loading and lengths of splinted implants on the clinical
effectiveness of 6.5-mm-long implants supporting two-implant fixed prostheses in
the premolar-molar regions. MATERIALS AND METHODS: A clinical database was
reviewed in a private dental center to select those patients who had 6.5-mm-long
implants placed to support two-implant fixed partial prostheses in the premolar
molar regions of the maxilla and the mandible. All implants were immediately
loaded. The study groups were defined according to the lengths of the implants.
Two groups were identified: the short-short splinted group, when both implants
had 6.5 mm lengths, and the short-long splinted group, when one implant was
longer than 6.5 mm. A total of 48 dental implants were placed in 16 patients to
support 24 two-implant fixed prostheses. The mean follow-up time was 14 +/- 5
months. The short-short splinted group included 8 patients with 16 implants; the
short-long splinted group included 16 patients with 32 implants. The main
variable was implant survival, and secondary outcomes were marginal bone
stability and prosthesis survival. RESULTS: The statistical analyses indicated an
absence of significant differences between the two groups in terms of implant and
prosthesis survival (100% for both groups and both variables); however, distal
bone loss around the splinted implants was significantly higher in the short-long
splinted group. Bone loss was 0.37 +/- 0.55 mm in the short-short splinted group
and 0.94 +/- 0.66 mm in the short-long splinted group. CONCLUSIONS: Immediate
loading of short (6.5-mm-long) implants in the premolar-molar regions did not
jeopardize their survival. Two-implant supported prostheses had the same clinical
effectiveness, whether extra-short implants were splinted to another extra-short
implant or to a longer one.
PMID- 29377339
TI - Antiproliferation of keratinocytes and alleviation of psoriasis by the ethanol
extract of Artemisia capillaris.
AB - The therapeutic potentials of the ethanol extract of Artemisia capillaris (ACE)
for psoriasis were verified in HaCaT cells (as an immortalized human keratinocyte
cell line) and imiquimod (IMQ)-induced psoriasis-like mouse models. In HaCaT
cells, IC50 value of ACE was 37.5 MUg/ml after incubating for 72 hr. The
antiproliferation activity of ACE in HaCaT cells was further verified by
apoptosis assays. The percentage of apoptotic population in ACE-treated group was
significantly higher than that of control group (p < .05). The result of cell
cycle arrest assay also supported the observed antiproliferation efficacy of ACE
in HaCaT cells. In IMQ-induced psoriasis-like mouse models, the Psoriasis Area
and Severity Index score of ACE (50 mg/ml; ACE50)-treated group was significantly
lower than that of IMQ group on Day 4 (p < .05). After topical application of ACE
on psoriasis-like lesion for 4 days, the epidermal thickness of (IMQ + ACE50)
group was significantly lower than that of IMQ group (p < .05). The expression
levels of Ki-67 and intracellular adhesion molecule-1 in excised skin tissues of
(IMQ + ACE50) group were also lower than those of IMQ group. All these findings
suggest that ACE can be used as a promising antipsoriatic agent.
PMID- 29377340
TI - Nuclear pseudoinclusions in melanoma cells: prognostic fact or artifact? The
possible role of Golgi phosphoprotein 3 overexpression in nuclear
pseudoinclusions generation.
AB - Nuclear pseudoinclusions (NPIs) are classically found in papillary thyroid
carcinoma and meningioma. Although NPIs have been described in melanocytic
lesions, there is no systematic analysis of potential relationship between NPIs
and other clinicopathological characteristics of melanoma. We examined the
presence of NPIs in H&E-stained tissue sections form 96 melanomas and analyzed
statistical associations with important clinicopathological parameters and tissue
immunoreactivity for selected proteins involved in epithelial-mesenchymal
transition (SPARC, N-cadherin), cell adhesion and mobility (ALCAM, ADAM-10),
regulation of mitosis (PLK1), cell survival (FOXP1) and functioning of Golgi
apparatus (GOLPH3, GP73). NPIs were observed in 20% of melanomas and their
presence correlated with high mitotic rate and ulceration of the tumor, but not
with Breslow thickness, histologic type, or presence of metastases. We observed a
significant correlation with shorter cancer-specific survival, but not disease
free survival. Presence of NPIs was related to high expression of GOLPH3 in
melanoma cells, whereas their absence was linked to enhanced immunoreactivity of
GOLPH3 in tumor-associated macrophages. NPIs are not an uncommon finding in skin
melanoma and their diagnostic and prognostic utility could be helpful in the
daily routine histopathological practice. The possible explanation of NPI
generation is associated with enhanced activity of Golgi apparatus in melanoma
cells.
PMID- 29377342
TI - Merchants of doubt in the free-ranging cat conflict.
PMID- 29377343
TI - Spinal Cord Stimulation Improves the Microvascular Perfusion Insufficiency Caused
by Critical Limb Ischemia.
AB - OBJECTIVE: This retrospective case-controlled study intended to identify the
benefits and efficacy of spinal cord stimulation (SCS) as a therapeutic strategy
for patients with perfusion problems caused by critical limb ischemia (CLI). The
outcomes of patients who received SCS were compared with those of patients who
did not receive SCS. METHODS: This study recruited 78 patients who were diagnosed
with perfusion problems over the period of 2003-2011. Lower-limb Thallium-201
(201 Tl) scintigraphy revealed that the patients exhibited a perfusion difference
of <0.95. Thirty-seven of the recruited patients received SCS treatment and 41
did not receive SCS treatment. All patients received the same medication: 100 mg
aspirin once a day and 500 mg paracetamol thrice a day. The outcomes of walking
distance, walking time, and sleeping quality were measured and recorded. Pain
intensities were evaluated using the visual analog scale (VAS) scoring system.
RESULTS: Prior to SCS implantation, patients in the SCS treatment group had worse
walking distance (64.86 +/- 40.80 vs. 613.70 +/- 535.00, p < 0.001), walking time
(2.65 +/- 1.64 vs. 13.90 +/- 11.91, p < 0.001), and sleep quality (1.70 +/- 0.78
vs. 3.32 +/- 1.17, p < 0.001) than patients in the non-SCS treatment group. At
the one-year follow-up, however, patients in the SCS treatment group had
significantly better walking distance (1595.00 +/- 483.60, p < 0.001), walking
time (48.92 +/- 14.10, p < 0.001), and sleep quality (4.65 +/- 0.92, p < 0.001)
than patients in the non-SCS treatment group. Moreover, the VAS score of patients
in the SCS treatment group improved one week (8.63 +/- 0.54 vs. 4.48 +/- 0.59, p
< 0.001) and one year after SCS implantation (2.35 +/- 0.62, p < 0.001). By
contrast, at the one-year follow-up, the walking distance (277.60 +/- 374.80, p =
0.002), walking time (9.44 +/- 10.73, p = 0.078), sleep quality (2.20 +/- 1.10, p
< 0.001), and VAS score (7.98 +/- 0.43, p = 0.020) of patients in the non-SCS
treatment group worsened. Furthermore, lower-limb 201 Tl scintigraphy revealed
that microcirculation intensity increased in the lower extremities of patients in
the SCS treatment group after SCS implantation relative to that before SCS
implantation. Most importantly, 10 of the 41 patients in the non-SCS treatment
group required the use of wheelchairs, whereas none of the patients in the SCS
treatment group required the use of wheelchairs. CONCLUSION: Treatment of CLI
patient with SCS improved patient's walking ability, pain severity, and sleep
quality. SCS should be considered as an effective treatment toward limb salvage
in CLI.
PMID- 29377341
TI - The influence of exposome on acne.
AB - BACKGROUND: Acne vulgaris is one of the main reasons for dermatological
consultations. Severity and response to treatment may be impacted by various
external factors or exposome. AIM: To assess the impact of environmental factors
on acne and to provide a comprehensive overview of the acne exposome. METHODS:
Two consensus meetings of five European dermatologists and a comprehensive
literature search on exposome factors triggering acne served as a basis for this
review. RESULTS: Acne exposome was defined as the sum of all environmental
factors influencing the occurrence, duration and severity of acne. Exposome
factors impact on the response and the frequency of relapse to treatments by
interacting with the skin barrier, sebaceous gland, innate immunity and cutaneous
microbiota. They may be classified into the following six main categories:
nutrition, psychological and lifestyle factors, occupational factors including
cosmetics, as well as pollutants, medication and climatic factors. Moreover,
practical considerations for the dermatologist's clinical practice are proposed.
CONCLUSION: Exposome factors including nutrition, medication, occupational
factors, pollutants, climatic factors, and psychosocial and lifestyle factors may
impact on the course and severity of acne and on treatment efficacy. Identifying
and reducing the impact of exposome is important for an adequate acne disease
management.
PMID- 29377344
TI - Reversed actinic damage in two children with xeroderma pigmentosum treated with
topical imiquimod.
PMID- 29377345
TI - Structural insights into Resolvin D4 actions and further metabolites via a new
total organic synthesis and validation.
AB - Local production and downstream metabolism of specialized proresolving lipid
mediators (SPMs) are pivotal in regulating their biological actions during
resolution of inflammation. Resolvin D4 (RvD4: 4S,5R,17S-trihydroxydocosa
6E,8E,10Z,13Z,15E,19Z hexaenoic acid) is one of the more recently elucidated SPMs
with complete stereochemistry biosynthesized from docosahexaenoic acid . Here, we
report a new multimilligram commercial synthesis that afforded enough material
for matching, validation, and further evaluation of RvD4 functions. Using LC-MS
MS profiling, RvD4 was identified at bioactive amounts in human (1 pg/mL) and
mouse bone marrow (12 pg/femur and tibia). In mouse bone marrow, ischemia
increased the formation of RvD4 > 37-fold (455 pg/femur and tibia). Two separate
mouse ischemic injury models were used, where RvD4 reduced second organ
reperfusion lung injury > 50%, demonstrating organ protection. Structure-function
relationships of RvD4 demonstrated > 40% increase in neutrophil and monocyte
phagocytic function in human whole blood in comparison with 2 separate trans
containing double bond isomers that were inactive. These 2 isomers were prepared
by organic synthesis: 4S,5R,17S-trihydroxydocosa-6E,8E,10E,13Z,15E,19Z-hexaenoic
acid (10-trans-RvD4), a natural isomer, and 4S,5R,17S-trihydroxydocosa
6E,8E,10E,13E,15E,19Z-hexaenoic acid (10,13-trans-RvD4), a rogue isomer. Compared
to leukotriene B4 , D-series resolvins (RvD1, RvD2, RvD3, RvD4, or RvD5) did not
stimulate human neutrophil chemotaxis monitored via real-time microfluidics
chambers. A novel 17-oxo-containing-RvD4 product of eicosanoid oxidoreductase was
identified with human bone marrow cells. Comparison of 17-oxo-RvD4 to RvD4
demonstrated that with human leukocytes 17-oxo-RvD4 was inactive. Together, these
provide commercial-scale synthesis that permitted a second independent validation
of RvD4 complete stereochemical structure as well as evidence for RvD4 regulation
in tissues and its stereoselective phagocyte responses.
PMID- 29377346
TI - Changes in dermal structure and skin oxidative stress in overweight and obese
Japanese males after weight loss: a longitudinal observation study.
AB - BACKGROUND/PURPOSE: Previous studies have reported decreased dermal echogenicity
and increased skin oxidative stress in overweight males. However, it is unknown
whether these skin parameters of overweight and obese people are similar to those
of individuals exhibiting a normal body weight following weight loss. The purpose
of this study was to (1) compare the changes in the dermal structure parameters
and levels of skin oxidative stress before and after weight loss in overweight
and obese people in Japan and (2) to clarify how these aspects changed when body
weight would be reduced to normal body weight. METHODS: Male volunteers with a
body mass index of >=25 kg/m2 were recruited. The dermal structure was visualized
and dermal echogenicity and thickness were measured using ultrasound scanners.
The mRNA expression level of heme oxygenase-1 in the hair follicles was
quantitatively analyzed as a marker of skin oxidative stress. RESULTS: When
overweight individuals in their 20s to 30s reduced their weight to normal,
decreased dermal thickness in the abdominal region was observed in 50% of the
subjects; however, no increase in dermal echogenicity was observed. A decrease in
dermal thickness and an increase in dermal echogenicity in the thighs was
observed in 83.3% of the subjects. No decrease in the level of dermal oxidative
stress was observed. CONCLUSION: The dermal structure in the thighs of overweight
young individuals can be improved to the level of the structure in those of
normal body weight individuals following weight loss.
PMID- 29377348
TI - PAI 2018: Passing the baton.
PMID- 29377347
TI - Tunicamycin inhibits cell proliferation and migration in hepatocellular carcinoma
through suppression of CD44s and the ERK1/2 pathway.
AB - Tunicamycin (TM) is an N-linked glycosylation (NLG) inhibitor with strong
antitumor activity, the exact underlying molecular mechanism of which remains to
be elucidated. In our previous studies, we found that TM reversed drug resistance
and improved the efficacy of combination treatments for hepatocellular carcinomas
(HCC). Here, we investigated the effects of TM on HCC cell proliferation and
migration as well as the mechanism of those effects. Our results showed that TM
inhibited cell proliferation and migration as well as induced apoptosis of
hepatocellular carcinoma cells. TM inhibited proliferation of HCC cells by
inducing cell apoptosis and cell cycle arrest at the G2/M phase. Meanwhile, TM
inhibited migration of HCC cells by suppressing CD44s-mediated epithelial
mesenchymal transition (EMT). TM inhibited migration and invasion of HCC cells by
decreasing CD44 expression and altering its glycosylation. In addition, CD44s is
involved in promoting EMT and is associated with a poor prognosis in HCC
patients. Overexpression of CD44s promoted tumor migration and activated
phosphorylation of ERK1/2 in HCC cells, whereas TM inhibited CD44s overexpression
associated cell migration. The ability of TM to inhibit cell migration and
invasion was enhanced or reversed in CD44s knockdown cells and cells
overexpressing CD44s, respectively. The MEK/ERK inhibitor U0126 and TM inhibited
hyaluronic acid-induced cell migration in HCC cells. Furthermore, TM inhibited
exogenous transforming growth factor beta (TGF-beta)-mediated EMT by an ERK1/2
dependent mechanism and restored the TGF-beta-mediated loss of E-cadherin. In
summary, our study provides evidence that TM inhibits proliferation and migration
of HCC cells through inhibition of CD44s and the ERK1/2 signaling pathway.
PMID- 29377350
TI - It takes two types of allergists to serve the needs of all allergic patients.
PMID- 29377352
TI - 3D imaging of cleared human skin biopsies using light-sheet microscopy: A new way
to visualize in-depth skin structure.
AB - BACKGROUND: Human skin is composed of the superimposition of tissue layers of
various thicknesses and components. Histological staining of skin sections is the
benchmark approach to analyse the organization and integrity of human skin
biopsies; however, this approach does not allow 3D tissue visualization.
Alternatively, confocal or two-photon microscopy is an effective approach to
perform fluorescent-based 3D imaging. However, owing to light scattering, these
methods display limited light penetration in depth. The objectives of this study
were therefore to combine optical clearing and light-sheet fluorescence
microscopy (LSFM) to perform in-depth optical sectioning of 5 mm-thick human skin
biopsies and generate 3D images of entire human skin biopsies. MATERIALS AND
METHODS: A benzyl alcohol and benzyl benzoate solution was used to successfully
optically clear entire formalin fixed human skin biopsies, making them
transparent. In-depth optical sectioning was performed with LSFM on the basis of
tissue-autofluorescence observations. 3D image analysis of optical sections
generated with LSFM was performed by using the Amira(r) software. RESULTS: This
new approach allowed us to observe in situ the different layers and compartments
of human skin, such as the stratum corneum, the dermis and epidermal appendages.
With this approach, we easily performed 3D reconstruction to visualise an entire
human skin biopsy. Finally, we demonstrated that this method is useful to
visualise and quantify histological anomalies, such as epidermal hyperplasia.
CONCLUSION: The combination of optical clearing and LSFM has new applications in
dermatology and dermatological research by allowing 3D visualization and analysis
of whole human skin biopsies.
PMID- 29377353
TI - Blockade of dopamine D2 receptors disrupts intrahippocampal connectivity and
enhances pain-related working memory deficits in neuropathic pain rats.
AB - BACKGROUND: Dopamine (DA) is thought to be important to local hippocampal
networks integrity during spatial working memory (sWM) processing. Chronic pain
may contribute to deficient dopaminergic signalling, which may in turn affect
cognition. However, the neural mechanisms that determine this impairment are
poorly understood. Here, we evaluated whether the sWM impairment characteristic
of animal models of chronic pain is dependent on DA D2 receptor (D2r) activity.
METHODS: To address this issue, we implanted multichannel arrays of electrodes in
the dorsal and ventral hippocampal CA1 field (dvCA1) of rats and recorded the
neuronal activity during a classical delayed food-reinforced T-maze sWM task.
Within-subject behavioural performance and patterns of dorsoventral neural
activity were assessed before and after the onset of persistent neuropathic pain
using the spared nerve injury (SNI) model. RESULTS: Our results show that the
peripheral nerve lesion caused a disruption in sWM and hippocampus spike activity
and that disruption was maximized by the systemic administration of the D2r
antagonist raclopride. These deficits are strictly correlated with a selective
disruption of hippocampal theta-oscillations. Particularly, we found a
significant decrease in intrahippocampal CA1 field connectivity level.
CONCLUSIONS: Together, these results suggest that disruption of the dopaminergic
balance in the intrahippocampal networks may be important for the development of
cognitive deficits experienced during painful conditions. SIGNIFICANCE: This
study provides new insights into the role of D2r in the manifestation of pain
related sWM deficits. Our findings support that selective blockade of D2r
produces a significant decrease in intrahippocampal connectivity mediated by
theta-oscillations, and amplifies pain-related sWM deficits. These results
suggest that further characterization of intrahippocampal dopaminergic modulation
may be clinically relevant for the understanding of cognitive impairments that
accompanies nociceptive stressful conditions.
PMID- 29377354
TI - Redirecting adult mesenchymal stromal cells to the brain: a new approach for
treating CNS autoimmunity and neuroinflammation?
AB - Mesenchymal stromal cells or stem cells (MSCs) have been shown to participate in
tissue repair and are immunomodulatory in neuropathological settings. Given this,
their potential use in developing a new generation of personalized therapies for
autoimmune and inflammatory diseases of the central nervous system (CNS) will be
explored. To effectively exert these effector functions, MSCs must first gain
entry into damaged neural tissues, a process that has been demonstrated to be a
limiting factor in their therapeutic efficacy. In this review, we discuss
approaches to maximize the therapeutic efficacy of MSCs by altering their
intrinsic trafficking programs to effectively enter neuropathological sites. To
this end, we explore the significant role of chemokine receptors and adhesion
molecules in directing cellular traffic to the inflamed CNS and the capacity of
MSCs to adopt these molecular mechanisms to gain entry to this site. We postulate
that understanding and exploiting these migratory mechanisms may be key to the
development of cell-based therapies tailored to respond to the migratory cues
unique to the nature and stage of progression of individual CNS disorders.
PMID- 29377355
TI - Gallbladder Agenesis in 17 Dogs: 2006-2016.
AB - BACKGROUND: Gallbladder agenesis (GBA) is extremely rare in dogs.
HYPOTHESIS/OBJECTIVES: To describe the history, clinical signs, diagnosis,
treatment, and outcomes of dogs with GBA. ANIMALS: Seventeen client-owned dogs
with GBA. METHODS: Medical records from 2006 through 2016 were retrospectively
reviewed. Dogs were included when GBA was suspected on abdominal ultrasonography
and confirmed by gross evaluation. Signalment, clinical signs,
clinicopathological data, diagnostic imaging, histopathology, treatment, and
outcome were recorded. RESULTS: Dogs were of 6 different breeds, and Chihuahuas
(10 of 17) were most common. Median age at presentation was 1.9 (range, 0.7-7.4)
years. Clinical signs included vomiting (5 of 17), anorexia (2 of 17), ascites (2
of 17), diarrhea (1 of 17), lethargy (1 of 17), and seizures (1 of 17). All dogs
had increased serum activity of at least 1 liver enzyme, most commonly alanine
aminotransferase (15 of 17). Fifteen dogs underwent computed tomography (CT)
cholangiography; common bile duct (CBD) dilatation was confirmed in 12, without
evidence of bile duct obstruction. Gross evaluation confirmed malformation of the
liver lobes in 14 of 17 dogs and acquired portosystemic collaterals in 5 of 17.
Ductal plate malformation was confirmed histologically in 16 of 17 dogs. During
follow-up (range, 4-3,379 days), 16 of 17 dogs remained alive. CONCLUSIONS AND
CLINICAL IMPORTANCE: Dogs with GBA exhibit clinicopathological signs of
hepatobiliary injury and hepatic histopathological changes consistent with a
ductal plate abnormality. Computed tomography cholangiography was superior to
ultrasound examination in identifying accompanying nonobstructive CBD distention.
Computed tomography cholangiography combined with laparoscopic liver biopsy is
the preferable approach to characterize the full disease spectrum accompanying
GBA in dogs.
PMID- 29377356
TI - Efficacy of Oral Administration of Sodium Iodide to Prevent Bovine Respiratory
Disease Complex.
AB - BACKGROUND: The prevention of bovine respiratory disease complex (BRD) in beef
cattle is important to maintaining health and productivity of calves in feeding
operations. OBJECTIVE: Determine whether BRD bacterial and viral pathogens are
susceptible to the lactoperoxidase/hydrogen peroxide/iodide (LPO/H2 O2 /I- )
system in vitro and to determine whether the oral administration of sodium iodide
(NaI) could achieve sufficient concentrations of iodine (I) in the respiratory
secretions of weaned beef calves to inactivate these pathogens in vivo. ANIMALS:
Sixteen weaned, apparently healthy, commercial beef calves from the University of
Missouri, College of Veterinary Medicine teaching herd. METHODS: In vitro viral
and bacterial assays were performed to determine susceptibility to the LPO/H2 O2
/I- system at varying concentrations of NaI. Sixteen randomly selected, healthy
crossbred beef weanlings were administered 70 mg/kg NaI, or water, orally in a
blinded, placebo-controlled trial. Blood and nasal secretions were collected for
72 hours and analyzed for I- concentration. RESULTS: Bovine herpesvirus-1,
parainfluenza-3, Mannheimia haemolytica and Bibersteinia trehalosi were all
inactivated or inhibited in vitro by the LPO/H2 O2 /I- reaction. Oral
administration of NaI caused a marked increase in nasal fluid I concentration
with a Cmax = 181 (1,420 MUM I), T12 , a sufficient concentration to inactivate
these pathogens in vitro. CONCLUSIONS AND CLINICAL IMPORTANCE: In vitro, the
LPO/H2 O2 /I- system inactivates and inhibits common pathogens associated with
BRD. The administration of oral NaI significantly increases the I concentration
of nasal fluid indicating that this system might be useful in preventing bovine
respiratory infections.
PMID- 29377358
TI - Erratum.
PMID- 29377357
TI - Rapid Diagnosis of Babesia gibsoni by Point-of-Need Testing by Insulated
Isothermal PCR in Dogs at High Risk of Infection.
AB - BACKGROUND: Dogs seized by law enforcement agencies during dogfighting
investigations are at increased risk of Babesia gibsoni infection. A rapid and
cost-effective diagnostic test would increase the feasibility of mass screening
of dogs for infection and monitoring treatment efficacy in B. gibsoni-infected
dogs. OBJECTIVE: To determine the performance of a point-of-need insulated
isothermal PCR (iiPCR) test for diagnosis of B. gibsoni in dogs rescued in
dogfighting investigations. ANIMALS: Two hundred and thirty-three dogs seized in
dogfighting investigations. METHODS: Cross-sectional study. Whole blood samples
were tested for B. gibsoni and Babesia spp. by iiPCR. Results were compared to a
reference standard comprised of concordant results from real-time PCR in a
commercial diagnostic laboratory and antibody titers. RESULTS: The iiPCR system
was quick to learn, portable, and had a short processing time of <2 hours.
Sensitivity and specificity of the iiPCR assay for B. gibsoni were 90% (95%
confidence interval [CI] 81-95%) and 99% (CI, 95-100%), respectively. Sensitivity
and specificity of the iiPCR assay for Babesia spp. were 87% (CI, 78-93%) and 98%
(CI, 0.94-99%), respectively. CONCLUSIONS AND CLINICAL IMPORTANCE: The iiPCR
system produced few false-positive results, indicating that positive results are
likely to represent true infections when used in high-risk animals. The iiPCR
system can fail to identify 10-15% of truly infected dogs. However, the
portability, speed, and economy of the iiPCR system compared to testing through a
reference laboratory can allow rescue groups to screen and identify infection in
more dogs.
PMID- 29377359
TI - Decreased Clinical Severity of Strangles in Weanlings Associated with Restricted
Seroconversion to Optimized Streptococcus equi ssp equi Assays.
AB - BACKGROUND: Streptococcus equi ssp. equi causes characteristic clinical signs
that are most severe in young horses, including fever, purulent nasal discharge,
and lymph node abscessation in the head region. HYPOTHESIS/OBJECTIVES: Clinical,
serologic, and microbiologic factors related to unexpectedly mild disease
severity in a natural outbreak of strangles in immunologically naive weanlings
were investigated. ANIMALS: One-hundred and twelve warmblood weanlings. METHODS:
Prospective longitudinal observational study of a natural outbreak of strangles.
The entire cohort was examined at the peak of the outbreak by deep nasal swabs
for culture and quantitative PCR (qPCR) for the presence of S. equi and
clinically and serologically in a sequential manner by an optimized ELISA from
the index case throughout the outbreak until resolution. Descriptive statistics
were calculated and comparisons made using a nondirectional Wilcoxon signed-rank
test. RESULTS: Outbreak morbidity was 53%, with 9 of 14 horses culture positive
and 26 of 53 horses qPCR positive for S. equi lacking clinical signs
characteristic of strangles. By resolution, 91 of 112 had seroconverted to
Antigen A by ELISA but seroconversion to antigen C (part of the SeM protein) was
minimal. Sequencing of the isolates detected no alterations in the SeM protein,
but identified a 61 bp deletion in the gene SEQ_0402. CONCLUSIONS AND CLINICAL
IMPORTANCE: Absence of clinical signs alone in naive horses may be an
insufficient criterion to release horses from strangles quarantine measures.
Restricted seroconversion to antigen C may have been associated with decreased
clinical severity. The role of a minor gene deletion in SEQ_0402 in the virulence
of S. equi warrants further investigation.
PMID- 29377360
TI - Evaluation of Serum Symmetric Dimethylarginine Concentration as a Marker for
Masked Chronic Kidney Disease in Cats With Hyperthyroidism.
AB - BACKGROUND: Hyperthyroidism can complicate (mask) the diagnosis of chronic kidney
disease (CKD) because it increases glomerular filtration rate and decreases body
muscle mass, both of which can lower serum creatinine concentrations. Currently,
there is no clinical test that can reliably predict which hyperthyroid cats have
concurrent azotemic CKD that will become apparent after treatment of the
hyperthyroidism. OBJECTIVES: To investigate serum symmetric dimethylarginine
(SDMA) concentration as a potential marker of masked azotemia in untreated
hyperthyroid cats. ANIMALS: Two hundred and sixty-two hyperthyroid cats and 206
aged-matched, clinically normal cats. METHODS: Prospective study. We measured
creatinine, urea nitrogen, SDMA, T4 , and TSH concentrations before and 1, 3, and
6 months after treatment with radioiodine (131 I) and classified 131 I-treated
cats as azotemic or nonazotemic based on persistent, post-treatment creatinine
concentrations >2.1 mg/dL. Groups were compared via nonparametric tests, and
diagnostic accuracy was determined by receiver operating characteristic analysis
and logistic regression. RESULTS: No hyperthyroid cats were azotemic before
treatment, but 42 (16%) became azotemic when rechecked at 4-8 months (median, 6
months) after 131 I treatment; of these, 14 had high SDMA concentrations before
treatment. As a diagnostic test for pre-azotemic (masked) CKD in untreated
hyperthyroid cats, SDMA showed a sensitivity of 33.3% and specificity of 97.7%.
CONCLUSIONS AND CLINICAL IMPORTANCE: Finding a high serum SDMA concentration in a
hyperthyroid cat can help predict development of azotemia after treatment. The
test has high diagnostic test specificity (few false-positive results) but
relatively low sensitivity (fails to predict azotemia in most hyperthyroid cats).
PMID- 29377362
TI - Unrecorded alcohol use: a global modelling study based on nominal group
assessments and survey data.
AB - BACKGROUND AND AIMS: Alcohol use is among the most important risk factors for
burden of disease globally. An estimated quarter of the total alcohol consumed
globally is unrecorded. However, due partly to the challenges associated with its
assessment, evidence concerning the magnitude of unrecorded alcohol use is
sparse. This study estimated country-specific proportions of unrecorded alcohol
used in 2015. DESIGN: A statistical model was developed for data prediction using
data on the country-specific proportion of unrecorded alcohol use from nominal
group expert assessments and secondary, nationally representative survey data and
country-level covariates. SETTING: Estimates were calculated for the country
level, for four income groups and globally. PARTICIPANTS: A total of 129
participants from 49 countries were included in the nominal group expert
assessments. The survey data comprised 66 538 participants from 16 countries.
MEASUREMENTS: Experts completed a standardized questionnaire assessing the
country-specific proportion of unrecorded alcohol. In the national surveys, the
number of standard drinks of total and unrecorded alcohol use was assessed for
the past 7 days. FINDINGS: Based on predictions for 167 countries, a population
weighted average of 27.9% [95% confidence interval (CI) = 10.4-44.9%] of the
total alcohol consumed in 2015 was unrecorded. The proportion of unrecorded
alcohol was lower in high (9.4%, 95% CI = 2.4-16.4%) and upper middle-income
countries (18.3%, 95% CI = 9.0-27.6%) and higher in low (43.1%, 95% CI = 26.5
59.7%) and lower middle-income countries (54.4%, 95% CI = 38.1-70.8%). This
corresponded to 0.9 (high-income), 1.2 (upper middle-income), 3.2 (lower middle
income) and 1.8 (low-income) litres of unrecorded alcohol per capita.
CONCLUSIONS: A new method for modelling the country-level proportion of
unrecorded alcohol use globally showed strong variation among geographical
regions and income groups. Lower-income countries were associated with a higher
proportion of unrecorded alcohol than higher-income countries.
PMID- 29377361
TI - Echocardiographic Evaluation of the Right Atrial Area Index in Dogs with
Pulmonary Hypertension.
AB - BACKGROUND: Right atrial area (RAA) is a prognostic factor in human patients with
pulmonary arterial hypertension (PAH). Reference intervals for RAA have been
described in healthy dogs. OBJECTIVES: To evaluate RAA indexed to the body
surface area in dogs with PAH as an indicator of right atrial size, PAH severity
and right-sided congestive heart failure (R-CHF). ANIMALS: A total of 119 client
owned dogs, 48 dogs with PAH and 71 control dogs. METHODS: Prospective
observational study. Pulmonary arterial hypertension was classified according to
the tricuspid regurgitation pressure gradient (TRPG) as mild (36-50 mmHg),
moderate (51-75 mmHg), or severe (>75 mmHg). The RAA index was calculated as the
RAA divided by body surface area. RESULTS: The RAA index was higher in dogs with
moderate PAH (13.3 cm2 /m2 ; range, 3.4-24.7 cm2 /m2 ) and severe PAH (12.1 cm2
/m2 ; range, 5.4-21.8 cm2 /m2 ) than in those with mild PAH (6.7 cm2 /m2 ; range,
4.8-10.7 cm2 /m2 ) or in controls (7.3 cm2 /m2 ; range, 4.2-10.2 cm2 /m2 ; P <
0.001). The RAA index was higher (P < 0.0001) in dogs with R-CHF (17.5 cm2 /m2 ;
range, 12.7-24.7 cm2 /m2 ) compared to those without R-CHF (7.6 cm2 /m2 ; range,
4.4-19.4 cm2 /m2 ). The most accurate cutoff value of the RAA index to identify R
CHF was >12.3 cm2 /m2 (sensitivity, 100%; specificity, 89.5%). In dogs with PAH,
severity of tricuspid regurgitation (TR) was the only independent predictor of
RAA index based on multivariate analysis (P < 0.02). CONCLUSIONS AND CLINICAL
IMPORTANCE: The RAA index can be used to evaluate right atrial size in dogs and
may be more effective than TRPG in predicting R-CHF in dogs with PAH. The
severity of TR is the main determinant of the RAA index in dogs with PAH.
PMID- 29377363
TI - Antimicrobial properties of melanocortins: comment to the manuscript "Anti
Candida activity of alpha-melanocyte-stimulating hormone (alpha-MSH) peptides" by
Isabella Rauch et al.
AB - In their report, Rauch et al. did not find candidacidal activity of alpha
melanocyte-stimulating hormone (alpha-MSH) in Sabouraud dextrose broth. The lack
of killing activity by the natural alpha-MSH in broth medium may occur because of
accelerated Candida replication or peptide degradation by fungal enzymes. It
should be considered that in physiological conditions, there is sustained peptide
release by host cells in an autocrine/paracrine manner. However, when the
procedure described in the paper published in the Journal Leukocyte Biology was
used, the investigation by Rauch et al. found that concentrations of alpha-MSH in
the high micromolar range have candidacidal activity.
PMID- 29377364
TI - Multicenter, randomized, controlled trial of S-1 monotherapy versus S-1 and
interferon-alpha combination therapy for hepatocellular carcinoma with
extrahepatic metastases.
AB - AIM: No effective therapies for extrahepatic metastases from hepatocellular
carcinoma (HCC) have yet been identified. Previous studies suggested a
potentially promising antitumor effect of combination therapy of S-1, a novel
oral dihydropyrimidine dehydrogenase inhibitor, and interferon (IFN)-alpha. The
present study aimed to investigate the clinical efficacy of single agent S-1 and
S-1/IFN-alpha for HCC patients with extrahepatic metastases in a randomized, open
label, multicenter trial. METHODS: A total of 103 patients with HCC with
extrahepatic metastases were randomly assigned to the S-1/IFN-alpha group,
receiving the combination of S-1 and IFN-alpha, or the S-1 group, receiving the
single agent of S-1. Clinical efficacy and adverse events were compared between
the two groups. RESULTS: A total of 49 patients in the S-1/IFN-alpha group and 51
patients in the S-1 group were included in the efficacy analysis. The response
rate was 22.4% (11/49) in the S-1/IFN-alpha group and 13.7% (7/51) in the S-1
group; there was no significant difference. Overall and progression-free survival
in the two groups were also not significantly different (1-year overall survival
50.8% vs. 72.4%, median progression-free survival 127 days vs. 157 days). The
incidence of grade >=3 adverse events in the S-1/IFN-alpha group was 62.7%
(32/51), which tended to be higher than in the S-1 group (43.1% [22/51]).
CONCLUSIONS: Oncological outcomes in both treatment groups were favorable
compared with previous reports, though there was no significant beneficial effect
of adding IFN-alpha to S-1 for the treatment of HCC patients with extrahepatic
metastases.
PMID- 29377365
TI - Neonatal exposure to oestradiol increases dopaminergic transmission in nucleus
accumbens and morphine-induced conditioned place preference in adult female rats.
AB - Steroid sex hormones produce physiological effects in reproductive tissues and
also in nonreproductive tissues, such as the brain, particularly in cortical,
limbic and midbrain areas. Dopamine (DA) neurones involved in processes such as
prolactin secretion (tuberoinfundibular system), motor circuit regulation
(nigrostriatal system) and driving of motivated behaviour (mesocorticolimbic
system) are specially regulated by sex hormones. Indeed, sex hormones promote
neurochemical and behavioural effects induced by drugs of abuse by tuning
midbrain DA neurones in adult animals. However, the long-term effects induced by
neonatal exposure to sex hormones on dopaminergic neurotransmission have not been
fully studied. The present study aimed to determine whether a single neonatal
exposure with oestradiol valerate (EV) results in a programming of dopaminergic
neurotransmission in the nucleus accumbens (NAcc) of adult female rats. To answer
this question, electrophysiological, neurochemical, cellular, molecular and
behavioural techniques were used. The data show that frequency but not amplitude
of the spontaneous excitatory postsynaptic current is significantly increased in
NAcc medium spiny neurones of EV-treated rats. In addition, DA content and
release are both increased in the NAcc of EV-treated rats, caused by an increased
synthesis of this neurotransmitter. These results are functionally associated
with a higher percentage of EV-treated rats conditioned to morphine, a drug of
abuse, compared to controls. In conclusion, neonatal programming with oestradiol
increases NAcc dopaminergic neurotransmission in adulthood, which may be
associated with increased reinforcing effects of drugs of abuse.
PMID- 29377366
TI - Matrine reduces susceptibility to postinfarct atrial fibrillation in rats due to
antifibrotic properties.
AB - This study aimed to investigate whether matrine could prevent atrial fibrillation
(AF) after myocardial infarction by reducing left atrial fibrosis, and to
determine the underlying mechanisms in isolated cardiac fibroblasts (CFs). Five
weeks after MI, matrine-treated rats had lower rates of AF inducibility and
shorter AF duration than MI rats. Matrine improved the left atrial conduction
velocity and homogeneity. Matrine decreased the fibrosis positive areas and the
protein levels of type I collagen and type III collagen in the left atrium.
Matrine inhibited CFs differentiation to myofibroblasts and the expression of
transforming growth factor-beta 1 and matrix metalloproteinase 9. In vitro,
matrine inhibited the CFs proliferation, migration, differentiation, and
secretion ability. These in vitro and in vivo data demonstrated that matrine has
the potential to reduce susceptibility to AF after MI due, at least in part, to
reduced atrial fibrosis via inhibiting CFs proliferation, migration,
differentiation, and secretion ability.
PMID- 29377367
TI - Cyclolinear Oligo- and Poly(iminoborane)s: The Missing Link in Inorganic Main
Group Macromolecular Chemistry.
AB - The reaction of n-C8 H17 B[N(Me)SiMe3 ]2 (1) with n-C8 H17 BCl2 (2 a) yielded,
instead of a linear poly(iminoborane), the aminoborane n-C8 H17 B(Cl)N(Me)SiMe3
(4) and after cyclotrimerization the borazine cyclo-(n-C8 H17 BNMe)3 (6). Side
reactions that result in borazine formation were effectively suppressed if 1,3
bis(trimethylsilyl)-1,3,2-diazaborolidines 7 were employed as co-monomers in
combination with dichloro- or dibromoboranes 2 or 8, respectively. Silicon/boron
exchange polycondensation led to oligo(iminoborane)s 11 a,b,ac,d. Alternative
synthetic routes to such species involve Sn/B exchange of 1,3
bis(trimethylstannyl)-2-n-octyl-1,3,2-diazaborolidine (16) and n-C8 H17 BBr2 (8
a), and the initiated polycondensation of the dormant monomer 14 in the presence
of a Bronsted acid (HCl, HOTf, or HNTf2 ; Tf=trifluoromethylsulfonyl). Although
an attempt to obtain an oligo-/poly(iminoborane) with phenyl side groups yielded
only insoluble material, the incorporation of aryl groups was proven for a
derivative with both phenyl and n-octyl boron substituents (11 ac), as well as
for a derivative with 4-n-butylphenyl side groups (11 d). The highest-molecular
weight sample obtained was 11 ac. Featuring about 18 catenated BN units, on
average, this is the closest approach to a poly(iminoborane) known.
PMID- 29377368
TI - A systematic review of fluconazole resistance in clinical isolates of
Cryptococcus species.
AB - Fluconazole is the most commonly used antifungal agent for both the treatment of
cryptococcal meningitis, and for prophylaxis against the disease. However, its
prolonged use has the potential to exert selection pressure in favour of
fluconazole-resistant strains. We evaluated the prevalence of fluconazole
resistance in Cryptococcus spp. clinical isolates in 29 studies from 1988 to May
2017 included in EMBASE and MEDLINE databases. A total of 4995 Cryptococcus
isolates from 3210 patients constituted this study; 248 (5.0%) of the isolates
from relapsed episodes of cryptococcosis were included in this analysis. Eleven
(38%) of the studies used minimum inhibitory concentrations (MICs) breakpoints of
>=64 MUg/mL to define fluconazole resistance, 6 (21%) used >=32 MUg/mL, 11 (38%)
used >=16 MUg/mL and 1 (3%) used <=20 MUg/mL. Overall, mean prevalence of
fluconazole resistance was 12.1% (95% confidence interval [CI]: 6.7-17.6) for all
isolates (n = 4995). Mean fluconazole resistance was 10.6% (95% CI: 5.5-15.6) for
the incident isolates (n = 4747) and 24.1% (95% CI: -3.1-51.2) for the relapse
isolates (n = 248). Of the 4995 isolates, 936 (18.7%) had MICs above the
ecological cut-off value. Fluconazole resistance appears to be an issue in
Cryptococcus isolates from patients with relapses. It remains unclear whether
relapses occur due to resistance or other factors. There is an urgent need to
establish antifungal breakpoints for Cryptococcus spp.
PMID- 29377369
TI - Two Diterpene Synthases for Spiroalbatene and Cembrene A from Allokutzneria
albata.
AB - Two bacterial diterpene synthases from the actinomycete Allokutzneria albata were
investigated, resulting in the identification of the structurally unprecedented
compound spiroalbatene from the first and cembrene A from the second enzyme. Both
enzymes were thoroughly investigated in terms of their mechanisms by isotope
labeling experiments, site-directed mutagenesis, and variation of the metal
cofactors and pH value. For spiroalbatene synthase, the pH- and Mn2+ -dependent
formation of the side product thunbergol was observed, which is biosynthetically
linked to spiroalbatene.
PMID- 29377370
TI - Mechanism of Ultrafast Intersystem Crossing in 2-Nitronaphthalene.
AB - Nitronaphthalene derivatives efficiently populate their electronically excited
triplet states upon photoexcitation through ultrafast intersystem crossing (ISC).
Despite having been studied extensively by time-resolved spectroscopy, the
reasons behind their ultrafast ISC remain unknown. Herein, we present the first
ab initio nonadiabatic molecular dynamics study of a nitronaphthalene derivative,
2-nitronaphthalene, including singlet and triplet states. We find that there are
two distinct ISC reaction pathways involving different electronic states at
distinct nuclear configurations. The high ISC efficiency is explained by the very
small electronic and nuclear alterations that the chromophore needs to undergo
during the singlet-triplet transition in the dominating ISC pathway after initial
dynamics in the singlet manifold. The insights gained in this work are expected
to shed new light on the photochemistry of other nitro polycyclic aromatic
hydrocarbons that exhibit ultrafast intersystem crossing.
PMID- 29377371
TI - Progeria: case report and new drugs perspectives.
PMID- 29377372
TI - Restorative Treatment in Patients with Amelogenesis Imperfecta: A Review.
AB - PURPOSE: To summarize the contemporary scientific evidence available regarding
restorative dental treatment in patients with Amelogenesis imperfecta (AI).
METHODS: An electronic literature search was conducted using the search term
"Amelogenesis imperfecta" and the PubMed/MEDLINE database as well as Google
Scholar. Prospective and retrospective clinical studies that investigated the
outcome of direct and/or indirect dental restorative treatment in patients with
AI, were published in English, and had an observation time of at least 1 year
were included in this review. The articles identified were screened and analyzed
by two reviewers according to inclusion and exclusion criteria in three review
rounds. RESULTS: Six prospective or retrospective clinical studies analyzing
longevity and complications associated with dental restorative treatment in
patients with AI met the inclusion criteria. Extracted data suggest that in
patients with AI, indirect restorations feature superior predictability and
longevity than direct restorations. CONCLUSIONS: As endodontic complications were
infrequently observed and periodontal parameters regularly improve with the
insertion of indirect restorations, dental treatment in patients with AI should
focus on indirect restorations as soon as possible. While adhesive bonding
techniques to enamel surfaces in patients with AI feature merely limited
predictability and longevity and as the available data is scarce, further
laboratory and clinical studies should be performed to investigate the
performance of minimally invasive indirect restorations bonded to enamel in
patients with AI. RECOMMENDATION: Scientific evidence indicates that indirect
restorations should be preferred over direct restorations in patients with AI.
PMID- 29377373
TI - In response to 'benefits and risks of intensive blood-pressure lowering in
advanced chronic kidney disease'.
PMID- 29377374
TI - Identification of small molecular inhibitors for efflux protein Rv2688c of
Mycobacterium tuberculosis.
AB - Mycobacterium tuberculosis (Mtb) is the pathogen, which causes tuberculosis. The
development of multidrug-resistant and extensively drug-resistant strains in Mtb
is due to an efflux mechanism of antibiotics in the bacteria. The efflux pump
proteins in the bacteria are implicated in the active efflux of antibiotics. The
efflux pump protein, "fluoroquinolones export ATP-binding protein Rv2688c"
(FEAB), is considered as a potential therapeutic target to prevent tuberculosis.
In the present work, in silico protocols are applied to identify inhibitors for
the FEAB protein to arrest the efflux mechanism. Comparative modeling techniques
are used to build the protein structure. The generated structure consists of 9
helices, 13 beta strands, and 3 beta sheets. The active site is predicted using
active site prediction server tools. The virtual screening protocols are carried
out to generate small ligand inhibitor structures. The identified ligand
molecules show selective binding with Ser97, Glu99, Lys149, Asp171, Glu172, and
Ser175 amino acid residues of the protein. The ligand molecules are subjected to
in silico prediction of pharmaco kinetic properties, and the predicted IC50
(HERG) of all the molecules are less than -5.0, which is indicative of the
identified ligand molecules is being potentially good FEAB inhibitors.
PMID- 29377375
TI - Ethanol stress in Oenococcus oeni: transcriptional response and complex
physiological mechanisms.
AB - Oenococcus oeni is the dominant species able to cope with a hostile environment
of wines, comprising cumulative effects of low pH, high ethanol and SO2 content,
nonoptimal growth temperatures and growth inhibitory compounds. Ethanol tolerance
is a crucial feature for the activity of O. oeni cells in wine because ethanol
acts as a disordering agent of its cell membrane and negatively affects metabolic
activity; it damages the membrane integrity, decreases cell viability and, as
other stress conditions, delays the start of malolactic fermentation with a
consequent alteration of wine quality. The cell wall, cytoplasmic membrane and
metabolic pathways are the main sites involved in physiological changes aimed to
ensure an adequate adaptive response to ethanol stress and to face the oxidative
damage caused by increasing production of reactive oxygen species. Improving our
understanding of the cellular impact of ethanol toxicity and how the cell
responds to ethanol stress can facilitate the development of strategies to
enhance microbial ethanol tolerance; this allows to perform a multidisciplinary
endeavour requiring not only an ecological study of the spontaneous process but
also the characterization of useful technological and physiological features of
the predominant strains in order to select those with the highest potential for
industrial applications.
PMID- 29377377
TI - The haemodynamic response to incremental increases in negative intrathoracic
pressure in healthy humans.
AB - NEW FINDINGS: What is the central question of this study? The haemodynamic
response to incremental increases in negative intrathoracic pressure (nITP)
during spontaneous breathing and the mechanisms of cardiac impairment at these
levels of nITP remain unclear. What is the main finding and its importance? nITP
of -20 cmH2 O or greater reduces stroke volume in healthy, spontaneously
breathing supine humans due to direct ventricular interaction and increased left
ventricular afterload. ABSTRACT: Negative intrathoracic pressure (nITP) generally
augments venous return and left ventricular (LV) stroke volume (LVSV), though
large increases in nITP, commonly seen in respiratory disease, attenuate LVSV.
Despite this consistent finding, the degree of nITP required to reduce LVSV and
the contributions of series and direct ventricular interaction (DVI) in mediating
this response remain unclear. We hypothesized that nITP <=-15 cmH2 O would
augment LVSV, while nITP >=-20 cmH2 O would reduce LVSV via DVI and increased
afterload. Twenty-three healthy subjects were randomly given inspiratory loads
during spontaneous breathing to generate -5, -10, -15, -20 and -25 cmH2 O. LV
volumes, LV geometry, inferior vena cava collapsibility (cIVC) and LV end
systolic meridional wall-stress (LVESMWS) were assessed in the supine position
using tri-plane echocardiography. LVSV remained unchanged up to -15 cmH2 O, but
was significantly reduced at nITP >=-20 cmH2 O (-12 +/- 8% and -15 +/- 11% at -20
and -25 cmH2 O, respectively, P < 0.05) due to significant reductions in LV end
diastolic volume (LVEDV), while LV end-systolic volume was unchanged. cIVC on
inspiration was significantly increased at all levels of nITP, while LVESMWS only
increased at -25 cmH2 O (P < 0.05). DVI, as indicated by a significant increase
in the radius of septal curvature, occurred at nITP >=-10 cmH2 O. In supine
healthy humans, nITP <=-15 cmH2 O does not significantly affect LV function,
despite increased DVI. In contrast, nITP >=-20 cmH2 O causes significant
reductions in LVSV and LVEDV, which appear to be mediated by DVI and increased
afterload at -25 cmH2 O. The impact of cIVC during nITP remains unclear.
PMID- 29377376
TI - Cost-effectiveness of intravenous acetaminophen and ketorolac in adolescents
undergoing idiopathic scoliosis surgery.
AB - BACKGROUND: Enhanced recovery after surgery protocols increasingly use multimodal
analgesia after major surgeries with intravenous acetaminophen and ketorolac,
despite no documented cost-effectiveness of these strategies. AIMS: The goal of
this prospective cohort study was to model cost-effectiveness of adding
acetaminophen or acetaminophen + ketorolac to opioids for postoperative outcomes
in children having scoliosis surgery. METHODS: Of 106 postsurgical children, 36
received only opioids, 26 received intravenous acetaminophen, and 44 received
acetaminophen + ketorolac as analgesia adjuncts. Costs were calculated in 2015 US
$. Decision analytic model was constructed with Decision Maker(r) software. Base
case and sensitivity analyses were performed with effectiveness defined as
avoidance of opioid adverse effects. RESULTS: The groups were comparable
demographically. Compared with opioids-only strategy, subjects in the intravenous
acetaminophen + ketorolac strategy consumed less opioids (P = .002; difference in
mean morphine consumption on postoperative days 1 and 2 was -0.44 mg/kg (95% CI
0.72 to -0.16); tolerated meals earlier (P < .001; RR 0.250 (0.112-0.556)) and
had less constipation (P < .001; RR 0.226 (0.094-0.546)). Base-case analysis
showed that of the 3 strategies, use of opioids alone is both most costly and
least effective, opioids + intravenous acetaminophen is intermediate in both cost
and effectiveness; and opioids + intravenous acetaminophen and ketorolac is the
least expensive and most effective strategy. The addition of intravenous
acetaminophen with or without ketorolac to an opioid-only strategy saves $510
$947 per patient undergoing spine surgery and decreases opioid side effects.
CONCLUSION: Intravenous acetaminophen with or without ketorolac reduced opioid
consumption, opioid-related adverse effects, length of stay, and thereby cost of
care following idiopathic scoliosis in adolescents compared with opioids-alone
postoperative analgesia strategy.
PMID- 29377379
TI - SPHK1-S1PR1-RANKL Axis Regulates the Interactions Between Macrophages and BMSCs
in Inflammatory Bone Loss.
AB - Accumulating evidence indicates that the immune and skeletal systems interact
with each other through various regulators during the osteoclastogenic process.
Among these regulators, the bioactive lipid sphingosine-1-phosphate (S1P), which
is synthesized by sphingosine kinase 1/2 (SPHK1/2), has recently been recognized
to play a role in immunity and bone remodeling through its receptor sphingosine-1
phosphate receptor 1 (S1PR1). However, little is known regarding the potential
role of S1PR1 signaling in inflammatory bone loss. We observed that SPHK1 and
S1PR1 were upregulated in human apical periodontitis, accompanied by macrophage
infiltration and enhanced expression of receptor activator of NF-kappaB ligand
(RANKL, an indispensable factor in osteoclastogenesis and bone resorption) and
increased numbers of S1PR1-RANKL double-positive cells in lesion tissues. Using
an in vitro co-culture model of macrophages and bone marrow stromal cells
(BMSCs), it was revealed that in the presence of lipopolysaccharide (LPS)
stimulation, macrophages could significantly induce SPHK1 activity, which
resulted in activated S1PR1 in BMSCs. The activated S1P-S1PR1 signaling was
responsible for the increased RANKL production in BMSCs, as S1PR1-blockage
abolished this effect. Applying a potent S1P-S1PR1 signaling modulator,
Fingolimod (FTY720), in a Wistar rat apical periodontitis model effectively
prevented bone lesions in vivo via downregulation of RANKL production,
osteoclastogenesis, and bone resorption. Our data unveiled the regulatory role of
SPHK1-S1PR1-RANKL axis in inflammatory bone lesions and proposed a potential
therapeutic intervention by targeting this cell-signaling pathway to prevent bone
loss. (c) 2018 American Society for Bone and Mineral Research.
PMID- 29377380
TI - Obstetric intra-operative cell salvage and maternal fetal red cell contamination.
AB - BACKGROUND: The significance of fetal red blood cell (RBC) contamination in
obstetric intra-operative cell salvage is not fully known. It is unclear if we re
infuse a larger volume of fetal RBCs into the maternal circulation than the
amount that occurs secondary to transplacental haemorrhages is unclear. We also
do not know if there is a critical volume required to cause alloimmunisation or
if larger volumes increase the risk. OBJECTIVES: The aim of this study is to
provide data on the level of fetal RBC contamination in the maternal circulation
prior to delivery and immediately post-partum and to compare these levels to
those found in processed cell-salvaged blood. METHODS: In the first part of this
study, we quantified the levels of fetal RBCs circulating in women immediately
prior to delivery. This was then repeated with a separate group measuring the
levels of fetal RBCs pre- and post-delivery. RESULTS: We found that 37% of women
had fetal cells detected in their circulation, median 0.00 mL (IQR 0-0.24;
average 0.3 mL, maximum 4.56 mL). Fetal RBCs were present pre-delivery (maximum
0.66 mL) in 16% of women, increasing to 53% post-delivery (median 0.66 mL; IQR
0.22-2.20, maximum 21.20 mL). CONCLUSIONS: We have shown that fetal RBCs are
present in the maternal circulation throughout pregnancy and that the volumes are
comparable to that obtained from intra-operative salvage, with contamination
amounts of up to 19 mL. At the Royal Cornwall Hospital, our experience and
evidence supports offering intra-operative salvage to all women, and we have not
noted an increase in antibody formation, compared to allogeneic transfusion.
PMID- 29377378
TI - Evaluation of a Multimodal, Direct-to-Patient Educational Intervention Targeting
Barriers to Osteoporosis Care: A Randomized Clinical Trial.
AB - Osteoporosis treatment rates are declining, even among those with past fractures.
Novel, low-cost approaches are needed to improve osteoporosis care. We conducted
a parallel group, controlled, randomized clinical trial evaluating a behavioral
intervention for improving osteoporosis medication use. A total of 2684 women
with self-reported fracture history after age 45 years not using osteoporosis
therapy from US Global Longitudinal Study of Osteoporosis in Women (GLOW) sites
were randomized 1:1 to receive a multimodal, tailored, direct-to-patient, video
intervention versus usual care. The primary study outcome was self-report of
osteoporosis medication use at 6 months. Other outcomes included calcium and
vitamin D supplementation, bone mineral density (BMD) testing, readiness for
behavioral change, and barriers to treatment. In intent-to-treat analyses, there
were no significant differences between groups (intervention versus control) in
osteoporosis medication use (11.7% versus 11.4%, p = 0.8), calcium
supplementation (31.8% versus 32.6%, p = 0.7), vitamin D intake (41.3% versus
41.9%, p = 0.8), or BMD testing (61.8% versus 57.1%, p = 0.2). In the
intervention group, fewer women were in the precontemplative stage of behavior
change, more women reported seeing their primary care provider, had concerns
regarding osteonecrosis of the jaw, and difficulty in taking/remembering to take
osteoporosis medications. We found differences in BMD testing among the subgroup
of women with no prior osteoporosis treatment, those who provided contact
information, and those with no past BMD testing. In per protocol analyses, women
with appreciable exposure to the online intervention (n = 257) were more likely
to start nonbisphosphonates (odds ratio [OR] = 2.70; 95% confidence interval [CI]
1.26-5.79) compared with the usual care group. Although our intervention did not
increase the use of osteoporosis therapy at 6 months, it increased
nonbisphosphonate medication use and BMD testing in select subgroups, shifted
participants' readiness for behavior change, and altered perceptions of barriers
to osteoporosis treatment. Achieving changes in osteoporosis care using patient
activation approaches alone is challenging. (c) 2018 American Society for Bone
and Mineral Research.
PMID- 29377381
TI - Medical cannabis: A forward vision for the clinician.
AB - : Medical cannabis has entered mainstream medicine and is here to stay. Propelled
by public advocacy, the media and mostly anecdote rather than sound scientific
study, patients worldwide are exploring marijuana use for a vast array of medical
conditions including management of chronic pain. Contrary to the usual path of
drug approval, medical cannabis has bypassed traditional evidence-based study and
has been legalized as a therapeutic product by legislative bodies in various
countries. While there is a wealth of basic science and preclinical studies
demonstrating effects of cannabinoids in neurobiological systems, especially
those pertaining to pain and inflammation, clinical study remains limited.
Cannabinoids may hold promise for relief of symptoms in a vast array of
conditions, but with many questions as yet unanswered. Rigorous study is needed
to examine the true evidence for benefits and risks for various conditions and in
various patient populations, the specific molecular effects, ideal methods of
administration, and interaction with other medications and substances. In the
context of prevalent use, there is an urgency to gather pertinent clinical
information about the therapeutic effects as well as risks. Even with
considerable uncertainties, the health care community must adhere to the guiding
principle of clinical care 'primum non nocere' and continue to provide empathetic
patient care while exercising prudence and caution. The health care community
must strongly advocate for sound scientific evidence regarding cannabis as a
therapy. SIGNIFICANCE: Legalization of medical cannabis has bypassed usual drug
regulatory procedures in jurisdictions worldwide. Pending sound evidence for
effect in many conditions, physicians must continue to provide competent
empathetic care with attention to harm reduction. A vision to navigate the
current challenges of medical cannabis is outlined.
PMID- 29377382
TI - Re: Vaginal seeding or vaginal microbial transfer from the mother to the
caesarean-born neonate: a commentary regarding clinical management.
PMID- 29377383
TI - Continuous Heterogeneous Photocatalysis in Serial Micro-Batch Reactors.
AB - Solid reagents, leaching catalysts, and heterogeneous photocatalysts are commonly
employed in batch processes but are ill-suited for continuous-flow chemistry.
Heterogeneous catalysts for thermal reactions are typically used in packed-bed
reactors, which cannot be penetrated by light and thus are not suitable for
photocatalytic reactions involving solids. We demonstrate that serial micro-batch
reactors (SMBRs) allow for the continuous utilization of solid materials together
with liquids and gases in flow. This technology was utilized to develop selective
and efficient fluorination reactions using a modified graphitic carbon nitride
heterogeneous catalyst instead of costly homogeneous metal polypyridyl complexes.
The merger of this inexpensive, recyclable catalyst and the SMBR approach enables
sustainable and scalable photocatalysis.
PMID- 29377384
TI - Paris saponin VII induces cell cycle arrest and apoptosis by regulating Akt/MAPK
pathway and inhibition of P-glycoprotein in K562/ADR cells.
AB - Paris saponinVII (PSVII) is a steroidal saponin isolated from the roots and
rhizomes of Trillium tschonoskii Maxim. We found that PSVII could inhibit the
growth of adriamycin-resistant human leukemia cells (K562/ADR) in a dose
dependent manner. Furthermore, the molecular mechanism underlying the
cytotoxicity and downregulation of P-glycoprotein (P-gp) expression by PSVII was
clarified. PSVII significantly suppressed cell proliferation by cell cycle arrest
in the G0/G1 phase, which was associated with an obvious decrease in cyclin B1/D1
and CDK2/4/6 protein expression. Moreover, PSVII could attenuate mitochondrial
membrane potential, increase the expression of apoptosis-related proteins, such
as Bax and cytochrome c, and decrease the protein expression levels of Bcl-2,
caspase-9, caspase-3, PARP-1, and p-Akt. We also found that JNK, ERK1/2, and p38
were regulated by PSVII in K562/ADR cells. And further studies indicated that the
decrease in the reactive oxygen species level inhibited intrinsic P-gp
expression. Therefore, PSVII-induced apoptosis in K562/ADR cells was associated
with Akt/MAPK and the inhibition of P-gp. In addition, PSVII induced a robust
autophagy in K562/ADR cells as demonstrated by the degradation of LC3-I. These
results provide a biochemical basis for possible clinical applications of PSVII
in the treatment of leukemia.
PMID- 29377385
TI - A massive incorporation of microbial genes into the genome of Tetranychus
urticae, a polyphagous arthropod herbivore.
AB - A number of horizontal gene transfers (HGTs) have been identified in the spider
mite Tetranychus urticae, a chelicerate herbivore. However, the genome of this
mite species has at present not been thoroughly mined for the presence of HGT
genes. Here, we performed a systematic screen for HGT genes in the T. urticae
genome using the h-index metric. Our results not only validated previously
identified HGT genes but also uncovered 25 novel HGT genes. In addition to HGT
genes with a predicted biochemical function in carbohydrate, lipid and folate
metabolism, we also identified the horizontal transfer of a ketopantoate
hydroxymethyltransferase and a pantoate beta-alanine ligase gene. In plants and
bacteria, both genes are essential for vitamin B5 biosynthesis and their presence
in the mite genome strongly suggests that spider mites, similar to Bemisia tabaci
and nematodes, can synthesize their own vitamin B5. We further show that HGT
genes were physically embedded within the mite genome and were expressed in
different life stages. By screening chelicerate genomes and transcriptomes, we
were able to estimate the evolutionary histories of these HGTs during chelicerate
evolution. Our study suggests that HGT has made a significant and underestimated
impact on the metabolic repertoire of plant-feeding spider mites.
PMID- 29377386
TI - Effect of linkers on immobilization of scFvs with biotin-streptavidin
interaction.
AB - Single-chain variable fragment antibodies (scFvs) are attractive for use in
applications that require high specificity and binding to a target, such as
biosensors. Previously, we demonstrated that a variety of scFvs can be
immobilized onto a streptavidin surface through in vivo biotinylation of the
biotin carboxyl carrier protein (BCCP) or smaller AviTag fused to the scFvs.
However, the BCCP constructs showed better immobilization than the AviTag
constructs. In this work, we investigated whether the discrepancy between the
biotinylation tags could be alleviated by incorporating a flexible (G4 S)n linker
of varying lengths or a rigid (EA3 K)3 linker between the biotinylation tags and
the scFvs scFv13R4 and scFv5. Fusion of the (G4 S)5 linker or the (G4 S)3 linker
to the AviTag construct of scFv13R4 or scFv5, respectively, and fusion of the
(EA3 K)3 linkers to the AviTag constructs of both scFvs enhanced immobilization.
Meanwhile, the robust immobilization of the BCCP construct of the scFv constructs
remained unaffected. The positive to neutral effects of the linkers, with no
adverse effects, make them beneficial tools to incorporate into fusion proteins
that show poor immobilization without a linker.
PMID- 29377387
TI - A qualitative study exploring the views, attitudes and beliefs of patients and
health professionals towards exercise intervention for people who are surgically
treated for lung cancer.
AB - Surgical removal remains the best curative option for patients diagnosed with
early-stage lung cancer. However, it is also associated with significant
morbidity and reduced quality of life. Interventions to improve patient outcomes
are required. This study aimed to explore the views, attitudes and beliefs of key
stakeholders on exercise intervention for people who are surgically treated for
lung cancer to inform the development of future interventions. Focus groups and
individual interviews were carried out at two Scottish sites. The study was
guided by the Health Action Process Approach behaviour change model. A total of
23 (12 patients and 11 health professionals) participated in the study. The data
analysis resulted in three main themes: attitudes and beliefs, external factors
and intervention design. The results highlighted certain key elements that should
be included in an exercise intervention, such as the need for supervised
sessions, an element of individualisation and the perceived social benefits of
exercising with others. This study emphasises the importance of including key
stakeholders in the development of complex interventions such as exercise and
provides important information for the development of future exercise
intervention trials for people who are surgically treated for lung cancer.
PMID- 29377388
TI - Indoloazepinone-Constrained Oligomers as Cell-Penetrating and Blood-Brain-Barrier
Permeating Compounds.
AB - Non-cationic and amphipathic indoloazepinone-constrained (Aia) oligomers have
been synthesized as new vectors for intracellular delivery. The conformational
preferences of the [l-Aia-Xxx]n oligomers were investigated by circular dichroism
(CD) and NMR spectroscopy. Whereas Boc-[l-Aia-Gly]2,4 -OBn oligomers 12 and 13
and Boc-[l-Aia-beta3 -h-l-Ala]2,4 -OBn oligomers 16 and 17 were totally or
partially disordered, Boc-[l-Aia-l-Ala]2 -OBn (14) induced a typical turn
stabilized by C5 - and C7 -membered H-bond pseudo-cycles and aromatic
interactions. Boc-[l-Aia-l-Ala]4 -OBn (15) exhibited a unique structure with
remarkable T-shaped pi-stacking interactions involving the indole rings of the
four l-Aia residues forming a dense hydrophobic cluster. All of the proposed FITC
6-Ahx-[l-Aia-Xxx]4 -NH2 oligomers 19-23, with the exception of FITC-6-Ahx-[l-Aia
Gly]4 -NH2 (18), were internalized by MDA-MB-231 cells with higher efficiency
than the positive references penetratin and Arg8 . In parallel, the compounds of
this series were successfully explored in an in vitro blood-brain barrier (BBB)
permeation assay. Although no passive diffusion permeability was observed for any
of the tested Ac-[l-Aia-Xxx]4 -NH2 oligomers in the PAMPA model, Ac-[l-Aia-l
Arg]4 -NH2 (26) showed significant permeation in the in vitro cell-based human
model of the BBB, suggesting an active mechanism of cell penetration.
PMID- 29377389
TI - Modified methylated DNA immunoprecipitation protocol for noninvasive prenatal
diagnosis of Down syndrome.
AB - AIM: Methylated DNA immunoprecipitation real-time quantitative polymerase chain
reaction (MeDIP-real-time qPCR) has been introduced as noninvasive prenatal test
that has shown absolute detection rate in the screening of Down syndrome. Herein,
we aimed to propose a novel modification of MeDIP-qPCR and assess its potential
to alleviate the overall cost of the test, being used in very early weeks of
pregnancy, and develop it to a noninvasive prenatal diagnosis biosensor in future
researches. METHODS: Cell-free fetal DNA (cffDNA) isolated from 60 pregnant
women, including 29 normal and 31 trisomy 21 pregnancies, were analyzed using
proposed MeDIP protocol. Enriched methylated DNA sequences were amplified through
real-time qPCR using eight fetal-specific primer pairs. The status of samples was
determined through the calculation of D-value with the cutoff point of zero.
RESULTS: The sensitivity and specificity of the MeDIP protocols using
nanoparticles were 100% and 100%, respectively. CONCLUSION: Remarkable decrease
in the price of MeDIP test per each patient would be a reasonable factor to
confirm it on larger sample size. Moreover, the high detection rate of screening
and the availability of the required instruments around the world make
satisfactory reasons to be tested in earlier weeks of pregnancy, thanks to the
high sensitivity of gold shell nanoparticles.
PMID- 29377390
TI - Metabotropic glutamate receptor subtype 7 has critical roles in regulation of the
endocrine system and social behaviours.
AB - Metabotropic glutamate receptor subtype 7 (mGluR7) is one of the group III
mGluRs, which are negatively coupled to adenylate cyclase via Gi/Go proteins and
localised to presynaptic active zones of the mammalian central nervous system. We
previously reported that mGluR7 is essential for intermale aggression and
amygdala-dependent fear learning. To elucidate the role of mGluR7 in the
neuroendocrine system, we performed biochemical analyses and found a significant
reduction of testosterone levels in mGluR7 knockout (KO) mice. Testosterone
replacement restored intermale aggressive behaviour in castrated wild-type mice
to the level of gonadally intact wild-type mice. However, given the same dosage
of testosterone replacement, mGluR7 KO mice showed almost no aggressive
behaviour. These results indicate that reduction of plasma testosterone is
unrelated to the deficit in intermale aggression in mGluR7 KO mice. Social
investigating behaviour of intact mGluR7 KO mice also differed from that of wild
type mice; e.g. the KO mice showing less frequent anogenital sniffing and more
frequent grooming behaviour. Testosterone replacement increased anogenital
sniffing and grooming behaviour in castrated mGluR7 KO mice, while the
differences were still present between castrated wild-type mice and KO mice after
both underwent testosterone replacement. These results imply that reduction of
plasma testosterone may partially inhibit social investigating behaviours in
intact mGluR7 KO mice. Furthermore, castrated mGluR7 KO mice have smaller seminal
vesicles than those of castrated wild-type mice, although seminal vesicle weights
were normal in intact mice. These observations suggest that, besides testicular
testosterone, some other hormone levels may be dysregulated in mGluR7 KO mice,
and indicate a critical role of mGluR7 in the endocrine system. Taken together,
our findings demonstrate that mGluR7 is essential for the regulation of the
endocrine system, in addition to innate behaviours such as intermale aggression
and fear response.
PMID- 29377391
TI - Risk analysis of malignant potential of oral verrucous hyperplasia: A follow-up
study of 269 patients and copy number variation analysis.
AB - BACKGROUND: Oral verrucous hyperplasia is commonly observed in the oral cavity of
betel quid chewers and is a potential malignant disorder. However, the prognostic
factors and genetic alterations of oral verrucous hyperplasia are unclear.
METHODS: We calculate the survival rate and prognostic factors using a Kaplan
Meier analysis and Cox proportional hazards regression model. Copy number
variations were analyzed using a single-nucleotide polymorphism (SNP) array.
RESULTS: The 5-year disease-free and cancer-free survival rates of patients with
oral verrucous hyperplasia were approximately 40% and 70%, respectively. Heavy
betel quid chewing, advanced oral submucous fibrosis, and nonbuccal and nontongue
lesions were risk factors for malignant transformation, whereas dysplasia did not
affect outcomes. The gene amplification of CTTN, FOLR3, ORAOV1, PPFIA1, and
RNF121 were associated with the poor prognosis of oral verrucous hyperplasia.
CONCLUSION: Heavy betel quid chewing, advanced oral submucous fibrosis, and
nonbuccal and nontongue lesions are high-risk factors of patients with oral
verrucous hyperplasia. The 5-copy number variation-associated genes could be used
for early diagnosis and predicting the prognosis.
PMID- 29377392
TI - Chronic intermittent hypoxia and renovascular hypertension: A case of one plus
one equals one-half!
PMID- 29377393
TI - Catalytic CO Oxidation by O2 Mediated by Noble-Metal-Free Cluster Anions Cu2 VO3
5.
AB - Catalytic CO oxidation by molecular O2 is an important model reaction in both the
condensed phase and gas-phase studies. Available gas-phase studies indicate that
noble metal is indispensable in catalytic CO oxidation by O2 under thermal
collision conditions. Herein, we identified the first example of noble-metal-free
heteronuclear oxide cluster catalysts, the copper-vanadium bimetallic oxide
clusters Cu2 VO3-5- for CO oxidation by O2 . The reactions were characterized by
mass spectrometry, photoelectron spectroscopy, and density functional
calculations. The dynamic nature of the Cu-Cu unit in terms of the electron
storage and release is the driving force to promote CO oxidation and O2
activation during the catalysis.
PMID- 29377394
TI - Platelet-predominate gene expression and reticulated platelets in nonvalvular
atrial fibrillation: Effect of pulmonary veins isolation.
AB - INTRODUCTION: Reticulated platelet (RP) content is increased in nonvalvular
atrial fibrillation (NVAF). The purpose of this study was to determine if
platelet content, morphology, and RP proportion are modulated by platelet genes.
METHODS AND RESULTS: Expression of six platelet-predominate genes impacting
platelet formation and release, platelet count, and RP content was assessed in
NVAF patients before and 3-4 months after pulmonary veins isolation (PVI) and
compared to normal sinus rhythm (NSR) controls. RNA from isolated platelets was
reverse-transcribed assayed against selected genes utilizing real-time qPCR, and
expressed as mean cycle threshold (DeltaCt) using beta-2-microglobulin as
endogenous control. RP content was assessed by flow cytometry. A fourfold lower
expression of CFL1 gene coding for nonmuscle cofilin (7.8 +/- 0.9 vs. 5.7 +/-
1.6, P < 0.001) and twofold lower expression of four other genes were associated
with similar platelet counts but fourfold higher (28.7+7.0 vs. 6.7+5.4, P <
0.001) RP content (%) in 97 NVAF cases compared to 51 NSR controls. Three to 4
months after PVI, RP decreased by 28%, while CFL1 gene expression increased over
twofold but TUBA4A gene expression decreased almost twofold; NFE2 and MYL6 gene
expression remained unchanged. CONCLUSIONS: NVAF is associated with notable
downregulation of genes directing platelet production and size but increased RP
content. PVI impacts the expression of many of these genes, implying a direct
relationship between atrial fibrillation and platelet biogenesis.
PMID- 29377395
TI - Atopic dermatitis and alcohol use - a meta-analysis and systematic review.
AB - While several maternal exposures have been associated with an increased risk of
atopic dermatitis (AD) in offspring, the effect of alcohol use during pregnancy
on the risk of AD in offspring is unclear. Furthermore, it is unclear whether
adults with AD have an increased alcohol use, although other poor health
behaviours have been associated with AD including smoking and physical inactivity
as well as psychiatric disease. In this systematic review and meta-analysis, the
association between alcohol use and AD was investigated in two ways: 1) whether
alcohol use (drinkers versus abstainers) during pregnancy is associated with AD
in offspring and 2) whether AD is associated with increased alcohol use. The
medical databases PubMed, EMBASE and Web of Science were searched, and data
extraction was carried out by two independent reviewers. Eighteen studies were
included in the qualitative analysis (comparing alcohol drinkers to abstainers),
and 12 studies were included in the quantitative analysis. There was a positive
association between alcohol use during pregnancy and development of AD in
offspring (pooled odds ratio [OR] 1.16; 95% confidence interval [CI] 1.09-1.24).
However, there was no consistent association between AD in adults and adolescents
and alcohol use (pooled OR 1.06; 95% CI 0.92-1.23). There is a need for future
well-designed prospective studies to firmly establish the association between
alcohol use and AD.
PMID- 29377396
TI - RBC alloantibody prevalence and specificity in a Western Norwegian tertiary
hospital.
AB - BACKGROUND AND OBJECTIVE: Although several studies focus on red blood cell (RBC)
alloantibody distribution in selected patient populations, few address the
specificity and frequency in all relevant groups. This study reports alloantibody
frequency, distribution and the relationship to age and gender in blood donors,
pregnant women and potential recipients of blood products. METHODS: This
historical cohort study included 55 462 consecutive antibody screening tests from
a tertiary Western Norwegian Hospital. Descriptive statistics were performed, and
the results were compared with the literature. RESULTS: The detection and
immunisation frequency for the whole cohort were 0.39 and 0.51%, respectively,
whereas the RBC alloantibody prevalence was 0.73%. The most frequent RBC
alloantibodies were anti-E (20.1%), anti-M (18.7%), anti-K (9.8%), anti-D (8.9%)
and anti-Fy(a) (7.0%). In pregnant women, the most frequent RBC alloantibodies
were anti-M, anti-D and anti-Le(a) (20.8, 18.9 and 18.9%, respectively), whereas
there was no anti-K detected. Anti-E and anti-M were the dominating RBC
alloantibodies in the pre-transfusion testing of in-hospital patients (24.1 and
17.1%, respectively). Eighteen (9.2%) persons in the total cohort had two RBC
alloantibodies, six persons had three alloantibodies, and two persons had four
alloantibodies. Rh and K typing to prevent future immunisations was only
performed in 21.0% of the individuals who presented with a new alloantibody;
despite that, 50.5% of the detected alloantibodies had such specificities.
CONCLUSIONS: The immunisation frequency and the level of anti-K are low compared
to national and international studies. Rh and K phenotype-matched blood
transfusions might be a feasible future strategy to further decrease RBC
alloantibodies.
PMID- 29377397
TI - Comparison of two kinds of skin imaging analysis software: VISIA(r) from Canfield
and IPP(r) from Media Cybernetics.
AB - BACKGROUND: Skin imaging analysis, acting as a supplement to noninvasive
bioengineering devices, has been widely used in medical cosmetology and cosmetic
product evaluation. The main aim of this study is to assess the differences and
correlations in measuring skin spots, wrinkles, vascular features, porphyrin, and
pore between two commercially available image analysis software. MATERIALS AND
METHODS: Seventy healthy women were included in the study. Before taking
pictures, the dermatologist evaluated subjects' skin conditions. Test sites
included the forehead, cheek, and periorbital skin. A 2 * 2 cm cardboard was used
to make a mark on the skin surface. Pictures were taken using VISIA(r) under
three kinds light conditions and analyzed using VISIA(r) and IPP(r) respectively.
RESULTS: (1) Skin pore, red area, ultraviolet spot, brown spot, porphyrin, and
wrinkle measured with VISIA(r) were correlated with those measured with IPP(r) (P
< .01). (2) Spot, wrinkle, fine line, brown spot, and red area analyzed with
VISIA(r) were correlated with age on the forehead and periorbital skin (P < .05).
L-value, Crow's feet, ultraviolet spot, brown spot, and red area analyzed with
IPP(r) were correlated with age on the periorbital skin (P < .05). (3) L-value,
spot, wrinkle, fine line, porphyrin, red area, and pore analyzed with VISIA(r)
and IPP(r) showed correlations with the subjective evaluation scores (P < .05).
CONCLUSIONS: VISIA(r) and IPP(r) showed acceptable correlation in measuring
various skin conditions. VISIA(r) showed a high sensibility when measured on the
forehead skin. IPP(r) is available as an alternative software program to evaluate
skin features.
PMID- 29377399
TI - A systematic review of pyoderma gangrenosum with pulmonary involvement: clinical
presentation, diagnosis and management.
PMID- 29377398
TI - Lipid rafts can form in the inner and outer membranes of Borrelia burgdorferi and
have different properties and associated proteins.
AB - Lipid rafts are microdomains present in the membrane of eukaryotic organisms and
bacterial pathogens. They are characterized by having tightly packed lipids and a
subset of specific proteins. Lipid rafts are associated with a variety of
important biological processes including signaling and lateral sorting of
proteins. To determine whether lipid rafts exist in the inner membrane of
Borrelia burgdorferi, we separated the inner and outer membranes and analyzed the
lipid constituents present in each membrane fraction. We found that both the
inner and outer membranes have cholesterol and cholesterol glycolipids.
Fluorescence anisotropy and FRET showed that lipids from both membranes can form
rafts but have different abilities to do so. The analysis of the biochemically
defined proteome of lipid rafts from the inner membrane revealed a diverse set of
proteins, different from those associated with the outer membrane, with functions
in protein trafficking, chemotaxis and signaling.
PMID- 29377400
TI - Injuries in Dutch elite field hockey players: A prospective cohort study.
AB - This study describes the prevalence, incidence density, severity, and nature of
injuries in elite field hockey players over the Dutch 2015-2016 season. Eighty
players answered a baseline questionnaire and were subsequently followed up every
2 weeks to report the hours spent on training/competition and experienced
injuries, which were registered using the Oslo Sports Trauma Research Centre
Questionnaire on Health Problems. Of the 74 players included in the analysis, 52
(70%) reported 112 injuries. Eighty-seven injuries (78%) received medical
attention, and 56 (50%) led to training/competition time-loss. Thirty-four
injuries (30%) hampered players' availability to train and compete. Most of the
injuries (74%) were not caused by any contact. The mean prevalence of injury was
29% (95% confidence interval [CI] 3-55) for all, 9% (95% CI 0-20) for acute, and
14% (95% CI 0-36) for overuse injuries. Players sustained 3.5 (95% CI 2.5-4.5)
new acute injuries per 1000 hours of training and 12.3 (95% CI 7.6-17.0) per 1000
hours of competition. The median of the severity score was 28 from 100 (25%-75%
interquartile range [IQR] 16-42) for all, 35 (IQR 23-53) for acute, and 21 (IQR
16-31) for overuse injuries. On average, 1 in 4 elite field hockey players
experiences an injury within a 2-week period during the season. Although acute
injuries are common, overuse injuries pose a comparable problem in elite field
hockey. As injuries are a burden on players' health and may hamper performance
and availability to train and compete, prevention is of great importance.
PMID- 29377401
TI - Increased expression of TREM2 in peripheral cells from mild cognitive impairment
patients who progress into Alzheimer's disease.
AB - BACKGROUND AND PURPOSE: Neuroinflammation plays a role in the aetiopathogenesis
of Alzheimer's disease (AD). Triggering receptor expressed on myeloid cells 2
(TREM2), a cell surface receptor of the immunoglobulin superfamily, seems to have
protective anti-inflammatory activity in AD. METHODS: Triggering receptor
expressed on myeloid cells 2 expression was analysed in peripheral blood
mononuclear cells from healthy subjects (CT) and from patients with either AD or
mild cognitive impairment (MCI). MCI patients were re-evaluated at a 2-year
follow-up to investigate their progression to AD (MCI-AD) or lack thereof (MCI
MCI). RESULTS: Triggering receptor expressed on myeloid cells 2 gene expression
was higher in AD than CT patients, but was highest in MCI. At recruitment TREM2
levels were higher in MCI-AD than in MCI-MCI, and in MCI-AD were higher initially
than at follow-up. TREM2 displayed a moderate degree of sensitivity and
specificity for identifying MCI-AD in all MCI patients. Our data showed higher
TREM2 levels in allele epsilon4 of apolipoprotein E (ApoE epsilon4) carriers than
non-carriers in MCI and particularly in MCI-AD. CONCLUSIONS: These data seem to
confirm the protective role of TREM2 in the pre-clinical stage of AD.
Upregulation of TREM2 in MCI-AD could be a mechanism to counteract the activation
of neuroinflammatory processes. It is possible that TREM2 and ApoE epsilon4
interact synergistically in the pre-clinical stage of AD. Therefore, TREM2 may be
useful as an early peripheral biomarker for the development of AD.
PMID- 29377402
TI - Infection transmission among lung transplant couples.
AB - The risk of transmission of infections in partnerships between 2 transplant
recipients is unknown. The aim of this study was to evaluate transmission in such
couples. In this single-center study, lung transplant (LTx) couples were
identified among outpatients between 1988 and 2016. Infection rates per year and
survival were compared to matched LTx-recipients not living in a transplant
partnership. Twelve transplant couples were analyzed with cumulative 65 years of
relationship. Overall infections were similar between LTx-couples and matched LTx
patients. No significant differences were noted in bacterial infections (.12 vs
.27 per year), community-acquired viral (CARV) infections (.26 vs .22 per year),
rejection treatments (.22 vs .12 per year), or hospitalizations (.26 vs .46 per
year) in transplant couples and matched controls, respectively. There was no
transmission of any microbial colonization from 1 partner to the other. Five
cases of simultaneously detected CARV infections occurred (metapneumovirus [3],
H1N1 [1], and respiratory syncytial virus [RSV; 1]). Three couples exhibited
cytomegalovirus (CMV) reactivation in both partners at the same time with
confirmed seronegativity before transplantation. In this case series of 12 lung
transplant couples, the partnerships between 2 transplant recipients have no
greater risk of bacterial infection and colonization transmission in comparison
with recipients not living in a transplant relationship. However, transplant
couples should be informed about the risk for transmission of viral infections,
which could impact the development of chronic lung allograft dysfunction (CLAD).
PMID- 29377403
TI - Silica-Supported Pentamethylcyclopentadienyl Ytterbium(II) and Samarium(II)
Sites: Ultrahigh Molecular Weight Polyethylene without Co-Catalyst.
AB - Designing highly active supported ethylene polymerization catalysts that do not
require a co-catalyst to generate electrophilic metal alkyl species is still a
challenge despite its industrial relevance. Described herein is the synthesis and
characterization of well-defined silica-supported cyclopentadienyl LnII sites
(Ln=Yb and Sm) of general formula [(=SiO)LnCp*]. These well-defined surface
species are highly activite towards ethylene polymerization in the absence of
added co-catalyst. Initiation is proposed to occur by single electron transfer.
PMID- 29377404
TI - Sedation for magnetic resonance imaging using propofol with or without ketamine
at induction in pediatrics-A prospective randomized double-blinded study.
AB - INTRODUCTION: Deep sedation using propofol has become a standard technique in
children. This double-blinded randomized clinical trial aims to compare the
clinical effects of propofol-mono-sedation vs a combination of propofol and
ketamine at induction and a reduced propofol infusion rate for maintenance in
children undergoing diagnostic magnetic resonance imaging. METHODS: Children aged
from 3 months to 10 years scheduled as outpatients for elective magnetic
resonance imaging with deep sedation were included. They were randomized into 2
groups, receiving either 1 mg/kg ketamine at induction, then a propofol infusion
rate of 5 mg/kg/h or a propofol infusion rate of 10 mg/kg/h without prior
ketamine. Time to full recovery (modified Aldrete score = 10) was the primary
outcome. Further outcomes were quality of induction, immobilization during image
acquisition, recovery, postoperative nausea and vomiting, emergence delirium
using the Pediatric Anesthesia Emergence Delirium scale, vital signs and adverse
cardiorespiratory events. All patients and parents as well as anesthetists,
imaging technicians, and postsedation personnel were blinded. Data are given as
median (range). RESULTS: In total, 347 children aged 4.0 (0.25-10.9) years,
weighing 15.6 (5.3-54) kg, ASA classification I, II, or III (141/188/18) were
included. The ketamine-propofol group showed significantly shorter recovery times
(38 (22-65) vs 54 (37-77) minutes; median difference 14 (95% CI: 8, 20) minutes;
P < .001), better quality of induction, and higher blood pressure, but higher
incidence of movement requiring additional sedative drugs. There were no
significant differences in respiratory side effects, cardiovascular compromise,
emergence delirium, or postoperative nausea and vomiting. CONCLUSION: Both
sedation concepts proved to be reliable with a low incidence of side effects.
Ketamine at induction with a reduced propofol infusion rate leads to faster
postanesthetic recovery.
PMID- 29377405
TI - How should contact force be used for catheter ablation of atrial fibrillation?
PMID- 29377406
TI - Regulation of bone blood flow in humans: The role of nitric oxide,
prostaglandins, and adenosine.
AB - The mechanisms that regulate bone blood flow (BBF) in humans are largely unknown.
Animal studies suggest that nitric oxide (NO) could be involved, and in this
study, we investigated the effects of inhibition of nitric oxide synthase (NOS)
alone and in combination with inhibition of cyclooxygenase (COX) enzyme, thus
prostaglandin (PG) synthesis on femoral bone marrow blood flow by positron
emission tomography in healthy young men at rest and during one-leg dynamic
exercise. In an additional group of healthy men, the role of adenosine (ADO) in
the regulation of BBF during exercise was investigated by use of an adenosine
receptor blocker (aminophylline). Inhibitors were directly infused into the
femoral artery. Resting BBF was 1.1 +/- 0.4 mL 100 g-1 min-1 and increased to
almost sixfold in response to exercise (6.3 +/- 1.5 mL 100 g-1 min-1 ).
Inhibition of NOS reduced BBF at rest to 0.7 +/- 0.3 mL 100 g-1 min-1 (P =
.036), but did not affect BBF significantly during exercise (5.5 +/- 1.4 mL 100 g
1 min-1 , P = .25). On the other hand, while combined NOS and COX inhibition did
not cause any further reduction of blood flow at rest (0.6 +/- 0.2 mL 100 g-1 min
1 ), the combined blockade reduced BBF during exercise by ~21%, to 5.0 +/- 1.8 mL
100 g-1 min-1 (P = .014). Finally, the ADO inhibition during exercise reduced
BBF from 5.5 +/- 1.9 mL 100 g-1 min-1 to 4.6 +/- 1.2 mL 100 g-1 min-1 (P =
.045). In conclusion, our results support the view that NO is involved in
controlling bone marrow blood flow at rest, and NO, PG, and ADO play important
roles in controlling human BBF during exercise.
PMID- 29377407
TI - Assertiveness of meat-borne Lactococcus piscium strains and their potential for
competitive exclusion of spoilage bacteria in situ and in vitro.
AB - AIMS: This study aimed to investigate intraspecies assertiveness of meat-borne
Lactococcus piscium isolates, inhibitory effects on unwanted and harmful meat
spoilers, and the prevalence on beef deliberately inoculated with Lc. piscium.
METHODS AND RESULTS: Co-inoculation of Lc. piscium isolates and spoilers
(Brochothrix thermosphacta, Leuconostoc gelidum subsp. gasicomitatum,
Carnobacterium divergens, Pseudomonas weihenstephanensis, Serratia liquefaciens,
Hafnia alvei) were conducted in sterile meat simulation medium. Differentiation
of Lc. piscium strains was carried out with colony-based RAPD-PCR. Selective
cultivation was used to differentiate spoilers from Lc. piscium. Intraspecies
assertiveness revealed Lc. piscium TMW2.1614 as most assertive strain. Co
inoculation of selected Lc. piscium strains caused substantial growth reduction
of spoilers while the extent was strain- and spoiler dependent. Monitoring the
microbiota on beef steaks deliberately inoculated with Lc. piscium revealed
prevalence over the endogenous microbiota while maintaining a ripened sensory
impression without undesired alterations. CONCLUSIONS: This study reveals Lc.
piscium strains TMW2.1612/2.1614/2.1615 as highly competitive against spoilers in
vitro while beef deliberately inoculated with these strains maintained acceptable
organoleptics. SIGNIFICANCE AND IMPACT OF THE STUDY: Selected Lc. piscium strains
exhibit high potential for application as bioprotective cultures for competitive
exclusion on beef in order to extend minimum shelf life and enhance product
safety of meat.
PMID- 29377408
TI - Two-year trial of intermittent insulin therapy vs metformin for the preservation
of beta-cell function after initial short-term intensive insulin induction in
early type 2 diabetes.
AB - AIMS: To test the hypothesis that "induction" intensive insulin therapy (IIT)
needs to be followed by "maintenance therapy" to preserve beta-cell function, and
to evaluate the impact on beta-cell function over 2 years of two approaches to
maintenance therapy: intermittent short-term IIT every 3 months vs daily
metformin. MATERIALS AND METHODS: In this trial, 24 adults with a mean type 2
diabetes mellitus (T2DM) duration of 2.0 +/- 1.7 years and glycated haemoglobin
(HbA1c) levels 6.4 +/- 0.1% (46 +/- 1.1mmol/mol) were randomized to 3 weeks of
induction IIT (glargine, lispro) followed by either repeat IIT for up to 2 weeks
every 3 months or daily metformin. Participants underwent serial assessment of
beta-cell function using the Insulin Secretion-Sensitivity Index-2 (ISSI-2) on an
oral glucose tolerance test every 3 months. RESULTS: The primary outcome of
baseline-adjusted ISSI-2 at 2 years was higher in the metformin arm compared with
intermittent IIT (245.0 +/- 31.7 vs 142.2 +/- 18.4; P = .008). Baseline-adjusted
HbA1c at 2 years (secondary outcome) was lower in the metformin arm (6.0 +/- 0.2%
vs 7.3 +/- 0.2%; P = .0006) (42 +/- 2.2 vs 56 +/- 2.2mmol/mol). At study
completion, 66.7% of participants randomized to metformin had an HbA1c
concentration <= 6.0% (<=42mmol/mol), compared with 8.3% of those on intermittent
IIT (P = .009). There were no differences in insulin sensitivity. CONCLUSION:
After induction IIT, metformin was superior to intermittent IIT for maintaining
beta-cell function and glycaemic control over 2 years. The strategy of induction
and maintenance therapy to preserve beta-cell function warrants exploration in
early T2DM.
PMID- 29377409
TI - Diminishing benefit of smoking cessation medications during the first year: a
meta-analysis of randomized controlled trials.
AB - BACKGROUND AND AIMS: Although smoking cessation medications have shown
effectiveness in increasing abstinence in randomized controlled trials (RCTs), it
is unclear to what extent benefits persist over time. This paper assesses whether
the benefits of smoking cessation medications decline over the first year.
METHODS: We selected studies from three systematic reviews published by the
Cochrane Collaboration. RCTs of first-line smoking cessation medications, with 6-
and 12-month follow-up, were eligible for inclusion. Meta-analysis was used to
synthesize information on sustained abstinence (SA) at 6 versus 12 months and 3
versus 6 months, using the risk difference (RD) ('net benefit') between
intervention and control group quit rates, the relative risk (RR) and the odds
ratio (OR). RESULTS: Sixty-one studies (27 647 participants) were included. Fewer
than 40% of intervention group participants were sustained abstinent at 3 months
(bupropion: 37.1%; nicotine replacement therapy (NRT): 34.8%; varenicline:
39.3%); approximately a quarter were sustained abstinent at 6 months (bupropion:
25.9%; NRT: 26.6%; varenicline: 25.4%), and approximately a fifth were sustained
abstinent at 12 months (bupropion: 19.9%; NRT: 19.8%%; varenicline: 18.7%). There
was only a small decline in RR (3 months: 1.95 [95% confidence interval (CI) =
1.74-2.18, P < 0.0001]; 6 months: 1.87 (95% CI = 1.67-2.08 P < 0.0001); 12
months: 1.75 (95% CI = 1.56-1.95, P < 0.0001) between intervention and control
groups over time, but a substantial decline in net benefit [3 months: RD = 17.3%
(14.5-20.1%); 6 months: RD = 11.8% (10.0-13.7%); 12 months: RD = 8.2% (6.8
9.6%)]. The decline in net benefit was statistically significant between 3 and 6
[RD = 4.95% (95% CI = 3.49-6.41%), P < 0.0001] and 6 and 12 months [RD = 3.00%
(95% CI = 2.36%-3.64%), P < 0.0001)] for medications combined and individual
medications. CONCLUSIONS: The proportion of smokers who use smoking cessation
medications who benefit from doing so decreases during the course of the first
year, but a net benefit still remains at 12 months.
PMID- 29377411
TI - Wait-list mortality of young patients with Biliary atresia: Competing risk
analysis of a eurotransplant registry-based cohort.
AB - Liver transplantation (LT) is the standard treatment for biliary atresia (BA)
patients with end-stage liver disease. The prognosis after LT has steadily
improved, but overall prognosis of BA patients is also determined by mortality
before LT. We aimed to quantify mortality in young BA patients on the
Eurotransplant waiting list and to determine the effect of disease severity and
age at time of listing on pretransplant mortality. We used a cohort study design,
which incorporated data from the Eurotransplant registry. Participants were 711
BA patients who were below 5 years of age from 5 countries and listed for LT
between 2001 and 2014. We applied a competing risk analysis to evaluate
simultaneously the outcomes death, LT, and still waiting for a suitable organ. We
used Cox proportional hazards regression to assess 2-year mortality. In a
subcohort of 416 children, we performed multivariate analyses between 2-year
mortality and disease severity or age, each at listing. Disease severity at
listing was quantified by the Model for End-Stage Liver Disease (MELD) score,
which assesses bilirubin, creatinine, albumin, and international normalized ratio
as continuous variables. Two-year wait-list mortality was 7.9%. Age below 6
months and MELD score above 20 points, each at listing, were strongly and
independently associated with 2-year mortality (each P < 0.001). A total of 21%
of infants who fulfilled both criteria did not survive the first 6 months on the
waiting list. In conclusion, our findings quantify mortality among young BA
patients on the waiting list and the relative importance of risk factors (age and
severity of disease at listing). Our results provide both an evidence base to
rationally address high mortality in subgroups and a methodology to assess
effects of implemented changes, for example, in allocation rules. Liver
Transplantation 24 810-819 2018 AASLD.
PMID- 29377410
TI - Inhibition of Aldehyde Dehydrogenase-Activity Expands Multipotent Myeloid
Progenitor Cells with Vascular Regenerative Function.
AB - Blood-derived progenitor cell transplantation holds potential for the treatment
of severe vascular diseases. Human umbilical cord blood (UCB)-derived
hematopoietic progenitor cells purified using high aldehyde dehydrogenase (ALDHhi
) activity demonstrate pro-angiogenic functions following intramuscular (i.m.)
transplantation into immunodeficient mice with hind-limb ischemia. Unfortunately,
UCB ALDHhi cells are rare and prolonged ex vivo expansion leads to loss of high
ALDH-activity and diminished vascular regenerative function. ALDH-activity
generates retinoic acid, a potent driver of hematopoietic differentiation,
creating a paradoxical challenge to expand UCB ALDHhi cells while limiting
differentiation and retaining pro-angiogenic functions. We investigated whether
inhibition of ALDH-activity during ex vivo expansion of UCB ALDHhi cells would
prevent differentiation and expand progeny that retained pro-angiogenic functions
after transplantation into non-obese diabetic/severe combined immunodeficient
mice with femoral artery ligation-induced unilateral hind-limb ischemia. Human
UCB ALDHhi cells were cultured under serum-free conditions for 9 days, with or
without the reversible ALDH-inhibitor, diethylaminobenzaldehyde (DEAB). Although
total cell numbers were increased >70-fold, the frequency of cells that retained
ALDHhi /CD34+ phenotype was significantly diminished under basal conditions. In
contrast, DEAB-inhibition increased total ALDHhi /CD34+ cell number by >=10-fold,
reduced differentiation marker (CD38) expression, and enhanced the retention of
multipotent colony-forming cells in vitro. Proteomic analysis revealed that DEAB
treated cells upregulated anti-apoptotic protein expression and diminished
production of proteins implicated with megakaryocyte differentiation. The i.m.
transplantation of DEAB-treated cells into mice with hind-limb ischemia
stimulated endothelial cell proliferation and augmented recovery of hind-limb
perfusion. DEAB-inhibition of ALDH-activity delayed hematopoietic differentiation
and expanded multipotent myeloid cells that accelerated vascular regeneration
following i.m. transplantation in vivo. Stem Cells 2018;36:723-736.
PMID- 29377412
TI - High-fat diet induces a neurometabolic state characterized by changes in
glutamate and N-acetylaspartate pools associated with early glucose intolerance:
An in vivo multimodal MRI study.
AB - BACKGROUND: Type-2 diabetes mellitus (T2DM) is a metabolic disorder with a broad
range of complications in the brain that depend on the conditions that precede
its onset, such as obesity and metabolic syndromes. It has been suggested that
neurotransmitter and metabolic perturbations may emerge even before the early
stages of T2DM and that high-caloric intake could adversely influence the brain
in such states. Notwithstanding, evidence for neurochemical and structural
alterations in these conditions are still sparse and controversial. PURPOSE: To
evaluate the influence of high-fat diet in the neurochemical profile and
structural integrity of the rodent brain. STUDY TYPE: Prospective. SUBJECTS:
Wistar rats (n = 12/group). FIELD STRENGTH/SEQUENCE: A PRESS, ISIS, RARE, and EPI
sequences were performed at 9.4T. ASSESSMENT: Neurochemical and structural
parameters were assessed by magnetic resonance spectroscopy, voxel-based
morphometry, volumetry, and diffusion tensor imaging. STATISTICAL TESTS:
Measurements were compared through Student and Mann-Whitney tests. Pearson
correlation was used to assess relationships between parameters. RESULTS: Animals
submitted to high-caloric intake gained weight (P = 0.003) and developed glucose
intolerance (P < 0.001) but not hyperglycemia. In the hippocampus, the diet
induced perturbations in glutamatergic metabolites reflected by increased levels
of glutamine (P = 0.016) and glutamatergic pool (Glx) (P = 0.036), which were
negatively correlated with glucose intolerance (glutamine, r = -0.804, P =
0.029), suggesting a link with neurometabolic dysregulation. At caudate-putamen,
high-fat diet led to a surprising increase in the pool of N-acetylaspartate (P =
0.028). A relation with metabolic changes was again suggested by the negative
correlation between glucose intolerance and levels of glutamatergic metabolites
in this region (glutamate, r = -0.845, P = 0.014; Glx, r = -0.834, P = 0.020).
Neither changes in phosphate compounds nor major structural alterations were
observed for both regions. DATA CONCLUSION: We found evidence that high-fat diet
induced obesity leads to distinct early and region-specific
metabolic/neurochemical imbalances in the presence of early glucose intolerance
even when structural alterations or T2DM are absent. LEVEL OF EVIDENCE: 1
Technical Efficacy: Stage 3 J. Magn. Reson. Imaging 2018.
PMID- 29377413
TI - Assessment of the general quality of sunscreen products available in Palestine
and method verification of the sun protection factor using Food and Drug
Administration guidelines.
AB - BACKGROUND: Sunlight exposure affects all skin types causing skin tanning, burns
or even skin cancer. Sunscreens were invented to prevent these outcomes by
scattering or absorbing the UV light. AIMS: This study aimed to verify the
effectiveness of Mansur method in SPF measurement and to find out how much
reliable the labeled sun protection factor (SPF) value for the products that are
imported to Palestine knowing that they are considered as cosmetics and they
don't undergo tests by the Ministry Of Health (MOH). MATERIALS: In this research,
sun protection factor (SPF) was determined for 16 commercially available
sunscreen products using Mansur equation which was also validated; moreover
sunscreen classification, product phase determination and pH measurement were
also done. RESULTS: Sun protection factor values were mostly 50, 43.75% of the
analyzed samples were close to the labeled SPF, 31.25% were under the labeled
value, and 25% SPF value above the labeled value. All samples exhibited a pH
close to skin pH. 62% of them were found to be O/W. Cosmetic companies and
importers should focus on pediatric sunscreens, since only 12.5% are pediatric
sunscreens. CONCLUSIONS: Ministry Of Health should ask prove about the quality of
an SPF value of sunscreens for final registration of these products. More
instructions should be available on the label regarding the proper use
especially, if they are not water proof.
PMID- 29377414
TI - Optical clearing agent reduces scattering of light by the stratum corneum and
modulates the physical properties of coenocytes via hydration.
AB - BACKGROUND: The interaction between light and the skin determine how the skin
looks to the human eye. Light can be absorbed, scattered, and reflected by
different components of the skin in a variety of different ways. Here, we focus
on the scattering properties of the outmost layer, the stratum corneum (SC).
However, we currently have limited methods with which to distinguish the
scattering of light by SC from the changes due to other components of the skin.
MATERIALS AND METHODS: Dark-field images of tape-striped corneocytes were used in
vitro to study the differences in light scattered by the SC and other skin
components. Several optical clearing agents (OCAs) were tested for their ability
to reduce light scattering. Physical properties of the SC (water content, keratin
configuration, and volume) after OCA treatment were investigated using FT-IR,
confocal Raman microscopy, and 3D laser microscopy. RESULTS: Urea derivatives,
several reducing sugars, and sugar alcohols, which were used as OCA in optics and
also used as humectants in cosmetic area, could reduce scattering. However,
unlike dehydration in optics, penetration of water into the keratin was increased
at low OCA concentrations. In such conditions, the volume of corneocytes was
increased but their stiffness was reduced. CONCLUSION: By analyzing the tape
striped SC, we were able to measure the changes in the optical and physical
properties of corneocytes in response to OCAs. Hydration of the SC layer by OCAs
reduces light scattering from the corneocytes and would be helpful in
moisturizing the skin and helping the skin look healthy.
PMID- 29377415
TI - Molecular epidemiology of respiratory syncytial virus.
AB - Respiratory syncytial virus (RSV) is a major cause of viral acute respiratory
tract infections in young children. The virus is characterised by distinct
seasonality that is dependent upon the latitude and its ability to cause
reinfection. Respiratory syncytial virus demonstrates a complex molecular
epidemiology pattern as multiple strains and/or genotypes cocirculate during a
single epidemic. Previous studies have investigated the relationship between RSV
genetic diversity, reinfection, and clinical features. Here, we review the
evidence behind this relationship together with the impact that the advancement
of whole genome sequencing will have upon our understanding and the need for
reconsidering the classification of RSV genotypes.
PMID- 29377416
TI - Oncologic outcomes of extended neck dissections in human papillomavirus-related
oropharyngeal squamous cell carcinoma.
AB - BACKGROUND: Oncologic outcomes of human papillomavirus (HPV)-related
oropharyngeal squamous cell carcinoma (SCC) requiring resection of major muscular
or neurovascular tissue during neck dissection for invasive nodal disease remain
uncertain. METHODS: Patients with HPV-related oropharyngeal SCC requiring
resection of major muscular or neurovascular tissue during their neck dissections
were retrospectively identified. RESULTS: Seventy-two patients were included.
Regional and distant recurrences occurred in 6% and 17% of patients. Advanced T
classification, pathological node number of 5 or more, and omission of adjuvant
therapy were associated with decreased disease-free survival (DFS). The addition
of adjuvant chemotherapy was not associated with improved survival. CONCLUSION:
Patients with invasive nodal disease from HPV-related oropharyngeal SCC can be
managed with up-front surgery and adjuvant therapy, as indicated with good
regional control. Although distant recurrence was the primary site of failure,
adjuvant chemotherapy was not associated with improved outcomes. The T
classification, node number, and adjuvant radiotherapy are independent prognostic
factors in this patient population.
PMID- 29377417
TI - Diversity of Cercomonad Species in the Phyllosphere and Rhizosphere of Different
Plant Species with a Description of Neocercomonas epiphylla (Cercozoa, Rhizaria)
a Leaf-Associated Protist.
AB - Cercomonads are among the most abundant and diverse groups of heterotrophic
flagellates in terrestrial systems and show an affinity to plants. However, we
still lack basic knowledge of plant-associated protists. We isolated 75
Cercomonadida strains from the phyllosphere and rhizosphere of plants from three
functional groups: grasses (Poa sp.), legumes (Trifolium sp.) and forbs (Plantago
sp.), representing 28 OTUs from the genera Cercomonas, Neocercomonas and
Paracercomonas. The community composition differed clearly between phyllosphere
and rhizosphere, but was not influenced by plant species identity. From these
isolates we describe three novel cercomonad species including Neocercomonas
epiphylla that was consistently and exclusively isolated from the phyllosphere.
For each new species we provide a detailed morphological description as well as
an 18S rDNA gene sequence as a distinct marker of species identity. Our data
contribute to a better resolution of the systematics of cercomonads and their
association with plants, by describing three novel species and adding gene
sequences of 10 new cercomonad genotypes and of nine previously described
species. In view of the functional importance of cercozoan communities in the
phyllosphere and rhizosphere of plants, a more detailed understanding of their
composition, function and predator-prey interactions are clearly required.
PMID- 29377418
TI - Ventricular arrhythmias and cardiomyopathies: Is life always worth living?
PMID- 29377419
TI - Assessment of left ventricular dyssynchrony by three-dimensional
echocardiography: Prognostic value in patients undergoing cardiac
resynchronization therapy.
AB - BACKGROUND: Systolic dyssynchrony index (SDI) using three-dimensional
echocardiography (3DE) was shown to be a reliable measure of left ventricular
(LV) dyssynchrony. However, the prognostic value of SDI on long-term outcomes
after cardiac resynchronization therapy (CRT) remains unknown. METHODS AND
RESULTS: A total of 414 patients (mean age 67 +/- 10 years, 60% ischemic
etiology) with 3DE evaluation before CRT implantation were included. SDI was
evaluated as continuous value and in quartiles. The study endpoint was combined
all-cause mortality, heart transplantation, and LV assist device implantation. At
baseline, median SDI was 8.0% (IQR 5.6-11.3%). During a median follow-up of 45
months (IQR 25-59 months), the endpoint was observed in 94 (23%) patients. SDI
was independently associated with the endpoint together with ischemic etiology,
diabetes, and renal function (HR 0.914, P = 0.003) after adjustment for age,
atrial fibrillation, hemoglobin level, NYHA functional class, and posterolateral
LV lead position. Patients from the 1st, 2nd, and 3rd SDI quartiles showed
similar survival and superior as compared to the 4th quartile with the lowest SDI
values (<=5.5%; chi2: 30.4, log-rank P < 0.001). From receiver operating
characteristic curve analysis, the optimal SDI cut-off value associated with the
endpoint was >6.8% (area under the curve 0.634). Finally, a subgroup analysis
(293 patients) demonstrated that a more pronounced reduction in SDI immediately
after CRT (resynchronization) was independently associated with superior survival
(HR 0.461, P = 0.011) after adjustment for prognostic relevant parameters.
CONCLUSION: SDI is independently associated with long-term prognosis after CRT
and might therefore be important to optimize risk-stratification in these
patients.
PMID- 29377420
TI - Transformation of the gas-phase favored O-protomer of p-aminobenzoic acid to its
unfavored N-protomer by ion activation in the presence of water vapor: An ion
mobility mass spectrometry study.
AB - An ion-mobility mass spectrometry study showed that the preferred O-protonated
form of p-aminobenzoic in the gas phase can be converted to the thermodynamically
less favored N-protomer by in-source collision-induced ion activation during the
ion transfer process from the atmospheric region to the first vacuum region if
the humidity is high in the ion source. Upon the addition of water vapor to the
nitrogen gas used to promote the solid analyte to the gas phase under helium
plasma ionization conditions, the intensity of the ion-mobility arrival-time peak
for the N-protomer increased dramatically. Evidently, the ion-activation process
in the first vacuum region is able to provide the energy required to surmount the
barrier to isomerize the O-protomer to the more energetic N-protomer. The
transfer of the proton attached to the carbonyl oxygen atom of the O-protomer to
the amino group takes place by a water-bridge mechanism. Apparently, the
postionization transformations that take place during the transmission of ions
from the atmospheric-pressure ion source to the detector, via different physical
compartments of low to high vacuum, play an eminent role in determining the
population ratios eventually manifested at the detector.
PMID- 29377421
TI - Corrigendum: Epitope Targeting of Tertiary Protein Structure Enables Target
Guided Synthesis of a Potent In-Cell Inhibitor of Botulinum Neurotoxin.
PMID- 29377422
TI - Spotlights on our sister journals: Angew. Chem. Int. Ed. 6/2018.
PMID- 29377423
TI - Brown adipose tissue lipid metabolism in morbid obesity: Effect of bariatric
surgery-induced weight loss.
AB - OBJECTIVE: We aimed to investigate the effect of bariatric surgery on lipid
metabolism in supraclavicular brown adipose tissue in morbidly obese women. We
hypothesized that lipid metabolism improves after surgery-induced weight loss.
MATERIALS AND METHODS: A total of 23 morbidly obese women (BMI, 42.1 +/- 4.2
kg/m2 ; age, 43.8 +/- 9.8 years) were assessed before and 6 months after
bariatric surgery and 15 age- and sex-matched controls (22.6 +/- 2.8 kg/m2 ) were
assessed once. In the supraclavicular fat depot, fractional (FUR) and NEFA uptake
rates were measured with 18 F-FTHA-PET. We assessed tissue morphology
(triglyceride content) using computed tomography (CT)-radiodensity (in Hounsfield
Units[HU]) and the proportion of fat with high density (sBAT [%]) in the entire
supraclavicular fat depot. RESULTS: The supraclavicular fractional uptake rate
was lower in obese women compared to controls (0.0055 +/- 0.0035 vs 0.0161 +/-
0.0177 1/min, P = .001). Both FUR (to 0.0074 +/- 0.0035 1/min, P = .01) and NEFA
uptake rates (to 0.50 +/- 0.50 MUmol/100 g/min, P = .001) increased after
surgery. Compared to controls, obese women had lower CT-radiodensity (-101.2 +/-
10.1 vs -82.5 +/- 5.8 HU, P < .001) and sBAT (43.4 +/- 8.4% vs 64.5 +/- 12.4%, P
< .001). After surgery, CT-radiodensity increased (to -82.5 +/- 9.6 HU, P <
.001), signifying decreased triglyceride content and sBAT improved (to 58.0 +/-
10.7%, P < .001), indicating an increased proportion of brown fat. The change in
tissue morphology, reflected as increase in CT-radiodensity and sBAT (%), was
associated with a decrease in adiposity indices and an increase in whole-body
insulin sensitivity. CONCLUSIONS: A decrease in triglyceride content, coupled
with the increased proportion of brown adipose tissue in the supraclavicular fat
depot, may play a role in the improvement of whole-body insulin sensitivity
observed in morbidly obese women after surgery-induced weight loss.
PMID- 29377424
TI - Toward High-Performance Vacuum-Deposited OLEDs: Sublimable Cationic Iridium(III)
Complexes with Yellow and Orange Electroluminescence.
AB - Great advances in the development of efficient luminescent materials are the
driving force behind organic light-emitting diodes (OLEDs). Sublimable ionic
transition-metal complexes (iTMCs) have emerged as a large family of new emissive
dopants applied for vacuum-deposited OLEDs, while the achievement of excellent
performance remains arduous. A series of novel sublimable cationic iridium(III)
complexes have been designed and synthesized, containing an imidazole-type
ancillary ligand and tetraphenylborate-type negative counter-ions with large
steric hindrance and well-dispersed charges. The photophysical properties,
electrochemical behaviors, and thermal stability are fully investigated and
discussed, then demonstrated by theoretical calculations. Yellow- and orange
emitting OLEDs thereof are fabricated by vacuum evaporation deposition, realizing
a high external quantum efficiency of up to 11 %, maximum brightness over
27.3*103 cd m-2 and low turn-on voltages below 2.4 V, among the best results of
analogous phosphorescent OLEDs based on iTMCs. This work indicates the promising
applications of sublimable iTMCs in state-of-the-art vacuum-deposited
optoelectronic devices.
PMID- 29377426
TI - Authors' reply re: Vaginal seeding or vaginal microbial transfer from the mother
to the caesarean-born neonate: a commentary regarding clinical management.
PMID- 29377425
TI - Identification of Tumor Initiating Cells with a Small-Molecule Fluorescent Probe
by Using Vimentin as a Biomarker.
AB - Tumor initiating cells (TICs) have been implicated in clinical relapse and
metastasis of a variety of epithelial cancers, including lung cancer. While
efforts toward the development of specific probes for TIC detection and targeting
are ongoing, a universal TIC probe has yet to be developed. We report the first
TIC-specific fluorescent chemical probe, TiY, with identification of the
molecular target as vimentin, a marker for epithelial-to-mesenchymal transition
(EMT). TiY selectively stains TICs over differentiated tumor cells or normal
cells, and facilitates the visualization and enrichment of functionally active
TICs from patient tumors. At high concentration, TiY also shows anti-TIC activity
with low toxicity to non-TICs. With the unexplored target vimentin, TiY shows
potential as a first universal probe for TIC detection in different cancers.
PMID- 29377427
TI - Isoflavonoids from Brazilian red propolis down-regulate the expression of cancer
related target proteins: A pharmacogenomic analysis.
AB - Vestitol and neovestitol are bioactive isoflavonoids isolated from Brazilian red
propolis, a unique Apis melifera type of propolis botanically originated from
Dalbergia ecastophyllum. Although these molecules have relevant biological
effects, including anticancer and immunomodulatory activities, their mechanism(s)
of action and the affected pathways remain largely unknown. Here, we carried out
a pharmacogenomic analysis to investigate the effects of vestitol and neovestitol
on the whole-genome expression in human tumor cells, particularly cancer-related
target proteins. HeLa cells were exposed to the compounds at IC20 and genomic
information of treated cells was analyzed using the Illumina transcriptome system
and GeneGo MetaCore software. Our results showed that vestitol (IC20 = 214.7
MUM) reduced the expression of genes enrolled with the alpha tubulin (fold -3.7),
tubulin in microtubules (fold -3.7), and histone h3 (fold = -3.03), and that
treatment with neovestitol (IC20 = 102.91 MUM) downregulated prostaglandin E
synthase gene (fold = -3.12), which are considered ideal targets for anticancer
therapy. These data open avenues for the study of vestitol and neovestitol as
potential promising candidates for anticancer therapy. Toxicological, non
clinical, and clinical validation of the findings presented herein is needed.
PMID- 29377428
TI - Improved Performance of Printable Perovskite Solar Cells with Bifunctional
Conjugated Organic Molecule.
AB - A bifunctional conjugated organic molecule 4-(aminomethyl) benzoic acid
hydroiodide (AB) is designed and employed as an organic cation in organic
inorganic halide perovskite materials. Compared with the monofunctional cation
benzylamine hydroiodide (BA) and the nonconjugated bifunctional organic molecule
5-ammonium valeric acid, devices based on AB-MAPbI3 show a good stability and a
superior power conversion efficiency of 15.6% with a short-circuit current of
23.4 mA cm-2 , an open-circuit voltage of 0.94 V, and a fill factor of 0.71. The
bifunctional conjugated cation not only benefits the growth of perovskite
crystals in the mesoporous network, but also facilitates the charge transport.
This investigation helps explore new approaches to rational design of novel
organic cations for perovskite materials.
PMID- 29377429
TI - Obesity class does not further stratify outcome in overweight and obese pediatric
patients after heart transplantation.
AB - The effect of obesity stratification on pediatric heart transplant outcomes is
unknown. The UNOS database was queried for patients >=2-<18 years listed for
heart transplant and stratified by BMI: normal (BMI>5%-<=85 percentile),
overweight (BMI=86%-95 percentile), class 1 (BMI=100%-120% of 95 percentile),
class 2 (BMI=121%-140% of 95 percentile), and class 3 obesity (BMI>140% of 95
percentile). A total of 5056 individuals were listed for transplant, with 71%
normal, 13% overweight, 10% class 1, 4% class 2, and 2% class 3 obesity. Waitlist
survival was not different between groups. Post-transplant survival was decreased
in overweight and combined obese groups vs normal, with no further difference
between overweight and obese classes. Overweight and obese patients had higher
listing status and were more likely to have ventilator, inotrope, and mechanical
circulatory support at listing. After transplant, there was an association of
overweight-obese patients with diabetes and rejection requiring hospitalization.
Stricter definition of normal weight reveals overweight-obese status was an
independent risk factor for poorer post-transplant survival, without further
effect by stratification of weight class. However, because there is no difference
in waitlist survival, this study does not allow the selection of absolute weight
based criteria regarding transplant listing and suggests the need to look further
for modifiable risk factors post-transplant.
PMID- 29377430
TI - Preclinical characterization of three transient receptor potential vanilloid
receptor 1 antagonists for early use in human intradermal microdose analgesic
studies.
AB - BACKGROUND: The transient receptor potential vanilloid receptor 1 (TRPV1) is a
nonselective cation channel involved in the mediation of peripheral pain to the
central nervous system. As such, the TRPV1 is an accessible molecular target that
lends itself well to the understanding of nociceptive signalling. This study
encompasses preclinical investigations of three molecules with the prospect to
establish them as suitable analgesic model compounds in human intradermal pain
relief studies. METHODS: The inhibitory effectiveness was evaluated by means of
in vitro assays, TRPV1 expressing Chinese hamster ovary cells (CHO-K1) and rat
dorsal root ganglion cultures in fluorescent imaging plate reader and whole cell
patch clamp systems, as well as in vivo by capsaicin-evoked pain-related
behavioural response studies in rat. Secondary pharmacology, pharmacokinetics and
preclinical safety were also assessed. RESULTS: In vitro, all three compounds
were effective at inhibiting capsaicin-activated TRPV1. The concentration
producing 50% inhibition (IC50 ) determined was in the range of 3-32 nmol/L and
10-501 nmol/L using CHO-K1 and dorsal root ganglion cultures, respectively. In
vivo, all compounds showed dose-dependent reduction in capsaicin-evoked pain
related behavioural responses in rat. None of the three compounds displayed any
significant activity on any of the secondary targets tested. The compounds were
also shown to be safe from a toxicological, drug metabolism and pharmacokinetic
perspective, for usage in microgram doses in the human skin. CONCLUSION: The
investigated model compounds displayed ideal compound characteristics as
pharmacological and translational tools to address efficacy on the human native
TRPV1 target in human skin in situ. SIGNIFICANCE: This work details the
pharmaceutical work-up of three TRPV1-active investigational compounds, to obtain
regulatory approval, for subsequent use in humans. This fast and cost-effective
preclinical development path may impact research beyond the pain management area,
as it allows human target engagement information gathering early in drug
development.
PMID- 29377431
TI - Strategies for Improving the Performance of Sensors Based on Organic Field-Effect
Transistors.
AB - Organic semiconductors (OSCs) have been extensively studied as sensing channel
materials in field-effect transistors due to their unique charge transport
properties. Stimulation caused by its environmental conditions can readily change
the charge-carrier density and mobility of OSCs. Organic field-effect transistors
(OFETs) can act as both signal transducers and signal amplifiers, which greatly
simplifies the device structure. Over the past decades, various sensors based on
OFETs have been developed, including physical sensors, chemical sensors,
biosensors, and integrated sensor arrays with advanced functionalities. However,
the performance of OFET-based sensors still needs to be improved to meet the
requirements from various practical applications, such as high sensitivity, high
selectivity, and rapid response speed. Tailoring molecular structures and
micro/nanofilm structures of OSCs is a vital strategy for achieving better
sensing performance. Modification of the dielectric layer and the
semiconductor/dielectric interface is another approach for improving the sensor
performance. Moreover, advanced sensory functionalities have been achieved by
developing integrated device arrays. Here, a brief review of strategies used for
improving the performance of OFET sensors is presented, which is expected to
inspire and provide guidance for the design of future OFET sensors for various
specific and practical applications.
PMID- 29377432
TI - Accessing Tetravalent Transition-Metal Nitridophosphates through High-Pressure
Metathesis.
AB - Advancing the attainable composition space of a compound class can lead to
fascinating materials. The first tetravalent metal nitridophosphate, namely Hf9-x
P24 N52-4x O4x (x~1.84), was prepared by high-pressure metathesis. The Group 4
nitridophosphates are now an accessible class of compounds. The high-pressure
metathesis reaction using a multianvil setup yielded single crystals that were
suitable for structure analysis. Magnetic properties of the compound indicate Hf
in oxidation state +IV. Optical measurements show a band gap in the UV region.
The presented route unlocks the new class of Group 4 nitridophosphates by
significantly improving the understanding of this nitride chemistry. Hf9-x P24
N52-4x O4x (x~1.84) is a model system and its preparation is the first step
towards a systematic exploration of the transition-metal nitridophosphates.
PMID- 29377433
TI - Our digital world: Are monthly issues anachronistic?
PMID- 29377434
TI - A herbicide structure-activity analysis of the antimalarial lead compound
MMV007978 against Arabidopsis thaliana.
AB - BACKGROUND: To fight herbicide-resistant weeds, new herbicides are needed;
particularly ones with new modes of action. Building on the revelation that many
antimalarial drugs are herbicidal, here we focus on the Medicines for Malaria
Venture antimalarial lead compound MMV007978 that has herbicidal activity against
the model plant Arabidopsis thaliana. RESULTS: Twenty-two variations of the lead
compound thiophenyl motif revealed that change was tolerated provided ring size
and charge were retained. MMV007978 was active against select monocot and dicot
weeds, and physiological profiling indicated that its mode of action is related
to germination and cell division. Of interest is the fact that the compound has a
profile that is currently not found among known herbicides. CONCLUSION: We
demonstrate that the antimalarial compound MMV007978 is also herbicidal and that
exploiting lead compounds that are often understudied could lead to the
identification of interesting herbicidal scaffolds. Further structural
investigation of MMV007978 could provide improved herbicidal chemistries with a
potential new mode of action. (c) 2018 Society of Chemical Industry.
PMID- 29377435
TI - Breakdown in the temporal and spatial organization of spontaneous brain activity
during general anesthesia.
AB - Which temporal features that can characterize different brain states (i.e.,
consciousness or unconsciousness) is a fundamental question in the neuroscience
of consciousness. Using resting-state functional magnetic resonance imaging (rs
fMRI), we investigated the spatial patterns of two temporal features: the long
range temporal correlations (LRTCs), measured by power-law exponent (PLE), and
temporal variability, measured by standard deviation (SD) during wakefulness and
anesthetic-induced unconsciousness. We found that both PLE and SD showed global
reductions across the whole brain during anesthetic state comparing to
wakefulness. Importantly, the relationship between PLE and SD was altered in
anesthetic state, in terms of a spatial "decoupling." This decoupling was mainly
driven by a spatial pattern alteration of the PLE, rather than the SD, in the
anesthetic state. Our results suggest differential physiological grounds of PLE
and SD and highlight the functional importance of the topographical organization
of LRTCs in maintaining an optimal spatiotemporal configuration of the neural
dynamics during normal level of consciousness. The central role of the spatial
distribution of LRTCs, reflecting temporo-spatial nestedness, may support the
recently introduced temporo-spatial theory of consciousness (TTC).
PMID- 29377436
TI - Hepatitis E virus seroprevalence, seroincidence and seroreversion in the German
adult population.
AB - A steep rise in Hepatitis E diagnoses is currently being observed in Germany and
other European countries. The objective of this study was (i) to assess whether
this trend mirrors an increase in infection pressure or is caused by increased
attention and testing and (ii) estimate individual and population-based Hepatitis
E Virus (HEV) seroconversion and seroreversion rates for Germany. We measured
anti-HEV IgG prevalence in 10 407 adults participating in two linked, population
representative serosurveys (total n = 12 971) conducted in 1998 and 2010. In this
period, we found a moderate but statistically significant decline of overall anti
HEV IgG prevalence from 18.6% to 15.3%. At both time points, seroprevalence
increased with age and peaked in persons born between 1935 and 1959 suggesting a
past period of increased infection pressure. Paired samples of individuals
participating in 1998 and 2010 (n = 2564) revealed respective seroconversion and
seroreversion rates of 6.2% and 22.6% among seronegative and seropositive
individuals during 12 years, or 5.2 and 2.9 per 1000 inhabitants per year. This
corresponds to a total of 417 242 [95%CI: 344 363-495 971] new seroconversions
per year in the German population. While anti-HEV seroprevalence has decreased in
the last decade, infection pressure and seroincidence remains high in Germany.
Continuously rising numbers of Hepatitis E diagnoses in Europe are likely due to
an increased awareness of clinicians and indicate that still there is a gap
between incident and diagnosed cases. Studies on the true burden of the disease,
specific risk factors and sources of autochthonous infections as well as targeted
prevention measures are urgently needed.
PMID- 29377437
TI - Steatosis affects the sensitivity but not the specificity of non-invasive
fibrosis tests in non-alcoholic fatty liver disease - implications for screening
strategies.
PMID- 29377438
TI - Author response to LIVint-17-01304 "The consideration of heart rate complexity as
a co-morbidity factor for liver transplantation selection procedures".
PMID- 29377439
TI - Oral oxycodone/naloxone for pain control in cirrhosis: Start slow, go slow.
PMID- 29377440
TI - Clinical relevance of integrin alpha 4 in gastrointestinal stromal tumours.
AB - The molecular mechanisms for the dissemination and metastasis of gastrointestinal
stromal tumours (GIST) are incompletely understood. The purpose of the study was
to investigate the clinical relevance of integrin alpha 4 (ITGA4) expression in
GIST. GIST transcriptomes were first compared with transcriptomes of other types
of cancer and histologically normal gastrointestinal tract tissue in the
MediSapiens in silico database. ITGA4 was identified as an unusually highly
expressed gene in GIST. Therefore, the effects of ITGA4 knock-down and selective
integrin alpha 4 beta 1 (VLA-4) inhibitors on tumour cell proliferation and
invasion were investigated in three GIST cell lines. In addition, the prognostic
role of ITGA4 expression in cancer cells was investigated in a series of 147 GIST
patients with immunohistochemistry. Inhibition of ITGA4-related signalling
decreased GIST cell invasion in all investigated GIST cell lines. ITGA4 protein
was expressed in 62 (42.2%) of the 147 GISTs examined, and expression was
significantly associated with distant metastases during the course of the disease
and several adverse prognostic features. Patients whose GIST expressed strongly
ITGA4 had unfavourable GIST-specific survival and overall survival compared to
patients with low or no ITGA4 expression. Taken together, ITGA4 is an important
integrin in the molecular pathogenesis of GIST and may influence their clinical
behaviour.
PMID- 29377441
TI - Glycosyl-Substituted Dicarboxylates as Detergents for the Extraction,
Overstabilization, and Crystallization of Membrane Proteins.
AB - To tackle the problems associated with membrane protein (MP) instability in
detergent solutions, we designed a series of glycosyl-substituted dicarboxylate
detergents (DCODs) in which we optimized the polar head to clamp the membrane
domain by including, on one side, two carboxyl groups that form salt bridges with
basic residues abundant at the membrane-cytoplasm interface of MPs and, on the
other side, a sugar to form hydrogen bonds. Upon extraction, the DCODs 8 b, 8 c,
and 9 b preserved the ATPase function of BmrA, an ATP-binding cassette pump, much
more efficiently than reference or recently designed detergents. The DCODs 8 a, 8
b, 8 f, 9 a, and 9 b induced thermal shifts of 20 to 29 degrees C for BmrA and
of 13 to 21 degrees C for the native version of the G-protein-coupled adenosine
receptor A2A R. Compounds 8 f and 8 g improved the diffraction resolution of BmrA
crystals from 6 to 4 A. DCODs are therefore considered to be promising and
powerful tools for the structural biology of MPs.
PMID- 29377442
TI - Mechanisms of Dorsal Root Ganglion Stimulation in Pain Suppression: A
Computational Modeling Analysis.
AB - OBJECTIVE: The mechanisms of dorsal root ganglion (DRG) stimulation for chronic
pain remain unclear. The objective of this work was to explore the
neurophysiological effects of DRG stimulation using computational modeling.
METHODS: Electrical fields produced during DRG stimulation were calculated with
finite element models, and were coupled to a validated biophysical model of a C
type primary sensory neuron. Intrinsic neuronal activity was introduced as a 4 Hz
afferent signal or somatic ectopic firing. The transmembrane potential was
measured along the neuron to determine the effect of stimulation on intrinsic
activity across stimulation parameters, cell location/orientation, and membrane
properties. RESULTS: The model was validated by showing close correspondence in
action potential (AP) characteristics and firing patterns when compared to
experimental measurements. Subsequently, the model output demonstrated that T
junction filtering was amplified with DRG stimulation, thereby blocking afferent
signaling, with cathodic stimulation at amplitudes of 2.8-5.5 * stimulation
threshold and frequencies above 2 Hz. This amplified filtering was dependent on
the presence of calcium and calcium-dependent small-conductance potassium
channels, which produced a hyperpolarization offset in the soma, stem, and T
junction with repeated somatic APs during stimulation. Additionally, DRG
stimulation suppressed somatic ectopic activity by hyperpolarizing the soma with
cathodic or anodic stimulation at amplitudes of 3-11 * threshold and frequencies
above 2 Hz. These effects were dependent on the stem axon being relatively close
to and oriented toward a stimulating contact. CONCLUSIONS: These results align
with the working hypotheses on the mechanisms of DRG stimulation, and indicate
the importance of stimulation amplitude, polarity, and cell location/orientation
on neuronal responses.
PMID- 29377443
TI - Salvinorin A ameliorates cerebral vasospasm through activation of endothelial
nitric oxide synthase in a rat model of subarachnoid hemorrhage.
AB - OBJECTIVE: This study aimed to demonstrate the potential of salvinorin A (SA) for
cerebral vasospasm after subarachnoid hemorrhage (SAH) and investigate mechanisms
of therapeutic effect using rat SAH model. METHODS: Salvinorin A was injected
intraperitoneally, and the neurobehavioral changes were observed at 12 hours, 24
hours, 48 hours, and 72 hours after SAH. Basilar artery was observed by magnetic
resonance imaging (MRI). The inner diameter and thickness of basilar artery were
measured. The morphological changes and the apoptosis in CA1 area of hippocampus
were detected. Endothelin-1 (ET-1) and nitric oxide (NO) levels were detected by
ELISA kit. The protein expression of endothelial NO synthase (eNOS) and aquaporin
4 (AQP-4) was determined by Western blot for potential mechanism exploration.
RESULTS: Salvinorin A administration could relieve neurological deficits,
decrease the neuronal apoptosis, and alleviate the morphological changes in CA1
area of hippocampus. SA alleviated CVS by increasing diameter and decreasing
thickness of basilar artery, and such changes were accompanied by the decreased
concentration of ET-1 and increased level of NO. Meanwhile, SA increased the
expression of eNOS and decreased the expression of AQP-4 protein in the basilar
artery and hippocampus. CONCLUSIONS: Salvinorin A attenuated CVS and alleviated
brain injury after SAH via increasing expression of eNOS and NO content, and
decreasing ET-1 concentration and AQP-4 protein expression.
PMID- 29377444
TI - An Induced Pluripotent Stem Cell Patient Specific Model of Complement Factor H
(Y402H) Polymorphism Displays Characteristic Features of Age-Related Macular
Degeneration and Indicates a Beneficial Role for UV Light Exposure.
PMID- 29377446
TI - Association between periodontitis and chronic kidney disease: Systematic review
and meta-analysis.
AB - A systematic review and meta-analysis were conducted to evaluate the association
between periodontitis (PD) and chronic kidney disease (CKD) and to explore the
potential influence of periodontal treatment in patients with CKD. Databases
(PubMed, Web of Science, Science direct, Cochrane Database) were screened for
relevant articles, focusing on the periodontal status of patients with CKD,
published until December 2017. Five hundred and fifty-three articles were
identified, and 37 fulfilled the inclusion criteria and were considered in this
systematic review. Seventeen articles were included in the meta-analysis and 7 in
the review focusing on the impact of periodontal treatment. Most of the
identified studies indicated an increased incidence of PD in patients with CKD.
Meta-analysis showed an association between CKD and PD, and strength of this
association was increased when severe PD was considered (OR = 2.39 (1.70-3.36)).
The association could be observed even after adjustment for major CKD risk
factors or use of precise diagnosis criteria (OR = 2.26 for severe PD (1.69
3.01)). Analysis of cohort studies indicated an incident rate ratio (IRR) of
1.73. Periodontitis is associated with CKD after multivariable adjustment.
Further studies are necessary to determine whether prevention or treatment of PD
can reduce the incidence and/or severity of CKD.
PMID- 29377445
TI - Grapevine VpPR10.1 functions in resistance to Plasmopara viticola through
triggering a cell death-like defence response by interacting with VpVDAC3.
AB - As one of the most serious diseases in grape, downy mildew caused by Plasmopara
viticola is a worldwide grape disease. Much effort has been focused on improving
susceptible grapevine resistance, and wild resistant grapevine species are
important for germplasm improvement of commercial cultivars. Using yeast two
hybrid screen followed by a series of immunoprecipitation experiments, we
identified voltage-dependent anion channel 3 (VDAC3) protein from Vitis piasezkii
'Liuba-8' as an interacting partner of VpPR10.1 cloned from Vitis
pseudoreticulata 'Baihe-35-1', which is an important germplasm for its resistance
to a range of pathogens. Co-expression of VpPR10.1/VpVDAC3 induced cell death in
Nicotiana benthamiana, which accompanied by ROS accumulation. VpPR10.1 transgenic
grapevine line showed resistance to P. viticola. We conclude that the
VpPR10.1/VpVDAC3 complex is responsible for cell death-mediated defence response
to P. viticola in grapevine.
PMID- 29377447
TI - Baseline susceptibility of Mediterranean strains of Trialeurodes vaporariorum
(Westwood) to cyantraniliprole.
AB - BACKGROUND: Cyantraniliprole is a novel anthranilic diamide insecticide that acts
on a broad spectrum of insect pests, exclusively by activating their ryanodine
receptors. Cyantraniliprole is very effective against whitefly and it presents a
favorable ecotoxicological profile. In this study, the baseline susceptibility to
cyantraniliprole of Trialeurodes vaporariorum populations from the Mediterranean
area was established in nymphal systemic uptake bioassays. RESULTS: The bioassay
data showed that the susceptibility to cyantraniliprole varied among the strains
collected across the Mediterranean basin. The 50% lethal concentration (LC50 )
range of cyantraniliprole for 16 field populations was from 0.017 to 0.194 mg L-1
, a 11.4-fold natural variability between the least and most sensitive
populations. These LC50 values are similar to those reported in a previous study
of the use of cyantraniliprol against another species of whitefly, Bemisia tabaci
[LC50 = 0.048 (0.034-0.063) mg L-1 ]. CONCLUSION: The current study confirmed
the effectiveness of cyantraniliprole against T. vaporarioum strains, adding to
the evidence that cyantraniliprole is a promising tool for use in integrated pest
management programs. Future shifts in the susceptibility of whitefly field
populations to cyantraniliprole may be documented according to the baseline
susceptibility range of the populations tested in this research. (c) 2018 Society
of Chemical Industry.
PMID- 29377448
TI - Incidence of intracardiac thrombus formation prior to electrical cardioversion in
respect to the mode of oral anticoagulation.
AB - AIMS: To evaluate the incidence of newly diagnosed intracardiac thrombi (ICT) in
respect to the mode of OAC in patients undergoing cardioversion (CV). METHODS AND
RESULTS: We prospectively assessed transesophageal echocardiography (TEE) and OAC
therapy prior to CV in AF patients with >=48-hour duration scheduled for CV. A
total of 60 first-time ICT (4.7%) were diagnosed in 1,286 TEE, with highest rate
in patients without OAC (9.6% vs. OAC 4.1%, P = 0.009) and an apparently lower
rate in nonvitamin K antagonist anticoagulants (NOAC) therapy compared to vitamin
K antagonist (VKA) (2.5% vs. 5.3%, P = 0.02). VKA therapy control 4 weeks prior
to CV was overall average (time in therapeutic range 60%) and patients showed
more frequently clinical characteristics and TEE parameters associated with risk
for ICT. Even among patients with effective OAC therapy (uninterrupted NOAC and
VKA therapy with international normalized ratio (INR) >=2.0 for 3 weeks), ICT
occurred in 2.7%, but with no difference between both groups (P = 0.22). There
was no difference between different types of NOAC. Independent predictors for ICT
were history of embolism, hypertension, BMI, absence of OAC, renal function,
reduced atrial appendage flow, and presence of spontaneous echo contrast.
CONCLUSION: NOAC therapy seems favorable in the overall prevention of ICT,
although this is likely to be caused by suboptimal VKA therapy control and
differences in the overall health status between VKA and NOAC patients. ICT
occurred even with effective OAC therapy suggesting individual TEE-guided
cardioversion in patients at risk.
PMID- 29377449
TI - In the grass species Brachypodium distachyon, the production of mixed-linkage
(1,3;1,4)-beta-glucan (MLG) occurs in the Golgi apparatus.
AB - Mixed-linkage (1,3;1,4)-beta-glucan (MLG) is a glucose polymer with beneficial
effects on human health and high potential for the agricultural industry. MLG is
present predominantly in the cell wall of grasses and is synthesized by cellulose
synthase-like F or H families of proteins, with CSLF6 being the best
characterized MLG synthase. Although the function of this enzyme in MLG
production has been established, the site of MLG synthesis in the cell is
debated. It has been proposed that MLG is synthesized at the plasma membrane, as
occurs for cellulose and callose; in contrast, it has also been proposed that MLG
is synthesized in the Golgi apparatus, as occurs for other matrix polysaccharides
of the cell wall. Testing these conflicting possibilities is fundamentally
important in the general understanding of the biosynthesis of the plant cell
wall. Using immuno-localization analyses with MLG-specific antibody in
Brachypodium and in barley, we found MLG present in the Golgi, in post-Golgi
structures and in the cell wall. Accordingly, analyses of a functional
fluorescent protein fusion of CSLF6 stably expressed in Brachypodium demonstrated
that the enzyme is localized in the Golgi. We also established that
overproduction of MLG causes developmental and growth defects in Brachypodium as
also occur in barley. Our results indicated that MLG production occurs in the
Golgi similarly to other cell wall matrix polysaccharides, and supports the
broadly applicable model in grasses that tight mechanisms control optimal MLG
accumulation in the cell wall during development and growth. This work addresses
the fundamental question of where mixed linkage (1,3;1,4)-beta-glucan (MLG) is
synthesized in plant cells. By analyzing the subcellular localization of MLG and
MLG synthase in an endogenous system, we demonstrated that MLG synthesis occurs
at the Golgi in Brachypodium and barley. A growth inhibition due to overproduced
MLG in Brachypodium supports the general applicability of the model that a tight
control of the cell wall polysaccharides accumulation is needed to maintain
growth homeostasis during development.
PMID- 29377450
TI - The absence of serum IgE antibodies indicates non-type 2 disease in young
asthmatics.
AB - BACKGROUND: Atopic asthma is associated with elevated type-2 biomarkers such as
fraction of exhaled nitric oxide (FeNO) and blood eosinophil (B-Eos) count.
However, increased type 2 markers have also been reported in traditionally
defined non-atopic asthma. OBJECTIVE: To determine a clinically useful level of
IgE sensitization for ruling out type 2 asthma. METHODS: Asthmatics (N = 408; age
10-35 years) were analysed using the multi-allergen tests Phadiatop and fx5
(ImmunoCAP). Subjects were grouped based on IgE-antibody concentrations: >=0.35
kUA /L for at least one test (n = 326) or <0.35 kUA /L for both tests (n = 82).
Tauhe latter group was subsequently divided into 2 groups: IgE 0.10-0.34 kUA /L
(n = 34) and IgE < 0.10 kUA /L (n = 48). The relationships between type 2
biomarkers, and inadequate asthma control (ACT < 20), reduced lung function (FEV1
< 80%), recent asthma attacks and airway hyperresponsiveness (AHR) to
methacholine were determined. RESULTS: In univariate analyses, at least one type
2 marker related to each asthma outcome in subjects with IgE >=0.35 kUA /L. In
subjects with IgE 0.10-0.34 kUA /L, elevated FeNO related to reduced lung
function (P = .008) and B-Eos to AHR (P = .03). No associations were found in
subjects with IgE < 0.10 kUA /L. In multivariate analysis, a relationship between
FeNO and reduced lung function remained in subjects with IgE < 0.35 kUA /L (P =
.03). CONCLUSION AND CLINICAL RELEVANCE: Clinically relevant elevation of type 2
biomarkers was seen in young asthmatics with IgE antibodies <0.35 kUA /L, but not
those with IgE < 0.10 kUA /L. It seems possible to define non-type 2 asthma
through sensitive IgE-antibody measurement.
PMID- 29377451
TI - Alternative splicing associated with phenotypic plasticity in the bumble bee
Bombus terrestris.
AB - Phenotypic plasticity is when one genome can produce more than one phenotype. The
caste system found in many social insects is an important example of plasticity.
Several studies have examined gene expression in social insect developmental and
caste differences. Changes in gene expression, however, are not the only source
of phenotypic plasticity. Here, we investigate the role of alternative splicing
in the buff-tailed bumble bee Bombus terrestris. We found that 5,458 genes in B.
terrestris (40%) express more than one isoform. Larvae have the lowest level of
splicing events, followed by adults and then pupae. We found that when an isoform
is expressed in a given caste in the larval stage, it tends to be expressed in
all castes at the larval stage. The same is true at the pupal stage. However, we
see more complicated interactions between the adult castes with reproductive
females showing different isoform expression compared to nonreproductive females
and male adults showing the most distinct patterns. We found 455 isoform
switching genes, that is genes, where one developmental stage, sex or caste uses
a specific isoform and another type uses a different isoform. Among genes
displaying isoform switching are some involved in the ecdysteriod pathway, an
important system in insect behaviour.
PMID- 29377452
TI - Papilla-Crown Height Dimensions around Zirconium Dioxide Implants in the Esthetic
Area: A 3-Year Follow-Up Study.
AB - PURPOSE: Soft tissue interactions with ceramic dental implants have previously
been shown to have favorable esthetic outcomes. This study aimed to evaluate the
papilla-crown proportion around zirconia implants in a 3-year follow-up study and
the correlation between the gingival biotype and changes in papillary height.
MATERIALS AND METHODS: This was a prospective study of 39 patients with 40 single
gap implants (Straumann PURE Ceramic ZLA Implant). The papilla-crown proportion
was assessed after 3 months, 1 year, and 3 years. In addition, correlations
between the peri-implant biotypes and changes in papillary heights were
evaluated. RESULTS: The papilla-crown proportion improved from 35.5% after 3
months to 41.7% after 3 years. The gingival biotype was correlated very weakly to
papilla height alterations. Significant papillary fill was observed in the
interdental space between 3 months and 3 years (p < 0.001). CONCLUSIONS: An ideal
papilla-crown proportion of 40% around single implants was observed after 3
years. A thin or thick gingival biotype showed a very weak correlation with soft
tissue alterations.
PMID- 29377453
TI - Reciprocal associations of pain and post-traumatic stress symptoms after whiplash
injury: A longitudinal, cross-lagged study.
AB - BACKGROUND: The objectives of the current study were to investigate (1) the
longitudinal, reciprocal associations between pain and post-traumatic stress
symptoms as proposed by the mutual maintenance model, and (2) to assess the
predictive value of the three clusters of post-traumatic stress, where the model
revealed that post-traumatic stress symptoms maintained pain in a consecutive
cohort of whiplash-injured. METHODS: Participants (n = 253; 66.4% women) were
people with WAD grades I-III following motor vehicle crashes in Australia. Pain
and post-traumatic stress symptoms were assessed by questionnaires over the
course of a year (at baseline (<4 weeks), 3, 6 and 12 months post-injury). The
objectives were tested using auto-regressive cross-lagged modelling and two
additional structural equation models. RESULTS: The analyses revealed that post
traumatic stress symptoms at baseline predicted an increase in pain between
baseline and 3 months and that post-traumatic stress symptoms at 6 months
predicted an increase in pain between 6 and 12 months, beyond the stability of
pain over time. Furthermore, hyperarousal at baseline significantly predicted
pain at 3 months and hyperarousal at 6 months significantly predicted pain at 12
months with 16 and 23% explained variance, respectively. [Correction added on 2
March 2018 after first online publication: the explained variance for
hyperarousal symptoms at 6 months was previously given incorrectly and has been
corrected to 23% in this version.] CONCLUSIONS: The results point to a temporal
main effect of post-traumatic stress symptoms on pain over and above the
stability of pain itself within the first 3 months post-injury and again in the
chronic phase from 6 to 12 months with hyperarousal symptoms driving these
effects. From 3 to 6 months, there was a slip in the maintenance patterns with no
cross-lagged effects. SIGNIFICANCE: Investigating mutual maintenance of pain and
PTSS in whiplash, the present study found evidence suggesting a maintaining
effect of PTSS on pain within the first 3 months post-injury and from 6 to 12
months driven by hyperarousal, highlighting the importance of addressing PTSS.
PMID- 29377454
TI - Mono-allyloxylated Cucurbit[7]uril Acts as an Unconventional Amphiphile To Form
Light-Responsive Vesicles.
AB - Serendipitously, mono-allyloxylated cucurbit[7]uril (AO1 CB[7]) was discovered to
act as an unconventional amphiphile which self-assembles into light-responsive
vesicles (AO1 CB[7]VC) in water. Although the mono-allyloxy group, directly
tethered on the periphery of CB[7], is much shorter (C4) than the hydrophobic
tails of conventional amphiphiles, it played an important role in vesicle
formation. Light-activated transformation of the allyloxy group by conjugation
with glutathione was exploited as a remote tool to disrupt the vesicle. The
vesicle showed on-demand release of cargo upon irradiation by a laser, after they
were internalized into cancer cells. This result demonstrated the potential of
AO1 CB[7]VC as a new type of light-responsive intracellular delivery vehicle for
the release of therapeutic cargo, within cells, on demand.
PMID- 29377455
TI - LysoTracker and MitoTracker Red are transport substrates of P-glycoprotein:
implications for anticancer drug design evading multidrug resistance.
AB - LysoTracker and MitoTracker Red are fluorescent probes widely used for viable
cell staining of lysosomes and mitochondria, respectively. They are utilized to
study organelle localization and their resident proteins, assess organelle
functionality and quantification of organelle numbers. The ATP-driven efflux
transporter P-glycoprotein (P-gp) is expressed in normal and malignant tissues
and extrudes structurally distinct endogenous and exogenous cytotoxic compounds.
Thus, once aromatic hydrophobic compounds such as the above-mentioned fluorescent
probes are recognized as transport substrates, efflux pumps including P-gp may
abolish their ability to reach their cellular target organelles. Herein, we show
that LysoTracker and MitoTracker Red are expelled from P-gp-overexpressing cancer
cells, thus hindering their ability to fluorescently mark target organelles. We
further demonstrate that tariquidar, a potent P-gp transport inhibitor, restores
LysoTracker and MitoTracker Red cell entry. We conclude that LysoTracker and
MitoTracker Red are P-gp transport substrates, and therefore, P-gp expression
must be taken into consideration prior to cellular applications using these
probes. Importantly, as MitoTracker was a superior P-gp substrate than
LysoTracker Red, we discuss the implications for the future design of
chemotherapeutics evading cancer multidrug resistance. Furthermore, restoration
of MitoTracker Red fluorescence in P-gp-overexpressing cells may facilitate the
identification of potent P-gp transport inhibitors (i.e. chemosensitizers).
PMID- 29377456
TI - DNA Origami Directed Assembly of Gold Bowtie Nanoantennas for Single-Molecule
Surface-Enhanced Raman Scattering.
AB - Metallic bowtie nanoarchitectures can produce dramatic electric field
enhancement, which is advantageous in single-molecule analysis and optical
information processing. Plasmonic bowtie nanostructures were successfully
constructed using a DNA origami-based bottom-up assembly strategy, which enables
precise control over the geometrical configuration of the bowtie with an
approximate 5 nm gap. A single Raman probe was accurately positioned at the gap
of the bowtie. Single-molecule surface-enhanced Raman scattering (SM-SERS) of
individual nanostructures, including ones containing an alkyne group, was
observed. The design achieved repeatable local field enhancement of several
orders of magnitude. This method opens the door on a novel strategy for the
fabrication of metal bowtie structures and SM-SERS, which can be utilized in the
design of highly-sensitive photonic devices.
PMID- 29377457
TI - Incorporation of Non-canonical Amino Acids into 2,5-Diketopiperazines by
Cyclodipeptide Synthases.
AB - The manipulation of natural product biosynthetic pathways is a powerful means of
expanding the chemical diversity of bioactive molecules. 2,5-diketopiperazines
(2,5-DKPs) have been widely developed by medicinal chemists, but their biological
production is yet to be exploited. We introduce an in vivo method for
incorporating non-canonical amino acids (ncAAs) into 2,5-DKPs using
cyclodipeptide synthases (CDPSs), the enzymes responsible for scaffold assembly
in many 2,5-DKP biosynthetic pathways. CDPSs use aminoacyl-tRNAs as substrates.
We exploited the natural ability of aminoacyl-tRNA synthetases to load ncAAs onto
tRNAs. We found 26 ncAAs to be usable as substrates by CDPSs, leading to the
enzymatic production of approximately 200 non-canonical cyclodipeptides. CDPSs
constitute an efficient enzymatic tool for the synthesis of highly diverse 2,5
DKPs. Such diversity could be further expanded, for example, by using various
cyclodipeptide-tailoring enzymes found in 2,5-DKP biosynthetic pathways.
PMID- 29377458
TI - Maternal thyroid hormone is required for parvalbumin neurone development in the
anterior hypothalamic area.
AB - Thyroid hormone (TH) is crucial for brain development and function. This becomes
most evident in untreated congenital hypothyroidism, leading to irreversible
mental retardation. Likewise, maternal hypothyroxinaemia, a lack of TH during
pregnancy, is associated with neurological dysfunction in the offspring, such as
autism and reduced intellectual capacity. In the brain, TH acts mainly through TH
receptor alpha1 (TRalpha1). Consequently, mice heterozygous for a dominant
negative mutation in TRalpha1 display profound neuroanatomical abnormalities
including deranged development of parvalbumin neurones. However, the exact timing
and orchestration of TH signalling during parvalbumin neurone development remains
elusive. In the present study, we dissect the development of parvalbumin neurones
in the anterior hypothalamic area (AHA) in male mice using different mouse models
with impaired pre- and postnatal TH signalling in combination with
bromodeoxyuridine birth dating and immunohistochemistry. Our data reveal that
hypothalamic parvalbumin neurones are born at embryonic day 12 and are first
detected in the AHA at postnatal day 8, reaching their full population number at
P13. Interestingly, they do not require TH postnatally because their development
is not impaired in mice with impaired TH signalling after birth. By contrast,
however, these neurones crucially depend on TH through TRalpha1 signalling in the
second half of pregnancy, when the hormone is almost exclusively provided by the
mother. For the first time, our findings directly link a maternal hormone to a
neuroanatomical substrate in the foetal brain, and underline the importance of
proper TH signalling during pregnancy for offspring mental health. Given the role
of hypothalamic parvalbumin neurones in the central control of blood pressure,
the present study advocates the inclusion of cardiovascular parameters in the
current discussion on possible TH substitution in maternal hypothyroxinaemia.
PMID- 29377459
TI - Sb Incorporation in Wurtzite and Zinc Blende InAs1-x Sbx Branches on InAs
Template Nanowires.
AB - The physical properties of material largely depend on their crystal structure.
Nanowire growth is an important method for attaining metastable crystal
structures in III-V semiconductors, giving access to advantageous electronic and
surface properties. Antimonides are an exception, as growing metastable wurtzite
structure has proven to be challenging. As a result, the properties of these
materials remain unknown. One promising means of accessing wurtzite antimonides
is to use a wurtzite template to facilitate their growth. Here, a template
technique using branched nanowire growth for realizing wurtzite antimonide
material is demonstrated. On wurtzite InAs trunks, InAs1-x Sbx branch nanowires
at different Sb vapor phase compositions are grown. For comparison, branches on
zinc blende nanowire trunks are also grown under identical conditions. Studying
the crystal structure and the material composition of the grown branches at
different xv shows that the Sb incorporation is higher in zinc blende than in
wurtzite. Branches grown on wurtzite trunks are usually correlated with stacking
defects in the trunk, leading to the emergence of a zinc blende segment of higher
Sb content growing parallel to the wurtzite structure within a branch. However,
the average amount of Sb incorporated within the branch is determined by the
vapor phase composition.
PMID- 29377460
TI - Efficient CO2 Removal for Ultra-Pure CO Production by Two Hybrid Ultramicroporous
Materials.
AB - Removal of CO2 from CO gas mixtures is a necessary but challenging step during
production of ultra-pure CO as processed from either steam reforming of
hydrocarbons or CO2 reduction. Herein, two hybrid ultramicroporous materials
(HUMs), SIFSIX-3-Ni and TIFSIX-2-Cu-i, which are known to exhibit strong affinity
for CO2 , were examined with respect to their performance for this separation.
The single-gas CO sorption isotherms of these HUMs were measured for the first
time and are indicative of weak affinity for CO and benchmark CO2 /CO selectivity
(>4000 for SIFSIX-3-Ni). This prompted us to conduct dynamic breakthrough
experiments and compare performance with other porous materials. Ultra-pure CO
(99.99 %) was thereby obtained from CO gas mixtures containing both trace (1 %)
and bulk (50 %) levels of CO2 in a one-step physisorption-based separation
process.
PMID- 29377461
TI - Grazing enhances belowground carbon allocation, microbial biomass, and soil
carbon in a subtropical grassland.
AB - Despite the large contribution of rangeland and pasture to global soil organic
carbon (SOC) stocks, there is considerable uncertainty about the impact of large
herbivore grazing on SOC, especially for understudied subtropical grazing lands.
It is well known that root system inputs are the source of most grassland SOC,
but the impact of grazing on partitioning of carbon allocation to root tissue
production compared to fine root exudation is unclear. Given that different forms
of root C have differing implications for SOC synthesis and decomposition, this
represents a significant gap in knowledge. Root exudates should contribute to SOC
primarily after microbial assimilation, and thus promote microbial contributions
to SOC based on stabilization of microbial necromass, whereas root litter
deposition contributes directly as plant-derived SOC following microbial
decomposition. Here, we used in situ isotope pulse-chase methodology paired with
plant and soil sampling to link plant carbon allocation patterns with SOC pools
in replicated long-term grazing exclosures in subtropical pasture in Florida,
USA. We quantified allocation of carbon to root tissue and measured root
exudation across grazed and ungrazed plots and quantified lignin phenols to
assess the relative contribution of microbial vs. plant products to total SOC. We
found that grazing exclusion was associated with dramatically less overall
belowground allocation, with lower root biomass, fine root exudates, and
microbial biomass. Concurrently, grazed pasture contained greater total SOC, and
a larger fraction of SOC that originated from plant tissue deposition, suggesting
that higher root litter deposition under grazing promotes greater SOC. We
conclude that grazing effects on SOC depend on root system biomass, a pattern
that may generalize to other C4-dominated grasslands, especially in the
subtropics. Improved understanding of ecological factors underlying root system
biomass may be the key to forecasting SOC and optimizing grazing management to
enhance SOC accumulation.
PMID- 29377462
TI - Improvement of hepatic fibrosis and patient-reported outcomes in non-alcoholic
steatohepatitis treated with selonsertib.
AB - BACKGROUND: Patient-reported outcomes (PROs) represent patients' perspective
about their well-being. AIM: To assess PRO changes in patients with non-alcoholic
steatohepatitis (NASH) after treatment with selonsertib (SEL) and to associate
them with different biomarkers. METHODS: Patients with NASH and stage 2-3
fibrosis received SEL 6 mg or 18 mg orally QD alone or in combination with
simtuzumab (SIM, 125 mg SC weekly) or SIM alone for 24 weeks. Biopsies were
obtained at baseline and at treatment week 24. PROs were assessed using SF-36,
CLDQ and WPAI:SHP. RESULTS: Seventy-two patients with NASH were included (54 +/-
10 years, 31% male, 65% stage 3, 71% diabetes). Baseline physical health-related
PRO scores were significantly lower than population norms (P < .05). During
treatment, there were no consistent differences in treatment-emergent PRO changes
between different regimens (P > .05). However, NASH subjects who experienced >=2
decrease in NAFLD Activity Score or >=1-stage reduction in fibrosis showed
significant improvements in their PROs (up to +15.5% of a PRO range size, P <
.05). Additionally, improvements in PROs (up to +21.5%, P < .05) were noted in
patients with at least 50% relative reduction in collagen, while NASH subjects
with >17% increase in their collagen experienced PRO worsening (up to -13.9%, P <
.05). Baseline serum CK-18, IL-6 and CRP significantly correlated with PROs (rho
from -0.24 to -0.38, P < .05). CONCLUSIONS: A decrease in hepatic collagen is the
most prominently associated with improvement of PROs in NASH patients with F2-F3
treated with SEL. Furthermore, serum cytokines are associated with baseline PROs
and with treatment-emergent changes in PROs in patients with NASH.
PMID- 29377463
TI - Physical exosome:exosome interactions.
AB - Exosomes are extracellular nanovesicles that mediate a number of cellular
processes, including intracellular signalling. There are many published examples
of exosome-exosome dimers; however, their relevance has not been explored. Here,
we propose that cells release exosomes to physically interact with incoming
exosomes, forming dimers that we hypothesize attenuate incoming exosome-mediated
signalling. We discuss experiments to test this hypothesis and potential
relevance in health and disease.
PMID- 29377464
TI - Efficacy of generic oral directly acting agents in patients with hepatitis C
virus infection.
AB - Novel direct-acting antivirals (DAAs) are now the standard of care for the
management of hepatitis C virus (HCV) infection. Branded DAAs are associated with
high sustained virological response at 12 weeks post-completion of therapy
(SVR12), but are costly. We aimed to assess the efficacy of generic oral DAAs in
a real-life clinical scenario. Consecutive patients with known HCV infection who
were treated with generic-oral DAA regimens (May 2015 to January 2017) were
included. Demographic details, prior therapy and SVR12 were documented. Four
hundred and ninety patients (mean age: 38.9 +/- 12.7 years) were treated with
generic DAAs in the study time period. Their clinical presentations included
chronic hepatitis (CHC) in 339 (69.2%) of cases, compensated cirrhosis in 120
(24.48%) cases and decompensated cirrhosis in 31 (6.32%) cases. Genotype 3 was
most common (n = 372, 75.9%) followed by genotype 1 (n = 97, 19.8%). Treatment
naive and treatment-experienced (defined as having previous treatment with
peginterferon and ribavirin) were 432 (88.2%) and 58 (11.8%), respectively.
Generic DAA treatment regimens included sofosbuvir in combination with ribavirin
(n = 175), daclatasvir alone (n = 149), ribavirin and peginterferon (n = 80),
ledipasvir alone (n = 43), daclatasvir and ribavirin (n = 37), and ledipasvir and
ribavirin (n = 6). Overall SVR12 was 95.9% (470/490) for all treatment regimens.
SVR12 for treatment naive and experienced patients was 97.0% (419/432) and 87.9%
(51/58), respectively, P = .005. High SVR12 was observed with various regimens,
irrespective of genotype and underlying liver disease status. There were no
differences in SVR12 with 12 or 24 weeks therapy. No major adverse event occurred
requiring treatment stoppage. Generic oral DAAs are associated with high SVR
rates in patients with HCV infection in a real-life clinical scenario.
PMID- 29377465
TI - Impact of routine surveillance biopsy intensity on the diagnosis of moderate to
severe cellular rejection and survival after pediatric heart transplantation.
AB - Data are lacking on RSB intensity and outcomes after pediatric heart
transplantation. PHTS centers received a survey on RSB practices from 2005 to
present. PHTS data were obtained for 2010-2013 and integrated with center-matched
survey responses for analysis. Survey response rate was 82.6% (38/46). Centers
were classified as low-, moderate-, and high-intensity programs based on RSB
frequency (0-more than 8 RSB/y). RSB intensity decreased with increasing time
from HT. Age at HT impacted RSB intensity mostly in year 1, with little to no
impact in later years. Most centers have not replaced RSB with non-invasive
methods, but many added ECHO and biomarker monitoring. Higher RSB intensity was
not associated with decreased 4-year mortality (P=.63) or earlier detection of
moderate to severe (ISHLT grade 2R/3R) cellular rejection (RSBMSR) in the first
year (P=.87). First-year RSBMSR incidence did not differ with intensity or age at
HT. Significant variability exists in RSB intensity, but with no impact on timing
and incidence of RSBMSR or 4-year mortality. Reduction in RSB frequency may be
safe in certain patients after pediatric HT.
PMID- 29377466
TI - Encapsulation of Crabtree's Catalyst in Sulfonated MIL-101(Cr): Enhancement of
Stability and Selectivity between Competing Reaction Pathways by the MOF Chemical
Microenvironment.
AB - Crabtree's catalyst was encapsulated inside the pores of the sulfonated MIL
101(Cr) metal-organic framework (MOF) by cation exchange. This hybrid catalyst is
active for the heterogeneous hydrogenation of non-functionalized alkenes either
in solution or in the gas phase. Moreover, encapsulation inside a well-defined
hydrophilic microenvironment enhances catalyst stability and selectivity to
hydrogenation over isomerization for substrates bearing ligating functionalities.
Accordingly, the encapsulated catalyst significantly outperforms its homogeneous
counterpart in the hydrogenation of olefinic alcohols in terms of overall
conversion and selectivity, with the chemical microenvironment of the MOF host
favouring one out of two competing reaction pathways.
PMID- 29377468
TI - A qualitative analysis of patient-identified adaptive behaviour changes following
interdisciplinary Acceptance and Commitment Therapy for chronic pain.
AB - BACKGROUND: Interdisciplinary treatment programmes for chronic pain have strong
evidence of treatment effect both immediately after treatment and at follow-up.
However, despite strong outcome evidence, it is less clear which specific changes
in behaviour are most relevant to patients or to outcomes. Indeed, it is not
unknown for clinicians and patients to have different views with regard to goals
of treatment. This study sought to evaluate the patients' perspective regarding
important behavioural changes that occurred while they were enrolled in a 4-week
interdisciplinary programme of Acceptance and Commitment Therapy (ACT) for
chronic pain. METHODS: Qualitative data were collected during a treatment session
towards the end of treatment. In total, 104 completers from 16 consecutive
treatment groups contributed to a data set consisting of 315 unique qualitative
comments. RESULTS: Thematic analysis resulted in a theme hierarchy including
overarching themes, midlevel themes and subthemes. Three overarching themes were
identified as follows: (1) interacting with self - describing an interplay
between various aspects of the individual, (2) activity - concerning how
individuals practically and sustainably undertook activities and (3) interacting
with others - exploring relationships with other people. The results section
further describes the midlevel and subthemes that cluster under the overarching
themes. CONCLUSIONS: These data provide initial insights into the patient's
perspective of adaptive behavioural changes gained as part of an
interdisciplinary programme of chronic pain rehabilitation. Overall, the data
suggest the importance of a mix of both ACT-specific and more universal
coping/pain rehabilitation elements. Future research may examine how these
processes relate more directly to treatment outcome. SIGNIFICANCE: This study
provides new qualitative insights into the patient's perspective of adaptive
behavioural changes gained as part of interdisciplinary pain rehabilitation. This
and future work may help provide a more detailed understanding of the processes
and behaviours that result in successful rehabilitation outcomes.
PMID- 29377467
TI - WRKY1 acts as a key component improving resistance against Alternaria solani in
wild tomato, Solanum arcanum Peralta.
AB - Early blight (EB), caused by Alternaria solani, is a major threat to global
tomato production. In comparison with cultivated tomato (Solanum lycopersicum), a
wild relative, S. arcanum exhibits strong resistance against EB. However,
molecular cascades operating during EB resistance in wild or cultivated tomato
plants are largely obscure. Here, we provide novel insight into spatio-temporal
molecular events in S. arcanum against A. solani. Transcriptome and co-expression
analysis presented 33-WRKYs as promising candidates of which 12 SaWRKYs displayed
differential expression patterns in resistant and susceptible accessions during
EB disease progression. Among these, SaWRKY1 exhibited induced expression with
significant modulation in xyloglucan endotrans hydrolase 5 (XTH5) and MYB2
expressions that correlated with the disease phenotypes. Electro-mobility shift
assay confirmed physical interaction of recombinant SaWRKY1 to SaXTH5 and SaMYB2
promoters. Comparative WRKY1 promoter analysis between resistant and susceptible
plants revealed the presence of crucial motifs for defence mechanism exclusively
in resistant accession. Additionally, many defence-related genes displayed
significant expression variations in both the accessions. Further, WRKY1
overexpressing transgenic plants exhibited higher levels of EB resistance while
RNAi silencing lines had increased susceptibility to A. solani with altered
expression of XTH5 and MYB2. Overall, these findings demonstrate the positive
influence of WRKY1 in improving EB resistance in wild tomato and this could be
further utilized as a potential target through genetic engineering to augment
protection against A. solani in crop plants.
PMID- 29377469
TI - Component-resolved diagnostics demonstrates that most peanut-allergic individuals
could potentially introduce tree nuts to their diet.
AB - BACKGROUND: Nut allergy varies from pollen cross-allergy, to primary severe
allergy with life-threatening symptoms. The screening of IgE antibodies to a wide
spectrum of allergens, including species-specific and cross-reactive allergens,
is made possible via microarray analysis. OBJECTIVE: We sought to study the
association of variable IgE sensitization profiles to clinical response in peanut
challenged children and adolescents in a birch-endemic region. In addition, we
studied the avoidance of tree nuts and species-specific sensitizations. METHODS:
We studied 102 peanut-sensitized patients who underwent a double-blind placebo
controlled challenge to peanut. We analysed ISAC ImmunoCAP microarray to 112
allergens, singleplex ImmunoCAPs for hazelnut Cor a 14 and cashew Ana o 3, and
performed skin prick tests to peanut, tree nuts and sesame seed. We surveyed
avoidance diets with a questionnaire. RESULTS: Sensitization to PR-10 proteins
was frequent (Bet v 1 90%), but equally high in the challenge negatives and
positives. IgE to Ara h 2 and Ara h 6 discriminated peanut allergic (n = 69) and
tolerant (n = 33) the best. Avoidance of tree nuts was common (52% to 96%), but
only 6% to 44% presented species-specific sensitizations to tree nuts, so a great
number could potentially introduce these species into their diet. CONCLUSIONS AND
CLINICAL RELEVANCE: PR-10-sensitizations were frequent and strong regardless of
peanut allergy status. Component-resolved diagnostics can be employed to
demonstrate to patients that sensitization to seed storage proteins of tree nuts
is uncommon. Several tree nuts could potentially be reintroduced to the diet.
PMID- 29377470
TI - Care and support for older adults in The Netherlands living independently.
AB - The growth in the numbers of older adults needing long-term care has resulted in
rising costs which have forced the Dutch government to change its long-term care
system. Now, the local authorities have greater responsibility for supporting
older adults and in prolonging independent living with increased support provided
by the social network. However, it is unclear whether these older adults have
such a network to rely upon. The objective of this study was to gain insight into
the providers of formal and informal care to older adults, and to assess possible
differences between older adults who are frail and those who are not. In
addition, we investigated their care and support needs. We used data from a
quantitative survey using a cross-sectional design in different regions of the
Netherlands from July until September 2014 (n = 181). Frailty was measured using
the Tilburg Frailty indicator. To analyse the data chi-square tests, crosstabs
and odds ratios were used for dichotomous data and the Mann-Whitney U-Test for
nominal data. The number of formal care providers involved was significantly
higher (median = 2) for those deemed frail than for those not deemed frail
(median = 1), U = 2,130, p < .005. However, more than one-third of the
respondents deemed frail did not get the care or support they needed (33.7%).
There was a significant positive association between being frail and having an
informal care provider (chi2 = 18.78, df = 1, p < .005). However, more than one
third of those deemed frail did not have an informal care provider (36.8%). One
third of older adults deemed to be frail did not have their needs sufficiently
addressed by their care network. For a substantial part of this group of older
adults, the informal network seems to be unable to support them sufficiently.
Additional attention for their needs and wishes is required to implement the
policy reforms successfully.
PMID- 29377472
TI - The impact of illness-related shame on psychological health and social
relationships: Testing a mediational model in students with chronic illness.
AB - This study explores the impact of illness-related shame on the quality of social
relationships and psychological health in chronic patients. We aimed to examine
the roles of fear of receiving compassion from others and experiential avoidance
as potential mediators of this relationship. Although some studies have
demonstrated the negative impact of chronic illness-related shame on
psychological functioning, the mechanisms that may underlie this link remain
understudied. The sample was comprised by 115 college students, which had been
diagnosed with at least 1 chronic illness. Participants completed self-report
measures on an online platform. This study's design was cross-sectional. A path
analysis was conducted using structural equation modelling. Results showed that
the impact of illness-related shame on both psychological health (R2 = .45) and
the quality of social relationships (R2 = .33) was fully accounted by fear of
compassion from others and experiential avoidance. This model revealed an
excellent fit. Fear of receiving compassion from others was the main mediator of
the illness-related shame link with the quality of social relationships (beta =
.22). The main mediator of the association between shame-related chronic illness
and psychological health was experiential avoidance (beta = -.21).This study shed
light on possible psychological mechanisms linking feelings of shame associated
with having a chronic condition and impaired social relationships and mental
health. On one hand, resisting feelings of compassion and care from others and,
on the other hand, avoiding difficult internal experiences and situations that
might trigger them seem to underlie the impact of shame on psychological and
social functioning in chronic patients.
PMID- 29377471
TI - AmotP130 regulates Rho GTPase and decreases breast cancer cell mobility.
AB - Angiomotin (Amot) is a newly discovered, multifunctional protein that is involved
in cell migration and angiogenesis. However, the role of its isoform, AmotP130,
in the regulation of cytoskeleton and metastasis of breast cancer, is unclear.
The aim of this study was to investigate the role of AmotP130 in the
reorganization of the actin cytoskeleton and the changes of morphology in breast
cancer cells through the Rho pathway that influences the invasion and migration
of cells. The results suggested that AmotP130 suppressed the invasion ability
through remodelling the cytoskeleton of breast cancer cells, including the actin
fibre organization and focal adhesion protein turnover. Global transcriptome
changes in breast cancer cells following knockdown of AmotP130 identified
pathways related with the cytoskeleton and cell motility that involved the Rho
GTPase family. From database analyses, changes in the Rho GTPase family of
proteins were identified as possible prognostic factors in patients with breast
cancer. We have been suggested that AmotP130 suppressed the invasion ability
through remodelling of the cytoskeleton of breast cancer cells, involving
regulation of the Rho pathway. The cytoskeleton-related pathway components may
provide novel, clinically therapeutic targets for breast cancer treatment.
PMID- 29377473
TI - Effect of proprotein convertase subtilisin/kexin type 9 (PCSK9) monoclonal
antibodies on new-onset diabetes mellitus and glucose metabolism: A systematic
review and meta-analysis.
AB - AIMS: To investigate the effect of two clinically applied proprotein convertase
subtilisin/kexin type 9 monoclonal antibodies (PCSK9-mAbs) on glycaemia and new
onset diabetes mellitus (NODM). MATERIALS AND METHODS: PubMed, MEDLINE, Embase,
Cochrane databases and ClinicalTrials.gov websites were systematically searched
for randomized controlled trials that reported data on fasting plasma glucose
(FPG), glycated haemoglobin (HbA1c) or NODM incidence. Risk ratios (RRs) for NODM
and mean difference (MD) for FPG and HbA1c with 95% confidence intervals (CIs)
were calculated using a fixed-effect model. Heterogeneity was examined using the
I2 statistic and potential publication bias was assessed using funnel plots and
Egger's test. RESULTS: A total of 18 studies including 26 123 participants
without diabetes were identified. No significant difference was observed in the
PCSK9-mAb treatment groups in terms of NODM (RR 1.05, 95% CI 0.95-1.16), FPG (MD
0.00 mmol/L, 95% CI -0.02 to 0.02) or HbA1c (MD 0.00% [0 mmol/L], 95% CI -0.01 to
0.01) compared with control groups. Subgroup (PCSK9-mAb type, participant
characteristics, treatment duration, treatment method and differences in control
treatment) and sensitivity analyses did not significantly alter the results. Meta
regression analyses showed that risk of NODM was not associated with baseline
age, baseline body mass index (BMI), proportion of men, treatment duration or
percent LDL cholesterol reduction. CONCLUSIONS: Alirocumab and evolocumab, two
types of PCSK9-mAb approved by the US Food and Drug Administration and the
European Medicines Agency, had no significant impact on NODM and glucose
homeostasis, regardless of PCSK9-mAb type, participant characteristics, treatment
duration, treatment method and differences in control treatment. Baseline age,
BMI, proportion of men, treatment duration, and percent change of LDL cholesterol
did not influence diabetes risk.
PMID- 29377474
TI - A prospective randomized, controlled trial of eculizumab to prevent ischemia
reperfusion injury in pediatric kidney transplantation.
AB - Ischemia-reperfusion injury has multiple effects on a transplanted allograft,
including delayed or impaired graft function, compromised long-term survival, and
an association with an increased incidence of rejection. Eculizumab, a monoclonal
antibody blocking terminal complement activation, has been postulated to be an
effective agent in the prevention or amelioration of IRI. We performed a single
center prospective, randomized controlled trial involving 57 pediatric kidney
transplant recipients between 2012 and 2016. The immunosuppressive protocol
included two doses of alemtuzumab; half of the patients were randomized to
receive a single dose of eculizumab prior to transplantation. Maintenance
immunosuppression was based on a combination of low-dose tacrolimus and
mycophenolate, without steroids. Eculizumab-treated patients had a significantly
better early graft function, less arteriolar hyalinosis and chronic
glomerulopathy on a protocol biopsies taken on day 30, 1 year, and 3 years after
transplantation. In the eculizumab group, four non-vaccinated children lost their
grafts during the course of a flu-like infection. Eculizumab is associated with
better early graft function and improved graft morphology; however, there was an
unacceptably high number of early graft losses among the eculizumab-treated
children. While a promising strategy, the best approach to complement inhibition
remains to be established.
PMID- 29377475
TI - Preservation and augmentation of molar extraction sites affected by severe bone
defect due to advanced periodontitis: A prospective clinical trial.
AB - BACKGROUND: Studies of the extracted infected-molar ridge preservation are
limited. PURPOSE: To compare alterations of hard and soft tissue in infected
molar sockets receiving ridge preservation compared with natural healing.
MATERIALS AND METHODS: Thirty-five infected-molar extraction sites either
preserving with Bio-Gide membrane covered the Bio-Oss material or receiving
natural healing procedure as controls. The soft tissue profile was evaluated
before tooth extraction and after 6-month healing. Cone-beam computed tomography
scans were taken immediately and 6 months after extraction. Vertical and
horizontal bone changes were assessed radiographically. Data were analyzed with
Mann-Whitney U test and alpha = 0.05. RESULTS: No significant differences in soft
tissue and vertical bone changes in the medium region of the sockets were found
(P > .05). Buccal bone changes in the mesial and distal sites in the test group
were significantly lower than the control group (P < .05). Ridge width increased
from 0.21mm to 5.30mm at 1mm apical from the crest in the test and reduced from
0.12 mm to 1.00 mm in the control groups. CONCLUSION: Ridge preservation at
periodontally compromised molar extraction sites might compensate for ridge width
and buccal bone resorption that occurs with natural healing alone.
PMID- 29377476
TI - COMP Report: CPQR technical quality control guidelines for CyberKnife(r)
Technology.
AB - The Canadian Organization of Medical Physicists (COMP), in close partnership with
the Canadian Partnership for Quality Radiotherapy (CPQR) has developed a series
of Technical Quality Control (TQC) guidelines for radiation treatment equipment.
These guidelines outline the performance objectives that equipment should meet in
order to ensure an acceptable level of radiation treatment quality. This
particular TQC contains detailed performance objectives and safety criteria for
CyberKnife(r) Technology. The quality control recommendations in this document
are based upon previously published guidelines and the collective experience of
all Canadian sites using this technology. This TQC guideline has been field
tested at the newest Canadian CyberKnife installation site and includes
recommendations for quality control of the IrisTM and InCiseTM MLC collimation
systems.
PMID- 29377477
TI - Regulation of Charge Carrier Dynamics in ZnO Microarchitecture-Based UV/Visible
Photodetector via Photonic-Strain Induced Effects.
AB - A feasible, morphological influence on photoresponse behavior of ZnO
microarchitectures such as microwire (MW), coral-like microstrip (CMS), fibril
like clustered microwire (F-MW) grown by one-step carrier gas/metal catalyst
"free" vapor transport technique is reported. Among them, ZnO F-MW exhibits
higher photocurrent (IPh ) response, i.e., IPh/ZnO F-MW > IPh/ZnO CMS > IPh/ZnO
MW . The unique structural alignment of ZnO F-MW has enhanced the IPh from 14.2
to 186, 221, 290 uA upon various light intensities such as 0 to 6, 11, 17 mW cm-2
at lambda405 nm . Herein, the nature of the as-fabricated ZnO photodetector (PD)
is also demonstrated modulated by tuning the inner crystals piezoelectric
potential through the piezo-phototronic effect. The IPh response of PD decreases
monotonically by introducing compressive strain along the length of the device,
which is due to the synergistic effect between the induced piezoelectric
polarization and photogenerated charge carriers across the metal-semiconductor
interface. The current behavior observed at the two interfaces acting as the
source (S) and drain (D) is carefully investigated by analyzing the Schottky
barrier heights (PhiSB ). This work can pave the way for the development of
geometrically modified strain induced performances of PD to promote next
generation self-powered optoelectronic integrated devices and switches.
PMID- 29377479
TI - Dipyrone is the preferred nonopioid analgesic for the treatment of acute and
chronic pain. A survey of clinical practice in German-speaking countries.
AB - PURPOSE: Nonopioid analgesics are frequently used for the treatment of acute and
chronic pain. Dipyrone is an alternative to NSAIDs and paracetamol, however, data
on the frequency of its usage by anaesthesiologists in the perioperative and
chronic pain setting are lacking and its adverse reactions are a matter of
debate. METHODS: The link to a questionnaire on the use of nonopioid analgesics
(NSAIDs, COX-2 inhibitors, paracetamol, dipyrone) and the safety of dipyrone in
the perioperative and chronic pain setting was mailed to anaesthesiologists and
pain physicians. RESULTS: A total of 2237 responses were analysed. About 97.4% of
the respondents used nonopioid analgesics for the treatment of acute pain, with
93.8% administering dipyrone, 54.0% NSAIDs, 41.8% COX-2 inhibitors and 49.2%
paracetamol. Nonopioid analgesics were administered preoperatively by 22.3%,
intraoperatively by 86.1% and postoperatively by 73.0% of the respondents. For
chronic pain management, 76.7% of the respondents prescribed oral dipyrone in
combination with other nonopioid analgesics; 19.9% used dipyrone as sole
nonopioid, whereas 2.9% denied its use. Cases of dipyrone-associated
agranulocytosis were observed by 3.5% of the respondents of the acute and 1.5% of
the chronic pain questionnaire, respectively. The majority of respondents (acute
pain: 73.0%, chronic pain 59.3%) performed no blood cell counts to monitor
dipyrone therapy. Patients were rarely informed about possible adverse drug
reactions. CONCLUSIONS: Dipyrone is the preferred nonopioid analgesic in the
perioperative and chronic pain setting. Although cases of agranulocytosis occur,
benefits apparently outweigh the risks according to anaesthesiologists. Measures
like patient information may improve safety. SIGNIFICANCE: A survey of
anaesthesiologist in German-speaking countries revealed dipyrone as preferred
nonopioid analgesic for the treatment of acute and chronic pain. Benefits seem to
outweigh the risks, specifically the risk of agranulocytosis. Information of
medical staff and patients on adverse drug reactions and symptoms of
agranulocytosis should be implemented.
PMID- 29377480
TI - Chemical Research Society of India Awards 2018.
PMID- 29377478
TI - Independent mapping methods reveal rotational activation near pulmonary veins
where atrial fibrillation terminates before pulmonary vein isolation.
AB - OBJECTIVE: To investigate mechanisms by which atrial fibrillation (AF) may
terminate during ablation near the pulmonary veins before the veins are isolated
(PVI). INTRODUCTION: It remains unstudied how AF may terminate during ablation
before PVs are isolated, or how patients with PV reconnection can be arrhythmia
free. We studied patients in whom PV antral ablation terminated AF before PVI,
using two independent mapping methods. METHODS: We studied patients with AF
referred for ablation, in whom biatrial contact basket electrograms were studied
by both an activation/phase mapping method and by a second validated mapping
method reported not to create false rotational activity. RESULTS: In 22 patients
(age 60.1 +/- 10.4, 36% persistent AF), ablation at sites near the PVs terminated
AF (77% to sinus rhythm) prior to PVI. AF propagation revealed rotational (n =
20) and focal (n = 2) patterns at sites of termination by mapping method 1 and
method 2. Both methods showed organized sites that were spatially concordant (P <
0.001) with similar stability (P < 0.001). Vagal slowing was not observed at
sites of AF termination. DISCUSSION: PV antral regions where ablation terminated
AF before PVI exhibited rotational and focal activation by two independent
mapping methods. These data provide an alternative mechanism for the success of
PVI, and may explain AF termination before PVI or lack of arrhythmias despite PV
reconnection. Mapping such sites may enable targeted PV lesion sets and improved
freedom from AF.
PMID- 29377481
TI - Correlation between septal body size and inferior turbinate hypertrophy on
computerised tomography scans in fifty patients: A radiological analysis.
PMID- 29377482
TI - Understanding of diagnosis and medications among non-English-speaking older
patients.
AB - OBJECTIVE: To determine whether non-English-speaking background (NESB) patients
had a poorer understanding of diagnosis and medications compared to English
speaking background (ESB) patients. METHODS: English-speaking background and NESB
patients admitted to inpatient geriatric evaluation and management (GEM) unit
were asked standardised questions about their admission diagnosis, reason for GEM
admission and medications. Accuracy of answers, as compared to medical notes,
ranked as 'full credit', 'partial credit' or 'no credit'. RESULTS: Of the 66
patients recruited (30 NESB), understanding of diagnosis and purpose of GEM
admission was good. There was no difference between ESB and NESB patients.
Understanding of medications taken prior to admission was poor, with 67% of
overall patients scoring 'no credit'. NESB patients were more likely to score 'no
credit' compared to ESB (80% vs 56%, P = 0.036). CONCLUSION: Reassuringly,
patients had a reasonable understanding of diagnosis and purpose of GEM
admission. Lack of understanding of medications, especially among NESB patients,
should be improved.
PMID- 29377484
TI - Broadening the Scope for Fluoride-Free Synthesis of Siliceous Zeolites.
AB - Siliceous zeolites are ideally suited for emerging applications in gas
separations, sensors, and the next generation of low-k dielectric materials, but
the use of fluoride in the synthesis significantly hinders their
commercialization. Herein, we show that the dry gel conversion (DGC) technique
can overcome this problem. Fluoride-free synthesis of two siliceous zeolites-AMH
4 (CHA-type) and AMH-5 (STT-type), has been achieved for the first time using the
method. Siliceous *BEA-, MFI-, and *MRE-type zeolites have also been synthesized
to obtain insights into the crystallization process. Charge-balancing
interactions between the inorganic cation, organic structure-directing agent
(OSDA), and Si-O- defects are found to be an essential aspect. We quantify this
factor in terms of the "OSDA charge/silica ratio" of the as-made zeolites and
demonstrate that the DGC technique is broadly applicable and opens up new avenues
for fluoride-free siliceous zeolite synthesis.
PMID- 29377483
TI - 'To be treated as a human': Using co-production to explore experts by experience
involvement in mental health nursing education - The COMMUNE project.
AB - Increasingly, experts as deemed by personal experience or mental health service
use, are involved in the education of nurses; however, accompanying research is
limited and focuses primarily on opinions of nurse educators and students. The
aim of this study was to develop an understanding of the potential contribution
to mental health nursing education by those with experience of mental health
service use. The research was part of the international COMMUNE (Co-production of
Mental Health Nursing Education) project, established to develop and evaluate co
produced mental health content for undergraduate nursing students. A qualitative
descriptive design was adopted with data collected through focus group interviews
in seven sites across Europe and Australia. Experts by experience (people with
experience of distress, service use, and recovery) co-produced the project in
partnership with nursing academics. Co-production enriched the process of data
collection and facilitated the analysis of data from multiple perspectives. Two
themes are presented in this paper. The first focuses on how experts by
experience can enhance students' understanding of recovery by seeing the
strengths inherent in the 'human' behind the diagnostic label. The second
highlights the importance of communication and self-reflection on personal
values, where students can explore their own thoughts and feelings about mental
distress alongside those with lived experience. Interacting with experts by
experience in the classroom can assist in challenging stigmatizing attitudes
prior to nursing placements. These findings can be used to inform international
nursing curricula by increasing the focus on nursing skills valued by those who
use the services.
PMID- 29377485
TI - Protistology Conferences: The Beginnings. The First International Protozoology
Conference (Prague 1961) and the Tribute to Otto Jirovec, its Spiritual Father.
AB - Two events have helped to shape protozoology/protistology as a specific
scientific discipline. The first such event was the creation of the Society of
Protozoologists in the U.S. in 1947 (and of its Journal of Protozoology, first
published in 1954), the second event was the First International Conference on
Protozoology, held in 1961 in Prague. The history of the Society of
Protozoologists was comprehensively treated by Corliss (1998); the history of the
Prague Conference is presented here as reminiscences and personal interpretation
of events of the author, who was one of the conference organizers and a member of
the organization committee. Special attention is given to the personality and
scientific accomplishments of Otto Jirovec, the 1961 conference spiritual father
and president. It is concluded that the Prague Conference, while establishing the
tradition of protistology meetings, helped protistology to attain its present
status as a fundamental science discipline, which discovers and interprets the
web of life at one of its, basic, "microbial" levels. Protists literally permeate
the earth biosphere and in a way represent the "dark matter" of the living world,
still awaiting many discoveries.
PMID- 29377486
TI - Liver atrophy and regeneration in noncirrhotic portal vein thrombosis: Effect of
surgical shunts.
AB - The goal of the study is to characterize the relationship between portal vein
thrombosis (PVT) and hepatic atrophy in patients without cirrhosis and the effect
of various types of surgical shunts on liver regeneration and splenomegaly.
Patients without cirrhosis with PVT suffer from presinusoidal portal
hypertension, and often hepatic atrophy is a topic that has received little
attention. We hypothesized that patients with PVT have decreased liver volumes,
and shunts that preserve intrahepatic portal flow enhance liver regeneration.
Sixty-four adult and pediatric patients with PVT who underwent surgical shunt
placement between 1998 and 2011 were included in a retrospective study. Baseline
liver volumes from adult patients were compared with standard liver volume (SLV)
as well as a group of healthy controls undergoing evaluation for liver donation.
Clinical assessment, liver function tests, and liver and spleen volumes from
cross-sectional imaging were compared before and after surgery. A total of 40
patients received portal flow-preserving shunts (32 mesoportal and 8 selective
splenorenal), whereas 24 received portal flow-diverting shunts (16 nonselective
splenorenal and 8 mesocaval). Baseline adult liver volumes were 26% smaller than
SLV (1248 versus 1624 cm3 ; P = 0.02) and 20% smaller than the control volumes
(1248 versus 1552 cm3 ; P = 0.02). Baseline adult spleen volumes were larger
compared with controls (1258 versus 229 cm3 ; P < 0.001). Preserving shunts were
associated with significant increase in liver volumes (886 versus 1131 cm3 ; P =
0.01), whereas diverting shunts were not. Diverting shunts significantly improved
splenomegaly. In conclusion, we have demonstrated that patients without cirrhosis
with PVT have significant liver atrophy and splenomegaly. Significant liver
regeneration was achieved after portal flow-preserving shunts. Liver
Transplantation 24 881-887 2018 AASLD.
PMID- 29377487
TI - New rapid PCR protocol based on high-resolution melting analysis to identify
Saccharomyces cerevisiae and other species within its genus.
AB - AIMS: Selection projects aiming at the identification of new Saccharomyces
strains are always on going as the use of the suitable yeast can strongly improve
fermented food production, particularly winemaking. They are mainly targeted on
Saccharomyces cerevisiae, but other species in the Saccharomyces genus are of
interest. For this reason, more and more efficient molecular techniques for yeast
identification able to accelerate yeast selection process are always needed.
Among the Saccharomyces genus, four yeasts are widespread in natural
environments: S. cerevisiae; S. uvarum; S. kudriavzevii and S. paradoxus.
Therefore, among the Saccharomyces species, their discrimination is of great
interest. METHODS AND RESULTS: A two-step protocol is proposed. Firstly the
Saccharomyces genus identification is achieved by multiplex PCR analysis. Then,
the Saccharomyces species is determined by a new method based on high-resolution
melting analysis (HRMA). CONCLUSIONS: For HRMA two primer pairs have been
proposed. The first was able to achieve the simultaneous identification of the
four widespread Saccharomyces species, the second was used for the unambiguous
discrimination of S. cerevisiae within its taxonomical genus. SIGNIFICANCE AND
IMPACT OF THE STUDY: This assay allowed an easy, rapid and simultaneous
discrimination of S. cerevisiae, S. uvarum and S. paradoxus during yeast
selection programs.
PMID- 29377488
TI - Network spandrels reflect ecological assembly.
AB - Ecological networks that exhibit stable dynamics should theoretically persist
longer than those that fluctuate wildly. Thus, network structures which are over
represented in natural systems are often hypothesised to be either a cause or
consequence of ecological stability. Rarely considered, however, is that these
network structures can also be by-products of the processes that determine how
new species attempt to join the community. Using a simulation approach in tandem
with key results from random matrix theory, we illustrate how historical assembly
mechanisms alter the structure of ecological networks. We demonstrate that
different community assembly scenarios can lead to the emergence of structures
that are often interpreted as evidence of 'selection for stability'. However, by
controlling for the underlying selection pressures, we show that these assembly
artefacts-or spandrels-are completely unrelated to stability or selection, and
are instead by-products of how new species are introduced into the system. We
propose that these network-assembly spandrels are critically overlooked aspects
of network theory and stability analysis, and we illustrate how a failure to
adequately account for historical assembly can lead to incorrect inference about
the causes and consequences of ecological stability.
PMID- 29377489
TI - Large reductions in pesticides made possible by use of an insect-trapping lamp: a
case study in a winter wheat-summer maize rotation system.
AB - BACKGROUND: Increasing attention is being paid to physical methods to control
pests such as insect trapping. In order to examine how pesticides can reasonably
be combined with the use of an insect-trapping lamp and by how much this can
reduce the amount of pesticide used, five treatments were applied to a winter
wheat-summer maize rotation system in eastern China: a treatment in which only
pesticides were used; a treatment with only insect-trapping lamps; insect
trapping lamps plus one application of pesticides; insect-trapping lamps plus two
applications of pesticides; insect-trapping lamps plus three applications of
pesticides. RESULTS: The results showed that, when pesticides were reduced by 25
35%, the insect-trapping lamps controlled the insect population well and yields
were not decreased but were actually increased, with pesticides being applied
only at 2 days before winter wheat planting, at winter wheat flowering and at the
big flare stage of summer maize. Reducing pesticides by 35-65% had no adverse
effect on crop yields, and thus had the potential to reduce the costs of pest
control and produce the greatest economic benefit. When no pesticides were used
in the insect-trapping lamp control area, the annual yield was still >15 t hm-2 .
CONCLUSION: If pesticides are used in a timely fashion and at the appropriate
stage, their use may be greatly reduced with the help of an insect-trapping lamp.
(c) 2018 Society of Chemical Industry.
PMID- 29377490
TI - Fabrication and Deformation of 3D Multilayered Kirigami Microstructures.
AB - Mechanically guided 3D microassembly with controlled compressive buckling
represents a promising emerging route to 3D mesostructures in a broad range of
advanced materials, including single-crystalline silicon (Si), of direct
relevance to microelectronic devices. During practical applications, the
assembled 3D mesostructures and microdevices usually undergo external mechanical
loading such as out-of-plane compression, which can induce damage in or failure
of the structures/devices. Here, the mechanical responses of a few mechanically
assembled 3D kirigami mesostructures under flat-punch compression are studied
through combined experiment and finite element analyses. These 3D kirigami
mesostructures consisting of a bilayer of Si and SU-8 epoxy are formed through
integration of patterned 2D precursors with a prestretched elastomeric substrate
at predefined bonding sites to allow controlled buckling that transforms them
into desired 3D configurations. In situ scanning electron microscopy measurement
enables detailed studies of the mechanical behavior of these structures. Analysis
of the load-displacement curves allows the measurement of the effective stiffness
and elastic recovery of various 3D structures. The compression experiments
indicate distinct regimes in the compressive force/displacement curves and
reveals different geometry-dependent deformation for the structures.
Complementary computational modeling supports the experimental findings and
further explains the geometry-dependent deformation.
PMID- 29377491
TI - Van der Waals Heterostructures Comprised of Ultrathin Polymer Nanosheets for
Efficient Z-Scheme Overall Water Splitting.
AB - Inspired by natural photosynthesis, Z-scheme photocatalytic systems are very
appealing for achieving efficient overall water splitting. Developing metal-free
Z-scheme photocatalysts for overall water splitting, however, still remains
challenging. The construction of polymer-based van der Waals heterostructures as
metal-free Z-scheme photocatalytic systems for overall water splitting is
described using aza-fused microporous polymers (CMP) and C2 N ultrathin
nanosheets as O2 - and H2 -evolving catalysts, respectively. Although neither
polymer is able to split pure water using visible light, a 2:1 stoichiometric
ratio of H2 and O2 was observed when aza-CMP/C2 N heterostructures were used. A
solar-to-hydrogen conversion efficiency of 0.23 % was determined, which could be
further enhanced to 0.40 % by using graphene as the solid electron mediator to
promote the interfacial charge-transfer process. This study highlights the
potential of polymer photocatalysts for overall water splitting.
PMID- 29377492
TI - COMP report: CPQR technical quality control guidelines for treatment planning
systems.
AB - The Canadian Organization of Medical Physicists (COMP), in close partnership with
the Canadian Partnership for Quality Radiotherapy (CPQR) has developed a series
of Technical Quality Control (TQC) guidelines for radiation treatment equipment.
These guidelines outline the performance objectives that equipment should meet in
order to ensure an acceptable level of radiation treatment quality. The TQC
guidelines have been rigorously reviewed and field tested in a variety of
Canadian radiation treatment facilities. The development process enables rapid
review and update to keep the guidelines current with changes in technology. This
article contains detailed performance objectives and safety criteria for
Treatment Planning Systems (TPS) for External Beam Radiotherapy.
PMID- 29377493
TI - Molecular evidence and clinical importance of beta-arrestins expression in
patients with acromegaly.
AB - beta-arrestins seem to have a role in endocytosis and desensitization of
somatostatin receptor subtype 2 (sst2) and could be associated with the
responsiveness to somatostatin receptor ligands (SRL) in patients with
acromegaly. To investigate the in vivo correlation between beta-arrestins 1 and 2
with sst2, sst5 and dopamine receptor subtype 2 (D2) expressions, and the
association of beta-arrestins with response to first-generation SRL and
invasiveness in somatotropinomas. beta-arrestins 1 and 2, sst2, sst5 and D2 mRNA
expressions were evaluated by quantitative real-time RT-PCR on tumoral tissue of
96 patients. Moreover, sst2 and sst5 protein expressions were also evaluated in
40 somatotropinomas by immunohistochemistry. Response to SRL, defined as GH <1
MUg/l and normal IGF-I levels, was assessed in 40 patients. The Knosp-Steiner
criteria were used to define invasiveness. Median beta-arrestin 1, beta-arrestin
2, sst2, sst5 and D2 mRNA copy numbers were 478; 9375; 731; 156; and 3989,
respectively. There was a positive correlation between beta-arrestins 1 and 2 (R
= 0.444, P < 0.001). However, no correlation between beta-arrestins and sst2,
sst5 (mRNA and protein levels) or D2 was found. No association was found between
beta-arrestins expression and SRL responsiveness or tumour invasiveness. Although
previous data suggest a putative correlation between beta-arrestins and sst2, our
data clearly indicated that no association existed between beta-arrestins and
sst2, sst5 or D2 expression, nor with response to SRL or tumour invasiveness.
Therefore, further studies are required to clarify whether beta-arrestins have a
role in the response to treatment with SRL in acromegaly.
PMID- 29377494
TI - Untargeted Metabolomic Screen Reveals Changes in Human Plasma Metabolite Profiles
Following Consumption of Fresh Broccoli Sprouts.
AB - SCOPE: Several lines of evidence suggest that the consumption of cruciferous
vegetables is beneficial to human health. Yet, underlying mechanisms and key
molecular targets that are involved with achieving these benefits in humans are
still not fully understood. To accelerate this research, we conduct a human study
to identify potential molecular targets of crucifers for further study. This
study aims to characterize plasma metabolite profiles in humans before and after
consuming fresh broccoli sprouts (a rich dietary source of bioactive
sulforaphane). METHODS AND RESULTS: Ten healthy adults consume fresh broccoli
sprouts (containing 200 MUmol sulforaphane equivalents) at time 0 and provide
blood samples at 0, 3, 6, 12, 24, and 48 h. An untargeted metabolomics screen
reveals that levels of several plasma metabolites are significantly different
before and after sprout intake, including fatty acids (14:0, 14:1, 16:0, 16:1,
18:0, and 18:1), glutathione, glutamine, cysteine, dehydroepiandrosterone, and
deoxyuridine monophosphate. Evaluation of all time points is conducted using
paired t-test (R software) and repeated measures analysis of variance for a
within-subject design (Progenesis QI). CONCLUSION: This investigation identifies
several potential molecular targets of crucifers that may aid in studying
established and emerging health benefits of consuming cruciferous vegetables and
related bioactive compounds.
PMID- 29377495
TI - Cancer etiology: Variation in cancer risk among tissues is poorly explained by
the number of gene mutations.
AB - Recent evidence indicates that the risk of being diagnosed with cancer in a
tissue is strongly correlated (0.80) with the number of stem cell divisions
accumulated by the tissue. Since cell division can generate random mutations
during DNA replication, this correlation has been used to propose that cancer is
largely caused by the accumulation of unavoidable mutations in driver genes.
However, no correlation between the number of gene mutations and cancer risk
across tissues has been reported. Because many somatic mutations in cancers
originate prior to tumor initiation and the number of cell divisions occurring
during tumor growth is similar among tissues, I use whole genome sequencing
information from 22 086 cancer samples and incidence data from the largest cancer
registry in each continent to study the relationship between the number of gene
mutations and the risk of cancer across 33 tissue types. Results show a weak
positive correlation (mean = 0.14) between these 2 parameters in each of the 5
cancer registries. The correlation became stronger (mean = 0.50) when gender
related cancers were excluded. Results also show that 1003 samples from 29 cancer
types have zero mutations in genes. These data suggest that cancer etiology can
be better explained by the accumulation of stem cell divisions than by the
accumulation of gene mutations. Possible mechanisms by which the accumulation of
cell divisions in stem cells increases the risk of cancer are discussed.
PMID- 29377496
TI - Gene transfer of a naked plasmid (pUDK-HGF) encoding human hepatocyte growth
factor attenuates skin/muscle incision and retraction-induced chronic post
surgical pain in rats.
AB - BACKGROUND: Chronic post-surgical pain (CPSP) remains a major clinical problem
and is often refractory to current treatments. New analgesic medications and
strategies for pain relief are needed. Hepatocyte growth factor (HGF) is known to
be a multi-functional growth factor and regulates various biological activities.
METHODS: We investigated the analgesic effect and underlying mechanism of plasmid
pUDK-HGF encoding human HGF gene on CPSP induced by skin/muscle incision and
retraction (SMIR) in rats. The possible changes of inflammatory factors, glial
cell activation and pain sensitivity after pUDK-HGF administration were
investigated by ELISA, western blot and Von Frey tests, respectively. RESULTS: In
behavioural assays, we found that a single intramuscular or intrathecal injection
of pUDK-HGF significantly attenuated mechanical hypersensitivity to von Frey
stimulation of plantar ipsilateral hind paw after SMIR. Intramuscular injection
of pUDK-HGF promoted blood flow and proliferation of satellite cells and
inhibited inflammatory cells recruitment, collagen accumulation and expression of
pronociceptive factors. Intrathecal injection of pUDK-HGF inhibited activation of
spinal glial cells and production of inflammatory mediators induced by SMIR.
CONCLUSIONS: pUDK-HGF has a strong analgesic potency and efficacy in CPSP induced
by SMIR in rats. This study highlights a new strategy for the treatment of CPSP.
SIGNIFICANCE: The CPSP occurs following various surgical procedures and remains a
major clinical problem due to the lack of study on the mechanisms of CPSP. Our
findings provide the first evidence that pUDK-HGF attenuates SMIR-induced pain
behaviuors through peripheral or central mechanisms. The peripheral analgesic
effect of pUDK-HGF is associated with promoting tissue repair and inhibiting
inflammatory response; furthermore, pUDK-HGF inhibits activation of spinal glial
cells and overexpression of inflammatory mediators in spinal cord. Therefore,
naked pUDK-HGF may be a potential therapeutic strategy for treatment of CPSP in
clinic.
PMID- 29377497
TI - Reduced Cell Division Control Protein 42 Activity Compromises Hematopoiesis
Supportive Function of Fanconi Anemia Mesenchymal Stromal Cells.
AB - Hematopoietic stem cells preserve their ability to self-renew and differentiate
to different lineages in the bone marrow (BM) niche, which is composed in large
part by BM stromal cells. Studies have shown that altered signaling in the BM
niche results in leukemia initiation or progression. Fanconi anemia (FA) is an
inherited BM failure syndrome associated with extremely high risk of leukemic
transformation. By using two FA mouse models, here we have investigated the
hematopoiesis-supportive function of FA BM mesenchymal stroma cells (MSCs). We
found that MSCs deficient for Fanca or Fancc gene are defective in proliferation
and prone to undergo senescence in vitro. Mechanistically, we show that the
activity of cell division control protein 42 (Cdc42), a Rho GTPase known to be a
critical regulator for cytoskeleton organization, is significantly reduced in FA
MSCs. Furthermore, we demonstrate that this reduction in Cdc42 activity plays a
causal role in defective hematopoiesis-supportive function of the FA MSCs. The
progenies of wild-type hematopoietic stem and progenitor cells cocultured on FA
MSCs exhibit compromised self-renewal capacity both in vitro and in vivo. Genetic
correction of FA deficiency restores Cdc42 activity and improves the
hematopoiesis-supportive capacity of FA MSC. Finally, ectopic expression of a
constitutively active Cdc42 mutant, Cdc42F28L, or pretreatment with Wnt5a,
increases the active Cdc42 level and rescues the hematopoietic supportive defects
of FA MSCs. Taken together, our results identify a novel link between Cdc42
activity and the hematopoiesis-supportive function of MSCs and suggest that a
niche-specific increase of Cdc42 activity may be beneficial for FA therapy. Stem
Cells 2018;36:785-795.
PMID- 29377498
TI - Intrauterine growth restriction is not associated with decreased exercise
capacity in adolescents with congenital heart disease.
AB - OBJECTIVE: Multiple studies demonstrate the association of intrauterine growth
restriction (IUGR) with impaired aerobic fitness in adolescents and adults. To
our knowledge, there are no studies including individuals with the history of
both IUGR and congenital heart disease (CHD). Thus, we sought to evaluate the
impact of IUGR on exercise capacity in adolescents with CHD. STUDY DESIGN: We
conducted a retrospective chart review of patients <18 years of age who underwent
cardiopulmonary exercise testing (CPET) between August 1, 2003 and July 1, 2016.
Individuals with birth weight <10th percentile for gestational age were defined
as IUGR. Patients with IUGR were matched with non-IUGR patients by cardiac
diagnosis and age at CPET. We excluded patients >18 years of age at time of CPET,
those without a documented birth weight, gestational age, or Race. RESULTS: A
total of 282 patients were included with CHD present in 86 IUGR cases and 86
controls. There was no difference in percent predicted exercise duration (IUGR:
65.2% +/- 31.2, non-IUGR: 67.4% +/- 27.2; P = .67). Resting heart rate,
chronotropic index, percent-predicted peak oxygen consumption, and pulmonary
function were similar between groups. Regression analyses confirmed that IUGR was
not independently associated with difference in percent-predicted exercise
duration. CONCLUSIONS: Intrauterine growth restriction is not associated with the
differences in the measurements of exercise capacity in adolescents with CHD.
These findings contrast earlier studies, showing decreased fitness in individuals
with low birth weight but without CHD. To our knowledge, this is the first study
to examine the impact of IUGR on exercise capacity in patients with CHD.
PMID- 29377499
TI - Local Surface Structure and Composition Control the Hydrogen Evolution Reaction
on Iron Nickel Sulfides.
AB - In order to design more powerful electrocatalysts, developing our understanding
of the role of the surface structure and composition of widely abundant bulk
materials is crucial. This is particularly true in the search for alternative
hydrogen evolution reaction (HER) catalysts to replace platinum. We report
scanning electrochemical cell microscopy (SECCM) measurements of the (111)
crystal planes of Fe4.5 Ni4.5 S8 , a highly active HER catalyst. In combination
with structural characterization methods, we show that this technique can reveal
differences in activity arising from even the slightest compositional changes. By
probing electrochemical properties at the nanoscale, in conjunction with
complementary structural information, novel design principles are revealed for
application to rational material synthesis.
PMID- 29377500
TI - Neutrophil-to-lymphocyte ratio predicts anastomotic dehiscence.
AB - BACKGROUND: Anastomotic dehiscence (AD) is the most feared complication following
colonic and rectal anastomosis. Multiple attempts have been made to correlate the
levels of biomarkers to the risk of AD. This study attempts to compare C-reactive
protein (CRP), procalcitonin (PCT) and neutrophil-to-lymphocyte ratio (NLR) as
predictors of AD. METHOD: This case-controlled study collected data on patients
undergoing colonic and rectal anastomosis over an 18-month period. Levels of CRP,
PCT and NLR were recorded daily for the first 5 days post-operatively. These
results were then compared between those who developed AD and those who did not.
RESULTS: A total of 136 patients were included; 11 (8.1%) patients developed AD.
CRP and NLR were useful predictors of AD with an area under the curve of 0.81 and
0.78 on post-operative day 4. PCT was not found to be raised significantly higher
in patients who developed AD compared to those who did not. CONCLUSION: CRP and
NLR are useful predictors of AD. PCT is not a useful predictor of AD.
PMID- 29377501
TI - Reply to: 'High stoma prevalence and stoma reversal complications following
anterior resection for rectal cancer: a population-based multicentre study'.
PMID- 29377502
TI - Temporal variations in genotype distribution of human sapoviruses and Aichi virus
1 in wastewater in Southern Arizona, United States.
AB - AIMS: To investigate the molecular epidemiology, especially temporal variations
in genotype distribution, of sapoviruses and Aichi virus 1 (AiV-1) in Arizona,
United States, by examining wastewater. METHODS AND RESULTS: A total of 26
wastewater samples (13 influent and 13 effluent) were collected monthly from a
wastewater treatment plant and viral strains were identified through nested
reverse transcription-PCR followed by cloning and sequencing analysis. Identified
sapovirus strains were classified into seven genotypes belonging to three
genogroups (GI, GII, and GV): GI.1, GI.2, GI.3, GII.1, GII.2, GII.8 and GV.1,
with a clear temporal shift. The majority of AiV-1 strains identified from the
wastewater samples were classified into genotype B, and genotype A strains were
identified in only two samples. CONCLUSIONS: We identified a number of sapovirus
and AiV-1 strains belonging to multiple genotypes in wastewater samples collected
over a 13-month period. Our results suggested a temporal shift in prevalent
genotypes in the community. SIGNIFICANCE AND IMPACT OF THE STUDY: This is the
first study elucidating the genotype distribution of human sapoviruses and AiV-1
in wastewater in the United States. Wastewater surveillance is especially useful
for understanding molecular epidemiology of viruses that are less commonly tested
in clinical diagnosis, including sapoviruses and AiV-1.
PMID- 29377503
TI - Association between sociodemographic determinants and health outcomes in
individuals with type 2 diabetes in Sweden.
AB - BACKGROUND: Concurrent multifactorial treatment is needed to reduce consequent
risks of diabetes, yet most studies investigating the relationship between
sociodemographic factors and health outcomes have focused on only one risk factor
at a time. Swedish health care is mainly tax-funded, thus providing an
environment that should facilitate equal health outcomes in patients, independent
of background, socioeconomic status, or health profile. This study aimed at
investigating the association between several sociodemographic factors and
diabetes-related health outcomes represented by HbA1c , systolic blood pressure,
low-density lipoprotein cholesterol, predicted 5-year risk of cardiovascular
disease, and statin use. METHODS: This large retrospective registry study was
based on patient-level data from individuals diagnosed with type 2 diabetes
during 2010 to 2011 (n = 416,228) in any of 7 Swedish regions (~65% of the
Swedish population). Health equity in diabetes care analysed through multivariate
regression analyses on intermediary outcomes (HbA1c , systolic blood pressure,
and low-density lipoprotein), predicted 5-year risk of cardiovascular disease and
process (i.e., statin use) after 1-year follow-up, adjusting for several
sociodemographic factors. RESULTS: We observed differences in intermediary risk
measures, predicted 5-year risk of cardiovascular disease, and process dependent
on place of birth, sex, age, education, and social setting, despite Sweden's
articulated vision of equal health care. CONCLUSIONS: Diabetes patients' health
was associated with sociodemographic prerequisites. Furthermore, in addition to
demographics (age and sex) and disease history, educational level, marital
status, and region of birth are important factors to consider when benchmarking
health outcomes, e.g., average HbA1c level, and evaluating the level of health
equity between organizational units or between different administrative regions.
PMID- 29377504
TI - A simple clinical model predicts incident hepatic steatosis in a community-based
cohort: The Framingham Heart Study.
AB - BACKGROUND AND AIMS: The factors associated with incident hepatic steatosis are
not definitively known. We sought to determine factors associated with incident
hepatic steatosis, as measured on computed tomography, in the community. METHODS:
We studied Framingham Heart Study participants without heavy alcohol use or
baseline hepatic steatosis who underwent computed tomography scans between 2002
2005 (baseline) and 2008-2011 (follow-up). We performed a stepwise logistic
regression procedure to determine the predictors associated with incident hepatic
steatosis. RESULTS: We included 685 participants (mean age: 45.0 +/- 6.2 years,
46.8% women). The incidence of hepatic steatosis in our sample was 17.1% over a
mean 6.3 years of follow-up. Participants who developed hepatic steatosis had
more adverse cardiometabolic profiles at baseline compared to those free of
hepatic steatosis at follow-up. Multivariable stepwise regression analysis showed
that a simple clinical model including age, sex, body mass index, alcohol
consumption and triglycerides was predictive of incident hepatic steatosis (C
statistic = 0.791, 95% CI: 0.748-0.834). A complex clinical model, which included
visceral adipose tissue volume and liver phantom ratio added to the simple
clinical model, and had improved discrimination for predicting incident hepatic
steatosis (C statistic = 0.826, 95% CI: 0.786-0.866, P < .0001). CONCLUSIONS: The
combination of demographic, clinical and imaging characteristics at baseline was
predictive of incident hepatic steatosis. The use of our predictive model may
help identify those at increased risk for developing hepatic steatosis who may
benefit from risk factor modification although further investigation is
warranted.
PMID- 29377506
TI - CMV-infected kidney grafts drive the expansion of blood-borne CMV-specific T
cells restricted by shared class I HLA molecules via presentation on donor cells.
AB - We aimed to determine the role of cytomegalovirus (CMV)-infected donor cells in
the development of a CMV-specific immune response in kidney transplant
recipients. We assessed the CMV pp65-specific immune response by using interferon
gamma ELISPOT and dextramers in peripheral blood mononuclear cells from 115
recipients (D+R- 31, D+R + 44, D-R + 40) late after transplantation (mean 59 +/-
42 months). Receiving a kidney from a D+ donor resulted in a higher number of IFN
gamma-producing anti-CMV T cells (P = .004). This effect disappeared with the
absence of shared HLA class I specificities between donors and recipients (P =
.430). To confirm the role of donor cells in stimulating the expansion of newly
developed CMV-specific CD8+ T cells after transplantation, we compared the number
of HLA-A2-restricted CMV-specific CD8+ T cells in primo-infected recipients who
received an HLA-A2 or non-HLA-A2 graft. The median of anti-CMV pp65 T cells
restricted by HLA-A2 was very low for patients who received a non-HLA-A2 graft vs
an HLA-A2 graft (300 [0-14638] vs. 17972 [222-85594] anti-CMV pp65 CD8+ T
cells/million CD8+ T cells, P = .001). This adds new evidence that CMV-infected
kidney donor cells present CMV peptides and drive an inflation of memory CMV
specific CD8+ T cells, likely because of frequent CMV replications within the
graft.
PMID- 29377505
TI - Maternal diabetes up-regulates NOX2 and enhances myocardial ischaemia/reperfusion
injury in adult offspring.
AB - Offspring of diabetic mothers are at risk of cardiovascular diseases in
adulthood. However, the underlying molecular mechanisms are not clear. We
hypothesize that prenatal exposure to maternal diabetes up-regulates myocardial
NOX2 expression and enhances ischaemia/reperfusion (I/R) injury in the adult
offspring. Maternal diabetes was induced in C57BL/6 mice by streptozotocin.
Glucose-tolerant adult offspring of diabetic mothers and normal controls were
subjected to myocardial I/R injury. Vascular endothelial growth factor (VEGF)
expression, ROS generation, myocardial apoptosis and infarct size were assessed.
The VEGF-Akt (protein kinase B)-mammalian target of rapamycin (mTOR)-NOX2
signalling pathway was also studied in cultured cardiomyocytes in response to
high glucose level. In the hearts of adult offspring from diabetic mothers,
increases were observed in VEGF expression, NOX2 protein levels and both Akt and
mTOR phosphorylation levels as compared to the offspring of control mothers.
After I/R, ROS generation, myocardial apoptosis and infarct size were all
significantly higher in the offspring of diabetic mothers relative to offspring
of control mothers, and these differences were diminished by in vivo treatment
with the NADPH oxidase inhibitor apocynin. In cultured cardiomyocytes, high
glucose increased mTOR phosphorylation, which was inhibited by the PI3 kinase
inhibitor LY294002. Notably, high glucose-induced NOX2 protein expression and ROS
production were inhibited by rapamycin. In conclusion, maternal diabetes promotes
VEGF-Akt-mTOR-NOX2 signalling and enhances myocardial I/R injury in the adult
offspring. Increased ROS production from NOX2 is a possible molecular mechanism
responsible for developmental origins of cardiovascular disease in offspring of
diabetic mothers.
PMID- 29377507
TI - Palladium-Catalyzed Reductive Coupling Reaction of Terminal Alkynes with Aryl
Iodides Utilizing Hafnocene Difluoride as a Hafnium Hydride Precursor Leading to
trans-Alkenes.
AB - Herein, we describe a reductive cross-coupling of alkynes and aryl iodides by
using a novel catalytic system composed of a catalytic amount of palladium
dichloride and a promoter precursor, hafnocene difluoride (Cp2 HfF2 ,
Cp=cyclopentadienyl anion), in the presence of a mild reducing reagent, a
hydrosilane, leading to a one-pot preparation of trans-alkenes. In this process,
a series of coupling reactions efficiently proceeds through the following three
steps: (i) an initial formation of hafnocene hydride from hafnocene difluoride
and the hydrosilane, (ii) a subsequent hydrohafnation toward alkynes, and (iii) a
final transmetalation of the alkenyl hafnium species to a palladium complex. This
reductive coupling could be chemoselectively applied to the preparation of trans
alkenes with various functional groups, such as an alkyl group, a halogen, an
ester, a nitro group, a heterocycle, a boronic ester, and an internal alkyne.
PMID- 29377508
TI - Clinical value of 18 FDG PET/CT in screening for distant metastases in head and
neck squamous cell carcinoma.
AB - OBJECTIVES: The detection of distant metastases is of major importance in
management of head and neck squamous cell carcinoma patients. DESIGN: All
patients underwent 18 FDG PET/CT for the detection of distant metastases.
SETTING: Retrospective single-centre study. PARTICIPANTS: Head and neck squamous
cell carcinoma patients with high-risk factors for distant metastases. MAIN
OUTCOME MEASURES: Accuracy of 18 FDG PET/CT for the detection of distant
metastases using clinical development of distant metastases and a minimal follow
up of twelve months as reference standard. Comparison of overall survival between
patients diagnosed with distant metastases during initial screening and patients
diagnosed with distant metastases during follow-up. RESULTS: In 23 (12%) of the
190 patients, 18 FDG PET/CT detected distant metastases at screening. Sensitivity
and negative predictive value were 46.2% (95% CI 32.6-59.7) and 82.6% (95% CI
76.8-88.5). No difference in median overall survival from the time of distant
metastases detection was found between patients diagnosed with DM during work-up
or during follow-up. CONCLUSIONS: In head and neck squamous cell carcinoma
patients with high-risk factors, 18 FDG PET/CT has a high negative predictive
value for the detection of distant metastases and should be used in daily
clinical practice, although the sensitivity is limited when long-term follow-up
is used as reference standard.
PMID- 29377509
TI - Metal-Free and Alkali-Metal-Catalyzed Synthesis of Isoureas from Alcohols and
Carbodiimides.
AB - The first addition of alcohols to carbodiimides catalyzed by transition-metal
free compounds employs 1,5,7-triazabicyclo[4.4.0]dec-5-ene (TBD) and its alkali
metal salts. Isoureas are obtained in short reaction times and high yields when
TBDK is used as the catalyst. Control of the coordination sphere of potassium
with exogenous chelating ligands, in combination with mechanistic DFT
calculations, demonstrated the role and positive influence of the alkali-metal
cation on the kinetics.
PMID- 29377510
TI - BCAA Metabolism and Insulin Sensitivity - Dysregulated by Metabolic Status?
AB - Branched-chain amino acids (BCAAs) appear to influence several synthetic and
catabolic cellular signaling cascades leading to altered phenotypes in mammals.
BCAAs are most notably known to increase protein synthesis through modulating
protein translation, explaining their appeal to resistance and endurance athletes
for muscle hypertrophy, expedited recovery, and preservation of lean body mass.
In addition to anabolic effects, BCAAs may increase mitochondrial content in
skeletal muscle and adipocytes, possibly enhancing oxidative capacity. However,
elevated circulating BCAA levels have been correlated with severity of insulin
resistance. It is hypothesized that elevated circulating BCAAs observed in
insulin resistance may result from dysregulated BCAA degradation. This review
summarizes original reports that investigated the ability of BCAAs to alter
glucose uptake in consequential cell types and experimental models. The review
also discusses the interplay of BCAAs with other metabolic factors, and the role
of excess lipid (and possibly energy excess) in the dysregulation of BCAA
catabolism. Lastly, this article provides a working hypothesis of the
mechanism(s) by which lipids may contribute to altered BCAA catabolism, which
often accompanies metabolic disease.
PMID- 29377511
TI - Diabetes on demand and novel technologies.
AB - To date, the use of technology for the management of diabetes represents a
promising area of innovation that can dramatically change diabetics' lives. In
the past decade, the use of diabetes devices has widely grown and looks to have
partially improved diabetes management. The combination of cloud technology with
real-expert intervention saves time and improves efficiency, as well as
empowering the patient. The application of mathematical models applied to
diabetes therapy could lead to significant improvement in life quality and
challenge the burden of hypoglycaemia. Events where an individual needs support
are instantly achieved, triggering outreach alerts via cloud and wireless
connectivity, thereby improving patient compliance and reducing disease costs.
PMID- 29377512
TI - Association of NRG1 and AUTS2 genetic polymorphisms with Hirschsprung disease in
a South Chinese population.
AB - Hirschsprung disease (HSCR) is a genetic disorder characterized by the absence of
enteric ganglia. There are more than 15 genes identified as contributed to HSCR
by family-based or population-based approaches. However, these findings were not
fulfilled to explain the heritability of most sporadic cases. In this study,
using 1470 HSCR and 1473 control subjects in South Chinese population, we
replicated two variants in NRG1 (rs16879552, P = 1.05E-04 and rs7835688, P =
1.19E-07), and further clarified the two replicated SNPs were more essential for
patients with short-segment aganglionosis (SHSCR) (P = 2.37E-05). We also tried
to replicate the most prominent signal (rs7785360) in AUTS2, which was a
potential susceptibility gene with HSCR. In our results, in terms of individual
association, marginal effect was observed to affect the HSCR patients following
recessive model (P = 0.089). Noteworthy, significant intergenic synergistic
effect between rs16879552 (NRG1) and rs7785360 (AUTS2) was identified through
cross-validation by logistic regression (P = 2.45E-03, OR = 1.53) and multifactor
dimensionality reduction (MDR, P < 0.0001, OR = 1.77). Significant correlation
was observed between expression of these two genes in the normal segments of the
colons (P = 0.018), together with differential expression of these genes between
aganglionic colonic segments and normal colonic segments of the HSCR patients (P
value for AUTS2 <0.0001, P value for NRG1 = 0.0243). Although functional
evaluation is required, we supply new evidence for the NRG1 to HSCR and raised up
a new susceptibility gene AUTS2 to a specific symptom for the disease.
PMID- 29377513
TI - Unusual Cause of Peritonitis in Peritoneal Dialysis: Streptococcus vestibularis.
PMID- 29377514
TI - Hypothesis: apo-lactoferrin-Galantamine Proteo-alkaloid Conjugate for Alzheimer's
disease Intervention.
AB - Alzheimer's disease (AD) is known to be caused by the accumulation of deformed
beta amyloid and hyperphosphorylated tau proteins resulting into formation and
aggregation of senile plaques and neurofibrillary tangles in the brain.
Additionally, AD is associated with the accumulation of iron or metal ions in the
brain which causes oxidative stress. Galantamine (Gal) is one of the therapeutic
agents that has been approved for the treatment of AD, but still saddled with
numerous side effects and could not address the issue of iron accumulation in the
brain. The use of metal chelators to address the iron accumulation has not been
successful due to toxicity and inability to address the aggregation of the
plaques. We therefore hypothesize a combinatorial antioxidant-metal-chelator
approach by formulating a single dosage form that has the ability to prevent the
formation of free radicals, plaques and accumulation of iron in the brain. This
can be achieved by conjugating Gal with apo-lactoferrin (ApoLf), a natural
compound that has high binding affinity for iron, to form an apo-lactoferrin
galantamine proteo-alkaloid conjugate (ApoLf-Gal) as a single dosage form for AD
management. The conjugation is achieved through self-assembly of ApoLf which
results in encapsulation of Gal. ApoLf changes its conformational structure in
the presence of iron; therefore, ApoLf-Gal is proposed to deliver Gal and pick up
excess iron when in contact with iron. This strategy has the potential to proffer
a dual neuroprotection and neurotherapeutic interventions for the management of
AD.
PMID- 29377516
TI - Bacterial Surface Traits Influence Digestion by Tetrahymena pyriformis and Alter
Opportunity to Escape from Food Vacuoles.
AB - Endosymbiotic interactions are frequently found in nature, especially in the
group of protists. Even though many endosymbioses have been studied in detail,
little is known about the mechanistic origins and physiological prerequisites of
endosymbiont establishment. A logical step towards the development of
endocytobiotic associations is evading digestion and escaping from the host's
food vacuoles. Surface properties of bacteria are probably involved in these
processes. Therefore, we chemically modified the surface of a transformant strain
of Escherichia coli prior to feeding to Tetrahymena pyriformis. N-(3
dimethylaminopropyl)-N'-ethylcarbodiimide allows any substance carrying amino- or
carboxyl groups to be bound covalently to the bacterial surface by forming a
peptide bond, thus, altering its properties biochemically and biophysically in a
predictable manner. The effect of different traits on digestion of T. pyriformis
was examined by fluorescence and transmission electron microscopy. The efficiency
of digestion differs considerably depending on the coupled substances. Alkaline
substances inhibit digestion partially, resulting in incomplete digestion and
slightly enhanced escape rates. Increasing hydrophobicity leads to much higher
escape frequencies. Both results point to possible mechanisms employed by
pathogenic bacteria or potential endosymbionts in evading digestion and
transmission to the host's cytoplasm.
PMID- 29377517
TI - Inoculation history affects community composition in experimental freshwater
bacterioplankton communities.
AB - Priority effects occur when the arrival order of species or genotypes has a
lasting effect on community or population structure. For freshwater bacteria,
priority effects have been shown experimentally among individual species, but no
experiments have been performed using complex natural communities. We
investigated experimentally whether a foreign bacterioplankton community
influences the community assembly trajectory when inoculated prior to the local
community, whether inoculation time lag affects priority effects, and how the
individual OTUs responded to time lag. Two bacterioplankton communities from
dissimilar ponds were inoculated into one of the natural media with a time lag of
0, 12, 36 or 60 h, giving advantage in time to the foreign community. All three
time lags resulted in priority effects, as the final community composition of
these treatments differed significantly from that of the treatment with no time
lag, but compositional shifts were not linear to inoculation time lag. The
responses of individual OTUs to time lag were highly diverse and not predictable
based on their immigration history or relative abundance in the inocula or
control. The observed impact and complexity of priority effects in multispecies
systems emphasize the importance of this process in structuring both natural and
industrial bacterial communities.
PMID- 29377518
TI - Site-Selective Functionalization of Flagellin by Steric Self-Protection: A
Strategy To Facilitate Flagellin as a Self-Adjuvanting Carrier in Conjugate
Vaccine.
AB - Flagellin (FliC) can act as a carrier protein in the preparation of conjugate
vaccines to elicit a T-cell-dependent immune response and as an intrinsic
adjuvant to activate the toll-like receptor 5 (TLR5) to enhance vaccine potency.
To enable the use of FliC as a self-adjuvanting carrier, an effective method for
site-selective modification (SSM) of pertinent amino-acid residues in the D2 and
D3 domains of FliC is explored without excessive modification of the D0 and D1
domains, which are responsible for activating and binding with TLR5. In highly
concentrated Na2 SO4 solution, FliC monomers form flagellar filaments, in which
the D0 and D1 domains are situated inside the tubular structure. Thus, the lysine
residues (K219, K224, K324, and K331) in the D2 and D3 domains of flagellin are
selectively modified by a diazo-transfer reaction with imidazole-1-sulfonyl
azide. The sites with azido modification are confirmed by MALDI-TOF-MS, ESI-TOF
MS, and LC-MS/MS analyses along with label-free quantitation. The azido-modified
filament dissolves to give FliC monomers, which can conjugate with alkyne-hinged
saccharides by the click reaction. Transmission electron microscopy imaging,
dynamic light scattering measurements, and the secreted embryonic alkaline
phosphatase reporter assay indicate that the modified FliC monomers retain the
ability either to bind with TLR5 or to reassemble into filaments. Overall, this
study establishes a feasible method for the SSM of FliC by steric self-protection
of the D0 and D1 domains.
PMID- 29377515
TI - Impact of interferon-free regimens on the glomerular filtration rate during
treatment of chronic hepatitis C in a real-life cohort.
AB - Little data are available on renal toxicity exerted by direct-acting antivirals
(DAAs) in real life. The aim of this study was to assess the impact of direct
acting antivirals against hepatitis C virus infection currently used in Spain and
Portugal on the estimated glomerular filtration rate (eGFR) in clinical practise.
From an international, prospective multicohort study, patients treated with DAAs
for at least 12 weeks and with eGFR >=30 mL/min per 1.73 m2 at baseline were
selected. eGFR was determined using the CKD-EPI formula. A total of 1131 patients
were included; 658 (58%) were HIV/HCV-coinfected patients. Among the 901 patients
treated for 12 weeks, median (interquartile range) eGFR was 100 (87-107) at
baseline vs 97 (85-105) mL/min per 1.73 m2 at week 12 of follow-up (FU12) post
treatment (P < .001). For HIV-coinfected subjects who received tenofovir plus a
ritonavir-boosted HIV protease inhibitor (PI/r), baseline vs FU12 eGFR were 104
(86-109) vs 104 (91-110) mL/min per 1.73 m2 (P = .913). Among subjects receiving
ombitasvir/paritaprevir with or without dasabuvir, eGFR did not show any
significant change. Of 1100 subjects with eGFR >60 mL/min per 1.73 m2 at
baseline, 22 (2%) had eGFR <60 mL/min per 1.73 m2 at FU12, but none presented
with eGFR <30 mL/min per 1.73 m2 . In conclusion, eGFR slightly declines during
therapy with all-oral DAAs and this effect persists up to 12 weeks after stopping
treatment in subjects with normal to moderately impaired renal function,
regardless of HIV status. Concomitant use of tenofovir plus PI/r does not seem to
have an impact on eGFR.
PMID- 29377519
TI - Nurses' competence in genetics: An integrative review.
AB - The aim of this integrative review was to update a mixed method systematic review
by Skirton, O'Connor, and Humphreys (2012) that reported on nurses' levels of
competence in using genetics in clinical practice. Three electronic databases
were searched using selected key words. Research studies published in English
between January 2011 and September 2017 reporting levels of nurse competence in
genetics or genomics were eligible for inclusion. The selected studies were
subjected to thematic analysis. Three main themes were identified: (i) genomic
knowledge and utilization, (ii) perceived relevance to practice, and (iii)
genomic education. While the reviewed papers produced varied findings, many
nurses were shown to have poor genomic knowledge and/or competency, and yet there
was a consensus that most nurses believe genomics is important to their practice.
The present review indicated that in the past 5 years nurses have made minimal
progress toward achieving the core genomic competencies appropriate for clinical
practice.
PMID- 29377520
TI - Comparative Pharmacological Study of Common NMDA Receptor Open Channel Blockers
Regarding Their Affinity and Functional Activity toward GluN2A and GluN2B NMDA
Receptors.
AB - Because only a few studies have investigated the affinity and functional activity
of NMDA receptor open channel blockers under the same assay conditions, a
comparative study of common open channel blockers is of major interest. The
pharmacological activities of MK-801, phencyclidine (PCP), dexoxadrol, etoxadrol,
(S)- and (R)-ketamine, dextromethorphan, memantine, and amantadine were analyzed
under uniform assay conditions. Affinity toward the PCP and ifenprodil binding
sites was recorded in radioligand binding assays. GluN2A and GluN2B subtype
specific cytoprotective activity was determined in lactate dehydrogenase (LDH)
assays. The data were correlated with published IC50 values obtained in two
electrode voltage clamp experiments. A high correlation was found between PCP
affinity, ion flux inhibition, and cytoprotective activity. The channel blockers
were classified into four groups showing high, moderate, low, and very low
potency. Some of the open channel blockers display unexpected subtype
selectivity. The comparative study allows the characterization of open channel
blockers from their receptor ligand interaction via inhibition of ion flux up to
overall cytoprotective activity. The subtype preference of some open channel
blockers will stimulate the development of novel subtype-selective open channel
blockers with decreased side effect potential.
PMID- 29377521
TI - Subsequent Unintended Pregnancy Among US Women Who Receive or Are Denied a Wanted
Abortion.
AB - INTRODUCTION: Seventeen percent of women in the United States experience more
than one unintended pregnancy in their lifetimes. However, few studies examine
how the resolution of unintended pregnancy, whether in birth or abortion, affects
the likelihood of a subsequent unintended pregnancy. Our objective was to
determine whether receiving or being denied a wanted abortion is associated with
subsequent unintended pregnancy. METHODS: The Turnaway Study, a 5-year,
prospective cohort study, followed women who sought an abortion at one of 30
abortion facilities across the United States between 2008 and 2010. Secondary
analysis of the Turnaway data analyzed the effects of various factors on time to
subsequent unintended pregnancy. RESULTS: By 5 years, the rate of unintended
pregnancy was 42 per 100 women with no difference between those who received and
those who were denied a wanted abortion. Women aged 35 to 46 years (vs those aged
20-24 years), women with a college degree (vs women who had completed high school
or obtained a general education diploma), and foreign-born (vs native-born) women
had a reduced rate of a subsequent unplanned pregnancy (adjusted hazard ratio
[AHR], 0.30; 95% confidence interval [CI], 0.16-0.57; AHR, 0.54, 95% CI, 0.30
0.97; AHR, 0.44; 95% CI, 0.25-0.77, respectively). Higher parity and a history of
depression were positively associated with a higher rate of subsequent unintended
pregnancy. There was no difference in the outcomes of these unintended
pregnancies by study group; approximately one-sixth ended in miscarriage and one
quarter of subsequent unintended pregnancies ended in abortion. DISCUSSION:
Neither receiving nor being denied abortion is associated with subsequent
unintended pregnancy risk. Other factors such as nativity, parity, age, and
mental health history are associated with multiple unintended pregnancies.
Ensuring access to abortion services will not increase the likelihood that women
will experience subsequent unintended pregnancies.
PMID- 29377522
TI - Dulaglutide treatment results in effective glycaemic control in latent autoimmune
diabetes in adults (LADA): A post-hoc analysis of the AWARD-2, -4 and -5 Trials.
AB - AIMS: Patients with a type-2-diabetes (T2D) phenotype positive for glutamic acid
decarboxylase antibodies (GADA) represent the majority of cases of latent
autoimmune diabetes of the adult (LADA). The GLP-1 receptor agonist dulaglutide,
recently introduced for treatment of T2D, has yet to be evaluated in LADA
patients. Our primary objective was to evaluate the effect of dulaglutide on
glycaemic control (HbA1c) in GADA-positive LADA vs GADA-negative T2D patients.
METHODS: A post-hoc analysis was performed using data from 3 randomized phase 3
trials (AWARD-2,-4,-5; patients with GADA assessment) which were part of the
dulaglutide clinical development programme in T2D. LADA patients were identified
by GADA >=5 IU/mL (ELISA). Changes in HbA1c during 12 months of treatment with
dulaglutide or comparator were analysed using mixed-effect model repeated
measures. RESULTS: Of 2466 adults tested for GADA (dulaglutide, 1710; glargine,
298; sitagliptin, 294; placebo, 164), 2278 (92.4%) were GADA-negative and 188
(7.6%) were GADA-positive, including 58 GADA-high patients (> 200 IU/mL) and 130
GADA-low patients (<=200 and >=5 IU/mL). Overall, baseline parameters were
comparable between the groups. Dulaglutide resulted in comparable HbA1c
reductions in GADA-negative (LS mean change [95%CI], -1.09% [-1.15, -1.03]) and
GADA-positive patients (-0.94% [-1.15, -0.72]) at 12 months. HbA1c reductions
were numerically, but not statistically, significantly larger in GADA-low
patients (-1.02% [-1.26, -0.78]) vs GADA-high patients (-0.72% [-1.21,-0.24]) at
12 months. Similar outcomes were observed at 3 and 6 months. CONCLUSIONS: These
data are the first to indicate that dulaglutide was effective in reducing HbA1c
in LADA patients.
PMID- 29377523
TI - Electrochemical Dynamics of a Single Platinum Nanoparticle Collision Event for
the Hydrogen Evolution Reaction.
AB - Chronoamperometry was used to study the dynamics of Pt nanoparticle (NP)
collision with an inert ultramicroelectrode via electrocatalytic amplification
(ECA) in the hydrogen evolution reaction. ECA and dynamic light scattering (DLS)
results reveal that the NP colloid remains stable only at low proton
concentrations (1.0 mm) under a helium (He) atmosphere, ensuring that the
collision events occur at genuinely single NP level. Amperometry of single NP
collisions under a He atmosphere shows that each discrete current profile of the
collision event evolves from spike to staircase at more negative potentials,
while a staircase response is observed at all of the applied potentials under
hydrogen-containing atmospheres. The particle size distribution estimated from
the diffusion-controlled current in He agrees well with electron microscopy and
DLS observations. These results shed light on the interfacial dynamics of the
single nanoparticle collision electrochemistry.
PMID- 29377525
TI - Mesoporous bioactive glass embedding propolis and cranberry antibiofilm
compounds.
AB - The aim of this study was to evaluate the chemical reactivity of 58S mesoporous
bioactive glass (MBG) particles in as-synthesized condition and after embedding
propolis and cranberry antibiofilm compounds at different concentrations. MBG 58S
was synthesized by alkali sol-gel method with the addition of the triblock
pluronic copolymer P123 as surfactant. Samples were characterized by
physicochemical properties measurement, N2 adsorption/desorption analysis, and
field emission gun scanning electron microscopy (FEGSEM) observations. MBG
powders were immersed into 5 and 10 ug/mL propolis or cranberry solutions for 24
h. The chemical reactivity of the specimens was evaluated by FEGSEM, EDX, FTIR,
Ca/P ratio, XRD, and sample weight gain analysis after being immersed in
simulated body fluid (SBF) for 8, 24, and 72 h. MBG particles exhibited the
expected chemical composition with a particle size distribution ranging from 1.44
to 955 um, and a mean particle size of 154 um. MBG particles exhibited a pore
volume of 0.8 cc/g, pore radius of ~2 nm, and surface area of 350.2 m2 /g,
according to BJH and BET analyses. A hydroxyl-carbonate apatite (HCAp) layer was
formed on all samples after SBF immersion for 72 h. Pure MBG showed the highest
chemical reactivity after 72 h, with the resulting apatite layer exhibiting a
Ca/P ratio of ~1.6 in accordance to stoichiometric biological apatite. MBG
embedding propolis and cranberry can be considered for future microbiological
analysis since the presence of propolis or cranberry did not interfere with MBG's
ability to develop a HCAp layer, which is an essential feature for bone
regeneration applications. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res
Part A: 106A: 1614-1625, 2018.
PMID- 29377524
TI - Model-driven design of a minimal medium for Akkermansia muciniphila confirms
mucus adaptation.
AB - The abundance of the human intestinal symbiont Akkermansia muciniphila has found
to be inversely correlated with several diseases, including metabolic syndrome
and obesity. A. muciniphila is known to use mucin as sole carbon and nitrogen
source. To study the physiology and the potential for therapeutic applications of
this bacterium, we designed a defined minimal medium. The composition of the
medium was based on the genome-scale metabolic model of A. muciniphila and the
composition of mucin. Our results indicate that A. muciniphila does not code for
GlmS, the enzyme that mediates the conversion of fructose-6-phosphate (Fru6P) to
glucosamine-6-phosphate (GlcN6P), which is essential in peptidoglycan formation.
The only annotated enzyme that could mediate this conversion is Amuc-NagB on
locus Amuc_1822. We found that Amuc-NagB was unable to form GlcN6P from Fru6P at
physiological conditions, while it efficiently catalyzed the reverse reaction. To
overcome this inability, N-acetylglucosamine needs to be present in the medium
for A. muciniphila growth. With these findings, the genome-scale metabolic model
was updated and used to accurately predict growth of A. muciniphila on synthetic
media. The finding that A. muciniphila has a necessity for GlcNAc, which is
present in mucin further prompts the adaptation to its mucosal niche.
PMID- 29377526
TI - Low maternal vitamin D status in pregnancy increases the risk of childhood
obesity.
AB - BACKGROUND: Vitamin D may modulate adipogenesis. However, limited studies have
investigated the effect of maternal vitamin D during pregnancy on offspring
adiposity or cardiometabolic parameters with inconclusive results. OBJECTIVES:
The objective of this study is to examine the association of maternal 25(OH)
vitamin D [25(OH)D] status with offspring obesity and cardiometabolic
characteristics in 532 mother-child pairs from the prospective pregnancy cohort
Rhea in Crete, Greece. METHODS: Maternal 25(OH)D concentrations were measured at
the first prenatal visit (mean: 14 weeks, SD: 4). Child outcomes included body
mass index standard deviation score, waist circumference, skin-fold thickness,
blood pressure and serum lipids at ages 4 and 6 years. Body fat percentage was
also measured at 6 years. Body mass index growth trajectories from birth to 6
years were estimated by mixed effects models with fractional polynomials of age.
Adjusted associations were obtained via multivariable linear regression analyses.
RESULTS: About two-thirds of participating mothers had 25(OH)D concentrations <50
nmol L-1 . Offspring of women in the low 25(OH)D tertile (<37.7 nmol L-1 ) had
higher body mass index standard deviation score (beta 0.20, 95% CI: 0.03, 0.37),
and waist circumference (beta 0.87 95% CI: 0.12, 1.63) at preschool age, compared
with the offspring of women with higher 25(OH)D measurements (>=37.7 nmol L-1 ),
on covariate-adjusted analyses. The observed relationships persisted at age 6
years. We found no association between maternal 25(OH)D concentrations and
offspring blood pressure or serum lipids at both time points. CONCLUSIONS:
Exposure to very low 25(OH)D concentrations in utero may increase childhood
adiposity indices. Given that vitamin D is a modifiable risk factor, our findings
may have important public health implications.
PMID- 29377527
TI - Improvement in the Photobiological Hydrogen Production of Aggregated Chlorella by
Dimethyl Sulfoxide.
AB - Photobiological hydrogen production plays a vital role in generating clean
renewable energy owing to its low energy consumption and environmental
friendliness. Although materials-induced Chlorella aggregates have been developed
to achieve sustained photobiological hydrogen production under normal aerobic
conditions, the yield is relatively low and equals only 0.42 % of the light-to-H2
energy-conversion efficiency. Herein, we report that only 0.5 vol % dimethyl
sulfoxide in an aqueous environment significantly enhances the H2 yield produced
by aggregated Chlorella, reaching 0.69 % of the light-to-H2 energy-conversion
efficiency. This improvement can be attributed to an increase in the cellular
respiration rate by dimethyl sulfoxide, which results in a decrease in the oxygen
content inside the aggregates and, ultimately, to the activation of more
hydrogenases. More generally, this strategy consists of a functional enhancement
in organism-material hybrids by using small molecules.
PMID- 29377529
TI - One-Way Particle Transport Using Oscillatory Flow in Asymmetric Traps.
AB - One challenge of integrating of passive, microparticles manipulation techniques
into multifunctional microfluidic devices is coupling the continuous-flow format
of most systems with the often batch-type operation of particle separation
systems. Here, a passive fluidic technique-one-way particle transport-that can
conduct microparticle operations in a closed fluidic circuit is presented.
Exploiting pass/capture interactions between microparticles and asymmetric traps,
this technique accomplishes a net displacement of particles in an oscillatory
flow field. One-way particle transport is achieved through four kinds of trap
particle interactions: mechanical capture of the particle, asymmetric
interactions between the trap and the particle, physical collision of the
particle with an obstacle, and lateral shift of the particle into a particle
trapping stream. The critical dimensions for those four conditions are found by
numerically solving analytical mass balance equations formulated using the
characteristics of the flow field in periodic obstacle arrays. Visual observation
of experimental trap-particle dynamics in low Reynolds number flow (<0.01)
confirms the validity of the theoretical predictions. This technique can
transport hundreds of microparticles across trap rows in only a few fluid
oscillations (<500 ms per oscillation) and separate particles by their size
differences.
PMID- 29377530
TI - A mixed methods analysis of experiences and expectations among early-career
medical oncologists in Australia.
AB - AIM: A viable and sustainable medical oncology profession is integral for meeting
the increasing demand for quality cancer care. The aim of this study was to
explore the workforce-related experiences, perceptions and career expectations of
early-career medical oncologists in Australia. METHODS: A mixed-methods design,
including a survey (n = 170) and nested qualitative semistructured interviews
(n = 14) with early-career medical oncologists. Recruitment was through the
Medical Oncology Group of Australia. Qualitative data were thematically analyzed
and for the survey results, logistic regression modeling was conducted. RESULTS:
Early-career medical oncologists experienced uncertainty regarding their future
employment opportunities. The competitive job market has made them cautious about
securing a preferred job leading to a perceived need to improve their
qualifications through higher degree training and research activities. The
following themes and trends were identified from the qualitative and quantitative
analyses: age, career stage and associated early-career uncertainty; locale,
professional competition and training preferences; participation in research and
evolving professional expectations; and workload and career development
opportunities as linked to career uncertainty. CONCLUSION: Perceived diminished
employment opportunities in the medical oncology profession, and shifting
expectations to be "more qualified," have increased uncertainty among junior
medical oncologists in terms of their future career prospects. Structural factors
relating to adequate funding of medical oncology positions may facilitate or
inhibit progressive change in the workforce and its sustainability. Workforce
planning and strategies informed by findings from this study will be necessary in
ensuring that both the needs of cancer patients and of medical oncologists are
met.
PMID- 29377528
TI - Filifactor alocis modulates human neutrophil antimicrobial functional responses.
AB - Filifactor alocis is a newly appreciated pathogen in periodontal diseases.
Neutrophils are the predominant innate immune cell in the gingival crevice. In
this study, we examined modulation of human neutrophil antimicrobial functions by
F. alocis. Both non-opsonised and serum-opsonised F. alocis were engulfed by
neutrophils but were not efficiently eliminated. Challenge of neutrophils with
either non-opsonised or serum-opsonised F. alocis induced a minimal intracellular
as well as extracellular respiratory burst response compared to opsonised
Staphylococcus aureus and fMLF, respectively. However, pretreatment or
simultaneous challenge of neutrophils with F. alocis did not affect the
subsequent oxidative response to a particulate stimulus, suggesting that the
inability to trigger the respiratory response was only localised to F. alocis
phagosomes. In addition, although neutrophils engulfed live or heat-killed F.
alocis with the same efficiency, heat-killed F. alocis elicited a higher
intracellular respiratory burst response compared to viable organisms, along with
decreased surface expression of CD35, a marker of secretory vesicles. F. alocis
phagosomes remained immature by delayed and reduced recruitment of specific and
azurophil granules, respectively. These results suggest that F. alocis withstands
neutrophil antimicrobial responses by preventing intracellular ROS production,
along with specific and azurophil granule recruitment to the bacterial phagosome.
PMID- 29377531
TI - Ultrasmall Pb:Ag2 S Quantum Dots with Uniform Particle Size and Bright Tunable
Fluorescence in the NIR-II Window.
AB - Ag2 S quantum dots (QDs) are well-known near-infrared fluorophores and have
attracted great interest in biomedical labeling and imaging in the past years.
However, their photoluminescence efficiency is hard to compete with Cd-, Pb-based
QDs. The high Ag+ mobility in Ag2 S crystal, which causes plenty of cation
deficiency and crystal defects, may be responsible mainly for the low
photoluminescence quantum yield (PLQY) of Ag2 S QDs. Herein, a cation-doping
strategy is presented via introducing a certain dosage of transition metal Pb2+
ions into Ag2 S nanocrystals to mitigate this intrinsic shortcoming. The Pb-doped
Ag2 S QDs (designated as Pb:Ag2 S QDs) present a renovated crystal structure and
significantly enhanced optical performance. Moreover, by simply adjusting the
levels of Pb doping in the doped nanocrystals, Pb:Ag2 S QDs with bright emission
(PLQY up to 30.2%) from 975 to 1242 nm can be prepared without altering the
ultrasmall particle size (~2.7-2.8 nm). Evidently, this cation-doping strategy
facilitates both the renovation of crystal structure of Ag2 S QDs and modulation
of their optical properties.
PMID- 29377532
TI - To reduce maternal mortality, we must know and respond to women's personal
stories.
PMID- 29377533
TI - Microtensile bond strength of universal adhesives to flat versus Class I cavity
dentin with pulpal pressure simulation.
AB - OBJECTIVES: The aim of this study was to test long-term microtensile bond
strength (uTBS) of 2 universal adhesives applied to dentin following "total-etch"
(TE) or "self-etch" (SE) protocols and aged by direct or indirect water exposure
using simulated pulpal pressure. MATERIALS AND METHODS: Single Bond Universal
(SBU, 3M ESPE) or Ipera Bond (IP, Itena) were applied to mid-coronal dentin
("flat dentin") or Class I cavity ("cavity dentin") following TE or SE protocols
in 112 extracted human third molars. Sixteen groups (n = 7 per group) were
prepared, 8 groups for uTBS measurements after 24 hours, and further 8 groups for
measurements after 6 months storage. "Cavity dentin" groups were subjected to
simulated hydrostatic pulpal pressure of 15 cm H2 O using a custom-made device
before cutting 1 * 1 mm sticks while "flat dentin" groups were cut into sticks
and directly exposed to deionized water. RESULTS: Generally, the TE protocol
resulted in highest uTBS values on flat dentin initially for both adhesives
(general linear model, P < .05). Long-term storage resulted in significantly
lower uTBS values for the TE protocol (P < .05) while the SE protocol showed
comparable values after 6 months (P > .05). "Cavity dentin" with simulated pulpal
pressure resulted in lower uTBS than "flat dentin" (P < .05). For both adhesives,
uTBS was in the range of 19-42 MPa initially and 16-36 MPa after 6 months
storage. CONCLUSIONS: uTBS to dentin of universal adhesives is more stable in the
long term following the SE than TE protocol. Simulated pulpal pressure and cavity
type sample preparation may be recommended for uTBS testing as a more clinically
relevant strategy. CLINICAL SIGNIFICANCE: Microtensile bond strength to dentin of
universal adhesives appears more stable following the "self-etch" than "total
etch" protocol after long-term degradation. More clinically relevant data may be
obtained using simulated pulpal pressure and cavity-type sample preparation in
the study design.
PMID- 29377534
TI - The Five Attributes of a Supportive Midwifery Practice Climate: A Review of the
Literature.
AB - INTRODUCTION: A supportive work climate is associated with decreased burnout and
attrition, and increased job satisfaction and employee health. A review of the
literature was conducted in order to determine the unique attributes of a
supportive practice climate for midwives. METHODS: The midwifery literature was
reviewed and synthesized using concept analysis technique guided by literature
from related professions. The search was conducted primarily in PubMed, CINAHL,
Web of Science, and Google Scholar. Articles were included if they were conducted
between 2006 and 2016 and addressed perceptions of the midwifery practice climate
as it related to patient, provider, and organizational outcomes. RESULTS: The
literature identified 5 attributes consistent with a supportive midwifery
practice climate: effective leadership, adequate resources, collaboration,
control of one's work, and support of the midwifery model of care. Effective
leadership styles include situational and transformational, and 9 traits of
effective leaders are specified. Resources consist of time, personnel, supplies,
and equipment. Collaboration encompasses relationships with all members of the
health care team, including midwives inside and outside of one's practice.
Additionally, the patients are considered collaborating members of the team.
Characteristics of effective collaboration include a shared vision, role clarity,
and respectful communication. Support for the midwifery model of care includes
value congruence, developing relationships with women, and providing high-quality
care. DISCUSSION: The attributes of a supportive midwifery practice climate are
generally consistent with theoretical models of supportive practice climates of
advanced practice nurses and physicians, with the exception of a more inclusive
definition of collaboration and support of the midwifery model of care. The
proposed Midwifery Practice Climate Model can guide instrument development,
determining relationships between the attributes of the practice climate and
outcomes, and creating interventions to improve the practice climate, workforce
stability, and patient outcomes.
PMID- 29377535
TI - Hot Water Generates Crystalline Organic Materials.
AB - In hot water: Hydrothermal crystallization was recently used to transform
amporphous polymer networks into amide-linked, stable covalent-organic
frameworks. This work is highlighted in the context of the synthesis and
especially crystallization of organic materials by using high-temperature water.
PMID- 29377536
TI - Recent Advances in Supramolecular Gels and Catalysis.
AB - Over the past two decades, supramolecular gels have attracted significant
attention from scientists in diverse research fields and have been extensively
developed. This review mainly focuses on the significant achievements in
supramolecular gels and catalysis. First, by incorporating diverse catalytic
sites and active organic functional groups into gelator molecules, supramolecular
gels have been considered as a novel matrix for catalysis. In addition, these
rationally designed supramolecular gels also provide a variety of templates to
access metal nanocomposites, which may function as catalysts and exhibit high
activity in diverse catalytic transformations. Finally, as a new kind of
biomaterial, supramolecular gels formed in situ by self-assembly triggered by
catalytic transformations are also covered herein.
PMID- 29377537
TI - Counterpoint to the hypothesis that SGLT2 inhibitors protect the heart by
antagonizing leptin.
PMID- 29377538
TI - The Effect of Voltage Charging on the Transport Properties of Gold Nanotube
Membranes.
AB - Porous membranes are used in chemical separations and in many electrochemical
processes and devices. Research on the transport properties of a unique class of
porous membranes that contain monodisperse gold nanotubes traversing the entire
membrane thickness is reviewed here. These gold nanotubes can act as conduits for
ionic and molecular transports through the membrane. Because the tubes are
electronically conductive, they can be electrochemically charged by applying a
voltage to the membrane. How this "voltage charging" affects the transport
properties of gold nanotube membranes is the subject of this Review. Experiments
showing that voltage charging can be used to reversibly switch the membrane
between ideally cation- and anion-transporting states are reviewed. Voltage
charging can also be used to enhance the ionic conductivity of gold nanotube
membranes. Finally, voltage charging to accomplish electroporation of living
bacteria as they pass through gold nanotube membranes is reviewed.
PMID- 29377539
TI - Therapeutic effects of a recombinant human collagen peptide bioscaffold with
human adipose-derived stem cells on impaired wound healing after radiotherapy.
AB - Chronic changes following radiotherapy include alterations in tissue-resident
stem cells and vasculatures, which can lead to impaired wound healing. In this
study, novel recombinant human collagen peptide (rhCP) scaffolds were evaluated
as a biomaterial carrier for cellular regenerative therapy. Human adipose-derived
stem cells (hASCs) were successfully cultured on rhCP scaffolds. By hASC culture
on rhCP, microarray assay indicated that expression of genes related to cell
proliferation and extracellular matrix production was upregulated. Pathway
analyses revealed that signaling pathways related to inflammatory suppression and
cell growth promotion were activated as well as signaling pathways consistent
with some growth factors including vascular endothelial growth factor, hepatocyte
growth factor, and transforming growth factor beta, although gene expression of
these growth factors was not upregulated. These findings suggest the rhCP
scaffold showed similar biological actions to cytokines regulating cell growth
and immunity. In subsequent impaired wound healing experiments using a locally
irradiated (20 Gray) mouse, wound treatment with rhCP sponges combined with
cultured hASCs and human umbilical vein endothelial cells accelerated wound
closure compared with wounds treated with rhCP with hASCs alone, rhCP only, and
control (dressing alone), with better healing observed according to this order.
These results indicating the therapeutic value of rhCP scaffolds as a topical
biomaterial dressing and a biocarrier of stem cells and vascular endothelial
cells for regenerating therapies. The combination of rhCP and functional cells
was suggested to be a potential tool for revitalizing stem cell-depleted
conditions such as radiation tissue damage.
PMID- 29377540
TI - MicroRNA-99a is a novel regulator of KDM6B-mediated osteogenic differentiation of
BMSCs.
AB - Skeletal tissue originates from mesenchymal stem cells (MSCs) with
differentiation potential into the osteoblast lineage regulated by essential
transcriptional and post-transcriptional mechanisms. Recently, miRNAs and histone
modifications have been identified as novel key regulators of osteogenic
differentiation of MSCs. Here, we identified miR-99a and its target lysine (K)
specific demethylase 6B (KDM6B) gene as novel modulators of osteogenic
differentiation of bone mesenchymal stem cells (BMSCs). Microarray profiling and
further validation by quantitative real-time RT-PCR revealed that miR-99a was up
regulated during osteoblastic differentiation of BMSCs, and decreased in
differentiated osteoblasts. Transfection of miR-99a mimics inhibited osteoblastic
commitment and differentiation of BMSCs, whereas inhibition of miR-99a by
inhibitors enhances these processes. KDM6B was determined as one of important
targets of miR-99a, which was further confirmed by luciferase assay of 3'-UTR of
KDM6B. Moreover, HOX gene level decreased after transfection of miR-99a mimics in
BMSCs, which indicated that KDM6B is a bona fide target of miR-99a. Furthermore,
in a model of in vivo bone regeneration, osteoblast-specific gain- and loss-of
function experiments performed using cranial bone defects revealed that miR-99a
mimics-transfected BMSCs reduced bone formation, and conversely, miR-99a
inhibitors-transfected BMSCs increased in vivo bone formation. Tissue-specific
inhibition of miR-99a may be a potential novel therapeutic approach for enhancing
BMSCs-based bone formation and regeneration.
PMID- 29377541
TI - Supramolecular Nested Microbeads as Building Blocks for Macroscopic Self-Healing
Scaffolds.
AB - The ability to construct self-healing scaffolds that are injectable and capable
of forming a designed morphology offers the possibility to engineer sustainable
materials. Herein, we introduce supramolecular nested microbeads that can be used
as building blocks to construct macroscopic self-healing scaffolds. The core
shell microbeads remain in an "inert" state owing to the isolation of a pair of
complementary polymers in a form that can be stored as an aqueous suspension. An
annealing process after injection effectively induces the re-construction of the
microbead units, leading to supramolecular gelation in a preconfigured shape. The
resulting macroscopic scaffold is dynamically stable, displaying self-recovery in
a self-healing electronic conductor. This strategy of using the supramolecular
assembled nested microbeads as building blocks represents an alternative to
injectable hydrogel systems, and shows promise in the field of structural
biomaterials and flexible electronics.
PMID- 29377542
TI - Domperidone upregulates dopamine receptor expression and stimulates locomotor
activity in larval zebrafish (Danio rerio).
AB - Dopamine (DA) plays a significant role in cognition, motor function and social
behavior. The objectives of this study were to (1) quantify the temporal
expression of transcripts (DA receptors, transporters and tyrosine hydroxylase)
associated with DA signaling during early stages of zebrafish development and (2)
determine their expression profiles following treatment with a D2 receptor
antagonist domperidone (DMP). We also assessed locomotor behavior following
treatment with DMP using alternating periods of light and dark (ie, dark
photokinesis), as DA plays a key role in behavior. Relative expression levels of
transcripts that were investigated and related to the DA system were detected
after the first 24 hours postfertilization (hpf). Some DA receptor transcripts
(eg, drd4c) increased in abundance earlier in the embryo compared with other
receptors (eg, drd3), suggesting that DA receptor paralogs may have unique roles
in development. Treatment of larvae with DMP resulted in the upregulation of DA
receptor transcripts (ie, drd1, drd7, drd4b, drd4c) and DA transporter 1 (ie,
slc6a3), and it is hypothesized that upregulation of genes related to the DA
system is a compensatory neurophysiological response to DA receptor antagonism.
Larval activity during dark photokinesis (measured by distance traveled) was also
elevated by DMP. We hypothesize that behavioral responses observed with DMP may
be related to the regulation of deep brain photoreception in zebrafish (Danio
rerio) (ZF) larvae by DA.
PMID- 29377543
TI - Novel mutation of GATA4 gene in Kurdish population of Iran with nonsyndromic
congenital heart septals defects.
AB - BACKGROUND: The mutations in GATA4 gene induce inherited atrial and ventricular
septation defects, which is the most frequent forms of congenital heart defects
(CHDs) constituting about half of all cases. METHOD: We have performed High
resolution melting (HRM) mutation scanning of GATA4 coding exons of nonsyndrome
100 patients as a case group including 39 atrial septal defects (ASD), 57
ventricular septal defects (VSD) and four patients with both above defects and 50
healthy individuals as a control group. Our samples are categorized according to
their HRM graph. The genome sequencing has been done for 15 control samples and
25 samples of patients whose HRM analysis were similar to healthy subjects for
each exon. The PolyPhen-2 and MUpro have been used to determine the causative
possibility and structural stability prediction of GATA4 sequence variation.
RESULTS: The HRM curve analysis exhibit that 21 patients and 3 normal samples
have deviated curves for GATA4 coding exons. Sequencing analysis has revealed 12
nonsynonymous mutations while all of them resulted in stability structure of
protein 10 of them are pathogenic and 2 of them are benign. Also we found two
nucleotide deletions which one of them was novel and one new indel mutation
resulting in frame shift mutation, and 4 synonymous variations or polymorphism in
6 of patients and 3 of normal individuals. Six or about 50% of these
nonsynonymous mutations have not been previously reported. CONCLUSION: Our
results show that there is a spectrum of GATA4 mutations resulting in septal
defects.
PMID- 29377544
TI - A reciprocal effects analysis of cannabis use and perceptions of risk.
AB - BACKGROUND AND AIMS: Adolescents and young adults increasingly view cannabis as a
relatively safe drug. Perception of risk associated with cannabis use is
correlated negatively with the prevalence of use, but the causal nature of this
association is debated. The aim of this study is to quantitate the reciprocal
associations between cannabis use and risk perception in a longitudinal panel of
emerging adults. DESIGN: Observational study of longitudinal data from the
Monitoring the Future longitudinal study using autoregressive cross-lagged panel
analyses to investigate reciprocal associations between cannabis risk perception
and frequency of past-year cannabis use. SETTING: Surveys administered to 12th
grade students from the United States general population. PARTICIPANTS: A total
of 9929 12th-grade students (mean age 18.0 years) who were surveyed initially
during 2000-05 and follow-up data until approximately 23-24 years old (three
waves; n = 9929). MEASUREMENTS: Perception of risk association with cannabis use
and frequency of past-year cannabis use. RESULTS: At baseline, 33% of the 12th
graders used cannabis in the past year versus 28% by the third follow-up; 83%
believed that smoking cannabis regularly carried moderate or great risk versus
78% by the third follow-up. All cross-lagged paths in both directions were
statistically significant (all P < 0.001), consistent with reciprocal influences
between cannabis use and risk perception. The negative association between past
year cannabis use and subsequent risk perception (standardized coefficient range
0.21 to -0.27) was stronger than that between risk perception and subsequent use
(standardized coefficient range -0.08 to -0.11; confidence intervals did not
overlap with those for the coefficients reported above). Similar results were
obtained when the analysis was limited to those who had never used cannabis prior
to baseline. CONCLUSIONS: Longitudinal associations between cannabis use and
perception of risks from cannabis use are reciprocal in nature, with a stronger
association between cannabis use and lower subsequent risk perception.
PMID- 29377545
TI - Synthesis and properties of blue luminescent bipolar materials constructed with
carbazole and anthracene units with 4-cyanophenyl substitute at the 9-position of
the carbazole unit.
AB - With carbazole and p-cyanobromobenzene as raw materials, 4-(3,6-di (anthracen-9
yl)-9H-carbazol-9-yl)benzonitrile (DACB) and 4-(3,6-bis(anthracene -9-ylethynyl)
9H-carbazol-9-yl)benzonitrile (BACB) were synthesized through the Suzuki coupling
reaction and the Sonogashira coupling reaction, respectively. These structures
were characterized using 1 H nuclear magnetic resonance (NMR), elemental analysis
and mass spectrometry. Their thermal properties, ultraviolet-visible (UV-vis)
absorption, fluorescence emission, fluorescence quantum yields and
electrochemical properties were also investigated systematically. In addition, a
electroluminescence (EL) device was made with BACB as the emitting layer and
performance of the EL device was studied. Results showed that: (1) the
temperature points with 5% and 10% of DACB weight loss were 443 degrees C and 461
degrees C, respectively, and were 475 degrees C and 506 degrees C with BACB
weight loss of 5% and 10%, respectively. When the temperature was 50-300 degrees
C, no significantly thermal transition was observed which suggested that they had
excellent thermal stability. (2) DACB and BACB had single emission peaks at 415
nm, and 479 nm with fluorescence quantum yields of 0.61 and 0.87, respectively,
indicating that both compounds could emit strong blue light. (3) According to
electrochemical measurement on BACB and DACB, their gaps were 3.07 eV and 2.76
eV, respectively, which further showed that these two compounds were very stable
and acted as efficient blue light materials. (4) The turn-on voltage of the
device was 5 V, and the device emitted dark blue light with Commission
Internationale de L'Eclairage (CIE) coordinates of (0.157, 0.079).
PMID- 29377546
TI - Flawed Study Design and Incorrect Presentation of Data Negatively Impact
Potentially Useful Interventional Treatments for Patients with Low Back Pain: A
Critical Review of JAMA's MinT Study.
PMID- 29377547
TI - Trapping One Electron between Three Beryllium Atoms: Very Strong One-Electron
Three-Center Bonds.
AB - The ability of a set of beryllium-substituted cyclohexane derivatives to trap
electrons was determined by evaluating their electron affinities at the G4(MP2)
level of theory. The nature of bonding and the effect of the different
substituents attached to beryllium were studied by different computational
methods (quantum theory of atoms in molecules, electron localization function,
natural bond orbital, and analysis of the spin density), revealing the existence
of a one-electron/Be3 cyclic bonding in trisubstituted species. This peculiar
bond is the key for the high electron affinity values found in the tri-BeX
derivatives (X=F, Cl, CN), such as the triberyllium cyano derivatives of
cyclohexane, reaching values of 294 kJ mol-1 , only marginally smaller than the
values reported for tetracyanoethylene (305 kJ mol-1 ) and for some fullerenes
(306 kJ mol-1 ).
PMID- 29377548
TI - Intracranial suppurative complications of ear, nose and throat infections: A
single-centre cohort study of 65 patients.
PMID- 29377549
TI - Effects of energy and thermoregulation time on physiological state and sexual
signal in a lizard.
AB - Theory of sexual selection states that males often develop showy signals, which
reduce their survival but increase their reproductive success. During mate
choice, these conspicuous signals can be honest indicators of individual quality
conveying information about the signaler's physiological state. Sexually selected
signals are influenced by many environmental factors; however, whether signals
and physiological state are affected together is rarely studied. The ultraviolet
blue throat color of male Lacerta viridis is an intra- and intersexually selected
signal connected to blood parasite infection and influenced by environmental
factors. The aim of this study was to experimentally investigate how ecologically
relevant environmental factors affect color signal intensity and key
physiological traits parallel. During the mating season, we exposed 40 adult male
lizards infected with blood parasites to food and basking time treatments in a
full factorial design. We measured color, amount of reactive oxygen metabolites
(ROMs), hematocrit, immunocompetence, and blood parasite intensity before and
after treatments. High basking time resulted in elevated immunocompetence coupled
with increased ROMs. The high food treatment increased nuptial color brightness,
but also increased ROMs and decreased immunocompetence. In summary, our study
provides experimental evidence about environmentally induced parallel changes in
an honest sexual signal and several quality-indicator physiological traits. We
showed that available energy and time for high metabolism have independent and
sometimes opposite effects on individual state.
PMID- 29377551
TI - Nurse and midwifery prescribing in Ireland: A scope-of-practice development for
worldwide consideration.
AB - For 10 years, select Irish nurses and midwives who pass a rigorous 6 month theory
and practical program can prescribe medications and other medicinal products.
Given the need for timely, accessible, and affordable health-care services in all
countries, this nursing/midwifery education and practice development is worthy of
examination. Irish nurse/midwife prescribing occurred following long-term
deliberative nursing profession advocacy, nursing education planning, nursing
administration and practice planning, interdisciplinary health-care team support
and complementary efforts, and government action. A review of documents,
research, and other articles was undertaken to examine this development process
and report evaluative information for consideration by other countries seeking to
improve their health-care systems. Nurse/midwife prescribing was accomplished
successfully in Ireland, with the steps taken there to initiate and establish
nurse/midwife prescribing of value internationally.
PMID- 29377550
TI - The FDA approved PI3K inhibitor GDC-0941 enhances in vitro the anti-neoplastic
efficacy of Axitinib against c-myc-amplified high-risk medulloblastoma.
AB - Aberrant receptor kinase signalling and tumour neovascularization are hallmarks
of medulloblastoma development and are both considered valuable therapeutic
targets. In addition to VEGFR1/2, expression of PDGFR alpha/beta in particular
has been documented as characteristic of metastatic disease correlating with poor
prognosis. Therefore, we have been suggested that the clinically approved multi
kinase angiogenesis inhibitor Axitinib, which specifically targets these kinases,
might constitute a promising option for medulloblastoma treatment. Indeed, our
results delineate anti-neoplastic activity of Axitinib in medulloblastoma cell
lines modelling the most aggressive c-myc-amplified Non-WNT/Non-SHH and SHH-TP53
mutated tumours. Exposure of medulloblastoma cell lines to Axitinib results in
marked inhibition of proliferation and profound induction of cell death. The
differential efficacy of Axitinib is in line with target expression of
medulloblastoma cells identifying VEGFR 1/2, PDGFR alpha/beta and c-kit as
potential markers for drug application. The high specificity of Axitinib and the
consequential low impact on the haematopoietic and immune system render this drug
ideal multi-modal treatment approaches. In this context, we demonstrate that the
clinically available PI3K inhibitor GDC-0941 enhances the anti-neoplastic
efficacy of Axitinib against c-myc-amplified medulloblastoma. Our findings
provide a rational to further evaluate Axitinib alone and in combination with
other therapeutic agents for the treatment of most aggressive medulloblastoma
subtypes.
PMID- 29377552
TI - Cardiorespiratory fitness, exercise haemodynamics and birth outcomes: the
Coronary Artery Risk Development in Young Adults Study.
AB - OBJECTIVE: Determine associations of cardiorespiratory fitness, exercise systolic
blood pressure (SBP) and heart rate recovery (HRR) following a maximal exercise
test performed years preceding pregnancy with odds of preterm birth (PTB; <37
weeks' gestation) and small for gestational age (SGA; birthweight <10th
percentile) delivery. DESIGN: Prospective, longitudinal. SETTING: Multi-site,
observational cohort study initially consisting of 2787 black and white women
aged 18-30 at baseline (1985-86) and followed for 25 years (Y25; 2010-2011).
POPULATION: 768 nulliparous women at baseline who reported >=1 live birth by the
Y25 exam. METHODS: We used Poisson regression to determine associations of
exposures with PTB/SGA. MAIN OUTCOME MEASURES: PTB and/or SGA births. RESULTS:
Women with PTB (n = 143) and/or SGA (n = 88) were younger, had completed fewer
years of education and were more likely to be black versus women without PTB/SGA
(n = 546). Women with PTB/SGA had lower fitness (501 +/- 9 versus 535 +/- 6
seconds, P < 0.002) and higher submaximal SBP than women without PTB/SGA (144 +/-
1 versus 142 +/- 1 mmHg, P < 0.04). After adjustment, no exercise test variables
were associated with PTB/SGA, though the association with HRR and submaximal SBP
approached significance in the subset of women who completed the exercise test <5
years before the index birth. CONCLUSIONS: Neither fitness nor haemodynamic
responses to exercise a median of 5 years preceding pregnancy, were associated
with PTB/SGA. These findings indicate excess likelihood of PTB/SGA is not
detectable by low fitness or exercise haemodynamic responses 5 years preceding
pregnancy, but exercise testing, especially HRR and submaximal SBP, may be more
useful when conducted closer to the onset of pregnancy. TWEETABLE ABSTRACT:
Exercise testing conducted >5 years before pregnancy may not detect women likely
to have PTB/SGA.
PMID- 29377553
TI - Two New Dolabrane Diterpenes from the Chinese Mangrove Ceriops tagal.
AB - Two new dolabrane diterpenes, tagalenes J and K (1 and 2), together with eleven
known analogues (3 - 13), were isolated from the ethanolic extract of the Chinese
mangrove Ceriops tagal. The structures of these compounds were determined by
extensive spectroscopic analysis, including 1D-, 2D-NMR and HR-ESI-MS, as well as
the comparison with data in the literatures. Cytotoxicities of isolated compounds
against MCF-7, SW480, HepG2, HeLa, PANC-1, and A2058 cancer cell lines were also
evaluated. Compound 4 exhibited weak cytotoxic activity against SW480, HeLa, and
PANC-1 cell lines with IC50 values of 27.7, 22.2, and 17.6 MUm, respectively.
PMID- 29377555
TI - Optimization of cell growth on palmitoyl-hyaluronan knitted scaffolds developed
for tissue engineering applications.
AB - Polysaccharides meet several criteria for a suitable biomaterial for tissue
engineering, which include biocompatibility and ability to support the delivery
and growth of cells. Nevertheless, most of these polysaccharides, for example
dextran, alginate, and glycosaminoglycans, are highly soluble in aqueous
solutions. Hyaluronic acid hydrophobized by palmitic acid and processed to the
form of wet-spun fibers and the warp-knitted textile scaffold is water non
soluble, but biodegradable material, which could be used for the tissue
engineering purpose. However, its surface quality does not allow cell attachment.
To enhance the biocompatibility the surface of palmitoyl-hyaluronan was roughened
by freeze drying and treated by different cell adhesive proteins (fibronectin,
fibrinogen, laminin, methacrylated gelatin and collagen IV). Except for collagen
IV, these proteins covered the fibers uniformly for an extended period of time
and supported the adhesion and cultivation of dermal fibroblasts and mesenchymal
stem cells. Interestingly, adipose stem cells cultivated on the fibronectin
modified scaffold secreted increasing amount of HGF, SDF-1, and VEGF, three key
growth factors involved in cardiac regeneration. These results suggested that
palmitoyl-hyaluronan scaffold may be a promising material for various
applications in tissue regeneration, including cardiac tissue repair. (c) 2018
Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A: 1488-1499, 2018.
PMID- 29377554
TI - Improved insect-proofing: expressing double-stranded RNA in chloroplasts.
AB - RNA interference (RNAi) was discovered almost 20 years ago and has been exploited
worldwide to silence genes in plants and animals. A decade later, it was found
that transforming plants with an RNAi construct targeting an insect gene could
protect the plant against feeding by that insect. Production of double-stranded
RNA (dsRNA) in a plant to affect the viability of a herbivorous animal is termed
trans-kingdom RNAi (TK-RNAi). Since this pioneering work, there have been many
further examples of successful TK-RNAi, but also reports of failed attempts and
unrepeatable experiments. Recently, three laboratories have shown that producing
dsRNA in a plant's chloroplast, rather than in its cellular cytoplasm, is a very
effective way of delivering TK-RNAi. Our review examines this potentially game
changing approach and compares it with other transgenic insect-proofing schemes.
(c) 2018 The Authors. Pest Management Science published by John Wiley & Sons Ltd
on behalf of Society of Chemical Industry.
PMID- 29377556
TI - Subcutaneous implantable cardioverter defibrillator in patients awaiting cardiac
transplantation or left ventricular assist device for refractory heart failure: a
feasible alternative to transvenous device?
PMID- 29377557
TI - Superiorly curved scalp incision for implantation of magnetic transcutaneous bone
conduction devices: Multicentre experience of 60 patients.
PMID- 29377558
TI - Voltage-Gated Nanoparticle Transport and Collisions in Attoliter-Volume Nanopore
Electrode Arrays.
AB - Single nanoparticle analysis can reveal how particle-to-particle heterogeneity
affects ensemble properties derived from traditional bulk measurements. High
bandwidth, low noise electrochemical measurements are needed to examine the fast
heterogeneous electron-transfer behavior of single nanoparticles with sufficient
fidelity to resolve the behavior of individual nanoparticles. Herein, nanopore
electrode arrays (NEAs) are fabricated in which each pore supports two vertically
spaced, individually addressable electrodes. The top ring electrode serves as a
particle gate to control the transport of silver nanoparticles (AgNPs) within
individual attoliter volume NEAs nanopores, as shown by redox collisions of AgNPs
collisions at the bottom disk electrode. The AgNP-nanoporeis system has wide
ranging technological applications as well as fundamental interest, since the
transport of AgNPs within the NEA mimics the transport of ions through cell
membranes via voltage-gated ion channels. A voltage threshold is observed above
which AgNPs are able to access the bottom electrode of the NEAs, i.e., a minimum
potential at the gate electrode is required to switch between few and many
observed collision events on the collector electrode. It is further shown that
this threshold voltage is strongly dependent on the applied voltage at both
electrodes as well as the size of AgNPs, as shown both experimentally and through
finite-element modeling. Overall, this study provides a precise method of
monitoring nanoparticle transport and in situ redox reactions within nanoconfined
spaces at the single particle level.
PMID- 29377559
TI - Faster Electron Injection and More Active Sites for Efficient Photocatalytic H2
Evolution in g-C3 N4 /MoS2 Hybrid.
AB - Herein, the structural effect of MoS2 as a cocatalyst of photocatalytic H2
generation activity of g-C3 N4 under visible light irradiation is studied. By
using single-particle photoluminescence (PL) and femtosecond time-resolved
transient absorption spectroscopies, charge transfer kinetics between g-C3 N4 and
two kinds of nanostructured MoS2 (nanodot and monolayer) are systematically
investigated. Single-particle PL results show the emission of g-C3 N4 is quenched
by MoS2 nanodots more effectively than MoS2 monolayers. Electron injection rate
and efficiency of g-C3 N4 /MoS2 -nanodot hybrid are calculated to be 5.96 * 109 s
1 and 73.3%, respectively, from transient absorption spectral measurement, which
are 4.8 times faster and 2.0 times higher than those of g-C3 N4 /MoS2 -monolayer
hybrid. Stronger intimate junction between MoS2 nanodots and g-C3 N4 is suggested
to be responsible for faster and more efficient electron injection. In addition,
more unsaturated terminal sulfur atoms can serve as the active site in MoS2
nanodot compared with MoS2 monolayer. Therefore, g-C3 N4 /MoS2 nanodot exhibits a
7.9 times higher photocatalytic activity for H2 evolution (660 umol g-1 h-1 )
than g-C3 N4 /MoS2 monolayer (83.8 umol g-1 h-1 ). This work provides deep
insight into charge transfer between g-C3 N4 and nanostructured MoS2 cocatalysts,
which can open a new avenue for more rationally designing MoS2 -based catalysts
for H2 evolution.
PMID- 29377560
TI - Association of Vibrio cholerae 569B outer membrane vesicles with host cells
occurs in a GM1-independent manner.
AB - The primary virulence factor of Vibrio cholerae, cholera toxin (CT), initiates a
pathway in epithelial cells that leads to the severe diarrhoea characteristic of
cholera. Secreted CT binds to GM1 on the surface of host cells to facilitate
internalisation. Many bacterial toxins, including CT, have been shown to be
additionally delivered via outer membrane vesicles (OMVs). A fraction of the
closely related heat labile toxin produced by enterotoxigenic Escherichia coli
has been demonstrated to reside on the surface of OMVs, where it binds GM1 to
facilitate OMV internalisation by host cells. In this work, we investigated
whether OMV-associated CT is likewise trafficked to host cells in a GM1-dependent
mechanism. We demonstrated that a majority of CT is secreted in its OMV
associated form and is located exclusively inside the vesicle. Therefore, the
toxin is unable to bind GM1 on the host cell surface, and the OMVs are trafficked
to the host cells in a GM1-independent mechanism. These findings point to a
secondary, noncompeting mechanism for secretion and delivery of CT, beyond its
well-studied secretion via a Type II secretion system and underscore the
importance of focusing future studies on understanding this GM1-independent
delivery mechanism to fully understand Vibrio cholerae pathogenesis.
PMID- 29377561
TI - Automatic patient positioning and gating window settings in respiratory-gated
stereotactic body radiation therapy for pancreatic cancer using fluoroscopic
imaging.
AB - Before treatment delivery of respiratory-gated radiation therapy (RT) in patients
with implanted fiducials, both the patient position and the gating window
thresholds must be set. In linac-based RT, this is currently done manually and
setup accuracy will therefore be dependent on the skill of the user. In this
study, we present an automatic method for finding the patient position and the
gating window thresholds. Our method uses sequentially acquired anterior
posterior (AP) and lateral fluoroscopic imaging with simultaneous breathing
amplitude monitoring and intends to reach 100% gating accuracy while keeping the
duty cycle as high as possible. We retrospectively compared clinically used
setups to the automatic setups by our method in five pancreatic cancer patients
treated with hypofractionated RT. In 15 investigated fractions, the average (+/
standard deviation) differences between the clinical and automatic setups were
0.4 +/- 0.8 mm, -1.0 +/- 1.1 mm, and 1.8 +/- 1.3 mm in the left-right (LR), the
AP, and the superior-inferior (SI) direction, respectively. For the clinical
setups, typical interfractional setup variations were 1-2 mm in the LR and AP
directions, and 2-3 mm in the SI direction. Using the automatic method, the duty
cycle could be improved in six fractions, in four fractions the duty cycle had to
be lowered to improve gating accuracy, and in five fractions both duty cycle and
gating accuracy could be improved. Our automatic method has the potential to
increase accuracy and decrease user dependence of setup for patients with
implanted fiducials treated with respiratory-gated RT. After fluoroscopic image
acquisition, the calculated patient shifts and gating window thresholds are
calculated in 1-2 s. The method gives the user the possibility to evaluate the
effect of different patient positions and gating window thresholds on gating
accuracy and duty cycle. If deemed necessary, it can be used at any time during
treatment delivery.
PMID- 29377562
TI - Automated solid-phase extraction of phenolic acids using layered double hydroxide
alumina-polymer disks.
AB - The application of layered double hydroxide-Al2 O3 -polymer mixed-matrix disks
for solid-phase extraction is reported for the first time. Al2 O3 is embedded in
a polymer matrix followed by an in situ metal-exchange process to obtain a
layered double hydroxide-Al2 O3 -polymer mixed-matrix disk with excellent flow
through properties. The extraction performance of the prepared disks is evaluated
as a proof of concept for the automated extraction using sequential injection
analysis of organic acids (p-hydroxybenzoic acid, 3,4-dihydroxybenzoic acid,
gallic acid) following an anion-exchange mechanism. After the solid-phase
extraction, phenolic acids were quantified by reversed-phase high-performance
liquid chromatography with diode-array detection using a core-shell silica-C18
stationary phase and isocratic elution (acetonitrile/0.5% acetic acid in pure
water, 5:95, v/v). High sensitivity and reproducibility were obtained with limits
of detection in the range of 0.12-0.25 MUg/L (sample volume, 4 mL), and relative
standard deviations between 2.9 and 3.4% (10 MUg/L, n = 6). Enrichment factors of
34-39 were obtained. Layered double hydroxide-Al2 O3 -polymer mixed-matrix disks
had an average lifetime of 50 extractions. Analyte recoveries ranged from 93 to
96% for grape juice and nonalcoholic beer samples.
PMID- 29377564
TI - Design, Synthesis, and Biological Evaluation of Pyrazoline-Based Hydroxamic Acid
Derivatives as Aminopeptidase N (APN) Inhibitors.
AB - Aminopeptidase N (APN) has been recognized as a target for anticancer treatment
due to its overexpression on diverse malignant tumor cells and association with
cancer invasion, metastasis and angiogenesis. Herein we describe the synthesis,
biological evaluation, and structure-activity relationship study of two new
series of pyrazoline analogues as APN inhibitors. Among these compounds, 5-(2-(2
(hydroxyamino)-2-oxoethoxy)phenyl)-3-phenyl-4,5-dihydro-1H-pyrazole-1-carboxamide
(compound 13 e) showed the best APN inhibition with an IC50 value of 0.16+/-0.02
MUm, which is more than one order of magnitude lower than that of bestatin (IC50
=9.4+/-0.5 MUm). Moreover, compound 13 e was found to inhibit the proliferation
of diverse carcinoma cells and to show potent anti-angiogenesis activity. At the
same concentration, compound 13 e presents significantly higher anti-angiogenesis
activity than bestatin in human umbilical vein endothelial cells (HUVECs)
capillary tube formation assays. The putative binding mode of 13 e in the active
site of APN is also discussed.
PMID- 29377565
TI - The relationship between myocardial fibrosis and myocardial microRNAs in dilated
cardiomyopathy: A link between mir-133a and cardiovascular events.
AB - : It is unknown whether fibrosis-associated microRNAs: miR-21, miR-26, miR-29,
miR-30 and miR-133a are linked to cardiovascular (CV) outcome. The study
evaluated the levels of extracellular matrix (ECM) fibrosis and the prevalence of
particular microRNAs in patients with dilated cardiomyopathy (DCM) to investigate
any correlation with CV events. METHODS: Seventy DCM patients (48 +/- 12 years,
EF 24.4 +/- 7.4%) underwent right ventricular biopsy. The control group was
comprised of 7 patients with CAD who underwent CABG and intraoperative biopsy.
MicroRNAs were measured in blood and myocardial tissue via qPCR. The end-point
was a combination of CV death and urgent HF hospitalization at the end of 12
months. There were differential levels of circulating and myocardial miR-26 and
miR-29 as well as myocardial miR-133a when the DCM and CABG groups were compared.
Corresponding circulating and myocardial microRNAs did not correlate with one
another. There was no correlation between microRNA and ECM fibrosis. By the end
of the 12-month period of the study, CV death had occurred in 6 patients, and a
further 19 patients required urgent HF hospitalization. None of the circulating
microRNAs was a predictor of the combined end-point; however, myocardial miR-133a
was an independent predictor in unadjusted models (HR 1.53; 95% CI 1.14-2.05; P <
.004) and adjusted models (HR 1.57; 95% CI 1.14-2.17; P < .005). The best cut-off
value for the miR-133a level for the prediction of the combined end-point was
0.74 DeltaCq, with an AUC of 0.67. The absence of a correlation between the
corresponding circulating and myocardial microRNAs calls into question their
cellular source. This study sheds new light on the role of microRNAs in ECM
fibrosis in DCM, which warrants further exploration.
PMID- 29377566
TI - Ribavirin dose management in HCV patients receiving
ombitasvir/paritaprevir/ritonavir and dasabuvir with ribavirin.
AB - BACKGROUND & AIMS: Some individuals with hepatitis C virus infection treated with
direct-acting antivirals require ribavirin to maximize sustained virological
response rates. We describe the clinical management of ribavirin dosing in
hepatitis C virus-infected patients receiving ombitasvir/paritaprevir/ritonavir
and dasabuvir with ribavirin. METHODS: We performed a post hoc analysis of
patients receiving ombitasvir/paritaprevir/ritonavir and dasabuvir with ribavirin
for 12 or 24 weeks in six phase 3 trials. Multivariate stepwise logistic
regression models assessed predictors associated with ribavirin dose adjustments
and with developing anaemia. RESULTS: Of 1548 patients, 100 (6.5%) modified
ribavirin dose due to haemoglobin declines, of which 99% achieved sustained
virological response at 12 weeks post-treatment. Median time to first ribavirin
dose reduction was 37 days. Low baseline haemoglobin was significantly associated
with an increased risk of requiring ribavirin dose modification (odds ratio:
0.618 [0.518, 0.738]; P < .001) and developing anaemia (odds ratio: 0.379 [0.243,
0.593]; P < .001). CONCLUSIONS: Ribavirin dose reductions were infrequent,
occurred early in treatment, and did not impact sustained virological response at
12 weeks post-treatment. Patients with low baseline haemoglobin should be
monitored for on-treatment anaemia.
PMID- 29377568
TI - Recent Advances of Flexible Data Storage Devices Based on Organic Nanoscaled
Materials.
AB - Following the trend of miniaturization as per Moore's law, and facing the strong
demand of next-generation electronic devices that should be highly portable,
wearable, transplantable, and lightweight, growing endeavors have been made to
develop novel flexible data storage devices possessing nonvolatile ability, high
density storage, high-switching speed, and reliable endurance properties.
Nonvolatile organic data storage devices including memory devices on the basis of
floating-gate, charge-trapping, and ferroelectric architectures, as well as
organic resistive memory are believed to be favorable candidates for future data
storage applications. In this Review, typical information on device structure,
memory characteristics, device operation mechanisms, mechanical properties,
challenges, and recent progress of the above categories of flexible data storage
devices based on organic nanoscaled materials is summarized.
PMID- 29377567
TI - Characterization of a novel OTX2-driven stem cell program in Group 3 and Group 4
medulloblastoma.
AB - Medulloblastoma (MB) is the most common malignant primary pediatric brain cancer.
Among the most aggressive subtypes, Group 3 and Group 4 originate from
stem/progenitor cells, frequently metastasize, and often display the worst
prognosis, yet we know the least about the molecular mechanisms driving their
progression. Here, we show that the transcription factor orthodenticle homeobox 2
(OTX2) promotes self-renewal while inhibiting differentiation in vitro and
increases tumor initiation from MB stem/progenitor cells in vivo. To determine
how OTX2 contributes to these processes, we employed complementary bioinformatic
approaches to characterize the OTX2 regulatory network and identified novel
relationships between OTX2 and genes associated with neuronal differentiation and
axon guidance signaling in Group 3 and Group 4 MB stem/progenitor cells. In
particular, OTX2 levels were negatively correlated with semaphorin (SEMA)
signaling, as expression of 9 SEMA pathway genes is upregulated following OTX2
knockdown with some being potential direct OTX2 targets. Importantly, this
negative correlation was also observed in patient samples, with lower expression
of SEMA4D associated with poor outcome specifically in Group 4 tumors. Functional
proof-of-principle studies demonstrated that increased levels of select SEMA
pathway genes are associated with decreased self-renewal and growth in vitro and
in vivo and that RHO signaling, known to mediate the effects of SEMA genes, is
contributing to the OTX2 KD phenotype. Our study provides mechanistic insight
into the networks controlled by OTX2 in MB stem/progenitor cells and reveals
novel roles for axon guidance genes and their downstream effectors as putative
tumor suppressors in MB.
PMID- 29377569
TI - Toxicity and effects of the neonicotinoid thiamethoxam on Scaptotrigona
bipunctata lepeletier, 1836 (Hymenoptera: Apidae).
AB - The neonicotinoid thiamethoxam is widely used in different agricultural crops,
and it has a spectrum of action against insects, affecting both pests and
pollinators, such as bees. In this study, the effects of exposure to sublethal
concentrations of thiamethoxam on stingless bees Scaptotrigona bipunctata were
evaluated. Foragers bees were exposed to the insecticide and subjected to genetic
biochemical, histochemical, and morphological analyses after 24, 48, and 72 h of
ingestion. Analysis of isoenzyme esterases revealed significant alterations in
the relative activity of EST-4, a type II cholinesterase. Evaluation of the S.
bipunctata brain revealed changes in the state of chromatin condensation
according to the exposure time and concentration of neonicotinoid compared with
the control. Morphological changes were observed in the midgut of this species at
all concentrations and exposure times, which may interfere with various
physiological processes of these insects. We can conclude that, although
thiamethoxam at the concentrations evaluated did not cause high mortality, it
induced concentration-dependent changes in bees by activating enzymes related
with the protection for xenobiotic, internal morphology and probably these
changes may lead to alterations in the activity of bees.
PMID- 29377570
TI - CF3 -Inspired Synthesis of Air-Tolerant 9-Phosphaanthracenes that Feature
Fluorescence and Crystalline Polymorphs.
AB - 9-Phosphaanthracene (dibenzo[b,e]phosphorin, acridophosphine) has attracted
interest as one of the heavier acenes. Herein, we demonstrate an efficient
synthetic process that provides air-tolerant 1,8-bis(trifluoromethyl)-9
phosphaanthracenes. The sterically encumbered and electron-withdrawing
trifluoromethyl (CF3 ) groups are quite advantageous not only to stabilize the
intrinsically unstable heavier unsaturated phosphorus atom but also to facilitate
construction of the phosphinine skeleton based on a putative increase in
aromaticity. The isolated 9-phosphaanthracenes allowed characterization of their
fluorescence functionality and planar heteroanthracene frameworks. The crystal
structures of 9-phosphaanthracenes are remarkably dependent on the aryl
substituents at the 10 position; anthryl-substituted 9-phosphaanthracene showed
unique polymorphs that induced different-colored crystals.
PMID- 29377572
TI - Enlarging tumor of the lateral chest wall in a 14-year-old boy.
PMID- 29377571
TI - Transcriptional Regulatory Mechanisms in Adipose and Muscle Tissue Associated
with Composite Glucometabolic Phenotypes.
AB - OBJECTIVE: Tissue-specific gene expression is associated with individual
metabolic measures. However, these measures may not reflect the true but latent
underlying biological phenotype. This study reports gene expression associations
with multidimensional glucometabolic characterizations of obesity, glucose
homeostasis, and lipid traits. METHODS: Factor analysis was computed by using
orthogonal rotation to construct composite phenotypes (CPs) from 23 traits in 256
African Americans without diabetes. Genome-wide transcript expression data from
adipose and muscle were tested for association with CPs, and expression
quantitative trait loci (eQTLs) were identified by associations between cis
acting single-nucleotide polymorphisms (SNPs) and gene expression. RESULTS: The
factor analysis identified six CPs. CPs 1 through 6 individually explained 34%,
12%, 9%, 8%, 6%, and 5% of the variation in 23 glucometabolic traits studied.
There were 3,994 and 929 CP-associated transcripts identified in adipose and
muscle tissue, respectively; CP2 had the largest number of associated
transcripts. Pathway analysis identified multiple canonical pathways from the CP
associated transcripts. In adipose and muscle, significant cis-eQTLs were
identified for 558 and 164 CP-associated transcripts (q-value < 0.01),
respectively. CONCLUSIONS: Adipose and muscle transcripts comprehensively define
pathways involved in regulating glucometabolic disorders. Cis-eQTLs for CP
associated genes may act as primary causal determinants of glucometabolic
phenotypes by regulating transcription of key genes.
PMID- 29377573
TI - Intrabronchial display of hilar-mediastinal lymph nodes by virtual bronchoscopic
navigation system.
AB - The description of precise intrabronchial positions for the sampling of
mediastinal-hilar lymph nodes is critical to successfully perform conventional
transbronchial needle aspiration. Previously published maps of mediastinal-hilar
lymph nodes were primarily drawn based on experts' experience. We generated a
virtual map of the most frequently sampled intrathoracic lymph nodes from an
intrabronchial perspective using a virtual bronchoscopic navigation system, to
assist with training in conventional transbronchial needle aspiration.
PMID- 29377574
TI - Serum alanine aminotransferase level and liver-related mortality in patients with
chronic hepatitis B: A large national cohort study.
AB - BACKGROUND: The serum alanine aminotransferase (ALT) level has been used to
identify at-risk patients with chronic hepatitis B (CHB) who need antiviral
therapy. However, the level associated with increased liver-related mortality
requiring active treatment is still unclear. METHODS: We used a Health
Examination Cohort of the National Health Insurance Service of Korea that
included approximately 0.5 million individuals aged 40-79 years. In total, 12 486
patients with CHB and no other concurrent liver disease were enrolled, and
patients' liver-related mortality, including that owing to liver cancer, was
investigated over 9 years. RESULTS: The serum ALT level was correlated positively
with liver-related mortality. The rates in men were 0.14, 0.17, 0.24, 0.57, 0.63
and 0.85 per 100 person-years (%) for serum ALT levels of <20, 20-29, 30-39, 40
49, 50-79 and >=80 U/L, respectively, and the corresponding liver-related
mortality rates in women were 0.03%, 0.09%, 0.12%, 0.63%, 0.65% and 0.32%. In
patients with ALT levels of 40-79 U/L, the liver-related mortality rates were
0.60% in men and 0.64% in women, which were similar to the overall mortality rate
of age- and sex-matched subjects without CHB (0.69%). The best cut-off values for
liver-related mortality prediction were >34 U/L in men and >30 U/L in women.
CONCLUSIONS: The liver-related mortality rate increased significantly, even in
CHB patients with relatively low serum ALT levels. Careful monitoring or earlier
antiviral therapy should be considered for patients aged >40 years with serum ALT
levels above the upper limit of normal.
PMID- 29377575
TI - Biophotonics of Native Silk Fibrils.
AB - Native silk fibroin (NSF) is a unique biomaterial with extraordinary mechanical
and biochemical properties. These key characteristics are directly associated
with the physical transformation of unstructured, soluble NSF into highly
organized nano- and microscale fibrils rich in beta-sheet content. Here, it is
shown that this NSF fibrillation process is accompanied by the development of
intrinsic fluorescence in the visible range, upon near-UV excitation, a
phenomenon that has not been investigated in detail to date. Here, the optical
and fluorescence characteristics of NSF fibrils are probed and a route for
potential applications in the field of self-assembled optically active
biomaterials and systems is explored. In particular, it is demonstrated that NSF
can be structured into autofluorescent microcapsules with a controllable level of
beta-sheet content and fluorescence properties. Furthermore, a facile and
efficient fabrication route that permits arbitrary patterns of NSF microcapsules
to be deposited on substrates under ambient conditions is shown. The resulting
fluorescent NSF patterns display a high level of photostability. These results
demonstrate the potential of using native silk as a new class of biocompatible
photonic material.
PMID- 29377577
TI - Needs assessment survey for simulation-based training for gastrointestinal
endoscopy nurses.
AB - The optimal performance of gastrointestinal (GI) endoscopy nurses is required for
patient safety and quality improvement. The aim of the present study was to
assess the educational needs for simulation-based training for Korean GI
endoscopy nurses using importance-performance analysis. A cross-sectional survey
was conducted with 238 Korean nurses from 25 endoscopy units. The educational
needs of these nurses were identified using the 35 item clinical competence
importance-performance scale. Exploratory factor analysis of the scale identified
the following eight factors: emergency care, patient monitoring, evidence-based
practice, documentation and referral, patient safety, nursing process, patient
assessment, and infection control. A significant overall mean difference was
identified between importance and performance for all eight factors, with
emergency care showing the largest difference. It was also ranked the highest
priority for continuing education in the importance-performance analysis matrix.
Therefore, simulation-based training should focus on enhancing emergency care
competence for GI endoscopy nurses to improve patient safety and quality of care.
PMID- 29377576
TI - Wogonoside induces depalmitoylation and translocation of PLSCR1 and N-RAS in
primary acute myeloid leukaemia cells.
AB - Acute myeloid leukaemia (AML) comprises a range of disparate genetic subtypes,
involving complex gene mutations and specific molecular alterations. Post
translational modifications of specific proteins influence their translocation,
stability, aggregation and even leading disease progression. Therapies that
target to post-translational modification of specific proteins in cancer cells
represent a novel treatment strategy. Non-homogenous subcellular distribution of
PLSCR1 is involved in the primary AML cell differentiation. However, the nuclear
translocation mechanism of PLSCR1 remains poorly understood. Here, we leveraged
the observation that nuclear translocation of PLSCR1 could be induced during
wogonoside treatment in some primary AML cells, despite their genetic
heterogeneity that contributed to the depalmitoylation of PLSCR1 via acyl protein
thioesterase 1 (APT-1), an enzyme catalysing protein depalmitoylation. Besides,
we found a similar phenomenon on another AML-related protein, N-RAS. Wogonoside
inhibited the palmitoylation of small GTPase N-RAS and enhanced its trafficking
into Golgi complex, leading to the inactivation of N-RAS/RAF1 pathway in some
primary AML cells. Taken together, our findings provide new insight into the
mechanism of wogonoside-induced nuclear translocation of PLSCR1 and illuminate
the influence of N-RAS depalmitoylation on its Golgi trafficking and RAF1
signalling inactivation in AML.
PMID- 29377578
TI - Luminescent nanoparticles for rapid monitoring of endogenous acetylcholine
release in mice atria.
AB - The present work introduces for the first time a nanoparticulate approach for ex
vivo monitoring of acetylcholinesterase-catalyzed hydrolysis of endogenous
acetylcholine released from nerve varicosities in mice atria. Amino-modified 20
nm size silica nanoparticles (SNs) doped by luminescent Tb(III) complexes were
applied as the nanosensors. Their sensing capacity results from the decreased
intensity of Tb(III)-centred luminescence due to the quenching effect of acetic
acid derived from acetylcholinesterase-catalyzed hydrolysis of acetylcholine.
Sensitivity of the SNs in monitoring acetylcholine hydrolysis was confirmed by in
vitro experiments. Isolated atria were exposed to the nanosensors for 10 min to
stain cell membranes. Acetylcholine hydrolysis was monitored optically in the
atria samples by measuring quenching of Tb(III)-centred luminescence by acetic
acid derived from endogenous acetylcholine due to its acetylcholinesterase
catalyzed hydrolysis. The reliability of the sensing was demonstrated by the
quenching effect of exogenous acetylcholine added to the bath solution.
Additionally, no luminescence quenching occurred when the atria were pre-treated
with the acetylcholinesterase inhibitor paraoxon.
PMID- 29377579
TI - Identical genotypes of community-associated MRSA (ST59) and livestock-associated
MRSA (ST9) in humans and pigs in rural China.
AB - This study investigated the prevalence of MRSA in samples taken in households,
with and without backyard pigs in villages in a rural area of Shandong Province,
China. Community-associated MRSA and livestock-associated MRSA, belonging to ST59
and ST9, respectively, were identified in both humans and pigs. The genotypic and
phenotypic comparison of isolates indicates that bidirectional transmission of
MRSA has occurred between humans and pigs in the villages.
PMID- 29377580
TI - Bringing European physiologists together.
PMID- 29377581
TI - Evaluation of calculating carboplatin dosage in carboplatin-pemetrexed therapy as
the first-line therapy for Chinese patients with advanced lung adenocarcinoma.
AB - OBJECTIVE: This study aims to explore the application of actual carboplatin in
carboplatin plus pemetrexed regimen as first-line treatment for advanced lung
adenocarcinoma, and to determine the recommended dose of carboplatin for Chinese
populations. METHODS: From January 2014 to April 2016, 151 advanced lung
adenocarcinoma patients who received carboplatin and pemetrexed (500 mg/m2 ) were
included. The area under the curve (AUC) of carboplatin was back-calculated from
actual dosages using the Calvert formula. According to the median of calculated
AUC, patients were divided into AUC >=4 and <4 groups. RESULTS: The median of AUC
was 4 (1.8-5.5). A total of 79 patients had an AUC >=4 and 72 patients had an AUC
<4. The mean relative dose intensities of pemetrexed were 100.4% for the AUC >=4
group, and 101.4% for <4 group. Baseline characteristic variables were balanced
between the two groups, except for Eastern Cooperative Oncology Group Performance
score (P = 0.044). The overall response rate (ORR) and disease control rate (DCR)
were 33.8% and 90.1%, respectively, 35.4% and 86.1% for the AUC >=4 group, and
31.9% and 94.4% for the AUC <4 group. No significant difference was observed in
ORR (P = 0.650) and DCR (P = 0.086) between the two groups. CONCLUSION: Compared
with an AUC of 5 or 6, the actual clinical application of AUC was generally
insufficient for Chinese populations; fortunately, therapeutic efficacy remained
equal. We found that AUC <4 was as adequate as AUC >=4 in pemetrexed plus
carboplatin regimen as first-line treatment for them.
PMID- 29377582
TI - Synthesis of a Near-Infrared BODIPY Dye for Bioimaging and Photothermal Therapy.
AB - The development of robust photothermal agents for near-infrared (NIR) imaging is
a great challenge. Herein, we report the design and synthesis of a new
photothermal agent, based on the aza-boron-dipyrromethene framework (azaBDP).
This compound possessed excellent photostability and high photothermal-conversion
efficiency (50 %) under NIR laser irradiation. When the photothermal properties
of this compound were utilized for tumor inhibition, stable long-term
fluorescence was observed in living animals. Photothermal treatment efficiently
suppressed tumor growth, as evidenced by in vitro and in vivo experiments.
Furthermore, NIR emission could be detected by using an imaging system and
therapeutic self-monitoring was achieved by using NIR imaging.
PMID- 29377584
TI - Generation of full-thickness skin equivalents using hair follicle-derived primary
human keratinocytes and fibroblasts.
AB - Skin equivalents are increasingly used as human-based test systems for basic and
preclinical research. Most of the established skin equivalents are composed of
primary keratinocytes and fibroblasts, isolated either from excised human skin or
juvenile foreskin following circumcisions. Although the potential of hair
follicle-derived cells for the generation of skin equivalents has been shown,
this approach normally requires microdissections from the scalp for which there
is limited subject compliance or ethical approval. In the present study, we
report a novel method to isolate and cultivate keratinocytes and fibroblasts from
plucked hair follicles that were then used to generate skin equivalents. The
procedure is non-invasive, inflicts little-pain, and may allow easy access to
patient-derived cells without taking punch biopsies. Overall, minor differences
in morphology, ultrastructure, expression of important structural proteins, or
barrier function were observed between skin equivalents generated from hair
follicle-derived or interfollicular keratinocytes and fibroblasts. Interestingly,
improved basal lamina formation was seen in the hair follicle-derived skin
equivalents. The presented method here allows easy and non-invasive access to
keratinocytes and fibroblasts from plucked hair follicles that may be useful
particularly for the generation of skin disease equivalents.
PMID- 29377583
TI - Overexpression of geranylgeranyl diphosphate synthase contributes to tumour
metastasis and correlates with poor prognosis of lung adenocarcinoma.
AB - This study aimed to evaluate the biological role of geranylgeranyl diphosphate
synthase (GGPPS) in the progression of lung adenocarcinoma. GGPPS expression was
detected in lung adenocarcinoma tissues by qRT-PCR, tissue microarray (TMA) and
western blotting. The relationships between GGPPS expression and the
clinicopathological characteristics and prognosis of lung adenocarcinoma patients
were assessed. GGPPS was down-regulated in SPCA-1, PC9 and A549 cells using siRNA
and up-regulated in A549 cells using an adenoviral vector. The biological roles
of GGPPS in cell proliferation, apoptosis, migration and invasion were determined
by MTT and colony formation assays, flow cytometry, and transwell and wound
healing assays, respectively. In addition, the regulatory roles of GGPPS on the
expression of several epithelial-mesenchymal transition (EMT) markers were
determined. Furthermore, the Rac1/Cdc42 prenylation was detected after knockdown
of GGPPS in SPCA-1 and PC9 cells. GGPPS expression was significantly increased in
lung adenocarcinoma tissues compared to that in adjacent normal tissues.
Overexpression of GGPPS was correlated with large tumours, high TNM stage, lymph
node metastasis and poor prognosis in patients. Knockdown of GGPPS inhibited the
migration and invasion of lung adenocarcinoma cells, but did not affect cell
proliferation and apoptosis. Meanwhile, GGPPS inhibition significantly increased
the expression of E-cadherin and reduced the expression of N-cadherin and
vimentin in lung adenocarcinoma cells. In addition, the Rac1/Cdc42
geranylgeranylation was reduced by GGPPS knockdown. Overexpression of GGPPS
correlates with poor prognosis of lung adenocarcinoma and contributes to
metastasis through regulating EMT.
PMID- 29377585
TI - Peritonsillar abscess: A retrospective case series of 1773 patients.
PMID- 29377586
TI - A Universal Upconversion Sensing Platform for the Sensitive Detection of Tumour
Related ncRNA through an Exo III-Assisted Cycling Amplification Strategy.
AB - Here, a sensitive and universal noncoding RNA (ncRNA) upconversion sensing
nanoplatform is developed. Gold nanoparticles bearing one hairpin DNA (Hp)
molecule are conjugated to the linker DNA modified NaYF4 :Yb, Er@NaYF4
upconversion nanoparticles by DNA hybridization, leading to quenching of the
upconversion emission through fluorescence resonance energy transfer. A signal
DNA (SDNA) sequence is designed to open Hp, recovering the upconversion emission.
To achieve universality and high sensitivity of the nanoprobe, an exonuclease III
(Exo III)-assisted cycling amplification strategy is introduced. A
multifunctional hairpin DNA (mHp) containing ncRNA recognition sequence and SDNA
sequence is designed to recognize ncRNA and trigger Exo III as a biocatalyst to
stepwise disintegrate itself, releasing both ncRNA and SDNA. The released ncRNA
can be reused to release more SDNA, which greatly improves the sensing
sensitivity. By changing the recognition portion of mHp, various ncRNA can be
detected. The sensitive detection of both homeobox (HOX) transcript antisense RNA
segment and miR-21 is achieved with this novel strategy, even in human serum,
indicating the universality and sensitivity of the proposed strategy.
Additionally, the expression level of miR-21 in human breast cancer cell (MCF-7)
lysate is successfully measured, suggesting its potential in clinical diagnosis.
PMID- 29377587
TI - Lactate increases myotube diameter via activation of MEK/ERK pathway in C2C12
cells.
AB - AIM: Lactate is produced in and released from skeletal muscle cells. Lactate
receptor, G-protein-coupled receptor 81 (GPR81), is expressed in skeletal muscle
cells. However, a physiological role of extracellular lactate on skeletal muscle
is not fully clarified. The purpose of this study was to investigate
extracellular lactate-associated morphological changes and intracellular signals
in C2C12 skeletal muscle cells. METHODS: Mouse myoblast C2C12 cells were
differentiated for 5 days to form myotubes. Sodium lactate (lactate) or GPR81
agonist, 3,5-dihydroxybenzoic acid (3,5-DHBA), was administered to the
differentiation medium. RESULTS: Lactate administration increased the diameter of
C2C12 myotubes in a dose-dependent manner. Administration of 3,5-DHBA also
increased myotube diameter. Not only lactate but also 3,5-DHBA upregulated the
phosphorylation level of mitogen-activated protein kinase kinase 1/2 (MEK1/2),
p42/44 extracellular signal-regulated kinase-1/2 (ERK1/2) and p90 ribosomal S6
kinase (p90RSK). MEK inhibitor U0126 depressed the phosphorylation of ERK-p90RSK
and increase in myotube diameter induced by lactate. On the other hand, both
lactate and 3,5-DHBA failed to induce significant responses in the
phosphorylation level of Akt, mammalian target of rapamycin, p70 S6 kinase and
protein degradation-related signals. CONCLUSION: These observations suggest that
lactate-associated increase in the diameter of C2C12 myotubes is induced via
activation of GRP81-mediated MEK/ERK pathway. Extracellular lactate might have a
positive effect on skeletal muscle size.
PMID- 29377589
TI - Nitric oxide-releasing antibacterial albumin plastic for biomedical applications.
AB - Designing innovative materials for biomedical applications is desired to prevent
surface fouling and risk of associated infections arising in the surgical care
patient. In the present study, albumin plastic was fabricated and nitric oxide
(NO) donor, S-nitroso-N-acetylpenicillamine (SNAP), was incorporated through a
solvent swelling process. The albumin-SNAP plastic was evaluated in terms of
mechanical and thermal properties, and bacterial adhesion to the plastic surface.
Thermal and viscoelastic analyses showed no significant difference between
albumin-SNAP plastics and pure, water-plasticized albumin samples. Bacteria
adhesion tests revealed that albumin-SNAP plastic can significantly reduce the
surface-bound viable gram-positive Staphylococcus aureus and gram-negative
Pseudomonas aeruginosa bacterial cells by 98.7 and 98.5%, respectively, when
compared with the traditional polyvinyl chloride medical grade tubing material.
The results from this study demonstrate NO-releasing albumin plastic's potential
as a material for biomedical device applications. (c) 2018 Wiley Periodicals,
Inc. J Biomed Mater Res Part A: 106A: 1535-1542, 2018.
PMID- 29377590
TI - Evaluation of pulmonary reexpansion in a porcine model of bronchial foreign body.
AB - OBJECTIVES: This study was designed to establish a porcine model of reversible
obstruction of endobronchial foreign body (FB) and to assess the natural
pulmonary reexpansion process using radiology and histopathology after removal of
the bronchial FB. METHODS: A metal stent was placed in the right lower lobe
bronchial lumen of 15 pigs by bronchoscopy and removed after 2 weeks of stent
retention. Animals were divided into two groups (group I and II), based on when
they were sacrificed (week 2 or week 4) after stent removal. Pigs underwent
computerized tomography scan to document pulmonary radiological changes. The
lungs were harvested for electron microscopy examination, and the expression of
pulmonary surfactant-associated protein A (SPA) was determined by
immunohistochemistry. RESULTS: Thirteen (86.7%) animals successfully responded to
the intervention. Atelectasis was formed by stent implantation within 2 weeks.
The ratio of the residual atelectasis area was smaller in group II compared to
that in group I (P < 0.01). The histological manifestations of experimental lungs
were significantly improved in group II. Moreover, the expression of SPA in group
II was higher compared to that in group I (P < 0.01). CONCLUSIONS: We have
established a model of reversible bronchial FB obstruction in pigs that is both
feasible and appropriate for evaluating the long-term process of pulmonary
reexpansion after removal of FB. Bronchial metal FB retention for a period of 2
weeks could form atelectasis, and a natural pulmonary recovery process related to
atelectasis takes approximately 4 weeks after removal of metal FB.
PMID- 29377588
TI - A robust gene signature for the prediction of early relapse in stage I-III colon
cancer.
AB - Colon cancer patients experiencing early relapse consistently exhibited poor
survival. The aim of our study was to develop an mRNA signature that can help to
detect early relapse cases in stage I-III colon cancer. Public microarray
datasets of stage I-III colon cancer samples were extracted from the Gene
Expression Omnibus database. Propensity score matching analysis was performed
between patients in the early relapse group and the long-term survival group from
GSE39582 discovery series (N = 386), and patients were 1 : 1 matched. Global mRNA
expression changes were then analyzed between the paired groups to identify the
differentially expressed genes. Lasso Cox regression modeling analysis was
conducted for the selection of prognostic mRNA. Fifteen mRNA were finally
identified to build an early relapse classifier. With specific risk score
formula, patients were classified into a high-risk group and a low-risk group.
Relapse-free survival was significantly different between the two groups in every
series, including discovery [hazard ratio (HR): 2.547, 95% confidence interval
(CI): 1.708-3.797, P < 0.001)], internal validation (HR: 5.146, 95% CI: 1.968
13.457, P < 0.001), and external validation (HR: 1.977, 95% CI: 1.295-3.021, P <
0.001) sets of patients. Time-dependent receiver-operating characteristic at 1
year suggested more prognostic accuracy of the classifier [area under curve (AUC
= 0.703)] than the American Joint Commission on Cancer tumor-node-metastasis
staging system (AUC = 0.659) in all 951 patients. In conclusion, we developed a
robust mRNA signature that can effectively classify colon cancer patients into
groups with low and high risks of early relapse. This mRNA signature may help
select high-risk colon cancer patients who require more aggressive therapeutic
intervention.
PMID- 29377591
TI - Carboxylated graphene oxide promoted axonal guidance growth by activating Netrin
1/deleted in colorectal cancer signaling in rat primary cultured cortical
neurons.
AB - Nanomaterials of graphene and its derivatives have been widely applied in recent
years, but whose impacts on the neuronal guidance growth are still not reported.
In the present study, graphene oxide (GO) and carboxylated graphene oxide (GO
COOH) were used to investigate the potential effects on axonal guidance growth in
the primary cultured cortical neurons. In addition, we characterized the
structure and chemical composition of synthesized GO and GO-COOH using Fourier
transform infrared spectrophotometer and scanning electron microscope assays and
Raman analysis. GO is not neurotoxic and not conductive in a soluble form.
However, GO-COOH has higher solubility and conductivity. Cell viability was
assessed using CCK-8 assays and fluorescein diacetate after GO and GO-COOH
treatment (0, 1, 2, 4, 5, 6, 8, 10, 12, 14, 16, 18, 20, 50, and 100 ug/mL). There
are significant increases of cell viability and axonal growth after GO (2 and 4
MUg/mL) and GO-COOH treatment (2 and 4 MUg/mL). We further investigated the
molecular mechanism of axonal guidance growth after GO and GO-COOH (2 and 4
MUg/mL) application. Additionally, GO and GO-COOH up-regulated expression of
Netrin-1 and its receptor, deleted in colorectal cancer by immunofluorescence
assays and western blots assay. Our study demonstrated that GO-COOH activated
Cdc42 and Rac1 and dramatically decreased RhoA. Thus, GO-COOH (2 ug/mL) is much
better to be nanocarriers than GO for axonal guidance and growth in this study.
GO-COOH may be used to facilitate guidance for regenerating neurons in the
future. (c) 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A: 1500
1510, 2018.
PMID- 29377592
TI - Dairy Protein Supplementation Modulates the Human Skeletal Muscle microRNA
Response to Lower Limb Immobilization.
AB - Limb immobilization results in a rapid loss of muscle size and strength. The
resultant alterations in signaling pathways governing myogenesis, catabolism, and
mitochondrial biogenesis are likely to include posttranscriptional regulation
mediated by altered microRNAs (miRNAs). Given that protein ingestion exerts an
anabolic action and may act as a countermeasure to mitigate muscle loss with
immobilization, it is important to examine miRNA in this context. The objective
of the study is therefore to characterize the vastus lateralis miRNA response to
14 days of disuse in males (45-60 years) randomized to receive supplementation
with 20 g d-1 of dairy protein (n = 12) or isocaloric carbohydrate placebo (n =
13). Biopsies are collected before and after a 2-week immobilization period. Of
the 24 miRNAs previously identified in myogenic regulation, seven (miR-133a,
206, -15a, -451a, -126, -208b, and let-7e) are increased with immobilization
irrespective of group; five (miR-16, -494, let-7a, -7c, and 7d) increased only in
the carbohydrate group; and eight (miR-1, -486, -23a, -23b, -26a, -148b, let-7b,
and -7g) are divergently expressed between groups (suppressed with protein). The
ability of protein supplementation to differentially regulate miRNAs involved in
key muscle regulatory pathways following short-term limb immobilization reflects
potential protective function in mitigating muscle loss during limb
immobilization.
PMID- 29377593
TI - Diabetes-related cognitive dysfunction: Hyperglycemia in the early stage might be
a key?
PMID- 29377594
TI - Spatial epidemiology of amyotrophic lateral sclerosis in Piedmont and Aosta
Valley, Italy: a population-based cluster analysis.
AB - BACKGROUND AND PURPOSE: The analysis of the spatial distribution of cases could
give important cues on putative environmental causes of a disease. Our aim was to
perform a spatial analysis of an amyotrophic lateral sclerosis (ALS) cohort from
the Piedmont and Aosta Valley ALS register (PARALS) over a 20-year period.
METHODS: The address at the moment of diagnosis was considered for each ALS case.
Municipalities' and census divisions' resident populations during the 1995-2014
period were obtained. A cluster analysis was performed adopting both Moran's
index and the Kulldorff spatial scan statistic. RESULTS: A total of 2702 ALS
patients were identified. An address was retrieved for 2671 (99%) patients.
Moran's index was -0.01 (P value 0.83), thus revealing no clusters. SaTScan
identified no statistically significant clusters. When census divisions were
considered, Moran's index was 0.13 (P value 0.45); SaTScan revealed one
statistically significant small cluster in the province of Alessandria. Here,
0.0099 cases were expected and three cases were observed (relative risk 304.60;
95% confidence interval 109.83-845.88, P value 0.03). DISCUSSION: Our study
showed a substantial homogeneous distribution of ALS cases in Piedmont and Aosta
Valley. The population-based setting and the adoption of proper statistical
analyses strengthen the validity of our results. Such a finding further suggests
the involvement of multiple environmental and genetic factors in ALS
pathogenesis.
PMID- 29377595
TI - Silicon carbide nanomaterial as a coating for solid-phase microextraction.
AB - Silicon carbide has excellent properties, such as corrosion resistance, high
strength, oxidation resistance, high temperature, and so on. Based on these
properties, silicon carbide was coated on stainless-steel wire and used as a
solid-phase microextraction coating, and polycyclic aromatic hydrocarbons were
employed as model analytes. Using gas chromatography, some important factors that
affect the extraction efficiency were optimized one by one, and an analytical
method was established. The analytical method showed wide linear ranges (0.1-30,
0.03-30, and 0.01-30 MUg/L) with satisfactory correlation coefficients (0.9922
0.9966) and low detection limits (0.003-0.03 MUg/L). To investigate the practical
application of the method, rainwater and cigarette ash aqueous solution were
collected as real samples for extraction and detection. The results indicate that
silicon carbide has excellent application in the field of solid-phase
microextraction.
PMID- 29377596
TI - Processing of Citrus Nanostructured Cellulose: A Rigorous Design-of-Experiment
Study of the Hydrothermal Microwave-Assisted Selective Scissoring Process.
AB - A detailed design-of-experiment (DoE) study to investigate the cause-effect
interactions of three process variables, that is, temperature (120-200 degrees
C), holding time (0-30 min), and concentration (1.4-5.0 wt %), on the processing
of citrus cellulosic matter using acid-free microwave-assisted selective
scissoring (Hy-MASS) is reported. Analysis of variance (ANOVA) showed that post
microwave processing, the yield of cellulosic matter (25-72 %), decomposition
temperature (345-373 degrees C), and crystallinity index (34-67 %) were strongly
affected by temperature. SEM and TEM analyses showed that the isolated cellulosic
matter was heterogeneous and consisted of a mixture of micro- and nanofibers more
akin to microfibrillated cellulose (MFC) at low processing temperatures and
tending towards aggregated cellulose nanofibrils (CNFs) and cellulose
nanocrystals (CNCs) at higher processing temperatures. The water holding capacity
of the processed cellulosic matter (15-27 gH2O g-1 ) was higher than the
original feedstock or previously reported values. The average molecular weight of
the cellulosic matter (113.6-1095.9 kg mol-1 ) decreased significantly by a
factor of 10 at operating temperatures above 180 degrees C, invoking significant
scissoring of the cellulosic chains. The process energy input and costs varied
between 0.142-0.624 kWh and 13-373 ? kg-1 , respectively, and strongly depended
on the reaction time.
PMID- 29377597
TI - A Phytol-Enriched Diet Activates PPAR-alpha in the Liver and Brown Adipose Tissue
to Ameliorate Obesity-Induced Metabolic Abnormalities.
AB - SCOPE: Peroxisome proliferator-activated receptor alpha (PPAR-alpha) is a ligand
activated transcription factor that regulates lipid and carbohydrate metabolism.
We investigate the effects of naturally occurring PPAR-alpha agonists, phytol,
and its metabolite phytanic acid, on obesity-induced metabolic disorders using a
mouse model. METHODS AND RESULTS: A luciferase reporter assay shows that phytanic
acid potently activates PPAR-alpha among PPAR subtypes. In high-fat-diet-induced,
severely obese mice, a phytol-enriched diet increases phytanic acid levels in the
liver and adipose tissue, where PPAR-alpha is abundantly expressed. A phytol
enriched diet ameliorates severe obesity and the related metabolic abnormalities
of white adipose tissue. Moreover, the expression of PPAR-alpha target genes in
the liver and brown adipose tissue is enhanced by a phytol-enriched diet,
suggesting that phytol and phytanic acid activate PPAR-alpha in these organs. We
confirm that phytanic acid treatment induced PPAR-alpha target gene expression in
both primary hepatocytes and brown adipocytes from wild-type mice, but not in
these cells from PPAR-alpha-deficient mice. CONCLUSION: A phytol-enriched diet
may increase phytanic acid levels in the liver and brown adipocytes, thereby
activating PPAR-alpha in these organs and ameliorating obesity-induced metabolic
diseases.
PMID- 29377599
TI - Core/shell cellulose-based microspheres for oral administration of Ketoprofen
Lysinate.
AB - Herein, we propose the fabrication of a new carrier with core/shell structure
inner core of cellulose acetate (CA) coated by a micrometric layer of chitosan
(CS)-fabricated through an integrated process, which combines Electro Dynamic
Atomization (EDA) and layer-by-layer (LbL) technique. We demonstrate that CA
based microspheres possess a unique capability to relevantly retain the drugs
that is, Ketoprofen Lysinate (KL)-along the gastric tract, while providing a
massive release along the intestine. CS shell slightly influences the morphology
and water retention under different pH conditions, improving drug encapsulation
without compromising drug release kinetics. In vitro studies in simulated gastric
and intestine fluids (SGF, SIF) with physiological enzymes, show a moderate
release of LSK during the first 2 h (ca. 20% at pH 2), followed by a sustained
release during the next 6 h (ca. 80% at pH 7). The obtained results demonstrate
that CA-based microspheres hold strong potential to be used as carriers for a
delayed oral administration of anti-inflammatory drugs. (c) 2018 Wiley
Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 106B: 2636-2644,
2018.
PMID- 29377598
TI - Graphs of study contributions and covariate distributions for network meta
regression.
AB - BACKGROUND: Meta-regression results must be interpreted taking into account the
range of covariate values of the contributing studies. Results based on
interpolation or extrapolation may be unreliable. In network meta-regression
(NMR) models, which include covariates in network meta-analyses, results are
estimated using direct and indirect evidence; therefore, it may be unclear which
studies and covariate values contribute to which result. We propose graphs to
help understand which trials and covariate values contribute to each NMR result
and to highlight extrapolation or interpolation. METHODS: We introduce methods to
calculate the contribution that each trial and covariate value makes to each
result and compare them with existing methods. We show how to construct graphs
including a network covariate distribution diagram, covariate-contribution plot,
heat plot, contribution-NMR plot, and heat-NMR plot. We demonstrate the methods
using a dataset with treatments for malaria using the covariate average age and a
dataset of topical fluoride interventions for preventing dental caries using the
covariate randomisation year. RESULTS: For the malaria dataset, no contributing
trials had an average age between 7-25 years and therefore results were
interpolated within this range. For the fluoride dataset, there are no
contributing trials randomised between 1954-1959 for most comparisons therefore,
within this range, results would be extrapolated. CONCLUSIONS: Even in a fully
connected network, an NMR result may be estimated from trials with a narrower
covariate range than the range of the whole dataset. Calculating contributions
and graphically displaying them aids interpretation of NMR result by highlighting
extrapolated or interpolated results.
PMID- 29377600
TI - Activation of TNF-alpha/NF-kappaB axis enhances CRL4BDCAF11 E3 ligase activity
and regulates cell cycle progression in human osteosarcoma cells.
AB - Cullin 4B, a member of the Cullins, which serve as scaffolds to facilitate the
assembly of E3 ligase complexes, is aberrantly expressed in many cancers,
including osteosarcoma. Recently, we observed that CUL4B forms the CRL4BDCAF11 E3
ligase, which specifically ubiquitinates and degrades the cyclin-dependent kinase
(CDK) inhibitor p21Cip1 in human osteosarcoma cells. However, the underlying
mechanisms regarding the aberrant expression of CUL4B and the upstream members of
this signaling pathway are mostly unknown. In this study, we demonstrate that
nuclear factor kappaB (NF-kappaB) is a direct modulator of CUL4B expression. The
CUL4B promoter is responsive to several NF-kappaB subunits, including RelA, RelB,
and c-Rel, but not to p50 or p52. Additional studies reveal that the tumor
necrosis factor alpha (TNF-alpha)/NF-kappaB axis pathway is activated in human
osteosarcoma cells. This activation causes both CUL4B and NF-kappaB subunits to
become abundant in the nucleus of human osteosarcoma cells. The down-regulation
of individual genes, including TNFR1, RelA, RelB, c-Rel, and CUL4B, or pairs of
them, including TNFR1 + RelA, TNFR1 + RelB, TNFR1 + c-Rel, and RelA+CUL4B, has
similar effects on cell growth inhibition, colony formation, cell invasion, and
in vivo tumor formation, whereas the overexpression of CUL4B in these knockdown
cells significantly reverses their phenotypes. The inhibition of the TNF-alpha/NF
kappaB pathway greatly attenuates CRL4BDCAF11 E3 ligase activity and causes the
accumulation of p21Cip1 , thereby leading to cell cycle arrest at the S phase.
Taken together, our results support a model in which the activation of the TNF
alpha/NF-kappaB axis contributes to an increase in CRL4BDCAF11 activity and a
decrease in p21Cip1 protein levels, thereby controlling cell cycle progression in
human osteosarcoma cells.
PMID- 29377601
TI - Defect-Free Mixed-Matrix Membranes with Hydrophilic Metal-Organic Polyhedra for
Efficient Carbon Dioxide Separation.
AB - Defect-free mixed-matrix membranes (MMMs) were prepared by incorporating
hydrophilic metal-organic polyhedra (MOPs) into cross-linked polyethylene oxide
(XLPEO) for efficient CO2 separation. Hydrophilic MOPs with triethylene glycol
pendant groups, which were assembled by 5-tri(ethylene glycol) monomethyl ether
isophthalic acid and CuII ions, were uniformly dispersed in XLPEO without
particle agglomeration. Compared to conventional neat XLPEO, the homogenous
dispersion of EG3 -MOPs in XLPEO enhanced CO2 permeability of MMMs. Upon
increasing the amount of EG3 -MOPs, the membrane performance such as CO2 /N2
selectivity was steadily improved because of unsaturated CuII sites at paddle
wheel units, which was confirmed by Cu K-edge XANES and TPD analysis. Therefore,
such defect-free MMMs with unsaturated metal sites would contribute to enhance
CO2 separation performance.
PMID- 29377602
TI - Dielectrophoresis-Based Protein Enrichment for a Highly Sensitive Immunoassay
Using Ag/SiO2 Nanorod Arrays.
AB - A nanoscale insulator-based dielectrophoresis (iDEP) technique is developed for
rapid enrichment of proteins and highly sensitive immunoassays. Dense arrays of
nanorods (NDs) by oblique angle deposition create a super high electric field
gradient of 2.6 * 1024 V2 m-3 and the concomitant strong dielectrophoresis force
successfully traps small proteins at a bias as low as 5 V. 1800-fold enrichment
of bovine serum albumin protein at a remarkable rate of up to 180-fold s-1 is
achieved using oxide coated Ag nanorod arrays with pre-patterned sawtooth
electrodes. Based on this system, an ultrasensitive immunoassay of mouse
immunoglobulin G is demonstrated with a reduction in the limit of detection from
5.8 ng mL-1 (37.6 pM) down to 275.3 fg mL-1 (1.8 f M), compared with identical
assays performed on glass plates. This methodology is also applied to detect a
cancer biomarker prostate-specific antigen spiked in human serum with a detection
limit of 2.6 ng mL-1 . This high sensitivity results from rapid biomarker
enrichment and metal enhanced fluorescence through the integration of
nanostructures. The concentrated proteins also accelerate binding kinetics and
enable signal saturation within 1 min. Given the easy fabrication process, this
nanoscale iDEP system provides a highly sensitive detection platform for point-of
care diagnostics.
PMID- 29377604
TI - Community social deprivation and solid organ transplant outcomes.
PMID- 29377603
TI - Effects of Ojeok-san on the Pharmacokinetics of Celecoxib at Steady-state in
Healthy Volunteers.
AB - Ojeok-san is a frequently used herbal medication for the management of
osteoarthritic pain. We evaluated the effect of Ojeok-san on the pharmacokinetics
of celecoxib at steady-state in healthy individuals. An open-label, fixed
sequence, two-period, two-treatment cross-over study was conducted. In period I,
the individuals received celecoxib capsule 200 mg once daily for 4 days. In
period II, only Ojeok-san (14.47 g/pack, three times daily) was administered for
4 days, followed by co-administration with celecoxib for 4 days. On the fourth
(final) day of administration, Ojeok-san was administered as a single dose. The
blood samples for pharmacokinetic evaluation were collected for up to 48 hr after
the administration of celecoxib in each study period. Of the 22 enrolled
individuals, 20 individuals completed the study. In the presence of Ojeok-san,
the systemic exposure of celecoxib was decreased. The geometric mean ratios
([celecoxib + Ojeok-san]/celecoxib) and the 90% confidence intervals for the
maximum plasma concentration (Cmax ) and the area under the plasma concentration
time curve during dosing interval (AUCtau ) of celecoxib at steady-state were
0.725 (0.620-0.848) and 0.885 (0.814-0.962), respectively. The changes in the
mean of the Cmax and AUCtau of celecoxib were greater in intermediate
metabolizers of cytochrome 2C9 (CYP2C9) than in normal metabolizers. Our results
suggested that the Cmax and AUCtau of celecoxib were reduced by Ojeok-san co
administration. This finding may be beneficial to determine the required
adjustment of celecoxib dosage when co-administered with Ojeok-san.
PMID- 29377605
TI - Single vs multiallergen sublingual immunotherapy in the polysensitized patient: a
pilot study.
AB - BACKGROUND: Sublingual immunotherapy (SLIT) has emerged as an effective and
exceptionally safe method of treatment of the atopic patient. However, the
optimal number of allergens that should be included in the SLIT treatment regimen
for the polysensitized patient is not known and practices vary widely. This study
aims to compare the efficacy of single-allergen SLIT with pauci-allergen vs
multiallergen aqueous SLIT in polysensitized patients. METHODS: Sixteen subjects
sensitized to 6+ allergens were enrolled in the study. Subjects were blinded and
randomized to SLIT treatment groups that included 1 (single), 3 (pauci), or all
sensitized allergens (multi). Allergens selected were those to which the patient
was most sensitized and correlated with history. Primary outcomes included daily
allergy medication use, weekly Rhinoconjunctivitis Symptom Score (RCSS), and the
mini-Rhinoconjuncitivitis Quality of Life Questionnaire (m-RQLQ). All metrics
were measured at baseline, 6 weeks, 3 months, 6 months, and 9 months. RESULTS:
There were significant decreases from baseline in RCSS and m-RQLQ scores in all
study groups at each interval after beginning SLIT (p < 0.05). There was no
significant decrease in number of daily allergy medications used regardless of
number of allergens in patient's treatment vial (p = 0.50). No significant
differences emerged based on number of allergens used. CONCLUSION: Single
antigen, pauci-antigen, and multiantigen aqueous SLIT significantly improved
allergy symptoms. There was no significant difference observed in efficacy of
single-allergen SLIT vs pauci-allergen or multi-allergen SLIT in polysensitized
patients.
PMID- 29377606
TI - High Capacitive Storage Performance of Sulfur and Nitrogen Codoped Mesoporous
Graphene.
AB - Mesoporous graphene is synthesized based on the chemical vapor deposition
methodology by using heavy MgO flakes as substrates in a fluidized-bed reactor.
Subsequently, sulfur and nitrogen coincorporation into graphene frameworks is
realized by the reaction between carbon atoms and thiourea molecules. The as
obtained sulfur and nitrogen codoped mesoporous graphene (SNMG) exhibits
remarkable capacitive energy-storage behavior, as a result of well-developed pore
channels, in terms of that in a symmetric supercapacitor and lithium-ion hybrid
capacitor (LIHC). The ultrahigh durability of the SNMG/SNMG symmetric
supercapacitor is demonstrated by long-term cycling, for which no capacitance
decay is found after 20 000 cycles. A LIHC constructed from commercial Li4 Ti5
O12 (LTO) as the anode and SNMG as the cathode is capable of delivering much
enhanced lithium-storage ability and better rate capability than that of
activated carbon (AC)/LTO LIHC. Moreover, SNMG/LTO LIHC exhibits maximum energy
and power densities of 86.2 Wh kg-1 and 7443 W kg-1 and maintains 87 %
capacitance retention after 2000 cycles.
PMID- 29377608
TI - Biosimilars and patient care.
PMID- 29377607
TI - Immunosuppressants produced by Streptomyces: evolution, hygiene hypothesis,
tumour rapalog resistance and probiotics.
AB - Resistance to a drug and the suppression of inflammatory disorders with
immunosuppressive drugs might have happened upon exposure to natural compounds
during evolution. Streptomycetes are soil bacteria, but they produce therapeutic
drugs. They have been reported to be the low-abundant members of mucosal
microbiomes with a higher prevalence in nonhumans ingesting soil compared with
humans. Their lower abundance in the human microbiome might be the
representations of our current hygienic lifestyle. We suggest that the
Streptomyces bacteria producing antiproliferative/immunosuppressive compounds
(e.g., rapamycin and tacrolimus) contribute to the rapalog resistance of certain
mucosal tumours (e.g., colon cancer) and the 'hygiene hypothesis'. If so, the
shortage of exposure to these compounds in the current lifestyle might be an
underlying reason for the increase of inflammatory diseases, such as inflammatory
bowel diseases (IBD). An investigation on adding certain Streptomycetes (e.g., S.
hygroscopicus and S. tubercidicus) to the list of probiotics against inflammatory
diseases would be an interesting research area in the future.
PMID- 29377609
TI - Use of the Fractional Excretion of Urea in an Azotemic Nonoliguric State: Type 1
Cardiorenal Syndrome.
AB - The fractional excretion of urea is a useful tool to evaluate renal function in
oliguric states; however, it remains unexplored in nonoliguric states. We
evaluated its use to predict responses in patients with type 1 cardiorenal
syndrome. This was a prospective observational study of 116 patients with type 1
cardiorenal syndrome referred over a 4-year period. Fractional excretion of urea
and sodium, ejection fraction, mean arterial pressure, age, sex, diabetes, brain
natriuretic peptide (BNP), serum sodium and blood urea nitrogen were analyzed for
effects upon serum creatinine and survival. Improvement of renal function
correlated most significantly with FeUrea (P = 0.00001) followed by the FeNa (P =
0.005) but no other variable studied reached significance. Survival was best
predicted by improvement of the serum creatinine at 24 h (P = 0.005) and 7 days
after all inotropes were stopped (P = 0.001). A limitation of this study is that
it cannot be extrapolated to all cardiorenal syndrome patients other than type 1.
Also, the study was not randomized and those with potentially worse disease have
had worse outcomes due merely to worse underlying disease. The success of the
FeUrea may possibly be related to interference of dobutamine on creatinine
levels. Despite being a nonoliguric state, the FeUrea appears to provide insight
to those patients with type 1 cardiorenal syndrome whose renal function (as
measured by serum creatinine) and survival might improve.
PMID- 29377610
TI - TePtFe Nanotubes as High-Performing Bifunctional Electrocatalysts for the Oxygen
Reduction Reaction and Hydrogen Evolution Reaction.
AB - Currently, a multicomponent platinum-based alloy has been applied as a promising
electrocatalyst to improve catalysis and lower the usage of the noble metal
platinum. Herein, a tellurium nanowire (NW)-derived ternary TePtFe nanotube (NT)
electrocatalyst has been prepared by the Kirkendall effect. The TePtFe NT formed
consists of small single-crystal nanoparticles and voids with an open-end and
hollow structure. The TePtFe NT electrocatalyst presents an impressive catalytic
activity and stability for both the oxygen reduction reaction (ORR) and hydrogen
evolution reaction (HER). Its ORR specific activity and mass activity are 8.5 and
2.4 times, respectively, improved relative to those of commercial platinum
catalysts. It is also impressive that, for the HER, a very low overpotential of
28.1 mV at 10 mA cm-2 can be achieved; this is lower than that of platinum (51.8
mV) catalysts in 0.1 m HClO4 , and the activity is improved, even after 5000
cycles. This work reveals that TePtFe NTs can be employed as nanocatalysts with
an impressive catalytic activity and stability for application in fuel cells and
hydrogen production.
PMID- 29377612
TI - Applying the Ts of referred otalgia to a cohort of 226 patients.
PMID- 29377611
TI - Developmental social communication deficits in the Shank3 rat model of phelan
mcdermid syndrome and autism spectrum disorder.
AB - : Mutations in the SHANK3 gene have been discovered in autism spectrum disorder
(ASD), and the intellectual disability, Phelan-McDermid Syndrome. This study
leveraged a new rat model of Shank3 deficiency to assess complex behavioral
phenomena, unique to rats, which display a richer social behavior repertoire than
mice. Uniquely detectable emissions of ultrasonic vocalizations (USV) in rats
serve as situation-dependent affective signals and accomplish important
communicative functions. We report, for the first time, a call and response
acoustic playback assay of bidirectional social communication in juvenile Shank3
rats. Interestingly, we found that Shank3-deficient null males did not
demonstrate the enhanced social approach behavior typically exhibited following
playback of pro-social USV. Concomitantly, we discovered that emission of USV in
response to playback was not genotype-dependent and emitted response calls were
divergent in meaning. This is the first report of these socially relevant
responses using a genetic model of ASD. A comprehensive and empirical analysis of
vigorous play during juvenile reciprocal social interactions further revealed
fewer bouts and reduced durations of time spent playing by multiple key
parameters, including reduced anogenital sniffing and allogrooming. We further
discovered that male null Shank3-deficient pups emitted fewer isolation-induced
USV than Shank3 wildtype controls. Postnatal whole brain anatomical phenotyping
was applied to visualize anatomical substrates that underlie developmental
phenotypes. The data presented here lend support for the important role of Shank3
in social communication, the core symptom domain of ASD. By increasing the number
of in vivo functional outcome measures, we improved the likelihood for
identifying and moving forward with medical interventions. Autism Res 2018, 11:
587-601. (c) 2018 International Society for Autism Research, Wiley Periodicals,
Inc. LAY SUMMARY: Clinically relevant outcomes are required to demonstrate the
utility of therapeutics. We introduce findings in a rat model, and assess the
impact of mutations in Shank3, an autism risk gene. We found that males with
deficient expression of Shank3 did not demonstrate typical responses in a bi
directional social communication test and that social interaction was lower on
key parameters. Outcome measures reported herein extend earlier results in mice
and capture responses to acoustic calls, which is analogous to measuring
receptive and expressive communication.
PMID- 29377613
TI - A New Insight into Cross-Sensitivity to Humidity of SnO2 Sensor.
AB - The efficiency of gas sensors varies enormously from fundamental study to
practical application. This big gap comes mainly from the complex and
unpredictable effect of atmospheric environment, especially in humidity. Here,
the cross-sensitivity to humidity of a SnO2 sensor from local structural and
lattice evolutions is studied. The sensing response of ethanol is found to be
efficiently activated by adsorbing trace of water but inhibited as humidity
increases. By X-ray diffraction, pair distribution function of synchrotron and ab
initio calculations, the independent effect of water and ethanol on lattice and
local structure are clearly revealed, which elucidate the intricate sensing
reactions. The formation of hydrogen bonds and repulsion of ethoxides play key
roles in the structural distortions, and also in adsorption energies that are
critical to the sensitive behavior. The results show the sensor performance
coupled with local structural evolution, which provides a new insight into the
controversial effects of humidity on SnO2 sensors.
PMID- 29377614
TI - Quantification, dissipation behavior and risk assessment of ethion in green pea
by gas chromatography-electron capture detector.
AB - Residue investigation was carried out to scrutinize the persistence, dissipation
behavior, half-life, and risk assessment of ethion on green pea fruit by spraying
ethion at the fruiting stage followed by another application at 10 day intervals.
The samples were extracted by using a quick, easy, low-cost, effective, rugged,
and safe method, and the residues of ethion were analyzed by gas chromatography
with electron capture detection. Here we report a novel, accurate, and cost
effective gas chromatography method for the determination of average deposits of
ethion on green pea. The initial deposits were found to be 4.65 mg/kg following
the application of insecticide. Residues of ethion reached below the detection
limit of 0.10 mg/kg after 25 days at recommended dosage. The half-life of ethion
was found to be 4.62 days. For risk assessment studies, the 25th day will be safe
for consumers for the consumption of green peas. The developed method is simple,
sensitive, selective, and repeatable and can be extended for ethion-based
standardization of herbal formulations containing green pea and its use in
pesticide industries.
PMID- 29377615
TI - EasyCloneYALI: CRISPR/Cas9-Based Synthetic Toolbox for Engineering of the Yeast
Yarrowia lipolytica.
AB - The oleaginous yeast Yarrowia lipolytica is an emerging host for production of
fatty acid-derived chemicals. To enable rapid iterative metabolic engineering of
this yeast, there is a need for well-characterized genetic parts and convenient
and reliable methods for their incorporation into yeast. Here, the EasyCloneYALI
genetic toolbox, which allows streamlined strain construction with high genome
editing efficiencies in Y. lipolytica via the CRISPR/Cas9 technology is
presented. The toolbox allows marker-free integration of gene expression vectors
into characterized genome sites as well as marker-free deletion of genes with the
help of CRISPR/Cas9. Genome editing efficiencies above 80% were achieved with
transformation protocols using non-replicating DNA repair fragments (such as DNA
oligos). Furthermore, the toolbox includes a set of integrative gene expression
vectors with prototrophic markers conferring resistance to hygromycin and
nourseothricin.
PMID- 29377616
TI - Persistence of hepatocellular carcinoma risk in hepatitis C patients with a
response to IFN and cirrhosis regression.
AB - BACKGROUND AND AIM: In patients with HCV-related cirrhosis, a sustained
virological response may lead to cirrhosis regression. Whether histological
changes translate into prevention of long-term complications, particularly
hepatocellular carcinoma is still unknown. This was investigated in a cohort of
histological cirrhotics who had been prospectively followed-up for 10 years after
the achievement of a sustained virological response to IFN. METHODS: In all, 38
sustained virological response cirrhotics who underwent a liver biopsy 5 years
post-SVR were prospectively followed to assess the impact of cirrhosis regression
on clinical endpoints. RESULTS: During a follow-up of 86 (30-96) months from
liver biopsy, no patients developed clinical decompensation, whilst 5 (13%)
developed hepatocellular carcinoma after 79 (7-88) months. The 8-year cumulative
probability of hepatocellular carcinoma was 17%, without differences between
patients with or without cirrhosis regression (19% [95% CI 6%-50%] vs 14% [95% CI
4%-44%], P = .88). Patients who developed or did not an hepatocellular carcinoma
had similar rates of residual cirrhosis (P = 1.0), collagen content (P = .48),
METAVIR activity (P = .34), portal inflammation (P = .06) and steatosis (P =
.17). At baseline, patients who developed an hepatocellular carcinoma had higher
gammaGT (HR 1.03, 95% CI 1.00-1.06; P = .014) and glucose (HR 1.02, 95% CI 1.00
1.02; P = .012) values; moreover, they had increased Forns Score (HR 12.8, 95% CI
1.14-143.9; P = .039), Lok Index (HR 6.24, 95% CI 1.03-37.6; P = .046) and PLF
(HR 19.3, 95% CI 1.72-217.6; P = .016) values. One regressor died of lung cancer.
The 8-year cumulative survival probability was 97%, independently on cirrhosis
regression (96% vs 100%, P = 1.0) or hepatocellular carcinoma (100% vs 97%, P =
1.0). CONCLUSIONS: Post-SVR cirrhosis regression does not prevent hepatocellular
carcinoma occurrence.
PMID- 29377617
TI - Peroxiredoxin 1, restraining cell migration and invasion, is involved in
hepatocellular carcinoma recurrence.
AB - OBJECTIVE: Hepatocellular carcinoma (HCC) is a high-burden disease. Peroxiredoxin
1 (PRDX1) is a member of the peroxiredoxin family of antioxidant enzymes. The aim
of this study was to assess the value of PRDX1 for predicting HCC recurrence
after curative resection and to explore the role of PRDX1 in HCC cell migration
and invasion. METHODS: Data of patients with HCC who had undergone complete
resection between 2002 and 2006 were collected. Immunohistochemical detection of
PRDX1 in HCC tissue and adjacent non-cancerous tissue was conducted. Kaplan-Meier
survival estimate and log-rank test were used to assess the relationship between
PRDX1 expression and prognostic significance. HCC cell migration and invasion
together with the interaction between PRDX1 and ubiquitin C-terminal hydrolase 37
(UCH37) were studied in vitro. RESULTS: PRDX1 was expressed at lower levels in
HCC tissues than in adjacent non-cancerous tissues, and PRDX1 was found to be an
independent risk factor for disease-free survival and overall survival. PRDX1
restrained cell migration and invasion in vitro. PRDX1 was found to interact with
UCH37 to affect HCC cell migration and invasion. CONCLUSION: PRDX1 restrains cell
migration and invasion in HCC cell lines and that may be involved in a UCH37
relevant pathway, suggesting that PRDX1 may be a new marker for HCC recurrence
after surgery.
PMID- 29377618
TI - MiR-339 depresses cell proliferation via directly targeting S-phase kinase
associated protein 2 mRNA in lung cancer.
AB - BACKGROUND: S-phase kinase-associated protein 2 (Skp2) takes great part in the
development of multiple tumors. However, the post-transcriptional modulation
mechanism of Skp2 remains unclear. Here, we present a new regulatory microRNA of
Skp2, miR-339, which directly targets Skp2 to inhibit cell proliferation in lung
cancer. METHODS: The expression of miR-339 or Skp2 in lung cancer samples was
tested by real time-PCR. The correlation between miR-339 and Skp2 in lung cancer
samples was analyzed by Pearson's correlation coefficient. The effect of miR-339
or anti-miR-339 on Skp2 was evaluated by immunoblotting. The luciferase reporter
gene assay was used to test the targeting of miR-339 on Skp2. 3-(4,5
Dimethylthiazol-2-yl)-2,5 diphenyltetrazolium bromide and colony formation
analysis were applied to examine the function of miR-339 targeting Skp2 in lung
cancer cells. RESULTS: The negative correlation of miR-339 with Skp2 was found in
clinical human lung cancer tissues. Furthermore, Skp2 expression was obviously
abated by miR-339 in lung cancer A549 cells. Mechanistically, we used
bioinformatics to predict that miR-339 could target the 3'-untranslated region of
Skp2 mRNA. Luciferase reporter gene assay demonstrated that miR-339 could
decrease the luciferase activities of the 3'-untranslated region vector of Skp2.
In terms of function, ectopic miR-339 expression significantly suppressed cell
proliferation in lung cancer. Overexpressed Skp2 accelerated miR-339-bated
proliferation of lung cancer cells. MiR-339 inhibitor promoted cell proliferation
in lung cancer, but Skp2 RNA interference reversed miR-339 inhibitor-driven cell
proliferation. CONCLUSION: MiR-339 targets the 3'-untranslated region of Skp2
mRNA to depress the proliferation of lung cancer cells.
PMID- 29377619
TI - Density Functional Theory Mechanistic Study of Boron-Catalyzed N-Alkylation of
Amines with Formic Acid: Formic Acid Activation by Silylation Reaction.
AB - New methodology for the alkylation of amines is an intriguing issue in both
academia and industry. Recently, several groups reported the metal-free B(C6 F5
)3 -catalyzed N-alkylation of amines, but the mechanistic details of these
important reactions are unclear. Herein, a computational study was performed to
elucidate the mechanism of the N-alkylation of amines with formic acid catalyzed
by the Lewis acid B(C6 F5 )3 in the presence of hydrosilane. We found that the
reaction started with the activation of formic acid through a novel model. Then,
the high electrophilicity of the C center of the formic acid unit and the
nucleophilic character of the amine resulted in a C-N coupling reaction. Finally,
two sequential silyl-group and H- transfer steps occurred to generate the final
product. Upon comparing the reaction barrier and the hydrogenation of indole, our
mechanism is more favorable than that proposed by the group of Yu and Fu.
PMID- 29377620
TI - Ulcerative telangiectasia on the face and back of a 6-year-old girl with elevated
antinuclear antibodies.
PMID- 29377621
TI - Potassium channel dysfunction in neurons and astrocytes in Huntington's disease.
AB - Huntington's disease (HD) is a late-onset fatal neurodegenerative disease,
characterized by progressive movement disorders, psychiatric symptoms, and
cognitive impairment. The cytosine-adenine-guanine (CAG) triplet expansion
encoding glutamine present in the protein huntingtin (Htt), produces widespread
neuronal and glial pathology. Mutant huntingtin (mHtt) nuclear aggregates are the
primary cause of cortical and striatal neuron degeneration, neuronal
inflammation, apoptosis and eventual cell loss. The precise mechanisms underlying
the pathogenesis of neurodegeneration in HD remain poorly understood and HD
patients have no current cure. Potassium channels are widely expressed in most
cell types. In neurons, they play a crucial role in setting the resting membrane
potential, mediating the rapid repolarization phase of the action potential and
controlling sub-threshold oscillations of membrane potentials. In glial cells,
their major contributions are maintaining the resting membrane potential and
buffering extracellular K+ . Thus, potassium channels have an essential function
in both physiological and pathological brain conditions. This review summarizes
recent progress on potassium channels involved in the pathology of HD by using
different HD mouse models. Exploring the dysfunction of potassium channels in the
brain illustrates new approaches for targeting this channel for the treatment of
HD.
PMID- 29377622
TI - Influence of Childhood and Adolescent Fat Development on Fat Mass Accrual During
Emerging Adulthood: A 20-Year Longitudinal Study.
AB - OBJECTIVE: Fat mass and the prevalence of overweight/obesity (OWO) increase
during emerging adulthood (EA; 18-25 years). The factors that contribute to the
transition from having healthy weight to having OWO during EA are understudied.
This study aimed to identify the independent effect of concurrent physical
activity (PA) and energy intake (EI) and childhood/adolescent fat accrual, PA,
and EI on EA fat accrual. METHODS: One hundred twenty-six participants (59 male)
were measured serially between 1991 and 2011. Measures included age, height,
weight, total body and trunk fat mass (TBF and TrF, in grams) derived from dual
energy x-ray absorptiometry, and PA and EI. Composite childhood/adolescent z
scores were calculated for each participant (average mean z score) for TBF, TrF,
PA, and EI. Multilevel random-effects models were developed. RESULTS: EA fat
accrual was predicted by childhood and adolescent TBF and TrF z score (0.30 +/-
0.05, P < 0.05), respectively, in both sexes. Concurrent PA (-0.06 +/- 0.02, P <
0.05) was significant in males only. CONCLUSIONS: These results underscore the
importance of maintaining a lower TBF and TrF during childhood and adolescence,
and a higher level of PA in order to mitigate TBF and TrF accrual and prevent the
transition from having healthy weight to having OWO during EA.
PMID- 29377623
TI - Are oceanic fronts ecotones? Seasonal changes along the subtropical front show
fronts as bacterioplankton transition zones but not diversity hotspots.
AB - Ecotones are regarded as diversity hotspots in terrestrial systems, but it is
unknown if this 'ecotone effect' occurs in the marine environment. Oceanic fronts
are widespread mesoscale features, present in the boundary between different
water masses, and are arguably the best potential examples of ecotones in the
ocean. Here we performed the first seasonal study along an oceanic front,
combining 16S rRNA gene sequencing coupled with a high spatial resolution
analysis of the physical properties of the water masses. Using the Subtropical
Frontal Zone off New Zealand we demonstrate that fronts delimit shifts in
bacterioplankton community composition between water masses, but that the
strength of this effect is seasonally dependent. While creating a transition zone
where physicochemical parameters and bacterioplankton communities get mixed, this
ecotone does not result in increased diversity. Thus unlike terrestrial ecotones,
oceanic fronts are boundaries but not hotspots of bacterioplankton diversity in
the ocean.
PMID- 29377624
TI - Exploring the Potential Application of Short Non-Coding RNA-Based Genetic
Circuits in Chinese Hamster Ovary Cells.
AB - The majority of cell engineering for recombinant protein production to date has
relied on traditional genetic engineering strategies, such as gene overexpression
and gene knock-outs, to substantially improve the production capabilities of
Chinese Hamster Ovary (CHO) cells. However, further improvements in cellular
productivity or control over product quality is likely to require more
sophisticated rational approaches to coordinate and balance cellular pathways.
For these strategies to be implemented, novel molecular tools need to be
developed to facilitate more refined control of gene expression. Multiple gene
control strategies are developed over the last decades in the field of synthetic
biology, including DNA and RNA-based systems, which allows tight and timely
control over gene expression. microRNAs has received a lot of attention over the
last decade in the CHO field and are used to engineer and improve CHO cells. In
this review we focus on microRNA-based gene control systems and discuss their
potential use as tools rather than targets in order to gain better control over
gene expression.
PMID- 29377625
TI - Mild antithrombin deficiency and risk of recurrent venous thromboembolism:
results from the MEGA follow-up study.
AB - : Essentials Mild antithrombin deficiency may increase the risk of recurrent
venous thromboembolism (VTE). In a cohort study, we stratified patients with VTE
to various cut-off antithrombin levels. A 1.6-3.7-fold increased risk of
recurrent VTE was observed in the lowest antithrombin categories. Mild
antithrombin deficiency (activity < 5th percentile of normal) increases recurrent
VTE risk. SUMMARY: Background Mild antithrombin deficiency (previously defined as
antithrombin activity below 70% or 80%) has been associated with a 2.4-3.5-fold
increased risk of recurrent venous thromboembolism (VTE). This finding may have
implications for duration of antithrombotic therapy in VTE patients with mild
antithrombin deficiency. Objectives To externally validate whether mild
antithrombin deficiency is a risk factor for recurrent VTE. Methods In a
population-based cohort study, patients with a first VTE (n = 2357) were
stratified according to percentile cut-off antithrombin levels (< 5th [< 87%], 5
10th [87-92%], > 10th percentile [> 92%]) and functional antithrombin levels (<
70%, 70-80%, > 80%). Results During a median follow-up of 7.4 years, 361
recurrent events occurred (incidence rate, 2.5/100 patient-years). We observed an
increased risk of recurrent VTE in the lowest antithrombin activity category (<
5th percentile; < 87%) as compared with antithrombin activity that was > 10th
percentile (> 92%), with an adjusted hazard ratio (HR) of 1.5 (95%CI, 1.0-2.3).
When analyses were stratified to antithrombin cut-off criteria of< 70% vs.
patients with antithrombin activity > 80%, the adjusted HR for venous recurrence
was 3.7 (95% CI, 1.4-9.9). Mild antithrombin deficiency was able to predict
recurrent VTE over at least 8 years of follow-up and the association remained
present when the population was stratified to the presence or absence of
thrombosis risk factors. Restriction analyses, where patients who used
anticoagulation at time of blood draw and those who reported drinking >= 5
glasses alcohol daily were excluded, did not materially affect these outcomes.
Conclusion This study confirms that mild antithrombin deficiency is a risk factor
for recurrent VTE.
PMID- 29377626
TI - Tox21 Enricher: Web-based Chemical/Biological Functional Annotation Analysis Tool
Based on Tox21 Toxicity Screening Platform.
AB - The US Toxicology Testing in the 21st Century (Tox21) program was established to
develop more efficient and human-relevant toxicity assessment methods. The Tox21
program screens >10,000 chemicals using quantitative high-throughput screening
(qHTS) of assays that measure effects on toxicity pathways. To date, more than 70
assays have yielded >12 million concentration-response curves. The patterns of
activity across assays can be used to define similarity between chemicals.
Assuming chemicals with similar activity profiles have similar toxicological
properties, we may infer toxicological properties based on its neighbourhood. One
approach to inference is chemical/biological annotation enrichment analysis.
Here, we present Tox21 Enricher, a web-based chemical annotation enrichment tool
for the Tox21 toxicity screening platform. Tox21 Enricher identifies over
represented chemical/biological annotations among lists of chemicals
(neighbourhoods), facilitating the identification of the toxicological properties
and mechanisms in the chemical set.
PMID- 29377627
TI - Establishment of a CRISPR/Cas9-Mediated Cysltr1 Knockout Mouse Model and iTRAQ
Based Proteomic Analysis.
AB - PURPOSE: To clarify the role of Cysteinyl leukotrienes receptor type 1 (CYSLTR1)
and find the potential predictors of CYSLTR1 antagonists (leukotriene receptor
antagonists [LTRAs]) responsiveness in vivo. EXPERIMENTAL DESIGN: Cysltr1
knockout (KO) mouse model is established by the CRISPR/Cas9 system. The phenotype
of Cysltr1 KO mice are tested by western blotting (WB), histological
examinations, and experiment of zymosan A-induced peritoneal inflammation. The
differentially expressed proteins (DEPs) between the Cysltr1 KO and the wild type
(WT) mice lung tissues are analyzed by the iTRAQ-based proteomic technology. WB
is used to validate a subset of DEPs. The total nitric oxide (NO) concentration
in lung tissues are measured. RESULTS: The Cysltr1 KO mice show the decrease of
vascular permeability in comparison with the WT mice. Our quantitative proteomic
analysis identified 239 DEPs in total. WB confirms an increased expression of
protein kinase C-delta (PKC-delta), while N(G),N(G)-dimethylarginine
dimethylaminohydrolase 1 (DDAH1) and beta-Catenin expression are reduced. The
total NO concentrations are significantly reduced in lungs from Cysltr1 KO mice.
CONCLUSIONS AND CLINICAL RELEVANCE: This study not only provides a comprehensive
dataset on overall protein changes in Cysltr1 KO mice lung tissues, but also
sheds light on interpreting the description of lower vascular permeability in
Cysltr1 KO mice.
PMID- 29377628
TI - Magnetic core micelles as a nanosorbent for the efficient removal and recovery of
three organophosphorus pesticides from fruit juice and environmental water
samples.
AB - Sodium dodecyl sulfate coated amino-functionalized magnetic iron oxide
nanoparticles were used as an efficient adsorbent for rapid removal and
preconcentration of three important organophosphorus pesticides, chlorpyrifos,
diazinon and phosalone, by ultrasound-assisted dispersive magnetic solid-phase
microextraction. Fabrication of amino-functionalized magnetic nanoparticles was
certified by characteristic analyses, including Fourier transform infrared
spectroscopy, thermogravimetric analysis, scanning electron microscopy, and
transmission electron microscopy. Affecting parameters on the removal efficiency
were investigated and optimized through half-fractional factorial design and
Doehlert design, respectively. The analysis of analytes was performed by high
performance liquid chromatography with ultraviolet detection. Under the optimum
conditions, extraction recoveries for 20 ng/mL of organophosphorus pesticides
were in the range of 84-97% with preconcentration factors in the range of 134
155. Replicating the experiment in above condition for five times gave the
relative standard deviations <6%. The calibration curves showed high linearity in
the range of 0.2-700 ng/mL and the limits of detection were in the range of 0.08
0.13 ng/mL. The proposed method was successfully applied for both removal and
trace determination of these three organophosphorus pesticides in environmental
water and fruit juice samples.
PMID- 29377630
TI - Upregulation of Foreign Body Response in Obese Mice.
AB - OBJECTIVE: Obesity is a highly prevalent multifactorial metabolic condition in
which the need for functional bioengineered substitutes (e.g., scaffolds for
tissue engineering) is likely to occur. However, the adverse foreign body
response (FBR) that invariably takes place adjacent to implant devices impairing
their function is poorly characterized in this condition. This study investigated
the influence of obesity on the host response to a synthetic matrix implanted
subcutaneously in high-fat-fed obese mice. METHODS: Histological analysis of 14
day-old implants was performed to identify collagen deposition, capsule
thickness, fibroblast-like cells, foreign body giant cells, and mast cells. In
addition, transforming growth factor beta1 (TGF-beta1) levels in the implants and
serum were determined. RESULTS: All fibrogenic markers (and TGF-beta1 levels)
increased in the implants of obese mice compared with their nonobese
counterparts. Particularly relevant was the fibrous capsule thickness in implants
of obese mice (234.2 +/- 22.1 um vs. 109.2 +/- 13.4 um in implants of nonobese
animals). CONCLUSIONS: The study results showing that obesity upregulates the
main features of the FBR induced by subcutaneous implants in mice may be relevant
in understanding biomaterial integration and performance in this condition. This
is crucial to the development of strategies to maintain the integrity and
function of implantable devices.
PMID- 29377631
TI - A Silk Cranial Fixation System for Neurosurgery.
AB - Cranial fixation should be safe, reliable, ideally degradable, and produce no
hazardous residues and no artifacts on neuroimaging. Protein-based fixation
devices offer an exciting opportunity for this application. Here, the preclinical
development and in vivo efficacy verification of a silk cranial fixation system
in functional models are reported by addressing key challenges toward clinical
use. A comprehensive study on this fixation system in rodent and canine animal
models for up to 12 months is carried out. The silk fixation system shows a
superb performance on the long-term stability of the internal structural support
for cranial flap fixation and bone reconnection and has good magnetic resonance
imaging compatibility, and tolerability to high dose radiotherapy, underscoring
the favorable clinical application of this system for neurosurgery compared to
the current gold standard.
PMID- 29377633
TI - Constraint-based modelling captures the metabolic versatility of Desulfovibrio
vulgaris.
AB - A refined Desulfovibrio vulgaris Hildenborough flux balance analysis (FBA) model
(iJF744) was developed, incorporating 1016 reactions that include 744 genes and
951 metabolites. A draft model was first developed through automatic model
reconstruction using the ModelSeed Server and then curated based on existing
literature. The curated model was further refined by incorporating three recently
proposed redox reactions involving the Hdr-Flx and Qmo complexes and a lactate
dehydrogenase (LdhAB, DVU 3027-3028) indicated by mutation and transcript
analyses to serve electron transfer reactions central to syntrophic and
respiratory growth. Eight different variations of this model were evaluated by
comparing model predictions to experimental data determined for four different
growth conditions - three for sulfate respiration (with lactate, pyruvate or H2
/CO2 -acetate) and one for fermentation in syntrophic coculture. The final
general model supports (i) a role for Hdr-Flx in the oxidation of DsrC and
ferredoxin, and reduction of NAD+ in a flavin-based electron confurcating
reaction sequence, (ii) a function of the Qmo complex in receiving electrons from
the menaquinone pool and potentially from ferredoxin to reduce APS and (iii) a
reduction of the soluble DsrC by LdhAB and a function of DsrC in electron
transfer reactions other than sulfite reduction.
PMID- 29377632
TI - The effects of brain death and ischemia on tolerance induction are organ
specific.
AB - We have previously shown that 12 days of high-dose calcineurin inhibition induced
tolerance in MHC inbred miniature swine receiving MHC-mismatched lung, kidney, or
co-transplanted heart/kidney allografts. However, if lung grafts were procured
from donation after brain death (DBD), and transplanted alone, they were rejected
within 19-45 days. Here, we investigated whether donor brain death with or
without allograft ischemia would also prevent tolerance induction in kidney or
heart/kidney recipients. Four kidney recipients treated with 12 days of
calcineurin inhibition received organs from donors rendered brain dead for 4
hours. Six heart/kidney recipients also treated with calcineurin inhibition
received organs from donors rendered brain dead for 4 hours, 8 hours, or 4 hours
with 4 additional hours of cold storage. In contrast to lung allograft
recipients, all isolated kidney or heart/kidney recipients that received organs
from DBD donors achieved long-term survival (>100 days) without histologic
evidence of rejection. Proinflammatory cytokine gene expression was upregulated
in lungs and hearts, but not kidney allografts, after brain death. These data
suggest that the deleterious effects of brain death and ischemia on tolerance
induction are organ-specific, which has implications for the application of
tolerance to clinical transplantation.
PMID- 29377634
TI - In Immunopeptidomics We Need a Sniper Instead of a Shotgun.
AB - Immunopeptidomics employs the use of mass spectrometry to identify and quantify
peptides presented on the surface of cells by major histocompatibility complex
(MHC; human leukocyte antigen [HLA], in humans) molecules, an essential component
of adaptive immunity. Currently, immunopeptidomics follows the same or similar
workflows as the more established field of shotgun proteomics, yet inherent
differences between these two fields create significant drawbacks for the former.
In this viewpoint, we would like to highlight such technical issues and provide
suggestions for novel workflows that would increase peptide sequencing coverage,
depth, and confidence, collectively enhancing the capabilities of the field of
immunopeptidomics.
PMID- 29377635
TI - The Effect of Ambient Carbon Dioxide on Anion-Exchange Membrane Fuel Cells.
AB - Over the past 10 years, there has been a surge of interest in anion-exchange
membrane fuel cells (AEMFCs) as a potentially lower cost alternative to proton
exchange membrane fuel cells (PEMFCs). Recent work has shown that AEMFCs achieve
nearly identical performance to that of state-of-the-art PEMFCs; however, much of
that data has been collected while feeding CO2 -free air or pure oxygen to the
cathode. Usually, removing CO2 from the oxidant is done to avoid the detrimental
effect of CO2 on AEMFC performance, through carbonation, whereby CO2 reacts with
the OH- anions to form HCO3- and CO32- . In spite of the crucial importance of
this topic for the future development and commercialization of AEMFCs,
unfortunately there have been very few investigations devoted to this phenomenon
and its effects. Much of the data available is widely spread out and there
currently does not exist a resource that researchers in the field, or those
looking to enter the field, can use as a reference text that explains the complex
influence of CO2 and HCO3- /CO32- on all aspects of AEMFC performance. The
purpose of this Review is to summarize the experimental and theoretical work
reported to date on the effect of ambient CO2 on AEMFCs. This systematic Review
aims to create a single comprehensive account of what is known regarding how CO2
behaves in AEMFCs, to date, as well as identify the most important areas for
future work in this field.
PMID- 29377638
TI - Biofluid spectroscopic disease diagnostics: A review on the processes and
spectral impact of drying.
AB - The complex patterns observed from evaporated liquid drops have been examined
extensively over the last 20 years. Complete understanding of drop deposition is
vital in many medical processes, and one which is essential to the translation of
biofluid spectroscopic disease diagnostics. The promising use of spectroscopy in
disease diagnosis has been hindered by the complicated patterns left by dried
biological fluids which may inhibit the clinical translation of this technology.
Coffee-ring formation, cracking and gelation patterns have all been observed in
biofluid drops, and with surface homogeneity being a key element to many
spectroscopic techniques, experimental issues have been found to arise. A better
understanding of the fundamental processes involved in a drying droplet could
allow efficient progression in this research field, and ultimately benefit the
population with the development of a reliable cancer diagnostic.
PMID- 29377637
TI - Design of Copper-Based Bimetallic Nanoparticles for Carbon Dioxide Adsorption and
Activation.
AB - Cu-based nanoparticles (NPs) are promising candidates for the catalytic
hydrogenation of CO2 to useful chemicals because of their low cost. However, CO2
adsorption and activation on Cu is not feasible. In this work we demonstrate a
computational framework that identifies Cu-based bimetallic NPs able to adsorb
and activate CO2 based on DFT calculations. We screen a series of heteroatoms on
Cu-based NPs based on their preference to occupy a surface site on the NP and to
adsorb and activate CO2 . We revealed two descriptors for CO2 adsorption on the
bimetallic NPs, the heteroatom (i) local d-band center and (ii)
electropositivity, which both drive an effective charge transfer from the NP to
CO2 . We identified the CuZr bimetallic NP as a candidate nanostructure for CO2
adsorption and showed that although the Zr sites can be oxidized because of their
high oxophilicity, they are still able to adsorb and activate CO2 strongly.
Importantly, our computational results are verified by targeted synthesis,
characterization, and CO2 adsorption experiments that demonstrate that i) Zr
segregates on the surface of Cu, ii) Zr is oxidized to form a bimetallic mixed
CuZr oxide catalyst, which iii) can strongly adsorb CO2 , whereas Cu NPs cannot.
Overall our work highlights the importance of the generation of binding sites on
a NP surface based on (catalyst) stability and electronic structure properties,
which can lead to the design of more effective CO2 reduction catalysts.
PMID- 29377636
TI - Association of early pregnancy body mass index with post-partum weight change
among African-American women.
AB - Post-partum weight retention is relatively common and increases the risk for
future obesity. Women who are overweight or obese prior to pregnancy, or who gain
excessively during pregnancy, are more likely to retain weight post-partum. Much
of the existing research is limited by a single post-partum body-weight measure
and therefore cannot distinguish post-partum weight retention from post-partum
weight accrual. This study tested the hypothesis that early pregnancy body mass
index (BMI) is positively associated with post-partum weight change, independent
of gestational weight gain (GWG) and breastfeeding (BF) among African-American
women, a demographic group with greater risk for obesity. Healthy African
American women (n = 32) were weighed at 2 weeks and 3 months post-partum to
derive post-partum weight change. Data from prenatal care records were retrieved
to calculate BMI at the first prenatal care visit and GWG. BF status at 2 weeks
post-partum was self-reported. Early pregnancy BMI was positively associated with
post-partum weight change (partial r = 0.53, P < 0.005), independent of GWG and
BF status at 2 weeks post-partum. These results extend the literature by
suggesting that the association between early pregnancy BMI and post-partum
weight retention may be at least partially attributable to the accrual of new
weight during the post-partum period. Future research in a larger and more
diverse cohort is warranted and should explore potential mechanisms contributing
to post-partum weight change.
PMID- 29377639
TI - Polydactyly, postaxial, type B.
AB - BACKGROUND: Postaxial polydactyly, type B is the most common type of polydactyly.
The vestigial sixth finger is attached by a narrow neurovascular pedicle to the
lateral aspect of the hand or foot at the level of the metacarpal-phalangeal
joint or the metatarsal-phalangeal joint. The occurrence of this type of
polydactyly varies among racial groups, by sex and sidedness. Postaxial
polydactyly, type A is a fully developed extra digit on the lateral aspect of the
hand or foot with a bifid fifth or sixth metacarpal/metatarsal and is much less
common. METHODS: In a malformations surveillance program, the frequency in racial
groups, sex ratio and the frequency of other anomalies can be established.
RESULTS: Five hundred forty-five affected infants were identified from 1972 to
2012 in the surveillance of 289,365 liveborn and stillborn infants and elective
terminations because of fetal anomalies detected prenatally. Postaxial
polydactyly, type B was an isolated anomaly in 95% of the affected newborns.
There were more affected males than females. Black infants were affected more
often than White infants: 0.91/100 vs. 0.035/100 infants. The dangling extra
digit was much more common in the hands than in the feet. CONCLUSIONS: Postaxial
polydactyly, type B is almost always an isolated, mild malformation with no
medical significance. Postaxial polydactyly, types B and A occurred in several
infants, suggesting that either the underlying mutation(s) can cause both types
of postaxial polydactyly or that some affected infants have more than one
mutation. Autosomal dominant inheritance with variable expressivity is
postulated.
PMID- 29377640
TI - Malformations among infants of mothers with insulin-dependent diabetes: Is there
a recognizable pattern of abnormalities?
AB - BACKGROUND: Infants of diabetic mothers have been shown in several studies to
have an increased frequency of malformations. In previous studies, an increased
frequency of several specific malformations has been noted, including
anencephaly, bilateral renal agenesis, and double outlet right ventricle.
Surveillance, used to identify all malformed infants in a consecutive sample of
births, can identify a distinctive pattern of malformations among the affected
infants. METHODS: The infants of insulin-dependent, pregestational diabetic
mothers were identified in the daily review of the medical records of each
newborn infant with a malformation and her/his mother's medical record. Infants
of mothers with gestational diabetes were excluded. The frequency of each
malformation was compared to that among the malformed infants of nondiabetic
mothers. RESULTS: One hundred and eighty-three malformed infants of diabetic
mothers were identified among the 289,365 births. The most notable malformations
were: neural tube defects (anencephaly, 9%), heart defects (transposition of
great arteries, 4%), bilateral renal agenesis or dysgenesis (6%), and vertebral
anomalies (hemivertebrae, 4%). CONCLUSIONS: There was a recognizable pattern of
malformations and characteristics of infants of diabetic mothers, although there
was variation in the pattern among affected infants. Some of the malformations in
the diabetic embryopathy can be identified in prenatal screening by ultrasound.
More important, their occurrence can be reduced significantly by the mother
achieving much better control of her diabetes mellitus prior to conception.
PMID- 29377641
TI - Malformations attributed to the process of vascular disruption.
AB - BACKGROUND: Several malformations have been attributed to the process of vascular
disruption. The central hypothesis for this etiology is that blood flow to a
structure has been altered after that structure had formed normally. The
decreased blood flow leads to hypoxia, endothelial cell damage, hemorrhage,
tissue loss, and repair. After recovery, some structures are normal and others
show either tissue loss or structural abnormalities, such as syndactyly and
constriction rings. METHODS: The phenotypic features of the 7,020 infants with
one or more malformations, who were born to women who had always planned to
deliver at Brigham and Women's Hospital (BWH) between, 1972 and 2012, that is,
maternal nontransfers, were reviewed. The phenotypes associated with vascular
disruption, such as the amniotic band syndrome and terminal transverse limb
defects (TTLD), were identified. RESULTS: One hundred and five fetuses and
infants had malformations attributed to the process of vascular disruption. Some
specific causes of the amniotic band limb deformity were identified. TTLD with
associated small digit-like nubbins occurred at three levels: proximal forearm,
wrist, and metacarpal-phalangeal joint. Other causes included severe
hemoglobinopathies and exposures to misoprostol and to prenatal procedures.
CONCLUSIONS: Malformations attributed to the process of vascular disruption were
a distinctive entity, among the recognized etiologies. The timing of the
causative event in the first trimester was established for infants with exposures
to either the prostaglandin misoprostol or the prenatal diagnosis procedure
chorionic villus sampling. One challenge is to identify the developmental steps
in vascular disruption when no causative exposure can be identified.
PMID- 29377642
TI - Malformations among 289,365 Births Attributed to Mutations with Autosomal
Dominant and Recessive and X-Linked Inheritance.
AB - BACKGROUND: The number of malformations attributed to mutations with autosomal or
X-linked patterns of inheritance has increased steadily since the cataloging
began in the 1960s. These diagnoses have been based primarily on the pattern of
phenotypic features among close relatives. A malformations surveillance program
conducted in consecutive pregnancies can identify both known and "new" hereditary
disorders. METHODS: The Active Malformations Surveillance Program was carried out
among 289,365 births over 41 years (1972-2012) at Brigham and Women's Hospital in
Boston. The findings recorded by examining pediatricians and all consultants were
reviewed by study clinicians to establish the most likely diagnoses. The findings
in laboratory testing in the newborn period were reviewed, as well. RESULTS: One
hundred ninety-six (0.06%) infants among 289,365 births had a malformation or
malformation syndrome that was attributed to Mendelian inheritance. A total of
133 (68%) of the hereditary malformations were attributed to autosomal dominant
inheritance, with 94 (71%) attributed to apparent spontaneous mutations. Forty
six (23%) were attributed to mutations with autosomal recessive inheritance, 17
associated with consanguinity. Seventeen (9%) were attributed to X-linked
inheritance. Fifteen novel familial phenotypes were identified. The family
histories showed that most (53 to 71%) of the affected infants were born, as a
surprise, to healthy, unaffected parents. CONCLUSION: It is important for
clinicians to discuss with surprised healthy parents how they can have an infant
with an hereditary condition. Future studies, using DNA samples from consecutive
populations of infants with malformations and whole genome sequencing, will
identify many more mutations in loci associated with mendelizing phenotypes.
Birth Defects Research 110:92-97, 2018.(c) 2018 Wiley Periodicals, Inc.
PMID- 29377643
TI - Causes of Congenital Malformations.
AB - BACKGROUND: Many different causes of malformations have been established. The
surveillance of a consecutive population of births, including stillbirths and
elective terminations of pregnancy because of fetal anomalies, can identify each
infant with malformations and determine the frequency of the apparent etiologies.
This report is a sequel to the first such analysis in the first 10 years of this
Active Malformations Surveillance Program (Nelson and Holmes, ). METHODS: The
presence of malformations was determined among 289,365 births over 41 years (1972
2012) at the Brigham and Women's Hospital in Boston. The abnormalities were
identified from the review of the examination findings of the pediatricians and
consultants and diagnostic testing for the live-born infants and the autopsies of
the fetuses in elective terminations and stillbirths. RESULTS: A total of 7020
(2.4%) infants and fetuses with one or more malformations were identified with
these apparent etiologies in 26.6%: Mendelian disorders, including infants with
postaxial polydactyly, type B; chromosome abnormalities; vascular disruption;
complications of monozygous twinning; and environmental factors. The
malformations of unknown etiology were a much larger group. CONCLUSION: While
several causes of malformations have been identified, many remain unexplained.
Combining the ascertainment in a future surveillance programs with genome
sequencing and chromosome microarray analysis will increase significantly the
number of malformations attributed to genetic mechanisms. Birth Defects Research
110:87-91, 2018.(c) 2018 Wiley Periodicals, Inc.
PMID- 29377644
TI - The Active Malformations Surveillance Program, Boston in 1972-2012: Methodology
and demographic characteristics.
AB - BACKGROUND: Malformations surveillance programs have been carried out in
consecutive populations of newborn infants at single hospitals, as well as in
several hospitals in defined populations. A surveillance program begins with the
review of the findings recorded by the examining pediatrician in each infant's
medical record. The results of diagnostic tests, consultations, and imaging
studies are obtained, also, from that infant's medical record. Some malformations
surveillance programs identify additional malformations over several months, as
the infants have hospitalizations and additional diagnostic testing. METHODS:
289,365 infants (liveborn, stillborn, and fetuses in pregnancies terminated
because of anomalies) were surveyed from 1972 to 2012 at an urban maternity
center in Boston to identify each infant with one or more malformations. Each
mother was interviewed to obtain demographic characteristics, results of prenatal
testing, family history, and information about exposures in pregnancies. Specific
diagnoses were established by the study geneticists. RESULTS: 7,020 (2.4%) of the
289,365 infants surveyed had one or more malformations. The etiologies identified
included chromosome abnormalities, phenotypes attributed to dominant or recessive
autosomal or X-linked mutations, vascular disruption, environmental factors, and
complications of twinning. CONCLUSION: The surveillance of a large consecutive
population of newborn infants, stillbirths, and aborted fetuses can identify with
high reliability all infants with one or more malformations. This process of
ascertainment of affected newborns can be used to improve genetic counseling,
identify "new" phenotypes, and serve as a system for testing new technologies to
establish more causes of congenital malformations.
PMID- 29377645
TI - Iniencephaly.
AB - BACKGROUND: Iniencephaly is a severe developmental abnormality of the
craniovertebral junction in which the head is retroflexed dramatically. Anatomic
studies have identified striking changes in the vertebrae and skull: marked
lordosis of the cervical vertebrae, duplicated cervical vertebrae, irregularly
fused cervical vertebrae, a widened foramen magnum and a small posterior fossa.
The affected infant appears to have no neck, as the skin of the face is
continuous with the chest and the skin of the posterior scalp is continuous with
the skin of the back. Iniencephaly is considered a rare neural tube defect. The
frequency has been higher in geographic areas in which the rates of occurrence of
anencephaly and myelomeningocele were high. Most affected fetuses are either
stillborn or die soon after birth. However, one affected individual is an adult
with normal intelligence. METHODS: A malformations surveillance program can
identify an unselected group of infants with iniencephaly. This approach can
determine the prevalence rate, the frequency of associated malformations, and the
occurrence of close relatives with other neural tube defects. RESULTS: Over 41
years, the surveillance of 289,365 births identified eight fetuses and newborn
infants with iniencephaly. Five of the eight had either an additional
encephalocele or a thoracic myelomeningocele. Two of the eight affected infants
had a sibling or a cousin with anencephaly. CONCLUSION: These findings suggest a
relationship between the occurrence of iniencephaly and the most common neural
tube defects, anencephaly and myelomeningocele. Recent experience confirms that
this complex neural tube defect is not always lethal. Birth Defects Research
110:128-133, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29377646
TI - Stillborn Infants: Associated Malformations.
AB - BACKGROUND: Stillbirth, defined as death of a fetus in utero after 20 weeks of
gestation, occurs in 1 to 2% of pregnancies in the United States. Many of these
stillborn infants have associated malformations, including chromosome
abnormalities, neural tube defects, and malformation syndromes. Other causes are
abnormalities of the placenta and maternal conditions, such as pre-eclampsia and
obesity. A consecutive sample of malformed stillborn infants can establish the
relative frequency and severity of the associated malformations. METHODS:
Stillbirths were identified in the Active Malformations Surveillance Program at
Brigham and Women's Hospital (1972-2012). The findings at autopsy, including the
findings in the placenta and the results of diagnostic studies, were compiled.
RESULTS: One hundred twenty-seven stillborn infants with malformations were
identified at autopsy among 289,365 pregnancies, including trisomies 21, 18, and
13; 45,X; triploidy; anencephaly; lower urinary tract obstruction;
holoprosencephaly and severe heart defects, such as hypoplastic left heart
syndrome and tetralogy of Fallot with pulmonary atresia. The severity of the
abnormalities in stillborn infants was more severe than the spectrum of
abnormalities identified in live-born infants. CONCLUSION: An autopsy of the
stillborn fetus, including chromosome microarray and an examination of the
placenta, can identify the underlying causes of the stillbirth. This review of
stillborn fetuses with malformations showed that several different lethal
malformations and heart defects are more common than among live-born infants.
These postmortem examinations can improve the counseling of the parents about
risks in future pregnancies. Birth Defects Research 110:114-121, 2018.(c) 2018
Wiley Periodicals, Inc.
PMID- 29377647
TI - Cellular Uptake and Tissue Biodistribution of Functionalized Gold Nanoparticles
and Nanoclusters.
AB - In this study, the in vitro uptake by fibroblasts and in vivo biodistribution of
15 nm 11-mercaptoundecanoicacid-protected gold nanoparticles (AuNPs-MUA) and 3 nm
glutathione- and 3 nm bovine serum albumin-protected gold nanoclusters (AuNCs@GSH
and AuNCs@BSA, respectively) were evaluated. In vitro cell viability was examined
after gold nanoparticle treatment for 48 h, based on MTT assays and analyses of
morphological structure, the cycle cell, cellular doubling time, and the gold
concentration in cells. No potential toxicity was observed at any studied
concentration (up to 10 ppm) for AuNCs@GSH and AuNCs@BSA, whereas lower cell
viability was observed for AuNPs-MUA at 10 ppm than for other treatments. Neither
morphological damage nor modifications to the cell cycle and doubling time were
detected after contact with nanoparticles. Associations between cells and AuNPs
and AuNCs were demonstrated by inductively coupled plasma mass spectrometry (ICP
MS). AuNCs@GSH exhibited fluorescence emission at 611 nm, whereas AuNCs@BSA
showed a band at 640 nm. These properties were employed to confirm their
associations with cells by fluorescence confocal microscopy; both clusters were
observed in cells and maintained their original fluorescence. In vivo assays were
performed using 9 male mice treated with 1.70 MUg Au/g body weight gold
nanoparticles for 24 h. ICP-MS measurements showed a different biodistribution
for each type of nanoparticle; AuNPs-MUA mainly accumulated in the brain,
AuNCs@GSH in the kidney, and AuNCs@BSA in the liver and spleen. Spleen indexes
were not affected by nanoparticle treatment; however, AuNCs@BSA increased the
thymus index significantly from 1.28 to 1.79, indicating an immune response.
These nanoparticles have great potential as organ-specific drug carriers and for
diagnosis, photothermal therapy, and imaging.
PMID- 29377648
TI - Xerogel Interfaced Nanofibers Stimulate Bone Regeneration Through the Activation
of Integrin and Bone Morphogenetic Protein Pathways.
AB - A xerogel was interfaced onto biopolymer nanofibers though a core-shell
electrospinning design for bone regeneration. The xerogel-interfaced biopolymer
nanofibrous matrix was bioactive and highly hydrophilic, with a significant
decrease in the water contact angle. The matrix showed excellent in vitro
responses of primary osteoblasts in terms of adhesion, proliferation, and
migration. Furthermore, the osteoblastic differentiation of cells, including
alkaline phosphatase activity, mineralization, and gene expression, was
significantly upregulated by the xerogel interface. In vivo animal tests in a
critical-sized calvarial defect confirmed the new bone formation ability of the
xerogel-surfaced nanofiber matrices. The underlying signaling mechanisms of the
stimulation were implied to be integrin and bone morphogenetic protein (BMP)
pathways, as demonstrated by the activation of integrin (alpha2beta1) and
downstream signaling molecules (FAK, paxillin, RhoA, MAPK, and NF-kappaB), as
well as the BMPs and the downstream transcription factor Smad1/5/8. Taking these
findings together, the xerogel-surfaced biopolymer nanofibers are proposed to be
a promising scaffold candidate for bone regeneration.
PMID- 29377649
TI - Development of pH-Sensitive Cationic PEGylated Solid Lipid Nanoparticles for
Selective Cancer-Targeted Therapy.
AB - Solid lipid nanoparticles (SLNs) are suitable candidates for the delivery of
various anti-cancer drugs. However, currently insufficient tumor-permeability and
non-specific uptake by the reticuloendothelial system limits the application of
SLNs. Here, we developed novel pH-sensitive cationic polyoxyethylene (PEGylated)
SLNs (PEG-SLNs+) that could accumulate long-term at various tumor sites to
enhance the therapeutic efficiency of camptothecin (CPT). These CPT-loaded PEG
SLNs+ (CPT-PEG-SLNs+) were spherical nanoparticles, with small size (~52.3+/-1.7
nm), positive charge (~34.3+/-3.5 mV) and high entrapment efficiency (~99.4+/
1.7%). Drug release profile indicated the overall released amount of CPT from CPT
PEG-SLNs+ at pH 5.5 was 20.2% more than at pH 7.4, suggesting CPT-PEG-SLNs+ were
a pH-sensitive SLNs. This PEG-SLNs+ could be efficiently uptaken into cells to
inhibit the proliferation of CL1-5 cells (IC50 = 0.37 +/-0.21 ug/ml) or HCC36
cells (IC50 = 0.16+/-0.43 ug/ml). In living animal, our PEG-SLNs+ could
accumulate long-term (for more than 120 hours) in various types of tumor,
including human lung carcinoma (NCI-H358, CRL5802, CL1-5), human colon carcinoma
(HCT-116) and human hepatocellular carcinoma (HCC36), and CPT-PEG-SLNs+ could
efficiently enhance the therapeutic efficiency of CPT to suppress the growth of
the HCC36 or CL1-5 tumors. Therefore, Successful development of these pH
sensitive PEGylated cationic SLNs may provide a selective and efficient drug
delivery system for cancer therapy.
PMID- 29377650
TI - Photodynamic Therapy with Liposomal Zinc Phthalocyanine and Tirapazamine
Increases Tumor Cell Death via DNA Damage.
AB - The efficacy of photodynamic therapy (PDT) in some solid tumors is limited by the
poor biodistributive properties of conventional photosensitizers and a natural
predisposition of tumor cells to survive hypoxia and oxidative stress. This study
investigated the therapeutic potential of a third-generation photosensitizer,
liposomal zinc phthalocyanine (ZnPC), in combination with the hypoxic cytotoxin
tirapazamine (TPZ). TPZ induces DNA double strand breaks (DSBs) under hypoxic
conditions and subsequent apoptosis via p53 signaling. Experiments were performed
in tumor cells with functional p53 (Sk-Cha1) and dysfunctional p53 (A431). The
combination therapy of TPZ and PDT induced DNA DSBs and cell cycle stalling and
enhanced the cytotoxicity of PDT by exacerbating apopotic and non-apoptotic tumor
cell death. These phenomena occurred regardless of oxygen tension and the
mechanism of cell death differed per cell line. Liposomes containing both ZnPC
and TPZ exhibited no dark toxicity but were more lethal to both cell types after
PDT compared to ZnPC-liposomes lacking TPZ-an effect that was more pronounced
under hypoxic conditions. In conclusion, TPZ is a suitable pharmaceutical
compound to increase PDT efficacy by exploiting the post-PDT tumor hypoxia. The
inclusion of TPZ and ZnPC into a single liposomal delivery system was feasible.
The PDT strategy described in this study may be valuable for the treatment of PDT
recalcitrant tumors.
PMID- 29377653
TI - Enzyme and Cancer Cell Selectivity of Nanoparticles: Inhibition of 3D Metastatic
Phenotype and Experimental Melanoma by Zinc Oxide.
AB - Biomedical applications for metal and metal oxide nanoparticles are rapidly
increasing. Here their functional impact on two well-characterized model enzymes,
Luciferase (Luc) or beta-galactosidase (beta-Gal) was quantitatively compared.
Nickel oxide nanoparticle (NiO-NP) activated beta-Gal (>400% control) and boron
carbide nanoparticle (B4C-NP) inhibited Luc(<10% control), whereas zinc oxide
(ZnO-NP) and cobalt oxide (Co3O4-NP) activated beta-Gal to a lesser extent and
magnesium oxide (MgO) moderately inhibited both enzymes. Melanoma specific
killing was in the order; ZnO > B4C >= Cu > MgO > Co3O4 > Fe2O3 > NiO, ZnO-NP
inhibiting B16F10 and A375 cells as well as ERK enzyme (>90%) and several other
cancer-associated kinases (AKT, CREB, p70S6K). ZnO-NP or nanobelt (NB) serve as
photoluminescence (PL) cell labels and inhibit 3-D multi-cellular tumor spheroid
(MCTS) growth and were tested in a mouse melanoma model. These results
demonstrate nanoparticle and enzyme specific biochemical activity and suggest
their utility as new tools to explore the important model metastatic foci 3-D
environment and their chemotherapeutic potential.
PMID- 29377655
TI - Bivalirudin versus Heparin Monotherapy in Myocardial Infarction.
PMID- 29377654
TI - Peptide-Mediated Targeting Mesoporous Silica Nanoparticles: A Novel Tool for
Fighting Bladder Cancer.
AB - Transitional cell carcinoma of the bladder is particularly devastating due to its
high rate of recurrence and difficulty in retention of treatments within the
bladder. Current cystoscopic approaches to detect and stage the tumor are limited
by the penetrative depth of the cystoscope light source, and intravesical dyes
that highlight tumors for surgical resection are non-specific. To address the
needs for improved specificity in tumor detection and follow-up, we report on a
novel technology relying on the engineered core of mesoporous silica (MSN) with
surface modifications that generate contrast in fluorescence and magnetic
resonance imaging (MRI). The particle surface was further functionalized to
include a bladder cancer cell specific peptide, Cyc6, identified via phage
display. This peptide possesses nanomolar specificity for bladder cancer cells
and homology across multiple species including mouse, canine, and human. Our
study takes advantage of its target expression in bladder tumor which is not
expressed in normal bladder wall. When functionalized to MSN, the Cyc6 improved
binding efficiency and specificity for bladder cancer cells in vitro. In an in
vivo model, MSN instilled into bladders of tumor-bearing mice enhanced T 1- and T
2-weighted MRI signals, improving the detection of the tumor boundaries. These
findings support the notion that our targeted nanomaterial presents new options
for early detection and eventual therapeutic intervention. Ultimately, the
combination of real-time and repeated MRI evaluation of the tumors enhanced by
nanoparticle contrast have the potential for translation into human clinical
studies for tumor staging, therapeutic monitoring, and drug delivery.
PMID- 29377656
TI - Toxicological characteristics of the main lipid oxidation products.
AB - This article gives a brief overview of the available scientific data on the
toxicity of lipid oxidation products. The description is logically divided into
two parts: the toxicity of primary oxidation products (lipid peroxides) and
toxicity of secondary oxidation products (carbonyl compounds). Mechanisms of
their toxic effect, indicating the most sensitive target organ, as well as the
metabolic pathways and main products of their metabolism and half-lethal doses of
the main products of oxidation are characterized. It is noted that the most
toxic products among them are secondary products of lipid oxidation, in
particular, the acrolein with a half-lethal dose of 7-46 mg per kg body weight.
It is concluded that the accent in the control of lipid and lipid containing food
safety must be shifted to identify specific, the most toxic, secondary lipid
oxidation products.
PMID- 29377657
TI - Some aspects of the structure and organization of child nutrition in a number of
regions Russia.
AB - This research aims to assess catering arrangements and dietary patterns of
children at preschool educational institution and at home. The article analyses
catering arrange-ments for pre-school children (3-7-year-old) from Ekaterinburg,
Nizhny Tagil, Kamensk-Uralsky, Vladikavkaz and Krasnoyarsk. Based on menu
production records provided by preschool educational institution, and family
nutrition questionnaires we have examined their compliance with the nutritional
physiological standards. The summarized data on menu production records from
preschool educational institutions showed whether they met food basket
requirements (net weight), as well as nutritional value requirements (proteins,
fats, carbohydrates, calories content). The assessment was carried out in
Ekaterinburg, Nizhny Tagil and Krasnoyarsk using Public catering calculations
software (version 5) and a proprietary database of childreris food formulae.
Childrens food basket assess-ment in Ekaterinburg and Kamensk-Uralsky revealed
underconsumptionof vegetables (17.9-38% decrease), milk (52.7-62% decrease), curd
(40.2-45.3% decrease). We have also observed decreased consumption of fruits,
including dried fruit, by 31.8%, as well as cereals and legumes by 43% in Kamensk
Uralsky. Macronutrient analysis has shown underconsumption of total carbohydrates
(by 19%) and animal proteins. The assessment of children nutrition at home has
been carried out using NUTRITEST-IP diagnostic sys-tem in Nizhny Tagil, Kamensk
Uralsky, Vladikavkaz and Krasnoyarsk. The results showed overconsumption of most
foods except vegetables. Excessive intake of fats and sugars (3.6 and 3.5 fold
higher, respectively) accounted for higher than normal energy consump-tion by
children at home. The evaluation has also revealed a significant difference in
the consumption of saturated fats, mono - and disaccharides, food fibers and
vitamin C by the children from the locations included in the study. The excessive
intake of simple carbohy-drates was typical for family diets and excessive fat
intake was characteristic for child diet in preschool educational institution.
PMID- 29377658
TI - Characteristics of the nutrition organization and nutrient consumption in
toddlers' living in the Central Federal District, Russian Federation (Moscow,
Ivanovo, Yaroslavl).
AB - A multicenter cross-sectional study with the participation of 356 healthy
children aged 1 to 3 years from the cities of the Central Federal District:
Moscow (n=106), Ivanovo (n=126), Yaroslavl (n=124) has been carried out.
Questionnaire method, the method of 24-hour diet recall for 3 days (2 weekdays
and 1 weekend) and the method of diary recording of food intake were used. The
average daily volumes of food were consistent with the recommended age norms only
in 31.2% of the surveyed children; after 1 year of life children actively started
to transfer to nutrition from the family table and only 51.7% of children
continued to receive individually prepared for baby food. The recommended dietary
allowances were inadequate in macro- and micronutrients: 48.6% of all children
received excessive amounts of protein and fat, and 74.1% were less in
carbohydrates. The consumption of vitamins D and A and minerals (calcium, iron
and zinc) did not correspond to existing recommendations in the majority of
cases: only 10-30% of the examined children received physiological norms of
vitamins from food and 13-34% - some minerals and trace elements. These results
indicate that the nutrition of young children living in the Central Federal
District is imbalanced on daily caloric, macro- and micronutrient composition,
does not fully meet the requirements of the balanced diet and needs serious
optimization.
PMID- 29377659
TI - Assessment of efficacy of specialized food products with modified carbohydrate
profile in patients with type 2 diabetes.
AB - Objective - To evaluate the effect of diet therapy with the inclusion of
specialized food product with a modified carbohydrate profile (fruit jelly) on
glycemic and metabolic parameters in patients with type 2 diabetes mellitus. The
study included 45 patients (aged 35 to 69 years) with type 2 diabetes with
concomitant obesity I-III degree. The study was conducted in two stages. The
first phase evaluated the effect of jelly intake on postprandial glycemia with
determination of blood glucose levels on an empty stomach and 30, 60, 120 and 180
minutes after consumption of fruit jelly with maltitol, pectin and sublimated
raspberry juice and traditional marmalade with fructose (control), containing 25
g of digestible carbohydrates. In the second phase the effectiveness of
combination therapy during 2 weeks of the inclusion of fruit jelly with a
modified carbohydrate profile in a standard hypocaloric diet (1550 kcal/day) has
been assessed. It has been shown that the dynamics of postprandial glycemia in
patients with type 2 diabetes after the consumption of fruit jelly with a
modified carbohydrate profile was comparable to the dynamics of blood glucose
after eating traditional marmalade with fructose. Inclusion of fruit jelly with a
modified carbohydrate profile in the standard diet therapy of patients with type
2 diabetes during 2 weeks was accompanied by positive dynamics of carbohydrate
and lipid metabolism, as well as a decrease in the content of lipid peroxidation
products in blood plasma: reducing the level of conjugated dienes and
malondialdehyde averaged 15.2 and 17.4% from baseline (p<0.05), respectively,
while content of lipid peroxidation products in blood plasma did not changed in
patients from the control group.
PMID- 29377660
TI - Comparative analysis of requirements for quality of fish oil for food and medical
applications.
AB - Fish oil is reach natural source of vitamins A, E, D and polyunsaturated fatty
acids (PUFA) which are useful for supplementation, prophylaxis and therapy in
food industry, medicine and veterinary. The analysis of regulatory documents for
the quality of fish oil (based on concentration of main nutrients) leads to
conclusion that content of vitamins A and D, and PUFA is controlled in medicinal
preparations. With regard to fish oil for food application there is no unified
approach. In particularly, content of vitamin D in food supplements with fish oil
available on Russian market is not indicated by manufacturer or its concentration
varies in 3-10 folds. Because of important biological functions and
pharmacological activity, it is very important to have uniform standardization
for vitamins and PUFA in fish oil for application in food industry and medicine.
Development and unification of analytical methods became special attention for
these reasons.
PMID- 29377661
TI - Cholesterol - an essential component of infant milk formulae?
AB - It is recognized that breast milk is the ideal food for newborns. Indeed breast
milk can provide basic guidelines to improve the composition of ingredients in
adapted infant formulae. One of the main parts of breast milk is milk fat.
Although the exact functionality of the entire spectrum of fat is not yet fully
understood, it is known that various lipids present in breast milk, can modulate
functions of the gastrointestinal tract, the lipoprotein metabolism, the
structure and function of cell membranes, as well as many signal pathways in the
infant's organism. In this paper we tried to present evidence that dietary
cholesterol (CHOL) is a very important component of the infant's nutrition.
Meanwhile, almost all infant formulae, both cow and goat milk based, use nearly
only vegetable oils as their fat component providing phytosterols, rather than
CHOL as in breast milk. It is known that breast milk is a rich source of CHOL and
phytosterols cannot perform the functions of CHOL. One can imagine that when the
infant is transferred to artificial feeding with such formulae, and denied the
opportunity to receive dietary CHOL in any useful amounts, this may affect
outcomes like optimal child development, and may have a major long-term
'programming' effect on the metabolism of CHOL. We propose to discuss the thesis
of the great importance of the presence of CHOL in infant formulae. The applied
value of this thesis is the need to optimize the fat component of breast milk
substitutes by introducing CHOL, for example, in the composition of milk fat.
Although it is clear that compelling evidence of the potential benefits of adding
various sources of CHOL infant formula is insufficient, at this stage, there is
cause for a critical discussion and review of the composition of functional
components of breast milk substitutes.
PMID- 29377662
TI - Monolayered Silicon and Germanium Monopnictide Semiconductors: Excellent
Stability, High Absorbance, and Strain Engineering of Electronic Properties.
AB - The discovery of stable two-dimensional (2D) semiconductors with exotic
electronic properties is crucial to the future electronic technologies. Using the
first-principles calculations, we predict the monolayered Silicon- and Germanium
monopnictides as a new class of semiconductors owning excellent dynamical and
thermal stabilities, prominent anisotropy, and high possibility of experimental
exfoliation. These semiconductors, including the monolayered SiP, SiAs, GeP, and
GeAs, possess wide bandgaps of 2.08-2.64 eV obtained by hybrid functional
calculation. Under small uniaxial strains (-2 to 3%), dramatic modulations of
their band structures are observed, and furthermore, all the 2D monolayers (MLs)
can be transformed between indirect and direct semiconductors. The monolayered
GeAs and SiP exhibits extraordinary optical absorption in the range of visible
and ultraviolet (UV) light spectra, respectively. The exfoliation energies of
these monolayers are comparable to graphene, implying a strong probability of
successful fabrication by mechanical exfoliation. These intriguing properties of
the monolayered silicon- and germanium-monopnictides, combined with their highly
stable structures, offer tremendous opportunities for electronic and
optoelectronic devices working under UV-visible spectrum.
PMID- 29377664
TI - The Effect of Oxygen Uptake on Charge Injection Barriers in Conjugated Polymer
Films.
AB - The energy offset between the electrode Fermi level and organic semiconductor
transport levels is a key parameter controlling the charge injection barrier and
hence efficiency of organic electronic devices. Here, we systematically explore
the effect of in situ oxygen exposure on energetics in n-type conjugated polymer
P(NDI2OD-T2) films. The analysis reveals that an interfacial potential step is
introduced for a series of P(NDI2OD-T2) electrode contacts, causing a nearly
constant downshift of the vacuum level, while the ionization energies versus
vacuum level remain constant. These findings are attributed to the establishment
of a so-called double-dipole step via motion of charged molecules and will modify
the charge injection barriers at electrode contact. We further demonstrate that
the same behavior occurs when oxygen interacts with p-type polymer TQ1 films,
indicating it is possible to be a universal effect for organic semiconductors.
PMID- 29377663
TI - Characterization of the Fast and Promiscuous Macrocyclase from Plant PCY1 Enables
the Use of Simple Substrates.
AB - Cyclic ribosomally derived peptides possess diverse bioactivities and are
currently of major interest in drug development. However, it can be chemically
challenging to synthesize these molecules, hindering the diversification and
testing of cyclic peptide leads. Enzymes used in vitro offer a solution to this;
however peptide macrocyclization remains the bottleneck. PCY1, involved in the
biosynthesis of plant orbitides, belongs to the class of prolyl oligopeptidases
and natively displays substrate promiscuity. PCY1 is a promising candidate for in
vitro utilization, but its substrates require an 11 to 16 residue C-terminal
recognition tail. We have characterized PCY1 both kinetically and structurally
with multiple substrate complexes revealing the molecular basis of recognition
and catalysis. Using these insights, we have identified a three residue C
terminal extension that replaces the natural recognition tail permitting PCY1 to
operate on synthetic substrates. We demonstrate that PCY1 can macrocyclize a
variety of substrates with this short tail, including unnatural amino acids and
nonamino acids, highlighting PCY1's potential in biocatalysis.
PMID- 29377665
TI - S,N-Heteroacene-Based Copolymers for Highly Efficient Organic Field Effect
Transistors and Organic Solar Cells: Critical Impact of Aromatic Subunits in the
Ladder pi-System.
AB - Three novel donor-acceptor alternating polymers containing ladder-type
pentacyclic heteroacenes (PBo, PBi, and PT) are synthesized, characterized, and
further applied to organic field effect transistors (OFETs) and polymer solar
cells. Significant aspects of quinoidal characters, electrochemical properties,
optical absorption, frontier orbitals, backbone coplanarity, molecular
orientation, charge carrier mobilities, morphology discrepancies, and the
corresponding device performances are notably different with various
heteroarenes. PT exhibits a stronger quinoidal mesomeric structure, linear and
coplanar conformation, smooth surface morphology, and better bimodal crystalline
structures, which is beneficial to extend the pi-conjugation and promotes charge
transport via 3-D transport pathways and in consequence improves overall device
performances. Organic photovoltaics based on the PT polymer achieve a power
conversion efficiency of 6.04% along with a high short-circuit current density
(JSC) of 14.68 mA cm-2, and a high hole mobility of 0.1 cm2 V-1 s-1 is fulfilled
in an OFET, which is superior to those of its counterparts, PBi and PBo.
PMID- 29377666
TI - High-Rota Synthesis of Single-/Double-/Multi-Unit-Cell Ti-HSZ Nanosheets To
Catalyze Epoxidation of Large Cycloalkenes Efficiently.
AB - This work first reports high-efficiency epoxidation of large cycloalkenes (carbon
number >= 7) with tert-butyl hydroperoxide (TBHP) over single-/double-/multi-unit
cell nanosheet-constructed hierarchical zeolite, which is synthesized by one-step
hydrothermal crystallization using piperidine as the structure-directing agent of
the microporous structure. The excellent catalytic property of the material is
ascribed to its unique structural characteristic. Plenty of surface titanols or
silanols on the surface of MWW nanosheets are beneficial for the formation of
transition-state intermediates; a large number of intercrystalline mesopores in
the shell of the material not only facilitate the formation of the intermediate
for TBHP but also have nearly no hindrance for the diffusion and mass transfer of
bulky cycloalkene to the above intermediates; the 12-MR side cups penetrating
into the crystals from the external surface are exposed as much as possible to
the reaction system because of the single-/double-/multi-unit-cell MWW nanosheet,
serving as the primary reaction space for the epoxidation of bulky cyclic alkene
and oxidants and providing enough space for the transition state of Ti-OOtBu and
bulky cycloalkane. Moreover, an efficient calcination-free catalytic reaction
regeneration method is developed to overcome the challenge for the recyclability
of microporous Ti-zeolite in the catalytic epoxidation of bulky cycloalkenes.
PMID- 29377667
TI - Spectroscopic Characterization of the SEI Layer Formed on Lithium Metal
Electrodes in Phosphonium Bis(fluorosulfonyl)imide Ionic Liquid Electrolytes.
AB - The chemical composition of the solid electrolyte interphase (SEI) layer formed
on the surface of lithium metal electrodes cycled in phosphonium
bis(fluorosulfonyl)imide ionic liquid (IL) electrolytes are characterized by
magic angle spinning nuclear magnetic resonance (MAS NMR), X-ray photoelectron
spectroscopy (XPS), fourier transformed infrared spectroscopy, and
electrochemical impedance spectroscopy. A multiphase layered structure is
revealed, which is shown to remain relatively unchanged during extended cycling
(up to 250 cycles at 1.5 mA.cm-2, 3 mA h.cm-2, 50 degrees C). The main
components detected by MAS NMR and XPS after several hundreds of cycles are LiF
and breakdown products from the bis(fluorosulfonyl)imide anion including Li2S.
Similarities in chemical composition are observed in the case of the dilute (0.5
mol.kg-1 of Li salt in IL) and the highly concentrated (3.8 mol.kg-1 of Li salt
in IL) electrolyte during cycling. The concentrated system is found to promote
the formation of a thicker and more uniform SEI with larger amounts of reduced
species from the anion. These SEI features are thought to facilitate more stable
and efficient Li cycling and a reduced tendency for dendrite formation.
PMID- 29377668
TI - Development of a Photo-Cross-Linkable Diaminoquinazoline Inhibitor for Target
Identification in Plasmodium falciparum.
AB - Diaminoquinazolines represent a privileged scaffold for antimalarial discovery,
including use as putative Plasmodium histone lysine methyltransferase inhibitors.
Despite this, robust evidence for their molecular targets is lacking. Here we
report the design and development of a small-molecule photo-cross-linkable probe
to investigate the targets of our diaminoquinazoline series. We demonstrate the
effectiveness of our designed probe for photoaffinity labeling of Plasmodium
lysates and identify similarities between the target profiles of the probe and
the representative diaminoquinazoline BIX-01294. Initial pull-down proteomics
experiments identified 104 proteins from different classes, many of which are
essential, highlighting the suitability of the developed probe as a valuable tool
for target identification in Plasmodium falciparum.
PMID- 29377669
TI - Discovery of Potent Benzocycloalkane Derived Diapophytoene Desaturase Inhibitors
with an Enhanced Safety Profile for the Treatment of MRSA, VISA, and LRSA
Infections.
AB - Blocking the biosynthesis process of staphyloxanthin has emerged as a promising
antivirulence strategy. Our previous research revealed that diapophytoene
desaturase was an attractive and druggable target against infections caused by
pigmented Staphylococcus aureus. Benzocycloalkane-derived compounds were
effective inhibitors of diapophytoene desaturase but limited by high hERG (human
Ether-a-go-go Related Gene) inhibition activity. Here, we identified a new type
of benzo-hepta-containing cycloalkane derivative as diapophytoene desaturase
inhibitors. Among the fifty-eight analogues, 48 (hERG inhibition activity, half
maximal inhibitory concentration, IC50, of 16.1 MUM) and 51 (hERG inhibition
activity, IC50 > 40 MUM) were distinguished for effectively inhibiting the
pigment production of Staphylococcus aureus Newman and three methicillin
resistant Staphylococcus aureus strains, and the four strains were highly
sensitize to hydrogen peroxide killing without a bactericidal growth effect. In
an in vivo assay, 48 and 51 displayed a comparable effect with linezolid and
vancomycin in livers and hearts in mice against Staphylococcus aureus Newman and
a more considerable effect against Mu50 and NRS271 with normal administration.
PMID- 29377670
TI - Tunable Nonvolatile Memory Behaviors of PCBM-MoS2 2D Nanocomposites through
Surface Deposition Ratio Control.
AB - Efficient preparation of single-layer two-dimensional (2D) transition metal
dichalcogenides, especially molybdenum disulfide (MoS2), offers readily available
2D surface in nanoscale to template various materials to form nanocomposites with
van der Waals heterostructures (vdWHs), opening up a new dimension for the design
of functional electronic and optoelectronic materials and devices. Here, we
report the tunable memory properties of the facilely prepared [6,6]-phenyl-C61
butyric acid methyl ester (PCBM)-MoS2 nanocomposites in a conventional diode
device structure, where the vdWHs dominate the electric characteristics of the
devices for various memory behaviors depending on different surface deposition
ratios of PCBM on MoS2 nanosheets. Both nonvolatile WORM and flash memory devices
have been realized using the new developed PCBM-MoS2 2D composites. Specially,
the flash characteristic devices show rewritable resistive switching with low
switching voltages (~2 V), high current on/off ratios (~3 * 102), and superior
electrical bistability (>104 s). This research, through successfully allocating
massive vdWHs on the MoS2 surface for organic/inorganic 2D nanocomposites,
illustrates the great potential of 2D vdWHs in rectifying the electronic
properties for high-performance memory devices and paves a way for the design of
promising 2D nanocomposites with electronically active vdWHs for advanced device
applications.
PMID- 29377671
TI - Multichannel Charge Transport of a BiVO4/(RGO/WO3)/W18O49 Three-Storey Anode for
Greatly Enhanced Photoelectrochemical Efficiency.
AB - Photoelectrochemical (PEC) solar conversion is a green strategy for addressing
the energy crisis. In this study, a three-storey nanostructure
BiVO4/(RGO/WO3)/W18O49 was fabricated as a PEC photoanode and demonstrated a
highly enhanced PEC efficiency. The top and middle storeys are a reduced graphene
oxide (RGO) layer and WO3 nanorods (NRs) decorated with BiVO4 nanoparticles
(NPs), respectively. The bottom storey is the W18O49 film grown on a pure W
substrate. In this novel design, experiments and modeling together demonstrated
that the RGO layer and WO3 NRs with a fast carrier mobility can serve as
multichannel pathways, sharing and facilitating electron transport from the BiVO4
NPs to the W18O49 film. The high conductivity of W18O49 can further enhance the
charge transfer and retard electron-hole recombination, leading to a highly
improved PEC efficiency of the BiVO4/WO3 heterojunction. As a result, the as
fabricated three-storey photoanode covered with FeOOH/NiOOH achieves an
attractive PEC photocurrent density of 4.66 mA/cm2 at 1.5 V versus Ag/AgCl, which
illustrates the promising potential of the three-storey hetero-nanostructure in
future photoconversion applications.
PMID- 29377672
TI - Molecular Mechanism and Evolution of Nuclear Pre-mRNA and Group II Intron
Splicing: Insights from Cryo-Electron Microscopy Structures.
AB - Nuclear pre-mRNA splicing and group II intron self-splicing both proceed by two
step transesterification reactions via a lariat intron intermediate. Recently
determined cryo-electron microscopy (cryo-EM) structures of catalytically active
spliceosomes revealed the RNA-based catalytic core and showed how pre-mRNA
substrates and reaction products are positioned in the active site. These
findings highlight a strong structural similarity to the group II intron active
site, strengthening the notion that group II introns and spliceosomes evolved
from a common ancestor. Prp8, the largest and most conserved protein in the
spliceosome, cradles the active site RNA. Prp8 and group II intron maturase have
a similar domain architecture, suggesting that they also share a common
evolutionary origin. The interactions between maturase and key group II intron
RNA elements, such as the exon-binding loop and domains V and VI, are
recapitulated in the interactions between Prp8 and key elements in the
spliceosome's catalytic RNA core. Structural comparisons suggest that the
extensive RNA scaffold of the group II intron was gradually replaced by proteins
as the spliceosome evolved. A plausible model of spliceosome evolution is
discussed.
PMID- 29377673
TI - Minimum Resistance Anisotropy of Epitaxial Graphene on SiC.
AB - We report on electronic transport measurements in rotational square probe
configuration in combination with scanning tunneling potentiometry of epitaxial
graphene monolayers which were fabricated by polymer-assisted sublimation growth
on SiC substrates. The absence of bilayer graphene on the ultralow step edges of
below 0.75 nm scrutinized by atomic force microscopy and scanning tunneling
microscopy result in a not yet observed resistance isotropy of graphene on 4H-
and 6H-SiC(0001) substrates as low as 2%. We combine microscopic electronic
properties with nanoscale transport experiments and thereby disentangle the
underlying microscopic scattering mechanism to explain the remaining resistance
anisotropy. Eventually, this can be entirely attributed to the resistance and the
number of substrate steps which induce local scattering. Thereby, our data
represent the ultimate limit for resistance isotropy of epitaxial graphene on SiC
for the given miscut of the substrate.
PMID- 29377674
TI - A Dual-Enzyme-Assisted Three-Dimensional DNA Walking Machine Using T4
Polynucleotide Kinase as Activators and Application in Polynucleotide Kinase
Assays.
AB - T4 polynucleotide kinase (T4 PNK), an intracellular kinase, catalyzes the
phosphorylation of 5'-hydroxyl termini in nucleic acids and plays a crucial role
in DNA-related physiological activities. Malfunctioning of PNK is associated with
the deregulation of many cellular activities and eventually induces a variety of
human diseases. Herein, we report a smart three-dimensional (3D) DNA walking
machine using PNK as an effective activator when coupled with the duplex DNA
nuclease-assisted cleavage reaction. The 3D DNA tracks benefit from high DNA
loading capacity of gold nanoparticles, and the high efficiency of duplex
nuclease-mediated cyclic cleavage facilitates the movement of the DNA machine in
response to T4 PNK. The DNA machine is also applied for the PNK assay based on
the signal amplification from point to area during the DNA walking process. The
method achieves an excellent detection limit of 0.0067 U/mL with a linear range
from 0.01 to 0.3 U/mL and a favorable specificity even in complex serum samples.
Therefore, the 3D DNA machine shows great potential in biochemical and molecular
biology studies, drug discovery, and clinic diagnostics.
PMID- 29377675
TI - Anti-Prion Systems in Yeast and Inositol Polyphosphates.
AB - The amyloid-based yeast prions are folded in-register parallel beta-sheet
polymers. Each prion can exist in a wide array of variants, with different
biological properties resulting from different self-propagating amyloid
conformations. Yeast has several anti-prion systems, acting in normal cells
(without protein overexpression or deficiency). Some anti-prion proteins
partially block prion formation (Ssb1,2p, ribosome-associated Hsp70s); others
cure a large portion of prion variants that arise [Btn2p, Cur1p, Hsp104 (a
disaggregase), Siw14p, and Upf1,2,3p, nonsense-mediated decay proteins], and
others prevent prion-induced pathology (Sis1p, essential cytoplasmic Hsp40).
Study of the anti-prion activity of Siw14p, a pyrophosphatase specific for 5
diphosphoinositol pentakisphosphate (5PP-IP5), led to the discovery that inositol
polyphosphates, signal transduction molecules, are involved in [PSI+] prion
propagation. Either inositol hexakisphosphate or 5PP-IP4 (or 5PP-IP5) can supply
a function that is needed by nearly all [PSI+] variants. Because yeast prions are
informative models for mammalian prion diseases and other amyloidoses, detailed
examination of the anti-prion systems, some of which have close mammalian
homologues, will be important for the development of therapeutic measures.
PMID- 29377676
TI - Insights into the Toxicity of Triclosan to Green Microalga Chlorococcum sp. Using
Synchrotron-Based Fourier Transform Infrared Spectromicroscopy: Biophysiological
Analyses and Roles of Environmental Factors.
AB - This study investigated the toxicity of triclosan to the green microalga
Chlorococcum sp. under multiple environmental stressors. The interactions between
triclosan and environmental stressors were explored through full two-way
factorial, synchrotron-based Fourier transform infrared spectromicroscopy and
principal component analyses. Phosphorus concentration, pH * phosphorus
concentration, and temperature * pH * NaCl concentration were the most
statistically significant factors under triclosan exposure. The variation of
those factors would have a huge impact on biophysiological performances. It is
interesting to find Chlorococcum sp. may become more resistant against triclosan
in phosphorus-enriched environment. Besides, particular significant factors from
multiple environmental stressors showed the impacts of triclosan on the
corresponding response of Chlorococcum sp. owing to the specific structure and
performance of biomolecular components. Moreover, two high-order interactions of
temperature * pH * NaCl concentration and temperature * pH * NaCl concentration *
phosphorus concentration had more contributions than others at the subcellular
level, which could be attributed to the interactive complexity of biomolecular
components. Due to cellular self-regulation mechanism and short exposure time,
the biophysiological changes of Chlorococcum sp. were undramatic. These findings
can help reveal the interactive complexity among triclosan and multiple
environmental stressors. It is suggested that multiple environmental stressors
should be considered during ecological risk assessment and management of emerging
pollutants.
PMID- 29377677
TI - Methane Bubble Growth and Migration in Aquatic Sediments Observed by X-ray MUCT.
AB - Methane bubble formation and transport is an important component of
biogeochemical carbon cycling in aquatic sediments. To improve understanding of
how sediment mechanical properties influence bubble growth and transport in
freshwater sediments, a 20-day laboratory incubation experiment using homogenized
natural clay and sand was performed. Methane bubble development at high
resolution was characterized by MUCT. Initially, capillary invasion by
microbubbles (<0.1 mm) dominated bubble formation, with continued gas production
(4 days for clay; 8 days for sand), large bubbles formed by deforming the
surrounding sediment, leading to enhanced of macropore connectivity in both
sediments. Growth of large bubbles (>1 mm) was possible in low shear yield
strength sediments (<100 Pa), where excess gas pressure was sufficient to
displace the sediment. Lower within the sand, higher shear yield strength (>360
Pa) resulted in a predominance of microbubbles where the required capillary entry
pressure was low. Enhanced bubble migration, triggered by a controlled reduction
in hydrostatic head, was observed throughout the clay column, while in sand
mobile bubbles were restricted to the upper 6 cm. The observed macropore network
was the dominant path for bubble movement and release in both sediments.
PMID- 29377678
TI - Microporous Lead-Organic Framework for Selective CO2 Adsorption and Heterogeneous
Catalysis.
AB - A novel microporous metal-organic framework, {[Pb4(MU8-MTB)2(H2O)4].5DMF.H2O}n
(1; MTB = methanetetrabenzoate and DMF = N,N'-dimethylformamide), was
successfully synthesized by a solvothermal reaction and structurally
characterized by single-crystal X-ray diffraction. The framework exhibits a
unique tetranuclear [Pb4(MU3-COO)(MU2-COO)6(COO)(H2O)4] secondary building unit
(SBU). The combination of the SBU with the tetrahedral symmetry of MTB results in
a three-dimensional network structure, with one-dimensional jarlike cavities
having sizes of about 14.98 * 7.88 and 14.98 * 13.17 A2 and propagating along the
c axis. Due to the presence of four coordinately unsaturated sites per one metal
cluster, an activated form of compound 1 (i.e., desolvated form denoted as 1')
was tested in gas adsorption and catalytic experiments. The studies of gas
sorption revealed that 1' exhibits a surface area (Brunauer-Emmett-Teller) of 980
m2.g-1. This value is the highest reported for any compound from the MTB group.
Interactions of carbon dioxide (CO2) molecules with the framework, confirmed by
density functional theory calculations, resulted in high CO2 uptake and
significant selectivity of CO2 adsorption with respect to methane (CH4) and
dinitrogen (N2) when measured from atmospheric pressure to 21 bar. The high
selectivity of CO2 over N2 is mostly important for capturing CO2 from the
atmosphere in attempts to decrease the greenhouse effect. Moreover, compound 1'
was tested as a heterogeneous catalyst in Knoevenagel condensation of active
methylene compounds with aldehydes. Excellent catalytic conversion and
selectivity in the condensation of benzaldehyde and cyclohexanecarbaldehyde with
malononitrile was observed, which suggests that accessible lead(II) sites play an
important role in the heterogeneous catalytic process.
PMID- 29377679
TI - Dithienopicenocarbazole-Based Acceptors for Efficient Organic Solar Cells with
Optoelectronic Response Over 1000 nm and an Extremely Low Energy Loss.
AB - Two cheliform non-fullerene acceptors, DTPC-IC and DTPC-DFIC, based on a highly
electron-rich core, dithienopicenocarbazole (DTPC), are synthesized, showing
ultra-narrow bandgaps (as low as 1.21 eV). The two-dimensional nitrogen
containing conjugated DTPC possesses strong electron-donating capability, which
induces intense intramolecular charge transfer and intermolecular pi-pi stacking
in derived acceptors. The solar cell based on DTPC-DFIC and a spectrally
complementary polymer donor, PTB7-Th, showed a high power conversion efficiency
of 10.21% and an extremely low energy loss of 0.45 eV, which is the lowest among
reported efficient OSCs.
PMID- 29377680
TI - Controlled Formation and Binding Selectivity of Discrete Oligo(methyl
methacrylate) Stereocomplexes.
AB - The triple-helix stereocomplex of poly(methyl methacrylate) (PMMA) is a unique
example of a multistranded synthetic helix that has significant utility and
promise in materials science and nanotechnology. To gain a fundamental
understanding of the underlying assembly process, discrete stereoregular oligomer
libraries were prepared by combining stereospecific polymerization techniques
with automated flash chromatography purification. Stereocomplex assembly of these
discrete building blocks enabled the identification of (1) the minimum degree of
polymerization required for the stereocomplex formation and (2) the dependence of
the helix crystallization mode on the length of assembling precursors. More
significantly, our experiments resolved binding selectivity between helical
strands with similar molecular weights. This presents new opportunities for the
development of next-generation polymeric materials based on a triple-helix motif.
PMID- 29377681
TI - Correction to Implementation of Constrained DFT for Computing Charge Transfer
Rates within the Projector Augmented Wave Method.
PMID- 29377682
TI - Total Synthesis of a Densely Functionalized Plesiomonas shigelloides Serotype 51
Aminoglycoside Trisaccharide Antigen.
AB - Plesiomonas shigelloides, a pathogen responsible for frequent outbreaks of severe
travelers' diarrhea, causes grave extraintestinal infections. Sepsis and
meningitis due to P. shigelloides are associated with a high mortality rate as
antibiotic resistance increases and vaccines are not available. Carbohydrate
antigens expressed by pathogens are often structurally unique and are targets for
developing vaccines and diagnostics. Here, we report a total synthesis of the
highly functionalized trisaccharide repeating unit 2 from P. shigelloides
serotype 51 from three monosaccharides. A judicious choice of building blocks and
reaction conditions allowed for the four amino groups adorning the sugar rings to
be installed with two N-acetyl (Ac) groups, rare acetamidino (Am), and d-3
hydroxybutyryl (Hb) groups. The strategy for the differentiation of amino groups
in trisaccharide 2 will serve well for the syntheses of other complex glycans.
PMID- 29377683
TI - Lewis Base/Copper Cooperatively Catalyzed Asymmetric alpha-Amination of Esters
with Diaziridinone.
AB - An enantioselective alpha-amination of esters by a Lewis base/copper(I)
cooperative catalysis strategy has been developed. The transient chiral C1
ammonium enolate generated from pentafluorophenyl ester and nucleophilic Lewis
base is nicely compatible with the copper intermediate formed from N, N-di- t
butyldiaziridinone and Cu(I) to allow for high levels of stereochemical control.
The cooperative catalytic reaction leads to a diverse set of highly
enantioenriched hydantoins in good yields with excellent enantioselectivities (90
99% ee).
PMID- 29377684
TI - A General Strategy for Site-Selective Incorporation of Deuterium and Tritium into
Pyridines, Diazines, and Pharmaceuticals.
AB - Methods to incorporate deuterium and tritium atoms into organic molecules are
valuable for medicinal chemistry. The prevalence of pyridines and diazines in
pharmaceuticals means that new ways to label these heterocycles will present
opportunities in drug design and facilitate absorption, distribution, metabolism,
and excretion (ADME) studies. A broadly applicable protocol is presented wherein
pyridines, diazines, and pharmaceuticals are converted into heterocyclic
phosphonium salts and then isotopically labeled. The isotopes are incorporated in
high yields and, in general, with exclusive regioselectivity.
PMID- 29377685
TI - Plant Response to Metal-Containing Engineered Nanomaterials: An Omics-Based
Perspective.
AB - The increasing use of engineered nanomaterials (ENMs) raises questions regarding
their environmental impact. Improving the level of understanding of the genetic
and molecular basis of the response to ENM exposure in biota is necessary to
accurately assess the true risk to sensitive receptors. The aim of this Review is
to compare the plant response to several metal-based ENMs widely used, such as
quantum dots, metal oxides, and silver nanoparticles (NPs), integrating available
"omics" data (transcriptomics, miRNAs, and proteomics). Although there is
evidence that ENMs can release their metal components into the environment, the
mechanistic basis of both ENM toxicity and tolerance is often distinct from that
of metal ions and bulk materials. We show that the mechanisms of plant defense
against ENM stress include the modification of root architecture, involvement of
specific phytohormone signaling pathways, and activation of antioxidant
mechanisms. A critical meta-analysis allowed us to identify relevant genes,
miRNAs, and proteins involved in the response to ENMs and will further allow a
mechanistic understanding of plant-ENM interactions.
PMID- 29377686
TI - Interlocked Supramolecular Polymers Created by Combination of Halogen- and
Hydrogen-Bonding Interactions through Anion-Template Self-Assembly.
AB - We present the synthesis and oxoanion-assembling properties of a monomer with a
naphthalene ring as a central core decorated with two arms containing
iodotriazolium rings as anion binding sites. Interactions with SO42-, H2PO4-, and
HP2O73- anions, via a cooperative mechanism, afforded new supramolecular
materials stabilized by a combination of halogen- and hydrogen-bonding
interactions. 1H NMR experiments and solid-state structure provided evidence for
the initial formation of a supramolecular linear chain, nucleation step, and then
two different supramolecular chains are interpenetrated with each other,
elongation steps, involving the formation of hydrogen bonds between two oxygens
of the anion from one of the chains and the naphthalene inner protons from the
other chain. Scanning electron microscopy studies revealed that the morphology of
the crystals changed dramatically with the nature of the anion added.
PMID- 29377687
TI - Asymmetric Synthesis of Chiral Primary Amines by Ruthenium-Catalyzed Direct
Reductive Amination of Alkyl Aryl Ketones with Ammonium Salts and Molecular H2.
AB - A ruthenium/C3-TunePhos catalytic system has been identified for highly efficient
direct reductive amination of simple ketones. The strategy makes use of ammonium
acetate as the amine source and H2 as the reductant and is a user-friendly and
operatively simple access to industrially relevant primary amines. Excellent
enantiocontrol (>90% ee for most cases) was achieved with a wide range of alkyl
aryl ketones. The practicability of this methodology has been highlighted by
scalable synthesis of key intermediates of three drug molecules. Moreover, an
improved synthetic route to the optimal diphosphine ligand C3-TunePhos is also
presented.
PMID- 29377689
TI - Chiral Phosphoric Acid Catalyzed Enantioselective Ring Expansion Reaction of 1,3
Dithiane Derivatives: Case Study of the Nature of Ion-Pairing Interaction.
AB - Chiral counterion controlled asymmetric catalysis via an ion-pairing interaction
has attracted immense attention in recent years. Despite a number of successful
studies, the mechanistic elucidation of the stereocontrolling element in the ion
pairing interaction is rarely conducted and hence its nature is still far from
being well understood. Herein we report an in-depth mechanistic case study of a
newly developed enantioselective ring expansion reaction of 1,3-dithiane
derivatives catalyzed by chiral phosphoric acid (CPA). An unprecedented
enantioselective 1,2-sulfur rearrangement/stereospecific nucleophilic addition
sequence was proven to be the stereoselective pathway. More importantly, by
thorough investigation of the intrinsic nature of the stereospecific nucleophilic
addition to the cationic thionium intermediate, we discovered that the key
interaction in this process is the nonclassical C-H...O hydrogen bonds formed
between the conjugate base of the CPA catalyst and the cationic intermediate.
These C-H...O hydrogen bonds not only bind the catalyst to the substrates to form
energetically favored states throughout the overall processes but also firmly
maintain the relative positions of these fragments as the "fixed" contact ion
pair to sustain the chiral information generated at the initial sulfur
rearrangement step. This mechanistic case study provides a very clear
understanding of the nature of the ion-pairing interaction in organocatalysis.
The conclusion encourages the further development of the research field with the
focus to design new organocatalysts and cultivate novel organocatalytic
transformations.
PMID- 29377690
TI - Synthesis of a Cyclophane Bearing Two Benz[a]anthracene Units Connected at the 5
and 7 Positions with Two Naphth-1,4-diyl Groups.
AB - A synthetic pathway to a cyclophane bearing two benz[a]anthracene units connected
at the 5 and 7 positions through two naphth-1,4-diyl groups was developed, and
its structure was confirmed by X-ray structure analysis. Because of structural
constraints, the two naphthyl groups are distorted from planarity and the bonds
connecting them to the benz[a]anthracene units are bent significantly. The UV-vis
and fluorescence spectra of the cyclophane are red-shifted from those of 7-(1
naphthalenyl)benz[a]anthracene, which is the corresponding monomeric polycyclic
aromatic hydrocarbon.
PMID- 29377688
TI - Enzymatic Self-Assembly Confers Exceptionally Strong Synergism with NF-kappaB
Targeting for Selective Necroptosis of Cancer Cells.
AB - As a promising molecular process for selectively inhibiting cancer cells without
inducing acquired drug resistance, enzyme-instructed self-assembly (EISA) usually
requires relatively high dosages. Despite its discovery 30 years ago, the
translation of the knowledge about NF-kappaB signaling into clinic remains
complicated due to the broad roles of NF-kappaB in cellular regulation. Here we
show that integrating EISA and NF-kappaB targeting boosts the efficacy of EISA
over an order of magnitude without compromising selectivity against cancer cells.
That is, in situ enzymatic self-assembly of a tetrapeptide results in nanofibers,
which hardly affect cell viability, but lead to inductive expression of tumor
necrosis factor receptor 2 (TNFR2) and decreased expression of three key proteins
at the upstream of NF-kappaB pathway in the cancer cells. Adding the inhibitors
targeting NF-kappaB further decreases the expressions of those upstream proteins,
which turns the otherwise innocuous nanofibers to being lethal to the cancer
cells, likely causing necroptosis. As the first case of using supramolecular
processes to enable synthetic lethality, this work illustrates a versatile
approach to translate key regulatory circuits into promising therapeutic targets.
PMID- 29377691
TI - Identification of a Novel Hybridization from Isosorbide 5-Mononitrate and
Bardoxolone Methyl with Dual Activities of Pulmonary Vasodilation and Vascular
Remodeling Inhibition on Pulmonary Arterial Hypertension Rats.
AB - Given the clinical therapeutic efficacy of oral-dosed bardoxolone methyl (1) and
the selective vasodilatory effect caused by inhalation of nitric oxide (NO) on
pulmonary arterial hypertension (PAH) patients, a new hybrid (CDDO-NO, 2) from 1
and NO donor isosorbide 5-mononitrate (3) was designed and synthesized. This
hybrid could liberate 1 and NO in the lungs of rats after trachea injection.
Significantly, 2 lowered mean pulmonary artery pressure (mPAP) and right
ventricular systolic pressure (RVSP), decreased right ventricular hypertrophy
(RVH), and attenuated pulmonary artery medial thickness (PAMT) and vascular
muscularization in monocrotaline (MCT)-induced PAH rats. Meanwhile, 2 inhibited
overproliferation of perivascular cells and diminished macrophage infiltration
and oxidative stress by inactivation of NOX4. In addition, 2 markedly reduced
cardiac hypertrophy and fibrosis in the PAH rats. Overall, 2 exhibited potent
dual activities of pulmonary vasodilation and vascular remodeling inhibition,
suggesting that it may be a promising agent for PAH intervention.
PMID- 29377692
TI - Synthesis of Highly Functionalized Indoles and Indolones via Selectivity
Switchable Olefinations.
AB - Highly functionalized indoles and indolones were prepared via selectivity
switchable mono- or diolefinations. The Julia olefination of the products
followed by a Bronsted acid-prompted cyclization afforded indolones, whereas the
indoles were obtained by a sequential Wittig olefination and electrocyclization.
This method opens divergent access to highly functionalized nitrogen-containing
bicyclic or tricyclic heterocycles.
PMID- 29377694
TI - Copper-Catalyzed Aerobic Oxidative Reaction of C60 with Aliphatic Primary Amines
and CS2.
AB - A novel type of fullerene derivatives, [60]fullerothiazolidinethiones (2), were
obtained from the copper-catalyzed aerobic oxidative reaction of C60 with
aliphatic primary amines and CS2 in 4:1 v/v DMF and o-DCB. The obtained products
were fully characterized with the X-ray single-crystal diffraction and
spectroscopic methods. Control experiment with maleic anhydride, an analogue to
C60, also afforded thiazolidinethione product, but via a mechanism different from
that of C60 judging from the structure difference between the two types of
thiazolidinethione compounds, demonstrating the unique reactivity of C60.
PMID- 29377693
TI - Antibodies as Carrier Molecules: Encapsulating Anti-Inflammatory Drugs inside
Herceptine.
AB - The human epidermal growth factor receptor 2 (HER2) is overexpressed in about a
third of breast cancer patients, with a strong involvement of the cyclooxygenase
2 (COX-2) enzyme in the tumor progress. HER2 and COX-2 are consequently potential
targets for inhibiting carcionogenesis. Herceptin (trastuzumab) is an antibody
that partially blocks HER2-positive cancers at their initial stage.
Unfortunately, the overall response rate to the single treatment with this
antibody is still modest, and therefore, it needs to be improved by combining
several chemotherapeutic agents. On the other hand, nonsteroidal anti
inflammatory drugs (NSAIDs) are designed to halt COX-2 functionality, so they
might also exhort an anticancer activity. In this contribution, dual Herceptin
NSAID drugs are designed using theoretical tools. More specifically, blind
docking, molecular dynamics, and quantum calculations are performed to assess the
stability of 14 NSAIDs embedded inside Herceptin. Our calculations reveal the
feasibility of improving the antitumor activity of the parent Herceptin by
designing a dual HER2-targeting with Etofenamate. That coupling mode might be
used to further rationalize new clinical strategies beyond classical antibody
dosages.
PMID- 29377695
TI - Control of Geminate Recombination by the Material Composition and Processing
Conditions in Novel Polymer: Nonfullerene Acceptor Photovoltaic Devices.
AB - Herein, we report on the charge dynamics of photovoltaic devices based on two
novel small-molecule nonfullerene acceptors featuring a central ketone unit.
Using ultrafast near-infrared spectroscopy with optical and photocurrent
detection methods, we identify one of the key loss channels in the devices as
geminate recombination (GR) of interfacial charge transfer states (CTSs). We find
that the magnitude of GR is highly sensitive to the choice of solvent and
annealing conditions. Interestingly, regardless of these processing conditions,
the same lifetime for GR (~130 ps) is obtained by both detection methods upon
decomposing the complex broadband transient optical spectra, suggesting this time
scale is inherent and independent of morphology. These observations suggest that
the CTSs in the studied material blends are mostly strongly bound, and that
charge generation from these states is highly inefficient. We further rationalize
our results by considering the impact of the processing on the morphology of the
mixed donor and acceptor domains and discuss the potential consequences of the
early charge dynamics on the performance of emerging nonfullerene photovoltaic
devices. Our results demonstrate that careful choice of processing conditions
enables enhanced exciton harvesting and suppression of GR by more than 3 orders
of magnitude.
PMID- 29377696
TI - [Evaluation of the role of Campylobacter spp. in the occurrence of foodborne
diseases and modern methods to detect the pathogen].
AB - Infections caused by Campylobacter spp. are now considered to be one of the most
important foodborne diseases worldwide, this organism is one of the most
epidemiologically significant zoonotic pathogens. Among these pathogens
Campylobacter jejuni have the greatest epidemiological importance, they are
responsible for 90% of laboratory confirmed cases of food campylobacteriosis.The
frequency of detection of campylobacters in the environmental and on many raw
foods, of both plant and animal origin, in normal intestine biota of domestic and
wild animal and birds, indicates the prevalence of these bacteria and the high
risk of contamination of food and water. The main factors of transmission in
sporadic campylobacteriosis are the poultry and poultry products (up to 70% of
the total number of cases), water (8%), raw milk (5%). One of the risk factors
for the spread of emergent pathogen is its ability to persist in aquatic
ecosystems. Continuing changes in landscape and agricultural intensification can
cause further enhance microbial contamination of freshwater bodies and
groundwater, and the associated increase in the number of cases of waterborne
campylobacteriosis. Intensification of agriculture, expanding the range of
applied disinfectants and antiseptics, uncontrolled use of antibiotics in
livestock often leads to the selection of the sustainable strains of
Campylobacter spp., which have antibiotic resistance and multiple virulence
determinants.This paper presents an overview of modern methods for the detection
of Campylobacter spp., detailed culture and biochemical methods for the isolation
of C. jejuni based on the use of selective culture media and diagnostic kits for
the characterization of the phenotypic profiles of the strains. These methods are
the starting point in selecting the most effective schemes of food control and
surveillance. It is emphasized that the basis of microbiological analysis should
be molecular methods based on real-time PCR, which allows to quantify present in
foods of thermotolerant Campylobacter, including C. jejuni.
PMID- 29377697
TI - Molecular Modeling Analysis of CO2 Absorption by Glymes.
AB - The properties of diglyme + CO2 systems were analyzed through density functional
theory and molecular dynamics methods with the objective of inferring the
microscopic properties of CO2 capture by glyme-based solvents and the effect of
ether group regarding solvents affinity toward CO2. Calculations of diglyme + CO2
molecular clusters using density functional theory allowed accurate
quantification and characterization of short-range intermolecular forces between
these molecules, whereas the molecular dynamics simulation of diglyme + CO2
liquid mixtures, for different CO2 contents, were the means to infer the
properties and dynamics of bulk liquid phases upon CO2 absorption. Likewise,
liquid diglyme + CO2 gas interfaces were also studied using molecular dynamics
methods to examine the kinetics of CO2 capture, adsorption at the gas-liquid
interface, and the mechanism of interface crossing, which is of pivotal
importance for the design of CO2 capturing units.
PMID- 29377698
TI - Photoinduced Bimolecular Electron Transfer in Ionic Liquids: Cationic Electron
Donors.
AB - Recently, we have reported a systematic study of photoinduced electron-transfer
reactions in ionic liquid solvents using neutral and anionic electron donors and
a series of cyano-substituted anthracene acceptors [ Wu , B. ; Maroncelli , M. ;
Castner , E. W. Jr Photoinduced Bimolecular Electron Transfer in Ionic Liquids .
J. Am. Chem. Soc. 139 , 2017 , 14568 ]. Herein, we report complementary results
for a cationic class of 1-alkyl-4-dimethylaminopyridinium electron donors.
Reductive quenching of cyano-substituted anthracene fluorophores by these
cationic quenchers is studied in solutions of acetonitrile and the ionic liquid 1
ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide. Varying the length
of the alkyl chain permits tuning of the quencher diffusivities in solution. The
observed quenching kinetics are interpreted using a diffusion-reaction analysis.
Together with results from the prior study, these results show that the intrinsic
electron-transfer rate constant does not depend on the quencher charge in this
family of reactions.
PMID- 29377699
TI - Dependence of the Substituent Effect on Solvent Properties.
AB - The influence of a solvent on the substituent effect (SE) in 1,4-disubstituted
derivatives of benzene (BEN), cyclohexa-1,3-diene (CHD), and bicyclo[2.2.2]octane
(BCO) is studied by the use of polarizable continuum model method. In all X-R-Y
systems for the functional group Y (NO2, COOH, OH, and NH2), the following
substituents X have been chosen: NO2, CHO, H, OH, and NH2. The substituent effect
is characterized by the charge of the substituent active region (cSAR(X)),
substituent effect stabilization energy (SESE), and substituent constants sigma
or F descriptors, the functional groups by cSAR(Y), whereas pi-electron
delocalization of transmitting moieties (BEN and CHD) is characterized by a
geometry-based index, harmonic oscillator model of aromaticity. All computations
were carried out by means of B3LYP/6-311++G(d,p) method. An application of
quantum chemistry SE models (cSAR and SESE) allows to compare the SE in water
solutions and in the gas phase. Results of performed analyses indicate an
enhancement of the SE by water. The obtained Hammett-type relationships document
different nature of interactions between Y and X in aromatic and olefinic systems
(a coexistence of resonance and inductive effects) than in saturated ones (only
the inductive effect). An increase of electric permittivity clearly enhances
communications between X and Y for BEN and CHD systems.
PMID- 29377700
TI - Interlayer Trions in the MoS2/WS2 van der Waals Heterostructure.
AB - Electronic excitations in van der Waals heterostructures can have interlayer or
intralayer character depending on the spatial localization of the involved
charges (electrons and holes). In the case of neutral electron-hole pairs
(excitons), both types of excitations have been explored theoretically and
experimentally. In contrast, studies of charged trions have so far been limited
to the intralayer type. Here we investigate the complete set of interlayer
excitations in a MoS2/WS2 heterostructure using a novel ab initio method, which
allows for a consistent treatment of both excitons and trions at the same
theoretical footing. Our calculations predict the existence of bound interlayer
trions below the neutral interlayer excitons. We obtain binding energies of 18/28
meV for the positive/negative interlayer trions with both electrons/holes located
on the same layer. In contrast, a negligible binding energy is found for trions
which have the two equally charged particles on different layers. Our results
advance the understanding of electronic excitations in doped van der Waals
heterostructures and their effect on the optical properties.
PMID- 29377702
TI - Precise Liquid Transport on and through Thin Porous Materials.
AB - Porous substrates have the ability to transport liquids not only laterally on
their open surfaces but also transversally through their thickness.
Directionality of the fluid transport can be achieved through spatial wettability
patterning of these substrates. Different designs of wettability patterns are
implemented herein to attain different schemes (modes) of three-dimensional
transport in a high-density paper towel, which acts as a thin porous matrix
directing the fluid. All schemes facilitate precise transport of metered liquid
microvolumes (dispensed as droplets) on the surface and through the substrate.
One selected mode features lateral fluid transport along the bottom surface of
the substrate, with the top surface remaining dry, except at the initial droplet
dispension point. This configuration is investigated in further detail, and an
analytical model is developed to predict the temporal variation of the
penetrating drop shape. The analysis and respective measurements agree within the
experimental error limits, thus confirming the model's ability to account for the
main transport mechanisms.
PMID- 29377701
TI - Strongly Cavity-Enhanced Spontaneous Emission from Silicon-Vacancy Centers in
Diamond.
AB - Quantum emitters are an integral component for a broad range of quantum
technologies, including quantum communication, quantum repeaters, and linear
optical quantum computation. Solid-state color centers are promising candidates
for scalable quantum optics due to their long coherence time and small
inhomogeneous broadening. However, once excited, color centers often decay
through phonon-assisted processes, limiting the efficiency of single-photon
generation and photon-mediated entanglement generation. Herein, we demonstrate
strong enhancement of spontaneous emission rate of a single silicon-vacancy
center in diamond embedded within a monolithic optical cavity, reaching a regime
in which the excited-state lifetime is dominated by spontaneous emission into the
cavity mode. We observe 10-fold lifetime reduction and 42-fold enhancement in
emission intensity when the cavity is tuned into resonance with the optical
transition of a single silicon-vacancy center, corresponding to 90% of the
excited-state energy decay occurring through spontaneous emission into the cavity
mode. We also demonstrate the largest coupling strength (g/2pi = 4.9 +/- 0.3 GHz)
and cooperativity (C = 1.4) to date for color-center-based cavity quantum
electrodynamics systems, bringing the system closer to the strong coupling
regime.
PMID- 29377703
TI - Effect of Preferential Orientation of Lamellae in the Interfacial Region between
a Block Copolymer-based Pressure-Sensitive Adhesive and a Solid Substrate on the
Peel Strength.
AB - We have investigated the relationship between the peel strength of a block
copolymer-based pressure-sensitive adhesive comprising of poly(methyl
methacrylate) (PMMA) and poly(n-butyl acrylate) (PnBA) components from the
substrate and the microdomain orientations in the interfacial region between the
adhesive and the substrate. For the PMMA substrate, the PMMA component in the
adhesive with a strong affinity for the substrate is attached to the surface of
the substrate during an aging process of the sample at 140 degrees C. Next, the
PMMA layer adjacent to the substrate surface is overlaid with a PnBA layer, which
gets covalently connected, resulting in the horizontal alignment of the lamellae
in the interfacial region. The peel strength of the adhesive substantially
increases during aging at 140 degrees C, which takes the same time as the
completion of the horizontally oriented lamellar structure. However, in the case
of the polystyrene (PS) substrate, both the components in the adhesive repel the
substrate, leading to the formation of the vertically oriented lamellar
structure. As a result, the peel strength of the adhesive with respect to its PS
substrate does not entirely increase on aging. It is suggested that the peel
strength of the adhesive is highly correlated with the interfacial energy between
the adhesive and substrate, which can be estimated from the microdomain
orientation in the interfacial region.
PMID- 29377704
TI - Calculation of Dipole-Forbidden 5f Absorption Spectra of Uranium(V) Hexa-Halide
Complexes.
AB - Restricted-active-space wave function calculations including spin-orbit coupling,
in combination with Kohn-Sham density functional calculations of vibrational
modes, were used to determine the vibronic and electronic absorption intensities
of the near-infrared electric dipole-forbidden 5f-5f transitions of
representative uranium(V) hexa-halide complex ions. The agreement with
experimentally assigned vibronic and electronic transitions measured for powder
or solution samples of salts of the complex ions is reasonable overall and
excellent for the experimentally best-resolved E5/2u -> E5/2u' bands. The
intensity of the vibronic transitions may be borrowed from ligand-to-metal charge
transfer excitations as well as 5f-to-6d metal-centered transitions. Magnetically
allowed electronic transitions contribute to the two lower-frequency bands of the
ligand-field spectrum.
PMID- 29377705
TI - Flow-Driven Assembly of Microcapsules into Three-Dimensional Towers.
AB - By harnessing biochemical signaling and chemotaxis, unicellular slime molds can
aggregate on a surface to form a long, vertical stalk. Few synthetic systems can
self-organize into analogous structures that emerge out of the plane. Through
computational modeling, we devise a mechanism for assembling tower-like
structures using microcapsules in solution as building blocks. In the
simulations, chemicals diffusing from a central patch on a surface produce a
concentration gradient, which generates a radially directed diffusioosmotic flow
along the surface toward the center. This toroidal roll of a fluid pulls the
microcapsules along the surface and lifts them above the patch. As more capsules
are drawn toward the patch, some units are pushed off the surface but remain
attached to the central microcapsule cluster. The upward-directed flow then draws
out the cluster into a tower-like shape. The final three-dimensional (3D)
structure depends on the flow field, the attractive capsule-capsule and capsule
surface interaction strengths, and the sedimentation force on the capsules. By
tuning these factors, we can change the height of the structures that are
produced. Moreover, by patterning the areas of the wall that are attractive to
the capsules, we can form multiple vertical strands instead of a single tower.
Our approach for flow-directed assembly can permit the growth of reconfigurable,
3D structures from simple subunits.
PMID- 29377706
TI - Correlation of Surface Adsorption and Oxidation with a Floatability Difference of
Galena and Pyrite in High-Alkaline Lime Systems.
AB - When it comes to Pb-Zn ores with high amounts of pyrite, the major problem
encountered is the low separation efficiency between galena and pyrite. By virtue
of high dosage of lime and collector sodium diethyl dithiocarbamate (DDTC),
pyrite and zinc minerals are depressed, allowing the galena to be floated.
However, there have been significant conflicting reports on the flotation
behavior of galena at high pH. In this context, correlation of the surface
adsorption and oxidation with the floatability difference of galena and pyrite in
high-alkaline lime systems would be a key issue for process optimization. Captive
bubble contact angle measurements were performed on freshly polished mineral
surfaces in situ exposed to lime solutions of varying pH as a function of
immersion time. Furthermore, single mineral microflotation tests were conducted.
Both tests indicated that the degree of hydrophobicity on the surfaces of galena
and pyrite increased in the presence of DDTC at natural or mild pulp pH. While in
a saturated lime solution, at pH 12.5, DDTC only worked for galena, but not for
pyrite. Surface chemistry analysis by time-of-flight secondary ion mass
spectrometry (Tof-SIMS) confirmed the preference of DDTC on the galena surface at
pH 12.5, which contributed to a merit recovery. Further important evidence
through measurements of Tof-SIMS, ion chromatography, and high-performance liquid
chromatography indicated that in high-alkaline lime systems, the merit
floatability of galena could exclude the insignificant contribution of elemental
sulfur (S8) and was dominantly attributed by the strong adsorption of DDTC. In
contrast, the poor flotation response of pyrite at high pH was due to the
prevailing adsorption of CaOH+ species. This study provides an important surface
chemistry evidence for a better understanding of the mechanism on the better
selectivity in the galena-pyrite separation adopting high-alkaline lime systems.
PMID- 29377707
TI - Surface-Enhanced Raman Spectroscopy of Carbon Nanomembranes from Aromatic Self
Assembled Monolayers.
AB - Surface-enhanced Raman scattering spectroscopy (SERS) was employed to investigate
the formation of self-assembled monolayers (SAMs) of biphenylthiol, 4'-nitro-1,1'
biphenyl-4-thiol, and p-terphenylthiol on Au surfaces and their structural
transformations into carbon nanomembranes (CNMs) induced by electron irradiation.
The high sensitivity of SERS allows us to identify two types of Raman scattering
in electron-irradiated SAMs: (1) Raman-active sites exhibit similar bands as
those of pristine SAMs in the fingerprint spectral region, but with indications
of an amorphization process and (2) Raman-inactive sites show almost no Raman
scattering signals, except a very weak and broad D band, indicating a lack of
structural order but for the presence of graphitic domains. Statistical analysis
showed that the ratio of the number of Raman-active sites to the total number of
measurement sites decreases exponentially with increasing the electron
irradiation dose. The maximum degree of cross-linking ranged from 97 to 99% for
the three SAMs. Proof-of-concept experiments were conducted to demonstrate
potential applications of Raman-inactive CNMs as a supporting membrane for Raman
analysis.
PMID- 29377708
TI - A Critical Review of Analytical Methods for Determination of Ceftriaxone Sodium.
AB - Ceftriaxone sodium is a third-generation semi-synthetic antibiotic belonging to
the class of cephalosporins. Is administered only by parenteral route and has the
ability to cross the blood-brain barrier. It has bactericidal action; its main
activity is related to the Gram-negative bacteria, being also able to act against
Gram-negative bacilli resistant to the first- and second-generation
cephalosporins. The present study presents a survey of the characteristics,
properties and analytical methods used for the determination of ceftriaxone
sodium, for the gathering of data searches were carried out in scientific
articles in the world literature, as well as in the official compendia. It is
necessary to create awareness about the importance of developing effective and
reliable analytical methods for quality control and consequently for conducting
pharmacokinetic, bioavailability, bioequivalence studies as well as for the
therapeutic monitoring of this drug. Most of the methods found use high
performance liquid chromatography, but also methods that use absorption
spectroscopy ultraviolet, infrared spectroscopy, spectrofluorimetry and
microbiological methods have been presented. A discussion was presented
highlighting the need to develop new ecological methods using less toxic
solvents, rapid analysis and miniaturization of the samples.
PMID- 29377710
TI - Incidence, Severity, and Time Loss Associated With Collegiate Football Fractures,
2004-2005 to 2013-2014.
AB - BACKGROUND: The inherent risk of any time loss from physical injury in football
has been extensively discussed, with many such injuries having a profound effect
on the lives of National Collegiate Athletic Association (NCAA) football players.
However, the incidence of fractures in collegiate football has not been well
established. PURPOSE: To examine the epidemiology of fractures in NCAA football.
STUDY DESIGN: Descriptive epidemiology study. METHODS: Fracture data reported in
college football during the 2004-2005 to 2013-2014 academic years were analyzed
from the NCAA Injury Surveillance Program (NCAA-ISP). Fracture rates per 1000
athlete-exposures, surgery and time loss distributions, injury rate ratios,
injury proportion ratios (IPRs), and 95% CIs were reported. RESULTS: Overall, 986
fractures were reported. The rate of competition fractures was larger than the
rate of practice fractures (1.80 vs 0.17 per 1000 athlete-exposures; injury rate
ratio = 10.56; 95% CI, 9.32-11.96). Fractures of the hand/fingers represented
34.6% of all injuries, while fibula fractures (17.2%) were also common. A
majority (62.5%) of all fractures resulted in time loss >21 days. Altogether,
34.4% of all fractures required surgery, and 6.3% were recurrent. The proportion
of fractures resulting in time loss >21 days was higher for fractures requiring
surgery than fractures not requiring surgery (85.0% vs 50.7%; IPR = 1.68; 95% CI,
1.53-1.83). The proportion of recurrent and nonrecurrent fractures requiring
surgery did not differ (35.5% vs 34.3%; IPR = 1.03; 95% CI, 0.73-1.46); however,
recurrent fractures were more likely to require surgery than nonrecurrent
fractures when restricted to the hand/fingers (66.7% vs 27.2%; IPR = 2.45; 95%
CI, 1.36-4.44). CONCLUSION: Fractures in collegiate football were sustained at a
higher rate in competition than practice and frequently required extended time
lost from participation, particularly among those requiring surgery. Prevention
strategies are warranted to reduce incidence and severity of fractures.
PMID- 29377711
TI - The Period Prevalence of Mind and Body Practice Use by Adult Emergency Department
Patients.
AB - OBJECTIVES: Mind and Body Practice (MBP) use (e.g., chiropractic, acupuncture,
meditation) among Emergency Department (ED) patients is largely unknown. We aimed
to determine the period prevalence, nature of MBP use, and perceptions of MBP
among adult ED patients. DESIGN AND SETTING: We undertook a cross-sectional
survey of a convenience sample of patients presenting to three EDs between
February and June 2016. SUBJECTS: Patients were eligible for inclusion if they
were aged 18 years or more and had presented for medical treatment. INTERVENTION:
An anonymous, self-administered questionnaire, based upon a validated pediatric
questionnaire, was completed by the patient, with assistance if required. OUTCOME
MEASURES: The primary outcome was the nature and 12 month period prevalence of
MBP use. Secondary outcomes were variables associated with use and patient
perceptions of MBP. RESULTS: 674 patients were enrolled. In the previous 12
months, 500 (74.2%) patients had used at least one MBP. MBP users and nonusers
did not differ in gender, ancestry, or chronic illness status (p > 0.05).
However, users were significantly younger and more likely to have private health
insurance (p < 0.001). A total of 2094 courses of 68 different MBP had been used
including massage (75.0% of users), meditation (35.2%), chiropractic (32.6%),
acupuncture (32.0%), and yoga (30.6%). Users were significantly more likely (p <
0.01) to believe that MBP prevented illness, treated illness, were more effective
than prescription medicines, assisted prescription medications, and were safe and
provided a more holistic approach. Forty-one (6.1%) patients used MBP for their
ED presenting complaint. However, only 14 (34.1%) advised their ED physician of
this. CONCLUSION: The period prevalence of MBP use among ED patients is high.
Knowledge of the MBP used for a patient's presenting complaint may better inform
the ED physician when making management decisions.
PMID- 29377709
TI - Physicochemical Investigations of Homeopathic Preparations: A Systematic Review
and Bibliometric Analysis-Part 1.
AB - OBJECTIVES: The last systematic review of physicochemical research performed on
homeopathic preparations was published in 2003. The aim of the study is to update
and expand the current state of knowledge in the area of physicochemical
properties of homeopathic preparations. In part 1 of the study, we aim to present
an overview of the literature with respect to publication quality and methods
used. In part 2, we aim to identify the most interesting experimental techniques.
With this, we aim to be in a position to generate meaningful hypotheses regarding
a possible mode of action of homeopathic preparations. METHODS: A two-step
procedure was adopted: (1) an extensive literature search, followed by a
bibliometric and quality analysis on the level of publications and (2) a thorough
qualitative analysis of the individual physicochemical investigations found. In
this publication, we report on step (1). We searched major scientific databases
to find publications reporting physicochemical investigations of homeopathy from
its origin to the end of 2015. Publications were assessed using a scoring scheme,
the Manuscript Information Score (MIS). Information regarding country of origin
of the research and experimental techniques used was extracted. RESULTS: We
identified 183 publications (compared to 44 in the last review), 122 of which had
an MIS >=5. The rate of publication in the field was ~2 per year from the 1970s
until 2000. Afterward, it increased to over 5.5 publications per year. The
quality of publications was seen to increase sharply from 2000 onward, whereas
before 2000, only 12 (13%) publications were rated as "high quality" (MIS >=7.5);
44 (48%) publications were rated as "high quality" from 2000 onward. Countries
with most publications were Germany (n = 42, 23%), France (n = 29, 16%), India (n
= 27, 15%), and Italy (n = 26, 14%). Techniques most frequently used were
electrical impedance (26%), analytical methods (20%), spectroscopy (20%), and
nuclear magnetic resonance (19%). CONCLUSIONS: Physicochemical research into
homeopathic preparations is increasing both in terms of quantity and quality of
the publications.
PMID- 29377712
TI - Standard PREanalytical Code version 3.0.
PMID- 29377713
TI - Contributions to Estimation of Polychoric Correlations.
AB - This research concerns the estimation of polychoric correlations in the context
of fitting structural equation models to observed ordinal variables by multistage
estimation. The first main contribution of this research is to propose and
evaluate a Monte Carlo estimator for the asymptotic covariance matrix (ACM) of
the polychoric correlation estimates. In multistage estimation, the ACM plays a
prominent role, as overall test statistics, derived fit indices, and parameter
standard errors all depend on this quantity. The ACM, however, must itself be
estimated. Established approaches to estimating the ACM use a sample-based
version, which can yield poor estimates with small samples. A simulation study
demonstrates that the proposed Monte Carlo estimator can be more efficient than
its sample-based counterpart. This leads to better calibration for established
test statistics, in particular with small samples. The second main contribution
of this research is a further exploration of the consequences of violating the
normality assumption for the underlying response variables. We show the
consequences depend on the type of nonnormality, and the number and location of
thresholds. The simulation study also demonstrates that overall test statistics
have little power to detect the studied forms of nonnormality, regardless of the
ACM estimator.
PMID- 29377714
TI - Identification of Distinct Latent Classes Related to Sleep, PTSD, Depression, and
Anxiety in Individuals Diagnosed With Severe Alcohol Use Disorder.
AB - OBJECTIVE/BACKGROUND: Alcohol use disorders (AUDs) are often accompanied by
comorbid physiologic and psychosocial conditions, including sleep disturbances.
Sleep disturbances in these individuals may be associated with increased risk of
relapse to drinking following detoxification and rehabilitation. PARTICIPANTS:
The sample of inpatient treatment-seeking individuals with AUDs (N = 164) was
70.1% male and 47.6% African American with a mean age of 45.6 years (+/-9.5
years). METHODS: Latent class analysis (LCA) was used to identify unmeasured
class membership based on seven indicators: maximum Clinical Institute Withdrawal
Assessment (CIWA) scores; sleep efficiency (actigraphy); sleep disturbances
(Pittsburgh Sleep Quality Index-PSQI); anxiety or depression (Comprehensive
Psychopathological Rating Scale [CPRS]); and current and lifetime posttraumatic
stress disorder (PTSD). RESULTS: The average number of drinking days in the 90
days preceding admission was 72.0 (+/-22.0 days), with an average of 13.16 drinks
per day (+/-5.70 drinks). Nearly one quarter (24.4%) of respondents reported
lifetime PTSD. Three latent classes were identified: Sleep Disturbance (SD);
Sleep Disturbance, Anxiety and Depression (SD/AD); and Sleep Disturbance, Anxiety
and Depression, and PTSD (SD/AD/PTSD). Members of the SD/AD/PTSD group were more
likely to be female and had the highest withdrawal and sleep disturbance scores
of all three groups. CONCLUSION: Findings support the use of LCA to identify
subgroups of individuals with AUDs and accompanying sleep disturbances. Class
identification may provide clinicians with insight into the integrative tailoring
of interventions that meet the varied needs of individuals with AUDs,
accompanying comorbidities, and sleep disturbances.
PMID- 29377715
TI - Fitness Level Modulates Intraocular Pressure Responses to Strength Exercises.
AB - : Purpose/Aim: The execution of strength exercises has demonstrated to increase
the intraocular pressure (IOP) levels, and it may have a negative impact on the
ocular health. We aimed to explore the influence of fitness level on the acute
IOP response to strength exercises performed under different loading conditions,
as well as to test whether the IOP responses differ between the bench press and
jump squat when performed against the same relative loads. MATERIALS AND METHODS:
Forty military personnel males were divided in two subgroups (20 high-fit and 20
low-fit) based on their relative to body mass one-repetition maximum (1-RM).
Participants performed an incremental loading test in the bench press and jump
squat exercises, and IOP was assessed before and after each repetition by rebound
tonometry. RESULTS: IOP increased immediately after executing both exercises (p <
0.01 in both cases), being the magnitude of the IOP increment positively and
linearly associated with the increment of the load in both groups (i.e., high-fit
and low-fit) and in both exercises (R2 range: 0.81-1.00). Higher fitness level
attenuated the IOP rise produced by both exercises (p < 0.01 in both cases). The
bench press induced higher IOP increments than the jump squat for both groups at
relative loads of ~50%1-RM and ~60%1-RM (p < 0.01 in all cases). CONCLUSIONS:
These data indicate that IOP increases as a consequence of performing strength
exercises, being the increment accentuated with the increase of the load and in
the bench press compared to the jump squat exercise. Of special importance would
be that the IOP responses were significantly reduced in high-fit individuals.
These findings should be addressed in glaucoma patients.
PMID- 29377717
TI - Impact of Pathologist Involvement in Sarcoma and Rare Tumor Patient Support
Groups on Facebook: A Survey of 542 Patients and Family Members.
AB - CONTEXT: - Patients with rare tumors have difficulty finding reliable information
about their disease. Facebook patient support groups allow patients to educate
one another. OBJECTIVE: - To investigate how these patients perceive the value of
pathologists, both in Facebook groups and real-world patient care. DESIGN: -
Survey links were posted in 12 Facebook patient groups: 6 with an active
pathologist member (angiosarcoma, epithelioid hemangioendothelioma, epithelioid
sarcoma, dermatofibrosarcoma protuberans [*2], and desmoid fibromatosis), and 6
without "active" pathologist involvement (aggressive angiomyxoma, chondrosarcoma,
Ewing sarcoma, leiomyosarcoma, liposarcoma, and osteosarcoma). RESULTS: - A total
of 542 people responded (403 were patients): 264 from groups with a pathologist,
and 278 from groups without active pathologist involvement. Of groups with an
active pathologist, respondents agreed the pathologist's posts helped them better
understand their disease (107 of 119; 90%) and relieved some of their disease
related anxiety (92 of 119; 77%). And for these groups 98% (117 of 119) of
respondents agreed that having a pathologist in their group was a good thing; 83%
(192 of 232) wanted more pathologists involved. More respondents from groups with
an active pathologist (219 of 236; 93%) than without one (215 of 252; 85%)
agreed: "pathologists are an important part of the patient care team for patients
with cancer and other rare tumors" ( P = .008). CONCLUSIONS: - This study is the
first to evaluate the impact of pathologist interaction with Facebook patient
support groups and to assess perceptions about the specialty of pathology from a
large group of patients with rare tumors. Pathologist involvement in Facebook
patient groups appears to positively influence patient perception of the
importance of pathologists. We hope these data will encourage more pathologists
to participate in Facebook patient support groups.
PMID- 29377716
TI - The UK Centre for Astrobiology: A Virtual Astrobiology Centre. Accomplishments
and Lessons Learned, 2011-2016.
AB - The UK Centre for Astrobiology (UKCA) was set up in 2011 as a virtual center to
contribute to astrobiology research, education, and outreach. After 5 years, we
describe this center and its work in each of these areas. Its research has
focused on studying life in extreme environments, the limits of life on Earth,
and implications for habitability elsewhere. Among its research infrastructure
projects, UKCA has assembled an underground astrobiology laboratory that has
hosted a deep subsurface planetary analog program, and it has developed new flow
through systems to study extraterrestrial aqueous environments. UKCA has used
this research backdrop to develop education programs in astrobiology, including a
massive open online course in astrobiology that has attracted over 120,000
students, a teacher training program, and an initiative to take astrobiology into
prisons. In this paper, we review these activities and others with a particular
focus on providing lessons to others who may consider setting up an astrobiology
center, institute, or science facility. We discuss experience in integrating
astrobiology research into teaching and education activities. Key Words:
Astrobiology-Centre-Education-Subsurface-Analog research. Astrobiology 18, 224
243.
PMID- 29377718
TI - Potential interactions among phenolic compounds and probiotics for mutual
boosting of their health-promoting properties and food functionalities - A
review.
AB - Several foods are rich sources of phenolic compounds (PC) and their beneficial
effects on human health may be increased through the action of probiotics.
Additionally, probiotics may use PC as substrates, increasing their survival and
functionality. This review presents available studies on the effects of PC on
probiotics, including their physiological functionalities, interactions and
capability of surviving during exposure to gastrointestinal conditions and when
incorporated into food matrices. Studies have shown that PC can improve the
adhesion capacity and survival of probiotics during exposure to conditions that
mimic the gastrointestinal tract. There is strong evidence that PC can modulate
the composition of the gut microbiota in hosts, improving a variety of
biochemical markers and risk factors for chronic diseases. Available literature
also indicates that metabolites of PC formed by intestinal microorganisms,
including probiotics, exert a variety of benefits on host health. These
metabolites are typically more active than parental dietary PC. The presence of
PC commonly enhances probiotic survival in different foods. Finally, further
clinical studies need to be developed to confirm in vitro and experimental
findings concerning the beneficial interactions among different PC and
probiotics.
PMID- 29377719
TI - Prevalence and Antibiotic Resistance Profiles of Campylobacter jejuni Isolated
from Poultry Meat and Related Samples at Retail Shops in Northern India.
AB - Campylobacteriosis is the common gastrointestinal disease worldwide. However, in
many parts of the world, including India, the impact of campylobacteriosis is
less commonly investigated. This study aimed to determine the prevalence and
antibiotic susceptibility profiles of Campylobacter jejuni in raw poultry meat
and poultry-related samples at retail shops in a region of Northern India. A
total of 400 samples of chicken meat (150), chicken intestine (150), feathers
(50), and chopping boards and knives (50) samples were screened for the presence
of C. jejuni by selective enrichment culture followed by selective plating on
mCCDA and also by polymerase chain reaction (PCR) after selective enrichment. The
highest prevalence of Campylobacter contamination (38.6%) was observed in chicken
meat followed by chicken intestine (24.0%). C. jejuni was detected in 14.0% of
chopping boards, knives, and feather samples by culturing method. The hipO gene
based PCR detection yielded 36.0% C. jejuni from chicken meat samples; in other
samples, however, the prevalence of C. jejuni was observed similar to that of
cultural method. The antibiotic susceptibility profiles confirmed drug resistance
among 97% of C. jejuni isolates, with 84.1% of C. jejuni isolates resistant to co
trimoxazole followed by cephalothin (81.1%) and tetracycline (59.4%). Low
incidence of resistance (6.9-8.9%) was observed against nalidixic acid,
ciprofloxacin, erythromycin, gentamicin, and azithromycin. Resistance to multiple
drugs (>=4) was recorded in 31.6% of the strains. The findings of this study
demonstrated high prevalence of drug-resistant C. jejuni in raw chicken meat and
intestinal isolates. The high occurrence of C. jejuni in chicken meat might be
due to cross contamination as a result of slaughtering and poor hygienic
conditions. Implementation of monitoring/surveillance programs to monitor the
prevalence of multidrug-resistant Campylobacter spp. in food production animals,
particularly, poultry in semiurban regions, as well as main cities in India, is
highly required for better public health protection.
PMID- 29377720
TI - Campylobacter and Ethnicity-A Case-Case Analysis to Determine Differences in
Disease Presentation and Risk Factors.
AB - BACKGROUND: Rates of Campylobacter infection in Arizona have historically been
higher than the national average, with the highest rates in Hispanic populations.
The purpose of this retrospective case-case analysis was to determine how risk
factors and disease presentation differ by ethnicity (Hispanic vs. Non-Hispanic)
in cases of campylobacteriosis from 2012 to 2015 in Maricopa County, Arizona.
METHODS: Basic demographics and seasonality, including standardized morbidity
ratios (SMRs), were analyzed to determine differences by ethnicity. To determine
differences in risk factors, adjusted univariate and multivariable logistic
regression was conducted. RESULTS: There were significant differences by
ethnicity by age (1-14 years and >60 years), location of residence (urban vs.
suburban), and testing methodology. Most months in the seasonality analysis
showed higher than expected values of Hispanic cases based on population
distributions (SMR Range: 0.91-1.78, annual mean: 1.23). Differences in disease
presentation showed that Hispanics (adjusted for age and location of residence)
were more likely to experience vomiting (OR = 1.41) and fever (OR = 1.08), as
well as seek care through an urgent care or emergency department (OR = 1.50),
than non-Hispanic cases. Hispanics had a higher odds of reporting consumption of
tomatoes (OR = 1.45), salsa (OR = 2.35), cilantro (OR = 2.21), queso fresco (OR =
8.53), and sprouts (OR = 1.94) than non-Hispanic cases. Multivariable analyses
found queso fresco (aOR = 6.58), cilantro (aOR = 3.93), and animal products (aOR
= 0.38) all to be significant by ethnicity. CONCLUSIONS: Hispanics had a higher
likelihood of consuming high risk foods, while non-Hispanics were more likely to
have environmental exposures linked to Campylobacter infection. Focused
questionnaires can reveal differences and contribute to improving public health
action/education for specific populations.
PMID- 29377721
TI - Glenoid Bone Loss in Posterior Shoulder Instability: Prevalence and Outcomes in
Arthroscopic Treatment.
AB - BACKGROUND: Glenoid bone loss is a well-accepted risk factor for failure after
arthroscopic stabilization of anterior glenohumeral instability. Glenoid bone
loss in posterior instability has been noted relative to its existence in
posterior instability surgery. Its effect on outcomes after arthroscopic
stabilization has not been specifically evaluated and reported. PURPOSE: The
purpose was to evaluate the presence of posterior glenoid bone loss in a series
of patients who had undergone arthroscopic isolated stabilization of the
posterior labrum. Bone loss was then correlated to return-to-duty rates,
complications, and validated patient-reported outcomes. STUDY DESIGN: Case
control study; Level of evidence, 3. METHODS: A retrospective review was
conducted at a single military treatment facility over a 4-year period (2010
2013). Patients with primary posterior instability who underwent arthroscopic
isolated posterior labral repair were included. Preoperative magnetic resonance
imaging was used to calculate posterior glenoid bone loss using a standardized
"perfect circle" technique. Demographics, return to duty, complications, and
reoperations, as well as outcomes scores including the Single Assessment Numeric
Evaluation and the Western Ontario Shoulder Instability Index (WOSI) scores, were
obtained. Outcomes were analyzed across all patients based on percentage of
posterior glenoid bone loss. Bone loss was then categorized as below or above the
subcritical threshold of 13.5% to determine if bone loss effected outcomes
similar to what has been shown in anterior instability. RESULTS: There were 43
consecutive patients with primary, isolated posterior instability, and 32 (74.4%)
completed WOSI scoring. Mean follow-up was 53.7 months (range, 25-82 months) The
mean posterior glenoid bone loss was 7.3% (0%-21.5%). Ten of 32 patients (31%)
had no appreciable bone loss. Bone loss exceeded 13.5% in 7 of 32 patients (22%),
and 2 patients (6%) exceeded 20% bone loss. Return to full duty or activity was
nearly 90% overall. However, those with >13.5%, subcritical glenoid bone loss,
were statistically less likely to return to full duty (relative risk = 1.8), but
outcomes scores, complications, and revision rates were otherwise not different
in those with no or minimal bone loss versus those with more significant amounts.
CONCLUSION: Posterior glenoid bone loss has not previously been evaluated
independently relative to patients with shoulder instability repairs. Sixty-nine
percent of our patients had measurable bone loss, and 22% had greater than 13.5%,
or above subcritical bone loss. While these patients were statistically less
likely to return to full duty, the reoperation rate, complications, and patient
reported outcomes between groups were not different.
PMID- 29377722
TI - Antibacterial Activities of Endophytic Bacteria Isolated from Taxus brevifolia
Against Foodborne Pathogenic Bacteria.
AB - Endophytes are a potential source of novel bioactive compounds with medicinal
properties. In this study, 41 endophytic bacteria (EB) were isolated from tissues
of a medicinally important plant Taxus brevifolia (Pacific yew). The objective
was to screen all the EB isolates for their antibacterial effects against five
foodborne pathogenic bacteria: Bacillus cereus ATCC10876, Staphylococcus aureus
ATCC12600, Listeria monocytogenes ATCC19115, Escherichia coli ATCC43890, and
Salmonella Typhimurium ATCC19585. Among the EB isolates, T. brevifolia seed (TbS)
8, T. brevifolia fleshy part of fruit (TbFl)-10, T. brevifolia leaf (TbL)-22, TbS
29, and TbL-34 exerted significant antibacterial activity against the tested
foodborne pathogens. Especially TbFl-10 showed the highest antibacterial activity
against all the tested bacteria and was identified as Paenibacillus kribbensis
(Pk). Furthermore, an ethyl acetate extract of Pk-TbFl-10 possessed antibacterial
activities against the tested five foodborne pathogenic bacteria, with zones of
inhibition from 15.71 +/- 2.85 to 13.01 +/- 2.12 mm. Scanning electron microscopy
analysis revealed ruptured, lysed, shrunk, and swollen cells of all the tested
foodborne pathogens treated with the ethyl acetate extract of Pk-TbFl-10,
suggesting that a metabolite(s) of Pk-TbFl-10 penetrates the cell membrane and
causes cell lysis leading to cell death. Our results indicate that Pk-TbFl-10
isolated from T. brevifolia can serve as a novel source of natural antibacterial
agents against foodborne pathogenic bacteria, with potential applications in the
pharmaceutical industry.
PMID- 29377724
TI - Only Bad for Believers? Religion, Pornography Use, and Sexual Satisfaction Among
American Men.
AB - Research has often demonstrated a negative association between pornography use
and various intrapersonal and relationship outcomes, particularly for men.
Several recent studies, however, have suggested that the negative association
between pornography use and these indicators is stronger among more religious
Americans, suggesting that moral incongruence (engaging in an activity that
violates one's sacred values) and the attendant shame or cognitive dissonance,
rather than pornography use per se, may be the primary factor at work. The
current study tested and extended this theory by examining how religion
potentially moderates the link between pornography use and sexual satisfaction in
a national random sample of American adults (N = 1,501). Analyses demonstrated
that while pornography use was negatively associated with sexual satisfaction for
American men (not women), among men who rarely attended religious services or
held a low opinion of the Bible this negative association essentially
disappeared. Conversely, the negative association between frequency of
pornography consumption and sexual satisfaction was more pronounced for men with
stronger ties to conventional religion. These findings suggest that the
connection between pornography use and sexual satisfaction, especially for men,
depends largely on what viewing pornography means to consumers and their moral
community and less so on the practice itself.
PMID- 29377723
TI - Facial Expressiveness in Infants With and Without Craniofacial Microsomia:
Preliminary Findings.
AB - OBJECTIVE: To compare facial expressiveness (FE) of infants with and without
craniofacial macrosomia (cases and controls, respectively) and to compare
phenotypic variation among cases in relation to FE. DESIGN: Positive and negative
affect was elicited in response to standardized emotion inductions, video
recorded, and manually coded from video using the Facial Action Coding System for
Infants and Young Children. SETTING: Five craniofacial centers: Children's
Hospital of Los Angeles, Children's Hospital of Philadelphia, Seattle Children's
Hospital, University of Illinois-Chicago, and University of North Carolina-Chapel
Hill. PARTICIPANTS: Eighty ethnically diverse 12- to 14-month-old infants. MAIN
OUTCOME MEASURES: FE was measured on a frame-by-frame basis as the sum of 9
observed facial action units (AUs) representative of positive and negative
affect. RESULTS: FE differed between conditions intended to elicit positive and
negative affect (95% confidence interval = 0.09-0.66, P = .01). FE failed to
differ between cases and controls (ES = -0.16 to -0.02, P = .47 to .92). Among
cases, those with and without mandibular hypoplasia showed similar levels of FE
(ES = -0.38 to 0.54, P = .10 to .66). CONCLUSIONS: FE varied between positive and
negative affect, and cases and controls responded similarly. Null findings for
case/control differences may be attributable to a lower than anticipated
prevalence of nerve palsy among cases, the selection of AUs, or the use of manual
coding. In future research, we will reexamine group differences using an
automated, computer vision approach that can cover a broader range of facial
movements and their dynamics.
PMID- 29377725
TI - Preadolescents' Daily Peer Victimization and Perceived Social Competence:
Moderating Effects of Classroom Aggression.
AB - Few studies have assessed children's daily peer experiences, and even fewer have
considered their daily self-perceptions. This daily diary study examined
relations between preadolescents' daily reports of peer victimization and
perceived social competence, along with moderating effects of classroom
aggression. A racially diverse sample of 182 children in 5th grade (105 boys; M
age = 10.64 years; 35% White, 31% Black, 17% Hispanic, 17% other or not reported)
completed daily measures of peer victimization and perceived social competence,
with most children completing measures on 8 school days. Teachers completed
measures of aggression for each participating pupil. Four types of peer
victimization (verbal victimization, social manipulation, social rebuff, and
property attacks) predicted decreased daily perceived social competence. Daily
social rebuff predicted decreased daily perceived social competence beyond the
effects of the other types of victimization. Classroom aggression moderated the
relation of verbal victimization with perceived social competence, such that this
relation was significant in classrooms with lower aggression and nonsignificant
in classrooms with higher aggression. Results indicate that preadolescents' daily
self-perceptions fluctuate with daily victimization by peers, particularly with
social rebuff. Findings also suggest that the impact of verbal victimization on
children's self-views could be exacerbated in classrooms that better manage peer
to-peer aggression. Accordingly, targeted interventions appear critical for
children who continue to experience peer victimization in schools with highly
effective aggression prevention programs.
PMID- 29377726
TI - Cognitive Bridging: Using Strategic Communication To Connect Abstract Goals With
The Means To Achieve Them.
AB - Three studies test several mechanisms of cognitive bridging, or how a strategic
communication message functions to connect the abstract goal of an individual
with the specific means to achieve the goal. Across all of the experiments (n =
276, n = 209, n = 145), it was demonstrated that participants who received an
induced bridging mechanism were more likely to produce cognitive bridging outputs
and report more abstract responses than participants who did not receive a
bridging technique. We do not find the same pattern of results among participants
who received an integrated bridging technique. Taken together, these studies
provide evidence that how abstractly or concretely an individual is thinking can
be influenced by abstraction cues planted within a strategic message, providing
promise for messaging efforts at the moment of decision. In other words, the
level of abstract thinking an individual is carrying into an exposure situation
is possible to change using cues within the message itself. This is the first
article to juxtapose the induced and integrated mechanisms of cognitive bridging.
PMID- 29377727
TI - Developmental progression and side specialization in upper-limb movements from 4
to 8 years in children born preterm and fullterm.
AB - This study investigated developmental changes and differences in upper-limb
movement organization from 4 to 8 years of age in children born preterm (PT) and
fullterm (FT). Kinematic recordings of precision-demanding unimanual movements
and lateral assessments were carried out in 37 children (18 PT). All children,
particularly children born PT, displayed considerable gain in movement
kinematics. Contrary to controls, children born PT displayed persistently less
evident side preference. Gestational age (GA) contributed significantly to
kinematic differences shown, with larger upper-limb deviances in the lowest GAs,
in agreement with cross-sectional findings of altered hemispheric connections and
delayed side-specialization among children born very PT.
PMID- 29377728
TI - Milk Volume at 2 Weeks Predicts Mother's Own Milk Feeding at Neonatal Intensive
Care Unit Discharge for Very Low Birthweight Infants.
AB - OBJECTIVE: This study sought to determine the maternal prepregnancy, pregnancy,
and delivery risk factors that predicted coming to volume (CTV; achieving pumped
mother's own milk [MOM] volume >=500 mLs/day) and the continuation of MOM
provision through to discharge from the neonatal intensive care unit (NICU) in
mothers and their very low birthweight (VLBW; <1,500 g at birth) infants. STUDY
DESIGN: Secondary analysis of prospectively collected data from 402 mothers of
VLBW infants admitted to an urban NICU, including detailed MOM pumping records
for a subset (51%) of the cohort. Analyses included inverse probability
weighting, multivariate regression, and chi-square statistics. RESULTS: In this
high-risk cohort (51.2% black, 27.1% Hispanic, 21.6% white/Asian; 72.6% low
income; 61.4% overweight/obese prepregnancy), CTV by day 14 was the strongest
predictor of MOM feeding at NICU discharge (odds ratio [OR] 9.70 confidence
interval [95% CI] 3.86-24.38, p < 0.01.). Only 39.5% of mothers achieved CTV by
postpartum day 14, an outcome that was predicted by gestational age at delivery
(OR 1.41, 95% CI 1.15-1.73, p < 0.01), being married (OR 3.66, 95% CI 1.08-12.39,
p = 0.04), black race (OR 7.70, 95% CI 2.05-28.97, p < 0.01), cesarean delivery
(OR 0.22, 95% CI 0.08-0.63, p = 0.01), and chorioamionitis (OR 0.14, 95% CI 0.02
0.82, p = 0.03). CONCLUSION: Continued provision of MOM at NICU discharge can be
predicted in the first 14 postpartum days on the basis of achievement of CTV. We
posit that CTV can serve as a quality indicator for improving MOM feedings in the
NICU and that lactation support resources should target this early critical
postbirth period.
PMID- 29377729
TI - Computed Tomography Measurements as a Standard of Exophthalmos? Two-Dimensional
Versus Three-Dimensional Techniques.
AB - PURPOSE: To compare measurements of exophthalmos using two-dimensional (2D) and
three-dimensional (3D) computed tomography (CT) methods. METHODS: The CT data of
50 patients with thyroid eye disease were collected. The exophthalmos
measurements were performed using both 2D and 3D methods. The protrusion of the
globe center, the deviation angle of the ocular axis, and the distance between
the globe center and the corneal apex were also recorded. The agreements between
Hertel exophthalmometry and different CT measurements were analyzed, and the
geometrical relationship between the protrusion of the corneal apex and globe
center were analyzed. RESULTS: The measurement agreement from consecutive CT
scans was significantly better using the 3D method than the 2D method (p < 0.05).
The difference in exophthalmos measurements between the 2D and 3D methods was 0.9
+/- 1.2 mm (p < 0.05), and the 3D exophthalmos measurement was more consistent
with Hertel exophthalmometry. The exophthalmos of the corneal apex and the globe
center agreed with the geometrical formula well. The location error between the
corneal apexes marked on the 2D and 3D images was correlated with the vertical
deviation angle of the ocular axis (r = 0.516). CONCLUSION: The reliability of CT
measurements performed using a 3D method was better than those obtained using a
conventional 2D method. The 3D measurements were also more consistent with the
exophthalmometry results. The exophthalmos of the globe center might be
considered an important reference for patients with severe strabismus.
PMID- 29377730
TI - Birth of a field: Neuroscience of creativity.
PMID- 29377732
TI - Erratum.
PMID- 29377731
TI - There's a Lot More to Being a Physician: Insights From an Intensive Clinical
Shadowing Experience in Internal Medicine.
AB - : Phenomenon: Although most premedical students shadow physicians prior to
starting medical school, there is no set of guidelines or expectations to
facilitate effective experiences for students and physicians, nor is there data
on the value of shadowing medical trainees as a way to learn about the training
environment. We sought to understand premedical student perspectives on an
intensive resident shadowing experience. APPROACH: This was a qualitative study
using anonymous data from focus groups conducted with premedical student
participants in a month-long time motion analysis of internal medicine interns at
two large academic medical centers. The authors convened, professionally
transcribed verbatim, and analyzed data using step-by-step thematic analysis from
3 focus groups in 2012. Focus group questions included goals of participants,
shadowing experiences, patient safety experiences, and thoughts on physician
training. FINDINGS: Twenty of the 22 students who were involved in the time
motion study participated in the focus groups (91%). Three major themes were
generated from the transcripts: qualities of a good physician, the inefficiencies
of the healthcare system and the hospital, and the realities of graduate medical
education. Insights: The intensive shadowing experience exposed premedical
students to the hospital environment and many of the challenges they will face as
future residents. Observing patient care firsthand, students considered the
qualities of good intern physicians and appreciated the teamwork and
collaboration essential to patient care in an academic medical center. Students
witnessed some of the fundamental challenges of graduate medical training,
including time pressures, documentation requirements, and the medical hierarchy.
They also observed the difficulties of providing quality care in the current
healthcare system, including hospital inefficiencies, interprofessional tensions,
and financial barriers to care. Intensive shadowing of residents can begin the
process of socialization to the culture of medicine by giving premedical students
a realistic perspective of both positive and negative aspects of medical training
and inpatient care.
PMID- 29377734
TI - Age Cohort Differences in Sexual Behaviors Among Black Men Who Have Sex With Men
and Women.
AB - Little attention has focused on generational or age-related differences in human
immunodeficiency virus/sexually transmitted infection (HIV/STI) risk behaviors
among Black men who have sex with men and women (BMSMW). We examined sexual risk
behaviors between BMSMW ages 40 and under compared to over age 40. Analysis was
conducted using Centers for Disease Control and Prevention (CDC)-sponsored
intervention data among BMSMW in Los Angeles, Chicago, and Philadelphia (n =
546). Pearson's chi-square tests were conducted to evaluate associations between
age groups and behavioral outcomes. Logistic regression was used to evaluate the
odds of behavioral outcomes by age group, adjusting for sexual orientation and
study location, within strata of HIV status. HIV-positive BMSMW over age 40 had
62% reduced odds of having a nonmain female partner of HIV-negative or unknown
status compared to those ages 40 and under (adjusted odds ratio [AOR] 0.38, 95%
confidence interval [CI] = 0.15, 0.95). Among HIV-negative BMSMW, the older
cohort was associated with greater odds of having condomless insertive anal
intercourse (IAI) with most recent main male partner (AOR 2.44, 95% CI = 1.12,
5.32) and having a concurrent partnership while with their recent main female
partner (AOR = 2.6, 95% CI = 1.10, 4.67). For both groups, odds of engaging in
certain risk behaviors increased with increasing age. Prevention efforts should
consider generational differences and age in HIV risks among BMSMW.
PMID- 29377735
TI - The long-term effects of the Kangaroo Mother Care intervention on cognitive
functioning: Results from a longitudinal study.
AB - This study aimed to evaluate the long-term effects of the Kangaroo Mother Care
(KMC) intervention on the intellectual and attentional functioning of young
adults born with low birth weight. Three hundred infants were randomly assigned
at birth in one of two interventions, KMC or traditional care (TC), and completed
cognitive tests at adulthood (19-21 years after recruitment). The main results
show that participants with a neurological vulnerability at 6 months had higher
IQ and sustained attention scores at adulthood if they had received KMC than if
they had received TC.
PMID- 29377736
TI - Family Related Factors and Concurrent Heroin Use in Methadone Maintenance
Treatment in China.
AB - BACKGROUND: The use of heroin during Methadone Maintenance Treatment (MMT) is a
challenging problem that contributes to poor treatment outcomes. Families may
play an important role in addressing concurrent heroin use during MMT, especially
in collectivist societies such as China. OBJECTIVES: In this study, we explored
the relationship between family-related factors and concurrent heroin use during
MMT in China. METHODS: This study was conducted at 68 MMT clinics in five
provinces of China. There were 2,446 MMT clients in the analysis. Demographic
information, MMT dosage, family members' heroin use status, family support of
MMT, family problem, and self-reported heroin use were collected in a cross
sectional survey. The most recent urinalysis of opiate use was obtained from
clinical records. RESULTS: Of the 2,446 participants, 533 (21.79%) self-reported
heroin use in the previous seven days or had a positive urine morphine test
result in the clinic record. Participants whose family member[s] used heroin were
1.59 times (95% CI: 1.17, 2.15) more likely to use concurrently during treatment.
Those with family members who totally support them on the MMT were less likely to
use (AOR: 0.75, 95% CI: 0.60, 0.94). Having more family problems was positively
associated with concurrent heroin use (AOR: 2.01, 95% CI: 1.03, 3.93).
CONCLUSIONS: The results highlight the importance of the family's role in
concurrent heroin use during MMT programs. The study's findings may have
implications for family-based interventions that address concurrent heroin use.
PMID- 29377737
TI - Eyes on New Product Development: Preclinical Research.
PMID- 29377738
TI - Psychological Factors and Their Association with Ideal Cardiovascular Health
Among Women and Men.
AB - BACKGROUND: The cardiovascular effects of stress and other psychological factors
may be different between women and men. We assessed whether self-perceived
adverse psychological factors were associated with achievement of ideal
cardiovascular health (CVH) as measured by the American Heart Association's
Life's Simple Seven (LS7) and whether this differed by sex. METHODS: This was a
cross-sectional study of employees from a large healthcare organization. The LS7
metrics (smoking, physical activity, diet, body mass index, blood pressure,
cholesterol, and glucose) were each scored as ideal (2), intermediate (1), or
poor (0). Total scores were categorized as optimal (11-14), average (9-10), and
inadequate (0-8). Using logistic regression, we tested whether psychological
factors obtained by questionnaire (self-perceived stress, low life satisfaction,
hopelessness, sadness, depression, and anxiety) were associated with CVH, after
adjustment for age, ethnicity, and education. RESULTS: Among 9,056 participants,
the mean (SD) age was 43 (12) years, 74% were women, 57% Hispanic/Latino, 17%
white, and 16% black. Stress was associated with reduced odds of having
optimal/average CVH [OR 0.58 (95% CI 0.50-0.66) and 0.63 (0.50-0.81), for women
and men, respectively]. Similarly, depression was associated with reduced odds of
optimal/average CVH [0.58 (0.43-0.78) and 0.44 (0.26-0.76), for women and men,
respectively]. Low life satisfaction, hopelessness, sadness, and anxiety were
also associated with statistically significantly lower odds of optimal/average
CVH in women, but not in men; however, there were no interactions by sex.
CONCLUSIONS: In an ethnically diverse population, both women and men with several
adverse self-perceived psychological factors were less likely to have optimal or
adequate CVH. Future studies are needed to determine whether addressing
psychological stressors can improve CVH.
PMID- 29377739
TI - In Vivo and In Vitro Anti-Inflammatory Effects of Aqueous Extract of Anthriscus
sylvestris Leaves.
AB - Anthriscus sylvestris (L.) Hoffm. is a common perennial herb that is widely
distributed in Europe, Korea, and New Zealand. The root of A. sylvestris has been
used in Korean traditional medicine as an antitussive and cough remedy. However,
the physiologically active function of A. sylvestris leaves is not yet known. In
this study, we evaluated the anti-inflammatory effects, as well as the underlying
molecular mechanisms of an aqueous extract of A. sylvestris leaves (AE-ASL) in
vitro and in vivo. Our results indicated that pretreatment with AE-ASL
significantly inhibited the lipopolysaccharide (LPS)-induced secretion of nitric
oxide (NO) and prostaglandin E2 in RAW264.7 cells, without showing cytotoxicity.
In addition, the LPS-induced mRNA and protein expression of inducible NO
synthase, cyclooxygenase-2, and inflammatory mediators such as tumor necrosis
factor alpha interleukin (IL)-1beta, and IL-6 was attenuated by pretreatment with
AE-ASL in a dose-dependent manner. Therefore, we investigated the activation of
nuclear factor (NF)-kappaB, a transcription factor regulating the expression of
inflammation-related genes. AE-ASL inhibited the nuclear translocation of the NF
kappaB p65 subunit by suppressing the phosphorylation and degradation of the
inhibitor of NF-kappaB (IkappaBalpha). Further, AE-ASL inhibited the LPS-induced
phosphorylation of mitogen-activated protein kinases (MAPKs) in RAW264.7 cells.
Orally administered AE-ASL (50, 100, and 200 mg/kg of body weight [BW])
suppressed the development of carrageenan-induced rat paw edema by 15%, 31%, and
40%, respectively, after 4 h. Altogether, our results suggest that AE-ASL
possesses anti-inflammatory activity, based on the suppression of NF-kappaB and
MAPK pathways in vitro and inhibition of the carrageenan-induced paw edema in
vivo.
PMID- 29377740
TI - Impact of 10% SF6 Gas Compared to 100% Air Tamponade in Descemet's Membrane
Endothelial Keratoplasty.
AB - PURPOSE: To compare the clinical outcomes following Descemet's membrane
endothelial keratoplasty (DMEK) with 100% air tamponade versus 10% sulfur
hexafluoride (SF6) tamponade. METHODS: Retrospective analysis of 108 consecutive
DMEK cases subdivided by anterior chamber tamponade with 54 eyes receiving 10%
SF6 and 54 eyes receiving 100% air injection. A post-hoc matched analysis
revealed no statistically significant differences between the groups. The main
outcome measurements were the complication rate, including intra- and
postoperative complications and graft detachment rate requiring re-bubbling.
Clinical outcome included best-corrected visual acuity (BCVA), endothelial cell
count (ECC), and central corneal thickness (CCT) measured 1, 3, and 6 months
after DMEK surgery. RESULTS: The graft detachment rate with consecutive re
bubbling was 18.5% in the air group and 22.2% in the SF6 group (p = 0.2).
Remaining small peripheral graft detachments with a clear cornea occurred more
often in the 100% air group (air: 22.2%; 12/54, 6/12 inferior compared to SF6:
7.4%; 4/54, 2/4 inferior; p = 0.06). The primary graft failure rate was
comparable between the two groups. No complete graft detachment occurred. Outcome
results for BCVA, ECC, and CCT at all follow-up time points were comparable
between the two groups. CONCLUSION: The clinical outcomes (including re-bubbling
rate, primary graft failure rate, and endothelial cell loss) were comparable with
100% air versus 10% SF6 tamponade, whereas other studies suggest that a higher
SF6 concentration (20%) may result in a lower re-bubbling rate.
PMID- 29377733
TI - Cortical Abnormalities Associated With Pediatric and Adult Obsessive-Compulsive
Disorder: Findings From the ENIGMA Obsessive-Compulsive Disorder Working Group.
AB - OBJECTIVE: Brain imaging studies of structural abnormalities in OCD have yielded
inconsistent results, partly because of limited statistical power, clinical
heterogeneity, and methodological differences. The authors conducted meta- and
mega-analyses comprising the largest study of cortical morphometry in OCD ever
undertaken. METHOD: T1-weighted MRI scans of 1,905 OCD patients and 1,760 healthy
controls from 27 sites worldwide were processed locally using FreeSurfer to
assess cortical thickness and surface area. Effect sizes for differences between
patients and controls, and associations with clinical characteristics, were
calculated using linear regression models controlling for age, sex, site, and
intracranial volume. RESULTS: In adult OCD patients versus controls, we found a
significantly lower surface area for the transverse temporal cortex and a thinner
inferior parietal cortex. Medicated adult OCD patients also showed thinner
cortices throughout the brain. In pediatric OCD patients compared with controls,
we found significantly thinner inferior and superior parietal cortices, but none
of the regions analyzed showed significant differences in surface area. However,
medicated pediatric OCD patients had lower surface area in frontal regions.
Cohen's d effect sizes varied from -0.10 to -0.33. CONCLUSIONS: The parietal
cortex was consistently implicated in both adults and children with OCD. More
widespread cortical thickness abnormalities were found in medicated adult OCD
patients, and more pronounced surface area deficits (mainly in frontal regions)
were found in medicated pediatric OCD patients. These cortical measures represent
distinct morphological features and may be differentially affected during
different stages of development and illness, and possibly moderated by disease
profile and medication.
PMID- 29377741
TI - The effect of a local promotional campaign on preconceptional lifestyle changes
and the use of preconception care.
AB - PURPOSE: The objective of this study was to investigate the effect of a local
promotional campaign on preconceptional lifestyle changes and the use of
preconception care (PCC). MATERIAL AND METHODS: This quasi-comparative study was
carried out between February 2015 and February 2016 at a community midwifery
practice in the Netherlands. The intervention consisted of a dual track approach
(i) a promotional campaign for couples who wish to conceive and (ii) a PCC
pathway for health care providers. Questionnaires were collected from a sample of
women who received antenatal care during the pre-intervention (n = 283) and post
intervention (n = 257) period. Main outcome measures were preconceptional
lifestyle changes and PCC use (defined as searching for information and/or
consulting a health care provider). RESULTS: Women who were exposed to the
intervention were significantly more likely to make at least one lifestyle change
during the preconception period [adjusted OR 1.56 (95% CI 1.02-2.39)]. Women were
especially more likely to preconceptionally reduce or quit [adjusted OR 1.72 (95%
CI 1.05-2.83)] their alcohol consumption after exposure to the intervention.
Although non-significant, it appeared that women who were exposed to the
intervention more often prepared themselves for pregnancy by means of
independently searching for preconception health information [adjusted OR 1.13
(95% CI 0.77-1.65)] or consulting a health care provider regarding their wish to
conceive [adjusted OR 1.24 (95% CI 0.81-1.92)]. CONCLUSIONS: Exposure to a local
promotional campaign targeted at preconceptional health was associated with
improved preconceptional lifestyle behaviours, especially with regard to alcohol
consumption, and has the potential to improve the use of PCC.
PMID- 29377742
TI - Novel compound heterozygous mutation in the POC1B gene underlie peripheral cone
dystrophy in a Chinese family.
AB - PURPOSE: To describe the clinical characteristics of a Chinese family with
peripheral cone dystrophy (PCD) and identify the gene mutations causing PCD.
METHODS: The Chinese PCD pedigree underwent comprehensive ophthalmic
examinations, including visual acuity, slit lamp examination, fundoscopy, visual
field examination, autofluorescence, fluorescence fundus angiography and
indocyanine green angiography, full-field electroretinograms, and spectral-domain
optical coherence tomography. The targeted next-generation sequencing of COD or
cone-rod dystrophy (CORD) genes was used to identify the causative mutation.
RESULT: The fundus characteristics of the Chinese patient were consistent with
PCD. The novel compound heterozygous mutation, c.1354C>T and c.710A>G, in POC1B
was identified in the patient, the mutations were segregated with the PCD
phenotype in the family and were absent from ethnically matched control
chromosomes. Prediction analysis demonstrated the novel missense mutation, POC1B
c.710A>G, might be damaging. CONCLUSIONS: PCD was a type of COD or CORD and the
novel compound heterozygous mutation in POC1B was responsible for PCD phenotype
in the family.
PMID- 29377744
TI - Misdiagnosis of X-linked retinitis pigmentosa in a choroideremia patient with
heavily pigmented fundi.
AB - Inherited retinal diseases are thought to be the leading cause of sight loss in
the working age population. Mutations found in the RPGR and CHM genes cause
retinitis pigmentosa (RP) and choroideremia, respectively. In the first instance,
an X-linked family history of visual field loss commonly raises the suspicion of
one of these two genes. In choroideremia, the classic description of a white
fundal reflex secondary to the widespread chorioretinal degeneration was made
over a hundred years ago in Caucasians. But, it is not so obvious in heavily
pigmented fundi. Hence, the clinical diagnosis of CHM in non-Caucasian patients
may be challenging in the first stages of the disease. Here we report a case of a
Southeast Asian gentleman who has a family history of X-linked retinal
degeneration and was found to have a confirmed in-frame deletion of 12 DNA
nucleotides in exon 15 of the RPGR gene. Later in life, however, his fundal
appearance showed unusual areas of circular pigment hypertrophy and clumping. He
was therefore tested for carrying a disease-causing mutation in the CHM gene and
a null mutation was found. Since gene therapy trials are ongoing for both of
these conditions, it has now become critically important to establish the correct
genetic diagnosis in order to recruit suitable candidates. Moreover, this case
demonstrates the necessity to remain vigilant in the interpretation of genetic
results which are inconsistent with clinical features.
PMID- 29377743
TI - Leptin Upregulates Peripheral CD4+CXCR5+ICOS+ T Cells via Increased IL-6 in
Rheumatoid Arthritis Patients.
AB - CD4+CXCR5+ICOS+ T cells, known as Tfh (T Follicular helper) cells, are required
for antibody production. Abnormal production and differentiation of Tfh cells are
involved in many autoimmune diseases, including rheumatoid arthritis (RA). Leptin
has the property of modulating immune system. Here, we explored the effect of
leptin on CD4+CXCR5+ICOS+ T cells production in RA patients. Serum leptin levels
were measured by enzyme-linked immunosorbent assay (ELISA). Peripheral blood
mononuclear cells (PBMC) stimulated with CD3/CD28 were cultured in the presence
and absence of leptin and with or without anti-IL-6 receptor (anti-IL-6R), anti
IL-21R, and anti-IL-12R antibody respectively. IL-6, IL-21, and IL-12 levels were
determined by ELISA. Bcl-6 was detected by reverse transcription-polymerase chain
reaction. STAT1, pSTAT1, STAT3, and pSTAT3 were examined by western blot. We
found that leptin levels were higher in RA patients than healthy controls. Leptin
stimulated RA PBMC upregulated CD4+CXCR5+ICOS+ T cells, along with increased IL
6, IL-21, and IL-12.CD4+CXCR5+ICOS+ T cells, Bcl-6 mRNA expression, pSTAT1, and
pSTAT3 obviously declined when anti-IL-6R antibody was added into leptin-treated
RA PBMC, which suggested that leptin upregulated RA CD4+CXCR5+ICOS+ T cells via
increased IL-6 by activation of STAT1 and STAT3. We presented an innovative
mechanism on how leptin participated in RA pathogenesis.
PMID- 29377745
TI - Shoulder and elbow range of motion for the performance of activities of daily
living: A systematic review.
AB - The loss of range of motion (ROM) in the upper extremities can interfere with
activities of daily living (ADL) and, therefore, many interventions focus on
improving impaired ROM. The question, however, is what joint angles are needed to
naturally perform ADL. The present review aimed to compile and synthesize data
from literature on shoulder and elbow angles that unimpaired participants used
when performing ADL tasks. A search was conducted in PubMed, Cochrane, Scopus,
CINAHL, and PEDro. Studies were eligible when shoulder (flexion, extension,
abduction, adduction) and/or elbow (flexion, extension) angles were measured in
unimpaired participants who were naturally performing ADL tasks, and angles were
provided per task. Thirty-six studies involving a total of 66 ADL tasks were
included. Results demonstrated that unimpaired participants used up to full elbow
flexion (150 degrees ) in personal care, eating, and drinking tasks. For shoulder
flexion and abduction approximately 130 degrees was necessary. Specific ADL
tasks were measured often, however, almost never for tasks such as dressing. The
synthesized information can be used to interpret impairments on the individual
level and to establish rehabilitation goals in terms of function and prevention
of secondary conditions due to excessive use of compensatory movements.
PMID- 29377746
TI - Novel retinal findings in peroxisomal biogenesis disorders.
AB - Peroxisomal biogenesis disorders are caused by disruption of long chain fatty
acid metabolism due to mutations in PEX genes. Individuals with these disorders
often have vision loss due to optic atrophy and pigmentary retinopathy. We report
an unusual retinal manifestation of peroxisomal biogenesis disorder.
PMID- 29377747
TI - Sex-Related Anemia Contributes to Disparities in Outcome of Patients Younger Than
60 Years with ST-Elevation Myocardial Infarction.
AB - BACKGROUND: Younger women with ST-segment elevation myocardial infarction (STEMI)
have a worse outcome than their age-matched male peers. Our aim was to assess
whether there are sex-based differences in anemia on admission, and if they are
associated with the outcome of patients with STEMI younger than 60 years
undergoing primary percutaneous coronary intervention (PCI). MATERIALS AND
METHODS: Data of 2095 STEMI patients, 804 of whom were younger than 60 years,
were analyzed. Data were analyzed using descriptive statistics. All-cause 30-day
and 2-year mortality were documented. RESULTS: Women had a higher prevalence of
anemia compared with men on admission (34.4% in women vs. 20.0% in men; p <
0.0001). The 30-day mortality was similar in both groups (5.5% in women vs. 3.3%
in men; p = 0.17). Anemia on admission, age, cardiogenic shock, and diabetes
predicted higher 30-day mortality. Two-year mortality was higher in women (9.8%
in women vs. 4.8% in men; p = 0.023). Anemia on admission, age, cardiogenic
shock, and diabetes were identified as independent predictors of 2-year
mortality. Sex was not associated with 30-day or 2-year mortality. CONCLUSIONS:
We found sex-based differences in anemia on admission in STEMI patients younger
than 60 years. Anemia, but not sex, was linked to 30-day and 2-year mortality.
Anemia on admission in women younger than 60 years may help to explain the
increased 2-year sex-related mortality in younger STEMI patients undergoing
primary PCI.
PMID- 29377748
TI - Long-term follow-up of autosomal dominant Stargardt macular dystrophy (STGD3)
subjects enrolled in a fish oil supplement interventional trial.
AB - BACKGROUND: Earlier studies have raised the notion that docosahexaenoic acid
(DHA) and eicosapentaenoic acid (EPA) supplementation could be a useful
intervention in autosomal dominant Stargardt macular dystrophy (STGD3). We sought
to assess whether fish oil supplementation has a beneficial effect on the
clinical course of STGD3 secondary to a mutation in the ELOVL4 gene. MATERIALS
AND METHODS: Eleven patients with STGD3 were enrolled in an 8-year open-label,
clinical interventional study of over-the-counter fish oil supplements at a
recommended daily dose of 650 mg EPA and 350 mg DHA (NCT00420602). Subjects had
annual eye examinations with complete imaging, visual function testing, and blood
lipid analyses. Compliance with therapy was measured by periodic patient self
report and with serum and red blood cell biomarkers of lipid consumption. Paired
sample t-tests were used to measure differences in mean values of visual acuity,
lipid biomarkers, and contrast sensitivity obtained at baseline and the last
follow-up. RESULTS: All subjects showed progression of their maculopathy, and we
could not discern a beneficial effect of the intervention. Compliance with the
recommended fish oil supplement intervention was poor as assessed by patient self
report and biomarkers of lipid consumption. CONCLUSIONS: Our inability to detect
a benefit of fish oil could be the result of small subject numbers, poor
compliance, or intervention too late in the course of the disease. We still
advise STGD3 patients to consume fish or fish oil regularly, and we recommend
that pre-symptomatic children with ELOVL4 mutations should be especially targeted
for these interventions.
PMID- 29377749
TI - The Fear of the Unknown Prevents Women from Participating in Clinical Trials.
PMID- 29377750
TI - Tracking Outcomes of Snake Fungal Disease in Free-ranging Pygmy Rattlesnakes (
Sistrurus miliarius).
AB - Snake fungal disease (SFD) is caused by the fungus Ophidiomyces ophiodiicola and
its documentation in wild snake populations has risen sharply in the past decade.
Little is known regarding the fate of individual, free-ranging snakes afflicted
with SFD. We monitored an afflicted population of pygmy rattlesnakes ( Sistrurus
miliarius) at Lake Woodruff National Wildlife Refuge in Central Florida, US for 2
yr. The severity of SFD in individual snakes was unrelated to the probability of
their recapture later in the study, and half of the snakes diagnosed as severely
infected at the onset of the study were recaptured later with no clinical signs
of SFD. The clinical progress of 12 serially recaptured individuals also showed
that individuals cleared the infection and fluctuated between no or low and
severe clinical signs over the 2-yr study.
PMID- 29377751
TI - A SEROLOGIC SURVEY OF PATHOGENS IN WILD BOAR ( SUS SCROFA) IN SWEDEN.
AB - The wild boar ( Sus scrofa) population has increased markedly during the last
three decades in Sweden and in other parts of Europe. This population growth may
lead to increased contact between the wild boar and the domestic pig ( Sus scrofa
scrofa), increasing the risk of transmission of pathogens. The objective of our
study was to estimate the seroprevalence of selective pathogens, known to be
shared between wild boars and domestic pigs in Europe, in three wild boar
populations in Sweden. In total, 286 hunter-harvested female wild boars were
included in this study. The sera were analyzed for antibodies against nine
pathogens using different commercial or in-house enzyme-linked immunosorbent
assays. Antibodies were detected against porcine parvovirus (78.0%), porcine
circovirus type 2 (99.0%), swine influenza virus (3.8%), Erysipelothrix
rhusiopathiae (17.5%), Mycoplasma hyopneumoniae (24.8%), and Toxoplasma gondii
(28.6%). No antibodies were detected against porcine respiratory and reproductive
syndrome virus, Brucella suis, or Mycobacterium bovis. Our results highlight the
potential importance of the wild boar as a reservoir for pathogens potentially
transmissible to domestic pigs and which also may affect human health.
PMID- 29377752
TI - Babesia vesperuginis in Common Pipistrelle ( Pipistrellus pipistrellus) and the
Bat Soft Tick Argas vespertilionis in the People's Republic of China.
AB - Babesia vesperuginis was molecularly detected in 10% (5/48) of common pipistrelle
bats ( Pipistrellus pipistrellus) in Shihezi City, Northwestern China.
Interestingly, four bat ticks ( Argas vespertilionis), from Babesia DNA-positive
common pipistrelle bats, were also positive for B. vesperuginis. Our findings
extend the geographic range of the common pipistrelle bat as a reservoir of B.
vesperuginis in Asia.
PMID- 29377753
TI - Flight Versus Ground Out-of-hospital Rapid Sequence Intubation Success: a
Systematic Review and Meta-analysis.
AB - INTRODUCTION: Endotracheal intubation (ETI) is a critical procedure performed by
both air medical and ground based emergency medical services (EMS). Previous work
has suggested that ETI success rates are greater for air medical providers.
However, air medical providers may have greater airway experience, enhanced
airway education, and access to alternative ETI options such as rapid sequence
intubation (RSI). We sought to analyze the impact of the type of EMS on RSI
success. METHODS: A systematic literature search of Medline, Embase, and the
Cochrane Library was conducted and eligibility, data extraction, and assessment
of risk of bias were assessed independently by two reviewers. A bias-adjusted
meta-analysis using a quality-effects model was conducted for the primary
outcomes of overall intubation success and first-pass intubation success.
RESULTS: Forty-nine studies were included in the meta-analysis. There was no
difference in the overall success between flight and ground based EMS; 97% (95%
CI 96-98) vs. 98% (95% CI 91-100), and no difference in first-pass success for
flight compared to ground based RSI; 82% (95% CI 73-89) vs. 82% (95% CI 70-93).
Compared to flight non-physicians, flight physicians have higher overall success
99% (95% CI 98-100) vs. 96% (95% CI 94-97) and first-pass success 89% (95% CI 77
98) vs. 71% (95% CI 57-84). Ground-based physicians and non-physicians have a
similar overall success 98% (95% CI 88-100) vs. 98% (95% CI 95-100), but no
analysis for physician ground first pass was possible. CONCLUSIONS: Both overall
and first-pass success of RSI did not differ between flight and road based EMS.
Flight physicians have a higher overall and first-pass success compared to flight
non-physicians and all ground based EMS, but no such differences are seen for
ground EMS. Our results suggest that ground EMS can use RSI with similar outcomes
compared to their flight counterparts.
PMID- 29377754
TI - Referral Practices Among U.S. Publicly Funded Health Centers That Offer Family
Planning Services.
AB - BACKGROUND: Referrals to other medical services are central to healthcare,
including family planning service providers; however, little information exists
on the nature of referral practices among health centers that offer family
planning. MATERIALS AND METHODS: We used a nationally representative survey of
administrators from 1,615 publicly funded health centers that offered family
planning in 2013-14 to describe the use of six referral practices. We focused on
associations between various health center characteristics and frequent use of
three active referral practices. RESULTS: In the prior 3 months, a majority of
health centers (73%) frequently asked clients about referrals at clients' next
visit. Under half (43%) reported frequently following up with referral sources to
find out if their clients had been seen. A third (32%) of all health centers
reported frequently using three active referral practices. In adjusted analysis,
Planned Parenthood clinics (adjusted odds ratio 0.55) and hospital-based clinics
(AOR 0.39) had lower odds of using the three active referral practices compared
with health departments, and Title X funding status was not associated with the
outcome. The outcome was positively associated with serving rural areas (AOR
1.39), having a larger client volume (AOR 3.16), being a part of an insurance
network (AOR 1.42), and using electronic health records (AOR 1.62). CONCLUSIONS:
Publicly funded family planning providers were heavily engaged in referrals.
Specific referral practices varied widely and by type of care. More assessment of
these and other aspects of referral systems and practices is needed to better
characterize the quality of care.
PMID- 29377755
TI - Quality of Life Outcomes for Cabozantinib Versus Everolimus in Patients With
Metastatic Renal Cell Carcinoma: METEOR Phase III Randomized Trial.
AB - Purpose In the phase III METEOR trial ( ClinicalTrials.gov identifier:
NCT01865747), 658 previously treated patients with advanced renal cell carcinoma
were randomly assigned 1:1 to receive cabozantinib or everolimus. The
cabozantinib arm had improved progression-free survival, overall survival, and
objective response rate compared with everolimus. Changes in quality of life
(QoL), an exploratory end point, are reported here. Patients and Methods Patients
completed the 19-item Functional Assessment of Cancer Therapy-Kidney Symptom
Index (FKSI-19) and the five-level EuroQol (EQ-5D-5L) questionnaires at baseline
and throughout the study. The nine-item FKSI-Disease-Related Symptoms (FKSI-DRS),
a subset of FKSI-19, was also investigated. Data were summarized descriptively
and by repeated-measures analysis (for which a clinically relevant difference was
an effect size >= 0.3). Time to deterioration (TTD) was defined as the earlier of
date of death, radiographic progressive disease, or >= 4-point decrease from
baseline in FKSI-DRS. Results The QoL questionnaire completion rates remained >=
75% through week 48 in each arm. There was no difference over time for FKSI-19
Total, FKSI-DRS, or EQ-5D data between the cabozantinib and everolimus arms.
Among the individual FKSI-19 items, cabozantinib was associated with worse
diarrhea and nausea; everolimus was associated with worse shortness of breath.
These differences are consistent with the adverse event profile of each drug.
Cabozantinib improved TTD overall, with a marked improvement in patients with
bone metastases at baseline. Conclusion In patients with advanced renal cell
carcinoma, relative to everolimus, cabozantinib generally maintained QoL to a
similar extent. Compared with everolimus, cabozantinib extended TTD overall and
markedly improved TTD in patients with bone metastases.
PMID- 29377756
TI - Intravitreal Dexamethasone Implant for Postvitrectomy Macular Edema: A
Retrospective Cohort of Patients with Postvitrectomy Macular Edema Treatment.
AB - PURPOSE: To evaluate the clinical findings and the efficacy of dexamethasone
intravitreal implant in patients with postvitrectomy macular edema. METHODS: The
records of 14 patients diagnosed with postvitrectomy macular edema unresponsive
to first-line treatment, treated with intravitreal dexamethazone implant
injection and followed at least 6 months between October 2011 and May 2016 were
retrospectively reviewed. All patients underwent standard ophtalmological
examination, fluorescein angiography, and optical coherence tomography. Best
corrected visual acuity (BCVA), central macular thickness (CMT), and intraocular
pressures (IOPs) were recorded at baseline, at first and sixth months after the
injection. RESULTS: The mean BCVA, which was 0.2 +/- 0.14 logMAR at baseline
significantly increased to 0.44 +/- 0.2 logMAR at first month (P = 0.05) and to
0.4 +/- 0.22 logMAR at sixth month of intravitreal dexamethazone implant
injection (P = 0.01). CMT was also significantly decreased to 368.3 +/- 90.4 MUm
at first month and to 323 +/- 84.6 MUm at sixth month from pre-injection value of
520.7 +/- 90.3 MUm (P = 0.001 and P = 0.002, respectively). IOP significantly
increased from was 15 +/- 2.1 mmHg at baseline to 20.6 +/- 5.4 mmHg at first
month of intravitreal dexamethazone implant injection (P = 0.01). CONCLUSION:
Dexamethazone implant treatment may reduce CMT and improve BCVA in cases with
postvitrectomy macular edema. IOP should be regularly screened in these cases.
PMID- 29377758
TI - Levorphanol for Treatment of Intractable Neuropathic Pain in Cancer Patients.
AB - Neuropathic pain in cancer patients is often difficult to treat, requiring a
combination of several different pharmacological therapies. We describe two
patients with complex neuropathic pain syndromes in the form of phantom limb pain
and Brown-Sequard syndrome who did not respond to conventional treatments but
responded dramatically to the addition of levorphanol. Levorphanol is a synthetic
strong opioid that is a potent N-methyl-d-aspartate receptor antagonist, mu,
kappa, and delta opioid receptor agonist, and reuptake inhibitor of serotonin and
norepinephrine. It bypasses hepatic first-pass metabolism and thereby not
subjected to numerous drug interactions. Levorphanol's unique profile makes it a
potentially attractive opioid in cancer pain management.
PMID- 29377757
TI - Contraceptive Method Use and Chlamydia Positivity Among California Family
Planning Clients: The Case for New Multipurpose Prevention Technologies.
AB - BACKGROUND: Adolescent girls and young women experience high rates of sexually
transmitted infection (STI) with currently available contraceptive methods, yet
few studies examine the burden of chlamydial infection by contraceptive method
used. MATERIALS AND METHODS: In this cross-sectional analysis, we linked July
2012-June 2013 claims from a publicly-funded family planning program in
California to chlamydia laboratory test results. Female clients were classified
by the most effective contraceptive method reported by providers during the year:
tier 1 (high-efficacy permanent or long-acting reversible methods), tier 2
(shorter-acting hormonal methods), or tier 3 (barrier methods, emergency
contraception, or natural family planning). In addition, we identified clients
who received condoms from providers. We used log-binomial models to estimate
adjusted prevalence ratios comparing chlamydia positivity by contraceptive
method(s). RESULTS: Of 74,636 female clients of ages 15-29 years with chlamydia
test results, 5.1% had at least one positive test during the year. Chlamydia
positivity was highest among tier 2 users (5.3%) compared with 4.5% and 4.9%
among tiers 1 and 3 users, respectively (p < 0.001). Positivity was higher among
clients who received condoms from providers than those who did not (6.3% vs.
4.3%, p < 0.001). In adjusted analyses, there were no significant differences in
positivity by contraceptive tier. However, clients who received condoms had 1.32
(95% confidence interval: 1.24-1.40) times the positivity of those who did not.
CONCLUSIONS: We found high chlamydia positivity among young female family
planning clients regardless of contraceptive method. The development and
provision of additional Multipurpose Prevention Technologies that confer
protection against both pregnancy and STIs may help to address unmet need for STI
prevention.
PMID- 29377759
TI - Stability study of veterinary drugs in standard solutions for LC-MS/MS screening
in food.
AB - A study on stability of veterinary drugs in standard solutions stored at -80
degrees C and at -20 degrees C was conducted over 1 year. Data were acquired on
152 individual stock standard solutions and also on 15 family mixes and 2 working
standard solutions. All solutions were prepared, stored and compared 1 year later
against freshly prepared ones by LC-MS/MS. A statistical analysis was performed
to set the acceptability criteria, taking into account the variability of
standard preparations. In individual stock standard solutions stored at -80
degrees C (12 months) and -20 degrees C (9 months), stability was demonstrated
for 141 and 140 out of 152 compounds, i.e. for 92% and 93% of compounds,
respectively. Drugs were even more stable when solubilised in either diluted
family mixes or working standard solutions, with more than 99% and 94% of
compounds found unaltered when stored at -80 degrees C and at -20 degrees C,
respectively. In mixes, beta-lactams from the cephalosporin (cefadroxil and
cephalexin) and penicillin (amoxicillin and ampicillin) families were found to be
the least stable compounds when stored at -20 degrees C (6 months), necessitating
storage at -80 degrees C to achieve a 1-year shelf life. The study also evidenced
solubility issues for two sulfonamides (sulfadiazine and sulfamerazine) in
methanol-based solutions. An independent stability study conducted by a second
laboratory confirmed the 1-year stability of 3 family mixes-quinolones,
sulfonamides and tetracyclines.
PMID- 29377760
TI - Prevalence of Self-Reported Diabetes by Sexual Orientation: Results from the 2014
Behavioral Risk Factor Surveillance System.
AB - PURPOSE: This study aimed to compare the prevalence of self-reported diabetes and
diabetes risk factors among adult sexual minority and heterosexual populations in
the United States. METHODS: Data from the 2014 Behavioral Risk Factor
Surveillance System for 3776 lesbian, gay, and bisexual (LGB) adults and 142,852
heterosexual adults aged 18 years and older were used to estimate the prevalence
of diabetes. Binomial logistic regression models were used to compare the odds of
diabetes by sexual orientation. RESULTS: Sexual minorities were younger and more
racially diverse than heterosexuals. Gay men less often and lesbian and bisexual
women more often reported a body mass index of 30 kg/m2 or higher than
heterosexuals. Overall, 14.2% of bisexual men, 11.4% of gay men, and 10.8% of
heterosexual men reported a lifetime diabetes diagnosis, as did 8.5% of lesbian
women, 5.7% of bisexual women, and 10.2% of heterosexual women. After controlling
for multiple factors, gay (odds ratio [OR] = 1.50; confidence interval [95% CI] =
1.09-2.07) and bisexual men [OR = 1.55; 95% CI = 1.00-2.07] were more likely to
report a lifetime diabetes diagnosis than heterosexual men. Similar differences
were not found for lesbian [OR = 1.22; 95% CI = 0.76-1.95] or bisexual women [OR
= 0.88; 95% CI = 0.62-1.26]. CONCLUSION: Sexual minorities may be at increased
risk for diabetes than their heterosexual peers. This may be due partly to the
chronic stressors associated with being a member of a marginalized population.
Future research should explore the underlying causes and consequences of LGB
diabetes disparities and elucidate best practices to improve diabetes screening
and care for these vulnerable patient populations.
PMID- 29377761
TI - Ozone treatment to reduce deoxynivalenol (DON) and zearalenone (ZEN)
contamination in wheat bran and its impact on nutritional quality.
AB - Wheat bran is an important source for human and animal feed. Its nutritional
aspects include a high content of fibre and minerals, as well as phenolic
compounds that help prevent chronic diseases. However, wheat can be susceptible
to contamination by fungus, which can produce mycotoxins such as deoxynivalenol
(DON) and zearalenone (ZEN), causing adverse health effects. Therefore, methods
should be developed to reduce possible contamination. Ozone can be used for this
purpose as it is considered safe and environmental friendly. The aim of this
study was to evaluate the reduction of DON and ZEN concentrations in wheat bran
using the ozonation process as well as to evaluate the effect of ozonation on the
nutritional quality of bran. Considering this, wheat bran naturally contaminated
with both DON and ZEN was processed using ozone at different conditions. The
nutritional quality of the bran was evaluated after processing considering the
following aspects: the total phenolic content and the bran antioxidant capacity
(by using both DPPH and ABTS radicals). The results showed that the degradation
of ZEN was higher and faster than the degradation of DON, which could be
explained by their molecular structures. The total phenolic content and
antioxidant capacity of the bran were not affected by the ozonation process,
which is preferable from a nutritional point of view. Therefore, ozonation was
demonstrated to be a possible method for reducing mycotoxins in wheat bran,
although more studies are needed in order to better understand and optimise
processing and product quality.
PMID- 29377762
TI - They Can Handle the Stress: MPK17 and PMD1 act in a salt-specific pathway.
AB - Arabidopsis MAP KINASE17 (MPK17) was recently identified as a novel regulator of
peroxisome division in response to salt stress. Further, the known peroxisome
division factor PEROXISOME AND MITOCHONDRIAL DIVISION FACTOR1 (PMD1) genetically
acts downstream of MPK17. We previously showed that mutants defective in either
MPK17 or PMD1 fail to proliferate peroxisomes in response to NaCl stress. Here,
we show that, unlike their abnormal NaCl responses, mpk17 and pmd1 mutants
display wild type responses to other stresses known to alter peroxisome
proliferation, suggesting that plants distinguish among peroxisome division
inducing stresses and alter the peroxisome division pathway based on the stress
applied.
PMID- 29377764
TI - Dietary Fiber, C-Reactive Protein, and Leisure-Time Physical Activity Among U.S.
Adults.
AB - BACKGROUND: Some evidence suggests an inverse association between increased fiber
intake and C-reactive protein (CRP). However, few studies have examined the
associations among CRP, dietary fiber, and leisure-time physical activity (LTPA)
in a nationally representative sample of U.S. adults. METHODS: Sample (n = 8372)
included adults (>=20 years of age) who participated in the 2007-2010 National
Health and Nutrition Examination Survey. Tertiles of reported fiber intake were
created. The dependent variable was elevated CRP (>3-10 mg/L). Logistic
regression models were stratified by LTPA participation and adjusted for age,
gender, race, waist circumference (WC), and standing height. RESULTS: In adults
reporting any volume of LTPA participation, increased fiber intake was
significantly (P < 0.05 for the upper tertile of fiber intake) associated with
lower odds of having an elevated CRP concentration when compared with the lowest
tertile. Similar associations were not revealed in analyses limited to adults
reporting no LTPA participation. After additional adjustment for WC and standing
height, this protective association was no longer statistically significant.
CONCLUSIONS: Results suggest that WC and standing height may mediate the
beneficial association between increased fiber intake and lower odds of elevated
CRP in adults reporting LTPA participation.
PMID- 29377763
TI - EGFRvIII expression triggers a metabolic dependency and therapeutic vulnerability
sensitive to autophagy inhibition.
AB - Expression of EGFRvIII is frequently observed in glioblastoma and is associated
with increased cellular proliferation, enhanced tolerance to metabolic stresses,
accelerated tumor growth, therapy resistance and poor prognosis. We observed that
expression of EGFRvIII elevates the activation of macroautophagy/autophagy during
starvation and hypoxia and explored the underlying mechanism and consequence.
Autophagy was inhibited (genetically or pharmacologically) and its consequence
for tolerance to metabolic stress and its therapeutic potential in (EGFRvIII+)
glioblastoma was assessed in cellular systems, (patient derived) tumor
xenopgrafts and glioblastoma patients. Autophagy inhibition abrogated the
enhanced proliferation and survival advantage of EGFRvIII+ cells during stress
conditions, decreased tumor hypoxia and delayed tumor growth in EGFRvIII+ tumors.
These effects can be attributed to the supporting role of autophagy in meeting
the high metabolic demand of EGFRvIII+ cells. As hypoxic tumor cells greatly
contribute to therapy resistance, autophagy inhibition revokes the radioresistant
phenotype of EGFRvIII+ tumors in (patient derived) xenograft tumors. In line with
these findings, retrospective analysis of glioblastoma patients indicated that
chloroquine treatment improves survival of all glioblastoma patients, but
patients with EGFRvIII+ glioblastoma benefited most. Our findings disclose the
unique autophagy dependency of EGFRvIII+ glioblastoma as a therapeutic
opportunity. Chloroquine treatment may therefore be considered as an additional
treatment strategy for glioblastoma patients and can reverse the worse prognosis
of patients with EGFRvIII+ glioblastoma.
PMID- 29377766
TI - High occurrence rates of enrofloxacin and ciprofloxacin residues in retail
poultry meat revealed by an ultra-sensitive mass-spectrometric method, and
antimicrobial resistance to fluoroquinolones in Campylobacter spp.
AB - An ultra-sensitive mass spectrometric confirmation and quantification method for
the determination of selected fluoroquinolones-enrofloxacin and its main
metabolite ciprofloxacin-was developed and validated in poultry meat samples. The
achieved limits of quantification were 1 ng kg-1 for enrofloxacin and 10 ng kg-1
for ciprofloxacin. The analysis of 40 retail poultry samples originating from
Estonia, Latvia, Lithuania, Poland and France revealed that 93% of samples
contained residues of enrofloxacin in the range from 3.3 to 1126 ng kg-1.
Previous studies have shown high levels of antimicrobial resistance to
fluoroquinolones, particularly in Campylobacter spp. and various faecal
indicators isolated from broiler meat. Consequently, the revealed widespread
usage of fluoroquinolones in the poultry industry may result in the further
emergence of antimicrobial resistance of Campylobacter in the food chain.
PMID- 29377765
TI - Autophagy contributes to sulfonylurea herbicide tolerance via GCN2-independent
regulation of amino acid homeostasis.
AB - Sulfonylurea (SU) herbicides inhibit branched-chain amino acid (BCAA)
biosynthesis by targeting acetolactate synthase. Plants have evolved target-site
resistance and metabolic tolerance to SU herbicides; the GCN2 (general control
non-repressible 2) pathway is also involved in SU tolerance. Here, we report a
novel SU tolerance mechanism, autophagy, which we call 'homeostatic tolerance,'
is involved in amino acid signaling in Arabidopsis. The activation and reversion
of autophagy and GCN2 by the SU herbicide tribenuron-methyl (TM) and exogenous
BCAA, respectively, confirmed that TM-induced BCAA starvation is responsible for
the activation of autophagy and GCN2. Genetic and biochemical analyses revealed a
lower proportion of free BCAA and more sensitive phenotypes in atg5, atg7, and
gcn2 single mutants than in wild-type seedlings after TM treatment; the lowest
proportion of free BCAA and the most sensitive phenotypes were found in atg5 gcn2
and atg7 gcn2 double mutants. Immunoblotting and microscopy revealed that TM
induced activation of autophagy and GCN2 signaling do not depend on the presence
of each other, and these 2 pathways may serve as mutually compensatory mechanisms
against TM. TM inhibited the TOR (target of rapamycin), and activated autophagy
in an estradiol-induced TOR RNAi line, suggesting that TM-induced BCAA starvation
activates autophagy, probably via TOR inactivation. Autophagy and GCN2 were also
activated, and independently contributed to TM tolerance in plants conferring
metabolic tolerance. Together, these data suggest that autophagy is a proteolytic
process for amino acid recycling and contributes to GCN2-independent SU
tolerance, probably by its ability to replenish fresh BCAA.
PMID- 29377767
TI - Dietary exposure to cadmium of Shenzhen adult residents from a total diet study.
AB - Cadmium (Cd) contamination has become a public health concern in recent decades.
The aim of the present study was to assess the contribution of dietary Cd
exposure and its health risk among Shenzhen adult residents using the Total Diet
Study (TDS) approach. Cd was determined in 13 food groups using 276 individual
samples by ICP-MS. The major food contributors to Cd exposure of Shenzhen adult
residents were 'Vegetables' (32.6% of the total exposure), 'Rice and its
products' (19.2% of the total exposure) and 'Fish, seafood and shellfish' (18.5%
of the total exposure). The mean and the 95th percentile dietary exposure to Cd
of Shenzhen adults were 9.9 and 13 MUg kg-1 bw month-1, respectively. The dietary
exposures of all individual age-gender population subgroups were below the
provisional tolerable monthly intake (PTMI = 25 MUg kg-1 bw month-1), so the
health risk of Cd dietary exposure of Shenzhen adults is considered to be low,
but still, cadmium pollution should be strictly controlled and monitored
continuously due to an exceptionally long biological half-life of cadmium.
PMID- 29377768
TI - Immunohistochemical Analysis of Inflammatory Rheumatoid Synovial Tissues Using
Anti-Human Podoplanin Monoclonal Antibody Panel.
AB - Podoplanin (PDPN) is a transmembrane sialoglycoprotein, which is expressed in
several normal tissues and malignant tumors. Although PDPN expression in
rheumatoid arthritis (RA) has been reported, the role of PDPN in RA and other
arthritic conditions has not been fully elucidated. In this study, we examined
PDPN expression in inflammatory synovial tissues using an anti-human PDPN (hPDPN)
monoclonal antibody (mAb) panel to select the most useful one for evaluation of
synovitis. Synovial tissue samples were obtained from 11 RA patients and 9
osteoarthritis (OA) patients undergoing joint surgery. PDPN-positive cells were
immunostained by a panel of PDPN mAbs (NZ-1, LpMab-3, LpMab-7, LpMab-10, LpMab
12, LpMab-13, and LpMab-17), followed by cell grading of inflammation and cell
counting of PDPN-positivity by a quantitative analyzer. Immunohistochemistry
showed that PDPN was markedly expressed in both macrophage-like type A and
fibroblast-like type B lining cells of the hyperplastic synovial lining cell
layer, and macrophages and fibroblasts in the stroma of RA. Among anti-PDPN mAbs,
LpMab-12 showed the highest score. In inflammatory OA synovium, PDPN expression
was also detectable. Although LpMab-12 also showed the highest score in OA, the
difference was not statistically significant. The inflammatory synovitis score of
RA was significantly higher than that of OA. PDPN was expressed in inflammatory
lining cells and sublining stroma of RA and OA synovium. In the seven anti-hPDPN
antibodies examined, LpMab-12 was the most stainable antibody for PDPN in RA
synovitis. Thus, LpMab-12 for PDPN has a possible and promising specific
biomarker for evaluating synovitis in RA and inflammatory OA.
PMID- 29377769
TI - Using Epidemiological Principles to Explain Fungicide Resistance Management
Tactics: Why do Mixtures Outperform Alternations?
AB - Whether fungicide resistance management is optimized by spraying chemicals with
different modes of action as a mixture (i.e., simultaneously) or in alternation
(i.e., sequentially) has been studied by experimenters and modelers for decades.
However, results have been inconclusive. We use previously parameterized and
validated mathematical models of wheat Septoria leaf blotch and grapevine powdery
mildew to test which tactic provides better resistance management, using the
total yield before resistance causes disease control to become economically
ineffective ("lifetime yield") to measure effectiveness. We focus on tactics
involving the combination of a low-risk and a high-risk fungicide, and the case
in which resistance to the high-risk chemical is complete (i.e., in which there
is no partial resistance). Lifetime yield is then optimized by spraying as much
low-risk fungicide as is permitted, combined with slightly more high-risk
fungicide than needed for acceptable initial disease control, applying these
fungicides as a mixture. That mixture rather than alternation gives better
performance is invariant to model parameterization and structure, as well as the
pathosystem in question. However, if comparison focuses on other metrics, e.g.,
lifetime yield at full label dose, either mixture or alternation can be optimal.
Our work shows how epidemiological principles can explain the evolution of
fungicide resistance, and also highlights a theoretical framework to address the
question of whether mixture or alternation provides better resistance management.
It also demonstrates that precisely how spray tactics are compared must be given
careful consideration. [Formula: see text] Copyright (c) 2018 The Author(s). This
is an open access article distributed under the CC BY 4.0 International license .
PMID- 29377770
TI - Environmental dependency phenomena in schizophrenia: a pilot study.
AB - INTRODUCTION: Environmental dependency phenomena refer to the enslavement of
patients' performances under the characteristics of the tasks and were first
described in case of prefrontal lobe damage. Two forms of environmental
dependency, executive and social, may be dissociated, which involve respectively
dorsolateral and orbital prefrontal cortex (PFC) dysfunction. Schizophrenia is
widely considered to be caused by PFC dysfunction, but no study to date has
addressed environmental dependency in this pathology. METHODS: We compared
patients (N = 17) and healthy controls (N = 28) on a task dedicated to the study
of environmental dependency. RESULTS: Our results demonstrate the presence of
environmental dependency in schizophrenia. Each form of environmental dependency
can be highlighted independently, as previously demonstrated by studies with
prefrontal patients. CONCLUSIONS: These findings suggest specific prefrontal
dysfunction for each subgroup of patients and demonstrate a dissociation between
socio-cognitive and neurocognitive performance in schizophrenia. Additionally, we
found relationships between symptomatology and environmental dependency. This
pilot study supports the relevance of studying environmental dependency to
highlight specific patterns of prefrontal disorders in schizophrenia, which may
contribute to a better understanding of PFC dysfunction in schizophrenia.
PMID- 29377771
TI - Physical Activity, Body Mass Index, and Clustered Metabolic Risk in U.S.
Adolescents: 2007-2012 Nhanes.
AB - BACKGROUND: While studies to date have shown that children and adolescents who
meet the current physical activity (PA) recommendations and maintain a healthy
body weight demonstrate significantly lower cardiometabolic risk, there are some
studies that suggest that the relationship between PA and metabolic risk may be
mediated by adiposity. The aim of the present study was to examine variation in
clustered metabolic risk (cMetS) in adolescents classified as not
overweight/active (NOA), not overweight/not active (NONA), overweight/active
(OA), and overweight/not active (ONA). METHODS: The sample included adolescent
participants (n = 875; 12-17 years) of the 2007-2012 National Health and
Nutrition Examination Survey (NHANES). The cMetS score included triglycerides,
high-density lipoprotein cholesterol, fasting plasma glucose, and mean arterial
pressure. Age- and sex-specific body mass index (BMI) percentiles were utilized;
overweight was defined as BMI percentile >=85th. Activity data included self
reported frequency of moderate-to-vigorous PA. Adolescents reporting >=60 min/day
of PA were considered "active." General linear models, adjusted for age, sex, and
race-ethnicity, were used. A 6-year fasting sample weight was applied to the
analyses to ensure representativeness of the data. RESULTS: The cMetS scores were
significantly (P < 0.05) higher in OA and ONA adolescents compared to NOA (beta =
1.08 and beta = 1.57, respectively). In ONA males, cMetS was significantly (P <
0.01) higher compared to NOA males. In OA and ONA females, cMetS scores were
significantly higher compared to the referent group (P < 0.01 for both).
CONCLUSIONS: The cMetS scores were increased in overweight adolescents compared
to those who were not overweight, regardless of their reported activity level.
PMID- 29377772
TI - Assessment of mumps-containing vaccine effectiveness during an outbreak:
Importance to introduce the 2-dose schedule for China.
AB - INTRODUCTION: China has used 3 different mumps-containing vaccines (MuCV) since
1990: monovalent mumps vaccine, measles-mumps (MM) vaccine, and measles-mumps
rubella (MMR) vaccine, and one dose MuCV (using MMR at 18 months) has been
included in the EPI since 2007. MuCV effectiveness has been of concern following
large-scale mumps outbreaks. In 2015, an outbreak of mumps occurred in a primary
school, which allow us assess vaccine effectiveness of different MuCVs. METHOD:
All children in the school were studied as a retrospective cohort. Vaccination
histories and case information were obtained from vaccination records and
clinic/hospital logs. Parental questionnaires were used to confirm students'
illnesses and calculate attack rate (AR). VE was assessed using the formula, VE =
(AR in unvaccinated students- AR in the vaccinated students) / (AR in
unvaccinated students). VEs of different type of MuCV were compared. RESULTS: In
total, 283 students were identified as clinical mumps among the 2370 students,
and 1908 students were included for MuCV VE assessment. 213 (including 21 [8.9%]
patients) were 2-dose MuCV recipients (AR: 9.9%), 1165 (including 123 [51.9%]
patients) were 1-dose recipients (AR: 10.6%), and 530 (including 93 [39.2%]
patients) were unvaccinated (AR: 17.5%). VE was 44% for 2 doses and 40% for one
dose. For one-MuCV-dose students, estimated mumps VE was 63% for vaccinated
within 3 years (between vaccination and this outbreak); 50% for vaccinated within
3 to 5 years; and 34% for vaccinated more than 5 years. Comparing VE by vaccine
type and 5-year interval since vaccination, VE for MMR was 60%, which was
consistently higher than VE for monovalent mumps vaccine (22%) and MM (2%).
CONCLUSION: This outbreak was associated with low and declining 1-dose MuCV
effectiveness. China's immunization program should evaluate the potential of a 2
dose MMR schedule to adequately control mumps.
PMID- 29377773
TI - Patient-Targeted Googling by New Zealand Mental Health Professionals: A New Field
of Ethical Consideration in the Internet Age.
AB - BACKGROUND: Patient-targeted Googling (PTG) describes the searching on the
Internet by healthcare professionals for information about patients with or
without their knowledge. INTRODUCTION: Little research has been conducted into
PTG internationally. PTG can have particular ethical implications within the
field of mental health. This study was undertaken to identify the extent of PTG
by New Zealand mental healthcare professionals and needs for further guidance
regarding this issue. MATERIALS AND METHODS: All (1,850) psychiatrists, clinical
psychologists, and psychotherapists working in New Zealand were electronically
surveyed about their experience of PTG and knowledge about the associated
practice of therapist-targeted Googling (TTG) using a questionnaire that had
previously been developed with a German sample. Due to ethics and advertising
restrictions, only one indirect approach was made to potential participants.
RESULTS: Eighty-eight clinicians (5%) responded to the survey invitation. More
than half (53.4%, N = 47) of respondents reportedly being engaged in PTG, but
only a minority (10.3%, N = 9) had ever received any education about the subject.
Reasons for undertaking PTG included facilitating the therapeutic process,
information being in the public domain, and mitigating risks. Reasons against
undertaking PTG included impairment of therapeutic relationship, unethical
invasion of privacy, and concerns regarding the accuracy and clinical relevance
of online information. Two-thirds of participants reported being the subject of
TTG. DISCUSSION: New Zealand psychiatrists, clinical psychologists, and
psychotherapists are engaging in PTG with limited education and professional
guidance. Further discussion and research are required, and so, PTG is undertaken
in a manner that is safe and useful for patients and health practitioners.
PMID- 29377774
TI - My Own Best Friend: Homeless Youths' Hesitance to Seek Help and Strategies for
Coping Independently after Distressing and Traumatic Experiences.
AB - Although homeless youth face extreme adversities, they are often hesitant to seek
help from formal and informal supports. The current study qualitatively explored
homeless youths' reasons for coping independently and their strategies for doing
so. Youth accessing services (N = 145) in three U.S. cities were interviewed
about their rationales for not seeking help from others regarding distressing
experiences. Analyses illustrated specific barriers to help seeking that prompted
homeless youth to cope on their own by utilizing soothing, avoidant, aggressive,
and introspective coping strategies. Implications for outreaching to those least
likely to seek help are discussed.
PMID- 29377775
TI - Moderating Effects of Prevention-Focus on the Paths from Two Insecure Attachment
Dimensions to Depression.
AB - The present study investigated the moderating effects of prevention-focus on the
paths from the dimensions of insecure attachment (attachment avoidance and
attachment anxiety) to depression. Two hundred twenty eight Korean college
students completed the Experience in Close Relationship - Revised Scale; the
Regulatory Focus Strategies Scale; and the Center for Epidemiologic Studies
Depression Scale. Results revealed a significant moderating effect for prevention
focus on the path from attachment avoidance to depression, but not on the path
from attachment anxiety to depression. They further suggest that different
interventions are needed for different combinations of persons' insecure
attachment dimensions and levels of prevention-focus. Counseling implications and
suggestions for future research are discussed.
PMID- 29377776
TI - The Effect of Vision and Surface Compliance on Balance in Untrained and Strength
Athletes.
AB - The purpose of this investigation was to evaluate the effect of the removal of
vision and/or surface compliance on postural stability in strength athletes who
habitually use free-weights and compound movements in their training (i.e.,
powerlifters, Olympic weightlifters), and untrained individuals. Static and
dynamic balance testing was performed with eyes open or closed on stable and
memory foam surfaces. Both groups had similar increases in postural sway area and
velocity during quiet standing testing; whereas group main effects and
interactions for dynamic testing revealed that untrained participants experienced
greater relative declines in postural performance when voluntary limits of
stability are stressed, especially when both vision and surface compliance were
deterred. These results demonstrate that in comparison to untrained young adults,
postural control variables may be reduced to a lesser extent in strength athletes
when sensory constraints are altered; however this appears to be specific to the
type of postural task performed.
PMID- 29377777
TI - Movement Speed and Accuracy in Space and Time: The Complementarity of Error
Distributions.
AB - Movement speed-accuracy trade-off is a function of the space-time constraints of
the task. We investigated the space-time account of Hancock and Newell (1985) and
the hypothesis of complementarity between the four moments of the error
distribution in space and time. Twelve participants performed 15 conditions in a
line drawing task composed of different spatial (10, 20, and 30 cm) and temporal
(250 to 2,500 ms) criteria. The results showed that all moments of distributions
changed systematically between conditions but there were some departures from the
Hancock and Newell predictions. In contrast, individual analysis revealed the
complementarity of the spatial and temporal error including a trade-off between
the four moments of error. These findings support a complementary space-time
account of movement speed and accuracy.
PMID- 29377778
TI - Bio-psycho-social-spiritual needs of adolescents and young adults with life
threatening illnesses: Implications for social work practice.
AB - This paper explores the biopsychosocial and spiritual needs of adolescents and
young adults (AYA) with life-threatening or terminal illnesses. AYA are situated
between childhood and adulthood (ages 15-25) and have distinct biopsychosocial
and spiritual needs unique to their developmental stage. Having a life
threatening or terminal illness directly challenges normal AYA developmental
tasks and identity formation. AYA experience more troubling physical symptoms
during the dying process compared to other age groups, which leads to significant
psychological distress and an increased need for pharmacological treatments. In
general, AYA desire to be fully informed and involved in the health care decision
making process, leading to ethical dilemmas when the AYA is a minor and their
wishes differ from the wishes of their legal guardian(s). Social workers are
especially well-equipped to serve this population due to aligning professional
standards and ability to advocate for holistic care within interdisciplinary
teams. Additional research is needed to tailor holistic interventions to meet the
needs of this population.
PMID- 29377779
TI - A Short Measure of the Revised Reinforcement Sensitivity Theory - RSQ17.
AB - The need for a research and practical tool, such as a short, reliable, and valid
personality assessment test, suggests researchers to create shortened versions of
original instruments. Reinforcement sensitivity questionnaire (RSQ) was created
in line with some basic premises of revised Reinforcement sensitivity theory,
which proposes three motivational and emotional systems: Behavioral inhibition
system (BIS), responsible for scanning environment for potential threats,
Behavioral activation system (BAS), responsible for aproaching behavior, and the
Fight/Flight/Freeze system (FFFS), responsible for behavior in the present
threat. RSQ comprises five scales: BIS, BAS, Fight, Flight, and Freeze. The aim
of this study was to develop a short version of RSQ, which would be beneficial to
both research and practical purposes. Item response theory analyses were used for
item selection. The study comprised two samples of participants, whereby Sample 1
(N = 837, 34.6% male, aged 18 - 82, M = 31.63, SD = 13.54) served as the
derivation sample, while Sample 2 (818 participants, 43.6% male, 18-75 years, M =
29.65, SD = 12.52) served as validation sample. Factorial validity of the short
RSQ was examined on both Sample 1 and Sample 2. Convergent and divergent validity
of the short RSQ was examined using RST-PQ, Jackson-5, BIS/BAS scales, and Big
Five Inventory. The results point to satisfactory internal consistency, factorial
validity, and construct validity of the short RSQ, suggesting that it is an
adequate measure for research settings or other contexts which require the use of
short personality questionnaires.
PMID- 29377780
TI - Facing an aging society: Taiwan's universities in crisis.
AB - As a result of the phenomenon of a rapidly aging population and low birth rates,
Taiwanese universities face a shortage of traditionally aged students and as a
result must respond to older learners' needs. In response to this demand, the
Ministry of Education issued the Lifelong Learning Act in 2002 and White Paper
for implementing education for older persons in an aging society in 2006.
Universities now face unprecedented challenges as they play a leading role in
facilitating these programs. The purpose of this article is to explore the
challenges Taiwanese universities face in educating an aging society and to
provide some suggestions. First, this article notes current challenges. Second,
the development of the status quo of senior education is presented. Third, the
changing landscape of universities is discussed. Finally, some suggestions are
presented for both university administration and program developers. In
conclusion, universities should grasp this opportunity to modify strategies for
involving older adults.
PMID- 29377781
TI - Older bisexual people: Implications for social work from the 'Looking Both Ways'
study.
AB - There is a growing social work literature about lesbian, gay, bisexual, and
transgender (LGBT) older people. However, research and guidance are predominantly
based on the experiences of older gay men and, to a lesser extent, older
lesbians. There is little to help practitioners work with older bisexual people.
The Looking Both Ways study aimed to contribute to this gap in knowledge. We
undertook in-depth purposely sampled qualitative interviews with 12 people aged
over 50, all of whom have bisexual relationship histories and half of whom also
currently identify as bisexual. There were three main findings. First, biphobia
(prejudice against bisexual people) impacts on older people with bisexual
histories in ways that may affect their well-being in later life. Second,
concerns around receiving care are similar in some ways and different in others
from the concerns of lesbians and gay men. Third, people with bisexual
relationship histories may have developed strong support networks and resilience,
factors that may be very beneficial in later life. Three recommendations for
social work professionals were identified: 1) understand biphobia, 2) recognize
the legitimacy of concerns about receiving care, and 3) ask about support
networks rather than assuming family support.
PMID- 29377782
TI - Motivation to volunteer among senior center participants.
AB - Senior centers in the United States play a vital role in the aging continuum of
care as the focal points of a community-based system of services targeting
independent older adults to promote their social integration and civically
engagement. Although several studies have evaluated the diversity of senior
center programs, demographic characteristics of participants, and benefits of
participation, very few have explored motivations to volunteer among
participants. Many senior centers rely on a cadre of participants who volunteer
there to assist with programs and meal services. However, a systematic
examination of volunteering interests and the rationale for volunteering among
senior center participants has been missing from the literature. This mixed
methods study, conducted at a large suburban senior center, explores the
interests and motivations of volunteerism among the participants. The study found
that there was limited interest in volunteering among senior center participants.
Those who were motivated to volunteer wanted to do so in order to stay connected
with their community. There was strong interest in volunteering for single events
or projects rather than a long-term commitment. Implications for senior centers
are discussed.
PMID- 29377784
TI - Editorial 2018 for Ocular Immunology and Inflammation.
PMID- 29377783
TI - Diagnosis of Cytomegalovirus Anterior Uveitis in Two European Referral Centers.
AB - PURPOSE: To evaluate diagnostic methods and clinical signs of CMV anterior
uveitis (AU), a rarely described entity in Europe. METHODS: We included patients
with clinical characteristics of CMV AU and positive PCR and/or Goldmann-Witmer
coefficient (GWc) for CMV. RESULTS: We report 21 patients with unilateral uveitis
(100%) and signs of Posner-Schlossman syndrome (PSS) (n = 20, 95.2%), Fuchs
uveitis syndrome (FUS) (n = 1, 4.7%), and endotheliitis (n = 4, 19,04%). PCR was
positive in 15/21 (71.4%) and GWc in 8/9 patients (88.9%) in aqueous for CMV. GWc
was the only positive test in 6/9 patients (66,6%). When PCR alone was performed
(without GWc) in the first tap, repeated aqueous taps were needed, twice in five
cases and thrice in one case. CONCLUSION: Combining PCR and GWc were very helpful
to confirm the clinical diagnosis of CMV AU. In case of very high clinical
suspicion and negative results, repeated tap seems to be recommended.
PMID- 29377786
TI - Intercellular communication of DNA damage and oxidative status underpin bystander
effects.
AB - PURPOSE: A well-known phenomenon in the field of radiation biology is that cells
exposed to ionizing radiation (IR) (targeted cells) can induce in non-irradiated
(non-targeted), bystander cells effects reminiscent of DNA damage responses (DDR)
normally expected, exclusively in targeted cells. These phenomena are
collectively referred to as radiation-induced bystander effects (RIBE) and have
different manifestations depending on the endpoint studied. Although it is now
recognized that RIBE reflects to a considerable extent communication by the
targeted cells to undamaged cells of their damaged status, the molecular
underpinnings of this communication and its significance for the organism are
only partly understood. In particular, it remains unknown why and how targeted
cells induce DNA damage in non-targeted, bystander cells threatening their
genomic stability and risking thus their transformation to cancer cells. Here, we
outline observations hinting to possible sources of artifacts in experiments
designed to detect RIBE and summarize a model according to which targeted cells
modulate their redox status as part of their overall response to IR and use this
modified redox status as a source to generate signals that are transmitted to non
irradiated cells of the organism. MATERIAL AND METHODS: A synthesis of published
evidence is presented. RESULTS: Depending on type, RIBE signals may be
transmitted through various forms of direct intercellular contact, through
molecules acting locally in a paracrine fashion, or through molecules acting
remotely in an endocrine fashion. We reason that DNA damage generated in
bystander cells is unlikely to manifest the clustered character exhibited in
directly exposed cells and postulate that RIBE will depend on complications
generated when simpler forms of damage encounter the DNA replication fork.
CONCLUSIONS: We suggest that RIBE result from intercellular communication
mechanisms designed to spread within tissues, or the organism, alarm signals of
DNA damage inflicted in subsets of the constituent cells. This response likely
evolved to protect organisms by appropriately modulating stress response, repair
or apoptosis, and may in some instances also cause adverse effects, e.g. as
collateral damage.
PMID- 29377787
TI - The Role of Experimenter Belief in Social Priming.
AB - Research suggests that stimuli that prime social concepts can fundamentally alter
people's behavior. However, most researchers who conduct priming studies fail to
explicitly report double-blind procedures. Because experimenter expectations may
influence participant behavior, we asked whether a short pre-experiment
interaction between participants and experimenters would contribute to priming
effects when experimenters were not blind to participant condition. An initial
double-blind experiment failed to demonstrate the expected effects of a social
prime on executive cognition. To determine whether double-blind procedures caused
this result, we independently manipulated participants' exposure to a prime and
experimenters' belief about which prime participants received. Across four
experiments, we found that experimenter belief, rather than prime condition,
altered participant behavior. Experimenter belief also altered participants'
perceptions of their experimenter, suggesting that differences in experimenter
behavior across conditions caused the effect. Findings reinforce double-blind
designs as experimental best practice and suggest that people's prior beliefs
have important consequences for shaping behavior with an interaction partner.
PMID- 29377788
TI - Factors Influencing Transition to Shisheh (Methamphetamine) among Young People
Who Use Drugs in Tehran: A Qualitative Study.
AB - BACKGROUND: Iran has experienced an emerging epidemic of methamphetamine use
during recent years which has added to existing non-injecting and injecting
opioid use in the country. This study explored factors influencing the initiation
into or transition to methamphetamine use among young people who use drugs
(PWUD). METHODS: We conducted 42 semi-structured, in-depth interviews with young
PWUD (n = 35) and health care workers (HCWs) (n = 7) between July and October
2011 in Tehran, Iran. The PWUD were purposefully recruited from different tiers
of drug services and lived in geographically diverse areas of Tehran. The HCWs
were substance use experts and/or service providers of treatment and harm
reduction facilities. All interviews were recorded, transcribed, and coded using
OpenCode 3.6 software. RESULTS: The predominant factors for initiation into or
transition to methamphetamine use were individual domain factors. The peer domain
factors were the second most frequently stated perceived factor category for
transition to methamphetamine use. Other perceived factors affecting transition
to stimulant use included both family and community domains. CONCLUSION: Drug
prevention programs should consider targeting certain settings, including
workplaces and sports clubs, for preventative interventions. Existing opioid
treatment and harm reduction services should be adjusted in response to the
methamphetamine use epidemic.
PMID- 29377785
TI - Communicating with Daughters About Familial Risk of Breast Cancer: Individual,
Family, and Provider Influences on Women's Knowledge of Cancer Risk.
AB - INTRODUCTION: Women facing complex and uncertain situations such as cancer in
their families may seek information from a variety of sources to gain knowledge
about cancer risk and reduce uncertainty. We describe and assess the relative
importance of information sources about familial breast cancer at the individual,
family, and healthcare provider levels influencing women's reporting they had
enough information to speak with daughters about breast cancer. This outcome we
refer to as being informed about breast cancer. MATERIALS AND METHODS: Sister
Study participants, a cohort of women with a family history of breast cancer,
were surveyed on family cancer history, family communication, social support, and
interactions with healthcare providers (n = 11,766). Adjusted percentages and 95%
confidence intervals for being informed about breast cancer versus not being
informed were computed for individual-, family-, and provider-level
characteristics in three steps using multivariate logistic regression models.
RESULTS: We found 65% of women reported being informed about breast cancer while
35% did not. Having a trusted person with whom to discuss cancer concerns, having
a lower versus higher perceived risk of breast cancer, having undergone genetic
counseling, and being satisfied with physician discussions about breast cancer in
their families were predictors of being informed about breast cancer.
CONCLUSIONS: Although acquiring objective risk information, such as through
genetic counseling, may contribute to a basic level of understanding,
communication with providers and within other trusted relationships appears to be
an essential component in women's reporting they had all the information they
need to talk with their daughters about breast cancer.
PMID- 29377790
TI - Corrigendum.
PMID- 29377789
TI - Influence of grass pellet production on pyrrolizidine alkaloids occurring in
Senecio aquaticus-infested grassland.
AB - 1,2-Dehydro-pyrrolizidine alkaloids (PA) and their N-oxides (PANO) exhibit acute
and chronic toxic effects on the liver and other organs and therefore are a
hazard for animal and human health. In certain regions of Germany, an increasing
spread of Senecio spp. (ragwort) on grassland and farmland areas has been
observed during the last years leading to a PA/PANO-contamination of feed and
food of animal and plant origin. This project was carried out to elucidate
whether the process of grass pellet production applying hot air drying influences
the content of PA and PANO. Samples of hay (n = 22) and grass pellets (n = 28)
originated from naturally infested grassland (around 10% and 30% dominance of
Senecio aquaticus) and from a trial plot with around 50% dominance. Grass pellets
were prepared from grass originating from exactly the same plots as the hay
samples. The samples were analysed by liquid chromatography-tandem mass
spectrometry for PA/PANO typically produced by this weed. The results of the
study revealed that PA/PANO levels (predominantly sum of senecionine,
seneciphylline, erucifoline and their N-oxides) in hay ranged between 2.1 and
12.6 mg kg-1 dry matter in samples with 10% and 30% dominance of S. aquaticus,
respectively. Samples from the trial plot (50% dominance) had levels of up to
52.9 mg kg-1. Notably, the hot air drying process during the production of grass
pellets did not lead to a reduction of PA/PANO levels. Instead, the levels in
grass pellets with 10% and 30% S. aquaticus ranged from 3.1 to 55.1 mg kg-1.
Grass pellets from the trial plot contained up to 96.8 mg kg-1. In conclusion,
hot air drying and grass pellet production did not affect PA/PANO contents in
plant material and therefore, heat-dried products cannot be regarded as safe in
view of the toxic potential of 1,2-dehydro-pyrrolizidine alkaloids.
PMID- 29377791
TI - The Action Level.
PMID- 29377792
TI - Hsp70-associated chaperones have a critical role in buffering protein production
costs.
AB - Proteins are necessary for cellular growth. Concurrently, however, protein
production has high energetic demands associated with transcription and
translation. Here, we propose that activity of molecular chaperones shape protein
burden, that is the fitness costs associated with expression of unneeded
proteins. To test this hypothesis, we performed a genome-wide genetic interaction
screen in baker's yeast. Impairment of transcription, translation, and protein
folding rendered cells hypersensitive to protein burden. Specifically, deletion
of specific regulators of the Hsp70-associated chaperone network increased
protein burden. In agreement with expectation, temperature stress, increased
mistranslation and a chemical misfolding agent all substantially enhanced protein
burden. Finally, unneeded protein perturbed interactions between key components
of the Hsp70-Hsp90 network involved in folding of native proteins. We conclude
that specific chaperones contribute to protein burden. Our work indicates that by
minimizing the damaging impact of gratuitous protein overproduction, chaperones
enable tolerance to massive changes in genomic expression.
PMID- 29377795
TI - Statistically Reconstructed Multiplexing for Very Dense, High-Channel-Count
Acquisition Systems.
AB - Multiplexing is an important strategy in multichannel acquisition systems. The
per-channel antialiasing filters needed in the traditional multiplexing
architecture limit its scalability for applications requiring high channel
density, high channel count, and low noise. A particularly challenging example is
multielectrode arrays for recording from neural systems. We show that
conventional approaches must tradeoff recording density and noise performance, at
a scale far from the ideal goal of one-to-one mapping between neurons and
sensors. We present a multiplexing architecture without per-channel antialiasing
filters. The sparsely sampled data are recovered through a compressed sensing
strategy, involving statistical reconstruction and removal of the undersampled
thermal noise. In doing so, we replace large analog components with digital
signal processing blocks, which are much more amenable to scaled CMOS
implementation. The resulting statistically reconstructed multiplexing
architecture recovers input signals at significantly improved signal-to-noise
ratios when compared to conventional multiplexing with antialiasing filters at
the same per-channel area. We implement the new architecture in a 65 536-channel
neural recording system and show that it is able to recover signals with
performance comparable to conventional high-performance, single-channel systems,
despite a more than four-orders-of-magnitude increase in channel density.
PMID- 29377793
TI - Prediction of enzymatic pathways by integrative pathway mapping.
AB - The functions of most proteins are yet to be determined. The function of an
enzyme is often defined by its interacting partners, including its substrate and
product, and its role in larger metabolic networks. Here, we describe a
computational method that predicts the functions of orphan enzymes by organizing
them into a linear metabolic pathway. Given candidate enzyme and metabolite
pathway members, this aim is achieved by finding those pathways that satisfy
structural and network restraints implied by varied input information, including
that from virtual screening, chemoinformatics, genomic context analysis, and
ligand -binding experiments. We demonstrate this integrative pathway mapping
method by predicting the L-gulonate catabolic pathway in Haemophilus influenzae
Rd KW20. The prediction was subsequently validated experimentally by enzymology,
crystallography, and metabolomics. Integrative pathway mapping by satisfaction of
structural and network restraints is extensible to molecular networks in general
and thus formally bridges the gap between structural biology and systems biology.
PMID- 29377794
TI - Hepatitis B virus core protein allosteric modulators can distort and disrupt
intact capsids.
AB - Defining mechanisms of direct-acting antivirals facilitates drug development and
our understanding of virus function. Heteroaryldihydropyrimidines (HAPs)
inappropriately activate assembly of hepatitis B virus (HBV) core protein (Cp),
suppressing formation of virions. We examined a fluorophore-labeled HAP, HAP
TAMRA. HAP-TAMRA induced Cp assembly and also bound pre-assembled capsids.
Kinetic and spectroscopic studies imply that HAP-binding sites are usually not
available but are bound cooperatively. Using cryo-EM, we observed that HAP-TAMRA
asymmetrically deformed capsids, creating a heterogeneous array of sharp angles,
flat regions, and outright breaks. To achieve high resolution reconstruction (<4
A), we introduced a disulfide crosslink that rescued particle symmetry. We
deduced that HAP-TAMRA caused quasi-sixfold vertices to become flatter and
fivefold more angular. This transition led to asymmetric faceting. That a
disordered crosslink could rescue symmetry implies that capsids have tensegrity
properties. Capsid distortion and disruption is a new mechanism by which
molecules like the HAPs can block HBV infection.
PMID- 29377796
TI - A Low-Power Wearable Stand-Alone Tongue Drive System for People With Severe
Disabilities.
AB - This paper presents a low-power stand-alone tongue drive system (sTDS) used for
individuals with severe disabilities to potentially control their environment
such as computer, smartphone, and wheelchair using their voluntary tongue
movements. A low-power local processor is proposed, which can perform signal
processing to convert raw magnetic sensor signals to user-defined commands, on
the sTDS wearable headset, rather than sending all raw data out to a PC or
smartphone. The proposed sTDS significantly reduces the transmitter power
consumption and subsequently increases the battery life. Assuming the sTDS user
issues one command every 20 ms, the proposed local processor reduces the data
volume that needs to be wirelessly transmitted by a factor of 64, from 9.6 to
0.15 kb/s. The proposed processor consists of three main blocks: serial
peripheral interface bus for receiving raw data from magnetic sensors, external
magnetic interference attenuation to attenuate external magnetic field from the
raw magnetic signal, and a machine learning classifier for command detection. A
proof-of-concept prototype sTDS has been implemented with a low-power IGLOO-nano
field programmable gate array (FPGA), bluetooth low energy, battery and magnetic
sensors on a headset, and tested. At clock frequency of 20 MHz, the processor
takes 6.6 s and consumes 27 nJ for detecting a command with a detection accuracy
of 96.9%. To further reduce power consumption, an application-specified
integrated circuit processor for the sTDS is implemented at the postlayout level
in 65-nm CMOS technology with 1-V power supply, and it consumes 0.43 mW, which is
10 lower than FPGA power consumption and occupies an area of only 0.016 mm.
PMID- 29377797
TI - An Embedded, Eight Channel, Noise Canceling, Wireless, Wearable sEMG Data
Acquisition System With Adaptive Muscle Contraction Detection.
AB - Wearable technology has gained increasing popularity in the applications of
healthcare, sports science, and biomedical engineering in recent years. Because
of its convenient nature, the wearable technology is particularly useful in the
acquisition of the physiological signals. Specifically, the (surface
electromyography) sEMG systems, which measure the muscle activation potentials,
greatly benefit from this technology in both clinical and industrial
applications. However, the current wearable sEMG systems have several drawbacks
including inefficient noise cancellation, insufficient measurement quality, and
difficult integration to customized applications. Additionally, none of these
sEMG data acquisition systems can detect sEMG signals (i.e., contractions), which
provides a valuable environment for further studies such as human machine
interaction, gesture recognition, and fatigue tracking. To this end, we introduce
an embedded, eight channel, noise canceling, wireless, wearable sEMG data
acquisition system with adaptive muscle contraction detection. Our design
consists of two stages, which are the sEMG sensors and the multichannel data
acquisition unit. For the first stage, we propose a low cost, dry, and active
sEMG sensor that captures the muscle activation potentials, a data acquisition
unit that evaluates these captured multichannel sEMG signals and transmits them
to a user interface. In the data acquisition unit, the sEMG signals are processed
through embedded, adaptive methods in order to reject the power line noise and
detect the muscle contractions. Through extensive experiments, we demonstrate
that our sEMG sensor outperforms a widely used commercially available product and
our data acquisition system achieves 4.583 dB SNR gain with accuracy in the
detection of the contractions.
PMID- 29377798
TI - 1024-Pixel CMOS Multimodality Joint Cellular Sensor/Stimulator Array for Real
Time Holistic Cellular Characterization and Cell-Based Drug Screening.
AB - This paper presents a fully integrated CMOS multimodality joint sensor/stimulator
array with 1024 pixels for real-time holistic cellular characterization and drug
screening. The proposed system consists of four pixel groups and four parallel
signal-conditioning blocks. Every pixel group contains 16 * 16 pixels, and each
pixel includes one gold-plated electrode, four photodiodes, and in-pixel
circuits, within a pixel footprint. Each pixel supports real-time extracellular
potential recording, optical detection, charge-balanced biphasic current
stimulation, and cellular impedance measurement for the same cellular sample. The
proposed system is fabricated in a standard 130-nm CMOS process. Rat
cardiomyocytes are successfully cultured on-chip. Measured high-resolution
optical opacity images, extracellular potential recordings, biphasic current
stimulations, and cellular impedance images demonstrate the unique advantages of
the system for holistic cell characterization and drug screening. Furthermore,
this paper demonstrates the use of optical detection on the on-chip cultured
cardiomyocytes to real-time track their cyclic beating pattern and beating rate.
PMID- 29377799
TI - In Vivo Characterization of a Wireless Telemetry Module for a Capsule Endoscopy
System Utilizing a Conformal Antenna.
AB - This paper describes the design, fabrication, packaging, and performance
characterization of a conformal helix antenna created on the outside of a capsule
endoscope designed to operate at a carrier frequency of 433 MHz within human
tissue. Wireless data transfer was established between the integrated capsule
system and an external receiver. The telemetry system was tested within a tissue
phantom and in vivo porcine models. Two different types of transmission modes
were tested. The first mode, replicating normal operating conditions, used data
packets at a steady power level of 0 dBm, while the capsule was being withdrawn
at a steady rate from the small intestine. The second mode, replicating the worst
case clinical scenario of capsule retention within the small bowel, sent data
with stepwise increasing power levels of -10, 0, 6, and 10 dBm, with the capsule
fixed in position. The temperature of the tissue surrounding the external antenna
was monitored at all times using thermistors embedded within the capsule shell to
observe potential safety issues. The recorded data showed, for both modes of
operation, a low error transmission of 10-3 packet error rate and 10-5 bit error
rate and no temperature increase of the tissue according to IEEE standards.
PMID- 29377800
TI - A Scalable Multicore Architecture With Heterogeneous Memory Structures for
Dynamic Neuromorphic Asynchronous Processors (DYNAPs).
AB - Neuromorphic computing systems comprise networks of neurons that use asynchronous
events for both computation and communication. This type of representation offers
several advantages in terms of bandwidth and power consumption in neuromorphic
electronic systems. However, managing the traffic of asynchronous events in large
scale systems is a daunting task, both in terms of circuit complexity and memory
requirements. Here, we present a novel routing methodology that employs both
hierarchical and mesh routing strategies and combines heterogeneous memory
structures for minimizing both memory requirements and latency, while maximizing
programming flexibility to support a wide range of event-based neural network
architectures, through parameter configuration. We validated the proposed scheme
in a prototype multicore neuromorphic processor chip that employs hybrid
analog/digital circuits for emulating synapse and neuron dynamics together with
asynchronous digital circuits for managing the address-event traffic. We present
a theoretical analysis of the proposed connectivity scheme, describe the methods
and circuits used to implement such scheme, and characterize the prototype chip.
Finally, we demonstrate the use of the neuromorphic processor with a
convolutional neural network for the real-time classification of visual symbols
being flashed to a dynamic vision sensor (DVS) at high speed.
PMID- 29377801
TI - A Sensitive Dynamic and Active Pixel Vision Sensor for Color or Neural Imaging
Applications.
AB - Applications requiring detection of small visual contrast require high
sensitivity. Event cameras can provide higher dynamic range (DR) and reduce data
rate and latency, but most existing event cameras have limited sensitivity. This
paper presents the results of a 180-nm Towerjazz CIS process vision sensor called
SDAVIS192. It outputs temporal contrast dynamic vision sensor (DVS) events and
conventional active pixel sensor frames. The SDAVIS192 improves on previous DAVIS
sensors with higher sensitivity for temporal contrast. The temporal contrast
thresholds can be set down to 1% for negative changes in logarithmic intensity
(OFF events) and down to 3.5% for positive changes (ON events). The achievement
is possible through the adoption of an in-pixel preamplification stage. This
preamplifier reduces the effective intrascene DR of the sensor (70 dB for OFF and
50 dB for ON), but an automated operating region control allows up to at least
110-dB DR for OFF events. A second contribution of this paper is the development
of characterization methodology for measuring DVS event detection thresholds by
incorporating a measure of signal-to-noise ratio (SNR). At average SNR of 30 dB,
the DVS temporal contrast threshold fixed pattern noise is measured to be 0.3%
0.8% temporal contrast. Results comparing monochrome and RGBW color filter array
DVS events are presented. The higher sensitivity of SDAVIS192 make this sensor
potentially useful for calcium imaging, as shown in a recording from cultured
neurons expressing calcium sensitive green fluorescent protein GCaMP6f.
PMID- 29377802
TI - SVD and ASCII Character Encoding-Based Compression of Multiple Biosignals for
Remote Healthcare Systems.
AB - Advancements in electronics and miniaturized device fabrication technologies have
enabled simultaneous acquisition of multiple biosignals (MBioSigs), but the area
of compression of MBioSigs remains unexplored to date. This paper presents a
robust singular value decomposition (SVD) and American standard code for
information interchange (ASCII) character encoding-based algorithm for
compression of MBioSigs for the first time to the best of our knowledge. At the
preprocessing stage, MBioSigs are denoised, down sampled and then transformed to
a two-dimensional (2-D) data array. SVD of the 2-D array is carried out and the
dimensionality of the singular values is reduced. The resulting matrix is then
compressed by a lossless ASCII character encoding-based technique. The proposed
compression algorithm can be used in a variety of modes such as lossless, with or
without using the down sampling operation. The compressed file is then uploaded
to a hypertext preprocessor (PHP)-based website for remote monitoring
application. Evaluation results show that the proposed algorithm provides a good
compression performance; in particular, the mean opinion score of the
reconstructed signal falls under the category "very good" as per the gold
standard subjective measure.
PMID- 29377803
TI - PERSON-Personalized Expert Recommendation System for Optimized Nutrition.
AB - The rise of personalized diets is due to the emergence of nutrigenetics and
genetic tests services. However, the recommendation system is far from mature to
provide personalized food suggestion to consumers for daily usage. The main
barrier of connecting genetic information to personalized diets is the complexity
of data and the scalability of the applied systems. Aiming to cross such barriers
and provide direct applications, a personalized expert recommendation system for
optimized nutrition is introduced in this paper, which performs direct to
consumer personalized grocery product filtering and recommendation. Deep learning
neural network model is applied to achieve automatic product categorization. The
ability of scaling with unknown new data is achieved through the generalized
representation of word embedding. Furthermore, the categorized products are
filtered with a model based on individual genetic data with associated phenotypic
information and a case study with databases from three different sources is
carried out to confirm the system.
PMID- 29377804
TI - An On-Chip Learning Neuromorphic Autoencoder With Current-Mode Transposable
Memory Read and Virtual Lookup Table.
AB - This paper presents an IC implementation of on-chip learning neuromorphic
autoencoder unit in a form of rate-based spiking neural network. With a current
mode signaling scheme embedded in a 500 * 500 6b SRAM-based memory, the proposed
architecture achieves simultaneous processing of multiplications and
accumulations. In addition, a transposable memory read for both forward and
backward propagations and a virtual lookup table are also proposed to perform an
unsupervised learning of restricted Boltzmann machine. The IC is fabricated using
28-nm CMOS process and is verified in a three-layer network of encoder-decoder
pair for training and recovery of images with two-dimensional pixels. With a
dataset of 50 digits, the IC shows a normalized root mean square error of 0.078.
Measured energy efficiencies are 4.46 pJ per synaptic operation for inference and
19.26 pJ per synaptic weight update for learning, respectively. The learning
performance is also estimated by simulations if the proposed hardware
architecture is extended to apply to a batch training of 60 000 MNIST datasets.
PMID- 29377805
TI - VLSI Design of SVM-Based Seizure Detection System With On-Chip Learning
Capability.
AB - Portable automatic seizure detection system is very convenient for epilepsy
patients to carry. In order to make the system on-chip trainable with high
efficiency and attain high detection accuracy, this paper presents a very large
scale integration (VLSI) design based on the nonlinear support vector machine
(SVM). The proposed design mainly consists of a feature extraction (FE) module
and an SVM module. The FE module performs the three-level Daubechies discrete
wavelet transform to fit the physiological bands of the electroencephalogram
(EEG) signal and extracts the time-frequency domain features reflecting the
nonstationary signal properties. The SVM module integrates the modified
sequential minimal optimization algorithm with the table-driven-based Gaussian
kernel to enable efficient on-chip learning. The presented design is verified on
an Altera Cyclone II field-programmable gate array and tested using the two
publicly available EEG datasets. Experiment results show that the designed VLSI
system improves the detection accuracy and training efficiency.
PMID- 29377806
TI - Sensing Passive Eye Response to Impact Induced Head Acceleration Using MEMS IMUs.
AB - The eye may act as a surrogate for the brain in response to head acceleration
during an impact. Passive eye movements in a dynamic system are sensed by
microelectromechanical systems (MEMS) inertial measurement units (IMU) in this
paper. The technique is validated using a three-dimensional printed scaled human
skull model and on human volunteers by performing drop-and-impact experiments
with ribbon-style flexible printed circuit board IMUs inserted in the eyes and
reference IMUs on the heads. Data are captured by a microcontroller unit and
processed using data fusion. Displacements are thus estimated and match the
measured parameters. Relative accelerations and displacements of the eye to the
head are computed indicating the influence of the concussion causing impacts.
PMID- 29377807
TI - Simultaneous Multimodal PC Access for People With Disabilities by Integrating
Head Tracking, Speech Recognition, and Tongue Motion.
AB - Multimodal Tongue Drive System (mTDS) is a highly integrated wireless assistive
technology (AT) in the form of a lightweight wearable headset that utilizes three
remaining key control and communication abilities in people with severe physical
disabilities, such as tetraplegia, to provide them with effective access to
computers: 1) tongue motion for discrete/switch-based control (e.g., clicking),
2) head tracking for proportional control (e.g., mouse pointer movements), and 3)
speech recognition for typing, all available simultaneously. The mTDS
architecture is presented here with new sensor signal processing algorithm for
head tracking. To evaluate the device performance, it was compared against
keyboard-and-mouse (KnM) combination, the gold standard in computer input
methods, by 15 able-bodied participants, who used both mTDS and KnM to generate
and sent an email with randomly selected content, under a 5-minute time
constraint. In four repetitions, in the last trial, it took participants only 1.8
times longer to complete the email task, on average, using the mTDS versus KnM at
82.4% typing accuracy. Mean task completion time and typing accuracy improved
24.6% and 18.8% from first to fourth trial using mTDS. Multimodal simultaneous
discrete and proportional control input options of mTDS, plus rapid typing, is
expected to provide more effective computer access to people with severe physical
disabilities.
PMID- 29377808
TI - Cryogenic Preamplifiers for Magnetic Resonance Imaging.
AB - Pursuing the ultimate limit of detection in magnetic resonance imaging (MRI)
requires cryogenics to decrease the thermal noise of the electronic circuits. As
cryogenic coils for MRI are slowly emerging cryogenic preamplifiers are required
to fully exploit their potential. A cryogenic preamplifier operated at 77 K is
designed and implemented for C imaging at 3 T (32.13 MHz), using off-the-shelves
components. The design is based on a high electron mobility transistor (ATF54143)
in a common source configuration. Required auxiliary circuitry for optimal
cryogenic preamplifier performance is also presented consisting of a voltage
regulator (noise free supply voltage and optimal power consumption), switch, and
trigger (for active detuning during transmission to protect the preamplifier). A
gain of 18 dB with a noise temperature of 13.7 K is achieved. Performing imaging
experiments in a 3 T scanner showed an 8% increased signal-to-noise ratio from
365 to 399 when lowering the temperature of the preamplifier from 296 to 77 K
while keeping the coil at room temperature. This paper thus enables the merger of
cryogenic coils and preamplifiers in the hopes of reaching the ultimate limit of
detection for MRI.
PMID- 29377809
TI - A 1.55 MUW Bio-Impedance Measurement System for Implantable Cardiac Pacemakers in
0.18 MUm CMOS.
AB - This paper presents an implantable bio-impedance measurement system for cardiac
pacemakers. The fully integrated system features a low power analog front-end and
pulse width modulated output. The bio-impedance readout benefits from voltage to
time conversion to achieve a very low power consumption for wirelessly
transmitting the data outside the body. The proposed IC is fabricated in a 0.18
MUm CMOS process and is capable of measuring the bio-impedance at 2 kHz over a
wide dynamic range from to with accuracy and maximum current injection while
consuming just from a 1 V supply.
PMID- 29377810
TI - A 10 MHz Read-Out Chain for Electrical Impedance Tomography.
AB - This paper presents the design and implementation of a read-out chain for
electrical impedance tomography (EIT) imaging. The EIT imaging approach can be
incorporated to take spectral images of the tissue under study, offering an
affordable, portable device for home health monitoring. A fast read-out channel
covering a wide range of frequencies is a must for such applications. The
proposed read-out channel comprising a programmable gain instrumentation
amplifier, an analog-to-digital converter (ADC), and an ADC driver is designed
and fabricated in a 0.18 m CMOS technology. The proposed read-out chain operates
over the wide frequency range of 100 Hz to 10 MHz, with an average signal-to
noise ratio of more than 60 dB. The entire read-out channel consumes between 6.9
and 21.8 mW, depending on its frequency of operation.
PMID- 29377811
TI - Wireless EEG System Achieving High Throughput and Reduced Energy Consumption
Through Lossless and Near-Lossless Compression.
AB - This work presents a wireless multichannel electroencephalogram (EEG) recording
system featuring lossless and near-lossless compression of the digitized EEG
signal. Two novel, low-complexity, efficient compression algorithms were
developed and tested in a low-power platform. The algorithms were tested on six
public EEG databases comparing favorably with the best compression rates reported
up to date in the literature. In its lossless mode, the platform is capable of
encoding and transmitting 59-channel EEG signals, sampled at 500 Hz and 16 bits
per sample, at a current consumption of 337 A per channel; this comes with a
guarantee that the decompressed signal is identical to the sampled one. The near
lossless mode allows for significant energy savings and/or higher throughputs in
exchange for a small guaranteed maximum per-sample distortion in the recovered
signal. Finally, we address the tradeoff between computation cost and
transmission savings by evaluating three alternatives: sending raw data, or
encoding with one of two compression algorithms that differ in complexity and
compression performance. We observe that the higher the throughput (number of
channels and sampling rate) the larger the benefits obtained from compression.
PMID- 29377812
TI - On-Chip Neural Data Compression Based On Compressed Sensing With Sparse Sensing
Matrices.
AB - On-chip neural data compression is an enabling technique for wireless neural
interfaces that suffer from insufficient bandwidth and power budgets to transmit
the raw data. The data compression algorithm and its implementation should be
power and area efficient and functionally reliable over different datasets.
Compressed sensing is an emerging technique that has been applied to compress
various neurophysiological data. However, the state-of-the-art compressed sensing
(CS) encoders leverage random but dense binary measurement matrices, which incur
substantial implementation costs on both power and area that could offset the
benefits from the reduced wireless data rate. In this paper, we propose two CS
encoder designs based on sparse measurement matrices that could lead to efficient
hardware implementation. Specifically, two different approaches for the
construction of sparse measurement matrices, i.e., the deterministic quasi-cyclic
array code (QCAC) matrix and -sparse random binary matrix [-SRBM] are exploited.
We demonstrate that the proposed CS encoders lead to comparable recovery
performance. And efficient VLSI architecture designs are proposed for QCAC-CS and
-SRBM encoders with reduced area and total power consumption.
PMID- 29377813
TI - Septage unit treatment by sludge treatment reed beds for easy management and
reuse: performance and design considerations.
AB - Sustainable treatment and management of fecal sludge in rural areas require
adapted solutions. Rustic and simple operating processes such as sludge treatment
reed beds (STRB) have been increasingly considered for this purpose. The biggest
full scale (2,600 m2 of STRB) septage treatment unit in France had been built in
Negrepelisse with the final objectives of reusing treated sludge and leachates
for agriculture spreading and tree irrigation, respectively. The aim of this
investigation was to validate the treatment chain of this installation. The
obtained field data showed firstly that the overall removal efficiencies of STRB
were satisfactory and stable. Removal rates higher than 98% for chemical oxygen
demand and suspended solids and a 95% for Kjeldahl nitrogen represented so far a
beneficial septage treatment by STRB. The highlighted necessity of a suitable
complementary leachate treatment (before tree irrigation) justified the presence
of the second stage of vertical flow constructed wetland. The sludge deposit
drying and mineralization efficiencies were on the right track. According to
hydrotextural diagram analysis, surface deposit was however found to have high
deformability probably due to the youth of the installation. An in-depth
understanding of STRB system needs continuous long-term studies.
PMID- 29377814
TI - High-resolution characterization of labile phosphorus, iron, and manganese in
sediments of different trophic waters in Lake Taihu, China.
AB - High-resolution combined ZrO-Chelex diffusive gradients in thin film (DGT) probes
were arranged to synchronously monitor the characteristics of labile phosphorus
(P), iron (Fe), and manganese (Mn) in sediments from regions of varying trophic
levels in Lake Taihu, China. Results showed that P release was closely related to
the lake region's trophic level. Labile P in sediments and the apparent diffusion
flux (Fd) of P were higher in hyper-eutrophic algae-dominated regions than those
in macrophyte-algae-dominated and macrophyte-dominated regions, indicating that P
released from sediments may be facilitated in eutrophic environments. A positive
correlation observed between DGT-labile P and Fe or Mn, which had similar
regional spatial distributions to P, showed that reductive dissolution of Fe-Mn
(oxyhydr)oxides (FeOOH-MnOOH) in sediments was responsible for internal P
release, which was strengthened in eutrophic environments. Ratios of labile Fe or
Mn/labile P (LFe/LP and LMn/LP) in sediments in algae-dominated regions showed
lower values than those in macrophyte-algae-dominated and macrophyte-dominated
regions, further confirming that FeOOH-MnOOH in algae-dominated regions were less
able to fix P, which brought higher pollution risks for the overlying water.
LFe/LP or LMn/LP also had a significantly positive correlation with Fd, further
testament that labile Fe or Mn was much more relevant to the sediment P cycle.
PMID- 29377815
TI - Effect of a static magnetic field on the microscopic characteristics of highly
efficient oil-removing bacteria.
AB - To better understand the microbial oil removal enhancement process by a magnetic
field, the effect of a static magnetic field (SMF) on the microscopic
characteristics of highly efficient biodegradation oil-removing bacteria was
studied. The Acinetobacter sp. B11 strain with a 53.6% oil removal rate was
selected as the reference bacteria. The changes in the microscopic
characteristics of Acinetobacter sp. B11 such as the cell surface morphology,
cell permeability and cell activity of the bacteria were investigated. The
results showed that low-intensity magnetic fields (15-35 mT) improved the ability
of Acinetobacter sp. B11 to remove oil by 11.9% at 25 mT compared with that of
bacteria with no magnetic field. Without destroying the cell membrane, the low
intensity magnetic fields increased the cell membrane permeability and improved
the activity of superoxide dismutase (SOD), which effectively enhanced the oil
degradation performance of the bacteria.
PMID- 29377816
TI - Reuse and recovery of raw hospital wastewater containing ofloxacin after
photocatalytic treatment with nano graphene oxide magnetite.
AB - Inadequate treatment of hospital wastewater could result in considerable risks to
public health due to its macro- and micropollutant content. In order to eliminate
this problem, a new nanoparticle composite was produced under laboratory
conditions and a photocatalytic degradation approach was used. Chemical oxygen
demand (COD), biological oxygen demand (BOD5), total suspended solids (TSS),
total Kjeldahl nitrogen (TKN), total phosphorus (TP) (macro) and oflaxin (micro)
pollutant removal were investigated with the nano graphene oxide magnetite (Nano
GO/M) particles by two different processes, namely adsorption and
photodegradation. Low removal efficiencies (21-60%) were obtained in the
adsorption process for the parameters given above, after 90 min contact time at a
pH of 7.8 with 5 g/L Nano-GO/M composite. Using the photodegradation process,
higher removal efficiencies were obtained with 2 g/L Nano-GO/M composite for COD
(88%), TSS (82%), TKN (95%) and oflaxin (97%), at pH 7.8 after 60 min irradiation
time at a UV power of 300 W. The synthesized nanoparticle was reused for two
sequential treatments of pharmaceutical wastewater with no significant losses of
removal efficiencies (for oflaxin 97%-90%). The quality of the treated hospital
wastewater was first class according to the Turkish Water Pollution Control
Regulations criteria. This water could also be used for irrigation purposes.
PMID- 29377817
TI - Modeling adsorption kinetic of crystal violet removal by electrocoagulation
technique using bipolar iron electrodes.
AB - This paper studies the removal of crystal violet (CV) dye by electrocoagulation
(EC) process using bipolar iron electrodes. Numerous operating parameters such as
initial CV solution concentration, speed of agitation, number of electrodes, type
and quantity of supporting electrolyte, temperature- and initial pH were
investigated. A complete removal of 10 mg/L CV was achieved within 10 min at pH
7, 0.5 g NaCl, 1 LCV, 750 rpm, 9 sheets, 17.36 mAcm2, and 25 degrees C. The
performed energy-dispersive X-ray spectroscopy (EDAX), scanning electron
microscopy (SEM) and Fourier transform infrared spectroscopy (FTIR) analyses
confirmed the adsorption of CV onto the insoluble iron hydroxide flocs. Amongst
the studied adsorption isotherms models, Langmuir and Dubinin-Radushkevich were
the most applicable. The kinetic of adsorption of CV onto flocs during the EC
operation was studied using the pseudo-first-order, pseudo-second-order, and
intraparticulate diffusion models, with results affirming that the adsorption
process proceeded according to the pseudo-second-order model. The study of
thermodynamic parameters (DeltaG0, DeltaH0, and DeltaS0,) of the CV removal at
different temperatures reflected the feasibility of the spontaneous randomness of
endothermic adsorption, especially at lower temperatures. The multiple regression
equation of the removal of CV by EC technique under the different studied
conditions was predicted.
PMID- 29377818
TI - Status quo report on wastewater treatment plant, receiving water's biocoenosis
and quality as basis for evaluation of large-scale ozonation process.
AB - The project DemO3AC (demonstration of large-scale wastewater ozonation at the
Aachen-Soers wastewater treatment plant, Germany) of the Eifel-Rur Waterboard
contains the construction of a large-scale ozonation plant for advanced treatment
of the entire 25 million m3/yr of wastewater passing through its largest
wastewater treatment plant (WWTP). In dry periods, up to 70% of the receiving
water consists of treated wastewater. Thus, it is expected that effects of
ozonation on downstream water biocoenosis will become observable. Extensive
monitoring of receiving water and the WWTP shows a severe pollution with
micropollutants (already prior to WWTP inlet). (Eco-)Toxicological investigations
showed increased toxicity at the inlet of the WWTP for all assays. However,
endocrine-disrupting potential was also present at other sampling points at the
WWTP and in the river and could not be eliminated sufficiently by the WWTP. Total
cell counts at the WWTP are slightly below average. Investigations of antibiotic
resistances show no increase after the WWTP outlet in the river. However, cells
carrying antibiotic-resistant genes seem to be more stress resistant in general.
Comparing investigations after implementation of ozonation should lead to an
approximation of the correlation between micropollutants and water
quality/biocoenosis and the effects that ozonation has on this matter.
PMID- 29377819
TI - Preparation and characterization of polysulfone/zeolite mixed matrix membranes
for removal of low-concentration ammonia from aquaculture wastewater.
AB - Removal of low-concentration ammonia (1-10 ppm) from aquaculture wastewater was
investigated via polysulfone (PSf)/zeolite mixed matrix membrane. PSf/zeolite
mixed matrix membranes with different weight ratios (90/10, 80/20, 70/30 and
60/40 wt.%) were prepared and characterized. Results indicate that PSf/zeolite
(80/20) was the most efficient membrane for removal of low-concentration ammonia.
The ammonia elimination by PSf/zeolite (80/20) from aqueous solution for 10, 7,
5, 3 and 1 ppm of ammonia was 100%, 99%, 98.8%, 96% and 95% respectively. The
recorded results revealed that pure water flux declined in higher loading of
zeolite in the membrane matrix due to surface pore blockage caused by zeolite
particles. On the other hand, ammonia elimination from water was decreased in
higher contents of zeolite because of formation of cavities and macrovoids in the
membrane substructure.
PMID- 29377820
TI - Volumetric modeling of two sludge piles from water treatment plants in a
Brazilian reservoir.
AB - Water treatment plants are designed to continuously produce drinkable water,
meeting defined criteria of potability. However, besides potable water, these
plants produce sludges that are disposed of in the environment. The present work
aimed to evaluate the sludges generated in two water treatment plants and
disposed of in the margin of the Juturnaiba dam. Since alum has been used as a
flocculating agent in these two plants, the concentrations of aluminum were
measured in the sludges and in surface sediments. The generated piles are
extremely soft to walk on and difficult to measure, so indirect modeling
procedures had to be applied. The calculated mass of the sludge piles at each
plant are similar and respectively 60,370 and 61,479 tons. The aluminum content
of the residues, calculated according to its dosage, was 33.2 and 32.6 g kg-1 in
the piles from the two plants. The amount of alum dosed to the water corresponds
almost to the excess of aluminum in the sludge, compared to the sediments. It was
concluded that regardless of the fact that residues are disposed of in very
restricted areas, they are directly in contact with the water and may constitute
a threat for the environment and humans' health.
PMID- 29377821
TI - Gasification of yeast industry treatment plant sludge using downdraft Gasifier.
AB - Sludges produced in biological wastewater treatment plants have rich organic
materials in their characteristics. Recent research studies have focused on the
energy recovery from sludge due to its high organic content. The gasification
process is a thermal conversion technology transforming the chemical energy
contained in a solid fuel into thermal energy and electricity. The produced
syngas as a mixture of CO, CH4, H2 and other gases can be used to generate
electrical energy. The gasification of yeast industry sludge has been
experimentally evaluated in a pilot scale downdraft-type gasifier as a route
towards the energy recovery. The gasifier has 20 kg biomass/h fuel capacity.
During gasification, the temperature achieved was more than 1,000 degrees C in
the gasifier, and then the syngas was transferred to the gas engine to yield the
electricity. A load was connected to the grid box and approximately 1 kWh
electrical power generation for 1 kg dry sludge was determined. The
characteristics of residuals - ash, glassy material - were also analyzed. It was
found that most of the heavy metals were fixed in the glassy material.
Experimental results showed that the yeast industry sludge was an appropriate
material for gasification studies and remarkable energy recovery was obtained in
terms of power production by using syngas.
PMID- 29377822
TI - Remediation of arsenic(III) from aqueous solutions using zero-valent iron (ZVI)
combined with potassium permanganate and ferrous ions.
AB - A system of zerovalent iron combined with potassium permanganate and ferrous
irons (Fe(II)-KMnO4-ZVI) was used to remove As(III), one of the most poisonous
wastewater pollutants. The Fe(II)-KMnO4-ZVI system was characterized by using X
ray photoelectron spectroscopy and scanning electron microscopy. The As(III)
removal efficiency by the Fe(II)-KMnO4-ZVI system under different conditions was
investigated and the experimental data were fitted to adsorption kinetics and
isotherm models. As(III) could be removed by both physisorption and chemisorption
through mixing adsorbents in a very short time (minute scale) with high removal
ratios (more than 99.5%) over a wide range of pH (1-9) and concentration (20-100
mg/L). The removal of As(III) by the Fe(II)-KMnO4-ZVI system agreed well with
pseudo-first-order reaction kinetics and pseudo-second-order reaction kinetics.
The Freundlich isotherm provided a good model of the adsorption system,
indicating that the Fe(II)-KMnO4-ZVI system has heterogeneous structure. The
results show that the Fe(II)-KMnO4-ZVI system exhibited a high removal efficiency
for As(III), which suggested that it might be an effective material for As(III)
remediation.
PMID- 29377823
TI - Evaluation of microbial fuel cell (MFC) for bioelectricity generation and
pollutants removal from sugar beet processing wastewater (SBPW).
AB - Bioelectricity generation from biodegradable compounds using microbial fuel cells
(MFCs) offers an opportunity for simultaneous wastewater treatment. This study
evaluated the synergy of electricity generation by the MFC while reducing
pollutants from sugar beet processing wastewater (SBPW). A simple dual-chamber
MFC was constructed with inexpensive materials without using catalysts. Raw SBPW
was diluted to several concentrations (chemical oxygen demand (COD) of 505 to
5,750 mg L-1) and fed as batch-mode into the MFC without further modification. A
power density of 14.9 mW m-2 as power output was observed at a COD concentration
of 2,565 mg L-1. Coulombic efficiency varied from 6.21% to 0.73%, indicating
diffusion of oxygen through the cation exchange membrane and other methanogenesis
and fermentation processes occurring in the anode chamber. In this study, >97% of
the COD and up to 100% of the total suspended solids removals were observed from
MFC-treated SBPW. Scanning electron microscopy of anode indicated that a diverse
community of microbial consortia was active for electricity generation and
wastewater treatment. This study demonstrated that SBPW can be used as a
substrate in the MFC to generate electricity as well as to treat for pollutant
removal.
PMID- 29377824
TI - Recycling food waste to clean water: the use of a biodigester's residual liquid
inoculum (RLI) to decolourise textile azo dyes.
AB - A residual liquid inoculum (RLI) was used to decolourise solutions of Acid Yellow
25 (AY25) and Direct Violet 51 (DV51) azo dyes. The RLI was obtained through
anaerobic digestion of food waste from a university restaurant. The concentration
of bacteria in the RLI was 8.45 * 107 CFU mL-1. Dye solutions (50 MUg mL-1) were
inoculated with the RLI (20% v/v) and incubated at room temperature. The
decolourisation studies took place at microaerophilic and in-batch conditions and
at pH = 2.50. Initially, the dyes were taken up from solution by biosorption;
maximum colour removal was achieved after 3 hours of incubation, with 88.66% for
AY25 and 77.65% of DV51. At prolonged incubation times (3-96 hours)
decolourisation was mainly attributed to biodegradation of the azo solutions,
with breakage of the azo bond, as detected by UV-VIS spectroscopy and Fourier
transform infrared (FT-IR) analysis. Analysis of UV-VIS absorption rates of dyes
showed, however, that AY25 was more readily biodegradable whereas DV51 was more
recalcitrant to the action of the RLI.
PMID- 29377825
TI - Titania nano-coated quartz wool for the photocatalytic mineralisation of emerging
organic contaminants.
AB - Many emerging contaminants pass through conventional wastewater treatment plants,
contaminating surface and drinking water. The implementation of advanced
oxidation processes in existing plants for emerging contaminant remediation is
one of the challenges for the enhancement of water quality in the industrialised
countries. This paper reports on the production of a TiO2 nano-layer on quartz
wool in a relevant amount, its characterisation by X-ray diffraction and scanning
electron microscopy, and its use as a photocatalyst under ultraviolet radiation
for the simultaneous mineralisation of five emerging organic contaminants
(benzophenone-3, benzophenone-4, carbamazepine, diclofenac, and triton X-100)
dissolved in deionised water and tap water. This treatment was compared with
direct ultraviolet photolysis and with photocatalytic degradation on commercial
TiO2 micropearls. The disappearance of every pollutant was measured by high
performance liquid chromatography and mineralisation was assessed by the
determination of total organic carbon. After 4 hours of treatment with the TiO2
nano-coated quartz wool, the mineralisation exceeds 90% in deionised water and is
about 70% in tap water. This catalyst was reused for seven cycles without
significant efficiency loss.
PMID- 29377826
TI - Primary productivity and climate change in Austrian lowland rivers.
AB - There is increasing evidence of water temperature being a key controlling factor
of stream ecosystem metabolism. Although the focus of research currently lies on
carbon emissions from fluvial networks and their potential role as positive
climate feedback, it is also important to estimate the risk of eutrophication
streams will be exposed to in the future. In this work, a methodological approach
is developed to create a scientific basis for such assessment and is applied to
two Austrian lowland rivers with significantly different characteristics. Gross
primary productivity (GPP) is determined through the open diel oxygen method and
its temperature dependence is quantified based on the metabolic theory of
ecology. This relationship is combined with the outcomes of a climate change
scenario obtained through a novel integrated modelling framework. Results
indicate that in both rivers, a 1.5 degrees C warming would provoke an increase
of GPP of 7-9% and that such an increase would not be limited by nutrient
availability. The results further suggest that the situation for the relatively
shallow river might be more critical, given that its GPP values in summer are
five times higher than in the deeper murky river.
PMID- 29377827
TI - Correlation between nitrous oxide (N2O) emission and carbon to nitrogen (COD/N)
ratio in denitrification process: a mitigation strategy to decrease greenhouse
gas emission and cost of operation.
AB - The reliability and accuracy of in-situ ion selective electrode and ultraviolet
(NOx) probes have been investigated at four different treatment plants with
different operational conditions. This study shows that the mentioned probes tend
to compromise their accuracy and trending stability at lower NOx of <1.0 mg N/L,
which if used as a measuring variable for PI feedback controller for
denitrification (biological reduction of nitrate to nitrogen gas), would cause
overfeeding the external carbon source. In-situ Clark-type N2O sensors, recently
introduced for industrial scale use (Unisense Environment) could potentially open
a new horizon in the automation of biological processes and particularly
denitrification. To demonstrate the applicability of such probes for automation,
two in-situ N2O probes were used in two treatment plants in parallel with NOx-N
probes. The effects of operational conditions such as COD/N ratios and the
correlation between NOx and N2O were investigated at those plants. N2O production
at non-detect dissolved oxygen concentrations and pH of 7-7.2 were found to be a
function of influent nitrogen load or the ratio of COD/NINFLUENT. Finally, using
an N2O probe as a proxy sensor for nitrates is proposed as a measured variable in
the PI feedback in the automation of the denitrification process with a NOx set
point of <1.2 mg N/L).
PMID- 29377828
TI - The role of organic matter and clay content in sediments for bioavailability of
pyrene.
AB - Evaluation of the bioavailable fractions of organic contaminants such as
polycyclic aromatic hydrocarbons (PAHs) is extremely important for assessing
their risk to the environment. This available fraction, which can be solubilised
and/or easily extracted, is believed to be the most accessible for
bioaccumulation, biosorption and/or transformation. Sediment organic matter (OM)
and clay play an important role in the biodegradation and bioavailability of
PAHs. The strong association of PAHs with OM and clay in sediments has a great
influence not only on their distribution but also on their long-term
environmental impact. This paper investigates correlations between
bioavailability and the clay and OM contents in sediments. The results show that
OM is a better sorbent for pyrene (chosen as a model PAH) and that increasing the
OM content reduces the bioavailable fraction. A mathematical model was used to
predict the kinetic desorption, and these results showed that the sediment with
the lowest content of OM had an Ffast value of 24%, whereas sediment with 20% OM
gave a value of 9%. In the experiments with sediments with different clay
contents, no clear dependence between clay and rate constants of the fast
desorbing fractions was observed, which can be explained by the numerous possible
interactions at the molecular level.
PMID- 29377830
TI - Recovery of phenolic compounds from multi-component solution by a synthesized
activated carbon using resorcinol and formaldehyde.
AB - The adsorption of four phenolic compounds (gallic acid, protocatechuic acid,
vanillic acid and syringic acid) is investigated using a synthesized mesoporous
carbon on both single and multi-component synthetic solutions. Some correlation
of the adsorption capacity of the carbon and the nature of adsorbate could be
made, except for gallic acid whose concentration decrease seems to be not
exclusively due to adsorption but also to polymerization reaction. In the multi
component mixture, negative effects in the adsorption capacity are observed
probably due to competition for the active centers of the adsorbent surface. In
desorption studies, ethanol presents better performance than water and
acetonitrile. Vanillic acid is the compound with the higher adsorption and
interestingly it is then possible to desorb a relatively high amount of it from
the adsorbent, which may represent a possibility for a selective recovery of
vanillic acid. These results present a potential way to treat the wastewater from
the cork industry.
PMID- 29377829
TI - Influence of temperature on the start-up of membrane bioreactor: kinetic study.
AB - The start-up phase of a membrane bioreactor (MBR) for municipal wastewater
treatment was studied to determine the effect of temperature on the organic
matter removal and heterotrophic kinetics. The MBR system was analyzed during
four start-up phases with values of hydraulic retention time (HRT) of 6 h and 10
h, mixed liquor suspended solids (MLSS) concentrations of 4,000 mg L-1 and 7,000
mg L-1 in the steady state, and temperature values of 11.5, 14.2, 22.9 and 30.1
degrees C. The influence of temperature on the biological process of organic
matter removal was determined through the Arrhenius equation and Monod model. At
the most favorable operation conditions of HRT (10 h) and MLSS (7,000 mg L-1)
corresponding to phase 4, the effect of these variables dominated over the
temperature. Heterotrophic biomass from phase 2 (HRT = 10 h, MLSS = 4,000 mg L-1
and T = 30.1 degrees C) had the highest values of chemical oxygen demand (COD)
degradation rate (rsu,H), implying less time to remove organic matter and shorter
duration of the start-up phase.
PMID- 29377831
TI - An intelligent detecting system for permeability prediction of MBR.
AB - The membrane bioreactor (MBR) has been widely used to purify wastewater in
wastewater treatment plants. However, a critical difficulty of the MBR is
membrane fouling. To reduce membrane fouling, in this work, an intelligent
detecting system is developed to evaluate the performance of MBR by predicting
the membrane permeability. This intelligent detecting system consists of two main
parts. First, a soft computing method, based on the partial least squares method
and the recurrent fuzzy neural network, is designed to find the nonlinear
relations between the membrane permeability and the other variables. Second, a
complete new platform connecting the sensors and the software is built, in order
to enable the intelligent detecting system to handle complex algorithms. Finally,
the simulation and experimental results demonstrate the reliability and
effectiveness of the proposed intelligent detecting system, underlying the
potential of this system for the online membrane permeability for detecting
membrane fouling of MBR.
PMID- 29377832
TI - Natural treatment system models for wastewater management: a study from
Hyderabad, India.
AB - Wastewater generated on a global scale has become a significant source of water
resources which necessitates appropriate management strategies. However, the
complexities associated with wastewater are lack of economically viable treatment
systems, especially in low- and middle-income countries. While many types of
treatment systems are needed to serve the various local issues, we propose
natural treatment systems (NTS) such as natural wetlands that are eco-friendly,
cost-effective, and can be jointly driven by public bodies and communities. In
order for it to be part of wastewater management, this study explores the NTS
potential for removal of pollutants, cost-effectiveness, and reuse options for
the 1.20 million m3/day of wastewater generated in Hyderabad, India. The pilot
study includes hydro-geophysical characterization of natural wetland to determine
pollutant removal efficiency and its effective utilization for treated wastewater
in the peri-urban habitat. The results show the removal of organic content (76
78%), nutrients (77-97%), and microbes (99.5-99.9%) from the wetland-treated
wastewater and its suitability for agriculture applications. Furthermore, the
wetland efficiency integrated with engineered interventions led to the
development of NTS models with different application scenarios: (i) constructed
wetlands, (ii) minimized community wetlands, and (iii) single outlet system,
suitable for urban, peri-urban and rural areas, respectively.
PMID- 29377833
TI - Evaluating the impacts of triclosan on wastewater treatment performance during
startup and acclimation.
AB - Triclosan (TCS) is a broad range antimicrobial agent used in many personal care
products, which is commonly discharged to wastewater treatment facilities
(WWTFs). This study examined the impact of TCS on wastewater treatment
performance using laboratory bench-scale sequencing batch reactors (SBRs) coupled
with anaerobic digesters. The SBRs were continuously fed synthetic wastewater
amended with or without 0.68 MUM TCS, with the aim of determining the effect of
chronic TCS exposure as opposed to a pulse TCS addition as previously studied.
Overall, the present study suggests inhibition of nitrogen removal during reactor
startup. However, NH4+ removal fully rebounded after 63 days, suggesting
acclimation of the associated microbial communities to TCS. An initial decrease
in microbial community diversity was observed in the SBRs fed TCS as compared to
the control SBRs, followed by an increase in community diversity, which coincided
with the increase in NH4+ removal. Elevated levels of NO3- and NO2- were found in
the reactor effluent after day 58, however, suggesting ammonia oxidizing bacteria
rebounding more rapidly than nitrogen oxidizing bacteria. Similar effects on
treatment efficiencies at actual WWTFs have not been widely observed, suggesting
that continuous addition of TCS in their influent may have selected for TCS
resistant nitrogen oxidizing bacteria.
PMID- 29377834
TI - Phenol biodegradation by isolated Citrobacter strain under hypersaline
conditions.
AB - Phenol is a toxic pollutant in many kinds of hypersaline industrial effluents
that should be treated properly before discharged into water bodies. In this
work, a halophilic strain which could utilize phenol as the sole source of carbon
and energy was isolated. Based on 16S rRNA results, it was identified as a member
of Citrobacter. The phenol biodegradation ability and cell growth of the strain
was evaluated with the variation of initial phenol concentration and salinity.
The effect of temperature and pH on phenol removal was also investigated. The
results showed that the strain was capable of withstanding high phenol (up to
1,100 mg L-1) environment with varying salinity conditions (0-10% of NaCl). The
optimal initial phenol concentration was 400 mg L-1, at which the average removal
rates of phenol peaked at 10.8 mg L-1 h-1. The higher initial concentration of
phenol could inhibit the microbial metabolism. The optimal temperature, pH, and
salinity were 35 degrees C, 6.0, and 0%, respectively. Under these conditions,
400 mg L-1 of phenol could be completely degraded within 20 h. The high removal
rates of phenol by the strain might provide an alternative for treating phenolic
wastewaters containing high salinity.
PMID- 29377835
TI - Rapid decolorization of textile wastewater by green synthesized iron
nanoparticles.
AB - The effectiveness of green tea (Camellia sinensis) and pomegranate (Punica
granatum) extracts for the production of iron nanoparticles and their application
for color removal from a textile industry wastewater was investigated.
Polyphenols in extracts act as reducing agents for iron ions in aqueous
solutions, forming iron nanoparticles. Pomegranate extract was found to have
almost a 10-fold higher polyphenolic content than the same amount of green tea
extract on a mass basis. However, the size of the synthesized nanoparticles did
not show a correlation with the polyphenolic content. 100 ppm and 300 ppm of iron
nanoparticles were evaluated in terms of color removal efficiency from a real
textile wastewater sample. 300 ppm of pomegranate nanoscale zero-valent iron
particles showed more than 95% color removal and almost 80% dissolved organic
carbon removal. The degradation mechanisms are is considered to be adsorption and
precipitation to a major extent, and mineralization to a minor extent.
PMID- 29377836
TI - Virtual reality in urban water management: communicating urban flooding with
particle-based CFD simulations.
AB - For communicating urban flood risk to authorities and the public, a realistic
three-dimensional visual display is frequently more suitable than detailed flood
maps. Virtual reality could also serve to plan short-term flooding interventions.
We introduce here an alternative approach for simulating three-dimensional
flooding dynamics in large- and small-scale urban scenes by reaching out to
computer graphics. This approach, denoted 'particle in cell', is a particle-based
CFD method that is used to predict physically plausible results instead of
accurate flow dynamics. We exemplify the approach for the real flooding event in
July 2016 in Innsbruck.
PMID- 29377837
TI - Removal of nitrate from water by acid-washed zero-valent iron/ferrous
ion/hydrogen peroxide: influencing factors and reaction mechanism.
AB - In this paper, a system consisting of acid-washed zero-valent iron (ZVI), ferrous
ion (Fe2+), and hydrogen peroxide (H2O2) was employed for the removal of nitrate
(NO3-) from water, and the reaction mechanism for this is discussed. The effects
of acid-washed ZVI, Fe2+, H2O2, and initial NO3- concentration on nitrate removal
were investigated. Acid-washed ZVI before and after reaction with nitrate were
characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), and
X-ray photoelectron spectroscopy (XPS). Results reveal that the combined system
can enhance the corrosion of ZVI and facilitate aqueous nitrate reduction. The
products of nitrate reduction are mainly ammonium, with some N2. The ZVI
particles after reaction may have a core of ZVI with an oxidation layer mainly
consisting of Fe3O4.
PMID- 29377838
TI - Characterizing health risks associated with recreational swimming at Taiwanese
beaches by using quantitative microbial risk assessment.
AB - Taiwan is surrounded by oceans, and therefore numerous pleasure beaches attract
millions of tourists annually to participate in recreational swimming activities.
However, impaired water quality because of fecal pollution poses a potential
threat to the tourists' health. This study probabilistically characterized the
health risks associated with recreational swimming engendered by waterborne
enterococci at 13 Taiwanese beaches by using quantitative microbial risk
assessment. First, data on enterococci concentrations at coastal beaches
monitored by the Taiwan Environmental Protection Administration were reproduced
using nonparametric Monte Carlo simulation (MCS). The ingestion volumes of
recreational swimming based on uniform and gamma distributions were subsequently
determined using MCS. Finally, after the distribution combination of the two
parameters, the beta-Poisson dose-response function was employed to
quantitatively estimate health risks to recreational swimmers. Moreover, various
levels of risk to recreational swimmers were classified and spatially mapped to
explore feasible recreational and environmental management strategies at the
beaches. The study results revealed that although the health risks associated
with recreational swimming did not exceed an acceptable benchmark of 0.019
illnesses daily at all beaches, they approached to this benchmark at certain
beaches. Beaches with relatively high risks are located in Northwestern Taiwan
owing to the current movements.
PMID- 29377839
TI - Adsorption of nitrate onto biochar derived from agricultural residuals.
AB - To develop low-cost adsorbents for aqueous nitrate, biochars were prepared from
three types of agricultural residuals at different pyrolysis temperatures (300
degrees C, 450 degrees C, and 600 degrees C). The corncob biochar produced at
600 degrees C (CC600) was the best nitrate adsorbent of all the tested biochars.
Characterization results showed that CC600 had good thermal stability, porous
structure, and abundant surface functional groups. Findings from batch adsorption
experiments demonstrated that CC600 showed relatively fast adsorption kinetics to
nitrate in aqueous solutions. In addition, the Langmuir adsorption capacity of
CC600 to nitrate was 14.46 mg/g, comparable to that of other biochar-based
adsorbents. Therefore, CC600 showed promising potential to be used as a low-cost
adsorbent for the treatment of nitrate in water.
PMID- 29377840
TI - delta13CDIC tracing of dissolved inorganic carbon sources at Three Gorges
Reservoir, China.
AB - In order to understand water chemistry after impoundment of the Three Gorges
Reservoir (TGR), the authors assessed the hydrogeochemical parameters (water
temperature, pH, conductivity, dissolved O2), major element composition, and the
carbon stable isotopic value of dissolved inorganic carbon (delta13CDIC) in
summer and winter at various depths in the water column at TGR in 2009. In
reservoir water, the DO values are lower in winter than in summer, but the pH
values and conductivity values are higher. Ca2+ and Na+ are the dominant cations
and HCO3- and SO42- are the dominant anions. In surface waters, the delta13CDIC
values are more negative in summer than they are in winter, whereas the dissolved
inorganic carbon (DIC) concentrations are relatively lower. In the water column,
the DIC and delta13CDIC values do not change significantly with water depth or
seasons. The DIC content shows a dilution effect in summer and is not modified by
phytoplanktonic activity or photosynthesis. Even after the dam obstructed flow,
the chemical profile of water in TGR is similar to that of the natural rivers
before impoundment. Therefore, in this study, the water at TGR still had riverine
characteristics and was still a heterotrophic system.
PMID- 29377841
TI - Nomogram to Predict Graft Thickness in Descemet Stripping Automated Endothelial
Keratoplasty: An Eye Bank Study.
AB - PURPOSE: The purpose of this study was to develop a nomogram to predict postcut
thickness of corneal grafts prepared at an eye bank for Descemet stripping
automated endothelial keratoplasty (DSAEK). METHODS: Retrospective chart review
was performed of DSAEK graft preparations by 3 experienced technicians from April
2012 to May 2017 at the Eye Bank of Canada-Ontario Division. Variables collected
included the following: donor demographics, death-to-preservation time, death-to
processing time, precut tissue thickness, postcut tissue thickness, microkeratome
head size, endothelial cell count, cut technician, and rate of perforation.
Linear regression models were generated for each microkeratome head size (300 and
350 MUm). RESULTS: A total of 780 grafts were processed during the study period.
Twelve preparation attempts resulted in perforation (1.5%) and were excluded.
Mean precut tissue thickness was 510 +/- 49 MUm (range: 363-670 MUm). Mean
postcut tissue thickness was 114 +/- 22 MUm (range: 57-193 MUm). Seventy-nine
percent (608/768) of grafts were <=130 MUm. The linear regression models included
precut thickness and donor age, which were able to predict the thickness to
within 25 MUm 80% of the time. CONCLUSIONS: We report a nomogram to predict
thickness of DSAEK corneal grafts prepared in an eye bank setting, which was
accurate to within 25 MUm 80% of the time. Other eye banks could consider
performing similar analyses.
PMID- 29377842
TI - Effects of Lipid- Versus Sodium Hyaluronate-Containing Eye Drops on Optical
Quality and Ocular Surface Parameters as a Function of the Meibomian Gland
Dropout Rate.
AB - PURPOSE: To evaluate the effect of lipid- versus sodium hyaluronate-based eye
drops on optical quality and ocular surface parameters. METHODS: Sixty eyes of 30
patients with mild-to-moderate dry eye disease were included in a prospective
randomized study. Each patient received either lipid- or sodium hyaluronate-based
eye drops. Ocular symptom scores, breakup time, Schirmer test, fluorescein
staining, noncontact meibography, and aberrometry were evaluated before and after
3 months of therapy. RESULTS: At the 3-month visit, a statistically significant
improvement (P <= 0.05) was noted in both groups on the Schirmer test, breakup
time, ocular surface staining, and symptom score. In the lipid group, patients
with progressive meibomian gland (MG) loss (>50%) showed a significantly greater
increase in their corneal higher-order (HO) Strehl ratio (0.25 +/- 0.26 vs. -0.01
+/- 0.25, P = 0.02) and modulation transfer function (MTF) (0.12 +/- 0.17 vs.
0.02 +/- 0.06, P = 0.03) than patients with less advanced MG disease. In the
lipid group, a significant positive correlation was noted between the MG dropout
rate and improvement in Schirmer values [Spearman correlation coefficient (CC):
0.79], corneal HO Strehl (CC: 0.75), and HO modulation transfer function (CC:
0.6), but a significant negative correlation was noted between the MG dropout
rate and the HO root mean square (CC: -0.73). CONCLUSIONS: Lipid-containing
artificial tears seem to be superior to sodium hyaluronate-containing drops in
terms of improving HO aberrations and optical quality in patients with
significant MG dysfunction.
PMID- 29377843
TI - Reply.
PMID- 29377844
TI - Reply.
PMID- 29377845
TI - Osteomyelitis Arising Around Osseointegrated Dental Implants: A Systematic
Review.
AB - OBJECTIVE: The past few years have seen a progressive increment in the number of
osteomyelitis cases associated with dental implants, raising the interest of a
possible role of implant therapy in the development of osteomyelitis. The aim of
the present study was to systematically review the association between dental
implant therapy and occurrence of osteomyelitis. DATA SOURCES: The focused
question addressed was "What is the risk to develop osteomyelitis among patients
receiving dental implants?" Indexed databases were searched without language
restrictions up to January 2017 using various key words including:
"osteomyelitis"; "dental implants"; "osseointegration"; and "risk factors."
RESULTS: Fourteen studies reporting cases of 39 patients who developed
osteomyelitis after dental implant placement were identified. Among the 39
patients, 66.6% were women and 28.2% were men. The overall mean age was 60.26
years. Thirty-six patients had osteomyelitis of the mandible; 2 cases were
reported in the maxilla, whereas, 1 case reported vertebral osteomyelitis
associated with implant therapy. CONCLUSION: The knowledge of the real impact of
osteomyelitis on the outcome of implant therapy and the identification of risk
factors associated with this infectious and life-threatening condition are
essential for the development of prevention protocols and treatment strategies.
PMID- 29377846
TI - Is It Time to Reconsider Pressure Injuries as a Nurse-Sensitive Indicator?
PMID- 29377847
TI - Building a Culture of Continuous Improvement and Employee Engagement Using a
Daily Management System Part 1: Overview.
AB - A daily management system (DMS) can be used to implement continuous quality
improvement and advance employee engagement. It can empower staff to identify
problems in the care environment that impact quality or workflow and to address
them on a daily basis. Through DMS, improvement becomes the work of everyone,
every day. The authors of this 2-part series describe their work to develop a
DMS. Part 1 describes the background and organizing framework of the program.
PMID- 29377848
TI - Metacognitive Interpersonal Therapy for Personality Disorders Featuring Emotional
Inhibition: A Multiple Baseline Case Series.
AB - Metacognitive interpersonal therapy (MIT) is an integrative psychotherapeutic
approach targeting personality disorders (PDs) featuring inhibition and
avoidance. The current case series reports the outcome of a time-limited, 12
month MIT intervention for people with PDs featuring emotional inhibition. Seven
participants were diagnosed with a PD on the basis of a structured clinical
interview. The study followed a multiple baseline design, with baseline measures
taken for 3 weeks before intervention. Participants underwent 12 months of weekly
MIT sessions, with outcome measures taken every 3 months. Outcome variables were
diagnostic recovery, symptom severity, and alexithymia. All participants improved
over the course of the 12-month intervention across most measures. For six of the
participants, the intervention was a likely driver of change. The current study
contributes to a growing evidence base regarding the effectiveness of MIT for the
treatment of PDs.
PMID- 29377849
TI - ICD-11 Prevalence Rates of Posttraumatic Stress Disorder and Complex
Posttraumatic Stress Disorder in a German Nationwide Sample.
AB - Prevalence rates are still lacking for posttraumatic stress disorder (PTSD) and
complex PTSD (CPTSD) diagnoses based on the new ICD-11 criteria. In a nationwide
representative German sample (N = 2524; 14-99 years), exposure to traumatic
events and symptoms of PTSD or CPTSD were assessed with the International Trauma
Questionnaire. A clinical variant of CPTSD with a lower threshold for core PTSD
symptoms was also calculated, in addition to conditional prevalence rates
dependent on trauma type and differential predictors. One-month prevalence rates
were as follows: PTSD, 1.5%; CPTSD, 0.5%; and CPTSD variant, 0.7%. For PTSD, the
highest conditional prevalence was associated with kidnapping or rape, and the
highest CPTSD rates were associated with sexual childhood abuse or rape. PTSD and
CPTSD were best differentiated by sexual violence. Combined PTSD and CPTSD (ICD
11) rates were in the range of previously reported prevalences for unified PTSD
(Diagnostic and Statistical Manual of Mental Disorders, 4th Edition; ICD-10).
Evidence on differential predictors of PTSD and CPTSD is still preliminary.
PMID- 29377850
TI - Does the Angle of the Nail Matter for Pertrochanteric Fracture Reduction?
Matching Nail Angle and Native Neck-Shaft Angle.
AB - OBJECTIVES: To determine whether fixation of pertrochanteric hip fractures with
cephalomedullary nails (CMNs) with a neck-shaft angle (NSA) less than the native
NSA affects reduction and lag screw cutout. DESIGN: Retrospective comparative
study. SETTING: Level I trauma center. PATIENTS/PARTICIPANTS: Patients treated
with a CMN for unstable pertrochanteric femur fractures (OTA/AO 31-A2.2 and 31
A2.3) between 2005 and 2014. INTERVENTION: CMN fixation. MAIN OUTCOME
MEASUREMENT: NSA reduction and lag screw cutout. RESULTS: Patients fixed with a
nail angle less than their native NSA were less likely to have good reductions
[17% vs. 60%, 95% confidence interval (CI), -63% to -18%; P = 0.0005], secondary
to more varus reductions (41% vs. 10%, 95% CI, 9%-46%; P = 0.01) and more
fractures with >=4 mm of displacement (63% vs. 35%, 95% CI, 3%-49%; P = 0.03).
The cutout was not associated with the use of a nail angle less than the native
NSA (60% vs. 76%, 95% CI, -56% to 18%; P = 0.5), varus reductions (60% vs. 32%,
95% CI, -13% to 62%; P = 0.3), or poor reductions (20% vs. 17%, 95% CI, -24% to
44%; P = 1.0). CONCLUSIONS: The fixation of unstable pertrochanteric hip
fractures with a nail angle less than the native NSA was associated with more
varus reductions and fracture displacement but did not affect the lag screw
cutout. LEVEL OF EVIDENCE: Therapeutic Level III. See Instructions for Authors
for a complete description of levels of evidence.
PMID- 29377851
TI - Leadership in Academic Medicine: Purpose, People, and Programs.
PMID- 29377852
TI - At the Nexus of Academic and Social Change: The School for the Science of Health
Care Delivery.
PMID- 29377853
TI - Social Determinants of Health Education: A Call to Action.
PMID- 29377854
TI - Remembering Thought Diversity: The Value of Social Science and Humanities
Physician-Scholars in MD-PhD Programs.
PMID- 29377855
TI - In Reply to Sun et al.
PMID- 29377856
TI - Beyond Test Scores and Medical Knowledge: The Standardized Video Interview, an
Innovative and Ethical Approach for Holistic Assessment of Applicants.
PMID- 29377857
TI - Strategies for Residency Programs to Enhance Personal Relationships and Prevent
Resident Burnout.
PMID- 29377859
TI - Physician Advocacy: The Importance of Both Organizational and Individual Doctor
Voices.
PMID- 29377858
TI - In Reply to Wang and Myers.
PMID- 29377860
TI - In Reply to Fisher.
PMID- 29377861
TI - Artist's Statement: A Lady Between Two Majors.
PMID- 29377862
TI - Missing the Gorilla.
PMID- 29377863
TI - Room 604 - Ode to Orion.
PMID- 29377864
TI - Commentary on "Room 604 - Ode to Orion".
PMID- 29377865
TI - Validity Evidence for a Serious Game to Assess Performance on Critical Pediatric
Emergency Medicine Scenarios.
AB - INTRODUCTION: We developed a first-person serious game, PediatricSim, to teach
and assess performances on seven critical pediatric scenarios (anaphylaxis,
bronchiolitis, diabetic ketoacidosis, respiratory failure, seizure, septic shock,
and supraventricular tachycardia). In the game, players are placed in the role of
a code leader and direct patient management by selecting from various assessment
and treatment options. The objective of this study was to obtain supportive
validity evidence for the PediatricSim game scores. METHODS: Game content was
developed by 11 subject matter experts and followed the American Heart
Association's 2011 Pediatric Advanced Life Support Provider Manual and other
authoritative references. Sixty subjects with three different levels of
experience were enrolled to play the game. Before game play, subjects completed a
40-item written pretest of knowledge. Game scores were compared between subject
groups using scoring rubrics developed for the scenarios. Validity evidence was
established and interpreted according to Messick's framework. RESULTS: Content
validity was supported by a game development process that involved expert
experience, focused literature review, and pilot testing. Subjects rated the game
favorably for engagement, realism, and educational value. Interrater agreement on
game scoring was excellent (intraclass correlation coefficient = 0.91, 95%
confidence interval = 0.89-0.9). Game scores were higher for attendings followed
by residents then medical students (Pc < 0.01) with large effect sizes (1.6-4.4)
for each comparison. There was a very strong, positive correlation between game
and written test scores (r = 0.84, P < 0.01). CONCLUSIONS: These findings
contribute validity evidence for PediatricSim game scores to assess knowledge of
pediatric emergency medicine resuscitation.
PMID- 29377866
TI - Dexamethasone as an Adjuvant to Femoral Nerve Block in Children and Adolescents
Undergoing Knee Arthroscopy: A Prospective, Randomized, Double-Blind, Placebo
Controlled Trial.
AB - BACKGROUND AND OBJECTIVES: Perineural dexamethasone has been demonstrated to
extend postsurgical analgesia after peripheral nerve blockade in adults. The
mechanism of action of dexamethasone as a regional anesthetic adjuvant is unclear
as intravenous dexamethasone has been shown to have similar analgesic efficacy as
perineural dexamethasone. The efficacy of perineural dexamethasone has not been
previously explored in the pediatric population. METHODS: After obtaining
informed consent, children (aged 10-18 years) presenting for arthroscopic knee
surgery with a femoral nerve block were randomized to 1 of 3 groups: ropivacaine
0.5% and intramuscular saline (group R), ropivacaine 0.5% plus perineural
dexamethasone 0.1 mg/kg (maximum 4 mg) and intramuscular saline (group D), and
ropivacaine 0.5% and intramuscular dexamethasone 0.1 mg/kg (maximum 4 mg) (group
M). The primary outcome was the number of doses of analgesic agents in the first
48 hours after hospital discharge. The number of doses was compared across study
groups using Wilcoxon rank sum tests. RESULTS: Seventy-seven patients were
enrolled in the study, of whom 4 were withdrawn because of additional surgical
repair being performed, emergence delirium requiring unblinding, or loss to
follow-up. The remaining 36 boys and 37 girls (aged 15 +/- 2 years) included 23
patients randomized to group D, 23 patients randomized to group M, and 27
patients randomized to group R. The median number of pain medication doses within
48 hours of discharge was 2, 3, and 2 in groups D, M, and R, respectively. There
were no significant differences in this outcome between groups D and M
(difference in medians, 1; 95% confidence interval [CI] of difference in medians,
-1 to 2; P = 0.475), groups D and R (difference in medians, 0; 95% CI of
difference, -2 to 1; P = 0.821), or groups M and R (difference in medians, -1;
95% CI of difference, -2 to 1; P = 0.594). Other secondary outcomes, including
time to first analgesic consumption after discharge, visual analog scale pain
score, and subjective intensity of motor block, did not evince statistically
significant differences among the study groups. CONCLUSIONS: In the pediatric
population, perineural or intramuscular dexamethasone did not improve analgesia
after femoral nerve blockade for knee arthroscopy. Whether the observed lack of
benefit reflects a true adult-pediatric difference or a limitation of the study
could not be determined. Future pediatric studies are needed to evaluate
dexamethasone for other block types and other types of surgery. CLINICAL TRIAL
REGISTRATION: This study was registered at ClinicalTrials.gov, identifier
NCT01971645.
PMID- 29377868
TI - Characteristics of Adrenal Masses in Familial Adenomatous Polyposis.
AB - BACKGROUND: Adrenal masses are a known extraintestinal manifestation of familial
adenomatous polyposis. However, the literature on this association is largely
confined to case reports. OBJECTIVE: This study aimed to determine the
characteristics of adrenal masses in familial adenomatous polyposis and their
clinical significance, as well as to estimate their prevalence. Mutational
analysis was conducted to determine if any potential genotype-phenotype
correlations exist. DESIGN: This is a retrospective cohort study. SETTING:
Analysis included all patients meeting the criteria of classic familial
adenomatous polyposis who were registered with the Familial Gastrointestinal
Cancer Registry, a national Canadian database. PATIENTS: Appropriate imaging or
autopsy reports were available in 311 registry patients. Patients with adrenal
metastases were excluded. OUTCOME MEASURES: Data collection included demographic
data, mutation genotype, adrenal mass characteristics, surgical interventions and
mortality. RESULTS: The prevalence of adrenal masses was 16% (n = 48/311). The
median age at diagnosis of adrenal mass was 45 years. The median diameter of
adrenal mass at diagnosis was 1.7 cm (interquartile range, 1.4-3.0) with a median
maximal diameter of 2.5 cm (interquartile range, 1.7-4.1) with median imaging
follow-up of 48 months. The majority of adrenal masses were benign (97%, n =
61/63). Surgery was performed on 7 patients because of concerns for size,
malignancy, or hormonal secretion. One adrenal-related death was due to an
adrenocortical carcinoma. Mutation analysis did not identify any specific
genotype-phenotype correlations. LIMITATIONS: There were incomplete or
insufficient endocrinology data available in the registry to allow for the
analysis of hormone secretion patterns. CONCLUSIONS: Adrenal masses are
approximately twice as prevalent in the familial adenomatous polyposis population
as in previous studies of the general population. Nearly all mutations led to
truncation of the APC gene; however, there was no genetic signature to help
predict those at increased risk. The majority of adrenal lesions identified were
of benign etiology; thus, an intensive management or surveillance strategy with
imaging screening is likely unwarranted. See Video Abstract at
http://links.lww.com/DCR/A507.
PMID- 29377869
TI - Impact of Low Muscularity and Myosteatosis on Long-term Outcome After Curative
Colorectal Cancer Surgery: A Propensity Score-Matched Analysis.
AB - BACKGROUND: Muscle loss, characterized by reduced muscle mass (myopenia), and
infiltration by intermuscular and intramuscular fat (myosteatosis), predicts a
poor short-term prognosis in patients with colorectal cancer. However, little is
known about the influence of myopenia and myosteatosis on long-term outcomes.
OBJECTIVE: The present study aimed to evaluate the prognostic influence of both
myopenia and myosteatosis on long-term outcomes after curative colorectal cancer
surgery. DESIGN: This is a retrospective analysis using a propensity score
matched analysis to reduce the possibility of selection bias. SETTINGS: The study
was conducted at a single institution. PATIENTS: We performed a retrospective
analysis of 211 consecutive patients with stage I to III colorectal cancer who
underwent curative surgery between 2010 and 2011. INTERVENTION: CT scans were
analyzed to calculate the lumbar skeletal muscle index and mean muscle
attenuation using a SYNAPS VINCENT. MAIN OUTCOME MEASURES: The primary outcome
measure was cancer-specific survival. Secondary end points included overall
survival and disease-free survival. RESULTS: Of 211 patients, a total of 102 and
106 were matched for myopenia and myosteatosis analyses. The median follow-up was
57.6 months. Versus the nonmyopenia group, the myopenia group manifested a
significantly shorter cancer-specific survival, overall survival, and disease
free survival. Significantly shorter cancer-specific survival and overall
survival times were also identified for the myosteatosis versus the
nonmyosteatosis group. Before matching, multivariate analyses identified both
myopenia and myosteatosis as independent prognostic factors for cancer-specific
survival (p = 0.04 and p < 0.01), overall survival (p = 0.03 and p < 0.01), and
disease-free survival (p < 0.01 and p < 0.01). LIMITATIONS: This study is limited
by its retrospective, nonrandomized design. CONCLUSIONS: Myopenia and
myosteatosis adversely affect long-term outcomes after curative colorectal cancer
resection. See Video Abstract at http://links.lww.com/DCR/A463.
PMID- 29377870
TI - The Ladd Procedure for Adult Malrotation With Volvulus.
PMID- 29377871
TI - Symptom Severity and Quality of Life Among Long-term Colorectal Cancer Survivors
Compared With Matched Control Subjects: A Population-Based Study.
AB - BACKGROUND: Data are lacking regarding physical functioning, psychological well
being, and quality of life among colorectal cancer survivors >10 years
postdiagnosis. OBJECTIVE: The purpose of this study was to examine self-reported
physical functioning, quality of life, and psychological well-being in long-term
colorectal cancer survivors compared with age- and sex-matched unaffected control
subjects. DESIGN: Participants completed a cross-sectional survey. SETTINGS: The
colorectal cancer survivors and unaffected control subjects were recruited from
the Ontario Familial Colorectal Cancer Registry. PATIENTS: A population-based
sample of colorectal cancer survivors (N = 296) and their age- and sex-matched
unaffected control subjects (N = 255) were included. Survivors were, on average,
15 years postdiagnosis. MAIN OUTCOME MEASURES: Quality of life was measured with
the Functional Assessment of Cancer Therapy-General scale, bowel dysfunction with
the Memorial Sloan-Kettering Cancer Center scale, urinary dysfunction with the
International Consultation on Incontinence Questionnaire-Short Form, fatigue with
the Functional Assessment of Chronic Illness Therapy-Fatigue scale, and
depression with the Center for Epidemiologic Studies-Depression scale. RESULTS:
In linear mixed-model analyses adjusting for income, education, race, and
comorbid medical conditions, survivors reported good emotional, functional,
physical, and overall quality of life, comparable to control subjects. Fatigue
and urinary functioning did not differ significantly between survivors and
control subjects. Survivors reported significantly higher social quality of life
and lower depression compared with unaffected control subjects. The only area
where survivors reported significantly worse deficits was in bowel dysfunction,
but the magnitude of differences was relatively small. LIMITATIONS:
Generalizability is limited by moderately low participation rates. Findings are
likely biased toward healthy participants. No baseline assessment was available
to examine change in outcomes over time. CONCLUSIONS: Long-term colorectal cancer
survivors appear to have comparable quality of life and, in some areas, better
well-being than their unaffected peers. Bowel dysfunction may continue to be an
ongoing issue even 15 years after colorectal cancer diagnosis. Overall quality of
life can be expected to be good in this group of older survivors. See Video
Abstract at http://links.lww.com/DCR/A476.
PMID- 29377872
TI - Systematic Review and Meta-analysis of Objective Assessment of Physical Fitness
in Patients Undergoing Colorectal Cancer Surgery.
AB - BACKGROUND: Gas exchange-derived variables obtained from cardiopulmonary exercise
testing allow objective assessment of functional capacity and hence physiological
reserve to withstand the stressors of major surgery. Field walk tests provide an
alternate means for objective assessment of functional capacity that may be
cheaper and have greater acceptability, in particular, in elderly patients.
OBJECTIVE: This systematic review evaluated the predictive value of
cardiopulmonary exercise testing and field walk tests in surgical outcomes after
colorectal surgery. DATA SOURCE: A systematic search was undertaken using
Medline, PubMed, Embase, CINAHL, and PEDro. STUDY SELECTION: Adult patients who
had cardiopulmonary exercise testing and/or field walk test before colorectal
surgery were included. MAIN OUTCOME MEASURE: The primary outcomes measured were
hospital length of stay and postoperative morbidity and mortality. RESULTS: A
total of 7 studies with a cohort of 1418 patients who underwent colorectal
surgery were identified for inclusion in a qualitative analysis. Both pooled
oxygen consumption at anaerobic threshold (range, 10.1-11.1 mL.kg.min) and peak
oxygen consumption (range, 16.7-18.6 mL.kg.min) were predictive of complications
(OR for anaerobic threshold, 0.76; 95% CI, 0.66-0.85, p<0.0001; OR for peak
oxygen consumption, 0.76; 95% CI, 0.67-0.85, p<0.0001). Patients had significant
increased risk of developing postoperative complications if their anaerobic
threshold was below this cut point (p<0.001). However, it was not predictive of
anastomotic leak (p = 0.644). Shorter distance (<250 m) walked in incremental
shuttle walk test, lower anaerobic threshold, and lower peak oxygen consumption
were associated with prolonged hospital length of stay, which was closely related
to the development of complications. CONCLUSIONS: Variables derived from
cardiopulmonary exercise testing are predictive of postoperative complications
and hospital length of stay. Currently, there are insufficient data to support
the predictive role of the field walk test in colorectal surgery.
PMID- 29377873
TI - Arc of Riolan-Preserving Splenic Flexure Takedown During Anterior Resection:
Potentially Critical to Prevent Acute Anastomotic Ischemia.
AB - INTRODUCTION: The Arc of Riolan is a strategic vessel that provides collateral
mesenteric circulation in 10% of individuals. The importance of identifying and
preserving the arc of Riolan in reducing the risk of ischemia to the proximal
anastomotic segment after high ligation anterior resection was evaluated.
TECHNIQUE: The arc of Riolan is a vessel that crosses anterior to the inferior
mesenteric vein horizontally below the level of the pancreas. A retrospective
review of all recorded videos of laparoscopic and robotic low and ultra-low
anterior resections was performed in September to December 2012 and prospective
evaluation in April to July 2013. The main outcome measures were arc of Riolan
identification and preservation, and this was correlated with postoperative
transmural colonic ischemia requiring surgical reintervention. From July 2013
onward, we routinely performed arc of Riolan-sparing anterior resections.
RESULTS: Arc of Riolan was observed in 17.8% of cases. Between 2006 and 2012,
before routinely looking for and preserving the arc of Riolan, our rate of acute
colonic transmural ischemia requiring an emergency Hartmann procedure after
anterior resection was 0.8% (6/723). Between 2012 and 2016, after we started
performing arc of Riolan-sparing splenic flexure takedown, there were no cases of
acute colonic transmural ischemia requiring surgical reintervention (0/576) after
anterior resection. CONCLUSIONS: Recognizing and preserving the arc of Riolan, if
visualized, during high inferior mesenteric vein ligation and splenic flexure
takedown may be an important step in reducing the risk of acute colonic ischemia
postanterior resection. See Video at http://links.lww.com/DCR/A535.
PMID- 29377874
TI - Successful Sequential Liver and Hematopoietic Stem Cell Transplantation in a
Child With CD40 Ligand Deficiency and Cryptosporidium-Induced Liver Cirrhosis.
AB - BACKGROUND: Hematopoietic stem cell transplantation (HSCT) is curative in
patients with primary immunodeficiencies. However, pre-HSCT conditioning entails
unacceptably high risks if the liver is compromised. The presence of a recurrent
opportunistic infection affecting the biliary tree and determining liver
cirrhosis with portal hypertension posed particular decisional difficulties in a
7-year-old child with X-linked CD40-ligand deficiency. We aim at adding to the
scanty experience available on such rare cases, as successful management with
sequential liver transplantation (LT) and HSCT has been reported in detail only
in 1 young adult to date. METHODS: A closely sequential strategy, with a surgical
complication-free LT, followed by reduced-intensity conditioning, allowed HSCT to
be performed only one month after LT, preventing Cryptosporidium parvum
recolonization of the liver graft. RESULTS: Combined sequential LT and HSCT
resolved the cirrhotic evolution and corrected the immunodeficiency so that the
infection responsible for the progressive sclerosing cholangitis did not recur.
CONCLUSIONS: Hopefully, this report of the successful resolution of a potentially
fatal combination of immunodeficiency and chronic opportunistic infection with
end-stage organ damage in a child will encourage others to adapt a sequential
transplant approach to this highly complex pathology. However, caution is to be
exercised to carefully balance the risks intrinsic to transplant surgery and
immunosuppression in primary immunodeficiencies.
PMID- 29377867
TI - Site Variability in Regulatory Oversight for an International Study of Pediatric
Sepsis.
AB - OBJECTIVES: Duplicative institutional review board/research ethics committee
review for multicenter studies may impose administrative burdens and
inefficiencies affecting study implementation and quality. Understanding
variability in site-specific institutional review board/research ethics committee
assessment and barriers to using a single review committee (an increasingly
proposed solution) can inform a more efficient process. We provide needed data
about the regulatory oversight process for the Sepsis PRevalence, OUtcomes, and
Therapies multicenter point prevalence study. DESIGN: Survey. SETTING: Sites
invited to participate in Sepsis PRevalence, OUtcomes, and Therapies. SUBJECTS:
Investigators at sites that expressed interest and/or participated in Sepsis
PRevalence, OUtcomes, and Therapies. INTERVENTIONS: None. MEASUREMENTS AND MAIN
RESULTS: Using an electronic survey, we collected data about 1) logistics of
protocol submission, 2) institutional review board/research ethics committee
requested modifications, and 3) use of a single institutional review board (for
U.S. sites). We collected surveys from 104 of 167 sites (62%). Of the 97 sites
that submitted the protocol for institutional review board/research ethics
committee review, 34% conducted full board review, 54% expedited review, and 4%
considered the study exempt. Time to institutional review board/research ethics
committee approval required a median of 34 (range 3-186) days, which took longer
at sites that required protocol modifications (median [interquartile range] 50 d
[35-131 d] vs 32 d [14-54 d)]; p = 0.02). Enrollment was delayed at eight sites
due to prolonged (> 50 d) time to approval. Of 49 U.S. sites, 43% considered
using a single institutional review board, but only 18% utilized this option.
Time to final approval for U.S. sites using the single institutional review board
was 62 days (interquartile range, 34-70 d) compared with 34 days (interquartile
range, 15-54 d) for nonsingle institutional review board sites (p = 0.16).
CONCLUSIONS: Variability in regulatory oversight was evident for this minimal
risk observational research study, most notably in the category of type of review
conducted. Duplicative review prolonged time to protocol approval at some sites.
Use of a single institutional review board for U.S. sites was rare and did not
improve efficiency of protocol approval. Suggestions for minimizing these
challenges are provided.
PMID- 29377875
TI - Novel TOF-MS Means of Quantifying ApoAI Amyloid Protein Load After Combined Liver
Kidney Transplantation.
PMID- 29377876
TI - Gender, Race and Disease Etiology Predict De Novo Malignancy Risk following Liver
Transplantation: Insights for Future Individualized Cancer Screening Guidance.
AB - BACKGROUND: Malignancy after liver transplant (LT) is a leading cause of
mortality, but data is limited. The aim of this study was to identify patients at
higher risk for de novo malignancies after LT in a large multicenter database.
METHODS: The Scientific Registry of Transplant Recipients database comprising all
108,412 liver transplant recipients across the U.S. between 1987 and March 2015
was analyzed with a median follow-up of 6.95 years. Potential risk factors for
malignancies after LT were assessed using Cox regression analysis for the outcome
of time to first malignancy. RESULTS: Mean age 51.9 +/- 10.8 years, 64.6% male,
74.5% Caucasian, and 15.8% with previous malignancy. Malignancies during follow
up were 4,483 (41.3%) skin, 1,519 (14.0%) hematologic, and 4,842 (44.7%) solid
organ. The 10-year probability of de novo malignancy was 11.5% (11.3-11.8%). On
multivariable analysis, age by decade (HR 1.52; p<0.001), male gender (HR 1.28;
p<0.001), Caucasian race (compared to other races, HR 1.45-2.04; p<0.001),
multiorgan transplant (HR 1.35; p<0.001), previous malignancy (HR 1.34; p<0.001)
and alcoholic liver disease, autoimmune, Nonalcoholic steatohepatitis (HR 1.35;
p<0.001), and Primary Sclerosing Cholangitis pre-LT (compared to HCV, p<0.001)
were associated with higher risk of post-LT malignancy, but type of
immunosuppression was not (p=NS). CONCLUSIONS: This large dataset demonstrates
the effects of ethnicity/race and etiologies of liver disease, particularly NASH
as additional risk factors for cancer after LT. Patients with these high-risk
characteristics should be more regularly and diligently screened.
PMID- 29377877
TI - Incidence of Cytomegalovirus DNAemia in Pediatric Kidney Transplant Recipients
After Cessation of Antiviral Prophylaxis.
AB - BACKGROUND: Late cytomegalovirus (CMV) infection can occur after cessation of
viral prophylaxis in kidney transplant recipients, yet, timing of infection is
unclear and longer duration of prophylaxis may be warranted. METHODS: We
conducted a retrospective cohort study of 86 children (35 CMV donor seropositive,
recipient seronegative [D + R-] and 51 CMV recipient seropositive [R+]) younger
than 18 years who received a kidney transplant between January 2002 and June 2014
and were treated with antiviral prophylaxis for 3 months after transplantation.
Incidence of CMV DNAemia and CMV disease was determined using Kaplan-Meier
analyses and risk factors were assessed using Poisson regression. RESULTS: Of the
86 children, 61.6% were male and median age at transplant was 13.4 years
(interquartile range [IQR], 8.9-15.6) with a median follow-up of 35.2 months
(IQR, 18.0-54.5). Incidence of CMV DNAemia within the first 3 months after
prophylaxis cessation in CMV D + R- and CMV R+ children was 22.9% and 23.5% and
incidence of CMV disease was 11.4% and 0%, respectively. Cumulative incidence of
CMV DNAemia in both groups was similar (31.4%). Children who received
antithymocyte globulin were more likely to develop CMV DNAemia compared with
those who received anti-IL-2 (IRR, 2.98; 95% confidence interval, 1.41-6.30)
after controlling for age, sex, Epstein-Barr Virus serostatus and rejection.
CONCLUSIONS: This study demonstrates a high incidence of CMV infection after
cessation of antiviral prophylaxis. These results support extension of antiviral
prophylaxis beyond 3 months and/or intensive viral load monitoring to reduce risk
of CMV infection in D + R- and R+ children, especially those receiving
antithymocyte globulin.
PMID- 29377878
TI - Implementing Endobronchial Ultrasound-Guided (EBUS) for Staging and Diagnosis of
Lung Cancer: A Cost Analysis.
AB - BACKGROUND Endobronchial ultrasound-guided transbronchial needle aspiration (EBUS
TBNA) and guide sheath (EBUS-GS) are gaining popularity for diagnosis and staging
of lung cancer compared to CT-guided transthoracic needle aspiration (CT-TTNA),
blind fiber-optic bronchoscopy, and mediastinoscopy. This paper aimed to examine
predictors of higher costs for diagnosing and staging lung cancer, and to assess
the effect of EBUS techniques on hospital cost. MATERIAL AND METHODS Hospital
costs for diagnosis and staging of new primary lung cancer patients presenting in
2007-2008 and 2010-2011 were reviewed retrospectively. Multiple linear regression
was used to determine relationships with hospital cost. RESULTS We reviewed 560
lung cancer patient records; 100 EBUS procedures were performed on 90 patients.
Higher hospital costs were associated with: EBUS-TBNA performed (p<0.0001);
increasing inpatient length of stay (p<0.0001); increasing number of other
surgical/diagnostic procedures (p<0.0001); whether the date of management
decision fell within an inpatient visit (p<0.0001); and if the patient did not
have a CT-TTNA, then costs increased as the number of imaging events increased
(interaction p<0.0001). Cohort was not significantly related to cost. Location of
the procedure (outside vs. inside theater) was a predictor of lower one-day EBUS
costs (p<0.0001). Cost modelling revealed potential cost saving of $1506 per EBUS
patient if all EBUS procedures were performed outside rather than in the theater
($66,259 per annum). CONCLUSIONS EBUS-TBNA only was an independent predictor of
higher cost for diagnosis and staging of lung cancer. Performing EBUS outside
compared to in the theater may lower costs for one-day procedures; potential
future savings are considerable if more EBUS procedures could be performed
outside the operating theater.
PMID- 29377880
TI - Evaluation of oxfendazole in the treatment of zoonotic Onchocerca lupi infection
in dogs.
AB - The genus Onchocerca encompasses parasitic nematodes including Onchocerca
volvulus, causative agent of river blindness in humans, and the zoonotic
Onchocerca lupi infecting dogs and cats. In dogs, O. lupi adult worms cause
ocular lesions of various degrees while humans may bear the brunt of zoonotic
onchocercosis with patients requiring neurosurgical intervention because of
central nervous system localization of nematodes. Though the zoonotic potential
of O. lupi has been well recognized from human cases in Europe, the United States
and the Middle East, a proper therapy for curing this parasitic infection in dogs
is lacking. To evaluate the efficacy of oxfendazole, 11 out of the 21 client
owned dogs (21/123; 17.1%) positive for skin-dwelling O. lupi microfilariae
(mfs), were enrolled in the efficacy study and were treated with oxfendazole (50
mg/kg) per OS once a day for 5 (G2) or 10 (G3) consecutive days or were left
untreated (G1). The efficacy of oxfendazole in the reduction of O. lupi mfs was
evaluated by microfilarial count and by assessing the percentage of mfs reduction
and mean microfilaricidal efficacy, whereas the efficacy in the reduction of
ocular lesions was evaluated by ultrasound imaging. All dogs where subjected to
follow-ups at 30 (D30), 90 (D90) and 180 (D180) days post-treatment. The
percentage of reduction of mfs was 78% for G2 and 12.5% for G3 at D180. The mean
microfilaricidal efficacy of oxfendazole in the treatment of canine onchocercosis
by O. lupi at D30, D90 and D180 was 41%, 81% and 90%, in G2 and 40%, 65% and 70%,
in G3, respectively. Retrobulbar lesions did not reduce from D0 to D180 in
control group (dogs in G1), whereas all treated dogs (in G2 and G3) had slightly
decreased ocular lesions. Percentage of reduction of ocular lesions by ultrasound
examination was 50% and 47.5% in G2 and G3 at D180, respectively. Despite the
decrease in ocular lesions in all treated dogs (G2 and G3), oxfendazole was
ineffective in reducing ocular lesions and skin-dwelling O. lupi mfs in treated
dogs (G2 and G3) in a six-month follow-up period. Here we discuss the need for
more reliable diagnostic techniques and efficient treatment protocols to better
plan future intervention strategies.
PMID- 29377881
TI - PD-L1 checkpoint inhibition and anti-CTLA-4 whole tumor cell vaccination counter
adaptive immune resistance: A mouse neuroblastoma model that mimics human
disease.
AB - BACKGROUND: Adaptive immune resistance induces an immunosuppressive tumor
environment that enables immune evasion. This phenomenon results in tumor escape
with progression and metastasis. Programmed cell death-ligand 1 (PD-L1) expressed
on tumors is thought to inhibit tumor-infiltrating lymphocytes (TILs) through
programmed cell death 1 (PD1), enabling adaptive immune resistance. This study
investigates the role of PD-L1 in both mouse and human neuroblastoma immunity.
The consequence of PD-L1 inhibition is characterized in the context of an
established whole tumor cell vaccine. METHODS AND FINDINGS: A mouse model of
neuroblastoma was investigated using an Id2 knockdown whole cell vaccine in
combination with checkpoint inhibition. We show that immunogenic mouse
neuroblastoma acquires adaptive immune resistance by up-regulating PD-L1
expression, whereas PD-L1 is of lesser consequence in nonimmunogenic
neuroblastoma tumors. Combining PD-L1 checkpoint inhibition with whole tumor
cell/anti-CTLA-4 vaccination enhanced tumor cell killing, cured mice with
established tumors, and induced long-term immune memory (6 months). From an
evaluation of patient neuroblastoma tumors, we found that the inflammatory
environment of the mouse neuroblastoma mimicked human disease in which PD-L1
expression was associated directly with TILs and lower-risk tumors. High-risk
patient tumors were lacking both TILs and PD-L1 expression. Although a
correlation in immunity seems to exist between the mouse model and human
findings, the mouse tumor model is induced and not spontaneously occurring, and
furthermore, the number of both mouse and human correlates is limited.
CONCLUSIONS: This study demonstrates the role PD-L1 plays in neuroblastoma's
resistance to immunity and defines the nonredundant effect of combination
checkpoint inhibition with vaccine therapy in a mouse model. High-risk,
nonimmunogenic human tumors display both diminished PD-L1 expression and adaptive
immune resistance. Paradoxically, high-risk tumors may be more responsive to
effective vaccine therapy because of their apparent lack of adaptive immune
resistance.
PMID- 29377883
TI - The importance of being second.
PMID- 29377882
TI - Dried Blood Spots for Measuring Vibrio cholerae-specific Immune Responses.
AB - BACKGROUND: Vibrio cholerae causes over 2 million cases of cholera and 90,000
deaths each year. Serosurveillance can be a useful tool for estimating the
intensity of cholera transmission and prioritizing populations for cholera
control interventions. Current methods involving venous blood draws and
downstream specimen storage and transport methods pose logistical challenges in
most settings where cholera strikes. To overcome these challenges, we developed
methods for determining cholera-specific immune responses from dried blood spots
(DBS). METHODOLOGY/PRINCIPAL FINDINGS: As conventional vibriocidal assay methods
were unsuitable for DBS eluates from filter paper, we adopted a drop-plate
culture method. We show that DBS collected from volunteers in South Sudan, and
stored for prolonged periods in field conditions, retained functional vibriocidal
antibodies, the titers of which correlated with paired serum titers determined by
conventional spectrophotometric methods (r = 0.94, p = 0.00012). We also showed
that eluates from DBS Serum Separator cards could be used with conventional
spectrophotometric vibriocidal methods, and that they correlated with paired
serum at a wide range of titers (r = 0.96, p<0.0001). Similarly, we used ELISA
methods to show that V. cholerae O-specific polysaccharide antibody responses
from DBS eluates correlated with results from paired serum for IgG (r = 0.85, p =
0.00006), IgM (r = 0.79, p = 0.00049) and IgA (r = 0.73, p = 0.0019),
highlighting its potential for use in determination of isotype-specific
responses. Storage of DBS cards at a range of temperatures did not change
antibody responses. CONCLUSION: In conclusion, we have developed and demonstrated
a proof-of-concept for assays utilizing DBS for assessing cholera-specific immune
responses.
PMID- 29377879
TI - Expert consensus document: Clinical and molecular diagnosis, screening and
management of Beckwith-Wiedemann syndrome: an international consensus statement.
AB - Beckwith-Wiedemann syndrome (BWS), a human genomic imprinting disorder, is
characterized by phenotypic variability that might include overgrowth,
macroglossia, abdominal wall defects, neonatal hypoglycaemia, lateralized
overgrowth and predisposition to embryonal tumours. Delineation of the molecular
defects within the imprinted 11p15.5 region can predict familial recurrence risks
and the risk (and type) of embryonal tumour. Despite recent advances in
knowledge, there is marked heterogeneity in clinical diagnostic criteria and
care. As detailed in this Consensus Statement, an international consensus group
agreed upon 72 recommendations for the clinical and molecular diagnosis and
management of BWS, including comprehensive protocols for the molecular
investigation, care and treatment of patients from the prenatal period to
adulthood. The consensus recommendations apply to patients with Beckwith
Wiedemann spectrum (BWSp), covering classical BWS without a molecular diagnosis
and BWS-related phenotypes with an 11p15.5 molecular anomaly. Although the
consensus group recommends a tumour surveillance programme targeted by molecular
subgroups, surveillance might differ according to the local health-care system
(for example, in the United States), and the results of targeted and universal
surveillance should be evaluated prospectively. International collaboration,
including a prospective audit of the results of implementing these consensus
recommendations, is required to expand the evidence base for the design of
optimum care pathways.
PMID- 29377884
TI - Estimating Cryptosporidium and Giardia disease burdens for children drinking
untreated groundwater in a rural population in India.
AB - BACKGROUND: In many low-income settings, despite improvements in sanitation and
hygiene, groundwater sources used for drinking may be contaminated with enteric
pathogens such as Cryptosporidium and Giardia, which remain important causes of
childhood morbidity. In this study, we examined the contribution of diarrhea
caused by Cryptosporidium and Giardia found in groundwater sources used for
drinking to the total burden of diarrheal disease among children < 5 in rural
India. METHODOLOGY/PRINCIPAL FINDINGS: We studied a population of 3,385 children
< 5 years of age in 100 communities of Puri District, Odisha, India. We developed
a coupled quantitative microbial risk assessment (QMRA) and susceptible-infected
recovered (SIR) population model based on observed levels of Cryptosporidium and
Giardia in improved groundwater sources used for drinking and compared the QMRA
SIR estimates with independently measured all-cause (i.e., all fecal-oral enteric
pathogens and exposure pathways) child diarrhea prevalence rates observed in the
study population during two monsoon seasons (2012 and 2013). We used site
specific and regional studies to inform assumptions about the human pathogenicity
of the Cryptosporidium and Giardia species present in local groundwater. In all
three human pathogenicity scenarios evaluated, the mean daily risk of
Cryptosporidium or Giardia infection (0.06-1.53%), far exceeded the tolerable
daily risk of infection from drinking water in the US (< 0.0001%). Depending on
which protozoa species were present, median estimates of daily child diarrhea
prevalence due to either Cryptosporidium or Giardia infection from drinking water
was as high as 6.5% or as low as < 1% and accounted for at least 2.9% and as much
as 65.8% of the all-cause diarrhea disease burden measured in children < 5 during
the study period. Cryptosporidium tended to account for a greater share of
estimated waterborne protozoa infections causing diarrhea than did Giardia.
Diarrhea prevalence estimates for waterborne Cryptosporidium infection appeared
to be most sensitive to assumptions about the probability of infection from
ingesting a single parasite (i.e. the rate parameter in dose-response model),
while Giardia infection was most sensitive to assumptions about the viability of
parasites detected in groundwater samples. CONCLUSIONS/SIGNIFICANCE: Protozoa in
groundwater drinking sources in rural India, even at low concentrations,
especially for Cryptosporidium, may account for a significant portion of child
diarrhea morbidity in settings were tubewells are used for drinking water and
should be more systematically monitored. Preventing diarrheal disease burdens in
Puri District and similar settings will benefit from ensuring water is
microbiologically safe for consumption and consistent and effective household
water treatment is practiced.
PMID- 29377885
TI - WRKY23 is a component of the transcriptional network mediating auxin feedback on
PIN polarity.
AB - Auxin is unique among plant hormones due to its directional transport that is
mediated by the polarly distributed PIN auxin transporters at the plasma
membrane. The canalization hypothesis proposes that the auxin feedback on its
polar flow is a crucial, plant-specific mechanism mediating multiple self
organizing developmental processes. Here, we used the auxin effect on the PIN
polar localization in Arabidopsis thaliana roots as a proxy for the auxin
feedback on the PIN polarity during canalization. We performed microarray
experiments to find regulators of this process that act downstream of auxin. We
identified genes that were transcriptionally regulated by auxin in an AXR3/IAA17-
and ARF7/ARF19-dependent manner. Besides the known components of the PIN
polarity, such as PID and PIP5K kinases, a number of potential new regulators
were detected, among which the WRKY23 transcription factor, which was
characterized in more detail. Gain- and loss-of-function mutants confirmed a role
for WRKY23 in mediating the auxin effect on the PIN polarity. Accordingly,
processes requiring auxin-mediated PIN polarity rearrangements, such as vascular
tissue development during leaf venation, showed a higher WRKY23 expression and
required the WRKY23 activity. Our results provide initial insights into the auxin
transcriptional network acting upstream of PIN polarization and, potentially,
canalization-mediated plant development.
PMID- 29377886
TI - Molecular epidemiology of dengue viruses in three provinces of Lao PDR, 2006
2010.
AB - Few data on dengue epidemiology are available for Lao PDR. Here, we provide
information on the complexity of dengue epidemiology in the country,
demonstrating dynamic circulation that varies over space and time, according to
serotype. We recruited 1,912 consenting patients presenting with WHO dengue
criteria at Mahosot Hospital, Vientiane (central Laos), between 2006 and 2010.
Between 2008 and 2010, 1,413 patients with undifferentiated fever were also
recruited at Luang Namtha (LNT) Provincial Hospital (northern Laos) and 555 at
Salavan (SV) Provincial Hospital (southern Laos). We report significant
variations in Dengue virus (DENV) circulation between the three sites. Peaks of
DENV infection were observed in the rainy seasons, although 11% of confirmed
cases in the provinces and 4.6% in the capital were detected during the dry and
cool seasons (between December and February). Four DENV serotypes were detected
among the 867 RT-PCR positive patients: 76.9% DENV-1, 9.6% DENV-2, 7.7% DENV-4
and 5.3% DENV-3. DENV-1 was the predominant serotype throughout the study except
in LNT in 2008 and 2009 when it was DENV-2. Before July 2009, DENV-2 was not
detected in SV and only rarely detected in Vientiane. DENV-3 and DENV-4 were
commonly detected in Vientiane, before 2008 for DENV-4 and after 2009 for DENV-3.
The phylogenetic analyses of DENV envelope sequences suggest concurrent multiple
introductions of new strains as well as active DENV circulation throughout Laos
and with neighboring countries. It is therefore of great importance to develop
and strengthen a year-round nation-wide surveillance network in order to collect
data that would allow anticipation of public health issues caused by the
occurrence of large dengue outbreaks.
PMID- 29377887
TI - Identification of allosteric inhibitors of the ecto-5'-nucleotidase (CD73)
targeting the dimer interface.
AB - The ecto-5'-nucleotidase CD73 plays an important role in the production of immune
suppressive adenosine in tumor micro-environment, and has become a validated drug
target in oncology. Indeed, the anticancer immune response involves extracellular
ATP to block cell proliferation through T-cell activation. However, in the tumor
micro-environment, two extracellular membrane-bound enzymes (CD39 and CD73) are
overexpressed and hydrolyze efficiently ATP into AMP then further into immune
suppressive adenosine. To circumvent the impact of CD73-generated adenosine, we
applied an original bioinformatics approach to identify new allosteric inhibitors
targeting the dimerization interface of CD73, which should impair the large
dynamic motions required for its enzymatic function. Several hit compounds issued
from virtual screening campaigns showed a potent inhibition of recombinant CD73
with inhibition constants in the low micromolar range and exhibited a non
competitive inhibition mode. The structure-activity relationships studies
indicated that several amino acid residues (D366, H456, K471, Y484 and E543 for
polar interactions and G453-454, I455, H456, L475, V542 and G544 for hydrophobic
contacts) located at the dimerization interface are involved in the tight binding
of hit compounds and likely contributed for their inhibitory activity. Overall,
the gathered information will guide the upcoming lead optimization phase that may
lead to potent and selective CD73 inhibitors, able to restore the anticancer
immune response.
PMID- 29377889
TI - The differential impact of scientific quality, bibliometric factors, and social
media activity on the influence of systematic reviews and meta-analyses about
psoriasis.
AB - Researchers are increasingly using on line social networks to promote their work.
Some authors have suggested that measuring social media activity can predict the
impact of a primary study (i.e., whether or not an article will be highly cited).
However, the influence of variables such as scientific quality, research
disclosures, and journal characteristics on systematic reviews and meta-analyses
has not yet been assessed. The present study aims to describe the effect of
complex interactions between bibliometric factors and social media activity on
the impact of systematic reviews and meta-analyses about psoriasis (PROSPERO
2016: CRD42016053181). Methodological quality was assessed using the Assessing
the Methodological Quality of Systematic Reviews (AMSTAR) tool. Altmetrics, which
consider Twitter, Facebook, and Google+ mention counts as well as Mendeley and
SCOPUS readers, and corresponding article citation counts from Google Scholar
were obtained for each article. Metadata and journal-related bibliometric indices
were also obtained. One-hundred and sixty-four reviews with available altmetrics
information were included in the final multifactorial analysis, which showed that
social media and impact factor have less effect than Mendeley and SCOPUS readers
on the number of cites that appear in Google Scholar. Although a journal's impact
factor predicted the number of tweets (OR, 1.202; 95% CI, 1.087-1.049), the years
of publication and the number of Mendeley readers predicted the number of
citations in Google Scholar (OR, 1.033; 95% CI, 1.018-1.329). Finally,
methodological quality was related neither with bibliometric influence nor social
media activity for systematic reviews. In conclusion, there seems to be a lack of
connectivity between scientific quality, social media activity, and article
usage, thus predicting scientific success based on these variables may be
inappropriate in the particular case of systematic reviews.
PMID- 29377888
TI - Biophysical network modeling of the dLGN circuit: Effects of cortical feedback on
spatial response properties of relay cells.
AB - Despite half-a-century of research since the seminal work of Hubel and Wiesel,
the role of the dorsal lateral geniculate nucleus (dLGN) in shaping the visual
signals is not properly understood. Placed on route from retina to primary visual
cortex in the early visual pathway, a striking feature of the dLGN circuit is
that both the relay cells (RCs) and interneurons (INs) not only receive
feedforward input from retinal ganglion cells, but also a prominent feedback from
cells in layer 6 of visual cortex. This feedback has been proposed to affect
synchronicity and other temporal properties of the RC firing. It has also been
seen to affect spatial properties such as the center-surround antagonism of
thalamic receptive fields, i.e., the suppression of the response to very large
stimuli compared to smaller, more optimal stimuli. Here we explore the spatial
effects of cortical feedback on the RC response by means of a a comprehensive
network model with biophysically detailed, single-compartment and
multicompartment neuron models of RCs, INs and a population of orientation
selective layer 6 simple cells, consisting of pyramidal cells (PY). We have
considered two different arrangements of synaptic feedback from the ON and OFF
zones in the visual cortex to the dLGN: phase-reversed ('push-pull') and phase
matched ('push-push'), as well as different spatial extents of the
corticothalamic projection pattern. Our simulation results support that a phase
reversed arrangement provides a more effective way for cortical feedback to
provide the increased center-surround antagonism seen in experiments both for
flashing spots and, even more prominently, for patch gratings. This implies that
ON-center RCs receive direct excitation from OFF-dominated cortical cells and
indirect inhibitory feedback from ON-dominated cortical cells. The increased
center-surround antagonism in the model is accompanied by spatial focusing, i.e.,
the maximum RC response occurs for smaller stimuli when feedback is present.
PMID- 29377890
TI - Caregivers' views on stigmatization and discrimination of people affected by
leprosy in Ghana.
AB - BACKGROUND: Leprosy is a condition that has long been associated with stigma and
discrimination, even when infected persons have been cured. This paper describes
stigma and discrimination as viewed by caregivers who are associated with people
affected by leprosy in Ghana. METHODS: A qualitative interview with semi
structured interviews were conducted for twenty caregivers. RESULTS: Findings
indicated that caregivers were of the view that people affected by leprosy in
Ghana are stigmatized and discriminated against by the larger society thus making
their movements and interactions restricted to the Leprosarium. Besides,
employments opportunities are unavailable to them thus making them exposed to
financial challenges. The livelihood Empowerment Against poverty (LEAP) money
given them is not sufficient for their daily upkeep. CONCLUSION: People affected
by leprosy in Ghana are stigmatized and therefore find it difficult to interact
freely with the public. The associated physical deformities with the disease also
tend to impede their ability to relate to the general public. The LEAP cash given
to people affected by leprosy is helpful however, it could be enhanced to keep
pace with prevailing economic conditions in the country.
PMID- 29377891
TI - The development of a stochastic mathematical model of Alzheimer's disease to help
improve the design of clinical trials of potential treatments.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder characterised by a slow
progressive deterioration of cognitive capacity. Drugs are urgently needed for
the treatment of AD and unfortunately almost all clinical trials of AD drug
candidates have failed or been discontinued to date. Mathematical, computational
and statistical tools can be employed in the construction of clinical trial
simulators to assist in the improvement of trial design and enhance the chances
of success of potential new therapies. Based on the analysis of a set of clinical
data provided by the Alzheimer's Disease Neuroimaging Initiative (ADNI) we
developed a simple stochastic mathematical model to simulate the development and
progression of Alzheimer's in a longitudinal cohort study. We show how this
modelling framework could be used to assess the effect and the chances of success
of hypothetical treatments that are administered at different stages and delay
disease development. We demonstrate that the detection of the true efficacy of an
AD treatment can be very challenging, even if the treatment is highly effective.
An important reason behind the inability to detect signals of efficacy in a
clinical trial in this therapy area could be the high between- and within
individual variability in the measurement of diagnostic markers and endpoints,
which consequently results in the misdiagnosis of an individual's disease state.
PMID- 29377892
TI - Network-based co-expression analysis for exploring the potential diagnostic
biomarkers of metastatic melanoma.
AB - Metastatic melanoma is an aggressive skin cancer and is one of the global
malignancies with high mortality and morbidity. It is essential to identify and
verify diagnostic biomarkers of early metastatic melanoma. Previous studies have
systematically assessed protein biomarkers and mRNA-based expression
characteristics. However, molecular markers for the early diagnosis of metastatic
melanoma have not been identified. To explore potential regulatory targets, we
have analyzed the gene microarray expression profiles of malignant melanoma
samples by co-expression analysis based on the network approach. The
differentially expressed genes (DEGs) were screened by the EdgeR package of R
software. A weighted gene co-expression network analysis (WGCNA) was used for the
identification of DEGs in the special gene modules and hub genes. Subsequently, a
protein-protein interaction network was constructed to extract hub genes
associated with gene modules. Finally, twenty-four important hub genes (RASGRP2,
IKZF1, CXCR5, LTB, BLK, LINGO3, CCR6, P2RY10, RHOH, JUP, KRT14, PLA2G3, SPRR1A,
KRT78, SFN, CLDN4, IL1RN, PKP3, CBLC, KRT16, TMEM79, KLK8, LYPD3 and LYPD5) were
treated as valuable factors involved in the immune response and tumor cell
development in tumorigenesis. In addition, a transcriptional regulatory network
was constructed for these specific modules or hub genes, and a few core
transcriptional regulators were found to be mostly associated with our hub genes,
including GATA1, STAT1, SP1, and PSG1. In summary, our findings enhance our
understanding of the biological process of malignant melanoma metastasis,
enabling us to identify specific genes to use for diagnostic and prognostic
markers and possibly for targeted therapy.
PMID- 29377893
TI - Improvement in cardiac dysfunction with a novel circuit training method combining
simultaneous aerobic-resistance exercises. A randomized trial.
AB - INTRODUCTION: Exercise is considered a valuable nonpharmacological intervention
modality in cardiac rehabilitation (CR) programs in patients with ischemic heart
disease. The effect of aerobic interval exercise combined with alternating sets
of resistance training (super-circuit training, SCT) on cardiac patients' with
reduced left ventricular function, post-myocardial infarction (MI) has not been
thoroughly investigated. AIM OF STUDY: to improve cardiac function with a novel
method of combined aerobic-resistance circuit training in a randomized control
trial by way of comparing the effectiveness of continuous aerobic training (CAT)
to SCT on mechanical cardiac function. Secondary to compare their effect on
aerobic fitness, manual strength, and quality of life in men post MI. Finally, to
evaluate the safety and feasibility of SCT. METHODS: 29 men post-MI participants
were randomly assigned to either 12-weeks of CAT (n = 15) or SCT (n = 14). Both
groups, CAT and SCT exercised at 60%-70% and 75-85% of their heart rate reserve,
respectively. The SCT group also engaged in intermittently combined resistance
training. Primary outcome measure was echocardiography. Secondary outcome
measures were aerobic fitness, strength, and quality of life (QoL). The
effectiveness of the two training programs was examined via paired t-tests and
Cohen's d effect size (ES). RESULTS: Post-training, only the SCT group presented
significant changes in echocardiography (a reduction in E/e' and an increase in
ejection fraction, P<0.05). Similarly, only the SCT group presented significant
changes in aerobic fitness (an increase in maximal metabolic equivalent, P<0.05).
In addition, SCT improvement in the physical component of QoL was greater than
this observed in the CAT group. In both training programs, no adverse events were
observed. CONCLUSION: Men post-MI stand to benefit from both CAT and SCT.
However, in comparison to CAT, as assessed by echocardiography, SCT may yield
greater benefits to the left ventricle mechanical function as well as to the
patient's aerobic fitness and physical QoL. Moreover, the SCT program was found
to be feasible as well as safe.
PMID- 29377894
TI - The extent of ribosome queuing in budding yeast.
AB - Ribosome queuing is a fundamental phenomenon suggested to be related to topics
such as genome evolution, synthetic biology, gene expression regulation,
intracellular biophysics, and more. However, this phenomenon hasn't been
quantified yet at a genomic level. Nevertheless, methodologies for studying
translation (e.g. ribosome footprints) are usually calibrated to capture only
single ribosome protected footprints (mRPFs) and thus limited in their ability to
detect ribosome queuing. On the other hand, most of the models in the field
assume and analyze a certain level of queuing. Here we present an experimental
computational approach for studying ribosome queuing based on sequencing of RNA
footprints extracted from pairs of ribosomes (dRPFs) using a modified ribosome
profiling protocol. We combine our approach with traditional ribosome profiling
to generate a detailed profile of ribosome traffic. The data are analyzed using
computational models of translation dynamics. The approach was implemented on the
Saccharomyces cerevisiae transcriptome. Our data shows that ribosome queuing is
more frequent than previously thought: the measured ratio of ribosomes within
dRPFs to mRPFs is 0.2-0.35, suggesting that at least one to five translating
ribosomes is in a traffic jam; these queued ribosomes cannot be captured by
traditional methods. We found that specific regions are enriched with queued
ribosomes, such as the 5'-end of ORFs, and regions upstream to mRPF peaks, among
others. While queuing is related to higher density of ribosomes on the transcript
(characteristic of highly translated genes), we report cases where traffic jams
are relatively more severe in lowly expressed genes and possibly even selected
for. In addition, our analysis demonstrates that higher adaptation of the coding
region to the intracellular tRNA levels is associated with lower queuing levels.
Our analysis also suggests that the Saccharomyces cerevisiae transcriptome
undergoes selection for eliminating traffic jams. Thus, our proposed approach is
an essential tool for high resolution analysis of ribosome traffic during mRNA
translation and understanding its evolution.
PMID- 29377895
TI - The non-classical nuclear import carrier Transportin 1 modulates circadian
rhythms through its effect on PER1 nuclear localization.
AB - Circadian clocks are molecular timekeeping mechanisms that allow organisms to
anticipate daily changes in their environment. The fundamental cellular basis of
these clocks is delayed negative feedback gene regulation with PERIOD and
CRYPTOCHROME containing protein complexes as main inhibitory elements. For a
correct circadian period, it is essential that such clock protein complexes
accumulate in the nucleus in a precisely timed manner, a mechanism that is poorly
understood. We performed a systematic RNAi-mediated screen in human cells and
identified 15 genes associated with the nucleo-cytoplasmic translocation
machinery, whose expression is important for circadian clock dynamics. Among them
was Transportin 1 (TNPO1), a non-classical nuclear import carrier, whose
knockdown and knockout led to short circadian periods. TNPO1 was found in
endogenous clock protein complexes and particularly binds to PER1 regulating its
(but not PER2's) nuclear localization. While PER1 is also transported to the
nucleus by the classical, Importin beta-mediated pathway, TNPO1 depletion slowed
down PER1 nuclear import rate as revealed by fluorescence recovery after
photobleaching (FRAP) experiments. In addition, we found that TNPO1-mediated
nuclear import may constitute a novel input pathway of how cellular redox state
signals to the clock, since redox stress increases binding of TNPO1 to PER1 and
decreases its nuclear localization. Together, our RNAi screen knocking down
import carriers (but also export carriers) results in short and long circadian
periods indicating that the regulatory pathways that control the timing of clock
protein subcellular localization are far more complex than previously assumed.
TNPO1 is one of the novel players essential for normal circadian periods and
potentially for redox regulation of the clock.
PMID- 29377897
TI - The Camden & Islington Research Database: Using electronic mental health records
for research.
AB - BACKGROUND: Electronic health records (EHRs) are widely used in mental health
services. Case registers using EHRs from secondary mental healthcare have the
potential to deliver large-scale projects evaluating mental health outcomes in
real-world clinical populations. METHODS: We describe the Camden and Islington
NHS Foundation Trust (C&I) Research Database which uses the Clinical Record
Interactive Search (CRIS) tool to extract and de-identify routinely collected
clinical information from a large UK provider of secondary mental healthcare, and
demonstrate its capabilities to answer a clinical research question regarding
time to diagnosis and treatment of bipolar disorder. RESULTS: The C&I Research
Database contains records from 108,168 mental health patients, of which 23,538
were receiving active care. The characteristics of the patient population are
compared to those of the catchment area, of London, and of England as a whole.
The median time to diagnosis of bipolar disorder was 76 days (interquartile
range: 17-391) and median time to treatment was 37 days (interquartile range: 5
194). Compulsory admission under the UK Mental Health Act was associated with
shorter intervals to diagnosis and treatment. Prior diagnoses of other
psychiatric disorders were associated with longer intervals to diagnosis, though
prior diagnoses of schizophrenia and related disorders were associated with
decreased time to treatment. CONCLUSIONS: The CRIS tool, developed by the South
London and Maudsley NHS Foundation Trust (SLaM) Biomedical Research Centre (BRC),
functioned very well at C&I. It is reassuring that data from different
organizations deliver similar results, and that applications developed in one
Trust can then be successfully deployed in another. The information can be
retrieved in a quicker and more efficient fashion than more traditional methods
of health research. The findings support the secondary use of EHRs for large
scale mental health research in naturalistic samples and settings investigated
across large, diverse geographical areas.
PMID- 29377896
TI - Identifying and exploiting trait-relevant tissues with multiple functional
annotations in genome-wide association studies.
AB - Genome-wide association studies (GWASs) have identified many disease associated
loci, the majority of which have unknown biological functions. Understanding the
mechanism underlying trait associations requires identifying trait-relevant
tissues and investigating associations in a trait-specific fashion. Here, we
extend the widely used linear mixed model to incorporate multiple SNP functional
annotations from omics studies with GWAS summary statistics to facilitate the
identification of trait-relevant tissues, with which to further construct
powerful association tests. Specifically, we rely on a generalized estimating
equation based algorithm for parameter inference, a mixture modeling framework
for trait-tissue relevance classification, and a weighted sequence kernel
association test constructed based on the identified trait-relevant tissues for
powerful association analysis. We refer to our analytic procedure as the Scalable
Multiple Annotation integration for trait-Relevant Tissue identification and
usage (SMART). With extensive simulations, we show how our method can make use of
multiple complementary annotations to improve the accuracy for identifying trait
relevant tissues. In addition, our procedure allows us to make use of the
inferred trait-relevant tissues, for the first time, to construct more powerful
SNP set tests. We apply our method for an in-depth analysis of 43 traits from 28
GWASs using tissue-specific annotations in 105 tissues derived from ENCODE and
Roadmap. Our results reveal new trait-tissue relevance, pinpoint important
annotations that are informative of trait-tissue relationship, and illustrate how
we can use the inferred trait-relevant tissues to construct more powerful
association tests in the Wellcome trust case control consortium study.
PMID- 29377898
TI - Trypanosoma cruzi vaccine candidate antigens Tc24 and TSA-1 recall memory immune
response associated with HLA-A and -B supertypes in Chagasic chronic patients
from Mexico.
AB - Trypanosoma cruzi antigens TSA-1 and Tc24 have shown promise as vaccine
candidates in animal studies. We evaluated here the recall immune response these
antigens induce in Chagasic patients, as a first step to test their
immunogenicity in humans. We evaluated the in vitro cellular immune response
after stimulation with recombinant TSA-1 (rTSA-1) or recombinant Tc24 (rTc24) in
mononuclear cells of asymptomatic Chagasic chronic patients (n = 20) compared to
healthy volunteers (n = 19) from Yucatan, Mexico. Proliferation assays,
intracellular cytokine staining, cytometric bead arrays, and memory T cell
immunophenotyping were performed by flow cytometry. Peripheral blood mononuclear
cells (PBMC) from Chagasic patients showed significant proliferation after
stimulation with rTc24 and presented a phenotype of T effector memory cells
(CD45RA-CCR7-). These cells also produced IFN-gamma and, to a lesser extent IL10,
after stimulation with rTSA-1 and rTc24 proteins. Overall, both antigens recalled
a broad immune response in some Chagasic patients, confirming that their immune
system had been primed against these antigens during natural infection. Analysis
of HLA-A and HLA-B allele diversity by PCR-sequencing indicated that HLA-A03 and
HLA-B07 were the most frequent supertypes in this Mexican population. Also, there
was a significant difference in the frequency of HLA-A01 and HLA-A02 supertypes
between Chagasic patients and controls, while the other alleles were evenly
distributed. Some aspects of the immune response, such as antigen-induced IFN
gamma production by CD4+ and CD8+ T cells and CD8+ proliferation, showed
significant association with specific HLA-A supertypes, depending on the antigen
considered. In conclusion, our results confirm the ability of both TSA-1 and Tc24
recombinant proteins to recall an immune response induced by the native antigens
during natural infection in at least some patients. Our data support the further
development of these antigens as therapeutic vaccine against Chagas disease.
PMID- 29377899
TI - A parsimonious characterization of change in global age-specific and total
fertility rates.
AB - This study aims to understand trends in global fertility from 1950-2010 though
the analysis of age-specific fertility rates. This approach incorporates both the
overall level, as when the total fertility rate is modeled, and different
patterns of age-specific fertility to examine the relationship between changes in
age-specific fertility and fertility decline. Singular value decomposition is
used to capture the variation in age-specific fertility curves while reducing the
number of dimensions, allowing curves to be described nearly fully with three
parameters. Regional patterns and trends over time are evident in parameter
values, suggesting this method provides a useful tool for considering fertility
decline globally. The second and third parameters were analyzed using model-based
clustering to examine patterns of age-specific fertility over time and place;
four clusters were obtained. A country's demographic transition can be traced
through time by membership in the different clusters, and regional patterns in
the trajectories through time and with fertility decline are identified.
PMID- 29377900
TI - Rewired RNAi-mediated genome surveillance in house dust mites.
AB - House dust mites are common pests with an unusual evolutionary history, being
descendants of a parasitic ancestor. Transition to parasitism is frequently
accompanied by genome rearrangements, possibly to accommodate the genetic change
needed to access new ecology. Transposable element (TE) activity is a source of
genomic instability that can trigger large-scale genomic alterations. Eukaryotes
have multiple transposon control mechanisms, one of which is RNA interference
(RNAi). Investigation of the dust mite genome failed to identify a major RNAi
pathway: the Piwi-associated RNA (piRNA) pathway, which has been replaced by a
novel small-interfering RNA (siRNA)-like pathway. Co-opting of piRNA function by
dust mite siRNAs is extensive, including establishment of TE control master loci
that produce siRNAs. Interestingly, other members of the Acari have piRNAs
indicating loss of this mechanism in dust mites is a recent event. Flux of RNAi
mediated control of TEs highlights the unusual arc of dust mite evolution.
PMID- 29377901
TI - Long-term effects of pancreas transplant alone on nephropathy in type 1 diabetic
patients with optimal renal function.
AB - BACKGROUND: Limited data are available regarding optimal selection criteria for
pancreas transplant alone (PTA) to minimize aggravation of diabetic nephropathy.
METHODS: A total of 87 type 1 diabetic patients were evaluated before and after
PTA at a single center from January, 1999 to December, 2015, together with 87
matched non-transplanted type 1 diabetic subjects who were candidates for PTA to
compare deterioration of native kidney function. A total of 163 patients (79 in
the transplanted group and 84 in the nontransplanted group) were finally enrolled
after excluding nine patients with estimated glomerular filtration rate less than
60 mL/min/1.73 m2 and two patients with moderate proteinuria (>= 1.5 g/day).
RESULTS: A total of seven recipients (8.9%) had end-stage renal disease post
transplant whereas only one patient (1.2%) developed end-stage renal disease in
the nontransplanted group during their follow-up period (median 12.0, range 6-96
months) (p = 0.03). Furthermore, a composite of severe renal dysfunction and end
stage renal disease (31.6% vs 2.4%) was significantly higher in the transplanted
group (p < 0.001). Multivariate Cox regression analysis revealed that a higher
level of tacrolimus at six months post-transplant (HR = 1.648, CI = 1.140-2.385,
p = 0.008) was the only significant factor associated with end-stage renal
disease. CONCLUSIONS: There is a considerable risk for deterioration of renal
function in PTA recipients post-transplant compared with non-transplant diabetic
patients. With rather strict selection criteria such as preoperative proteinuria
and estimated glomerular filtration rate, PTA should be considered in diabetic
patients to minimize post-transplant aggravation of diabetic nephropathy.
PMID- 29377902
TI - Reactome graph database: Efficient access to complex pathway data.
AB - Reactome is a free, open-source, open-data, curated and peer-reviewed
knowledgebase of biomolecular pathways. One of its main priorities is to provide
easy and efficient access to its high quality curated data. At present,
biological pathway databases typically store their contents in relational
databases. This limits access efficiency because there are performance issues
associated with queries traversing highly interconnected data. The same data in a
graph database can be queried more efficiently. Here we present the rationale
behind the adoption of a graph database (Neo4j) as well as the new ContentService
(REST API) that provides access to these data. The Neo4j graph database and its
query language, Cypher, provide efficient access to the complex Reactome data
model, facilitating easy traversal and knowledge discovery. The adoption of this
technology greatly improved query efficiency, reducing the average query time by
93%. The web service built on top of the graph database provides programmatic
access to Reactome data by object oriented queries, but also supports more
complex queries that take advantage of the new underlying graph-based data
storage. By adopting graph database technology we are providing a high
performance pathway data resource to the community. The Reactome graph database
use case shows the power of NoSQL database engines for complex biological data
types.
PMID- 29377903
TI - Cytomegalovirus induces HLA-class-II-restricted alloreactivity in an acute
myeloid leukemia cell line.
AB - Cytomegalovirus (HCMV) reactivation is found frequently after allogeneic
hematopoietic stem cell transplantation (alloSCT) and is associated with an
increased treatment-related mortality. Recent reports suggest a link between HCMV
and a reduced risk of cancer progression in patients with acute leukemia or
lymphoma after alloSCT. Here we show that HCMV can inhibit the proliferation of
the acute myeloid leukemia cell line Kasumi-1 and the promyeloid leukemia cell
line NB4. HCMV induced a significant up-regulation of HLA-class-II-molecules,
especially HLA-DR expression and an increase of apoptosis, granzyme B, perforin
and IFN-gamma secretion in Kasumi-1 cells cocultured with peripheral blood
mononuclear cells (PBMCs). Indolamin-2,3-dioxygenase on the other hand led only
to a significant dose-dependent effect on IFN-gamma secretion without effects on
proliferation. The addition of CpG-rich oligonucleotides and ganciclovir reversed
those antiproliferative effects. We conclude that HCMV can enhance alloreactivity
of PBMCs against Kasumi-1 and NB4 cells in vitro. To determine if this phenomenon
may be clinically relevant further investigations will be required.
PMID- 29377904
TI - Analysis of channel uncertainty in ARQ relay networks.
AB - Several power allocation algorithms for cooperative relay networks are presented
in the literature. These contributions assume perfect channel knowledge and
capacity achieving codes. However in practice, obtaining the channel state
information at a relay or at the destination is an estimation problem and can
generally not be error free. The investigation of the power allocation mechanism
in a wireless network due to channel imperfections is important because it can
severely degrade its performance regarding throughput and bit error rate. In this
paper, the impact of imperfect channel state information on the power allocation
of an adaptive relay network is investigated. Moreover, a framework including
Automatic Repeat reQuest (ARQ) mechanism is provided to make the power allocation
mechanism robust against these channel imperfections. For this framework, the end
to-end SNR is calculated considering imperfect channel knowledge using ARQ
analytically. The goal is to emphasize the impact of imperfect channel knowledge
on the power allocation mechanism. In this paper, the simulation results
illustrate the impact of channel uncertainties on the average outage probability,
throughput, and consumed sum power for different qualities of channel estimation.
It is shown that the presented framework with ARQ is extremely robust against the
channel imperfections.
PMID- 29377905
TI - 16S rRNA gene metabarcoding and TEM reveals different ecological strategies
within the genus Neogloboquadrina (planktonic foraminifer).
AB - Uncovering the complexities of trophic and metabolic interactions among
microorganisms is essential for the understanding of marine biogeochemical
cycling and modelling climate-driven ecosystem shifts. High-throughput DNA
sequencing methods provide valuable tools for examining these complex
interactions, although this remains challenging, as many microorganisms are
difficult to isolate, identify and culture. We use two species of planktonic
foraminifera from the climatically susceptible, palaeoceanographically important
genus Neogloboquadrina, as ideal test microorganisms for the application of 16S
rRNA gene metabarcoding. Neogloboquadrina dutertrei and Neogloboquadrina incompta
were collected from the California Current and subjected to either 16S rRNA gene
metabarcoding, fluorescence microscopy, or transmission electron microscopy (TEM)
to investigate their species-specific trophic interactions and potential
symbiotic associations. 53-99% of 16S rRNA gene sequences recovered from two
specimens of N. dutertrei were assigned to a single operational taxonomic unit
(OTU) from a chloroplast of the phylum Stramenopile. TEM observations confirmed
the presence of numerous intact coccoid algae within the host cell, consistent
with algal symbionts. Based on sequence data and observed ultrastructure, we
taxonomically assign the putative algal symbionts to Pelagophyceae and not
Chrysophyceae, as previously reported in this species. In addition, our data
shows that N. dutertrei feeds on protists within particulate organic matter
(POM), but not on bacteria as a major food source. In total contrast, of OTUs
recovered from three N. incompta specimens, 83-95% were assigned to bacterial
classes Alteromonadales and Vibrionales of the order Gammaproteobacteria. TEM
demonstrates that these bacteria are a food source, not putative symbionts.
Contrary to the current view that non-spinose foraminifera are predominantly
herbivorous, neither N. dutertrei nor N. incompta contained significant numbers
of phytoplankton OTUs. We present an alternative view of their trophic
interactions and discuss these results within the context of modelling global
planktonic foraminiferal abundances in response to high-latitude climate change.
PMID- 29377906
TI - Enhanced susceptibility to stress and seizures in GAD65 deficient mice.
AB - Reduced gamma-aminobutyric acid (GABA) inhibition has been implicated in both
anxiety and epilepsy. GAD65-/- (NOD/LtJ) mice have significantly decreased basal
GABA levels in the brain and a lowered threshold for seizure generation. One
fifth of GAD65 -/- mice experienced stress-induced seizures upon exposure to an
open field at 4 weeks of age. In each successive week until 8 weeks of age, the
latency to seizures decreased with prior seizure experience. 100% of GAD65-/-
mice exhibited stress-induced seizures by the end of 8 weeks. GAD65-/- mice also
exhibited marked impairment in open field exploratory behavior and deficits in
spatial learning acquisition on a Barnes maze. Anxiety-like behavior in an open
field was observed prior to seizure onset and was predictive of subsequent
seizures. Immunohistochemical characterization of interneuron subtypes in GAD65-/
mice showed a selective decrease in GABA and neuropeptide Y (NPY) levels and no
change in calbindin (CLB) or calretinin (CLR) immunoreactivity in the
hippocampus. Stem cells from the medial ganglionic eminence (MGE) were injected
into the hippocampal hilus to restore GABAergic interneurons. One week after
transplantation, MGE-transplanted mice demonstrated significant seizure
resistance compared to sham surgical controls. The percent area of GFP+ MGE graft
in the hippocampus correlated significantly with the increase in seizure latency.
Our data indicate that impaired GABAergic neurotransmission can cause anxiety
like behavior and stress-induced seizures that can be rescued by MGE stem cell
transplantation.
PMID- 29377907
TI - ProtDataTherm: A database for thermostability analysis and engineering of
proteins.
AB - Protein thermostability engineering is a powerful tool to improve resistance of
proteins against high temperatures and thereafter broaden their applications. For
efficient protein thermostability engineering, different thermostability
classified data sources including sequences and 3D structures are needed for
different protein families. However, no data source is available providing such
data easily. It is the first release of ProtDataTherm database for analysis and
engineering of protein thermostability which contains more than 14 million
protein sequences categorized based on their thermal stability and protein
family. This database contains data needed for better understanding protein
thermostability and stability engineering. Providing categorized protein
sequences and structures as psychrophilic, mesophilic and thermophilic makes this
database useful for the development of new tools in protein stability prediction.
This database is available at http://profiles.bs.ipm.ir/softwares/protdatatherm.
As a proof of concept, the thermostability that improves mutations were suggested
for one sample protein belonging to one of protein families with more than 20
mesophilic and thermophilic sequences and with known experimentally measured
DeltaT of mutations available within ProTherm database.
PMID- 29377908
TI - Spatio-temporal heterogeneity of malaria morbidity in Ghana: Analysis of routine
health facility data.
AB - BACKGROUND: Malaria incidence is largely influenced by vector abundance. Among
the many interconnected factors relating to malaria transmission, weather
conditions such as rainfall and temperature are known to create suitable
environmental conditions that sustain reproduction and propagation of anopheles
mosquitoes and malaria parasites. In Ghana, climatic conditions vary across the
country. Understanding the heterogeneity of malaria morbidity using data sourced
from a recently setup data repository for routine health facility data could
support planning. METHODS: Monthly aggregated confirmed uncomplicated malaria
cases from the District Health Information Management System and average monthly
rainfall and temperature records obtained from the Ghana Meteorological Agency
from 2008 to 2016 were analysed. Univariate time series models were fitted to the
malaria, rainfall and temperature data series. After pre-whitening the morbidity
data, cross correlation analyses were performed. Subsequently, transfer function
models were developed for the relationship between malaria morbidity and rainfall
and temperature. RESULTS: Malaria morbidity patterns vary across zones. In the
Guinea savannah, morbidity peaks once in the year and twice in both the
Transitional forest and Coastal savannah, following similar patterns of rainfall
at the zonal level. While the effects of rainfall on malaria morbidity are
delayed by a month in the Guinea savannah and Transitional Forest zones those of
temperature are delayed by two months in the Transitional forest zone. In the
Coastal savannah however, incidence of malaria is significantly associated with
two months lead in rainfall and temperature. CONCLUSION: Data captured on the
District Health Information Management System has been used to demonstrate
heterogeneity in the dynamics of malaria morbidity across the country. Timing of
these variations could guide the deployment of interventions such as indoor
residual spraying, Seasonal Malaria Chemoprevention or vaccines to optimise
effectiveness on zonal basis.
PMID- 29377909
TI - Genomic analysis of head and neck cancer cases from two high incidence regions.
AB - We investigated how somatic changes in HNSCC interact with environmental and host
risk factors and whether they influence the risk of HNSCC occurrence and outcome.
180-paired samples diagnosed as HNSCC in two high incidence regions of Europe and
South America underwent targeted sequencing (14 genes) and evaluation of copy
number alterations (SCNAs). TP53, PIK3CA, NOTCH1, TP63 and CDKN2A were the most
frequently mutated genes. Cases were characterized by a low copy number burden
with recurrent focal amplification in 11q13.3 and deletion in 15q22. Cases with
low SCNAs showed an improved overall survival. We found significant correlations
with decreased overall survival between focal amplified regions 4p16, 10q22 and
22q11, and losses in 12p12, 15q14 and 15q22. The mutational landscape in our
cases showed an association to both environmental exposures and clinical
characteristics. We confirmed that somatic copy number alterations are an
important predictor of HNSCC overall survival.
PMID- 29377910
TI - The diagnostic performance of a novel ELISA for human CTP (Cochlin-tomoprotein)
to detect perilymph leakage.
AB - Perilymphatic fistula is defined as an abnormal communication between the
perilymph-filled space and the middle ear, or cranial spaces. The manifestations
include a broad spectrum of neuro-otological symptoms such as hearing loss,
vertigo/dizziness, disequilibrium, aural fullness, tinnitus, and cognitive
dysfunction. By sealing the fistula, perilymphatic fistula is a surgically
correctable disease. Also, appropriate recognition and treatment of perilymphatic
fistula can improve a patient's condition and hence the quality of life. However,
the difficulty in making a definitive diagnosis due to the lack of an appropriate
biomarker to detect perilymph leakage has caused a long-standing debate regarding
its management. We have reported a clinical test for the diagnosis of
perilymphatic fistula by detecting a perilymph specific protein, Cochlin
tomoprotein, as a diagnostic marker using a western blot. The aim of this study
is to establish an ELISA-based human Cochlin-tomoprotein detection test and to
evaluate its diagnostic accuracy in clinical subjects. The results of ELISA
showed good dilution reproducibility. The mean concentration was 49.7+/-9.4 of 10
perilymph samples. The ROC curve in differentiating the perilymph leakage
condition from the normal middle ear was significant (P < 0.001) with an area
under the curve (AUC) of 0.918 (95% CI 0.824-0.100). We defined the diagnostic
criteria as follows: CTP<0.4 negative; 0.4?CTP<0.8 intermediate; 0.8?CTP(ng/ml)
positive in the clinical usage of the hCTP ELISA, and sensitivity and specificity
were 86.4% and 100%, respectively. We further tested the expression specificity
of the Cochlin-tomoprotein by testing blood and CSF samples. The concentration
was below the detection limit (0.2 ng/ml) in 38 of the 40 blood, and 14 of the 19
CSF samples. We report the accuracy of this test for the diagnosis of
perilymphatic fistula. Using ELISA, we can improve the throughput of the test.
Furthermore, it is useful for a large-scale study to characterize the clinical
picture and delineate the management of this medical condition.
PMID- 29377911
TI - Hybrid two-stage active contour method with region and edge information for
intensity inhomogeneous image segmentation.
AB - This paper presents a novel two-stage image segmentation method using an edge
scaled energy functional based on local and global information for intensity
inhomogeneous image segmentation. In the first stage, we integrate global
intensity term with a geodesic edge term, which produces a preliminary rough
segmentation result. Thereafter, by taking final contour of the first stage as
initial contour, we begin second stage segmentation process by integrating local
intensity term with geodesic edge term to get final segmentation result. Due to
the suitable initialization from the first stage, the second stage precisely
achieves desirable segmentation result for inhomogeneous image segmentation. Two
stage segmentation technique not only increases the accuracy but also eliminates
the problem of initial contour existed in traditional local segmentation methods.
The energy function of the proposed method uses both global and local terms
incorporated with compacted geodesic edge term in an additive fashion which uses
image gradient information to delineate obscured boundaries of objects inside an
image. A Gaussian kernel is adapted for the regularization of the level set
function and to avoid an expensive re-initialization. The experiments were
carried out on synthetic and real images. Quantitative validations were performed
on Multimodal Brain Tumor Image Segmentation Benchmark (BRATS) 2015 and PH2 skin
lesion database. The visual and quantitative comparisons will demonstrate the
efficiency of the proposed method.
PMID- 29377912
TI - Recellularization of rat liver: An in vitro model for assessing human drug
metabolism and liver biology.
AB - Liver-like organoids that recapitulate the complex functions of the whole liver
by combining cells, scaffolds, and mechanical or chemical cues are becoming
important models for studying liver biology and drug metabolism. The advantages
of growing cells in three-dimensional constructs include enhanced cell-cell and
cell-extracellular matrix interactions and preserved cellular phenotype
including, prevention of de-differentiation. In the current study, biomimetic
liver constructs were made via perfusion decellularization of rat liver, with the
goal of maintaining the native composition and structure of the extracellular
matrix. We optimized our decellularization process to produce liver scaffolds in
which immunogenic residual DNA was removed but glycosaminoglycans were
maintained. When the constructs were recellularized with rat or human liver
cells, the cells remained viable, capable of proliferation, and functional for 28
days. Specifically, the cells continued to express cytochrome P450 genes and
maintained their ability to metabolize a model drug, midazolam. Microarray
analysis showed an upregulation of genes involved in liver regeneration and
fibrosis. In conclusion, these liver constructs have the potential to be used as
test beds for studying liver biology and drug metabolism.
PMID- 29377913
TI - Epidemiology characteristics of human coronaviruses in patients with respiratory
infection symptoms and phylogenetic analysis of HCoV-OC43 during 2010-2015 in
Guangzhou.
AB - Human coronavirus (HCoV) is one of the most common causes of respiratory tract
infection throughout the world. To investigate the epidemiological and genetic
variation of HCoV in Guangzhou, south China, we collected totally 13048 throat
and nasal swab specimens from adults and children with fever and acute upper
respiratory infection symptoms in Gunazhou, south China between July 2010 and
June 2015, and the epidemiological features of HCoV and its species were studied.
Specimens were screened for HCoV by real-time RT-PCR, and 7 other common
respiratory viruses were tested simultaneously by PCR or real-time PCR. HCoV was
detected in 294 cases (2.25%) of the 13048 samples, with most of them inpatients
(251 cases, 85.4% of HCoV positive cases) and young children not in nursery
(53.06%, 156 out of 294 HCoV positive cases). Four HCoVs, as OC43, 229E, NL63 and
HKU1 were detected prevalent during 2010-2015 in Guangzhou, and among the HCoV
positive cases, 60.20% were OC43, 16.67% were 229E, 14.97% were NL63 and 7.82%
were HKU1. The month distribution showed that totally HCoV was prevalent in
winter, but differences existed in different species. The 5 year distribution of
HCoV showed a peak-valley distribution trend, with the detection rate higher in
2011 and 2013 whereas lower in 2010, 2012 and 2014. The age distribution revealed
that children (especially those <3 years old) and old people (>50 years) were
both high risk groups to be infected by HCoV. Of the 294 HCoV positive patients,
34.69% (101 cases) were co-infected by other common respiratory viruses, and
influenza virus was the most common co-infecting virus (30/101, 29.70%). Fifteen
HCoV-OC43 positive samples of 2013-2014 were selected for S gene sequencing and
phylogenetic analysis, and the results showed that the 15 strains could be
divided into 2 clusters in the phylogenetic tree, 12 strains of which formed a
separate cluster that was closer to genotype G found in Malaysia. It was revealed
for the first time that genotype B and genotype G of HCoV-OC43 co-circulated and
the newly defined genotype G was epidemic as a dominant genotype during 2013-2014
in Guanzhou, south China.
PMID- 29377914
TI - Exploring the behaviour of water in glycerol solutions by using delayed
luminescence.
AB - The crucial role of water in the engine of life have encouraged many researchers
in studying, both theoretically and experimentally, the possible "structure" of
water. Many properties of water have been related to the interplay between two
distinct and interconverting structural species, namely the low-density water
(LDW) and the high-density water (HDW). Supported by the results obtained with
other aqueous solutions, this paper deals with the possibility of using the ultra
weak delayed luminescence (DL) to investigate water structuring in a mixture with
glycerol, characterized only by hydrogen bonds between the various molecules.
Spectral and temporal characteristics of DL decays give information on the two
components of the mixture, by evidencing the contribution of water at glycerol
concentrations close to the values used in cryopreservation. DL results have
shown a correlation with LDW clusters size as determined by other researchers on
the basis of neutron diffraction experiments and computational modelling, as
reported in Literature.
PMID- 29377915
TI - Highly multiplexed single-cell quantitative PCR.
AB - We present a microfluidic device for rapid gene expression profiling in single
cells using multiplexed quantitative polymerase chain reaction (qPCR). This
device integrates all processing steps, including cell isolation and lysis,
complementary DNA synthesis, pre-amplification, sample splitting, and measurement
in twenty separate qPCR reactions. Each of these steps is performed in parallel
on up to 200 single cells per run. Experiments performed on dilutions of purified
RNA establish assay linearity over a dynamic range of at least 104, a qPCR
precision of 15%, and detection sensitivity down to a single cDNA molecule. We
demonstrate the application of our device for rapid profiling of microRNA
expression in single cells. Measurements performed on a panel of twenty miRNAs in
two types of cells revealed clear cell-to-cell heterogeneity, with evidence of
spontaneous differentiation manifested as distinct expression signatures. Highly
multiplexed microfluidic RT-qPCR fills a gap in current capabilities for single
cell analysis, providing a rapid and cost-effective approach for profiling panels
of marker genes, thereby complementing single-cell genomics methods that are best
suited for global analysis and discovery. We expect this approach to enable new
studies requiring fast, cost-effective, and precise measurements across hundreds
of single cells.
PMID- 29377916
TI - Vaccination potential of B and T epitope-enriched NP and M2 against Influenza A
viruses from different clades and hosts.
AB - To avoid outbreaks of influenza virus epidemics and pandemics among human
populations, modern medicine requires the development of new universal vaccines
that are able to provide protection from a wide range of influenza A virus
strains. In the course of development of a universal vaccine, it is necessary to
consider that immunity must be generated even against viruses from different
hosts because new human epidemic virus strains have their origins in viruses of
birds and other animals. We have enriched conserved viral proteins-nucleoprotein
(NP) and matrix protein 2 (M2)-by B and T-cell epitopes not only human origin but
also swine and avian origin. For this purpose, we analyzed M2 and NP sequences
with respect to changes in the sequences of known T and B-cell epitopes and chose
conserved and evolutionarily significant epitopes. Eventually, we found consensus
sequences of M2 and NP that have the maximum quantity of epitopes that are 100%
coincident with them. Consensus epitope-enriched amino acid sequences of M2 and
NP proteins were included in a recombinant adenoviral vector. Immunization with
Ad5-tet-M2NP induced strong CD8 and CD4 T cells responses, specific to each of
the encoded antigens, i.e. M2 and NP. Eight months after immunization with Ad5
tet-M2NP, high numbers of M2- and NP-responding "effector memory" CD44posCD62neg
T cells were found in the mouse spleens, which revealed a long-term T cell immune
memory conferred by the immunization. In all, the challenge experiments showed an
extraordinarily wide-ranging efficacy of protection by the Ad5-tet-M2NP vaccine,
covering 5 different heterosubtypes of influenza A virus (2 human, 2 avian and 1
swine).
PMID- 29377917
TI - Study of formation of green eggshell color in ducks through global gene
expression.
AB - The green eggshell color produced by ducks is a threshold trait that can be
influenced by various factors, such as hereditary, environment and nutrition. The
aim of this study was to investigate the genetic regulation of the formation of
eggs with green shells in Youxian ducks. We performed integrative analysis of
mRNAs and miRNAs expression profiling in the shell gland samples from ducks by
RNA-Seq. We found 124 differentially expressed genes that were associated with
various pathways, such as the ATP-binding cassette (ABC) transporter and solute
carrier supper family pathways. A total of 31 differentially expressed miRNAs
were found between ducks laying green eggs and white eggs. KEGG pathway analysis
of the predicted miRNA target genes also indicated the functional characteristics
of these miRNAs; they were involved in the ABC transporter pathway and the solute
carrier (SLC) supper family. Analysis with qRT-PCR was applied to validate the
results of global gene expression, which showed a correlation between results
obtained by RNA-seq and RT-qPCR. Moreover, a miRNA-mRNA interaction network was
established using correlation analysis of differentially expressed mRNA and
miRNA. Compared to ducks that lay white eggs, ducks that lay green eggs include
six up-regulated miRNAs that had regulatory effects on 35 down-regulated genes,
and seven down-regulated miRNAs which influenced 46 up-regulated genes. For
example, the ABC transporter pathway could be regulated by expressing gga-miR-144
3p (up-regulated) with ABCG2 (up-regulated) and other miRNAs and genes. This
study provides valuable information about mRNA and miRNA regulation in duck shell
gland tissues, and provides foundational information for further study on the
eggshell color formation and marker-assisted selection for Youxian duck breeding.
PMID- 29377918
TI - Ecological suitability modeling for anthrax in the Kruger National Park, South
Africa.
AB - The spores of the soil-borne bacterium, Bacillus anthracis, which causes anthrax
are highly resistant to adverse environmental conditions. Under ideal conditions,
anthrax spores can survive for many years in the soil. Anthrax is known to be
endemic in the northern part of Kruger National Park (KNP) in South Africa (SA),
with occasional epidemics spreading southward. The aim of this study was to
identify and map areas that are ecologically suitable for the harboring of B.
anthracis spores within the KNP. Anthrax surveillance data and selected
environmental variables were used as inputs to the maximum entropy (Maxent)
species distribution modeling method. Anthrax positive carcasses from 1988-2011
in KNP (n = 597) and a total of 40 environmental variables were used to predict
and evaluate their relative contribution to suitability for anthrax occurrence in
KNP. The environmental variables that contributed the most to the occurrence of
anthrax were soil type, normalized difference vegetation index (NDVI) and
precipitation. Apart from the endemic Pafuri region, several other areas within
KNP were classified as ecologically suitable. The outputs of this study could
guide future surveillance efforts to focus on predicted suitable areas for
anthrax, since the KNP currently uses passive surveillance to detect anthrax
outbreaks.
PMID- 29377919
TI - Associations of recent weight loss with health care costs and utilization among
older women.
AB - The association of weight loss with health care costs among older women is
uncertain. Our study aim was to examine the association of objectively measured
weight change with subsequent total health care (THC) costs and other health care
utilization among older women. Our study population included 2,083 women (mean
age 80.2 years) enrolled in the Study of Osteoporotic Fractures and U.S. Medicare
Fee for Service. Weight loss and gain were defined, respectively, as >=5%
decrease and >=5% increase in body weight, and weight maintenance as <5% change
in body weight over a period of 4.5 years. THC costs, outpatient costs,
hospitalizations, and skilled nursing facility [SNF] utilization were estimated
from Medicare claims for 1 year after the period during which weight change was
measured. The associations of weight change with THC and outpatient costs were
estimated using generalized linear models with gamma variance and log link
functions, and with hospitalizations and SNF utilization using logistic models.
Adjusted for age and current body mass index (BMI), weight loss compared with
weight maintenance was associated with a 35% increase in THC costs ($2148 [95%
CI, 745 to 3552], 2014 U.S. dollars), a 15% increase in outpatient costs ($329
[95% C.I. -1 to 660]), and odds ratios of 1.42 (95% CI, 1.14 to 1.76) for >=1
hospital stay and 1.45 (95% CI, 1.03 to 2.03) for >=1 SNF stay. These
associations did not vary by BMI category. After additional adjustment for multi
morbidity and functional status, associations of weight loss with all four
outcomes were no longer significant. In conclusion, >=5% weight loss among older
women is not associated with increased THC and outpatient costs, hospitalization,
and SNF utilization, irrespective of BMI category after accounting for multi
morbidity and impaired functional status that accompany weight loss.
PMID- 29377920
TI - Elasmobranch bycatch in the Italian Adriatic pelagic trawl fishery.
AB - Elasmobranchs are among the most threatened long-lived marine species worldwide,
and incidental capture is a major source of mortality. The northern central
Adriatic Sea, though one of the most overfished basins of the Mediterranean Sea,
supports a very valuable marine biodiversity, including elasmobranchs. This study
assesses the impact of the northern central Adriatic pelagic trawl fishery on
common smooth-hound (Mustelus mustelus), spiny dogfish (Squalus acanthias),
common eagle ray (Myliobatis aquila), and pelagic stingray (Pteroplatytrygon
violacea) by examining incidental catches recorded between 2006 and 2015. The
distribution of bycatch events was evaluated using geo-referenced data.
Generalized Linear Models were computed to standardize the catch of the four
species and to predict the relative abundance of bycatch events. Data analysis
shows that most bycatch events involving all four species occurred in the
northern Adriatic Sea. The models predicted significant, distinct temporal
patterns of standardized catches in line with previous investigations. Water
depth, season, and fishing region were the best predictors to explain bycatch
events. The present data suggest that the northern Adriatic may be an important
nursery area for several elasmobranchs. They also highlight the urgent need for a
better understanding of the interactions between elasmobranchs and fisheries to
develop and apply suitable, ad hoc management measures.
PMID- 29377921
TI - Adaptogenic potential of royal jelly in liver of rats exposed to chronic stress.
AB - Restraint and cold stress increase both corticosterone and glycemia, which lead
to oxidative damages in hepatic tissue. This study assessed the effect of royal
jelly (RJ) supplementation on the corticosterone level, glycemia, plasma enzymes
and hepatic antioxidant system in restraint and cold stressed rats. Wistar rats
were allocated into no-stress, stress, no-stress supplemented with RJ and stress
supplemented with RJ groups. Initially, RJ (200mg/Kg) was administered for
fourteen days and stressed groups were submitted to chronic stress from the
seventh day. The results showed that RJ supplementation decreases corticosterone
levels and improves glycemia control after stress induction. RJ supplementation
also decreased the body weight, AST, ALP and GGT. Moreover, RJ improved total
antioxidant capacity, SOD activity and reduced GSH, GR and lipoperoxidation in
the liver. Thus, RJ supplementation reestablished the corticosterone levels and
the hepatic antioxidant system in stressed rats, indicating an adaptogenic and
hepatoprotective potential of RJ.
PMID- 29377922
TI - Assessing the impacts of total liquid ventilation on left ventricular diastolic
function in a model of neonatal respiratory distress syndrome.
AB - BACKGROUND: Filling the lung with dense liquid perfluorocarbons during total
liquid ventilation (TLV) might compress the myocardium, a plausible explanation
for the instability occasionally reported with this technique. Our objective is
to assess the impacts of TLV on the cardiovascular system, particularly left
ventricular diastolic function, in an ovine model of neonatal respiratory
distress syndrome. METHOD: Eight newborns lambs, 3.0 +/- 0.4 days (3.2 +/- 0.3kg)
were used in this crossover experimental study. Animals were intubated,
anesthetized and paralyzed. Catheters were inserted in the femoral and pulmonary
arteries. A high-fidelity pressure catheter was inserted into the left ventricle.
Surfactant deficiency was induced by repeated lung lavages with normal saline.
TLV was then conducted for 2 hours using a liquid ventilator prototype. Thoracic
echocardiography and cardiac output assessment by thermodilution were performed
before and during TLV. RESULTS: Left ventricular end diastolic pressure (LVEDP)
(9.3 +/- 2.1 vs. 9.2 +/- 2.4mmHg, p = 0.89) and dimension (1.90 +/- 0.09 vs. 1.86
+/- 0.12cm, p = 0.72), negative dP/dt (-2589 +/- 691 vs. -3115 +/- 866mmHg/s, p =
0.50) and cardiac output (436 +/- 28 vs. 481 +/- 59ml/kg/min, p = 0.26) were not
affected by TLV initiation. Left ventricular relaxation time constant (tau)
slightly increased from 21.5 +/- 3.3 to 24.9 +/- 3.7ms (p = 0.03). Mean arterial
systemic (48 +/- 6 vs. 53 +/- 7mmHg, p = 0.38) and pulmonary pressures (31.3 +/-
2.5 vs. 30.4 +/- 2.3mmHg, p = 0.61) were stable. As expected, the inspiratory
phase of liquid cycling exhibited a small but significant effect on most
variables (i.e. central venous pressure +2.6 +/- 0.5mmHg, p = 0.001; LVEDP +1.18
+/- 0.12mmHg, p<0.001). CONCLUSIONS: TLV was well tolerated in our neonatal lamb
model of severe respiratory distress syndrome and had limited impact on left
ventricle diastolic function when compared to conventional mechanical
ventilation.
PMID- 29377923
TI - Clinical prediction models for mortality and functional outcome following
ischemic stroke: A systematic review and meta-analysis.
AB - OBJECTIVE: We aim to identify and critically appraise clinical prediction models
of mortality and function following ischaemic stroke. METHODS: Electronic
databases, reference lists, citations were searched from inception to September
2015. Studies were selected for inclusion, according to pre-specified criteria
and critically appraised by independent, blinded reviewers. The discrimination of
the prediction models was measured by the area under the curve receiver operating
characteristic curve or c-statistic in random effects meta-analysis.
Heterogeneity was measured using I2. Appropriate appraisal tools and reporting
guidelines were used in this review. RESULTS: 31395 references were screened, of
which 109 articles were included in the review. These articles described 66
different predictive risk models. Appraisal identified poor methodological
quality and a high risk of bias for most models. However, all models precede the
development of reporting guidelines for prediction modelling studies.
Generalisability of models could be improved, less than half of the included
models have been externally validated(n = 27/66). 152 predictors of mortality and
192 predictors and functional outcome were identified. No studies assessing
ability to improve patient outcome (model impact studies) were identified.
CONCLUSIONS: Further external validation and model impact studies to confirm the
utility of existing models in supporting decision-making is required. Existing
models have much potential. Those wishing to predict stroke outcome are advised
to build on previous work, to update and adapt validated models to their specific
contexts opposed to designing new ones.
PMID- 29377924
TI - Adiposity cut-off points for cardiovascular disease and diabetes risk in the
Portuguese population: The PORMETS study.
AB - OBJECTIVES: The contribution of adiposity to cardiovascular and diabetes risk
justifies the inclusion of an adiposity measure, usually waist circumference, in
the definition of metabolic syndrome. However, waist circumference thresholds
differ across populations. Our aim was to assess which adiposity measure performs
the best in identifying the metabolic syndrome in a sample of Portuguese
participants and to estimate cut-off values for these measures. METHODS: Data
were obtained from a cross-sectional study (PORMETS study) conducted in Portugal
between 2007 and 2009. A representative sample of non-institutionalized adults,
comprising 3,956 participants, aged 18 years and older, was evaluated. A
structured questionnaire was administered, collecting information on personal
medical history, socio-demographics and behavioral characteristics.
Anthropometrics, blood pressure and venous blood samples were also obtained.
Metabolic syndrome was defined according to the Joint Interim Statement of the
International Diabetes Federation Task Force on Epidemiology recommended
criteria. Elevated cardiometabolic risk was considered when two or more of the
four criteria of metabolic syndrome were present, excluding the waist
circumference component. A receiver operating characteristic curve was used to
estimate cut-off points. RESULTS: This study found that waist-to-height ratio,
waist circumference and body adiposity index performed better than other
adiposity measures, such as body mass index. The estimated cut-off points for
waist-to-height ratio, waist circumference and body adiposity index in women and
men were 0.564 / 89 cm / 27.4 and 0.571 / 93.5 cm / 25.5, respectively.
CONCLUSION: As waist circumference is currently used as the adiposity measure in
the definition of metabolic syndrome and as no relevant differences were observed
between this measure and waist-to-height ratio, it is likely that no modification
to the metabolic syndrome definition needs to be proposed. Moreover, this study
also confirmed the applicability of European cut-off points in the Portuguese
population.
PMID- 29377925
TI - Individual differences in compliance and agreement for sleep logs and wrist
actigraphy: A longitudinal study of naturalistic sleep in healthy adults.
AB - There is extensive laboratory research studying the effects of acute sleep
deprivation on biological and cognitive functions, yet much less is known about
naturalistic patterns of sleep loss and the potential impact on daily or weekly
functioning of an individual. Longitudinal studies are needed to advance our
understanding of relationships between naturalistic sleep and fluctuations in
human health and performance, but it is first necessary to understand the
efficacy of current tools for long-term sleep monitoring. The present study used
wrist actigraphy and sleep log diaries to obtain daily measurements of sleep from
30 healthy adults for up to 16 consecutive weeks. We used non-parametric Bland
Altman analysis and correlation coefficients to calculate agreement between
subjectively and objectively measured variables including sleep onset time, sleep
offset time, sleep onset latency, number of awakenings, the amount of wake time
after sleep onset, and total sleep time. We also examined compliance data on the
submission of daily sleep logs according to the experimental protocol. Overall,
we found strong agreement for sleep onset and sleep offset times, but relatively
poor agreement for variables related to wakefulness including sleep onset
latency, awakenings, and wake after sleep onset. Compliance tended to decrease
significantly over time according to a linear function, but there were
substantial individual differences in overall compliance rates. There were also
individual differences in agreement that could be explained, in part, by
differences in compliance. Individuals who were consistently more compliant over
time also tended to show the best agreement and lower scores on behavioral
avoidance scale (BIS). Our results provide evidence for convergent validity in
measuring sleep onset and sleep offset with wrist actigraphy and sleep logs, and
we conclude by proposing an analysis method to mitigate the impact of non
compliance and measurement errors when the two methods provide discrepant
estimates.
PMID- 29377926
TI - Impact of understory vegetation on soil carbon and nitrogen dynamic in aerially
seeded Pinus massoniana plantations.
AB - Understory vegetation plays a vital role in regulating soil carbon (C) and
nitrogen (N) characteristics due to differences in plant functional traits.
Different understory vegetation types have been reported following aerial
seeding. While aerial seeding is common in areas with serious soil erosion, few
studies have been conducted to investigate changes in soil C and N cycling as
affected by understory vegetation in aerially seeded plantations. Here, we
studied soil C and N characteristics under two naturally formed understory
vegetation types (Dicranopteris and graminoid) in aerially seeded Pinus
massoniana Lamb plantations. Across the two studied understory vegetation types,
soil organic C was significantly correlated with all measured soil N variables,
including total N, available N, microbial biomass N and water-soluble organic N,
while microbial biomass C was correlated with all measured variables except soil
organic C. Dicranopteris and graminoid differed in their effects on soil C and N
process. Except water-soluble organic C, all the other C and N variables were
higher in soils with graminoids. The higher levels of soil organic C, microbial
biomass C, total N, available N, microbial biomass N and water-soluble organic N
were consistent with the higher litter and root quality (C/N) of graminoid
vegetation compared to Dicranopteris. Changes in soil C and N cycles might be
impacted by understory vegetation types via differences in litter or root
quality.
PMID- 29377927
TI - Effect of body mass index on diabetogenesis factors at a fixed fasting plasma
glucose level.
AB - AIM: The present study evaluated the relative influence of body mass index (BMI)
on insulin resistance (IR), first-phase insulin secretion (FPIS), second-phase
insulin secretion (SPIS), and glucose effectiveness (GE) at a fixed fasting
plasma glucose level in an older ethnic Chinese population. METHODS: In total,
265 individuals aged 60 years with a fasting plasma glucose level of 5.56 mmol/L
were enrolled. Participants had BMIs of 20.0-34.2 kg/m2. IR, FPIS, SPIS, and GE
were estimated using our previously developed equations. Pearson correlation
analysis was conducted to assess the correlations between the four diabetogenesis
factors and BMI. A general linear model was used to determine the differences in
the percentage of change among the four factor slopes against BMI. RESULTS:
Significant correlations were observed between BMI and FPIS, SPIS, IR, and GE in
both women and men, which were higher than those reported previously. In men, BMI
had the most profound effect on SPIS, followed by IR, FPIS, and GE, whereas in
women, the order was slightly different: IR, followed by FPIS, SPIS, and GE.
Significant differences were observed among all these slopes, except for the
slopes between FPIS and SPIS in women (p = 0.856) and IR and FPIS in men (p =
0.258). CONCLUSIONS: The contribution of obesity to all diabetes factors, except
GE, was higher than that reported previously. BMI had the most profound effect on
insulin secretion in men and on IR in women in this 60-year-old cohort,
suggesting that lifestyle modifications for obesity reduction in women remain the
most important method for improving glucose metabolism and preventing future type
2 diabetes mellitus.
PMID- 29377928
TI - Effect of hyperbaric oxygen therapy (HBO) on implant-associated osteitis in a
femur fracture model in mice.
AB - Hyperbaric oxygen therapy (HBO) is applied very successfully in treatment of
various diseases such as chronic wounds. It has been already suggested as
adjunctive treatment option for osteitis by immune- and fracture modulating
effects. This study evaluates the importance of HBO in an early implant
associated localized osteitis caused by Staphylococcus aureus (SA) compared to
the standard therapy. In a standardized murine model the left femur of 120 BALB/c
mice were osteotomized and fixed by a titanium locking plate. Osteitis has been
induced with a defined amount of SA into the fracture gap. Debridement and
lavages were progressed on day 7, 14, 28 and 56 to determine the local bacterial
growth and the immune reaction. Hyperbaric oxygen (2 ATA, 90%) was applied for 90
minutes on day 7 to 21 for those mice allocated to HBO therapy. To evaluate the
effect of HBO therapy the following groups were analyzed: Two sham-groups (12
mice / group) with and without HBO therapy, two osteotomy groups (24 mice /
group) with plate osteosynthesis of the femur with and without HBO therapy, and
two osteotomy SA infection groups (24 mice / group) with and without HBO therapy.
Fracture healing was also quantified on day 7, 14, 28 and 56 by a.p. x-ray and
bone healing markers from blood samples. Progression of infection was assessed by
estimation of colony-forming units (CFU) and immune response was analyzed by
determination of polymorphonuclear neutrophils (PMN), Interleukin (IL) - 6, and
the circulating free DNA (cfDNA) in lavage samples. Osteitis induced
significantly higher IL-6, cfDNA- and PMN-levels in the lavage samples (on day 7
and 14, each p < 0.05). HBO-therapy did not have a significant influence on the
CFU and immune response compared to the standard therapy (each p > 0.05). At the
same time HBO-therapy was associated with a delayed bone healing assessed by x
ray radiography and a higher rate of non-union until day 28. In conclusion,
osteitis led to significantly higher bacterial count and infection parameters.
HBO-therapy neither had a beneficial influence on local infection nor on immune
response or fracture healing compared to the standard therapy in an osteitis
mouse model.
PMID- 29377929
TI - CD73-A2a adenosine receptor axis promotes innate B cell antibody responses to
pneumococcal polysaccharide vaccination.
AB - Many individuals at risk of streptococcal infection respond poorly to the
pneumococcal polysaccharide vaccine Pneumovax 23. Identification of actionable
pathways able to enhance Pneumovax responsiveness is highly relevant. We
investigated the contribution of the extracellular adenosine pathway regulated by
the ecto-nucleotidase CD73 in Pneumovax-induced antibody responses. Using gene
targeted mice, we demonstrated that CD73-or A2a adenosine receptor deficiency
significantly delayed isotype switching. Nevertheless, CD73- or A2aR- deficient
adult mice ultimately produced antigen-specific IgG3 and controlled Streptococcus
pneumoniae infection as efficiently as wild type (WT) mice. Compared to adults,
young WT mice failed to control S. pneumoniae infection after vaccination and
this was associated with lower levels of CD73 on innate B cells. We hypothesized
that pharmacological activation of A2a receptor may improve Pneumovax 23
immunization in young WT mice. Remarkably, administration of the A2a adenosine
receptor agonist CGS 21680 significantly increased IgG3 responses and
significantly enhanced survival after S. pneumoniae challenge. Our study thus
suggests that pharmacological activation of the A2a adenosine receptor could
improve the efficacy of Pneumovax 23 vaccination in individuals at risk of
streptococcal infection.
PMID- 29377930
TI - Facebook as communication support for persons with potential mild acquired
cognitive impairment: A content and social network analysis study.
AB - INTRODUCTION: Social media has the potential to increase social participation and
support for the well-being of individuals with chronic medical conditions. To
date, Facebook is the most popular social medium for different types of
communication. However, there is a lack of knowledge about the potential use of
Facebook as a means of communication for persons with potential Mild Acquired
Cognitive Impairment (MACI), a non-progressive mild cognitive impairment after an
acquired brain injury. The aim of this study was to explore how persons with
potential MACI, specifically persons with perceived brain fatigue after brain
injury, communicate through Facebook, to classify the content of the
communication and to visualize the frequency and types of interactions. METHODS
AND MATERIALS: A social network analysis of the interactions between members' and
a qualitative content analysis of a whole year's communication of a public
Facebook group for Swedish speaking persons (1310 members) with perceived brain
fatigue after an illness or injury to the brain were performed. RESULTS: The
results showed how members use social media technology and Facebook as a means
for communication and support for their condition. Individual group members
showed very different patterns of communication and interactions. However, for
the group as a whole, the most frequent topics in their communication were
related to informational support and banter in posts, and socialization in
comments. The findings also showed that the majority of members only communicated
with few other members and had few direct communications. The most used
communication feature of Facebook was likes in form of "thumbs-up". CONCLUSIONS:
This study indicated that social media and in this case Facebook is used for
communication and social support by persons with potential MACI, and revealed
that their communication behavior is similar to the healthy population. Further
studies relating specific cognitive problems of the participants to the use of
social media would provide more reliable results for this specific group.
PMID- 29377932
TI - Retraction: Dysferlin Interacts with Histone Deacetylase 6 and Increases alpha
Tubulin Acetylation.
PMID- 29377931
TI - Transcriptional regulatory control of mammalian nephron progenitors revealed by
multi-factor cistromic analysis and genetic studies.
AB - Nephron progenitor number determines nephron endowment; a reduced nephron count
is linked to the onset of kidney disease. Several transcriptional regulators
including Six2, Wt1, Osr1, Sall1, Eya1, Pax2, and Hox11 paralogues are required
for specification and/or maintenance of nephron progenitors. However, little is
known about the regulatory intersection of these players. Here, we have mapped
nephron progenitor-specific transcriptional networks of Six2, Hoxd11, Osr1, and
Wt1. We identified 373 multi-factor associated 'regulatory hotspots' around genes
closely associated with progenitor programs. To examine their functional
significance, we deleted 'hotspot' enhancer elements for Six2 and Wnt4. Removal
of the distal enhancer for Six2 leads to a ~40% reduction in Six2 expression.
When combined with a Six2 null allele, progeny display a premature depletion of
nephron progenitors. Loss of the Wnt4 enhancer led to a significant reduction of
Wnt4 expression in renal vesicles and a mildly hypoplastic kidney, a phenotype
also enhanced in combination with a Wnt4 null mutation. To explore the regulatory
landscape that supports proper target gene expression, we performed CTCF ChIP-seq
to identify insulator-boundary regions. One such putative boundary lies between
the Six2 and Six3 loci. Evidence for the functional significance of this boundary
was obtained by deep sequencing of the radiation-induced Brachyrrhine (Br) mutant
allele. We identified an inversion of the Six2/Six3 locus around the CTCF-bound
boundary, removing Six2 from its distal enhancer regulation, but placed next to
Six3 enhancer elements which support ectopic Six2 expression in the lens where
Six3 is normally expressed. Six3 is now predicted to fall under control of the
Six2 distal enhancer. Consistent with this view, we observed ectopic Six3 in
nephron progenitors. 4C-seq supports the model for Six2 distal enhancer
interactions in wild-type and Br/+ mouse kidneys. Together, these data expand our
view of the regulatory genome and regulatory landscape underpinning mammalian
nephrogenesis.
PMID- 29377933
TI - Tailor-made gene silencing of Staphylococcus aureus clinical isolates by CRISPR
interference.
AB - Preparing the genetically modified organisms have required much time and labor,
making it the rate-limiting step but CRISPR/Cas9 technology appearance has
changed this difficulty. Although reports on CRISPR/Cas9 technology such as
genome editing and CRISPR interference (CRISPRi) in eukaryotes increased, those
in prokaryotes especially in Staphylococci were limited. Thus, its potential in
the bacteriology remains unexplored. This is attributed to ecological difference
between eukaryotes and prokaryotes. Here, we constructed a novel CRISPRi plasmid
vector, pBACi for Staphylococcus aureus. The transformation efficiency of S.
aureus was ~104 CFU/MUg DNA using a vector extracted from dcm negative, which
encoded one of DNA modification genes, E. coli. Further, pBACi was introduced
into various clinical isolates including that not accepting the conventional
temperature-sensitive vector. dcas9 in the vector was expressed throughout the
growth phases of S. aureus and this vector decreased various gene mRNA
expressions based on the crRNA targeting sequences and altered the knockdown
strains' phenotypes. The targeted genes included various virulence and antibiotic
resistant genes. Bioinformatics suggest this vector can be introduced into wide
range of low-GC Gram-positive bacteria. Because this new CRISPR/Cas9-based vector
can easily prepare knockdown strains, we believe the novel vector will facilitate
the characterization of the function of genes from S. aureus and other Gram
positive bacteria.
PMID- 29377935
TI - Correction: Preference index supported by motivation tests in Nile tilapia.
AB - [This corrects the article DOI: 10.1371/journal.pone.0175821.].
PMID- 29377934
TI - Vitamin A status in healthy women eating traditionally prepared spirulina (Dihe)
in the Chad Lake area.
AB - BACKGROUND: Chad Lake is a central place in a region with a high prevalence of
vitamin A deficiency. Spirulina, a natural source of beta-carotene, is
traditionally produced and eaten as "Dihe" around Chad Lake. beta-carotene
spirulina has been found to have a high conversion factor to retinol. The aim of
the study was to assess if the retinol status between healthy women eating
spirulina Dihe daily (SPI+) and not (SPI-) in the Chad Lake area was different.
METHODS: This study was observational: 88 healthy women were recruited and
selected according to clinical criteria and their willingness to participate.
They were divided in two groups according to their Dihe daily consumption: those
who eat Dihe (SPI+; n = 35) and those who do not (SPI-; n = 35). After
anthropometric and dietary assessments, blood retinol, beta-carotene, retinol
binding, and inflammatory/nutritional proteins were measured. RESULTS: The diet
between groups was identical, except for beta-carotene consumption, which was
higher in SPI+ than in SPI- (10.8 vs. 1.8 mg/day). The serum retinol and beta
carotene concentrations were significantly higher in SPI+ than in SPI- at 1.26 +/
0.36 MUmol/l versus 1.03 +/- 0.31 MUmol/l (p = 0.008) and 0.59 +/-0.37 MUmol/l
versus 0.46+/- 0.31 MUmol/l (p = 0.04), respectively. Seventy-seven percent of
SPI+ versus 29% of SPI- had an adequate blood retinol value (p = 0.01).
CONCLUSION: The results confirm that beta-carotene in spirulina is an effective
positive modulator of blood retinol status. Dihe is a potential natural source of
beta-carotene to achieve a proper vitamin A status in healthy women living near
Chad Lake.
PMID- 29377936
TI - Alphavirus-induced hyperactivation of PI3K/AKT directs pro-viral metabolic
changes.
AB - Virus reprogramming of cellular metabolism is recognised as a critical
determinant for viral growth. While most viruses appear to activate central
energy metabolism, different viruses have been shown to rely on alternative
mechanisms of metabolic activation. Whether related viruses exploit conserved
mechanisms and induce similar metabolic changes is currently unclear. In this
work we investigate how two alphaviruses, Semliki Forest virus and Ross River
virus, reprogram host metabolism and define the molecular mechanisms responsible.
We demonstrate that in both cases the presence of a YXXM motif in the viral
protein nsP3 is necessary for binding to the PI3K regulatory subunit p85 and for
activating AKT. This leads to an increase in glucose metabolism towards the
synthesis of fatty acids, although additional mechanisms of metabolic activation
appear to be involved in Ross River virus infection. Importantly, a Ross River
virus mutant that fails to activate AKT has an attenuated phenotype in vivo,
suggesting that viral activation of PI3K/AKT contributes to virulence and
disease.
PMID- 29377938
TI - Correction: Palpation force modulation strategies to identify hard regions in
soft tissue organs.
AB - [This corrects the article DOI: 10.1371/journal.pone.0171706.].
PMID- 29377937
TI - The Xanthomonas euvesicatoria type III effector XopAU is an active protein kinase
that manipulates plant MAP kinase signaling.
AB - The Gram-negative bacterium Xanthomonas euvesicatoria (Xe) is the causal agent of
bacterial spot disease of pepper and tomato. Xe delivers effector proteins into
host cells through the type III secretion system to promote disease. Here, we
show that the Xe effector XopAU, which is conserved in numerous Xanthomonas
species, is a catalytically active protein kinase and contributes to the
development of disease symptoms in pepper plants. Agrobacterium-mediated
expression of XopAU in host and non-host plants activated typical defense
responses, including MAP kinase phosphorylation, accumulation of pathogenesis
related (PR) proteins and elicitation of cell death, that were dependent on the
kinase activity of the effector. XopAU-mediated cell death was not dependent on
early signaling components of effector-triggered immunity and was also observed
when the effector was delivered into pepper leaves by Xanthomonas campestris pv.
campestris, but not by Xe. Protein-protein interaction studies in yeast and in
planta revealed that XopAU physically interacts with components of plant immunity
associated MAP kinase cascades. Remarkably, XopAU directly phosphorylated MKK2 in
vitro and enhanced its phosphorylation at multiple sites in planta. Consistent
with the notion that MKK2 is a target of XopAU, silencing of the MKK2 homolog or
overexpression of the catalytically inactive mutant MKK2K99R in N. benthamiana
plants reduced XopAU-mediated cell death and MAPK phosphorylation. Furthermore,
yeast co-expressing XopAU and MKK2 displayed reduced growth and this phenotype
was dependent on the kinase activity of both proteins. Together, our results
support the conclusion that XopAU contributes to Xe disease symptoms in pepper
plants and manipulates host MAPK signaling through phosphorylation and activation
of MKK2.
PMID- 29377939
TI - Plasma concentration of selected biochemical markers of endothelial dysfunction
in women with various severity of chronic venous insufficiency (CVI)-A pilot
study.
AB - BACKGROUND: Although the endothelial dysfunction is considered to be implicated
in the pathogenesis of chronic venous insufficiency (CVI) the endothelial status
in patients with venous disorders is still not fully evaluated. Therefore the aim
of the study was to measure the concentration of selected markers of endothelial
dysfunction: von Willebrand factor (vWf), soluble P-selectin (sP-selectin),
soluble thrombomodulin (sTM) and soluble VE-cadherin (sVE-cadherin) in CVI women
who constitute the most numerous group of patients suffering from venous disease.
MATERIALS AND METHODS: Forty four women with CVI were involved in the study and
divided into subgroups based on CEAP classification. Concentration of vWf, sP
selectin, sTM and sVE-cadherin were measured and compared with those obtained in
25 healthy age and sex-matched women. RESULTS: It was found that the
concentration of sTM increased and sVEcadherin decreased along with disease
severity in CVI women. A significant rise of sTM was observed especially in CVI
women, with the highest inflammation status reflected by hsCRP or elastase
concentration, and in CVI women with a high oxidative stress manifested by an
increased plasma MDA. A significant fall of circulating sVE-cadherin was reported
in CVI women with moderate to highest intensity of inflammation and oxidative
stress. There was no change in vWF and sP-selectin concentration at any stage of
CVI severity. CONCLUSIONS: The results of the present study demonstrate the
presence of endothelial dysfunction in women suffering from CVI which seems to
progress with the disease severity and may be associated with inflammation and
enhanced oxidative stress.
PMID- 29377940
TI - Global synonymous mutagenesis identifies cis-acting RNA elements that regulate
HIV-1 splicing and replication.
AB - The ~9.5 kilobase HIV-1 genome contains RNA sequences and structures that control
many aspects of viral replication, including transcription, splicing, nuclear
export, translation, packaging and reverse transcription. Nonetheless, chemical
probing and other approaches suggest that the HIV-1 genome may contain many more
RNA secondary structures of unknown importance and function. To determine whether
there are additional, undiscovered cis-acting RNA elements in the HIV-1 genome
that are important for viral replication, we undertook a global silent
mutagenesis experiment. Sixteen mutant proviruses containing clusters of ~50 to
~200 synonymous mutations covering nearly the entire HIV-1 protein coding
sequence were designed and synthesized. Analyses of these mutant viruses resulted
in their division into three phenotypic groups. Group 1 mutants exhibited near
wild-type replication, Group 2 mutants exhibited replication defects accompanied
by perturbed RNA splicing, and Group 3 mutants had replication defects in the
absence of obvious splicing perturbation. The three phenotypes were caused by
mutations that exhibited a clear regional bias in their distribution along the
viral genome, and those that caused replication defects all caused reductions in
the level of unspliced RNA. We characterized in detail the underlying defects for
Group 2 mutants. Second-site revertants that enabled viral replication could be
derived for Group 2 mutants, and generally contained point mutations that reduced
the utilization of proximal splice sites. Mapping of the changes responsible for
splicing perturbations in Group 2 viruses revealed the presence of several RNA
sequences that apparently suppressed the use of cryptic or canonical splice
sites. Some sequences that affected splicing were diffusely distributed, while
others could be mapped to discrete elements, proximal or distal to the affected
splice site(s). Overall, our data indicate complex negative regulation of HIV-1
splicing by RNA elements in various regions of the HIV-1 genome that enable
balanced splicing and viral replication.
PMID- 29377942
TI - Correction: Correlation between ICDAS and histology: Differences between
stereomicroscopy and microradiography with contrast solution as histological
techniques.
AB - [This corrects the article DOI: 10.1371/journal.pone.0183432.].
PMID- 29377941
TI - Sex differences in the outcomes of stent implantation in mini-swine model.
AB - Sex-related differences have been noted in cardiovascular anatomy,
pathophysiology, and treatment responses, yet we continued to drive evaluation of
vascular device development in animal models without consideration of animal sex.
We aimed to understand sex-related differences in the vascular responses to stent
implantation by analyzing the pooled data of endovascular interventions in 164
Yucatan mini-swine (87 female, 77 male). Bare metal stents (BMS) or drug-eluting
stents (DES) were implanted in 212 coronary arteries (63 single BMS implantation,
68 single DES implantation, 33 overlapped BMS implantation, and 48 overlapped DES
implantation). Histomorphological parameters were evaluated from vascular
specimens at 3-365 days after stent implantation and evaluated values were
compared between female and male groups. While neointima formation at all times
after implantation was invariant to sex, statistically significant differences
between female and male groups were observed in injury, inflammation, adventitial
fibrosis, and neointimal fibrin deposition. These differences were observed
independently, i.e., for different procedure types and at different follow-up
timings. Only subtle temporal sex-related differences were observed in extent and
timing of resolution of inflammation and fibrin clearance. These subtle sex
related differences may be increasingly important as interventional devices meld
novel materials that erode and innovations in drug delivery. Erodible materials
may act differently if inflammation has a different temporal sequence with sex,
and drug distribution after balloon or stent delivery might be different if the
fibrin clearance speaks to different modes of pharmacokinetics in male and female
swine.
PMID- 29377943
TI - Effects of arbuscular mycorrhizal fungi and soil nutrient addition on the growth
of Phragmites australis under different drying-rewetting cycles.
AB - The frequency of soil drying-rewetting cycles is predicted to increase under
future global climate change, and arbuscular mycorrhizal fungi (AMF) are
symbiotic with most plants. However, it remains unknown how AMF affect plant
growth under different frequencies of soil drying-rewetting cycles. We subjected
a clonal wetland plant Phragmites australis to three frequencies of drying
rewetting cycles (1, 2, or 4 cycles), two nutrient treatments (with or without),
and two AMF treatments (with or without) for 64 days. AMF promoted the growth of
P. australis, especially in the 2 cycles of the drying-rewetting treatment. AMF
had a significant positive effect on leaf mass and number of ramets in the 2
cycles of the drying-rewetting treatment with nutrient addition. In the 2 cycles
of drying-rewetting treatment without nutrient addition, AMF increased leaf area
and decreased belowground to aboveground biomass ratio. These results indicate
that AMF may assist P. australis in coping with medium frequency of drying
rewetting cycles, and provide theoretical guidance for predicting how wetland
plants respond to future global climate change.
PMID- 29377944
TI - Endovascular management of pancreatitis-related pseudoaneurysms: A review of
techniques.
AB - OBJECTIVES: To present the various techniques used in the management of
pancreatitis-related pseudoaneurysms of visceral vessels. METHODS: The
retrospective clinical study was carried out at the Department of Diagnostic and
Interventional Radiology at Poznan University of Medical Sciences from 2011 to
2016. The fifteen patients included in the study were diagnosed with
pseudoaneurysms of visceral arteries, as a complication of chronic pancreatitis.
The diagnosis was made using contrast-enhanced computed tomography, followed by
angiography. On admission, all patients were symptomatic, with varying degrees of
abdominal pain. One patient was haemodynamically unstable. Treatments with
endovascular techniques were analysed, along with their efficacy and outcomes.
Coil embolisation was performed in 5 patients. Stent graft was used in 1 patient.
Liquid embolic agents were used in 7 cases, of which 5 patients were treated with
thrombin injection and 2 with Squid. A combination of techniques was used in 2
patients. RESULTS: The most common artery affected by pseudoaneurysm formation
was the splenic artery (7/15; 46.7%), and the size of the pseudoaneurysms ranged
from 27 mm to 85 mm. Primary technical success was achieved in 14 out of 15
patients (93.3%). One patient required reintervention. Two patients required
splenectomy after embolisation due to splenic ischemia. No recanalisation was
present at the follow-up computed tomography performed after 1 to 3 weeks, and no
mortality was observed within 30 days. CONCLUSION: Vascular complications of
pancreatitis require accurate diagnosis and immediate treatment. Endovascular
intervention is highly effective and is the preferred treatment option. The
technique used is determined based on vascular anatomy and the patient's
haemodynamic status.
PMID- 29377945
TI - Correction: Feasibility of assessing bone matrix and mineral properties in vivo
by combined solid-state 1H and 31P MRI.
AB - [This corrects the article DOI: 10.1371/journal.pone.0173995.].
PMID- 29377946
TI - Heritability of circle of Willis variations in families with intracranial
aneurysms.
AB - BACKGROUND: Intracranial aneurysms more often occur in the same arterial
territory within families. Several aneurysm locations are associated with
specific circle of Willis variations. We investigated whether the same circle of
Willis variations are more likely to occur in first-degree relatives than in
unrelated individuals. METHODS: We assessed four circle of Willis variations
(classical, A1-asymmetry, incomplete posterior communicating artery and fetal
circulation) in two independent groups of families with familial aneurysms and
>=2 first-degree relatives with circle of Willis imaging on MRA/CTA. In each
(index) family we determined the proportion of first-degree relatives with the
same circle of Willis variation as the proband and compared it to the proportion
of first-degree relatives of a randomly selected unrelated (comparison) family
who had the same circle of Willis variation as the index family's proband.
Concordance in index families and comparison families was compared with a
conditional logistic events/trials model. The analysis was simulated 1001 times;
we report the median concordances, odds ratios (ORs), and 95% confidence
intervals (95%CI). The groups were analysed separately and together by meta
analysis. RESULTS: We found a higher overall concordance in circle of Willis
configuration in index families than in comparison families (meta-analysis, 244
families: OR 2.2, 95%CI 1.6-3.0) mostly attributable to a higher concordance in
incomplete posterior communicating artery (meta-analysis: OR 2.8, 95%CI 1.8-4.3).
No association was found for the other three circle of Willis variations.
CONCLUSIONS: In two independent groups of families with familial aneurysms, the
incomplete PcomA variation occurred more often within than between families
suggesting heritability of this circle of Willis variation. Further studies
should investigate genetic variants associated with circle of Willis formation.
PMID- 29377947
TI - Correction: Lung and heart-lung transplantation in pulmonary arterial
hypertension.
AB - [This corrects the article DOI: 10.1371/journal.pone.0187811.].
PMID- 29377948
TI - Correction: Short-term anti-proteinuric effect of tacrolimus is not related to
preservation of the glomerular filtration rate in IgA nephropathy: A 5-year
follow-up study.
AB - [This corrects the article DOI: 10.1371/journal.pone.0188375.].
PMID- 29377950
TI - Blurred image restoration using knife-edge function and optimal window Wiener
filtering.
AB - Motion blur in images is usually modeled as the convolution of a point spread
function (PSF) and the original image represented as pixel intensities. The knife
edge function can be used to model various types of motion-blurs, and hence it
allows for the construction of a PSF and accurate estimation of the degradation
function without knowledge of the specific degradation model. This paper
addresses the problem of image restoration using a knife-edge function and
optimal window Wiener filtering. In the proposed method, we first calculate the
motion-blur parameters and construct the optimal window. Then, we use the
detected knife-edge function to obtain the system degradation function. Finally,
we perform Wiener filtering to obtain the restored image. Experiments show that
the restored image has improved resolution and contrast parameters with clear
details and no discernible ringing effects.
PMID- 29377949
TI - Molecular epidemiology of human respiratory syncytial virus among children in
Japan during three seasons and hospitalization risk of genotype ON1.
AB - We investigated the genetic diversity, the circulation patterns, and risk for
hospital admission of human respiratory syncytial virus (HRSV) strains in Japan
between 2012 through 2015. During the study period, 744 HRSV-positive cases were
identified by rapid diagnostic test. Of these, 572 samples were positive by real
time PCR; 400 (69.9%) were HRSV-A, and 172 (30.1%) were HRSV-B. HRSV-A and -B
alternated as the dominant strain in the subsequent seasons. Phylogenetic tree
analysis of the second hyper-variable region of the G protein classified the HRSV
A specimens into NA1 (n = 242) and ON1 (n = 114) genotypes and the HRSV-B
specimens into BA9 (n = 60), and BA10 (n = 27). The ON1 genotype, containing a 72
nucleotide duplication in the G protein's second hyper-variable region, was first
detected in the 2012-2013 season but it predominated and replaced the older NA1
HRSV-A in the 2014-2015 season, which also coincided with a record number of HRSV
cases reported to the National Infectious Disease Surveillance in Japan. The risk
of hospitalization was 6.9 times higher for the ON1 genotype compared to NA1. In
conclusion, our data showed that the emergence and predominance of the relatively
new ON1 genotype in Japan was associated with a record high number of cases and
increased risk for hospitalization.
PMID- 29377951
TI - Radiographic cup position following posterior and lateral approach to total hip
arthroplasty. An explorative randomized controlled trial.
AB - The two most common surgical approaches to total hip arthroplasty are the
posterior approach and lateral approach. The surgical approach may influence cup
positioning and restoration of the offset, which may affect the biomechanical
properties of the hip joint. The primary aim was to compare cup position between
posterior approach and lateral approach. Secondary aims were to compare femoral
offset, abductor moment arm and leg length discrepancy between the two
approaches. Eighty patients with primary hip osteoarthritis were included in a
randomized controlled trial and assigned to total hip arthroplasty using
posterior approach or lateral approach. Postoperative radiographs from 38
patients in each group were included in this study for measurement of cup
anteversion and inclination. Femoral offset, cup offset, total offset, abductor
moment arm and leg length discrepancy were measured on preoperative and
postoperative radiographs in 28 patients in each group. We found that mean
anteversion was 5 degrees larger in the posterior approach group (95% CI, -8.1
to -1.4; p = 0.006), while mean inclination was 5 degrees less steep (95% CI,
2.7 to 7.2; p<0.001) compared with the lateral approach group. The posterior
approach group had a larger mean femoral offset of 4.3mm (95% CI, -7.4 to -1.3, p
= 0.006), mean total offset of 6.3mm (95% CI, -9.6 to -3; p<0.001) and mean
abductor moment arm of 4.8mm (95% CI, -7.6 to -1.9; p = 0.001) compared with the
lateral approach group. We found a larger cup anteversion but less steep cup
inclination in the posterior approach group compared with the lateral approach
group. Femoral offset and abductor moment arm were restored after total hip
arthroplasty using lateral approach but significantly increased when using
posterior approach.
PMID- 29377952
TI - Correction: Immune-related genetic enrichment in frontotemporal dementia: An
analysis of genome-wide association studies.
AB - [This corrects the article DOI: 10.1371/journal.pmed.1002487.].
PMID- 29377953
TI - Intra-cameral level of ganciclovir gel, 0.15% following topical application for
cytomegalovirus anterior segment infection: A pilot study.
AB - PURPOSE: To investigate the intra-cameral level of ganciclovir following topical
application of ganciclovir gel, 0.15% for cytomegalovirus (CMV) anterior segment
infection. DESIGN: Non-randomized, prospective, interventional clinical study.
METHODS: Patients with active CMV anterior segment infection seen at Singapore
National Eye Centre, confirmed by positive CMV real time PCR (RT-PCR) of the
aqueous humor, that had not been treated with any form of ganciclovir in the
preceding 1 month were recruited. They were treated with ganciclovir gel, 0.15%
1cc 5 times a day. Following 6 weeks of treatment, CMV load in the aqueous humor
was measured using CMV RT-PCR and the ganciclovir drug levels in tears and
aqueous humor were measured using high-performance liquid chromatography-mass
spectrometry. The clinical features of the disease activity and the central
corneal thickness (CCT) were recorded at the baseline and post-treatment.
RESULTS: There were 29 eyes of 29 patients, of which 23 eyes had CMV anterior
uveitis and 6 eyes had CMV endotheliitis. At the end of week 6, 26 eyes had
undetectable CMV titre in the aqueous humor and no anterior chamber (AC)
activity. Two patients had an increased CMV titre and increased AC inflammation.
Both of these patients were non-compliant with the treatment. One patient had a
reduced CMV titre in the aqueous humor with minimal AC inflammation. The mean
ganciclovir concentration in the aqueous humor and the tears were 17.4 +/- 30.6
ng/ml and 20,420.9 +/- 33,120.8 ng/ml respectively. Mean CCT was 552.2 +/- 42.3
microns. There was a weak correlation between the ganciclovir concentration in
the aqueous humor and CCT (Spearmen's r = + 0.42, p = 0.025). There was no
significant correlation between the ganiclovir concentration in the tears and CCT
(Spearmen's r = + 0.39, p = 0.11). CONCLUSION: Ganciclovir levels in the aqueous
humor was below the 50% inhibitory dose (ID50) for CMV replication, following
topical application of the ganciclovir gel, 0.15%. TRIAL REGISTRATION: SingHealth
Centralized Institutional Review Board, Singapore; R733/17/2010,
ClinicalTrials.gov; NCT01647529.
PMID- 29377954
TI - Hypoxemia in patients with idiopathic or heritable pulmonary arterial
hypertension.
AB - BACKGROUND: The prevalence and prognostic implications of hypoxemia either at
rest or during six-minute walk test (6MWT) in patients with idiopathic or
heritable pulmonary arterial hypertension (IPAH or HPAH) have not been
systemically studied. OBJECTIVES: We sought to determine the prevalence,
phenotypic and prognostic implications of hypoxemia in patients with IPAH and
HPAH. METHODS: Patients with IPAH or HPAH were identified from the Cleveland
Clinic Pulmonary Hypertension Registry. Pulse oximetry (SpO2) at rest and during
6MWT was used to define hypoxemia at rest or during activities when measurements
were lower than 90%, respectively. RESULTS: A total of 292 patients (age 50.6 +/-
18.0 years, 73% females) with IPAH (88%) and HPAH (12%) were included. Of them,
143 (49%) had SpO2 >90% at rest and during 6MWT, 89 (31%) subjects had hypoxemia
during 6MWT and 60 (20%) had hypoxemia at rest. Patients with hypoxemia had older
age, greater body mass index, higher prevalence of cardiovascular risk factors,
worse functional capacity and pulmonary function tests but less severe pre
capillary pulmonary hypertension. Individuals with hypoxemia either at rest or
during the initial 6MWT had worse long-term survival when compared to subjects
without hypoxemia, even when adjusting for a great number of potential
confounders. (HR: 2.5 (95% CI: 1.54-3.98)). CONCLUSIONS: Hypoxemia in patients
with IPAH and HPAH is associated with more comorbidities, less severe pre
capillary pulmonary hypertension and worse survival.
PMID- 29377956
TI - An EGR performance evaluation and decision-making approach based on grey theory
and grey entropy analysis.
AB - Exhaust gas recirculation (EGR) is one of the main methods of reducing NOX
emissions and has been widely used in marine diesel engines. This paper proposes
an optimized comprehensive assessment method based on multi-objective grey
situation decision theory, grey relation theory and grey entropy analysis to
evaluate the performance and optimize rate determination of EGR, which currently
lack clear theoretical guidance. First, multi-objective grey situation decision
theory is used to establish the initial decision-making model according to the
main EGR parameters. The optimal compromise between diesel engine combustion and
emission performance is transformed into a decision-making target weight problem.
After establishing the initial model and considering the characteristics of EGR
under different conditions, an optimized target weight algorithm based on grey
relation theory and grey entropy analysis is applied to generate the
comprehensive evaluation and decision-making model. Finally, the proposed method
is successfully applied to a TBD234V12 turbocharged diesel engine, and the
results clearly illustrate the feasibility of the proposed method for providing
theoretical support and a reference for further EGR optimization.
PMID- 29377955
TI - The Mexican bean beetle (Epilachna varivestis) regurgitome and insights into
beetle-borne virus specificity.
AB - For nearly 400 million years, insects and plants have been embattled in an
evolutionary arms race. Insects have developed diverse feeding strategies and
behaviors in an effort to circumvent and overcome an extensive collection of
plant defense tactics. Sap-sucking insects often inject saliva into hosts plants,
which contains a suite of effector proteins and even microbial communities that
can alter the plant's defenses. Lacking salivary glands, leaf-feeding beetles
represent an interesting group of phytophagous insects. Feeding beetles
regurgitate onto leaf surfaces and it is thought that these oral secretions
influence insect-plant interactions and even play a role in virus-vector
specificity. Since the molecular and biological makeup of the regurgitant is
virtually unknown, we carried out RNA sequencing and 16S rDNA analysis on a major
soybean pest, Epilachna varivestis, to generate the first ever beetle
"regurgitome" and characterize its microbiome. Interestingly, the regurgitant is
comprised of a rich molecular assortment of genes encoding putative extracellular
proteins involved in digestion, molting, immune defense, and detoxification. By
carrying out plant inoculation assays, we reinforced the fundamental role of the
regurgitant in beetle-borne virus specificity. Ultimately, these studies begin to
characterize the importance of regurgitant in virus transmission and beetle-plant
interactions.
PMID- 29377957
TI - The timing of azithromycin treatment is not associated with the clinical
prognosis of childhood Mycoplasma pneumoniae pneumonia in high macrolide
resistant prevalence settings.
AB - BACKGROUND: Mycoplasma pneumoniae infection is a major cause of community
acquired pneumonia in children. We performed a retrospective study to evaluate
the clinical impact of the timing of azithromycin treatment in children with
Mycoplasma pneumoniae pneumonia in high macrolide-resistant prevalence settings.
METHODS AND FINDINGS: A total of 623 patients were enrolled in this study and
were divided into 2 groups according to the timing of azithromycin therapy.
Children who received azithromycin within 3 days (72 hours) after the onset of
Mycoplasma pneumoniae pneumonia were classified into the early azithromycin
treatment group (n = 174), whereas the late azithromycin treatment group (n =
449) comprised children treated with azithromycin more than 72 hours after
symptom onset. We evaluated clinical prognosis according to demographic, clinical
and laboratory characteristics. Although the early azithromycin treatment group
exhibited a longer fever duration after azithromycin administration (7.17+/-4.12
versus 4.82+/-3.99 days, P<0.01), the total fever duration exhibited no
significant difference (9.02+/-4.58 versus 9.57+/-4.91 days, P = 0.212). After
azithromycin therapy, the two groups exhibited no significant differences with
respect to improvements in the laboratory and radiological findings (all P>0.05).
CONCLUSION: The timing of azithromycin treatment is not associated with the
clinical prognosis of Mycoplasma pneumoniae pneumonia in children in high
macrolide-resistant Mycoplasma pneumoniae prevalence settings.
PMID- 29377958
TI - Attenuation of a very virulent Marek's disease herpesvirus (MDV) by codon pair
bias deoptimization.
AB - Codon pair bias deoptimization (CPBD) has enabled highly efficient and rapid
attenuation of RNA viruses. The technique relies on recoding of viral genes by
increasing the number of codon pairs that are statistically underrepresented in
protein coding genes of the viral host without changing the amino acid sequence
of the encoded proteins. Utilization of naturally underrepresented codon pairs
reduces protein production of recoded genes and directly causes virus
attenuation. As a result, the mutant virus is antigenically identical with the
parental virus, but virulence is reduced or absent. Our goal was to determine if
a virus with a large double-stranded DNA genome, highly oncogenic Marek's disease
virus (MDV), can be attenuated by CPBD. We recoded UL30 that encodes the
catalytic subunit of the viral DNA polymerase to minimize (deoptimization),
maximize (optimization), or preserve (randomization) the level of overrepresented
codon pairs of the MDV host, the chicken. A fully codon pair-deoptimized UL30
mutant could not be recovered in cell culture. The sequence of UL30 was divided
into three segments of equal length and we generated a series of mutants with
different segments of the UL30 recoded. The codon pair-deoptimized genes, in
which two segments of UL30 had been recoded, showed reduced rates of protein
production. In cultured cells, the corresponding viruses formed smaller plaques
and grew to lower titers compared with parental virus. In contrast, codon pair
optimized and -randomized viruses replicated in vitro with kinetics that were
similar to those of the parental virus. Animals that were infected with the
partially codon pair-deoptimized virus showed delayed progression of disease and
lower mortality rates than codon pair-optimized and parental viruses. These
results demonstrate that CPBD of a herpesvirus gene causes attenuation of the
recoded virus and that CPBD may be an applicable strategy for attenuation of
other large DNA viruses.
PMID- 29377959
TI - Serum amino acid profile in patients with Parkinson's disease.
AB - Amino acids play numerous roles in the central nervous system, serving as
neurotransmitters, neuromodulators and regulators of energy metabolism. The free
amino acid profile in serum of Parkinson's disease (PD) patients may be
influenced by neurodegeneration, mitochondrial dysfunction, malabsorption in the
gastroenteric tract and received treatment. The aim of our study was the
evaluation of the profile of amino acid concentrations against disease
progression. We assessed the amino acid profile in the serum of 73 patients
divided into groups with early PD, late PD with dyskinesia and late PD without
dyskinesia. Serum amino acid analysis was performed by high-pressure liquid
chromatography with fluorescence detection. We observed some significant
differences amongst the groups with respect to concentrations of alanine,
arginine, phenylalanine and threonine, although no significant differences were
observed between patients with advanced PD with and without dyskinesia. We
conclude that this specific amino acid profile could serve as biochemical marker
of PD progression.
PMID- 29377960
TI - Human cytomegalovirus UL23 inhibits transcription of interferon-gamma stimulated
genes and blocks antiviral interferon-gamma responses by interacting with human N
myc interactor protein.
AB - Interferon-gamma (IFN-gamma) represents one of the most important innate immunity
responses in a host to combat infections of many human viruses including human
herpesviruses. Human N-myc interactor (Nmi) protein, which has been shown to
interact with signal transducer and activator of transcription (STAT) proteins
including STAT1, is important for the activation of IFN-gamma induced STAT1
dependent transcription of many genes responsible for IFN-gamma immune responses.
However, no proteins encoded by herpesviruses have been reported to interact with
Nmi and inhibit Nmi-mediated activation of IFN-gamma immune responses to achieve
immune evasion from IFN-gamma responses. In this study, we show strong evidence
that the UL23 protein of human cytomegalovirus (HCMV), a human herpesvirus,
specifically interacts with Nmi. This interaction was identified through a yeast
two-hybrid screen and co-immunoprecipitation in human cells. We observed that
Nmi, when bound to UL23, was not associated with STAT1, suggesting that UL23
binding of Nmi disrupts the interaction of Nmi with STAT1. In cells
overexpressing UL23, we observed (a) significantly reduced levels of Nmi and
STAT1 in the nuclei, the sites where these proteins act to induce transcription
of IFN-gamma stimulated genes, and (b) decreased levels of the induction of the
transcription of IFN-gamma stimulated genes. UL23-deficient HCMV mutants induced
higher transcription of IFN-gamma stimulated genes and exhibited lower titers
than parental and control revertant viruses expressing functional UL23 in IFN
gamma treated cells. Thus, UL23 appears to interact directly with Nmi and inhibit
nuclear translocation of Nmi and its associated protein STAT1, leading to a
decrease of IFN-gamma induced responses and an increase of viral resistance to
IFN-gamma. Our results further highlight the roles of UL23-Nmi interactions in
facilitating viral immune escape from IFN-gamma responses and enhancing viral
resistance to IFN antiviral effects.
PMID- 29377961
TI - Shared and organism-specific host responses to childhood diarrheal diseases
revealed by whole blood transcript profiling.
AB - Globally, diarrheal diseases are a leading cause of death in children under five
and disproportionately affect children in developing countries. Children who
contract diarrheal diseases are rarely screened to identify the etiologic agent
due to time and cost considerations associated with pathogen-specific screening
and hence pathogen-directed therapy is uncommon. The development of biomarkers to
rapidly identify underlying pathogens could improve treatment options and
clinical outcomes in childhood diarrheal diseases. Here, we perform RNA
sequencing on blood samples collected from children evaluated in an emergency
room setting with diarrheal disease where the pathogen(s) present are known. We
determine host response gene signatures specific to Salmonella, Shigella and
rotavirus, but not E. coli, infections that distinguish them from each other and
from healthy controls. Specifically, we observed differential expression of genes
related to chemokine receptors or inflammasome signaling in Shigella cases, such
as CCR3, CXCR8, and NLRC4, and interferon response genes, such as IFI44 and OASL,
in rotavirus cases. Our findings add insight into the host peripheral immune
response to these pathogens, and suggest strategies and limitations for the use
host response transcript signatures for diagnosing the etiologic agent of
childhood diarrheal diseases.
PMID- 29377963
TI - Correction: Does proximity of women to facilities with better choice of
contraceptives affect their contraceptive utilization in rural Ethiopia?
AB - [This corrects the article DOI: 10.1371/journal.pone.0187311.].
PMID- 29377962
TI - Knowledge, attitudes and beliefs about the health hazards of biomass smoke
exposure amongst commercial food vendors in Nigeria.
AB - BACKGROUND: Exposure to biomass smoke is a major cause of morbidity and mortality
in Africa. Commercial food vendors in Nigeria and elsewhere in Africa are
commonly exposed to biomass smoke from open fire cooking both at work and home.
Little is known about the knowledge, attitudes and beliefs of food vendors about
the health hazards of biomass smoke exposure in Nigeria. METHODS: We did a
descriptive cross sectional survey of the knowledge, attitudes and beliefs of
commercial food vendors in the cities of Benin and Calabar in Nigeria. We
recruited respondents using a multi-stage approach. Structured interviewer
administered questionnaires were used for data collection. RESULTS: We recruited
308 participants (164, 53.2% female). The majority 185(60.2%) were married and
had post-primary education 206(67.4%). The average monthly income was <30,000
Naira (US$150). Most 198(64.4%) were not aware that biomass smoke exposure is
harmful to human health. About three-quarters (221; 71.8%) were unconcerned as to
the effect of exposure to fumes from biomass fuels on their health. Less than
half of respondents (110, 41.6%) believed biomass smoke was harmful to health.
Male gender, being single, having post-primary education and preferring
electricity or gas fuels were associated with good knowledge of the adverse
health effects of biomass smoke exposure whilst female gender and having good
knowledge of the adverse health effects of biomass smoke were associated with
positive attitudes towards preventing exposure. CONCLUSION: Commercial food
vendors in our study had limited knowledge about the adverse health effects of
biomass smoke exposure and negative attitudes towards preventing these adverse
health effects. We suggest an educational intervention is needed to improve this
knowledge.
PMID- 29377965
TI - [Caries prevention in historical perspective. Oral hygiene].
AB - Since the beginning of the twentieth century, the ability to prevent caries has
greatly improved. This is due to both increased knowledge about the origin of
dental caries, and to the availability of effective aids to oral hygiene, such as
fluoride toothpaste. The effect of oral hygiene on the general population has
also risen because more and more people brush their teeth.
PMID- 29377964
TI - Ethnical discrimination in Europe: Field evidence from the finance industry.
AB - The integration of ethnical minorities has been a hotly discussed topic in the
political, societal, and economic debate. Persistent discrimination of ethnical
minorities can hinder successful integration. Given that unequal access to
investment and financing opportunities can cause social and economic disparities
due to inferior economic prospects, we conducted a field experiment on ethnical
discrimination in the finance sector with 1,218 banks in seven European
countries. We contacted banks via e-mail, either with domestic or Arabic sounding
names, asking for contact details only. We find pronounced discrimination in
terms of a substantially lower response rate to e-mails from Arabic senders.
Remarkably, the observed discrimination effect is robust for loan- and investment
related requests, across rural and urban locations of banks, and across
countries.
PMID- 29377966
TI - [Oral manifestations of Crohn's disease].
AB - Gastrointestinal symptoms are predominant in Crohn's disease. Oral manifestations
may also occur. The prevalence of oral manifestations varies between 0.5% and
37%. The manifestations may coincide with or precede gastrointestinal symptoms,
and can be subdivided into specific and non-specific lesions. In most patients,
lesions are asymptomatic but some patients experience serious discomfort. Oral
manifestations can be classified as specific lesions, such as diffuse lip and
buccal swelling and cobblestones, and non-specific lesions, such as aphthous
ulcers, pyostomatitis vegetans, caries, gingivitis and periodontitis. In many
patients, these oral symptoms do not cause pain or discomfort and do not require
treatment. For patients who do experience discomfort, pain caused by aphthous
ulcers, for example, can be relieved with a lidocaine solution or a 0.1%
dexamethasone gel, and corticosteroids can be used to treat pain caused by
ulceration or cobblestoning. It is advisable in complex cases to consult the
patient's gastroenterologist.
PMID- 29377967
TI - [Replacing heavily damaged molars with the use of 3D-techniques].
AB - Seriously damaged molars can be replaced by autotransplantation with the help of
3D techniques. In the present case, involving an 18-year old patient, 18, 38 and
48 were used to replace, respectively, 14, 36 and 37. Preoperatively, the width
of the crowns and the root development of 18, 38 and 48 were analysed using 3D
imaging. During the autotransplantation procedure, the new alveoli are formed
with the help of replicas of the donor molars printed in 3D, in order to prevent
iatrogenic damage to the actual donor molars.. The extra-alveolar time was less
than 2 minutes for all donor molars. Postoperative follow-up showed physiologic
integration of the transplanted molars. There was no ankylosis.
Autotransplantation with the help of 3D techniques makes it possible to perform
complex procedures with good results.
PMID- 29377968
TI - [Preventive dentistry 9. Non-Restorative Cavity Treatment: advanced insight or
controversial?]
AB - Non-Restorative Cavity Treatment (NRCT) is not as popular in paediatric dentistry
as it should be. Substantial quantitative and qualitative evidence concerning the
treatment has now been published that testifies to the success of the treatment.
Some healthcare providers apply the method successfully, while others have no
trust in this non-invasive cavity treatment and continue to favour the
restoration of carious lesions. Reasons given for this are, among others, that
NRCT is too bothersome, the patient's (or the patient's parents') compliance is
low and the reimbursement is inadequate. Children, however, benefit from oral
healthcare providers who take the position that a child has a right to an
etiological treatment that addresses the source of the caries process and that
NRCT offers a uniquely viable treatment option for this purpose. This approach
fits within the parameters established by professional ethics and the law. Apart
from oral healthcare providers, all agencies involved in the profession and
beyond have the moral and social obligation to do justice to the implied question
of the child regarding this shift in oral healthcare.
PMID- 29377969
TI - [The role of photodynamic therapy for the treatment of stage I and II carcinoma
of the lip].
AB - In this research project the outcomes of photodynamic therapy for the treatment
of stage I and II carcinoma of the lip are presented. Retrospectively, 15
patients diagnosed with a primary stage I or II lip carcinoma and treated with
meta-tetra hydroxyphenyl chlorin mediated photodynamic therapy were evaluated.
The primary outcome measure was disease free survival; the secondary outcome
measure was the functional and aesthetic outcome after treatment. A total of 16
primary lip carcinomas were evaluated (75% stage I; 25% stage II). In 94% of the
primary lip carcinomas, photodynamic therapy led to a complete remission after a
variable follow-up of 14 months to 11 years. The functional and aesthetic long
term outcomes were considered excellent. Photodynamic therapy for the treatment
of stage I and II lip carcinoma has a disease free survival rate comparable to
surgery or radiotherapy and has outstanding functional and aesthetic outcomes.
PMID- 29377970
TI - [A PhD completed. Prevention and treatment of periodontal diseases and bad
breath].
AB - Rinsing the mouth with water, or brushing with a dry toothbrush, does not
contribute to an improvement in plaque removal during toothbrushing, nor does
brushing according to a specific brushing regimen. Rinsing with water or drinking
water has an immediate effect on bad morning breath. The combination of
toothbrushing, tongue cleaning and a mouthwash has an effect on bad morning
breath after 24 hours, in contrast with brushing with toothpaste only. The use of
mouthwash with the specific ingredients chlorhexidine and essential oils has a
positive effect on the reduction of gingivitis. The use of similar mouthwashes as
a cooling solution in an ultrasonic device has no added effect on treatment
results among periodontal patients. Water is an effective cooling solution.
PMID- 29377971
TI - Patterns and Predictors of Short-Term Peripherally Inserted Central Catheter Use:
A Multicenter Prospective Cohort Study.
AB - BACKGROUND: The guidelines for peripherally inserted central catheters (PICCs)
recommend avoiding insertion if the anticipated duration of use is =5 days.
However, short-term PICC use is common in hospitals. We sought to identify
patient, provider, and device characteristics and the clinical outcomes
associated with short-term PICCs. METHODS: Between January 2014 and June 2016,
trained abstractors at 52 Michigan Hospital Medicine Safety (HMS) Consortium
sites collected data from medical records of adults that received PICCs during
hospitalization. Patients were prospectively followed until PICC removal, death,
or 70 days after insertion. Multivariable logistic regression models were fit to
identify factors associated with short-term PICCs, defined as dwell time of =5
days. Complications associated with short-term use, including major (eg, venous
thromboembolism [VTE] or central lineassociated bloodstream infection [CLABSI])
or minor (eg, catheter occlusion, tip migration) events were assessed. RESULTS:
Of the 15,397 PICCs placed, 3902 (25.3%) had a dwell time of =5 days. Most
(95.5%) short-term PICCs were removed during hospitalization. Compared to PICCs
placed for >5 days, variables associated with short-term PICCs included difficult
venous access (odds ratio [OR], 1.54; 95% confidence interval [CI], 1.40-1.69),
multilumen devices (OR, 1.53; 95% CI, 1.39-1.69), and teaching hospitals (OR,
1.25; 95% CI, 1.04-1.52). Among those with short-term PICCs, 374 (9.6%)
experienced a complication, including 99 (2.5%) experiencing VTE and 17 (0.4%)
experiencing CLABSI events. The most common minor complications were catheter
occlusion (4%) and tip migration (2.2%). CONCLUSION: Short-term use of PICCs is
common and associated with patient, provider, and device factors. As PICC
placement, even for brief periods, is associated with complications, efforts
targeted at factors underlying such use appear necessary.
PMID- 29377973
TI - Understanding mortality in care facilities-the role of good data.
PMID- 29377972
TI - Recommendations on the Use of Ultrasound Guidance for Adult Thoracentesis: A
Position Statement of the Society of Hospital Medicine.
AB - Executive Summary: 1) We recommend that ultrasound should be used to guide
thoracentesis to reduce the risk of complications, the most common being
pneumothorax. 2) We recommend that ultrasound guidance should be used to increase
the success rate of thoracentesis. 3) We recommend that ultrasound-guided
thoracentesis should be performed or closely supervised by experienced operators.
4) We suggest that ultrasound guidance be used to reduce the risk of
complications from thoracentesis in mechanically ventilated patients. 5) We
recommend that ultrasound should be used to identify the chest wall, pleura,
diaphragm, lung, and subdiaphragmatic organs throughout the respiratory cycle
before selecting a needle insertion site. 6) We recommend that ultrasound should
be used to detect the presence or absence of an effusion and approximate the
volume of pleural fluid to guide clinical decision-making. 7) We recommend that
ultrasound should be used to detect complex sonographic features, such as
septations, to guide clinical decision-making regarding the timing and method of
pleural drainage. 8) We suggest that ultrasound be used to measure the depth from
the skin surface to the parietal pleura to help select an appropriate length
needle and determine the maximum needle insertion depth. 9) We suggest that
ultrasound be used to evaluate normal lung sliding pre- and postprocedure to rule
out pneumothorax. 10) We suggest avoiding delay or interval change in patient
position from the time of marking the needle insertion site to performing the
thoracentesis. 11) We recommend against performing routine postprocedure chest
radiographs in patients who have undergone thoracentesis successfully with
ultrasound guidance and are asymptomatic with normal lung sliding postprocedure.
12) We recommend that novices who use ultrasound guidance for thoracentesis
should receive focused training in lung and pleural ultrasonography and hands-on
practice in procedural technique. 13) We suggest that novices undergo simulation
based training prior to performing ultrasound-guided thoracentesis on patients.
14) Learning curves for novices to become competent in lung ultrasound and
ultrasound-guided thoracentesis are not completely understood, and we recommend
that training should be tailored to the skill acquisition of the learner and the
resources of the institution.
PMID- 29377974
TI - Decreasing Invalid Symptom Reporting: A Comment on Horner, Turner, VanKirk, and
Denning (2017).
PMID- 29377975
TI - Single-molecule manipulation and detection.
AB - Compared to conventional ensemble methods, studying macromolecules at single
molecule level can reveal extraordinary clear and even surprising views for a
biological reaction. In the past 20 years, single-molecule techniques have been
undergoing a very rapid development, and these cutting edge technologies have
revolutionized the biological research by facilitating single-molecule
manipulation and detection. Here we give a brief review about these advanced
techniques, including optical tweezers, magnetic tweezers, atomic force
microscopy (AFM), hydrodynamic flow-stretching assay, and single-molecule
fluorescence resonance energy transfer (smFRET). We are trying to describe their
basic principles and provide a few examples of applications for each technique.
This review aims to give a rather introductory survey of single-molecule
techniques for audiences with biological or biophysical background.
PMID- 29377977
TI - Visit-to-visit blood pressure variability: added 'VALUE' as a risk marker in low-
and high-risk patients.
PMID- 29377978
TI - Re: Video-assisted thoracoscopic surgery yields better outcomes than thoracotomy
for anatomical lung resection in Brazil: a propensity score-matching analysis
using the Brazilian Society of Thoracic Surgery database.
PMID- 29377979
TI - MiR-147b inhibits cell viability and promotes apoptosis of rat H9c2
cardiomyocytes via down-regulating KLF13 expression.
AB - Recently, microRNAs (miRNAs) have been shown to involve in the process of heart
failure. This study aims to investigate the functional role of miR-147b in rat
H9c2 cardiomyocytes and explore the underlying molecular mechanisms. Cell
viability of H9c2 cells was detected by MTT assay. Cell apoptosis was detected by
flow cytometry. Expression of miR-147b and KLF13 mRNA was detected by
quantitative real-time PCR. The relationship between miR-147b and KLF13 was
verified by dual-luciferase reporter assay. Protein levels were detected by
western blot analysis. It was found that H2O2 inhibited cell viability and
promoted cell apoptosis of H9c2 cells in a concentration-dependent manner. MiR
147b overexpression suppressed cell viability and increased apoptosis in H9c2
cells, while knock-down of miR-147b increased cell viability and reduced
apoptosis in H2O2-treated H9c2 cells. Luciferase reporter assay and in vitro
functional assay showed that KLF13 was a downstream target of miR-147b, and KLF13
knock-down suppressed cell viability and induced apoptosis in H9c2 cells.
Enforced expression of KLF13 restored the effects of miR-147b overexpression on
cell viability and apoptosis in H9c2 cells. MiR-147b modulated the expression
levels of apoptosis-related proteins, and the effects of miR-147b overexpression
on apoptosis-related proteins levels were prevented by enforced expression of
KLF13 in H9c2 cells. The in vivo experiments showed that miR-147b was up
regulated, and KLF13 was down-regulated in the myocardial tissues from rats with
chronic heart failure. Collectively, miR-147b inhibits viability and promotes
cell apoptosis by targeting KLF13 in H9c2 cells, which may be associated with the
pathogenesis of heart failure.
PMID- 29377980
TI - Correlation between circulating endothelial progenitor cells and serum
carcinoembryonic antigen level in colorectal cancer.
AB - Circulating endothelial progenitor cells (cEPCs) play an important role in cancer
development. Previous studies showed that serum carcinoembryonic antigen (CEA)
levels and the number of circulating endothelial progenitor cells (cEPCs) in the
peripheral blood are both involved in tumor neoangiogenesis, and can be used for
monitoring tumor progression, recurrence, metastasis, and therapeutic responses.
However, the clinical relevance of these biomarkers remains unknown. In this
study, 40 colorectal cancer (CRC) patients and 17 healthy volunteers were
recruited and the amount of cEPCs in the peripheral blood was measured by flow
cytometry. The serum CEA level was determined by CEA-RIACT assay. Results showed
that cEPC level positively correlated with the stage of the disease, but not with
the age and gender of the patients. Moreover, patients with higher serum CEA
levels had higher cEPC levels. These results provide clinical evidence for a
correlation between two commonly used biomarkers. Further understanding the role
of serum CEA in cEPC-mediated tumor vascularization may improve clinical CRC
diagnosis and provide useful insights into the design of therapeutic
interventions that target tumor vasculature.
PMID- 29377981
TI - Computational prediction of drug-target interactions using chemogenomic
approaches: an empirical survey.
AB - Computational prediction of drug-target interactions (DTIs) has become an
essential task in the drug discovery process. It narrows down the search space
for interactions by suggesting potential interaction candidates for validation
via wet-lab experiments that are well known to be expensive and time-consuming.
In this article, we aim to provide a comprehensive overview and empirical
evaluation on the computational DTI prediction techniques, to act as a guide and
reference for our fellow researchers. Specifically, we first describe the data
used in such computational DTI prediction efforts. We then categorize and
elaborate the state-of-the-art methods for predicting DTIs. Next, an empirical
comparison is performed to demonstrate the prediction performance of some
representative methods under different scenarios. We also present interesting
findings from our evaluation study, discussing the advantages and disadvantages
of each method. Finally, we highlight potential avenues for further enhancement
of DTI prediction performance as well as related research directions.
PMID- 29377982
TI - Functional capacity and health-related quality of life outcomes post
transcatheter aortic valve replacement: a systematic review and meta-analysis.
AB - Background: transcatheter aortic valve replacement (TAVR) provides prognostic
benefit for high surgical-risk patients with severe aortic stenosis (AS), yet the
impact to patient outcomes is far less understood. Method: we performed a
systematic review and meta-analysis to evaluate functional capacity and health
related quality of life (HRQoL) outcomes for patients up to 12 months post TAVR.
A total of 20 eligible publications, comprising randomised-controlled trials,
observational studies and a registry study were identified from electronic
databases, including MEDLINE, EMBASE, Cochrane Library and others (inception to
February 2017). Results: the total sample was 2,775 with a mean age of 81.8 +/-
2.1 years, more than half (52%) were female and high surgical risk 9.6 +/- 4.3%
mean STS (Society of Thoracic Surgeons risk model). Post TAVR, patients had
significant improvement in functional capacity of >40 m in the 6-minute walk test
(6MWT) (95% confidence interval (CI) 9.69-73.28) and a clinically meaningful
increase in ability to perform daily physical-based tasks (Duke Activity Status
Index (DASI), mean difference (MD) increase 5.42 points, 95% CI 3.16-7.68). HRQoL
improved consistently following TAVR regardless of measure used. Significant
increases occurred in the physical component summary scores (PCS) of the short
form (SF) health surveys (MD increase 10.45 (SF36) and 10.14 (SF12) points).
Conclusion: functional capacity and HRQoL improved substantially following TAVR,
despite evolving patient selection criteria, thus TAVR continues to provide a
directly beneficial option for severe AS patients.
PMID- 29377983
TI - Titin cardiomyopathy leads to altered mitochondrial energetics, increased
fibrosis and long-term life-threatening arrhythmias.
AB - Aims: Truncating titin variants (TTNtv) are the most prevalent genetic cause of
dilated cardiomyopathy (DCM). We aim to study clinical parameters and long-term
outcomes related to the TTNtv genotype and determine the related molecular
changes at tissue level in TTNtv DCM patients. Methods and results: A total of
303 consecutive and extensively phenotyped DCM patients (including cardiac
imaging, Holter monitoring, and endomyocardial biopsy) underwent DNA sequencing
of 47 cardiomyopathy-associated genes including TTN, yielding 38 TTNtv positive
(13%) patients. At long-term follow-up (median of 45 months, up to 12 years),
TTNtv DCM patients had increased ventricular arrhythmias compared to other DCM,
but a similar survival. Arrhythmias are especially prominent in TTNtv patients
with an additional environmental trigger (i.e. virus infection, cardiac
inflammation, systemic disease, toxic exposure). Importantly, cardiac mass is
reduced in TTNtv patients, despite similar cardiac function and dimensions at
cardiac magnetic resonance. These enhanced life-threatening arrhythmias and
decreased cardiac mass in TTNtv DCM patients go along with significant cardiac
energetic and matrix alterations. All components of the mitochondrial electron
transport chain are significantly upregulated in TTNtv hearts at RNA-sequencing.
Also, interstitial fibrosis was augmented in TTNtv patients at histological and
transcript level. Conclusion: Truncating titin variants lead to pronounced
cardiac alterations in mitochondrial function, with increased interstitial
fibrosis and reduced hypertrophy. Those structural and metabolic alterations in
TTNtv hearts go along with increased ventricular arrhythmias at long-term follow
up, with a similar survival and overall cardiac function.
PMID- 29377984
TI - Longer inter-lead electrical delay is associated with response to cardiac
resynchronization therapy in patients with presumed optimal left ventricular lead
position.
AB - Aims: In a randomized trial of cardiac resynchronization therapy (CRT), a
presumed optimal left ventricular (LV) lead position close to the latest
mechanically activated non-scarred myocardium was achieved in 98% of patients by
standard implantation. We evaluated whether inter-lead electrical delay (IED) was
associated with response to CRT in these patients. Methods and results: We
prospectively included 160 consecutive patients undergoing CRT. Pre-implant
speckle-tracking echocardiography radial strain and 99mTc myocardial perfusion
imaging determined the latest mechanically activated non-scarred myocardial
segment. We measured procedural IED as the time interval between sensed signals
in right ventricular and LV lead electrograms. All patients had LV pacing site
concordant or adjacent to the latest mechanically activated non-scarred segment
verified by cardiac computed tomography. Response to CRT was defined as >=15%
reduction in LV end-systolic volume at 6 months follow-up. Selecting a practical
IED cut-off value of 100 ms, more patients with long IED than patients with short
IED responded to CRT (87 vs. 68%; P = 0.004). In multivariate logistic regression
analysis, IED >=100 ms remained associated with CRT response after adjusting for
baseline characteristics, including QRS duration and scar burden [odds ratio 3.19
(1.24-8.17); P = 0.01]. Categorizing IED by tertiles, CRT response improved with
longer IED (P = 0.03). Comparable response rates were observed in patients with a
concordant and adjacent LV lead position. Conclusion: A longer IED was associated
with more pronounced LV reverse remodelling response in CRT recipients with a
presumed optimal LV lead position concordant or adjacent to the latest
mechanically activated non-scarred segment.
PMID- 29377985
TI - Cancer therapy-induced cardiomyopathy: can human induced pluripotent stem cell
modelling help prevent it?
AB - Cardiotoxic effects from cancer therapy are a major cause of morbidity during
cancer treatment. Unexpected toxicity can occur during treatment and/or after
completion of therapy, into the time of cancer survivorship. While older drugs
such as anthracyclines have well-known cardiotoxic effects, newer drugs such as
tyrosine kinase inhibitors, proteasome inhibitors, and immunotherapies also can
cause diverse cardiovascular and metabolic complications. Human induced
pluripotent stem cell-derived cardiomyocytes (hiPSC-CMs) are increasingly being
used as instruments for disease modelling, drug discovery, and mechanistic
toxicity studies. Promising results with hiPSC-CM chemotherapy studies are
raising hopes for improving cancer therapies through personalized medicine and
safer drug development. Here, we review the cardiotoxicity profiles of common
chemotherapeutic agents as well as efforts to model them in vitro using hiPSC
CMs.
PMID- 29377986
TI - Balloon Dilation of Intrinsic Small Bowel Strictures: Still Doubts About Its
Efficacy?
PMID- 29377987
TI - Antiarrhythmic effect of antazoline in experimental models of acquired short- and
long-QT-syndromes.
AB - Aims: Antazoline is a first-generation antihistamine with antiarrhythmic
properties. This study examines potential electrophysiological effects of
antazoline in short-QT-syndrome (SQTS) and long-QT-syndrome (LQTS). Methods and
results: Sixty-five rabbit hearts were Langendorff-perfused. Action potential
duration at 90% of repolarization (APD90), QT-interval, spatial dispersion
(DISP), and effective refractory period (ERP) were measured. The IK, ATP-opener
pinacidil (1 uM, n = 14) reduced APD90 (-14 ms, P < 0.01), QT-interval (-14 ms, P
< 0.01), and ERP (-11 ms, P < 0.01), thus simulating acquired SQTS. Additional
infusion of 20 uM antazoline prolonged repolarization. Under baseline conditions,
ventricular fibrillation (VF) was inducible in 5 of 14 hearts (10 episodes) and
in 5 of 14 pinacidil-treated hearts (21 episodes, P = ns). Antazoline
significantly reduced induction of VF (0 episodes, P < 0.05 each). Further 17
hearts were perfused with 100 uM sotalol and 17 hearts with 300 uM erythromycin
to induce acquired LQTS2. In both groups, prolongation of APD90, QT-interval, and
ERP was observed. Spatial dispersion was increased (sotalol: +26 ms, P < 0.01;
erythromycin: +31 ms, P < 0.01). Additional infusion of antazoline reduced DISP
(sotalol: -22 ms, P < 0.01; erythromycin: -26 ms, P < 0.01). Torsade de pointes
(TdP) occurred in 6 of 17 sotalol-treated (22 episodes, P < 0.05 each) and in 8
of 17 erythromycin-treated hearts (96 episodes P < 0.05 each). Additional
infusion of antazoline completely suppressed TdP in both groups (P < 0.05 each).
Acquired LQTS3 was induced by veratridine (0.5 uM, n = 17) and similar results
were obtained (APD90: +24 ms, P < 0.01, QT-interval: +58 ms, P < 0.01, DISP: +38
ms, P < 0.01). Torsade de pointes occurred in 10 of 17 hearts (41 episodes, P <
0.05 each). Antazoline significantly reduced TdP (2 of 17 hearts, 4 episodes, P <
0.05 each). Conclusion: Antazoline significantly reduced induction of VF in an
experimental model of acquired SQTS. In three experimental models of acquired
LQTS, antazoline effectively suppressed TdP.
PMID- 29377988
TI - Outcomes from the Delphi process of the Thoracic Robotic Curriculum Development
Committee.
AB - OBJECTIVES: As the adoption of robotic procedures becomes more widespread,
additional risk related to the learning curve can be expected. This article
reports the results of a Delphi process to define procedures to optimize robotic
training of thoracic surgeons and to promote safe performance of established
robotic interventions as, for example, lung cancer and thymoma surgery. METHODS:
In June 2016, a working panel was spontaneously created by members of the
European Society of Thoracic Surgeons (ESTS) and European Association for Cardio
Thoracic Surgery (EACTS) with a specialist interest in robotic thoracic surgery
and/or surgical training. An e-consensus-finding exercise using the Delphi
methodology was applied requiring 80% agreement to reach consensus on each
question. Repeated iterations of anonymous voting continued over 3 rounds.
RESULTS: Agreement was reached on many points: a standardized robotic training
curriculum for robotic thoracic surgery should be divided into clearly defined
sections as a staged learning pathway; the basic robotic curriculum should
include a baseline evaluation, an e-learning module, a simulation-based training
(including virtual reality simulation, Dry lab and Wet lab) and a robotic theatre
(bedside) observation. Advanced robotic training should include e-learning on
index procedures (right upper lobe) with video demonstration, access to video
library of robotic procedures, simulation training, modular console training to
index procedure, transition to full-procedure training with a proctor and final
evaluation of the submitted video to certified independent examiners.
CONCLUSIONS: Agreement was reached on a large number of questions to optimize and
standardize training and education of thoracic surgeons in robotic activity. The
production of the content of the learning material is ongoing.
PMID- 29377989
TI - Genetic Complexity of Cortical Structure: Differences in Genetic and
Environmental Factors Influencing Cortical Surface Area and Thickness.
AB - Quantifying the genetic architecture of the cerebral cortex is necessary for
understanding disease and changes to the brain across the lifespan. Prior work
shows that both surface area (SA) and cortical thickness (CT) are heritable.
However, we do not yet understand the extent to which region-specific genetic
factors (i.e., independent of global effects) play a dominant role in the
regional patterning or inter-regional associations across the cortex. Using a
population sample of young adult twins (N = 923), we show that the heritability
of SA and CT varies widely across regions, generally independent of measurement
error. When global effects are controlled for, we detected a complex pattern of
genetically mediated clusters of inter-regional associations, which varied
between hemispheres. There were generally weak associations between the SA of
different regions, except within the occipital lobe, whereas CT was positively
correlated within lobar divisions and negatively correlated across lobes, mostly
due to genetic covariation. These findings were replicated in an independent
sample of twins and siblings (N = 698) from the Human Connectome Project. The
different genetic contributions to SA and CT across regions reveal the value of
quantifying sources of covariation to appreciate the genetic complexity of
cortical structures.
PMID- 29377990
TI - HPViewer: sensitive and specific genotyping of human papillomavirus in
metagenomic DNA.
AB - Motivation: Shotgun DNA sequencing provides sensitive detection of all 182 HPV
types in tissue and body fluid. However, existing computational methods either
produce false positives misidentifying HPV types due to shared sequences among
HPV, human and prokaryotes, or produce false negative since they identify HPV by
assembled contigs requiring large abundant of HPV reads. Results: We designed
HPViewer with two custom HPV reference databases masking simple repeats and
homology sequences respectively and one homology distance matrix to hybridize
these two databases. It directly identified HPV from short DNA reads rather than
assembled contigs. Using 100 100 simulated samples, we revealed that HPViewer was
robust for samples containing either high or low number of HPV reads. Using 12
shotgun sequencing samples from respiratory papillomatosis, HPViewer was equal to
VirusTAP, and Vipie and better than HPVDetector with the respect to specificity
and was the most sensitive method in the detection of HPV types 6 and 11. We
demonstrated that contigs-based approaches had disadvantages of detection of HPV.
In 1573 sets of metagenomic data from 18 human body sites, HPViewer identified
104 types of HPV in a body-site associated pattern and 89 types of HPV co
occurring in one sample with other types of HPV. We demonstrated HPViewer was
sensitive and specific for HPV detection in metagenomic data. Availability and
implementation: HPViewer can be accessed at https://github.com/yuhanH/HPViewer/.
Supplementary information: Supplementary data are available at Bioinformatics
online.
PMID- 29377991
TI - Subthreshold Activity Underlying the Diversity and Selectivity of the Primary
Auditory Cortex Studied by Intracellular Recordings in Awake Marmosets.
AB - Extracellular recording studies have revealed diverse and selective neural
responses in the primary auditory cortex (A1) of awake animals. However, we have
limited knowledge on subthreshold events that give rise to these responses,
especially in non-human primates, as intracellular recordings in awake animals
pose substantial technical challenges. We developed a novel intracellular
recording technique in awake marmosets to systematically study subthreshold
activity of A1 neurons that underlies their diverse and selective spiking
responses. Our findings showed that in contrast to predominantly transient
depolarization observed in A1 of anesthetized animals, both transient and
sustained depolarization (during or beyond the stimulus period) were observed.
Comparing with spiking responses, subthreshold responses were often longer
lasting in duration and more broadly tuned in frequency, and showed narrower
intensity tuning in non-monotonic neurons and lower response threshold in
monotonic neurons. These observations demonstrated the enhancement of stimulus
selectivity from subthreshold to spiking responses in individual A1 neurons.
Furthermore, A1 neurons classified as regular- or fast-spiking subpopulation
based on their spike shapes exhibited distinct response properties in frequency
and intensity domains. These findings provide valuable insights into cortical
integration and transformation of auditory information at the cellular level in
auditory cortex of awake non-human primates.
PMID- 29377992
TI - Genetic and epigenetic factors which modulate differentiation propensity in human
pluripotent stem cells.
AB - BACKGROUND: Human pluripotent stem cell (hPSC) lines are known to have a bias in
their differentiation. This gives individual cell lines a propensity to
preferentially differentiate towards one germ layer or cell type over others.
Chromosomal aberrations, mitochondrial mutations, genetic diversity and
epigenetic variance are the main drivers of this phenomenon, and can lead to a
wide range of phenotypes. OBJECTIVE AND RATIONALE: Our aim is to provide a
comprehensive overview of the different factors which influence differentiation
propensity. Specifically, we sought to highlight known genetic variances and
their mechanisms, in addition to more general observations from larger
abnormalities. Furthermore, we wanted to provide an up-to-date list of a growing
number of predictive indicators which are able to identify differentiation
propensity before the initiation of differentiation. As differentiation
propensity can lead to difficulties in both research as well as clinical
translation, our thorough overview could be a useful tool. SEARCH METHODS:
Combinations of the following key words were applied as search criteria in the
PubMed database: embryonic stem cells, induced pluripotent stem cells,
differentiation propensity (also: potential, efficiency, capacity, bias,
variability), epigenetics, chromosomal abnormalities, genetic aberrations, X
chromosome inactivation, mitochondrial function, mitochondrial metabolism,
genetic diversity, reprogramming, predictive marker, residual stem cell, clinic.
Only studies in English were included, ranging from 2000 to 2017, with a majority
ranging from 2010 to 1017. Further manuscripts were added from cross-references.
OUTCOMES: Differentiation propensity is affected by a wide variety of
(epi)genetic factors. These factors clearly lead to a loss of differentiation
capacity, preference towards certain cell types and oftentimes, phenotypes which
begin to resemble cancer. Broad changes in (epi)genetics, such as aneuploidies or
wide-ranging modifications to the epigenetic landscape tend to lead to extensive,
less definite changes in differentiation capacity, whereas more specific
abnormalities often have precise ramifications in which certain cell types become
more preferential. Furthermore, there appears to be a greater, though often less
considered, contribution to differentiation propensity by factors such as
mitochondria and inherent genetic diversity. Varied differentiation capacity can
also lead to potential consequences in the clinical translation of hPSC,
including the occurrence of residual undifferentiated stem cells, and the
transplantation of potentially transformed cells. WIDER IMPLICATIONS: As hPSC
continue to advance towards the clinic, our understanding of them progresses as
well. As a result, the challenges faced become more numerous, but also more
clear. If the transition to the clinic is to be achieved with a minimum number of
potential setbacks, thorough evaluation of the cells will be an absolute
necessity. Altered differentiation propensity represents at least one such
hurdle, for which researchers and eventually clinicians will need to find
solutions. Already, steps are being taken to tackle the issue, though further
research will be required to evaluate any long-term risks it poses.
PMID- 29377993
TI - Perimenarchal air pollution exposure and menstrual disorders.
AB - STUDY QUESTION: What is the association between perimenarchal exposure to total
suspended particulate (TSP) in air, menstrual irregularity phenotypes and time to
menstrual cycle regularity? SUMMARY ANSWER: Exposures to TSP during high school
are associated with slightly increased odds of menstrual irregularity and longer
time to regularity in high school and early adulthood. WHAT IS KNOWN ALREADY: The
menstrual cycle is responsive to hormonal regulation. Particulate matter air
pollution has demonstrated hormonal activity. However, it is not known if air
pollution is associated with menstrual cycle regularity. STUDY DESIGN, SIZE,
DURATION: Cross sectional study of 34 832 of the original 116 430 women (29.91%)
enrolled in 1989 from the Nurses' Health Study II (NHSII). The follow-up rate for
this analytic sample was 97.76% at the 1991 survey. PARTICIPANTS/MATERIALS,
SETTING, METHODS: Annual averages of TSP were available for each year of high
school attendance. We created three case definitions including high school
menstrual irregularity and androgen excess. The time to menstrual cycle
regularity was reported by participants as <1 year, 1-2 years, 3-4 years, 5 years
or longer, or never on the baseline questionnaire. Odds ratios and 95% confidence
intervals (CI) were calculated for 45 MUg/m3 increases in TSP exposure, adjusted
for risk factors for menstrual irregularity. MAIN RESULTS AND THE ROLE OF CHANCE:
In multivariable adjusted models, we observed that for every 45 MUg/m3 increase
in average high school TSP there was an increased odds (95%CI) of 1.08 (1.03
1.14), 1.08 (1.02-1.15) and 1.10 (0.98-1.25) for moderate, persistent, and
persistent with androgen excess irregularity phenotypes, respectively. TSP was
also associated with a longer time to cycle regularity, with stronger results
among women with older ages at menarche and those living in the Northeast or the
West. LIMITATIONS, REASONS FOR CAUTION: The outcomes of menstrual regularity and
time to cycle regularity were retrospectively assessed outcomes and may be
susceptible to recall bias. There is also the potential for selection bias, as
women had to live until 2011 to provide addresses. WIDER IMPLICATIONS OF THE
FINDINGS: Temporal exposure to air pollution in the adolescent and early
adulthood window may be especially important, given its association with
phenotypes of menstrual irregularity. The data from this study agrees with
existing literature regarding air pollution and reproductive tract diseases.
STUDY FUNDING/COMPETING INTEREST(S): Shruthi Mahalingaiah: Reproductive Scientist
Development Program HD000849, and a research grant from the Boston University
Department of Obstetrics and Gynecology, Stacey Missmer: R01HD57210 from the
National Institute of Child Health and Human Development and the Massachusetts
Institute of Technology Center for Environmental Health Sciences Translational
Pilot Project Program, R01CA50385 from the National Cancer Institute, Jaime Hart
and Francine Laden: 5R01ES017017 from the National Institute for Environmental
Health Sciences, Jaime Hart: P30 ES00002 from the National Institute for
Environmental Health Sciences at the National Institute of Health, The Nurses'
Health Study II is supported by infrastructure grant UM1CA176726 from the
National Cancer Institute, NIH, U.S. Department of Health and Human Services The
authors have no conflicts of interest to declare.
PMID- 29377994
TI - Basic mechanisms of vascularization in endometriosis and their clinical
implications.
AB - BACKGROUND: Vascularization is a major hallmark in the pathogenesis of
endometriosis. An increasing number of studies suggests that multiple mechanisms
contribute to the vascularization of endometriotic lesions, including
angiogenesis, vasculogenesis and inosculation. OBJECTIVE AND RATIONALE: In this
review, we provide an overview of the basic mechanisms of vascularization in
endometriosis and give special emphasis on their future clinical implications in
the diagnosis and therapy of the disease. SEARCH METHODS: Literature searches
were performed in PubMed for English articles with the key words 'endometriosis',
'endometriotic lesions', 'angiogenesis', 'vascularization', 'vasculogenesis',
'endothelial progenitor cells' and 'inosculation'. The searches included both
animal and human studies. No restriction was set for the publication date.
OUTCOMES: The engraftment of endometriotic lesions is typically associated with
angiogenesis, i.e. the formation of new blood vessels from pre-existing ones.
This angiogenic process underlies the complex regulation by angiogenic growth
factors and hormones, which activate intracellular pathways and associated
signaling molecules. In addition, circulating endothelial progenitor cells (EPCs)
are mobilized from the bone marrow and recruited into endometriotic lesions,
where they are incorporated into the endothelium of newly developing
microvessels, referred to as vasculogenesis. Finally, preformed microvessels in
shed endometrial fragments inosculate with the surrounding host microvasculature,
resulting in a rapid blood supply to the ectopic tissue. These vascularization
modes offer different possibilities for the establishment of novel diagnostic and
therapeutic approaches. Angiogenic growth factors and EPCs may serve as
biomarkers for the diagnosis and classification of endometriosis. Blood vessel
formation and mature microvessels in endometriotic lesions may be targeted by
means of anti-angiogenic compounds and vascular-disrupting agents. WIDER
IMPLICATIONS: The establishment of vascularization-based approaches in the
management of endometriosis still represents a major challenge. For diagnostic
purposes, reliable angiogenic and vasculogenic biomarker panels exhibiting a high
sensitivity and specificity must be identified. For therapeutic purposes, novel
compounds selectively targeting the vascularization of endometriotic lesions
without inducing severe side effects are required. Recent progress in the field
of endometriosis research indicates that these goals may be achieved in the near
future.
PMID- 29377995
TI - High-glucose concentrations change DNA methylation levels in human IVM oocytes.
AB - STUDY QUESTION: What are the effects of high-glucose concentrations on DNA
methylation of human oocytes? SUMMARY ANSWER: High-glucose concentrations altered
DNA methylation levels of Peg3 and Adiponectin in human in vitro maturation
oocytes. WHAT IS KNOWN ALREADY: Maternal diabetes has a detrimental influence on
oocyte quality including epigenetic modifications, as shown in non-human
mammalian species. STUDY DESIGN, SIZE, DURATION: Immature metaphase I (MI) stage
oocytes of good quality were retrieved from patients who had normal ovarian
potential and who underwent ICSI in the Reproductive Medicine Center of People's
Hospital of Zhengzhou University. MI oocytes were cultured in medium with
different glucose concentrations (control, 10 mM and 15 mM) in vitro and 48 h
later, oocytes with first polar body extrusion were collected to check the DNA
methylation levels. PARTICIPANTS/MATERIALS, SETTING, METHODS: MI oocytes
underwent in vitro maturation (IVM) at 37 degrees C with 5% mixed gas for 48 h.
Then the mature oocytes were treated with bisulfite buffer. Target sequences were
amplified using nested or half-nested PCR and the DNA methylation status was
tested using combined bisulfite restriction analysis (COBRA) and bisulfite
sequencing (BS). MAIN RESULTS AND THE ROLE OF CHANCE: High-glucose concentrations
significantly decreased the first polar body extrusion rate. Compared to
controls, the DNA methylation levels of Peg3 in human IVM oocytes were
significantly higher in 10 mM (P < 0.001) and 15 mM (P < 0.001) concentrations of
glucose. But the DNA methylation level of H19 was not affected by high-glucose
concentrations in human IVM oocytes. We also found that there was a decrease in
DNA methylation levels in the promoter of adiponectin in human IVM oocytes
between controls and oocytes exposed to 10 mM glucose (P = 0.028). LARGE SCALE
DATA: N/A. LIMITATIONS REASONS FOR CAUTION: It is not clear whether the
alterations are beneficial or not for the embryo development and offspring
health. The effects of high-glucose concentrations on the whole process of oocyte
maturation are still not elucidated. Another issue is that the number of oocytes
used in this study was limited. WIDER IMPLICATIONS OF THE FINDINGS: This is the
first time that the effects of high-glucose concentration on DNA methylation of
human oocytes have been elucidated. Our result indicates that in humans, the high
risk of chronic diseases in offspring from diabetic mothers may originate from
abnormal DNA modifications in oocytes. STUDY FUNDING/COMPETING INTEREST(S): This
work was supported by the fund of National Natural Science Foundation of China
(81401198) and Doctor Foundation of Qingdao Agricultural University (1116008).The
authors declare that there are no potential conflicts of interest relevant to
this article.
PMID- 29377996
TI - Toxicological Drug Screening using Paper Spray High-Resolution Tandem Mass
Spectrometry (HR-MS/MS).
AB - Immunoassays and high-performance liquid chromatography (HPLC) coupled with mass
spectrometry (MS) are both widely used methods for drug screening in toxicology.
We investigated an alternative approach for rapid drug screening: paper spray MS
(PS-MS). In paper spray, the biofluid sample is spotted onto a paper substrate.
Upon application of a spray solvent and an electric potential, extraction and
ionization occur directly from the paper without any need for additional sample
preparation. We developed two paper spray high-resolution MS/MS targeted drug
screening assays using a quadrupole-orbitrap mass spectrometer, one the positive
ion mode and one in the negative ion mode. In the positive ion mode, over 130
drugs and drug metabolites were semi-quantitatively screened at sub-toxic
concentrations in a single 2.5 min analysis. Limits of detection and calibration
performances for each target compound are reported. The PS-MS/MS assay was tested
on authentic postmortem specimens, and its screening ability and semi
quantitative performance were evaluated against independent LC-MS-MS screening
and confirmation assays with good agreement. The paper spray MS/MS showed good
qualitative agreement with LC-MS-MS; the true positive rate of paper spray MS/MS
was 92%, and the true negative rate was over 98%. The quantitative results
between the two methods were also acceptable for a screening application; Passing
Bablok regression yielded a slope of 1.17 and a Pearson's correlation coefficient
of 0.996. A separate PS-MS/MS negative ion screening method was also developed
for a small panel of barbiturates and structural analogs, demonstrating its
potential for acidic drug detection and screening.
PMID- 29377997
TI - The importance of DNA repair for maintaining oocyte quality in response to anti
cancer treatments, environmental toxins and maternal ageing.
AB - BACKGROUND: Within the ovary, oocytes are stored in long-lived structures called
primordial follicles, each comprising a meiotically arrested oocyte, surrounded
by somatic granulosa cells. It is essential that their genetic integrity is
maintained throughout life to ensure that high quality oocytes are available for
ovulation. Of all the possible types of DNA damage, DNA double-strand breaks
(DSBs) are considered to be the most severe. Recent studies have shown that DNA
DSBs can accumulate in oocytes in primordial follicles during reproductive
ageing, and are readily induced by exogenous factors such as gamma-irradiation,
chemotherapy and environmental toxicants. DSBs can induce oocyte death or,
alternatively, activate a program of DNA repair in order to restore genetic
integrity and promote survival. The repair of DSBs has been intensively studied
in the context of meiotic recombination, and in recent years more detail is
becoming available regarding the repair capabilities of primordial follicle
oocytes. OBJECTIVE AND RATIONALE: This review discusses the induction and repair
of DNA DSBs in primordial follicle oocytes. SEARCH METHODS: PubMed (Medline) and
Google Scholar searches were performed using the key words: primordial follicle
oocyte, DNA repair, double-strand break, DNA damage, chemotherapy, radiotherapy,
ageing, environmental toxicant. The literature was restricted to papers in the
English language and limited to reports in animals and humans dated from 1964
until 2017. The references within these articles were also manually searched.
OUTCOMES: Recent experiments in animal models and humans have provided compelling
evidence that primordial follicle oocytes can efficiently repair DNA DSBs arising
from diverse origins, but this capacity may decline with increasing age. WIDER
IMPLICATIONS: Primordial follicle oocytes are vulnerable to DNA DSBs emanating
from endogenous and exogenous sources. The ability to repair this damage is
essential for female fertility. In the long term, augmenting DNA repair in
primordial follicle oocytes has implications for the development of novel
fertility preservation agents for female cancer patients and for the management
of maternal ageing. However, further work is required to fully characterize the
specific proteins involved and to develop strategies to bolster their activity.
PMID- 29377998
TI - Exploring colistin pharmacodynamics against Klebsiella pneumoniae: a need to
revise current susceptibility breakpoints.
AB - Objectives: Because the pharmacokinetic/pharmacodynamic (PK/PD) characteristics
of colistin against Enterobacteriaceae are not well explored, we studied the
activity of colistin against K. pneumoniae in an in vitro PK/PD model simulating
different dosing regimens. Methods: Three clinical isolates of K. pneumoniae with
MICs of 0.5, 1 and 4 mg/L were tested in an in vitro PK/PD model following a dose
fractionation design over a period of 24 h. A high and low inoculum of 107 and
104 cfu/mL with and without a heteroresistant subpopulation, respectively, were
used. PK/PD indices associated with colistin activity were explored and Monte
Carlo analysis was performed in order to determine the PTA for achieving a
bactericidal effect (2 log kill). Results: The fAUC/MIC (R2 = 0.64-0.68) followed
by fCmax/MIC (R2 = 0.55-0.63) best described colistin's 24 h log10 cfu/mL
reduction for both low and high inocula. Dosing regimens with fCmax/MIC >=6 were
always associated with a bactericidal effect (P = 0.0025). However, at clinically
achievable concentrations, usually below fCmax/MIC = 6, an fAUC/MIC >=25 was more
predictive of a bactericidal effect. Using a dosing regimen of 9 MU/day, the PTA
for this pharmacodynamic target was 100%, 5%-70% and 0%, for isolates with MICs
of <=0.5, 1 and >=2 mg/L, respectively. Dosing regimens that aim for a trough
level of 1 mg/L achieve coverage of strains up to 0.5 mg/L (target trough/MIC = 2
mg/L). Conclusions: Characterization of the pharmacodynamics of colistin against
Enterobacteriaceae in an in vitro model of infection indicates that a revision of
current susceptibility breakpoints is needed. Therapeutic drug monitoring of
colistin to achieve pharmacodynamic targets in individual patients is highly
recommended.
PMID- 29377999
TI - Spot auto-focusing and spot auto-stigmation methods with high-definition auto
correlation function in high-resolution TEM.
AB - As alternatives to the diffractogram-based method in high-resolution transmission
electron microscopy, a spot auto-focusing (AF) method and a spot auto-stigmation
(AS) method are presented with a unique high-definition auto-correlation function
(HD-ACF). The HD-ACF clearly resolves the ACF central peak region in small
amorphous-thin-film images, reflecting the phase contrast transfer function. At a
300-k magnification for a 120-kV transmission electron microscope, the smallest
areas used are 64 * 64 pixels (~3 nm2) for the AF and 256 * 256 pixels for the
AS. A useful advantage of these methods is that the AF function has an allowable
accuracy even for a low s/n (~1.0) image. A reference database on the defocus
dependency of the HD-ACF by the pre-acquisition of through-focus amorphous-thin
film images must be prepared to use these methods. This can be very beneficial
because the specimens are not limited to approximations of weak phase objects but
can be extended to objects outside such approximations.
PMID- 29378001
TI - Erratum to "Analysis of Postoperative Recurrence in Stage I-III Midgut
Neuroendocrine Tumors".
PMID- 29378000
TI - Effect of individual SCFA on the epithelial barrier of sheep rumen under
physiological and acidotic luminal pH conditions.
AB - The objective of this study was to investigate whether individual short-chain
fatty acids (SCFA) have a different potential to either regulate the formation of
the ruminal epithelial barrier (REB) at physiological pH or to damage the REB at
acidotic ruminal pH. Ruminal epithelia of sheep were incubated in Ussing chambers
on their mucosal side in buffered solutions (pH 6.1 or 5.1) containing no SCFA
(control), 30 mM of either acetate, propionate or butyrate, or 100 mM acetate.
Epithelial conductance (Gt), short-circuit current (Isc), and fluorescein flux
rates were measured over 7 h. Thereafter, mRNA and protein abundance, as well as
localization of the tight junction proteins claudin (Cldn)-1, -4, -7, and
occludin were analyzed. At pH 6.1, butyrate increased Gt and decreased Isc, with
additional decreases in claudin-7 mRNA and protein abundance (each P < 0.05) and
disappearance of Cldn-7 immunosignals from the stratum corneum. By contrast, the
mRNA abundance of Cldn-1 and/or Cldn-4 were upregulated by 30 mM propionate, 30
mM butyrate, or 100 mM acetate (P < 0.05), however, without coordinated changes
in protein abundance. At luminal pH 5.1, neither Gt, Isc, nor TJ protein
abundance was altered in the absence of SCFA; only fluorescein flux rates were
slightly increased (P < 0.05) and fluorescein signals were no longer restricted
to the stratum corneum. The presence of acetate, propionate, or butyrate at pH
5.1 increased fluorescein flux rates and Gt, and decreased Isc (each P < 0.05).
Protein abundance of Cldn-1 was decreased in all SCFA treatments but 30 mM
butyrate; abundance of Cldn -4 and -7 was decreased in all SCFA treatments but 30
mM acetate; and abundance of occludin was decreased in all SCFA treatments but 30
mM propionate (each P < 0.05). Immunofluorescence staining of SCFA-treated
tissues at pH 5.1 showed disappearance of Cldn-7, discontinuous pattern for Cldn
4 and blurring of occludin and Cldn-1 signals in tight junction complexes. The
fluorescein dye appeared to freely diffuse into deeper cell layers. The strongest
increase in Gt and consistent decreases in the abundance and immunosignals of
tight junction proteins were observed with 100 mM acetate at pH 5.1. We conclude
that SCFA may contribute differently to the REB formation at luminal pH 6.1 with
possible detrimental effects of butyrate at 30 mM concentration. At luminal pH
5.1, all SCFA elicited REB damage with concentration appearing more critical than
SCFA species.
PMID- 29378002
TI - Neuroblastoma.
AB - Neuroblastoma is one of the most common solid tumors in children and has a
diverse clinical behavior that largely depends on the tumor biology.
Neuroblastoma exhibits unique features, such as early age of onset, high
frequency of metastatic disease at diagnosis in patients over 1 year of age and
the tendency for spontaneous regression of tumors in infants. The high-risk
tumors frequently have amplification of the MYCN oncogene as well as segmental
chromosome alterations with poor survival. Recent advanced genomic sequencing
technology has revealed that mutation of ALK, which is present in ~10% of primary
tumors, often causes familial neuroblastoma with germline mutation. However, the
frequency of gene mutations is relatively small and other aberrations, such as
epigenetic abnormalities, have also been proposed. The risk-stratified therapy
was introduced by the Japan Neuroblastoma Study Group (JNBSG), which is now
moving to the Neuroblastoma Committee of Japan Children's Cancer Group (JCCG).
Several clinical studies have facilitated the reduction of therapy for children
with low-risk neuroblastoma disease and the significant improvement of cure rates
for patients with intermediate-risk as well as high-risk disease. Therapy for
patients with high-risk disease includes intensive induction chemotherapy and
myeloablative chemotherapy, followed by the treatment of minimal residual disease
using differentiation therapy and immunotherapy. The JCCG aims for better cures
and long-term quality of life for children with cancer by facilitating new
approaches targeting novel driver proteins, genetic pathways and the tumor
microenvironment.
PMID- 29378003
TI - Dietary tea polyphenol supplementation improved egg production performance,
albumen quality, and magnum morphology of Hy-Line Brown hens during the late
laying period.
AB - The aim of this study was to investigate how dietary supplementation of tea
polyphenols (TP) and tea catechins (TC) affect laying performance, albumen
quality, ovomucin composition, and magnum morphology of laying hens in the late
phase of production. Two hundred seventy Hy-Line Brown laying hens (64 wk old)
were assigned to a basal diet (the control), the basal diet supplemented with 200
mg/kg tea polyphenols (TP200) or 200 mg/kg tea catechins (TC200). Each treatment
had 6 replicates with 15 hens each. The feeding trial lasted 10 wks. Over the
course of the trial, dietary supplementation with TP200 significantly increased
the egg production (EP) and improved the feed conversion ratio (FCR) in wk 6 to
10 and wk 1 to 10 (P < 0.05). The albumen height and the Haugh unit (HU) of hens
fed TP200 were higher than those of hens fed the control diet at wks 8 and 10 (P
< 0.05). However, there were no significant differences in the albumen height and
the HU between the TP200 and TC200 groups (P > 0.05). The SDS-PAGE analysis
indicated that bands of the ovomucin fractions in the TP200 group had the highest
intensity compared with those of the control and TC200 groups. Compared with the
control, there was a significant increase in protein sulfhydryl (SH) content of
the albumen in the TP200 group at the end of experiment, while a significant
decrease in protein carbonyl content and protein surface hydrophobicity (P <
0.05). There were also obvious increase in the height and width of the primary
folds, epithelial cell height, and cilia height of the simple columnar epithelium
in the TP200 group compared with the control and TC200 groups (P < 0.05). In
conclusion, dietary supplementation with 200 mg/kg TP can improve performance,
albumen quality, and magnum morphology of aged hens. In addition, TP rather than
TC could improve the health status of the magnum for aged layers.
PMID- 29378004
TI - Responses of body fat mobilization to isoproterenol or epinephrine challenge in
adult cows: influence of energy level, breed, and body fatness.
AB - The sustainability of livestock production systems facing climatic or economic
changes is linked in part to the potential of the female ruminants to adapt to
feeding constraints through metabolic and hormonal regulation, notably responses
of body fat mobilization, depending on adipose tissue (AT) lipolysis. Our
hypothesis was that these responses could change according to genotype (breed)
and body fatness. Six fat, nonpregnant, nonlactating Charolais cows, six fat
Holstein cows, and six lean Holstein cows were used in a 2 * 2 crossover design
with two treatments (underfeeding or overfeeding, at 62% [low] or 128% [high] of
maintenance energy requirements [MER], respectively) and two periods.
Isoproterenol (ISO, a nonselective beta-adrenergic agonist) or epinephrine (EPI,
a beta- and alpha2-adrenergic agonist) was injected (6 nmol/kg of lean mass).
Blood samples were collected regularly from -20 to 75 min after the injection and
then were analyzed for NEFA, glycerol, glucose, and L-lactate. Underfeeding
greatly increased (P < 0.001) basal plasma NEFA concentrations (+467%, +264%, and
+600% for fat Charolais, fat Holstein, and lean Holstein cows, respectively). For
each drug, underfed cows had higher NEFA or glycerol responses to adrenergic
challenges than overfed cows. Fat Charolais cows had higher basal plasma NEFA (P
< 0.05) concentrations (+64.9%) than fat Holstein cows. The plasma NEFA or
glycerol response at 5 min (P < 0.05) was higher for fat Charolais than for fat
Holstein cows, whatever the injected drug. Basal plasma lactate concentration and
lactate response to ISO or EPI were higher (P < 0.05) for fat Charolais cows than
for fat Holstein cows. Fat Holstein cows had higher (P < 0.01) basal glycerol
(+18.4%) than lean Holstein cows. This increase could be linked to the increased
AT mass. ISO increased more lipolytic responses in fat than in lean Holstein
cows, whereas EPI increased more these responses in lean than in fat Holstein
cows (drug * fatness interaction), suggesting an increased antilipolytic effect
due to alpha2-AR stimulation in fat cows. Breed had a significant effect on basal
and stimulated fat mobilization as well as lactate concentrations, suggesting
that the Charolais breed could be more sensitive to stress.
PMID- 29378006
TI - Effects of timing of vaccination relative to weaning and post-weaning frequency
of energy supplementation on growth and immunity of beef calves.
AB - A 2 * 2 factorial design study evaluated the impact of pre- vs. post-weaning
vaccination and different post-weaning frequency of energy supplementation (daily
vs. 3X weekly) on growth and immunity of beef calves. At 14 d before weaning (d
14), 48 Angus calves (24 steers and 24 heifers; 244 +/- 33 kg; 196 +/- 20 d) were
stratified by BW and age, and randomly assigned to receive vaccinations against
bovine viral diarrhea virus 1a (BVDV-1a) and parainfluenza-3 (PI-3) on d -14 and
0 (PRE) or 7 and 21 (POS), relative to weaning. Calves were weaned on d 0 and
offered daily concentrate DM supplementation (50:50 soybean hulls and corn gluten
feed; 71% TDN, 15% CP of DM) at 0.5% of BW for 7 d. On d 7, calves were
stratified by vaccination scheme and assigned into 1 of 16 drylot pens (3 calves
of same sex/pen; 4 pens/treatment). Pens were randomly assigned to receive
similar weekly concentrate DM supplementation (1% of BW multiplied by 7 d) that
was divided and offered daily (7X) or three times weekly (3X; Mondays,
Wednesdays, and Fridays) from d 7 to 43. From d 0 to 43, calves were provided ad
libitum ground tall fescue hay (57% TDN, 13% CP of DM). Blood samples were
collected from jugular vein on d 0, 1, 3, 7, and 14, relative to the respective
first vaccination, and on d 43 of the study. Effects of timing of vaccination *
frequency of supplementation were not detected for any variable in this study (P
>= 0.12), except for overall ADG from d -14 to 43 (P = 0.04), which was less for
PRE-3X vs. PRE-7X, POS-3X, and POS-7X calves (0.60, 0.70, 0.70, and 0.77 +/- 0.04
kg/d, respectively; P <= 0.08). Post-weaning total DMI and G:F did not differ
among treatments (P >= 0.11). Pre-weaning vaccination increased plasma
concentrations of cortisol and haptoglobin from d 0 to 3, relative to first
vaccination (P <= 0.03), and decreased serum PI-3 titers on d 43 compared with
post-weaning vaccination (P < 0.0001). Decreasing the supplementation frequency
tended (P = 0.10) to increase overall plasma cortisol concentrations and reduce
overall serum BVDV-1a titers. Hence, pre-weaning vaccination associated with
reduced post-weaning frequency of energy supplementation caused the greatest
reduction on calf growth performance. Post-weaning vaccination and daily energy
supplementation alleviated inflammation and improved humoral immunity compared
with pre-weaning vaccination and reduced post-weaning frequency of energy
supplementation of recently weaned beef calves.
PMID- 29378005
TI - Tau Oligomer Pathology in Nucleus Basalis Neurons During the Progression of
Alzheimer Disease.
AB - Although tau is the primary constituent of neurofibrillary tangles (NFTs),
evidence suggests that its toxic moiety is oligomeric in Alzheimer disease (AD).
In this regard, tau oligomers correlate more strongly with neuronal loss than
NFTs and exhibit neurotoxicity in preclinical AD models. Here, we investigated
the spatiotemporal progression of oligomeric tau accumulation within the highly
vulnerable cholinergic neurons of the nucleus basalis of Meynert (nbM) in AD.
Tissue from subjects who died with a clinical diagnosis of no cognitive
impairment, mild cognitive impairment, or AD was immunostained with the tau
oligomeric complex 1 (TOC1) antibody, a marker of tau oligomers, and p75NTR, a
cholinergic cell marker. Stereological estimates revealed a significant increase
in the number of TOC1 nbM immunopositive (+) neurons with a concomitant decrease
in p75NTR+ nbM neurons during the transition from mild cognitive impairment to
AD. Immunofluorescence identified TOC1+ neurons that colocalized with the
pretangle tau marker phospho-Ser422, which persisted into late stage NFTs
immunoreactive for MN423. Analysis of the nbM subfields revealed a topographical
caudal to rostral gradient of TOC1+ neurons during disease progression. Taken
together, these data suggest that toxic tau oligomers accumulate caudorostrally
in selectively vulnerable nbM neurons during the onset of AD.
PMID- 29378007
TI - Autophagy controls resource allocation and protein storage accumulation in
Arabidopsis seeds.
AB - Autophagy is essential for nutrient recycling and plays a fundamental role in
seed production and grain filling in plants. Autophagy participates in nitrogen
remobilization at the whole-plant level, and the seeds of autophagy mutants
present abnormal C and N contents relative to wild-type (WT) plants. It is well
known that autophagy (ATG) genes are induced in leaves during senescence;
however, expression of such genes in seeds has not yet been reported. In this
study we show that most of the ATG genes are induced during seed maturation in
Arabidopsis siliques. Promoter-ATG8f::UIDA and promoter-ATG8f::GFP fusions showed
the strong expression of ATG8f in the phloem companion cells of pericarps and the
funiculus, and in the embryo. Expression was especially strong at the late stages
of development. The presence of many GFP-ATG8 pre-autophagosomal structures and
autophagosomes confirmed the presence of autophagic activity in WT seed embryos.
Seeds of atg5 and WT plants grown under low- or high-nitrate conditions were
analysed. Nitrate-independent phenotypes were found with higher seed abortion in
atg5 and early browing, higher total protein concentrations in the viable seeds
of this mutant as compared to the WT. The higher total protein accumulation in
atg5 viable seeds was significant from early developmental stages onwards. In
addition, relatively low and early accumulation of 12S globulins were found in
atg5 seeds. These features led us to the conclusion that atg5 seed development is
accelerated and that the protein storage deposition pathway is somehow abnormal
or incomplete.
PMID- 29378009
TI - Your contribution to a living journal.
PMID- 29378008
TI - Genetic correlations between feed efficiency traits, and growth performance and
carcass traits in purebred and crossbred pigs.
AB - Selection for feed efficiency (FE) is a strategy to reduce the production costs
per unit of animal product, which is one of the major objectives of current
animal breeding programs. In pig breeding, selection for FE and other traits
traditionally takes place based on purebred pig (PB) performance at the nucleus
level, while pork production typically makes use of crossbred animals (CB). The
success of this selection, therefore, depends on the genetic correlation between
the performance of PB and CB (rpc) and on the genetic correlation (rg) between FE
and the other traits that are currently under selection. Different traits are
being used to account for FE, but the rpc has been reported only for feed
conversion rate. Therefore, this study aimed 1) to estimate the rpc for growth
performance, carcass, and FE traits; 2) to estimate rg between traits within PB
and CB populations; and 3) to compare three different traits representing FE:
feed conversion rate, residual energy intake (REI), and residual feed intake
(RFI). Phenotypes of 194,445 PB animals from 23 nucleus farms, and 46,328 CB
animals from three farms where research is conducted under near commercial
production conditions were available for this study. From these, 22,984 PB and
8,657 CB presented records for feed intake. The PB population consisted of five
sire and four dam lines, and the CB population consisted of terminal cross
progeny generated by crossing sires from one of the five PB sire lines with
commercially available two-way maternal sow crosses. Estimates of rpc ranged from
0.61 to 0.71 for growth performance traits, from 0.75 to 0.82 for carcass traits,
and from 0.62 to 0.67 for FE traits. Estimates of rg between growth performance,
carcass, and FE traits differed within PB and CB. REI and RFI showed substantial
positive rg estimates in PB (0.84) and CB (0.90) populations. The magnitudes of
rpc estimates indicate that genetic progress is being realized in CB at the
production level from selection on PB performance at nucleus level. However,
including CB phenotypes recorded on production farms, when predicting breeding
values, has the potential to increase genetic progress for these traits in CB.
Given the genetic correlations with growth performance traits and the genetic
correlation between the performance of PB and CB, REI is an attractive FE
parameter for a breeding program.
PMID- 29378010
TI - Genetic Influences on the Development of Cerebral Cortical Thickness During
Childhood and Adolescence in a Dutch Longitudinal Twin Sample: The Brainscale
Study.
AB - Previous studies have demonstrated that cortical thickness (CT) is under strong
genetic control across the life span. However, little is known about genetic
influences that cause changes in cortical thickness (DeltaCT) during brain
development. We obtained 482 longitudinal MRI scans at ages 9, 12, and 17 years
from 215 twins and applied structural equation modeling to estimate genetic
influences on (1) cortical thickness between regions and across time, and (2)
changes in cortical thickness between ages. Although cortical thickness is
largely mediated by the same genetic factor throughout late childhood and
adolescence, we found evidence for influences of distinct genetic factors on
regions across space and time. In addition, we found genetic influences for
cortical thinning during adolescence that is mostly due to fluctuating influences
from the same genetic factor, with evidence of local influences from a second
emerging genetic factor. This fluctuating core genetic factor and emerging novel
genetic factor might be implicated in the rapid cognitive and behavioral
development during childhood and adolescence, and could potentially be targets
for investigation into the manifestation of psychiatric disorders that have their
origin in childhood and adolescence.
PMID- 29378011
TI - Effects of supplementing sow diets with fermented corn and soybean meal mixed
feed during lactation on the performance of sows and progeny.
AB - In the present study, two experiments were performed to study the effects of
feeding fermented corn and soybean meal mixed feed (FMF) with Bacillus subtilis
and Enterococcus faecium to lactating sows on the performance of the sows and
their progeny. In experiment 1, 60 sows were allocated to the following three
dietary treatments: 1) sows fed a corn and soybean meal basal diet (control) from
day 3 before parturition to weaning, 2) sows fed a diet with 7.5% FMF, and 3)
sows fed a diet with 15% FMF. Results indicated that feeding 15% FMF
significantly improved (P < 0.05) the sows' ADFI, the individual piglet weaning
weights, and piglet weight gain and reduced (P < 0.05) the backfat loss of sows
compared with the control group. However, the 7.5% FMF treatment did not alter
the performance of the sows or their progeny. Therefore, we considered the level
of 15% FMF to be more efficient than 7.5% FMF. To verify the results of
experiment 1, we performed experiment 2, in which 60 sows at 111 d of gestation
were allocated into the following two dietary treatments: 1) sows fed a basal
lactation diet (control) from d 111 of gestation to weaning and 2) sows fed a
basal diet with 15% FMF. Compared with the control group, 15% FMF inclusion
significantly increased (P < 0.05) the sows' ADFI, litter weight gain, and
individual piglet weight gain during lactation and markedly decreased the backfat
loss of sows (P < 0.05) and piglet diarrhea incidence (P < 0.05). Additionally,
the milk yield and IgA contents of the milk in sows fed 15% FMF were greater (P <
0.05) than those of the control group. Furthermore, the apparent total tract
digestibility of GE, DM, and total P of sows was increased (P < 0.05) with 15%
FMF supplementation. Therefore, the present study indicates that supplementing
sow diets with 15% FMF from parturition to weaning has the potential to 1)
increase sow ADFI, milk production, milk IgA content, and nutrient digestibility
and promote sow reproductive performance by shortening the weaning-to-estrous
interval and 2) promote the growth performance of their progeny and decrease
diarrhea incidence.
PMID- 29378012
TI - i-Motif of cytosine-rich human telomere DNA fragments containing natural base
lesions.
AB - i-Motif (iM) is a four stranded DNA structure formed by cytosine-rich sequences,
which are often present in functionally important parts of the genome such as
promoters of genes and telomeres. Using electronic circular dichroism and UV
absorption spectroscopies and electrophoretic methods, we examined the effect of
four naturally occurring DNA base lesions on the folding and stability of the iM
formed by the human telomere DNA sequence (C3TAA)3C3T. The results demonstrate
that the TAA loop lesions, the apurinic site and 8-oxoadenine substituting for
adenine, and the 5-hydroxymethyluracil substituting for thymine only marginally
disturb the formation of iM. The presence of uracil, which is formed by enzymatic
or spontaneous deamination of cytosine, shifts iM formation towards substantially
more acidic pH values and simultaneously distinctly reduces iM stability. This
effect depends on the position of the damage sites in the sequence. The results
have enabled us to formulate additional rules for iM formation.
PMID- 29378014
TI - The delayed southern migration of a transcatheter aortic valve.
AB - Transcatheter aortic valve replacement has emerged as a favourable alternative in
patients with severe symptomatic aortic stenosis who are at intermediate and/or
high risk for open aortic valve replacement. While transcatheter aortic valve
replacement has been shown to be a safe alternative to surgical aortic valve
replacement in those populations, the procedure is not without risks.
Transcatheter heart valve migration can occur, albeit rarely, in an acute,
subacute and delayed fashion. This complication can have fatal consequences if
not managed appropriately. We describe a patient who underwent a transcatheter
aortic valve replacement and had evidence of transcatheter heart valve subacute
migration into the left ventricular outflow tract.
PMID- 29378013
TI - A conserved structural element in the RNA helicase UPF1 regulates its catalytic
activity in an isoform-specific manner.
AB - The RNA helicase UPF1 is a key component of the nonsense mediated mRNA decay
(NMD) pathway. Previous X-ray crystal structures of UPF1 elucidated the molecular
mechanisms of its catalytic activity and regulation. In this study, we examine
features of the UPF1 core and identify a structural element that adopts different
conformations in the various nucleotide- and RNA-bound states of UPF1. We
demonstrate, using biochemical and single molecule assays, that this structural
element modulates UPF1 catalytic activity and thereby refer to it as the
regulatory loop. Interestingly, there are two alternatively spliced isoforms of
UPF1 in mammals which differ only in the lengths of their regulatory loops. The
loop in isoform 1 (UPF11) is 11 residues longer than that of isoform 2. We find
that this small insertion in UPF11 leads to a two-fold increase in its
translocation and ATPase activities. To determine the mechanistic basis of this
differential catalytic activity, we have determined the X-ray crystal structure
of the helicase core of UPF11 in its apo-state. Our results point toward a novel
mechanism of regulation of RNA helicases, wherein alternative splicing leads to
subtle structural rearrangements within the protein that are critical to modulate
enzyme movements and catalytic activity.
PMID- 29378015
TI - DETERMINATION OF THE RESPONSE TO THE ATMOSPHERIC COSMIC RADIATION OF A NEUTRON
DOSIMETER ASSISTED BY MONTE CARLO SIMULATION.
AB - A TLD-based dosimeter of polyethylene-lead-polyethylene, was developed and
characterized with Monte Carlo simulations, using the MCNPX code. This passive
system for the determination of the ambient dose equivalent (H*(10)) for neutrons
over a wide energy range can be used for the dosimetry of neutrons from
atmospheric cosmic radiation, on the ground, and onboard aircraft. A method
assisted by Monte Carlo simulations that improves the calibration of fast neutron
dosimeters based on moderation and thermalization of the incident fast flux and
the measurement of the thermal flux by a sensor, which respond mainly to thermal
neutrons, is presented in this work. The H*(10) energy response of this dosimeter
was obtained from simulations for monoenergetic neutrons from 10-10 to 104 MeV.
The validation of the modeling was done with irradiations for ISO standard
neutron fields of 241Am-Be, 252Cf and 252Cf(D2O) at Instituto de Radioprotecao e
Dosimetria (IRD, Brazil) and at CERN-EU high-energy reference field (CERF).
PMID- 29378016
TI - A Phase I, Randomized, Double-Blind, Laser-Evoked Potential Study to Evaluate the
Analgesic/Antihyperalgesic Effect of ASP9226, a State-Dependent N-Type Voltage
Gated Calcium Channel Inhibitor, in Healthy Male Subjects.
AB - Objective: Evaluate the analgesic/antihyperalgesic effects of ASP9226, a state
dependent N-type voltage-gated calcium channel inhibitor, in healthy male
subjects. Design: Randomized, double-blind, double-dummy, placebo- and active
comparator-controlled crossover study. Setting: HPR Dr. Schaffler GmbH, Munich,
Germany. Subject: Healthy male subjects aged 18-55 years. Methods: Twenty-four
eligible subjects were randomly assigned to one of four treatment sequences and
received single doses of ASP9226 (30 mg or 50 mg), pregabalin (150 mg), or
placebo during four treatment periods. Laser-evoked potentials (LEP) and
postlaser pain visual analog scales (VAS) on capsaicin-treated skin were assessed
during main assessment days (the first day of each study period). Primary and
secondary end points were the differences in LEP N2-P2 peak-to-peak (PtP)
amplitudes and VAS score, respectively, in all subjects. Results: Overall,
treatment with pregabalin resulted in a significantly lower LEP N2-P2 PtP
amplitude vs placebo (-3.30 MUV, P < 0.0001). There were no clinically relevant
differences in N2-P2 PtP amplitudes between placebo and either ASP9226 dose (
0.31 MUV and -0.27 MUV). Furthermore, subjects reported significantly lower VAS
pain scores with pregabalin vs placebo (-9.90%, P < 0.0001) in contrast to
ASP9226 30 mg (-2.1%) and ASP9226 50 mg (1.2%) vs placebo. Subgroup analysis of
LEP and VAS pain in participants with positive prestudy capsaicin response (n =
13) were in keeping with results in all subjects. Conclusions: ASP9226 was well
tolerated; however, there was no improvement in LEP and VAS pain scores with
ASP9226 at either dose vs placebo.
PMID- 29378017
TI - Plasma Activation of a Breast Implant Shell in Conjunction With Antibacterial
Irrigants Enhances Antibacterial Activity.
AB - Background: Infection and capsular contracture are two of the most significant
complications of breast-implant surgery. Both complications are associated with
bacterial contamination of the implant surface. Plasma activation of the surface
of a silicone breast implant changes its surface properties from water repelling
(hydrophobic) to water absorbing (hydrophilic), thus making it possible for
antibacterial irrigants to temporarily adsorb onto the implant surface.
Objectives: To support our hypothesis that by changing the surface properties we
could render antibacterial irrigation more effective in inhibiting bacterial
growth on a breast implant shell. Methods: An in vitro study using silicone discs
cut from a textured silicone breast implant shell was performed by treating some
of the discs with plasma activation and then exposing the discs to contamination
with either Staphylococcus aureus or Pseudomonas aeruginosa and then variously
treating the discs with 10% povidone iodine, Cefazolin, or Gentamicin. Bacterial
contamination was verified and counted using contact plates as well as culture
media. Results: Plasma activation changed the wetting properties of the disc's
surface from hydrophobic to hydrophilic. Nonplasma activated contaminated discs
demonstrated clear bacterial growth both in the untreated group and in the
antibacterial-treated group. Combining antibacterial treatment with plasma
activation resulted in complete inhibition of bacterial growth in each of the
groups treated with antibacterial irrigants. Conclusions: Combining plasma
activation with topical antibacterial irrigants can inhibit the growth of
bacteria on implant shell discs. By changing the properties of the surface from
hydrophobic to hydrophilic, the adsorption of the antibacterial irrigants is
enhanced.
PMID- 29378018
TI - Beliefs About Suicide Acceptability in the United States: How Do They Affect
Suicide Mortality?
AB - Objectives: Societies develop cultural scripts to understand suicide and define
conditions under which the act is acceptable. Prior empirical work suggests that
such attitudes are important in understanding some forms of suicidal behavior
among adolescents and high-risk populations. This study examines whether
expressions of suicide acceptability under different circumstances are predictive
of subsequent death by suicide in the general U.S. adult population and whether
the effects differ over the life course. Method: The study uses 1978-2010 General
Social Survey data linked to the National Death Index through 2014 (n = 31,838).
Cox survival models identify risk factors for suicide mortality, including
attitudinal and cohort effects. Results: Expressions of suicide acceptability are
predictive of subsequent death by suicide-in some cases associated with a twofold
increase in risk. Attitudes elevate the suicide hazard among older (>55 years)
adults but not among younger (ages 33-54) adults. Fully-adjusted models reveal
that the effects of attitudes toward suicide acceptability on suicide mortality
are strongest for social circumstances (family dishonor; bankruptcy). Discussion:
Results point to the role of cultural factors and social attitudes in suicide.
There may be utility in measuring attitudes in assessments of suicide risk.
PMID- 29378019
TI - The Cardiomyopathy Registry of the EURObservational Research Programme of the
European Society of Cardiology: baseline data and contemporary management of
adult patients with cardiomyopathies.
AB - Aims: The Cardiomyopathy Registry of the EURObservational Research Programme is a
prospective, observational, and multinational registry of consecutive patients
with four cardiomyopathy subtypes: hypertrophic cardiomyopathy (HCM), dilated
cardiomyopathy (DCM), arrhythmogenic right ventricular cardiomyopathy (ARVC), and
restrictive cardiomyopathy (RCM). We report the baseline characteristics and
management of adults enrolled in the registry. Methods and results: A total of
3208 patients were enrolled by 69 centres in 18 countries [HCM (n = 1739); DCM (n
= 1260); ARVC (n = 143); and RCM (n = 66)]. Differences between cardiomyopathy
subtypes (P < 0.001) were observed for age at diagnosis, history of familial
disease, history of sustained ventricular arrhythmia, use of magnetic resonance
imaging or genetic testing, and implantation of defibrillators. When compared
with probands, relatives had a lower age at diagnosis (P < 0.001), but a similar
rate of symptoms and defibrillators. When compared with the Long-Term phase,
patients of the Pilot phase (enrolled in more expert centres) had a more frequent
rate of familial disease (P < 0.001), were more frequently diagnosed with a rare
underlying disease (P < 0.001), and more frequently implanted with a
defibrillator (P = 0.023). Comparing four geographical areas, patients from
Southern Europe had a familial disease more frequently (P < 0.001), were more
frequently diagnosed in the context of a family screening (P < 0.001), and more
frequently diagnosed with a rare underlying disease (P < 0.001). Conclusion: By
providing contemporary observational data on characteristics and management of
patients with cardiomyopathies, the registry provides a platform for the
evaluation of guideline implementation. Potential gaps with existing
recommendations are discussed as well as some suggestions for improvement of
health care provision in Europe.
PMID- 29378021
TI - Localized gene expression changes during adventitious root formation in black
walnut (Juglans nigra L.).
AB - Cutting propagation plays a large role in the forestry and horticulture
industries where superior genotypes need to be clonally multiplied. Integral to
this process is the ability of cuttings to form adventitious roots. Recalcitrance
to adventitious root development is a serious hurdle for many woody plant
propagation systems including black walnut (Juglans nigra L.), an economically
valuable species. The inability of black walnut to reliably form adventitious
roots limits propagation of superior genotypes. Adventitious roots originate from
different locations, and root induction is controlled by many environmental and
endogenous factors. At the molecular level, however, the regulation of
adventitious root formation is still poorly understood. In order to elucidate the
transcriptional changes during adventitious root development in black walnut, we
used quantitative real-time polymerase chain reaction to measure the expression
of nine key genes regulating root formation in other species. Using our
previously developed spatially explicit timeline of adventitious root development
in black walnut softwood cuttings, we optimized a laser capture microdissection
protocol to isolate RNA from cortical, phloem fiber and phloem parenchyma cells
throughout adventitious root formation. Laser capture microdissection permitted
high-resolution, site-specific analysis of gene expression that differentiated
between participatory and non-participatory root progenitor cells. Results
indicated mRNA abundance was altered in all nine rooting-related genes in
response to auxin treatment in both juvenile and mature cuttings. SCARECROW LIKE
1 (SCL) had the greatest change in expression in juvenile rooting-competent cells
at days 16 and 18, with a 24- and 23-fold increase relative to day 0,
respectively. Tissues not linked to root organogenesis had little change in SCL
expression at similar time points. AUXIN RESPONSE FACTOR (ARF)6 and ARF8 as well
as SHORTROOT expression also increased 2- to 4-fold in rooting-competent tissue.
The greatest transcript abundance in rooting-competent cuttings was restricted to
root progenitor cells, while recalcitrant cuttings had a diffuse mRNA signal
among tissue types.
PMID- 29378020
TI - Multiple Roots of Fruiting Body Formation in Amoebozoa.
AB - Establishment of multicellularity represents a major transition in eukaryote
evolution. A subgroup of Amoebozoa, the dictyosteliids, has evolved a relatively
simple aggregative multicellular stage resulting in a fruiting body supported by
a stalk. Protosteloid amoeba, which are scattered throughout the amoebozoan tree,
differ by producing only one or few single stalked spores. Thus, one obvious
difference in the developmental cycle of protosteliids and dictyosteliids seems
to be the establishment of multicellularity. To separate spore development from
multicellular interactions, we compared the genome and transcriptome of a
Protostelium species (Protostelium aurantium var. fungivorum) with those of
social and solitary members of the Amoebozoa. During fruiting body formation
nearly 4,000 genes, corresponding to specific pathways required for
differentiation processes, are upregulated. A comparison with genes involved in
the development of dictyosteliids revealed conservation of >500 genes, but most
of them are also present in Acanthamoeba castellanii for which fruiting bodies
have not been documented. Moreover, expression regulation of those genes differs
between P. aurantium and Dictyostelium discoideum. Within Amoebozoa
differentiation to fruiting bodies is common, but our current genome analysis
suggests that protosteliids and dictyosteliids used different routes to achieve
this. Most remarkable is both the large repertoire and diversity between species
in genes that mediate environmental sensing and signal processing. This likely
reflects an immense adaptability of the single cell stage to varying
environmental conditions. We surmise that this signaling repertoire provided
sufficient building blocks to accommodate the relatively simple demands for cell
cell communication in the early multicellular forms.
PMID- 29378023
TI - The Bidirectional Relationship Between Depressive Symptoms and Homebound Status
Among Older Adults.
AB - Objectives: This study aimed to examine the bidirectional relationship between
depressive symptoms and homebound status among older adults. Method: The study
sample included 7,603 community-dwelling older adults from the National Health
and Aging Trends Study. A bivariate latent state-trait model of depressive
symptoms and homebound status was estimated via structural equation modeling.
Results: The model fit the data well (Root Mean Square Error of Approximation =
.02, Comparative Fit Index = .97, Standardized Root Mean Square Residual = .06).
The relationship between homebound status and depressive symptoms can be
decomposed into three parts: a moderate correlation between the stable trait
components (r = .56, p <.001); a contemporary association of the state components
(b = .17, p <.001); and bidirectional lagged effects between the state
components. Change in homebound status was as a stronger predictor of depressive
symptoms (b = .19, p < .001) than change in depressive symptoms was of homebound
status (b = .06, p < .001; test of difference: Delta scaled chi2(1) = 24.2, p <
.001). Discussion: Homebound status and depressive symptoms form a feedback loop
to influence each other. Improving the outdoor mobility of older adults may have
immediate benefits for reducing depressive symptoms.
PMID- 29378022
TI - The interaction between embodiment and empathy in facial expression recognition.
AB - Previous research has demonstrated that the Action-Observation Network (AON) is
involved in both emotional-embodiment (empathy) and action-embodiment mechanisms.
In this study, we hypothesized that interfering with the AON will impair action
recognition and that this impairment will be modulated by empathy levels. In
Experiment 1 (n = 90), participants were asked to recognize facial expressions
while their facial motion was restricted. In Experiment 2 (n = 50), we interfered
with the AON by applying transcranial Direct Current Stimulation to the motor
cortex. In both experiments, we found that interfering with the AON impaired the
performance of participants with high empathy levels; however, for the first
time, we demonstrated that the interference enhanced the performance of
participants with low empathy. This novel finding suggests that the embodiment
module may be flexible, and that it can be enhanced in individuals with low
empathy by simple manipulation of motor activation.
PMID- 29378025
TI - Plant Cuttings: news in Botany.
PMID- 29378024
TI - Impact of a commercially available model-based dose calculation algorithm on
treatment planning of high-dose-rate brachytherapy in patients with cervical
cancer.
AB - We evaluated the impact of model-based dose calculation algorithms (MBDCAs) on
high-dose-rate brachytherapy (HDR-BT) treatment planning for patients with
cervical cancer. Seven patients with cervical cancer treated using HDR-BT were
studied. Tandem and ovoid applicators were used in four patients, a vaginal
cylinder in one, and interstitial needles in the remaining two patients. MBDCAs
were applied to the Advanced Collapsed cone Engine (ACE; Elekta, Stockholm,
Sweden). All plans, which were originally calculated using TG-43, were re
calculated using both ACE and Monte Carlo (MC) simulations. Air was used as the
rectal material. The mean difference in the rectum D2cm3 between ACErec-air and
MCrec-air was 8.60 +/- 4.64%, whereas that in the bladder D2cm3 was -2.80 +/-
1.21%. Conversely, in the small group analysis (n = 4) using water instead of air
as the rectal material, the mean difference in the rectum D2cm3 between TG-43 and
ACErec-air was 11.87 +/- 2.65%, whereas that between TG-43 and ACErec-water was
0.81 +/- 2.04%, indicating that the use of water as the rectal material reduced
the difference in D2cm3 between TG-43 and ACE. Our results suggested that the
differences in the dose-volume histogram (DVH) parameters of TG-43 and ACE were
large for the rectum when considerable air (gas) volume was present in it, and
that this difference was reduced when the air (gas) volume was reduced. Also, ACE
exhibited better dose calculation accuracy than that of TG-43 in this situation.
Thus, ACE may be able to calculate the dose more accurately than TG-43 for HDR-BT
in treating cervical cancers, particularly for patients with considerable air
(gas) volume in the rectum.
PMID- 29378026
TI - Individual stability in vocalization rates of preweaning piglets.
AB - Piglet vocalization rates are used as welfare indicators. The emission rates of
the two gross categories of piglet calls, namely low frequency calls ("grunts")
and high frequency calls ("screams"), may contain different information about the
piglet's internal state due to differing communicative functions of the two call
types. More knowledge is needed about the sources of variation in calling rates
within and between piglets. We examined to what extent the emission rates of the
two call types are codetermined by individual and litter identity, i.e., whether
the rates are repeatable within individuals and similar between littermates. We
recorded frequency of grunts and screams in one mildly negative (short-term
Isolation) and one moderately negative (manual Restraint) situation during the
first week (week 1) and the 4th week (week 4) of life and asked the following
questions: 1) Are within-individual vocalization rates stable across the suckling
period? 2) Are within-individual vocalization rates stable across the two
situations? 3) Is there within-litter similarity in vocalization rates? 4) Does
this within-litter similarity increase during the suckling period? Within
individual vocalization rates were stable between week 1 and week 4 (grunts in
Restraint P < 0.05; grunts in Isolation P < 0.001; screams in Restraint P <
0.001; screams in Isolation P < 0.001). Across the two situations at the same
age, the vocalization rates were not stable for grunts but were stable for
screams at week 1 and week 4 (P < 0.05). Vocalization rates were more similar
between littermates than between piglets belonging to different litters (grunts
in Restraint P < 0.001; grunts in Isolation P < 0.01; screams in Restraint P <
0.001; screams in Isolation P < 0.001). This litter effect did not grow stronger
from week 1 to week 4 as the within-litter coefficient of variance did not
decrease between the two ages. Sex of the piglet had no influence on vocalization
rates while greater body weight was associated with lower screaming rates in the
Restraint situation (P < 0.05). In conclusion, our study demonstrates that both
individuality of the piglet and litter identity affect the vocalization rates of
piglets in negatively valenced situations. For screams, the repeatability of
individual vocalization rates holds even across situations, while for grunts, the
rates are repeatable during ontogeny within the situations, but not across
situations.
PMID- 29378027
TI - Phosphine Analysis in Postmortem Specimens Following Inhalation of Phosphine:
Fatal Aluminum Phosphide Poisoning in Children.
AB - Phosphine is an insecticide for the fumigation of grains, animal feed, and leaf
stored tobacco, and it was used as a rodenticide in bulk grain stores. Phosphine
poisoning may occur after accidental inhalation of phosphine, sometimes leading
to death. Analysis of phosphine and its metabolites in postmortem specimens from
seven fatal cases was conducted in this study, as well as postmortem specimens
collected from rabbits exposed to phosphine. The total phosphine in postmortem
specimens was analyzed by headspace gas chromatography coupled with mass
spectrometry. Diagnosis of aluminum phosphide poisoning was made after postmortem
toxicological analysis and confirmed by police investigation. The deaths of the
children occurred after inhalation of phosphine generated from aluminum phosphide
contacting moisture in the air in all seven fatal cases. The concentration of
total phosphine in the biological fluids and tissues of victims ranged from 0.2
to 4.7 MUg/mL (MUg/g). Animal experiments demonstrated that the phosphine
generated from aluminum phosphide could rapidly cause death. The toxicological
analysis of postmortem specimens provides useful information in diagnosis of
aluminum phosphide poisoning in forensic science. As an important fumigation
pesticide, aluminum phosphide deserves special attention, especially since there
is no specific antidote and there is a high fatality rate.
PMID- 29378028
TI - Patient Satisfaction as a Moderator of Risky Alcohol Consumers' Attitude Towards
Screening and Brief Intervention: A Cross Sectional Survey.
AB - Aims: Although shown to be effective, General Practitioners (GPs) tend to refuse
the implementation of Screening and Brief Intervention (SBI). Their expectation
of negative response by patients seems to contrast with the positive attitude
towards SBI of these patients. This discrepancy may be resolved by regarding
moderators such as drinking status and patient satisfaction. We hypothesized that
the attitude towards SBI will be more positive for abstainers or low-level
consumers in comparison to high risk consumers especially in case of low patient
satisfaction. Methods: Ten GP offices in Germany received the questionnaires for
a recruitment maximum of 30 patients each. Patient satisfaction was measured by
the Koelner Questionnaire of Patient Satisfaction and drinking status was
evaluated using the AUDIT-C. To assess the SBI attitude a pretested 8-item Likert
scale was used. Results: Questionnaires of 257 patients could be analyzed. Almost
a third of patients were risky consumers (N = 78, 29.9%). They showed a more
negative attitude towards SBI (M = 3.99, SD = 0.71) than abstainers or low-level
consumers (M = 4.20, SD = 0.55). The main analysis revealed main effects for
alcohol consumption, F(1, 252) = 4.31, P < 0.05, and patient satisfaction, F(1,
252) = 22.15, P < 0.001, as well as an interaction effect, F(1, 252) = 5.01, P <
0.05, showing that the SBI attitude of risky consumers was more negative than the
SBI attitude of abstainers or low-level consumers only in case of low
satisfaction. Conclusions: Risky consumers show a more positive attitude towards
SBI when they are satisfied with their GP. Our results thus suggest the use of a
supportive consultation style for the intervention of risky consumers. Short
Summary: SBI attitude of general practice patients depends on their drinking
status: abstainers or low-level consumers revealed a more positive attitude
towards SBI than risky consumers. This effect is moderated by the patient's
satisfaction. Risky consumers show a more positive attitude towards SBI if they
are satisfied with their GP.
PMID- 29378029
TI - Porcine epidemic diarrhea virus reduces feed efficiency in nursery pigs.
AB - Porcine epidemic diarrhea virus (PEDV) infects enterocytes and in nursery pigs,
results in diarrhea, anorexia, and reduced performance. Therefore, the objective
of this study was to determine how PEDV infection influenced growth performance
and repartitioning of amino acids and energy in nursery pigs. A total of 32
barrows and gilts, approximately 1 wk post-wean (BW = 8.46 +/- 0.50 kg), and
naive for PEDV were obtained, weighed, and allotted based on sex and BW to one of
two treatments: 1) Control, PEDV naive and 2) PEDV-inoculated (PEDV) with eight
pens of two pigs each per treatment. On day post-inoculation (dpi) 0, PEDV pigs
were inoculated via intragastric gavage with PEDV isolate (USA/Iowa/18984/2013).
Pig and feeder weights were recorded at dpi -7, 0, 5, and 20 in order to
calculate ADG, ADFI, and G:F. Eight pigs per treatment were euthanized on dpi 5
and 20, and tissues and blood were collected. At dpi 5, all PEDV pigs were PCR
positive for PEDV in feces. Overall, PEDV pigs tended (P < 0.10) to increase
ADFI, which resulted in reduced (P < 0.05) feed efficiency. At dpi 5, PEDV pigs
had reduced (P < 0.05) villus height and increased (P < 0.05) stem cell
proliferation in the jejunum compared with Control pigs. Pigs inoculated with
PEDV had increased (P < 0.05) serum haptoglobin and increased insulin-to-glucose
ratios compared with Control pigs at dpi 5. Markers of muscle proteolysis were
not different (P > 0.05) between treatments within dpi; however, at dpi 5, 20S
proteasome activity was increased (P < 0.05) in longissimus dorsi of PEDV pigs
compared with Control pigs. Liver and jejunum gluconeogenic enzyme activities
were not different (P > 0.05) between treatments within dpi. Overall, PEDV
inoculated pigs did recover the absorptive capacity that was reduced during PEDV
infection by increasing proliferation of intestinal stem cells. However, the
energy and nutrients needed to recover the epithelium may be originating from
available luminal nutrients instead of muscle proteolysis and gluconeogenesis.
This study provides insight into the effects of an enteric coronavirus on
postabsorptive metabolism in nursery pigs.
PMID- 29378030
TI - Inducible Costimulator Contributes to Methicillin-Resistant Staphylococcus aureus
Pneumonia.
AB - Staphylococcus aureus is a major cause of both community- and healthcare-acquired
pneumonias. Inducible costimulator (ICOS) is part of the CD28 family of proteins
and is a target for immune checkpoint therapy. We found ICOS highly expressed on
activated CD4 cells in response to S. aureus. In the absence of ICOS, mice had
improved survival in a pneumonia model with the methicillin-resistant
Staphylococcus aureus (MRSA) strain USA300 and significant reductions in
bacterial burden in a nonlethal acute pneumonia model. Infected Icos-/- mice had
major reductions in several proinflammatory cytokines, neutrophils, inflammatory
monocytes, and eosinophils compared to infected wild-type mice, while there was
improved expression of CD11c and macrophage receptor with collagenous structure
on the surface of alveolar macrophages. Early during infection infected Icos-/-
mice had increased numbers of alveolar macrophages and expression of several
surface markers on alveolar macrophages and neutrophils. ICOS signaling also
contributed to the pathogenesis of the airway pathogens Klebsiella pneumoniae,
Pseudomonas aeruginosa, and Streptococcus pneumoniae, and neutralizing antibody
to ICOS led to improved clearance of S. aureus from the airway. Our results
indicate that ICOS plays a significant role in orchestrating the innate immune
response to S. aureus and other airway pathogens, and could be a potential
immunomodulatory target to attenuate S. aureus-related immunopathology.
PMID- 29378031
TI - Stage of disease in hepatitis B virus infection in Zambian adults is associated
with large cell change but not well defined using classic biomarkers.
AB - Background: Hepatocellular malignancy in young adults is a prominent feature of
hepatitis B virus (HBV) infection in southern Africa. Here we report a cross
sectional study of liver pathology correlated with biomarkers in adults with HBV
infection in Zambia. Methods: We analysed liver biopsies from Zambian patients
with persistent HBV infection. Results: We analysed 104 patients with HBV
infection and evidence of liver disease. We obtained liver biopsies from 53
adults; of these, 12 (23%) were hepatitis B e antigen seropositive. The genotype
was evenly distributed between A and E. One biopsy showed malignancy. Stage was 3
or more in 11 of 52 (21%) biopsies free of malignancy and lobular inflammation
was found in 50 (94%). Neither alanine aminotransferase (ALT) nor the gamma
glutamyl transferase:platelet ratio (GPR) were correlated with the stage of
disease but were correlated with total Ishak score (rho=0.47, p=0.0004 and
rho=0.33, p=0.02, respectively). Large cell change was observed in 10 of 11
biopsies with fibrosis stage 3 or more and 16 of 41 with early disease (p=0.005).
Serum alpha-fetoprotein was elevated, although still within the normal range, in
patients with large cell change (median 3.6 [interquartile range {IQR} 1.6-5.1])
compared with those without (1.7 [IQR 1.0-2.8]; p=0.03). Neither ALT nor GPR
predicted large cell change. Conclusions: Large cell change was common in young
HBV-infected adults in Zambia. Only serum alpha-fetoprotein was identified as a
biomarker of this phenotype.
PMID- 29378033
TI - Cervical Cancer Markers: Epigenetics and microRNAs.
AB - Gynecologic malignant neoplasms are a severe health problem among female
patients, of which cervical cancer (CC), in particular, is a common disease
leading to high mortality rates. Despite extensive attempts by researchers to
solve the molecular mystery of CC, the mechanisms of its pathogenesis remain
unclear. Tumor markers used in the clinical laboratory, such as squamous cell
carcinoma (SCC), cancer antigen (CA)-125, and CA19-9, provide some help in
diagnosing patients with CC. However, finding new molecular markers with high
sensitivity and specificity is necessary. This review focuses on the role of
epigenetic changes, particularly microRNAs (miRNAs), to CC. Several miRNAs that
associated with CC potentially have the advantage of being early biomarkers.
Moreover, altered serum miRNAs or single nucleotide polymorphisms in miRNA
patterns may predict disease progression.
PMID- 29378032
TI - Single-Base Resolution Map of Evolutionary Constraints and Annotation of
Conserved Elements across Major Grass Genomes.
AB - Conserved noncoding sequences (CNSs) are evolutionarily conserved DNA sequences
that do not encode proteins but may have potential regulatory roles in gene
expression. CNS in crop genomes could be linked to many important agronomic
traits and ecological adaptations. Compared with the relatively mature exon
annotation protocols, efficient methods are lacking to predict the location of
noncoding sequences in the plant genomes. We implemented a computational pipeline
that is tailored to the comparisons of plant genomes, yielding a large number of
conserved sequences using rice genome as the reference. In this study, we used 17
published grass genomes, along with five monocot genomes as well as the basal
angiosperm genome of Amborella trichopoda. Genome alignments among these genomes
suggest that at least 12.05% of the rice genome appears to be evolving under
constraints in the Poaceae lineage, with close to half of the evolutionarily
constrained sequences located outside protein-coding regions. We found evidence
for purifying selection acting on the conserved sequences by analyzing
segregating SNPs within the rice population. Furthermore, we found that known
functional motifs were significantly enriched within CNS, with many motifs
associated with the preferred binding of ubiquitous transcription factors. The
conserved elements that we have curated are accessible through our public
database and the JBrowse server. In-depth functional annotations and evolutionary
dynamics of the identified conserved sequences provide a solid foundation for
studying gene regulation, genome evolution, as well as to inform gene isolation
for cereal biologists.
PMID- 29378034
TI - Characterization of inappetent sheep in a feedlot using radio-tracking
technology.
AB - The feeding and drinking behaviours of sheep were monitored using RFID technology
at a commercial pre-embarkation feedlot in Western Australia with the aim of
characterizing feeding and drinking patterns of inappetent sheep that might allow
them to be treated. Feeding and drinking behaviours of sheep were compared with
their survival and change in body condition. Patterns of number of visits and the
time spent at feed and water troughs were analyzed for a total of 8,206 sheep,
representing four consignments that were monitored for a range of 6-31 d. Data
for feeding and drinking behaviours were compared for the first 6 d. For animals
that were alive at exit, 18.9% of sheep attended the feed trough for less than
0.25 h d-1 (15 min per day) on day 1; this decreased to only 2.4% of sheep by day
6. Of the sheep monitored, 0.93% died (n = 76); Salmonella spp. infection was the
leading cause of death (n = 40; 52.6% of all deaths) across all months and was
accompanied with inanition. There was marked variability in the average time
spent at the feed trough for sheep that died eventually from salmonella/inanition
(contributing to the lack of statistical difference in time spent at feed trough
between sheep dying from different causes; P = 0.056). Over half (55%) of the
animals diagnosed with salmonella/inanition spent an average of less than 0.50 h
d-1 (30 min per day) and 45% less than 0.25 h d-1 at the feed trough. There was a
negative correlation (r) in time spent at the feed trough overtime for individual
sheep that died from salmonella/inanition, indicating that these individuals went
off their feed. This pattern was not evident for animals that died from other
causes (significant difference in r values between five categories of cause of
death; P = 0.040). Characterization of feeding behaviour of sheep that died from
salmonella/inanition therefore appears to require more than simply monitoring
daily intake. There was no difference in time spent at water troughs between
sheep that died or were alive at exit (average 0.30 +/- 0.23 h d-1). This study
reveals that the patterns of feeding and drinking behaviours during pre
embarkation feedlotting do not readily allow identification of animals that
warrant singling out for veterinary care or alternative feed arrangements. This
result highlights the need for experienced stockmanship in handling these
animals.
PMID- 29378035
TI - Skin autofluorescence, arterial stiffness and Framingham risk score as predictors
of clinical outcome in chronic kidney disease patients: a cohort study.
AB - Background: The risk of cardiovascular disease (CVD) is predicted by Framingham's
CVD risk scores (FRS) but the high CVD-related mortality in patients with chronic
kidney disease (CKD) is only partially explained by traditional CVD risk markers.
Therefore, there is a need to explore whether other CVD risk markers may improve
risk prediction. Although arterial stiffness measured by augmentation index (AIx)
and tissue content of advanced glycation end-products (AGEs) measured by skin
autofluorescence (SAF) are two biomarkers that associate with CVD and mortality
in CKD, it is not known how they compare with FRS. We evaluated associations
between SAF, AIx and FRS, and their associations with CVD and mortality in CKD
patients. Methods: SAF (AGE Reader) and AIx (SphygmoCor; adjusted for 75 heart
beats per minute) were measured in 261 clinically stable and extensively
phenotyped patients with CKD Stage 5 (median age 56 years, 66% male, 20%
diabetes; 130 non-dialysed, 93 patients on peritoneal dialysis and 38 patients on
haemodialysis). Multivariate receiver operator characteristics (ROC) curve
analysis and multivariate Cox models followed by C-statistics were used to
evaluate CVD-related and all-cause mortality risk associated with SAF, AIx and
FRS during follow-up for median 25 months with 46 deaths. Results: In
multivariate regression analysis, SAF associated with FRS, haemoglobin, fat body
mass index and CVD, and inversely with per cent handgrip strength (HGS). AIx
associated with FRS, and inversely with per cent HGS. Associations of SAF and AIx
with high-sensitivity C-reactive protein (hsCRP), serum albumin, statin therapy
and renal replacement therapy were not statistically significant. In ROC
analysis, area under the curve (AUC) for CVD mortality ranged from AUC = 0.72
(AIx and FRS, respectively) to AUC = 0.78 (FRS + AIx), and for all-cause
mortality from AUC = 0.70 (AIx) to AUC = 0.79 (FRS + AIx). In multivariate Cox
analysis, after adjusting for 1-standard deviation (1-SD) of FRS, 1-SD increase
of SAF associated with all-cause mortality and 1-SD increase of AIx associated
with CVD mortality and all-cause mortality. After further adjustments for hsCRP,
albumin and presence of CVD, AIx (but not SAF) remained independently associated
with CVD mortality, hazard ratio (HR) 2.14 [95% confidence interval (95% CI) 1.18
3.89] and all-cause mortality, HR 1.74 (95% CI 1.16-2.60). Conclusions: In
patients with CKD Stage 5, SAF and aortic stiffness associated with mortality,
independently of FRS. After adjusting for additional confounders including
inflammation, aortic stiffness remained as an independent predictor of outcome.
Since the contribution of SAF and aortic stiffness compared with FRS in ROC curve
analysis was relatively modest, this underlines the importance of traditional CVD
risk factors in CKD.
PMID- 29378036
TI - Dietary Glycemic Index and Glycemic Load Are Positively Associated with Oxidative
Stress among Premenopausal Women.
AB - Background: Diets with a high glycemic index (GI) and glycemic load (GL) have
been hypothesized to increase oxidative stress, but the limited human studies are
inconsistent. Objective: The aim of this cross-sectional study was to investigate
associations between dietary GI, GL, and carbohydrate intake and oxidative
stress, as measured by F2-isoprostanes (F2-IsoPs). Methods: Concentrations of F2
IsoP and its metabolite (15-F2t-IsoP-M) were measured in urine samples collected
at enrollment from 866 premenopausal women (aged 35-54 y) participating in the
Sister Study. Total carbohydrate intake and dietary GI and GL were assessed using
a validated food frequency questionnaire. Urinary F2-IsoP and 15-F2t-IsoP-M
concentrations were compared across quintiles of carbohydrate intake, GI, and GL
using multivariable linear regression models. Results: Urinary F2-IsoP
concentrations were positively associated with dietary GI (P-trend = 0.023), and
both F2-IsoP and 15-F2t-IsoP-M concentrations were positively associated with GL
(F2-IsoP: P-trend < 0.001; 15-F2t-IsoP-M: P-trend < 0.001) and total carbohydrate
intake (F2-IsoP: P-trend = 0.012; 15-F2t-IsoP-M: P-trend < 0.001). Stratified
analyses suggested that a positive association between GI and urinary 15-F2t-IsoP
M concentrations was present among women with a body mass index [BMI (in kg/m2)]
>=30.0, but not among those with a BMI of <25.0 or 25.0-29.9 (P-interaction =
0.01). Conclusions: Our cross-sectional analyses in a sample of premenopausal
women support hypothesized relations between high dietary GI and GL and oxidative
stress, as assessed by urinary F2-IsoP and 15-F2t-IsoP-M concentrations. Given
potential associations between oxidative stress and the development of
cardiovascular disease and type 2 diabetes, our findings may have important
implications for reducing chronic disease risk.
PMID- 29378037
TI - Consuming Green Tea at Least Twice Each Day Is Associated with Reduced Odds of
Chronic Obstructive Lung Disease in Middle-Aged and Older Korean Adults.
AB - Background: The frequent consumption of green tea has been shown to have
antioxidant and anti-inflammatory effects and to reduce the risk of lung cancer
and type 2 diabetes. However, few studies have investigated the relation between
green tea consumption and the risk of chronic obstructive lung disease (COPD).
Objective: This study aimed to examine the association between green tea intake
and COPD with the use of a nationwide representative database. Methods: This
study was designed as a cross-sectional survey with the use of data from the
Korean National Health and Nutritional Examination Survey collected between 2008
and 2015. Of these participants, 13,570 participants aged >=40 y were included in
the study population. COPD was defined as forced expiratory volume in 1 s (FEV1)
divided by forced vital capacity (FVC) <0.70. Multiple linear and logistic
regression models were used to examine the association between the frequency of
green tea intake and risk of COPD after adjusting for age, sex, body mass index,
smoking status, alcohol consumption, physical activity, and socioeconomic status.
Results: The incidence of COPD decreased from 14.1% to 5.9% with increased
frequency of green tea intake from never to >=2 times/d (P < 0.001). In the fully
adjusted multiple linear regression model, the frequency of green tea intake
showed a linear dose-response relation with FEV1/FVC (P-trend = 0.031). In the
multiple logistic regression model, the OR for COPD among people who consumed
green tea >=2 times/d was 0.62 (95% CI: 0.40, 0.97), compared with those who
never drank green tea, after adjusting for all covariates. Conclusion: This study
suggests that the consumption of green tea >=2 times/d is associated with a
reduced risk of COPD in Korean populations.
PMID- 29378038
TI - Cashew Nut Consumption Increases HDL Cholesterol and Reduces Systolic Blood
Pressure in Asian Indians with Type 2 Diabetes: A 12-Week Randomized Controlled
Trial.
AB - Background: There is increasing evidence that nut consumption decreases the risk
of cardiovascular disease. However, there are few data on the health effects of
cashew nuts among adults with type 2 diabetes (T2DM). Objective: The study aimed
to investigate the effects of cashew nut supplementation on glycemia, body
weight, blood pressure, and lipid profile in Asian Indians with T2DM. Methods: In
a parallel-arm, randomized controlled trial, 300 adults with T2DM [mean +/- SD
age: 51 +/- 9.3 y; body mass index (BMI; in kg/m2): 26.0 +/- 3.4; 55% male] were
randomly assigned to receive advice to follow a standard diabetic diet (control)
or similar advice plus 30 g cashew nuts/d (intervention) for 12 wk. The
macronutrient composition of the prescribed diabetic diet was 60-65% energy from
carbohydrates, 15-25% from fat, and the rest from protein. Differences between
groups in changes in anthropometric and biochemical variables were analyzed using
linear models with robust variance estimation under an assumed independence
working correlation. Results: Participants in the intervention group had a
greater decrease in systolic blood pressure from baseline to 12 wk than did
controls (-4.9 +/- 13.7 compared with -1.7 +/- 11.6 mm Hg; P = 0.04) and a
greater increase in plasma HDL cholesterol compared with controls (+1.7 +/- 5.6
compared with +0.1 +/- 4.6 mg/dL; P = 0.01). There were no differences between
the groups with respect to changes in body weight, BMI, blood lipid, and glycemic
variables. Plasma oleic acid concentrations and self-reported dietary intake of
nuts, oleic acid, and monounsaturated fatty acids suggested excellent compliance
with the nut consumption. Conclusion: Cashew nut supplementation in Asian Indians
with T2DM reduced systolic blood pressure and increased HDL cholesterol
concentrations with no deleterious effects on body weight, glycemia, or other
lipid variables. This study was registered at the clinical trial registry of
India as CTRI/2017/07/009022.
PMID- 29378039
TI - Dietary Potential Renal Acid Load Is Positively Associated with Serum Uric Acid
and Odds of Hyperuricemia in the German Adult Population.
AB - Background: Initial interventional data indicate that a reduction in dietary acid
load (e.g., by an increased consumption of alkalizing fruit and vegetables) can
increase renal uric acid excretion and decrease serum uric acid (SUA). Objective:
Against this background, we examined the association between dietary potential
renal acid load (PRAL) and SUA in a representative population sample. Methods:
Cross-sectional analyses were performed in 6894 participants (aged 18-79 y) of
the German Health Interview and Examination Survey for Adults (DEGS1). Dietary
intake was assessed with a food-frequency questionnaire. Nutritive acid load and
the intake of uric acid equivalents (UAEs) were characterized by assigning PRAL
and UAE values to reported food consumption. In multiple linear regression
models, the associations of PRAL, UAEs, and relevant food groups with SUA were
analyzed. Multiple logistic regressions were used to calculate ORs for
hyperuricemia comparing lower and upper tertiles of the predictors. Results:
After adjustment for relevant confounders, PRAL (P = 0.003), alcohol (P <
0.0001), and UAE (P = 0.03) intakes were positively associated with SUA, whereas
the intake of dairy products and fruit and vegetables was inversely associated
(both P < 0.0001). Subgroup analyses among participants without interacting
medication use confirmed these results. In addition, participants with lower PRAL
had lower odds for hyperuricemia (OR: 0.60; 95% CI: 0.43, 0.83). Conclusions:
Apart from observing known dietary influences on SUA, we found in this population
based, cross-sectional study in adults that low PRAL may represent a potentially
SUA-reducing dietary pattern. This highlights dietary alkalization as a possible
nonpharmacologic option to influence elevated SUA concentrations.
PMID- 29378040
TI - Postprandial Metabolism and Appetite Do Not Differ between Lean Adults that Eat
Breakfast or Morning Fast for 6 Weeks.
AB - Background: It remains unknown whether sustained daily feeding-fasting patterns
modify the acute response to specific feedings on a given day. Objective: We
conducted a randomized controlled trial to establish if daily breakfast
consumption or fasting until noon modifies the acute metabolic and appetitive
responses to a fixed breakfast and ad libitum lunch. Methods: With the use of a
parallel group design, we randomly assigned 31 healthy, lean men and women (22-56
y) to 6 wk of either consuming >=700 kcal of self-selected items before 1100 or
fasting (0 kcal) until 1200 daily. Following 48 h of diet and physical activity
standardization, we examined metabolic and appetite responses to a standardized
breakfast and ad libitum lunch before and after the intervention. Data were
analyzed using 3- and 2-way ANCOVA. Results: Systemic concentrations of energy
balance regulatory hormones total and acylated ghrelin, leptin, and peptide
tyrosine-tyrosine) responded similarly to breakfast and lunch before and after 6
wk of either morning fasting or regular breakfast, with the exception of a
tendency for increased glucagon-like peptide-1 concentrations from baseline to
follow-up in the Breakfast Group compared with a decrease over that period in the
Fasting Group [P = 0.06, partial eta squared value (n2) = 0.16]. Subjective
appetite sensations also did not differ over the course of the day, and ad
libitum energy intake at lunch was not systematically affected by either
intervention, decreasing by 27 kcal (95% CI: -203, 149 kcal) with fasting and by
77 kcal (95% CI: -210, 56 kcal) with breakfast. Similarly, glycemic, insulinemic,
lipemic, and thermogenic responses to breakfast and lunch were very stable at
baseline and follow-up and, thus, did not differ between treatment groups.
Conclusions: Our results indicate that a sustained period of either extended
morning fasting or eating a daily breakfast has minimal effect upon acute
metabolic and appetite responses in lean adults. This trial was registered at
www.isrctn.org as ISRCTN31521726.
PMID- 29378041
TI - Better Diet Quality during Pregnancy Is Associated with a Reduced Likelihood of
an Infant Born Small for Gestational Age: An Analysis of the Prospective New
Hampshire Birth Cohort Study.
AB - Background: Birth weight has a U-shaped relation with chronic disease. Diet
quality during pregnancy may impact fetal growth and infant birth weight, yet
findings are inconclusive. Objective: We examined the relation between maternal
diet quality during pregnancy and infant birth size among women enrolled in a
prospective birth cohort. Methods: Women 18-45 y old with a singleton pregnancy
were recruited at 24-28 wk of gestation from prenatal clinics in New Hampshire.
Women completed a validated food frequency questionnaire at enrollment. Diet
quality was computed as adherence to the Alternative Healthy Eating Index. Infant
birth outcomes (sex, head circumference, weight, and length) were extracted from
medical records. Weight-for-length z scores, low birth weight, macrosomia, and
size for gestational age [small for gestational age (SGA) or large for
gestational age (LGA)] were computed. Multivariable regression models fit each
outcome on quartiles of diet quality, adjusted for covariates. Models were
computed overall and stratified by smoking status. Results: Analyses included 862
women and infants with complete data. Lower diet quality was associated with
lower maternal education, being a smoker, prepregnancy obesity status, and lack
of exercise during pregnancy. Overall, 3.4% of infants were born with a low birth
weight, 12.1% with macrosomia, 4.6% were SGA, and 8.7% were LGA. In an adjusted
model, increased diet quality appeared linearly associated with a reduced
likelihood of SGA (P-trend = 0.03), although each quartile comparison did not
reach statistical significance. Specifically, ORs for SGA were 0.89 (95% CI:
0.37, 2.15), 0.73 (95% CI: 0.28, 1.89), and 0.35 (95% CI: 0.11, 1.08) for each
increasing quartile of diet quality compared to the lowest quartile. Similar
trends for SGA were observed among non-smokers (n = 756; P-trend = 0.07). Also
among non-smokers, increased diet quality was associated with lower infant birth
weight (P-trend = 0.03) and a suggested reduction in macrosomia (P-trend = 0.07).
Conclusions: Increased diet quality during pregnancy was related to a reduced
risk of SGA in this cohort of pregnant women from New Hampshire. Additional
studies are needed to elucidate the relation between maternal diet quality and
macrosomia.
PMID- 29378042
TI - Vitamin E Status Is Inversely Associated with Risk of Incident Tuberculosis
Disease among Household Contacts.
AB - Background: Few studies have previously assessed how pre-existing vitamin E
status is associated with risk of tuberculosis (TB) disease progression.
Objective: We evaluated the association between baseline plasma concentrations of
3 vitamin E isomers (alpha-tocopherol, gamma-tocopherol, and delta-tocopherol)
and TB disease risk. Methods: We conducted a case-control study nested within a
longitudinal cohort of household contacts (HHCs) of pulmonary TB cases in Lima,
Peru. We defined cases as HHCs who developed active TB disease >=15 d after the
diagnosis of the index patient, and we matched each case to 4 control cases who
did not develop active TB based on age by year and gender. We used univariate and
multivariate conditional logistic regression to calculate ORs for incident TB
disease by plasma concentrations of alpha-tocopherol, gamma-tocopherol, and delta
tocopherol. Results: Among 6751 HIV-negative HHCs who provided baseline blood
samples, 180 developed secondary TB during follow-up. After controlling for
possible confounders, we found that baseline alpha-tocopherol deficiency
conferred increased risk of incident TB disease (adjusted OR: 1.59; 95% CI: 1.02,
2.50; P = 0.04). Household contacts in the lowest tertile of delta-tocopherol
were also at increased risk of progression to TB disease compared to those in the
highest tertile (tertile 1 compared with tertile 3, adjusted OR: 2.29; 95% CI:
1.29, 4.09; P-trend = 0.005). We found no association between baseline
concentration of gamma-tocopherol and incident TB disease. Conclusions: Vitamin E
deficiency was associated with an increased risk of progression to TB disease
among HHCs of index TB cases. Assessment of vitamin E status among individuals at
high risk for TB disease may play a role in TB control efforts.
PMID- 29378043
TI - The Socioeconomic Disparities in Intakes and Purchases of Less-Healthy Foods and
Beverages Have Changed over Time in Urban Mexico.
AB - Background: To our knowledge, the association between diet and socioeconomic
status (SES), using both purchase and intake data, in the Mexican population has
not been examined, which is particularly important given the high prevalence of
diet-related diseases in Mexico. Objective: Our objective was to examine the SES
diet relation using household food purchases and individual food intake data.
Methods: We analyzed purchases of packaged food and beverages of 5240 households
with the use of the 2012-2014 Nielsen Mexico Consumer Panel Service Dataset,
representative of urban areas. Likewise, we examined 9672 individuals over 2 y
with food and beverage intake information collected using a single 24-h recall as
part of the Mexican National Health and Nutrition Survey 2012. Multivariate
linear regression models were conducted to predict per capita daily purchases and
intakes of food and beverages classified as healthy and less healthy by SES, and
adjusting for sociodemographic variables. Results: Per capita daily purchases of
healthy and less-healthy foods were, on average, 142% and 55% higher in high-
than in low-SES households, respectively, from 2012 to 2014 (P < 0.05). Intakes
of healthy and less-healthy foods in urban areas were, on average, 7% and 136%
higher in high- than in low-SES groups (P < 0.05). Per capita daily purchases of
healthy beverages were, on average, 56% higher in high- than in low-SES
households from 2012 to 2014 (P < 0.05), whereas purchases of less-healthy
beverages were 27% and 17% higher in low- than in high-SES households in 2012 and
2014, respectively (P < 0.05). Per capita daily intake of healthy beverages was
33% higher in high- than in low-SES groups (P < 0.05). Conclusion: Higher-SES
groups from urban areas had greater purchases and intakes of less-healthy foods
and healthy beverages. Lower-SES households had greater purchases of less-healthy
beverages, but also had the largest reduction in these purchases from 2012 to
2014, which could be associated with the beverage tax implemented in Mexico in
2014.
PMID- 29378044
TI - Impact of Dietary Fiber Consumption on Insulin Resistance and the Prevention of
Type 2 Diabetes.
AB - Large prospective cohort studies consistently show associations of a high dietary
fiber intake (>25 g/d in women and >38 g/d in men) with a 20-30% reduced risk of
developing type 2 diabetes (T2D), after correction for confounders. It is less
well recognized that these effects appear to be mainly driven by high intakes of
whole grains and insoluble cereal fibers, which typically are nonviscous and do
not relevantly influence postprandial glucose responses [i.e., glycemic index
(GI)] or are strongly fermented by the gut microbiota in the colon. In contrast,
a dietary focus on soluble, viscous, gel-forming, more readily fermentable fiber
intakes derived from fruit and certain vegetables yields mixed results and
generally does not appear to reduce T2D risk. Although disentangling types of
fiber-rich foods and separating these from possible effects related to the GI is
an obvious challenge, the common conclusion that key metabolic effects of high
fiber intake are explained by mechanisms that should mainly apply to the soluble,
viscous type can be challenged. More recently, studies in humans and animal
models focused on gaining mechanistic insights into why especially high-cereal
fiber (HCF) diets appear to improve insulin resistance (IR) and diabetes risk.
Although effects of HCF diets on weight loss are only moderate and comparable to
other types of dietary fibers, possible novel mechanisms have emerged, which
include the prevention of the absorption of dietary protein and modulation of the
amino acid metabolic signature. Here we provide an update of our previous review
from 2008, with a focus on mechanistic insights of how HCF diets may improve IR
and the risk of developing T2D.
PMID- 29378045
TI - Infant Serum and Maternal Milk Vitamin B-12 Are Positively Correlated in Kenyan
Infant-Mother Dyads at 1-6 Months Postpartum, Irrespective of Infant Feeding
Practice.
AB - Background: Vitamin B-12 is an essential nutrient required for many functions
including DNA synthesis, erythropoiesis, and brain development. If maternal milk
vitamin B-12 concentrations are low, infants may face elevated risks of
deficiency when exclusively breastfed. Objective: We evaluated cross-sectional
associations between infant serum vitamin B-12 concentrations and maternal milk
vitamin B-12 concentrations at 1-6 mo postpartum among an unsupplemented
population in rural western Kenya, and assessed biological demographic, and
dietary characteristics associated with adequate infant serum vitamin B-12.
Methods: We modeled 1) infant serum vitamin B-12 using maternal milk vitamin B-12
concentration with linear regression; and 2) adequate (>220 pmol/L) infant serum
vitamin B-12 using hypothesized biological, demographic, and dietary predictors
with logistic regression. In both models, we used generalized estimating
equations to account for correlated observations at the cluster-level. Results:
The median (quartile 1, quartile 3) infant serum vitamin B-12 concentration was
276 pmol/L (193, 399 pmol/L) and approximately one-third of infants had serum
vitamin B-12 <=220 pmol/L, indicating that they were vitamin B-12 depleted or
deficient. There was a positive correlation between maternal milk and infant
serum vitamin B-12 (r = 0.36, P < 0.001) and in multivariable analyses, maternal
milk vitamin B-12 concentration was significantly associated with infant serum
vitamin B-12 adequacy (P-trend = 0.03). Conclusions: Despite a high prevalence
(90%) of maternal milk vitamin B-12 concentrations below the level used to
establish the Adequate Intake (<310 pmol/L), there was a low prevalence of infant
vitamin B-12 deficiency. We found few factors that were associated with infant
vitamin B-12 adequacy in this population, including infant feeding practices,
although maternal vitamin B-12 status was not measured. The contribution of
maternal milk to infant vitamin B-12 status remains important to quantify across
populations, given that maternal milk vitamin B-12 concentration is modifiable
with supplementation. This trial was registered at clinicaltrials.gov as
NCT01704105.
PMID- 29378047
TI - Early-Life Nutrition Is Associated Positively with Schooling and Labor Market
Outcomes and Negatively with Marriage Rates at Age 20-25 Years: Evidence from the
Andhra Pradesh Children and Parents Study (APCAPS) in India.
AB - Background: India's Integrated Child Development Services (ICDS) is among the
world's largest public nutritional programs, providing daily nutritional
supplements and other public health and educational services to pregnant and
nursing women, children aged <6 y, and adolescent girls. Objective: We estimated
the long-term association between early-childhood ICDS nutrition and adult
outcomes. Methods: We used follow-up data from a controlled nutritional trial
conducted during 1987-1990 in 29 villages near the city of Hyderabad. In 15
intervention villages, a balanced protein-calorie supplement-made from locally
available corn-soya ingredients and called upma-was offered to pregnant women and
to children <6 y old. No supplement was offered in the 14 control villages.
During 2010-2012, adults born during the trial were re-surveyed (n = 715 in the
intervention arm and n = 645 in the control arm). We used probit regression and
propensity score-matching methods to estimate the association between birth in an
intervention village and rates of secondary and graduate education completion,
marriage, and employment or enrollment in higher education of these adults.
Results: Adults born in the intervention group during the trial, compared with
the control group, were 9% (95% CI: 0.04, 0.14; P < 0.01) more likely to complete
secondary school and 11% (95% CI: 0.06, 0.15; P < 0.01) more likely to complete
graduate education, were 6% (95% CI: -0.11, -0.01; P < 0.05) less likely to be
ever-married at age 20-25 y, and were 5% (95% CI: 0, 0.11; P < 0.05) more likely
to be employed or enrolled in higher education. The estimated associations for
graduate education completion and employment-study rates were greater for men,
whereas the associations for secondary education and ever-married rates were
greater for women. Conclusion: Exposure to nutritional supplement in utero or
during the first 3 y of life was associated with improved adult educational and
employment outcomes and lower marriage rates in India.
PMID- 29378046
TI - A 10-Food Group Dietary Diversity Score Outperforms a 7-Food Group Score in
Characterizing Seasonal Variability and Micronutrient Adequacy in Rural Zambian
Children.
AB - Background: Dietary diversity scores and dichotomous indicators derived from them
are widely used to assess dietary quality, and specific scoring methods have been
recommended for women and 6- to 23-mo-old children. However, there is no specific
score recommended for older children and the effect of seasonal dietary changes
on score performance is not well documented. Objective: We assessed performance
of 2 recommended dietary diversity scores as indicators of dietary quality over 3
seasons. Methods: We conducted 7 repeat 24-h dietary recalls among 4- to 8-y-old
rural Zambian children (n = 200) over 6 mo. Dietary diversity was assessed using
a 7-food group score for assessing infant and young child feeding (DDS-IYCF) and
a 10-food group score for use among women of reproductive age (DDS-W).
Micronutrient intake adequacy was described by mean probability of adequacy (MPA)
over 11 micronutrients. Longitudinal models were fit to test the association
between each score and MPA overall and by season. Receiver operating
characteristic (ROC) curves were used to describe indicator performance of each
score. Results: Mean +/- SE scores were 4.11 +/- 0.03 for DDS-IYCF and 4.39 +/-
0.03 for DDS-W. Both scores varied by season, but DDS-W better reflected seasonal
dietary changes. Across seasons, MPA increased 1-6 percentage points/unit
increase in DDS-IYCF or 1-10 percentage points for DDS-W (P < 0.05). Score
performance as a predictor of MPA > 0.75 was moderate, with area under the ROC
curve values by season ranging from 0.63 to 0.77 for DDS-IYCF and from 0.66 to
0.72 for DDS-W. Conclusions: DDS-W performed better than DDS-IYCF in
characterizing seasonal variability and micronutrient adequacy among rural
Zambian children.
PMID- 29378048
TI - Associations between Diet Quality Scores and Risk of Postmenopausal Estrogen
Receptor-Negative Breast Cancer: A Systematic Review.
AB - Background: Estrogen receptor-negative (ER-) breast cancer tends to have poorer
prognosis than estrogen receptor-positive breast cancer. A number of studies have
examined the association between diet quality as measured by various diet quality
scores and the risk of ER- breast cancer. Objective: This systematic review aimed
to summarize existing evidence on this topic. Methods: Medline and Embase
searches were conducted until 2 April 2017 for cohort and case-control studies on
diet quality scores and ER- breast cancer. Results: We included 6 prospective
cohort studies and 1 case-control study on postmenopausal ER- breast cancer: 4
from Europe and 3 from the United States. There were 12 different diet quality
scores: 2 versions of the Dietary Inflammatory Index, 4 versions of the
Mediterranean diet score, the Healthy Eating Index, the Alternate Healthy Eating
Index, the Dietary Approaches to Stop Hypertension (DASH) score, and 3 versions
of low-carbohydrate diet scores that differentiate between different sources of
protein and fat. Of the 4 studies on Mediterranean diet scores, 3 showed a
significant inverse association with postmenopausal ER- breast cancer, whereas no
consistent association was observed with the other diet quality scores. Although
most diet quality scores in this review share similar food components, most of
the non-Mediterranean diet scores were represented by only 1 study each, and the
associations with postmenopausal ER- breast cancer were mixed. All studies were
adjusted for multiple covariates. Conclusion: This systematic review shows mixed
results for an association between a variety of diet quality scores and
postmenopausal ER- cancer. However, results from different versions of the
Mediterranean diet scores are more consistent and suggest an inverse relation
with ER- breast cancer.
PMID- 29378050
TI - Corrigendum for Takeda K et al. Effects of a fermented milk drink containing
Lactobacillus casei strain Shirota on the human NK-cell activity. J Nutr
2007;137(Suppl):791S-3S.
PMID- 29378049
TI - The delta13C Value of Fingerstick Blood Is a Valid, Reliable, and Sensitive
Biomarker of Sugar-Sweetened Beverage Intake in Children and Adolescents.
AB - Background: Reliance on self-reported dietary intake methods is a commonly cited
research limitation, and dietary misreporting is a particular problem in children
and adolescents. Objective indicators of dietary intake, such as dietary
biomarkers, are needed to overcome this research limitation. The added sugar (AS)
biomarker delta13C, which measures the relative abundance of 13C to 12C, has
demonstrated preliminary validity in adults. Objective: The purpose of this
investigation was to determine the comparative validity, test-retest reliability,
and sensitivity of the delta13C biomarker to detect AS and sugar-sweetened
beverage (SSB) intake using fingerstick blood samples in children and
adolescents. Methods: Children (aged 6-11 y, n = 126, 56% male, mean +/- SD age:
9 +/- 2 y) and adolescents (aged 12-18 y, n = 200, 44% male, mean +/- SD age: 15
+/- 2 y) completed 4 testing sessions within a 3-wk period. Participants' height,
weight, demographic characteristics, and health history were determined at the
first session; 24-h recalls were obtained at each visit and fingerstick blood
samples were collected at visits 1 and 3. Samples were analyzed for delta13C
value using natural abundance stable isotope mass spectrometry. delta13C value
was compared with dietary outcomes in the full sample, and in child and
adolescent subgroups. t Tests and correlational analyses were used to assess
biomarker validity and reliability, whereas logistic regression and area under
the receiver-operator characteristic curve (AUC) were used to evaluate
sensitivity. Results: Reported mean +/- SD AS consumption was 82.2 +/- 35.8 g/d
and 329 +/- 143 kcal/d, and SSB consumption was 222 +/- 243 mL/d and 98 +/- 103
kcal/d. Mean delta13C value was -19.65 +/- 0.690/00, and was lower in children
than in adolescents (-19.80 +/- 0.670/00 compared with -19.56 +/- 0.670/00, P =
0.002). delta13C values were similar across sessions (visit 1: -19.66 +/-
0.680/00; visit 3: -19.64 +/- 0.680/00; r = 0.99, P < 0.001) and were associated
(P < 0.001) with intake of total AS (grams, kilocalories: r = 0.29) and SSB
(milliliters, kilocalories: r = 0.35). The biomarker was able to better
discriminate between high and low SSB consumers than high and low AS consumers,
as demonstrated by the AUC (0.75 and 0.62, respectively). Conclusions: The
delta13C biomarker is a promising, minimally invasive, objective biomarker of SSB
intake in children and adolescents. Further evaluation using controlled feeding
designs is warranted. Registered at clinicaltrials.gov as NCT02455388.
PMID- 29378051
TI - Short-Term Overfeeding with Dairy Cream Does Not Modify Gut Permeability, the
Fecal Microbiota, or Glucose Metabolism in Young Healthy Men.
AB - Background: High-fat diets (HFDs) have been linked to low-grade inflammation and
insulin resistance. Objective: The main purpose of the present study was to
assess whether acute overfeeding with an HFD affects insulin sensitivity, gut
barrier function, and fecal microbiota in humans. Methods: In a prospective
intervention study, 24 healthy men [mean +/- SD: age 23.0 +/- 2.8 y, body mass
index (in kg/m2) 23.0 +/- 2.1] received an HFD (48% of energy from fat) with an
additional 1000 kcal/d (as whipping cream) above their calculated energy
expenditure for 7 d. Insulin sensitivity (hyperinsulinemic euglycemic clamp), gut
permeability (sugar and polyethylene glycol absorption tests, plasma zonulin),
and gut microbiota profiles (high-throughput 16S rRNA gene sequencing) were
assessed before and after overfeeding, and 14 d after intervention. Additionally,
inflammation markers such as high-sensitivity C-reactive protein,
lipopolysaccharide-binding protein, leptin, high-molecular-weight adiponectin,
calprotectin, regulated on activation normal, T cell expressed and secreted
(RANTES), and monocyte chemoattractant protein-1 were measured in plasma by
ELISA. Finally, lipid parameters were analyzed in serum by a laboratory service.
Results: Although participants gained 0.9 +/- 0.6 kg (P < 0.001) body weight,
overnutrition was not associated with a significant change in insulin sensitivity
(M value and glucose disposal). Overfeeding for 7 d resulted in elevated serum
total (10.2%), LDL (14.6%) and HDL (14.8%) cholesterol concentrations (P < 0.01).
In contrast, fasting plasma triglyceride significantly declined (29.3%) during
overfeeding (P < 0.001). In addition, there were no significant changes in
inflammatory markers. Urine excretion of 4 sugars and polyethylene glycol, used
as a proxy for gut permeability, and plasma concentration of zonulin, a marker of
paracellular gut permeability, were unchanged. Moreover, overfeeding was not
associated with consistent changes in gut microbiota profiles, but marked
alterations were observed in a subgroup of 6 individuals. Conclusions: Our
findings suggest that short-term overfeeding with an HFD does not significantly
impair insulin sensitivity and gut permeability in normal-weight healthy men, and
that changes in dominant communities of fecal bacteria occur only in certain
individuals. The study was registered in the German Clinical Trial Register as
DRKS00006211.
PMID- 29378052
TI - Soda Intake Is Directly Associated with Serum C-Reactive Protein Concentration in
Mexican Women.
AB - Background: Soda intake is associated with an increased risk of cardiovascular
disease. Consumption of diet sodas, often considered healthy alternatives to
sodas, could also increase the likelihood of cardiovascular outcomes. Objective:
This study aims to evaluate the relation between soda and diet soda and
biomarkers of cardiovascular risk. Methods: We conducted a cross-sectional
analysis among 825 Mexican women free of diabetes, cardiovascular disease, and
cancer, and for whom serum concentrations of C-reactive protein (CRP), C-peptide,
adiponectin, and leptin were available. Mean +/- SD age was 45.9 +/- 6.6 y, the
majority of women were premenopausal (60.4%), and the prevalence of obesity was
35%. We estimated the adjusted percentage differences in biomarkers and 95% CIs
by performing multiple linear regression models comparing categories of
consumption for soda and diet soda adjusting for age, family history of heart
disease, menopause, menopausal hormone therapy, socioeconomic status, region,
smoking, physical activity, alcohol intake, and dietary patterns. Results: In the
entire study sample we observed a 50% higher serum CRP concentration in women in
the highest soda intake quartile (median intake: 202.9 mL/d, IQR: 101.4, 304.3
mL/d) compared to those in the lowest (median intake: 11.8 mL/d, IQR: 0.0, 152.1
mL/d). After stratification by menopausal status, results remained significant
only for premenopausal women. Premenopausal women in the highest quartile of soda
intake had 56% higher CRP concentration relative to women in the lowest quartile.
We observed no significant association with the other biomarkers. After further
adjustment for body mass index, a potential mediator, results remained
significant only for CRP. Diet soda consumption was not associated with any of
the biomarkers. Conclusions: Consumption of soda was associated with adverse
levels in a biomarker of inflammation and cardiovascular risk, serum CRP, in
Mexican women. These results add to the accumulating evidence on soda and
cardiovascular risk. More research is necessary to understand the potential
impact of artificially sweetened sodas.
PMID- 29378055
TI - Fructose Feeding during the Postabsorptive State Alters Body Composition and
Spares Nitrogen in Protein-Energy-Restricted Old Rats.
AB - Background: Fructose feeding in the context of high energy intake is recognized
as being responsible for metabolic dysregulation. However, its consumption in the
postabsorptive state might contribute to reducing the use of amino acids (AAs) as
energy substrates and thus spare nitrogen resources, which could be beneficial
during catabolic states. Objective: We hypothesized that fructose feeding during
a catabolic situation corresponding to protein-energy restriction (PER) in older
rats would reduce AA utilization for energy purposes, thus slowing down the loss
of body weight (BW) and improving body composition. Methods: For 45 d, 22-mo-old
male Wistar rats (average weight: 716 g) were fed a control ration (13% protein)
either at normal (20 g/d), restricted (PER: 10 g/d), or at PER levels
supplemented with glucose (3 g/d) or fructose (3 g/d) and then studied in the
postabsorptive state. We measured BW, body composition, and enzyme activities and
metabolite concentrations related to glucose, fructose, and AA metabolism.
Results: Both glucose and fructose feeding reduced PER-induced loss of BW and
lean mass (-27% compared with PER), but only fructose reduced the loss of fat
mass (-28% compared with PER). Fructose feeding prevented the PER-induced loss of
muscle and intestinal mass. Fructose feeding also reduced circulating branched
chain AA concentrations by 50% (compared with PER) and increased those of alanine
(+65% compared with PER). A reduction in hepatic enzymes related to AA catabolism
was also observed during fructose feeding (compared with PER), whereas glycogen
concentrations were enhanced in both intestine (+300%) and muscle (+21%).
Conclusions: We showed that in PER older rats, fructose feeding improved body
composition and the weight of several organs by reducing AA catabolism and
utilization for energy production and liver autophagy potential. This could be
advantageous in sparing body proteins, particularly during catabolic states, such
as those related to malnutrition during aging.
PMID- 29378054
TI - RNase H2-Dependent Polymerase Chain Reaction and Elimination of Confounders in
Sample Collection, Storage, and Analysis Strengthen Evidence That microRNAs in
Bovine Milk Are Bioavailable in Humans.
AB - Background: Evidence suggests that dietary microRNAs (miRs) are bioavailable and
regulate gene expression across species boundaries. Concerns were raised that the
detection of dietary miRs in plasma might have been due to sample contamination
or lack of assay specificity. Objectives: The objectives of this study were to
assess potential confounders of plasma miR analysis and to detect miRs from
bovine milk in human plasma. Methods: Potential confounders of plasma miR
analysis (circadian rhythm, sample collection and storage, calibration, and
erythrocyte hemolysis) were assessed by quantitative reverse transcriptase
polymerase chain reaction (PCR) by using blood from healthy adults (7 men, 6
women; aged 23-57 y). Bovine miRs were analyzed by RNase H2-dependent PCR (rhPCR)
in plasma collected from a subcohort of 11 participants before and 6 h after
consumption of 1.0 L of 1%-fat bovine milk. Results: The use of heparin tubes for
blood collection resulted in a complete loss of miRs. Circadian variations did
not affect the concentrations of 8 select miRs. Erythrocyte hemolysis caused
artifacts for some miRs if plasma absorbance at 414 nm was >0.300. The stability
of plasma miRs depended greatly on the matrix in which the miRs were stored and
whether the plasma was frozen before analysis. Purified miR-16, miR-200c, and cel
miR-39 were stable for <=24 h at room temperature, whereas losses equaled <=80%
if plasma was frozen, thawed, and stored at room temperature for as little as 4
h. rhPCR distinguished between bovine and human miRs with small variations in the
nucleotide sequence; plasma concentrations of Bos taurus (bta)-miR-21-5p and bta
miR-30a-5p were >100% higher 6 h after milk consumption than before milk
consumption. Conclusions: Confounders in plasma miR analysis include the use of
heparin tubes, erythrocyte hemolysis, and storage of thawed plasma at room
temperature. rhPCR is a useful tool to detect dietary miRs.
PMID- 29378053
TI - Lutein Is Differentially Deposited across Brain Regions following Formula or
Breast Feeding of Infant Rhesus Macaques.
AB - Background: Lutein, a yellow xanthophyll, selectively accumulates in primate
retina and brain. Lutein may play a critical role in neural and retinal
development, but few studies have investigated the impact of dietary source on
its bioaccumulation in infants. Objective: We explored the bioaccumulation of
lutein in infant rhesus macaques following breastfeeding or formula-feeding.
Methods: From birth to 6 mo of age, male and female rhesus macaques (Macaca
mulatta) were either breastfed (BF) (n = 8), fed a formula supplemented with
lutein, zeaxanthin, beta-carotene, and lycopene (237, 19.0, 74.2, and 338
nmol/kg, supplemented formula-fed; SF) (n = 8), or fed a formula with low amounts
of these carotenoids (38.6, 2.3, 21.5, and 0 nmol/kg, unsupplemented formula-fed;
UF) (n = 7). The concentrations of carotenoids in serum and tissues were analyzed
by HPLC. Results: At 6 mo of age, the BF group exhibited significantly higher
lutein concentrations in serum, all brain regions, macular and peripheral retina,
adipose tissue, liver, and other tissues compared to both formula-fed groups (P <
0.001). Lutein concentrations were higher in the SF group than in the UF group in
serum and all tissues, with the exception of macular retina. Lutein was
differentially distributed across brain areas, with the highest concentrations in
the occipital cortex, regardless of the diet. Zeaxanthin was present in all brain
regions but only in the BF infants; it was present in both retinal regions in all
groups but was significantly enhanced in BF infants compared to either formula
group (P < 0.001). beta-Carotene accumulated across brain regions in all groups,
but was not detected in retina. Although lycopene was found in many tissues of
the SF group, it was not detected in the brain or retina. Conclusions: Although
carotenoid supplementation of infant formula significantly increased serum and
tissue lutein concentrations compared to unsupplemented formula, concentrations
were still well below those in BF infants. Regardless of diet, occipital cortex
showed selectively higher lutein deposition than other brain regions, suggesting
lutein's role in visual processing in early life.
PMID- 29378056
TI - Lysine Requirements of Healthy Pregnant Women are Higher During Late Stages of
Gestation Compared to Early Gestation.
AB - Background: Lysine is the first limiting amino acid in cereal proteins and is
found mainly in animal-derived products. Current Dietary Reference Intake (DRI)
recommendations extrapolate lysine requirements during pregnancy from nonpregnant
adult data, and may underestimate true requirements. Objective: Our objective is
to define a quantitative lysine requirement in healthy pregnant women and to
determine whether requirements vary between 2 phases of gestation. Methods:
Fourteen pregnant women in early (12-19 wk) and 19 women in late (33-39 wk)
gestation were studied using the indicator amino acid oxidation technique.
Individual lysine intakes (6-84 mg . kg-1 . d-1, deficient to excess) were tested
on each study day as a crystalline amino acid mixture based on egg protein
composition. Isonitrogenous diets maintained protein intake at 1.5 g . kg-1 . d-1
and calorie intake at 1.7 times resting energy expenditure during each study day.
Phenylalanine and tyrosine intakes were held constant across all lysine intakes.
Breath and urine samples were collected at baseline and isotopic steady state.
Lysine requirements were determined by measuring the oxidation of L-[1-13C]
phenylalanine to 13CO2 (F13CO2). Biphase linear regression crossover analysis was
used to determine a breakpoint (which represents the estimated average
requirement, EAR) in F13CO2. Results: The EAR for lysine during early gestation
was determined to be 36.6 mg . kg-1 . d-1 (R2 = 0.484, upper 95% CI = 46.2 mg .
kg-1 . d-1), similar to an earlier adult requirement of 36 mg . kg-1 . d-1. The
EAR for lysine during late gestation was determined to be 50.3 mg . kg-1 . d-1
(R2 = 0.664, upper 95% CI = 60.4 mg . kg-1 . d-1), 23% higher than the current
pregnancy DRI EAR recommendation of 41 mg . kg-1 . d-1. Conclusions: Our results
suggest that lysine requirements are higher during late gestation compared to
early gestation, and that current dietary lysine recommendations during late
stages of pregnancy may be underestimated. The results have implications for
populations consuming cereal-based diets as their primary source of protein. This
trial was registered at clinicaltrials.gov as NCT01776931.
PMID- 29378058
TI - Long-Term Effects of Nutritional Supplementation in Childhood.
PMID- 29378059
TI - Uptake of Dietary Milk microRNAs by Adult Humans: Rules for the Game of Hide and
Seek.
PMID- 29378060
TI - How Does Breast Milk Enhance Lutein Absorption?
PMID- 29378061
TI - Young Adult Outcomes for Children With 22q11 Deletion Syndrome and Comorbid ADHD.
AB - Background: 22q11.2 deletion syndrome (22q11DS) is a common microdeletion
syndrome associated with a variety of negative health, cognitive, emotional, and
behavioral outcomes. 22q11DS is comorbid with many psychiatric disorders
including attention-deficit/hyperactivity disorder (ADHD). The current study
aimed to investigate the cognitive, behavioral, and functional outcomes that a
childhood ADHD diagnosis predicts to in adulthood. Methods: This longitudinal
study followed 52 individuals with 22q11DS over 9 years. Childhood ADHD was
operationalized both categorically (Diagnostic and statistical manual - 4th
edition (DSM-IV) ADHD diagnoses) and dimensionally (inattentive and hyperactive
impulsive symptoms) and was tested as predictors of young adult outcomes.
Results: As young adults, children with 22q11DS + baseline ADHD had more parent
reported executive dysfunction and lower levels of clinician-rated overall
functioning than those with 22q11DS yet without ADHD. Dimensional symptoms of
ADHD in childhood did not predict young adult outcomes. No self-report
differences emerged between those with and without baseline ADHD. The majority
(82.4%) of individuals with 22q11DS + baseline ADHD were never treated with an
ADHD medication. Conclusions: A categorical diagnosis of ADHD in childhood
predicted a greater variety of worse outcomes than dimensional levels of ADHD
symptoms. Despite the significant impact of comorbid ADHD in 22q11DS, evidence
based treatment rates were low.
PMID- 29378062
TI - Medication class enrichment analysis: a novel algorithm to analyze multiple
pharmacologic exposures simultaneously using electronic health record data.
AB - Objective: Observational studies analyzing multiple exposures simultaneously have
been limited by difficulty distinguishing relevant results from chance
associations due to poor specificity. Set-based methods have been successfully
used in genomics to improve signal-to-noise ratio. We present and demonstrate
medication class enrichment analysis (MCEA), a signal-to-noise enhancement
algorithm for observational data inspired by set-based methods. Materials and
Methods: We used The Health Improvement Network database to study medications
associated with Clostridium difficile infection (CDI). We performed case-control
studies for each medication in The Health Improvement Network to obtain odds
ratios (ORs) for association with CDI. We then calculated the association of each
pharmacologic class with CDI using logistic regression and MCEA. We also
performed simulation studies in which we assessed the sensitivity and specificity
of logistic regression compared to MCEA for ORs 0.1-2.0. Results: When analyzing
pharmacologic classes using logistic regression, 47 of 110 pharmacologic classes
were identified as associated with CDI. When analyzing pharmacologic classes
using MCEA, only fluoroquinolones, a class of antibiotics with biologically
confirmed causation, and heparin products were associated with CDI. In
simulation, MCEA had superior specificity compared to logistic regression across
all tested effect sizes and equal or better sensitivity for all effect sizes
besides those close to null. Discussion: Although these results demonstrate the
promise of MCEA, additional studies that include inpatient administered
medications are necessary for validation of the algorithm. Conclusions: In
clinical and simulation studies, MCEA demonstrated superior sensitivity and
specificity for identifying pharmacologic classes associated with CDI compared to
logistic regression.
PMID- 29378063
TI - PHASE DEPENDENT OPTICALLY STIMULATED LUMINESCENCE IN CU-DOPED Sr4Si3O8Cl4.
AB - Phase dependent optically stimulated luminescence (OSL) is studied in Cu-doped
Sr4Si3O8Cl4. The Study shows that samples in which amount of contributing
strontium metasilicate phase in Cu-doped Sr4Si3O8Cl4 is less, show intense OSL
while those samples in which strontium metasilicate phase is more show weak OSL.
The observed Cu luminescence is also found to be phase dependent. Sample in which
Sr4Si3O8Cl4 phase is dominant, the observed Cu luminescence is around 350 nm
whereas an additional longer wavelength band around 450 nm is observed when the
strontium metasilicate phase is present in significant amount. The relatively
phase pure, Cu-doped Sr4Si3O8Cl4 shows good OSL properties. The sensitivity of
such material is 3.89 times more compared to commercial Al2O3:C (Landauer Inc.).
High sensitivity, good linearity and reusability, along with low fading make this
material as good OSL phosphor and may find applications in OSL based radiation
dosimetry.
PMID- 29378064
TI - PERFORMANCE OF THE VARSKIN 5 (v5.3) ELECTRON DOSIMETRY MODEL.
AB - A new electron skin dosimetry model was developed for the VARSKIN 5 tissue
dosimetry code. This model employs energy deposition kernels that provides for
improved accuracy of energy deposition at the end of electron tracks. The Monte
Carlo code EGSnrc was utilized to develop these energy deposition kernels such
that scaling of electron energy loss is dependent on effective atomic number and
density of the source material, electron range and conservation of energy. This
work contrasts VARSKIN's electron dosimetry model to several existing
deterministic and Monte Carlo dosimetry tools to determine the efficacy of these
improvements. Comparison results are given for a wide range of scenarios that
extend beyond the typical use of VARSKIN, including mono-energetic electrons and
a homogenous water medium. For planar and point sources in contact with the skin,
VARSKIN produces results equated to other dosimetry methods within 10%. However,
it appears that VARSKIN is unable to account accurately for electron energy loss
with the introduction of a cover material or an air gap. The comparisons herein
confirm that VARSKIN provides accurate electron dose calculations for skin
contamination scenarios.
PMID- 29378065
TI - Genome-wide association study uncovers a novel QTL allele of AtS40-3 that affects
the sex ratio of cyst nematodes in Arabidopsis.
AB - Plant-parasitic cyst nematodes are obligate sedentary parasites that infect the
roots of a broad range of host plants. Cyst nematodes are sexually dimorphic, but
differentiation into male or female is strongly influenced by interactions with
the host environment. Female populations typically predominate under favorable
conditions, whereas male populations predominate under adverse conditions. Here,
we performed a genome-wide association study (GWAS) in an Arabidopsis diversity
panel to identify host loci underlying variation in susceptibility to cyst
nematode infection. Three different susceptibility parameters were examined, with
the aim of providing insights into the infection process, the number of females
and males present in the infected plant, and the female-to-male sex ratio. GWAS
results suggested that variation in sex ratio is associated with a novel
quantitative trait locus allele on chromosome 4. Subsequent candidate genes and
functional analyses revealed that a senescence-associated transcription factor,
AtS40-3, and PPR may act in combination to influence nematode sex ratio. A
detailed molecular characterization revealed that variation in nematode sex ratio
was due to the disturbed common promoter of AtS40-3 and PPR genes. Additionally,
single nucleotide polymorphisms in the coding sequence of AtS40-3 might
contribute to the natural variation in nematode sex ratio.
PMID- 29378066
TI - Free and bound cortisol in plasma and saliva during ACTH challenge in dairy cows
and horses.
AB - Cortisol levels reflect hypothalamic-pituitary-adrenocortical (HPA) axis
activity. While most plasma cortisol is supposed to be bound to the soluble
corticosteroid-binding globulin (CBG), only free cortisol (FC) actively regulates
metabolic and immunological processes. We aimed to establish a multispecies
suitable method to assess FC in cows and horses which in combination with total
cortisol (TC) allows interpreting proportional changes of cortisol in saliva as
well as in blood in response to a standardized HPA axis activation via ACTH. We
further investigated if the ratios of cortisol fractions as obtained at basal
levels in healthy horses (herbivorous and monogastric) and dairy cows
(herbivorous and ruminant) change during HPA axis activation, and to which extent
saliva cortisol (SC) is representative for alterations in plasma FC and adrenal
cortex reactivity. However, it was not the objective of the present study to
directly compare the two species. Dosages of ACTH applied in cows and horses were
based on published data. Synthetic ACTH was intravenously administered to eight
dairy cows (0.16 ug/kg BW) and five horses (1 ug/kg BW). Blood and saliva were
collected every 30 min for 3 h from a jugular vein catheter, and analyzed for TC
and SC, the ratio of free cortisol (rFC), and the concentration of FC (cFC) in
plasma. During the entire sampling period of the ACTH test, plasma TC was
paralleled by blood cFC, rFC, and SC in both cows and horses. All cortisol
fractions increased within 30 min of ACTH administration compared to basal values
(0 min, P < 0.05). Peak TC concentration reached 63.2 +/- 9.6 ng/mL and 73.2 +/-
11.8 ng/mL in bovine and equine plasma, respectively. Peak values of rFC averaged
17.9 +/- 4.5% in cows and 19.2 +/- 7.8% in horses. The ratio of SC to cFC in
horses remained similar during the ACTH challenge suggesting that SC is recruited
from plasma FC. However, SC increased less compared to plasma TC and FC during
HPA axis activation in cows. In conclusion, the short-term activation of the HPA
axis caused not only an elevation of TC, but also a similar increase of rFC in
both species. SC closely reflected changes of FC in horses, but less accurately
in cows. The concomitant evaluation of changes among cortisol fractions might
give further indications on adaptation mechanisms in glucocorticoid regulation as
well as differentiate cortisol-related health disorders.
PMID- 29378067
TI - Associations of polymorphisms in the cytokine genes IL1beta (rs16944), IL6
(rs1800795), IL12b (rs3212227) and growth factor VEGFA (rs2010963) with
anthracosilicosis in coal miners in Russia and related genotoxic effects.
AB - Anthracosilicosis (AS), a prevalent form of pneumoconiosis among coal miners,
results from the accumulation of carbon and silica in the lungs from inhaled coal
dust. This study investigated genotoxic effects and certain cytokine genes
polymorphic variants in Russian coal miners with AS. Peripheral leukocytes were
sampled from 129 patients with AS confirmed by X-ray and tissue biopsy and from
164 asymptomatic coal miners. Four single-nucleotide polymorphisms were genotyped
in the extracted DNA samples: IL1beta T-511C (rs16944), IL6 C-174G (rs1800795),
IL12b A1188C (rs3212227) and VEGFA C634G (rs2010963). Genotoxic effects were
assessed by the analysis of chromosome aberrations in cultured peripheral
lymphocytes. The mean frequency of chromatid-type aberrations and chromosome-type
aberrations, namely, chromatid-type breaks and dicentric chromosomes, was found
to be higher in AS patients [3.70 (95% confidence interval {CI}, 3.29-4.10) and
0.28 (95% CI, 0.17-0.38)] compared to the control group [2.41 (95% CI, 2.00-2.82)
and 0.09 (95% CI, 0.03-0.15)], respectively. IL1beta gene T/T genotype (rs16944)
was associated with AS [17.83% in AS patients against 4.35% in healthy donors,
odds ratio = 4.77 (1.88-12.15), P < 0.01]. A significant increase in the level of
certain chromosome interchanges among AS donors is of interest because such
effects are typical for radiation damage and caused by acute oxidative stress.
IL1beta T allele probably may be considered as an AS susceptibility factor among
coal miners.
PMID- 29378068
TI - MONTE CARLO SIMULATIONS OF SPATIAL LET DISTRIBUTIONS IN CLINICAL PROTON BEAMS.
AB - The linear energy transfer (LET) is commonly used as a parameter which describes
the quality of the radiation applied in radiation therapy with fast ions. In
particular in proton therapy, most models which predict the radiobiological
properties of the applied beam, are fitted to the dose-averaged LET, LETd. The
related parameter called the fluence- or track-averaged LET, LETt, is less
frequently used. Both LETt and in particular LETd depends profoundly on the
encountered secondary particle spectrum. For proton beams including all secondary
particles, LETd may reach more than 3 keV/um in the entry channel of the proton
field. However, typically the charged particle spectrum is only averaged over the
primary and secondary protons, which is in the order of 0.5 keV/um for the same
region. This is equal to assuming that the secondary particle spectrum from
heavier ions is irrelevant for the resulting radiobiology, which is an assertion
in the need of closer investigation. Models which rely on LETd should also be
clear on what type of LETd is used, which is not always the case. Within this
work, we have extended the Monte Carlo particle transport code SHIELD-HIT12A to
provide dose- and track-average LET-maps for ion radiation therapy treatment
plans.
PMID- 29378070
TI - From the Cover: Inhibitors of Nicotinamide Phosphoribosyltransferase Cause
Retinal Damage in Larval Zebrafish.
AB - Nicotinamide phosphoribosyltransferase (NAMPT) has been investigated as a target
for oncology because it catalyzes a rate-limiting step in cellular energy
metabolism to produce nicotinamide adenine dinucleotide. Small molecule
inhibitors of NAMPT have been promising drug candidates but preclinical
development has been hindered due to associated retinal toxicity. Here we
demonstrate that larval zebrafish can predict retinal toxicity associated with
this mechanism revealing an attractive alternative method for identifying such
toxicities. Zebrafish permit higher throughput testing while using far lower
quantities of test article compared with mammalian systems. NAMPT inhibitor
associated toxicity manifested in zebrafish as a loss of response to visual cues
compared with auditory cues. Zebrafish retinal damage associated with NAMPT
inhibitor treatment was confirmed through histopathology. Ranking 6 NAMPT
inhibitors according to their impact on zebrafish vision revealed a positive
correlation with their in vitro potencies on human tumor cells. This correlation
indicates translatable pharmacodynamics between zebrafish and human NAMPT and is
consistent with on-target activity as the cause of retinal toxicity associated
with NAMPT inhibition. Together, these data illustrate the utility of zebrafish
for identifying compounds that may cause ocular toxicity in mammals, and,
likewise, for accelerating development of compounds with improved safety margins.
PMID- 29378069
TI - The Impact of Novel Assessment Methodologies in Toxicology on Green Chemistry and
Chemical Alternatives.
AB - The field of experimental toxicology is rapidly advancing by incorporating novel
techniques and methods that provide a much more granular view into the mechanisms
of potential adverse effects of chemical exposures on human health. The data from
various in vitro assays and computational models are useful not only for
increasing confidence in hazard and risk decisions, but also are enabling better,
faster and cheaper assessment of a greater number of compounds, mixtures, and
complex products. This is of special value to the field of green chemistry where
design of new materials or alternative uses of existing ones is driven, at least
in part, by considerations of safety. This article reviews the state of the
science and decision-making in scenarios when little to no data may be available
to draw conclusions about which choice in green chemistry is "safer." It is clear
that there is no "one size fits all" solution and multiple data streams need to
be weighed in making a decision. Moreover, the overall level of familiarity of
the decision-makers and scientists alike with new assessment methodologies, their
validity, value and limitations is evolving. Thus, while the "impact" of the new
developments in toxicology on the field of green chemistry is great already, it
is premature to conclude that the data from new assessment methodologies have
been widely accepted yet.
PMID- 29378071
TI - A Farewell to Harms: The Audacity to Design Safer Products.
PMID- 29378072
TI - Person-Centered Care for LGBT Older Adults.
PMID- 29378076
TI - Management of Dissociated Vertical Deviation.
PMID- 29378075
TI - Evidence-Based Practice Guideline: Delirium.
AB - Delirium is a common cause of morbidity and mortality in hospitalized older
adults often superimposed on dementia. Older patients with delirium are more
likely than other populations to develop hospital-acquired infections, pressure
ulcers, and immobility and nutritional issues, as well as to have increased
health care costs, longer hospital stays, and long-term care following discharge.
Interventions that prevent or mitigate the effects of delirium while promoting
recovery are essential for caring for hospitalized older patients. This article
is a summary of an evidence-based guideline that includes a framework for
addressing delirium that focuses on predisposing and precipitating factors for
delirium. In addition, the guideline includes evidence-based assessment and
intervention principles, along with a review of reliable and valid assessment
instruments. The guideline also identifies measurable outcomes for managing
delirium and a quality improvement approach for improving outcomes. [Journal of
Gerontological Nursing, 44(2), 14-24.].
PMID- 29378077
TI - "My Baby's Right Eye Is Red".
PMID- 29378078
TI - The Roundabout Way of Finding the Right Target.
PMID- 29378079
TI - Treatment of Small Angle Hypertropia With Inferior Oblique Overaction.
PMID- 29378080
TI - Erratum for "Comparison of Minimally Invasive Strabismus (MISS) and Conventional
Strabismus Surgery Using the Limbal Approach".
PMID- 29378081
TI - Pediatric Infectious Endophthalmitis: A Case Series.
PMID- 29378082
TI - 2017 Reviewers.
PMID- 29378083
TI - Torpedo Maculopathy.
PMID- 29378085
TI - Direct Reductive N-Functionalization of Aliphatic Nitro Compounds.
AB - The first general protocol for the direct reductive N-functionalization of
aliphatic nitro compounds is presented. The nitro group is partially reduced to a
nitrenoid, with a mild and readily available combination of B2 pin2 and zinc
organyls. Thereby, the formation of an unstable nitroso intermediate is avoided,
which has so far severely limited reductive transformations of aliphatic nitro
compounds. The reaction is concluded by an electrophilic amination of zinc
organyls.
PMID- 29378084
TI - Pregnancy Weight Gain by Gestational Age in Women with Uncomplicated Dichorionic
Twin Pregnancies.
AB - BACKGROUND: Twin pregnancies are at increased risk for adverse outcomes and are
associated with greater gestational weight gain compared to singleton
pregnancies. Studies that disentangle the relationship between gestational
duration, weight gain and adverse outcomes are needed to inform weight gain
guidelines. We created charts of the mean, standard deviation and select
percentiles of maternal weight gain-for-gestational age in twin pregnancies and
compared them to singleton curves. METHODS: We abstracted serial prenatal weight
measurements of women delivering uncomplicated twin pregnancies at Magee-Womens
Hospital (Pittsburgh, PA, 1998-2013) and merged them with the hospital's
perinatal database. Hierarchical linear regression was used to express pregnancy
weight gain as a smoothed function of gestational age according to pre-pregnancy
BMI category. Charts of week- and day-specific values for the mean, standard
deviation, and percentiles of maternal weight gain were created. RESULTS:
Prenatal weight measurements (median: 11 [interquartile range: 9, 13] per woman)
were available for 1109 women (573 normal weight, 287 overweight, and 249 obese).
The slope of weight gain was most pronounced in normal weight women and flattened
with increasing pre-pregnancy BMI (e.g. 50th percentiles of 6.8, 5.7, and 3.6 kg
at 20 weeks and 19.8, 18.1, and 14.4 at 37 weeks in normal weight, overweight,
and obese women, respectively). Weight gain patterns in twins diverged from
singletons after 17-19 weeks. CONCLUSIONS: Our charts provide a tool for the
classification of maternal weight gain in twin pregnancies. Future work is needed
to identify the range of weight gain associated with optimal pregnancy health
outcomes.
PMID- 29378086
TI - Transient-Ligand-Enabled ortho-Arylation of Five-Membered Heterocycles: Facile
Access to Mechanochromic Materials.
AB - Reported herein is the first example of a direct arylation of heteroarenes by a
transient-ligand-directed strategy without the need to construct and deconstruct
the directing group. A wide range of heteroarenes undergoes the coupling with
diverse aryl iodides to assemble a large library of highly selective and
functionalized 3-arylthiophene-2-carbaldehydes. This route provides an
opportunity to rapidly access new mechanofluorochromic materials. Moreover, a
novel strategy for mechanochromic luminogens with chromism trends of red- and
blue-shifts has been disclosed for the first time by facile functional-group
modifications to a common structural core.
PMID- 29378087
TI - Advances in ginsenoside biosynthesis and metabolic regulation.
AB - In this paper, we reviewed the advances in ginsenoside biosynthesis and metabolic
regulation. To begin with, the application of elicitors in the ginsenoside
biosynthesis was discussed. Methyl jasmonate (MJ) and analogues have the best
effect on accumulation of ginsenoside compared with other elicitors, and few
biotic elicitors are applied in Panax genus plants tissue culture. In addition,
so far, more than 40 genes encoding ginsenoside biosynthesis related enzymes have
been cloned and identified from Panax genus, such as UDP-glycosyltransferases
(UGT) genes UDPG, UGTAE2, UGT94Q2, UGTPg100, and UGTPg1. However, the downstream
pathway of the ginsenoside biosynthesis is still not clear. Moreover, some
methods have been used to increase the expression of functional genes and
ginsenoside content in the ginsenoside synthesis pathway, including elicitors,
overexpression, RNAi, and transcription factors. The ginsenoside biosynthesis
pathway should be revealed so that ginsenoside contents can be regulated.
PMID- 29378088
TI - Reversible Redox Chemistry of Azo Compounds for Sodium-Ion Batteries.
AB - Sustainable sodium-ion batteries (SSIBs) using renewable organic electrodes are
promising alternatives to lithium-ion batteries for the large-scale renewable
energy storage. However, the lack of high-performance anode material impedes the
development of SSIBs. Herein, we report a new type of organic anode material
based on azo group for SSIBs. Azobenzene-4,4'-dicarboxylic acid sodium salt is
used as a model to investigate the electrochemical behaviors and reaction
mechanism of azo compound. It exhibits a reversible capacity of 170 mAh g-1 at
0.2C. When current density is increased to 20C, the reversible capacities of 98
mAh g-1 can be retained for 2000 cycles, demonstrating excellent cycling
stability and high rate capability. The detailed characterizations reveal that
azo group acts as an electrochemical active site to reversibly bond with Na+ .
The reversible redox chemistry between azo compound and Na ions offer
opportunities for developing long-cycle-life and high-rate SSIBs.
PMID- 29378089
TI - Impaired sperm function in infertile men relies on the membrane sterol pattern.
AB - Membrane cholesterol removal appears a key step for the gain of fertility
potential during sperm maturation. However, the membrane sterol pattern in sperm
cells from infertile patients, with impaired sperm parameters, has been poorly
investigated. To elucidate a causative link between sperm membrane composition in
male fertility, here we have investigated the levels of cholesterol and its
oxidized derivatives 7beta-hydroxycholesterol and 7-keto-cholesterol in sixteen
infertile patients with oligo-asthenozoospermia and 16 normozoospermic (N)
fertile subjects. Furthermore, ten of 16 N fertile subjects agreed to receive a
defined testicular thermal challenge by adhering to a programme of sauna sessions
for 1 month. Semen samples were obtained from each of the participants, and sperm
parameters were assessed according to the World Health Organization criteria.
Sperm levels of cholesterol, 7beta-hydroxycholesterol and 7-keto-cholesterol were
quantified by ultra-pressure liquid chromatography mass spectrometry. The results
showed that oligo-asthenozoospermia patients had a huge amount of cholesterol
content compared with fertile subjects (12.40 +/- 6.05 MUg/106 cells vs. 0.45 +/-
0.28 MUg/106 cells, p < 0.001, N and oligo-asthenozoospermia, respectively).
Also, oxidized derivatives were significantly higher in oligo-asthenozoospermia
patients (7beta-hydroxycholesterol: 1.96 +/- 1.03 ng/106 cells vs. 0.075 +/- 0.05
ng/106 cells, p < 0.001 and 7-keto-cholesterol: 1.11 +/- 0.72 ng/106 cells vs.
0.005 +/- 0.003 ng/106 cells, p < 0.001). Moreover, sauna exposure, in parallel
with a progressive worsening of sperm motility parameters, was associated with a
reversible increase in sperm cholesterol after the third and fourth week of
treatment, whilst 7beta-hydroxycholesterol and 7-keto-cholesterol levels showed
an earlier enhancement starting from the second week. Our data show for the first
time in humans a strong difference in the cholesterol and its oxidized
derivatives of infertile and fertile subjects. These findings suggest a strict
biochemical link relating testis function, sperm membrane status and male
fertility potential.
PMID- 29378090
TI - A Chimeric Styrene Monooxygenase with Increased Efficiency in Asymmetric
Biocatalytic Epoxidation.
AB - The styrene monooxygenase (SMO) system from Pseudomonas sp. consists of two
enzymes (StyA and StyB). StyB catalyses the reduction of FAD at the expense of
NADH. After the transfer of FADH2 from StyB to StyA, reaction with O2 generates
FAD-OOH, which is the epoxidising agent. The wastage of redox equivalents due to
partial diffusive transfer of FADH2 , the insolubility of recombinant StyB and
the impossibility of expressing StyA and StyB in a 1:1 molar ratio reduce the
catalytic efficiency of the natural system. Herein we present a chimeric SMO (Fus
SMO) that was obtained by genetic fusion of StyA and StyB through a flexible
linker. Thanks to a combination of: 1) balanced and improved expression levels of
reductase and epoxidase units, and 2) intrinsically higher specific epoxidation
activity of Fus-SMO in some cases, Escherichia coli cells expressing Fus-SMO
possess about 50 % higher activity for the epoxidation of styrene derivatives
than E. coli cells coexpressing StyA and StyB as discrete enzymes. The
epoxidation activity of purified Fus-SMO was up to three times higher than that
of the two-component StyA/StyB (1:1, molar ratio) system and up to 110 times
higher than that of the natural fused SMO. Determination of coupling efficiency
and study of the influence of O2 pressure were also performed. Finally, Fus-SMO
and formate dehydrogenase were coexpressed in E. coli and applied as a self
sufficient biocatalytic system for epoxidation on greater than 500 mg scale.
PMID- 29378092
TI - NGWA News.
PMID- 29378091
TI - Epoxy-Functionalized Porous Organic Polymers via the Diels-Alder Cycloaddition
Reaction for Atmospheric Water Capture.
AB - The synthesis of highly microporous, epoxy-functionalized porous organic polymers
(ep-POPs) by a one-pot, catalyst-free Diels-Alder cycloaddition polymerization is
reported. The high oxygen content of ep-POPs offer efficient hydrogen-bonding
sites for water molecules, thus leading to high water-uptake capacities up to
39.2-42.4 wt % under a wide temperature range of 5-45 degrees C, which covers
the span of climatic conditions and manufacturing applications in which such
materials might be used. Importantly, ep-POPs demonstrated regeneration
temperatures as low as 55 degrees C, as well as excellent water stability,
recyclability, and high specific surface areas up to 852 m2 g-1 .
PMID- 29378093
TI - Erratum.
PMID- 29378094
TI - [Effect of minor bioactive food substances - rutin and hesperidin in their
separate and combined alimentary arrives on the immune system of rats and the
activity of nuclear factor NF-kB liver cells].
AB - The effect of rutin and hesperidin in their separate and combined admission to
the immune system and the activity of nuclear factor NF-kB of rat liver cells has
been investigated. Wistar male rats with an initial body weight of 224-225 g were
divided into 4 groups of 6 rats in each. The rats of the 1st group (control)
received a complete semi-synthetic diet, rats in group 2 - the same diet
supplemented with rutine (400 mg/kg b.w.); the rats of group 3 - with the
addition of hesperidin (400 mg/kg bw); group 4 - with the addition of rutin and
hesperidin (400 mg/kg b.w. each) for 14 days. Animals received feed in free
access mode in an amount of 25-30 g per rat per day, that corresponded to 15 g of
dry formula. Animals received water also in free access. It has been found that
rutin and hesperidin, included in the diet of rats both alone (groups 2 and 3)
and together (group 4), have immunomodulatory impact which is a reduce of
lymphocyte relative content [1st gr. - 70.55+/-1.58%, 2nd gr. - 63.62+/-2.85%,
3rd gr. - 62.03+/-3.16% (p1-3<0.05), 4th gr. - 65.75+/-1.08% (p1-4<0.05)] and an
increase of percentage of neutrophil leukocytes [1st gr - 19.98+/-0.97%, 2nd gr.
25.35+/-3.14%, 3rd gr. - 28.27+/-3.30% (p1-3<0.05), 4th gr. - 24.15+/-1.52% (p1
4<0.05)] and NK-cells in the peripheral blood [1st gr. - 3.29+/-0.45%, 2nd gr. -
6.91+/-0.70% (p1-2<0.05), 3rd gr. - 5.88+/-0.79% (p1-3<0.05), 4th gr. - 4.64+/
0.32% (p1-4<0.05)], that can be considered as a shift in the direction of innate
immunity factors.In addition, the combined effect of high doses of rutin and
hesperidin led to a change in erythrocyte parameters: an increase in the average
volume of red blood cells [1st gr. - 56.00+/-1.06 fl, 2nd gr. - 56.67+/-0.42 fl,
3rd gr. - 58.50+/- 0.99 fl, 4th gr. - 59.50+/-0.99 fl (p1-4<0.05)], and the
average content of hemoglobin [1st gr. - 18.97+/-0.45 pg, 2nd gr. - 19.10+/-0.19
pg, 3rd gr. - 19.73+/- 0.32 pg, 4th gr. - 20.08+/-0.33 pg (p1-4=0.07)], as well
as increase in the level of TGF-beta1 in peripheral blood [1st gr. - 15.55+/-2.13
ng/ml, 2nd gr. - 14.81+/- 2.36 ng/ml, 3rd gr. - 17.02+/-2.53 ng/ml, 4th gr. -
22.14+/-2.29 ng/ml (p1-4<0.05)] and the expression of nuclear factor NF-kB in the
liver cells [1st gr. - 16.10+/- 0.60 ng/ml; 2nd gr. - 15.14+/-2.28 ng/ml; 3rd gr.
- 15.85+/-2.09 ng/ml; 4th gr. - 20.49+/-1.68 ng/ml (p1-4<0.05)].
PMID- 29378095
TI - [Assessment of the impact of vitamin and dietary fiber content in the diet on the
characteristics of protective colon microbiota populations of rats].
AB - The content of lactobacilli and enterobacteria in the experiment in rats with
varying levels of vitamins and dietary fiber was studied. The study was performed
on 48 male weanling Wistar rats randomized into 8 groups, with the creation of
vitamin deficiency (30 d.) and its further compensation (5 d.). Vitamin content
in the semisynthetic diet in rats of the control group N 1 corresponded to 100%
of a daily adequate intake. In the similar composition of the diet of the control
group N 2 wheat bran was added in amount of 5% of the weight of the diet. In
groups N 3-8 rats received a diet with the reduced amount of vitamin mixture by 5
times (20% of the adequate intake) and the total exclusion of tocopherol,
thiamine and riboflavin from the mixture. The wheat bran (5% of diet mass) was
added to the diets in Groups N 4, 6, 8. At the stage of compensation of
deficiency rats were fed with the diets with increased content of vitamin
mixture: Group 5-6 to 80% 7-8 to 200% (100 and 220% of the adequate intake,
respectively), and the groups N 3-4 continued to receive deficient diet with or
without wheat bran until the end of the experiment. After 35 days rats were
anesthetized with ether, decapitated, necropsied and the cecum segments were
selected for quantitative microbiological analysis of its contents.It has been
shown that the addition of wheat bran to vitamin deficient diet lead to the
reduction of the manifestation of physical sign of hypovitaminosis. It also
eliminated the differences in the integrated index of growth and development of
rats in comparison with the group without vitamin deficiency. It was found that
the vitamin deficiency in the diet, regardless of the presence or absence of
wheat bran, led to a significant reduction of the number of lactobacilli in the
intestinal contents, but almost did not affect the number of normal and
opportunistic pathogenic enterobacteria. The compensation of deficiency during 5
days lead to the increased number of lactobacilli, but the physiological levels
and levels in control animals it reached only in rats received 220% of the
vitamins with the addition of wheat bran. In the lactobacilli population in all
rats received different doses of vitamins (including reduced to 20%), regardless
of the presence of wheat bran, prevailing culturable representatives were 3 kinds
of Lactobacillus spp. - acidophilus, fermentum, paracasei. These species showed
stable presence in the intestine even in conditions of prolonged vitamin
deficiency (35 days).L. acidophilus was the dominated lactoflora representative
in all rats, its' content was average 91.7% of all culturable lactobacilli. With
less constancy and in lower amounts were detected L. plantarum and
representatives of coccal flora (Leuconostoc lactis, Lactococcus lactis).
PMID- 29378096
TI - [Impact of streptozotocininduced hyperglycemia on anxiety level and physical
fatigue of Wistar rats].
AB - The aim of the study was to evaluate type 2 diabetes medicamental biomodel in 70
days experiment. Control group animals were provided with water ad libitum
throughout the experiment, experimental group animals for the first two weeks
were provided with 20% solution of fructose ad libitum instead of water. On the
15th day, experimental group animals (average body weight 257+/-8 g) were
injected abdominally with streptozotocin (STZ) in dosage 40 mg/kg of body weight.
For the next three weeks on the 22nd, 28th and 36th days, glucose level in blood
taken from the tail vein was measured using portable electrochemical glucometer.
On the 37th day animals with blood glucose level 11.0 mmol/L or higher were
included in experimental group for further research. On the 44th and 60th day
control measurements of glucose level were conducted. On the 70th day animals
were taken out of experiment by decapitation under ether anesthesia.The
concentration of glucose, glycosylated hemoglobin, triglycerides, cholesterine,
HLD and LDL were measured in blood serum. Additionally anxiety level of animals
was evaluated before and after STZ injection using Elevated plusmaze.The
comparison of physical fatigue of control and experimental groups was performed
using treadmill. On the 37th day blood glucose concentration of control group
animals was 6.6+/-0.4 mmol/L. 33% of animals (13 of 40) with glucose level 11.0
mmol/L or higher formed the experimental group (average glucose level 16.2+/-1.3
mmol/L), other 27 rats had normal glucose level. The anxiety level of diabetic
rats was higher than in control group. Diabetic rats showed significantly lower
physical fatigue than control rats. On the 44th and 60th day of experiment
glucose level in experimental rats from group 2 (15.5+/-1.4 i 14.8+/-1.2 mmol/L)
was significantly higher than of control animals (7.0+/-0.5 i 6.8+/-0.3 mmol/L).
Glycated hemoglobin level in blood serum of diabetic group (7.2+/-0.7%) was
significantly higher than of control group (3.3+/-0.2%). This proves the
progression of stable long-term hyperglycemia. According to results represented
model can be used for initial experimental evaluation of tested antidiabetic
biologically active substances.
PMID- 29378097
TI - [Toxicological evaluation of nanosized colloidal silver, stabilized with
polyvinylpyrrolidone. I. Characterization of nanomaterial, integral,
hematological parameters, level of thiol compounds and liver cell apoptosis].
AB - Nano-sized colloidal silver (NCS) is currently one of the most widely used
nanomaterials in medicine and consumer's products. Nanoparticles (NPs) of silver,
in addition to the direct exposition through products may expose human via
various environmental objects. The aim of the study is to assess the safe doses
of silver NP received orally. The investigated NCS contained silver NPs with
diameter of 10-60 nm, predominantly with a nearly spherical form stabilized with
polyvinylpyrrolidone (PVP). The experiment was performed during 92 days in 5
groups of male Wistar rats (n=15 in each group), receiving a balanced
semisynthetic diet. Animal of group 1 (control) received vehicle (deionized
water) intragastrically for 30 days and then with food, groups from 2nd to 4th -
PVP and groups from 3rd to 5th NCS, in doses respectively, 0.1; 1.0 and 10 mg/kg
body weight (b.w.) in terms of silver. The dose of PVP in groups from 2nd to 5th
did not differ, amounting to 200 mg/kg b.w. During the experiment, the weight
gain, skin condition, activity, stool, cognitive function were assessed. At the
end of the feeding period weight of internal organs, intestinal wall permeability
to protein macromolecules, liver thiols, standard values of blood erythrocytes,
leukocytes and platelets, hepatocyte apoptosis by flow cytometry were studied.
These results suggest that in terms of weight gain, lung relative mass, average
erythrocyte volume, hemoglobin content and concentration in erythrocytes, the
relative proportion of lymphocytes and neutrophils adverse changes have been
observed at a dose of 10 mg NPs per kg of b.w. At lower levels of exposure (0.1
and 1.0 mg/kg b.w.) some specific changes were also observed (in terms of thiols
pool in liver, cognitive function, relative abundance of monocytes, the number of
dead hepatocytes), which, however, did not possess an unambiguous dependence on
the dose. Possible mechanisms of the toxic action of the NCS have been discussed.
PMID- 29378098
TI - [Actual nutrition of patients suffered from hemorrhagic fever with renal
syndrome].
AB - The aim of the article is to study actual ration of patients suffered from
hemorrhagic fever with renal syndrome (HFRS) and its interaction with the
development of arterial hypertension (AH). 296 men aged 20-59 suffered from HFRS
were under the care of physician within the period of 1 to 6 years. Among this
group 49 cases of arterial hypertension have been registered after HFRS.
Frequency method of food product consumption was used to define nutrition. A
Russian questionnaire published by Institute of Nutrition (1997) was used. Actual
nutrition in men suffered from HFRS is marked by basic nutrients unbalance that
is: excessive cholesterol and fat consumption (due to saturated fatty acid),
polyunsaturated fatty acid deficiency, sugar overuse and animal protein
prevalence over vegetable proteins in patient ration. Atherogenic shift in a
ration of patients with AH and suffered from HRFS has been exposed more strongly
in all aged group but mostly evident in patients aged 40 and after. Alcohol
consumption in men with AH and suffered from HFRS is higher than in healthy
peers. Interaction between atherogenic unbalance on the main nutrients in
patients with HFRS and arterial hypertension has been defined. Consumatory
behavior correction is to be taken to prevent arterial hypertension in recovered
patients suffered from HFRS.
PMID- 29378099
TI - [Genetic mice models of type 2 diabetes for evaluation of the effectiveness of
minor biologically active food substances].
AB - This report is devoted to discussion of type 2 diabetes experimental modelling on
genetic mice lines. These laboratory animals, the same as genetic rats lines, are
usually used in type 2 diabetes experimental modelling. The problem of using mice
with genetic obesity in modeling of type 2 diabetes is discussed in details in
the review. In this article the authors shortly characterize the congenic line of
mice KKAU, suffering from genetic obesity and hyperinsulinemia. The features of
modelling type 2 diabetes using ob/ob and db/db mice are described closely. The
phenotype of the animals comes into obesity, infertility, brakes in length
growth, hyperinsulinemia and dysimmunity. Neither leptin mRNA, nor the hormone
itself are synthesized in ob/ob mice, leading to ob phenotype formation. Whilst
db/db mice have two mutant copies of leptin receptor gene, which leads to gradual
hyperglycemia and obesity progression, followed by hyperinsulemia similar to
human type 2 diabetes. C57BL/KsLeprdb/+ mice with recessive gene leptin receptoi
Lepinlb (db) is very perspective genetic type 2 diabetes model developed in
Russia. TSOD mice are used as an alternative model (Tsumura Suzuki, diabetes with
obesity), showing diabetes and obesity symptoms with marked hyperinsulinemia and
pancreatic gland hypertrophy. Thus, presented in this review scientific reports
approve wide opportunities of effective usage of genetic lines of small
laboratory animals (mice) for type 2 diabetes modelling.
PMID- 29378100
TI - [The influence of nettle and burdock extracts in combination with different diets
on dyslipidemia in diabetes mellitus model].
AB - The influence of low-fat diet, nettle (Urtica dioica) leafs and burdock (Arctium
lappa) roots extracts on lipid metabolism and glycosylation reactions has been
investigated in experimental diabetes mellitus. These extracts were applied in
diets with both high and low fat content. The experiments were performed on 90
noninbred male albino rats (200-220 g) that were divided into 9 experimental
groups. Diabetes mellitus was modeled with twice-repeated intraperitoneal
streptozotocin (30 mg/kg) injections. The animals received food with increased
fat content (proteins - 8%, fats - 30%, carbohydrates - 62% of total daily
caloric content) during 4 weeks before streptozotocine injections and 8 weeks
after its discontinuation. Simultaneously the rats were daily administered nettle
leafs (100 mg/kg), burdock roots (25 mg/kg) extracts or metformin (100 mg/kg)
into the stomach during 10 days. During the period of agents introduction half
the animals continued to receive food with high fat content, the other half
received low fat diet (proteins - 20%, fats - 8%, carbohydrates - 72% of the
total daily caloric content). The forth (control) group received low fat food
only without extracts or metformin administration. The levels of blood glucose,
glycosylated hemoglobin, malonic dialdehyde, lipid and lipoprotein fractions
content were measured. It has been shown that after streptozotocine injections
and 30% fat diet consumption the blood glucose level increased by 5.3 fold
compared to that of the intact animals, the content of atherogenic lipid
fractions increased by 2-8.3 fold and the protein glycosylation reactions were
intensified by 1.9-2.5 fold. In animals fed with 8% fat diet the blood glucose
and malonic dialdehyde content decreased by 1.8-2.3 fold. In this experiment the
levels of triglycerides, total cholesterol, cholesterol of nonhigh-density
lipoproteins, low-density and very low-density lipoproteins, as well as the
cholesterol and protein content of high-density lipoproteins normalized. The low
fat food did not cause glycosylation reactions regression. With the
administration of nettle, burdock extracts or metformin to animals that continued
to receive high fat food the blood glucose, triglycerides, total cholesterol,
cholesterol of nonhigh-density lipoproteins, low-density and very low-density
lipoproteins levels decreased by l.6-7.l fold as compared to the parameters in
streptozotocine diabetes mellitus. Cholesterol and protein content of high
density lipoproteins increased by l.4-3.7 fold. The herbal extracts also
prevented malonic dialdehyde formation, high-density lipoproteins and hemoglobin
glycosylation. The nettle and burdock extracts more effectively decreased
hyperglycemia, hypertriglyceridemia and lipoperoxidation in animals fed with low
fat food. Metformin in the experiment with low fat intake decreased the glucose,
low-density and very low-density lipoproteins content to a maximal degree and
prevented high-density lipoproteins glycosylation.
PMID- 29378101
TI - [Effects of omega-3 polyunsaturated fatty acids on the state of insulin
resistance, the content of some pro- and antiinflammatory factors in patients
with type 2 diabetes mellitus and cardiovascular autonomic neuropathy].
AB - We have investigated the influence of the long-chain omega-3 polyunsaturated
fatty acids (omega-3 PUFA) administration on the insulin resistance parameters,
levels of high sensitivity C-reactive protein (hsCRP), some pro- and anti
inflammatory cytokines in patients with type 2 diabetes mellitus (T2 DM) and
cardiovascular autonomic neuropathy (CAN). The study involved 12 patients with T2
DM without verified cardiovascular diseases (CVD), 36 patients with T2 DM and
functional stage of CAN, of median age 50-59 years, disease duration 1-6 years
and HbA1c levels - 7.1+/-0.6%. 15 healthy subjects were control group. Screening
for CAN, that included five standard cardiovascular tests, was performed.The
levels of blood glucose, HbA1c, immunoreactive insulin (IRI), hsCRP, tumor
necrosis factor alpha (TNFalpha), interleukin (IL)-6, IL-8 and IL-10 were
measured. The index of insulin resistance (HOMA-IR) and TNFalpha/IL-10 ratio were
calculated. Patients with T2 DM and CAN were divided into 2 groups: patients of
the 1st group (group of comparison, n=15) received standard glucose-lowering
therapy; patients of the 2nd group (n=21) received one capsule/day of the omega-3
PUFA (~90% ethyl ester of PUFA (1000 mg), in particular eicosapentaenoic - 460
mg, docosahexaenoic acid - 380 mg and 4 mg alpha-tocopherol acetate) in addition
to the standard therapy. The duration of the study was 3 months. Obtained results
showed, that development of CAN in patients with T2 DM is accompanied by increase
of the IRI (26.6+/-1.73 mcIU/ml, p<0.001 - compared to the control; p1<0.001 -
compared to T2 DM patients without CVD); hsCRP (2.77+/-0.24 mg/l, p<0.001,
p1<0,001); TNFalpha (5.75+/-0.24 pg/ml, p<0.001, p1<0.001); IL-6 (5.88+/-0.38
pg/ml, p<0.001, p1<0.001); IL-8 (6.65+/-0.3 pg/ml, p<0.001, p1>0.05); IL-10
(15.86+/-1.4 pg/ml, p<0.05, p1>0.05) levels; TNFalpha/IL-10 (44.2+/-3.57%,
p<0.01, p1<0.05) and HOMA-IR. After 3 months of treatment no statistically
significant changes (p>0.05) of investigated parameters, in particular levels of
IRI (-6.8+/-2.0%); hsCRP (-7.2+/-1.63%); TNFalpha (-6.1+/-1.0%); IL-6 (-5.8+/
1.77%); IL-8 (-3.9+/-1.57%); IL-10 (-3.7+/-2.34%); TNFalpha/IL-10 (-0.5+/-2.3%)
in patients from the group of comparison were found. The administration of omega
3 PUFA to patients with T2 DM and CAN promoted to the statistically significant
decrease in hsCRP (-14.8+/-2.91%, p<0.05), TNFalpha (-14.1+/-2.15%, p<0.01), IL-6
(-13.5+/-2.7%, p<0.05), IL-8 (-9.8+/-2.13%, p<0.05), TNFalpha/IL-10 ratio (
34.6+/-1.93%, p<0.05); a slighty decrease in the content of the IRI (-10.3+/
1.1%, p>0.05), IL-10 (+7.9 +/-6.42%, p>0.05), HOMA-IR was observed. Obtained
results could witness, that prescription of omega-3 PUFA leads to decrease of the
proinflammatory immune response activity and allows to consider omega-3 PUFA as a
promising medicine in treatment and/or prevention of CAN in patients with DM 2.
PMID- 29378102
TI - [Dry jelly concentrate with vitamins and dietary fiber in patients with IBS with
constipation: a comparative controlled study].
AB - Irritable bowel syndrome (IBS) is highly prevalent functional gastrointestinal
disorder associated with decrease in quality of life and a high social cost. Diet
is one of several therapeutic options in IBS treatment; therefore the development
and clinical evaluation of innovative functional food for IBS patients is useful.
Dry jelly concentrate containing 3 g inulin, 10 mg curcumin and 1.8 mg of
pyridoxine was developed and clinically evaluated. Fifty patients fulfilling the
Rome III criteria for IBS-C were randomly assigned into two groups: one received
standard diet plus two jelly drinks a day for 2 weeks and control group received
standard diet. Response to therapy was recorded on a daily basis using Likert
scale of abdominal pain, bloating and feeling of incomplete bowel emptying,
frequency of bowel movement, Bristol stool scale, and quality of life assessed by
IBSQoL questionnaire before and after the treatment. Intake of functional food
product (jelly) containing inulin and curcumin is associated with a significant
positive effect on the stool parameters (from 0.6+/-0.24 to 1.15+/-0.65 t/d in
stool frequency, p=0.001, from 2.62+/-1.23 to 3.99+/-1.27, index Bristol scale,
p=0.001), a reduce of the severity of abdominal pain (from 1.69+/-0.71 to 1.36+/
0.44 Likert scale points, p=0.001), bloating (from 2.03+/-0.89 to 1.55+/-0.81
points of Likert scale, p=0.02) and a sense of incomplete bowel emptying (from
2.25+/-0.98 to 1.68+/-0.92 points of Likert scale, p=0.001), as well as an
increase in quality of life (from 64.5+/-13.5 to 81.2+/-9.1%, r=0.05). Patients
in control group have improvement in abdominal pain (from 2.16+/-0.58 to 1.8+/
0.61 Likert scale points, p=0.05) and bloating (from 2.42+/-0.83 to 2.16+/-0.71
Likert scale points, p=0.05) only. During the treatment period no significant
adverse events were found. These results indicate that jelly concentrate
containing inulin, curcumin and pyridoxine improves abdominal pain score, Bristol
scale index and quality of life in patients with IBS-C.
PMID- 29378103
TI - [Influence of cookies with a modified carbohydrate profile on postprandial
glycemia in patients with type 2 diabetes].
AB - The aim of the study was assessment of influence of cookies with a modified
carbohydrate profile on postprandial glycemia in patients with type 2 diabetes.
The study included 20 patients 35-69 years old, with type 2 diabetes and obesity
II-III degrees (BMI=40.2+/-1.1 kg/m2) treated with standard hypoglycemic therapy.
At the time of the initial evaluation all patients were determined at the stage
of metabolic subcompensation: glucose basal level in venous blood was 6.8+/-0.3
mmol/l; in capillary blood - 6.5+/-0.5 mmol/l; the level of glycated hemoglobin -
7.2+/-0.2%. Glucose blood plasma level on an empty stomach and within 3 hours
after the consumption of biscuits with modified carbohydrate profile (25 g
digestible carbohydrates) and wheat bread containing 25 g digestible
carbohydrates (control) was determined. Calculation of the area under the
glycemic curve was conducted by standard technique. Portability of cookies with a
modified carbohydrate profile (replacement of wheat flour to the mixture of
buckwheat, oat and barley flour and the use of maltitol) was good, with no side
effects or signs of intolerance were observed. It has been shown that consumption
of biscuits with a modified carbohydrate profile was accompanied by a
significantly smaller rise in blood glucose level after 30 min from the start of
the study, compared with the standard food load (an average of 19.1% and 42.4%,
respectively, from baseline, p<0.05). After 120 and 180 min after the test food
loads glycemia changed equally, without significant differences between
foodstuffs. Area under the glycemic curve in the consumption of cookies with a
modified carbohydrate profile was significantly less than under the standard food
load (184.6+/-16.7 vs. 236.9+/-21.2 mmol/l*min, p<0.05). Consumption of biscuits
with modified carbohydrate profile was accompanied by less severe postprandial
glycemic response in patients with type 2 diabetes in comparison with that at a
standard load food containing 25 g digestible carbohydrates.
PMID- 29378105
TI - [The role of the food industry in dietetic therapy of the population. Specialized
confectionery diabetic food].
AB - Diabetes mellitus is a serious health and social problem of modernity, which in
the future will increase and experts predict that the number of patients in 2030
will exceed 438,4 million. Taking into account the seriousness of the problem,
and the fact that diabetes hurts an increasing number of young adults, the
problem of creating diabetic food products, the positive effect of which on the
organism is confirmed by experimental and clinical studies, is very relevant. The
overview briefly covers information about the role of diet and ways to modify the
composition and formulations of confectionery products of industrial production.
The results of industry work towards the development of diabetic confectionery
products in Russian Federation and peculiarities of their production and
implementation in the framework of the Customs Union countries are summarized.
Monitoring of the information entered in the register of specialized food
products has been carried out, the lack of common approaches and tools in
regulation imposed on the diabetic products in Russia, Belarus and Kazakhstan has
been revealed. The necessity of objective regulatory impact assessment has been
established. Its results will form the basis of the development of a unified
approach to the production, handling and identification of diabetic confectionery
and of the introduction of the General principle in relation to the probative
value of the data, confirming their quality and safety. To improve the quality of
population life and to reduce losses from socially significant diseases is
possible through the development of personalized diets and their filling with
products with attractive sensory properties. These data indicate the need for
training highly qualified specialists with interdisciplinary knowledge in the
field of food technology, nutrition and medicine.
PMID- 29378104
TI - [Comparative assessment of efficiency of the low-calorie diets modified by
proteinaceous and vitamin cocktails].
AB - The aim of the work is comparative assessment of efficiency of a hypocaloric diet
with inclusion of proteinaceous and vitamin cocktails at obesity. 90 patients
with obesity of the II-III degree at the age of 18-65 years by the principle of
casual selection were divided into three groups. Control group (30 patients)
received a standard low-calorie diet with an energy value of 1600 kcal/day. The
diet of the 1st group (30 patients) was modified by the inclusion of protein
vitamin-mineral cocktail (16 g of dry mixture with the addition of 250 ml of
yogurt 1.0% fat) twice a day, diet of the 2nd group (30 patients) - the inclusion
of a protein cocktail (16 g of dry mixture with the addition of 250 ml of yogurt
1.0% fat), while excluding from the diet equivalent caloric meals. The 1st group
of patients had a decrease in fat mass by 4.2+/-0.7 kg (p<0.02), in active lean
mass by 1.1+/-0.1 kg, in total fluid volume by 2.2+/-0.3 kg (p<0.02). The 2nd
group of patients had a decrease in fat mass by 3.8+/-0.9 kg (p<0.01), in lean
mass by 1.4+/-0.3 kg and in the total fluid volume by 3.1+/-0.9 l (p<0.02). In
the control group attention should be paid to a decrease in lean mass by 1.9+/
0.6 kg, while fat mass decreased by 3.0+/-0.4 kg (p<0.02) and the total fluid
volume by 3.1+/-0.9 l (p<0.02). Evaluation of the changes of serum biochemical
parameters after treatment demonstrated that the 1st group of patients had
significant favorable dynamics of reduction of serum level of total cholesterol,
uric acid and glucose (17.7, 28.2 and 18.3%, respectively), which was more
pronounced compared with the dynamics in the control group (the decrease by 15,
19.2 and 8.2%, respectively). In the 2nd group of patients the decrease rate of
the observed parameters was less pronounced (15, 19.2 and 8.2%,
respectively).More appreciable favorable dynamics of biochemical parameters and
reduction in body weight in the 1st and 2nd groups in relation to the control
group allow to reasonably apply the protein-vitamin cocktails in a diet therapy
at obesity.
PMID- 29378106
TI - [Group preventive consultation of the population concerning nutrition. Experience
of School of the balanced nutrition founded on the basis of the Health center].
AB - The development of the program of group preventive consultation of visitors of
the centers of health concerning nutrition and assessment of its efficiency was
the purpose of the work. The analysis of the results of inspection of 2569
visitors of the Health senter at the age of 18-78 years and randomized, open,
cross research of 242 women (27-72 years old) who passed group preventive
consultation in the Center of health at "School of a balanced nutrition " were
carried out. Anthropometrical data and the actual nutrition with use of the
computer program "Analysis of the Person Nutrition" were studied. The study of
nutritional status of 242 women with different body mass revealed an excess
consumption of fats and carbohydrates, dietary energy supply in obese.Basing on
the structural features of patient's nutrition the School nutrition program was
developed. Somparing of laboratory, diagnostic and resource capabilities of
Health senter with algorithm of overweight and obesity patients treatment has
shown wide opportunities of Health senter, not only in the diagnosis (the study
of nutrient, metabolic status), but also in the complex treatment of patients
with different body mass. Due to group preventive counseling in the School of a
balanced nutrition the efficiency of such an approach contributed 1-month weight
loss (2.18+/-1.28 kg) in 64.4% of the participants.
PMID- 29378107
TI - [Theoretical and practical aspects of development of biscuits with a modified
carbohydrate profile for patients with type 2 diabetes].
AB - The purpose of this research was to develop formulation and technology of flour
confectionery products in the form of biscuits with a modified carbohydrate
profile, a study of physico-chemical and structural-mechanical properties. The
objects of this research were: basic food matrix, are the prototype of the
designed product without modification of the carbohydrate profile prepared by the
classic recipe and traditional technologies; model samples of cookies with a
modified carbohydrate profile; the experimental sample cookie with a modified
carbohydrate profile and optimized physic-chemical, structural-mechanical and
organoleptic indicators. Determination of physic-chemical and organoleptic
characteristics of biscuits was carried out by standard methods. The water
activity was determined on the analyzer using a cooled mirror dew point sensor,
structural-mechanical properties - on texturename with conical and cylindrical
nozzles, imitating the processes of breakage and bite, describing the hardness,
brittleness, breakage, and other properties of a food product. The modification
of the carbohydrate profile of biscuit, consisting in the replacement of wheat
flour traditionally used in the recipe of flour confectionery products, by the
composition containing oat, barley and buckwheat flour, and in the exclusion of
sugar and the introduction of ingredients that do not cause hyperglycemic effect:
maltitol as a sweetener and beta-glucans. The technological scheme of production
of new kinds of cookies has been developed, the parameters of the production
process have been worked out, physical-chemical, structural-mechanical and
organoleptic properties of a new type of cookie have been optimized. Analysis of
the chemical composition of the cookies showed that 100 g contains 9.3 g of
protein, 17.0 g of fat and 44.5 g of carbohydrates, including 42.4 g of starch,
and 2.1 g mono- and disaccharides, 2.2 g dietary fiber, 20 g maltitol; caloric
value of 420 kcal/1760 kJ. In accordance with the developed technology an
experimental batch of cookies with a modified carbohydrate profile has been
produced to evaluate its impact on postprandial glycemia in patients with type 2
diabetes.
PMID- 29378108
TI - [Nutrition value of national milk products with the addition of wild berries and
wild food plants of Yakutia].
AB - Results of an assessment of the actual food of the population in various
medicoeconomic zones of the republic (industrial, agricultural, Arctic) by method
of the frequency analysis of food consumption are presented in the article. The
analysis of control of compliance of quality and safety of foodstuff in the
Republic of Sakha (Yakutia), according to requirements of the legislation of the
Russian Federation, acts of the Customs union has been made. Decreased
consumption of such foodstuff as milk, fish and meat products including products
from local food staples and national dishes has been established. The data
obtained are medic-biological justification for search of ways of optimization of
population nutrition, creation of specialized products with a functional purpose
and for the prevention of the states and diseases connected with nutrition
violation. They also define innovative development of the republic in questions
of biotechnologies of the production of specialized foods for various groups of
the population. Results of chemical composition research of the most used wild
growing food plants of Yakutia are given. The questions connected with the
nutrition and biological value of the dairy products of a functional purpose with
use of wild-growing food herbs and berries of Yakutia are discussed.
PMID- 29378109
TI - [Vitamin-mineral supplements in nutrition of adults].
AB - The diet of population consisting of natural products is quite adequate and even
excessive of energy consumption, but is not able to meet fully the need of
organism in a number of micronutrients. Due to lack of sun exposure and long
presence indoors endogenous synthesis of vitamin D in the skin by ultraviolet
radiation does not provide the body's need for this vitamin. Intake of
vitaminmineral supplements (VMS) is appropriate because combined deficiency of
vitamins and minerals takes place in population. Prophylactic doses (equal to
physiological needs) provide a diet completeness and reduce the risk of vitamin
deficiency and its consequences. The high incidence of combined deficiency of
vitamins among population and the existence of vitamin interactions are the basis
for the application of the multivitamins. The simultaneous intake of vitamins is
more physiological, their combination is more effective than a separate or
isolated destination of each of them. Efficacy of the VMS has been shown in the
treatment and prevention of some diseases. The main requirements for the VMS are
full list of vitamins and minerals, the lack of which is detected most
frequently, in doses covering the needs of organism. For the health of the
pregnant woman and her unborn child preference should be given for complexes,
containing DHA and/or probiotics along with vitamins. The principles of the
selection of the composition and vitamin doses in the VMS for using patients
suffering from various pathologies should be based on data on the patient's
sufficiency with vitamins, the understanding of the role of vitamin deficiency in
the pathogenesis of the disease, as well as on the composition of the diet and
its modifications.
PMID- 29378110
TI - Measuring Dipole Inversion in Self-Assembled Nano-Dielectric Molecular Layers.
AB - A self-assembled nanodielectric (SAND) is an ultrathin film, typically with
periodic layer pairs of high-k oxide and phosphonic-acid-based pi-electron (PAE)
molecular layers. IPAE, having a molecular structure similar to that of PAE but
with an inverted dipole direction, has recently been developed for use in thin
film transistors. Here we report that replacing PAE with IPAE in SAND-based thin
film transistors induces sizable threshold and turn-on voltage shifts, indicating
the flipping of the built-in SAND polarity. The bromide counteranion (Br-)
associated with the cationic stilbazolium portion of PAE or IPAE is of great
importance, because its relative position strongly affects the electric dipole
moment of the organic layer. Hence, a set of X-ray synchrotron measurements were
designed and performed to directly measure and compare the Br- distributions
within the PAE and IPAE SANDs. Two trilayer SANDs, consisting of a PAE or IPAE
layer sandwiched between an HfOx and a ZrOx layer, were deposited on the SiOx
surface of Si substrates or periodic Si/Mo multilayer substrates for X-ray
reflectivity and X-ray standing wave measurements, respectively. Along with
complementary DFT simulations, the spacings, elemental (Hf, Br, and Zr)
distributions, molecular orientations, and Mulliken charge distributions of the
PAE and IPAE molecules within each of the SAND trilayers were determined and
correlated with the dipole inversion.
PMID- 29378111
TI - Ion-Exchanged SAPO-34 Membranes for Krypton-Xenon Separation: Control of
Permeation Properties and Fabrication of Hollow Fiber Membranes.
AB - Separation of radioisotope 85Kr from 136Xe is of importance in used nuclear fuel
reprocessing. Membrane separation based on zeolite molecular sieves such as
chabazite SAPO-34 is an attractive alternative to energy-intensive cryogenic
distillation. We report the synthesis of SAPO-34 membranes with considerably
enhanced performance via thickness reduction based upon control of a steam
assisted vapor-solid conversion technique followed by ion exchange with alkali
metal cations. The reduction of membrane thickness leads to a large increase in
Kr permeance from 7.5 to 26.3 gas permeation units (GPU) with ideal Kr/Xe
selectivities >20 at 298 K. Cation-exchanged membranes show large (>50%)
increases in selectivity at ambient or slight subambient conditions. The
adsorption, diffusion, and permeation characteristics of ion-exchanged SAPO-34
materials and membranes are investigated in detail, with potassium-exchanged SAPO
34 membranes showing particularly attractive performance. We then demonstrate the
fabrication of selective SAPO-34 membranes on alpha-alumina hollow fibers.
PMID- 29378112
TI - Scopolamine-Induced Memory Impairment Is Alleviated by Xanthotoxin: Role of
Acetylcholinesterase and Oxidative Stress Processes.
AB - Xanthotoxin, popularly occurring furanocoumarin, which can be found in plants
from the Apiaceae family, was isolated from fruits of Pastinaca sativa L. by mean
of high-performance countercurrent chromatography, and its effects on the
scopolamine-induced cognitive deficits in male Swiss mice using the passive
avoidance (PA) test were evaluated. To measure the acquisition of memory
processes, xanthotoxin (1, 2.5, 5 mg/kg) was administered 30 min before PA test
and scopolamine was administered 10 min after xanthotoxin. To measure the
consolidation of memory processes, xanthotoxin (1 and 2.5 mg/kg) was injected
immediately after removing the mouse from the apparatus and 10 min after
scopolamine was administered. In subchronic experiments, mice were injected with
xanthotoxin (1 mg/kg) or saline, 6 days, twice daily. At 24 h after the last
injection of the drugs, the hippocampus and the prefrontal cortex were removed
for biochemical assays. The results demonstrated that either single (2.5 and 5
mg/kg) or repeatable (1 mg/kg) administration of xanthotoxin significantly
increased index of latency (IL) in both acquisition and consolidation of memory
processes, showing some procognitive effects. The behavioral tests also showed
that an acute (2.5 mg/kg) and subchronic (1 mg/kg) administration of xanthotoxin
prevent memory impairment induced by injection of scopolamine (1 mg/kg). Observed
effects could be due to the inhibition of acetylcholinesterase activities and
amelioration of oxidative stress processes in the hippocampus and the prefrontal
cortex. It was suggested that xanthotoxin could show neuroprotective effect in
scopolamine-induced cognitive impairment connected to cholinergic
neurotransmission and oxidative stress in the brain structures.
PMID- 29378113
TI - Unified Theory of Vapor-Wall Mass Transport in Teflon-Walled Environmental
Chambers.
AB - Secondary organic aerosol (SOA) formation is studied in laboratory chambers, in
which volatile organic compounds (VOCs) are oxidized to produce low-volatility
compounds that condense into the aerosol phase. It has been established that such
oxidized low-volatility compounds can partition into the chamber walls, which
traditionally consist of Teflon film. Several studies exist in which the rates of
uptake of individual vapor compounds to the chamber walls have been measured, but
a unified theory capable of describing the range of experimental measurements has
been lacking. Here, a two-layer model of observed short and long vapor-wall
interaction time scales in Teflon-walled environmental chambers is presented and
shown to be consistent with experimental data on the rate of wall deposition of
more than 90 compounds. Semiempirical relationships between key parameters in the
model and vapor molecular properties are derived, which can be used to predict
the fate of gas-phase vapor in the chamber under dry conditions.
PMID- 29378115
TI - Pd-Catalyzed Acetoxylation of gamma-C(sp3)-H Bonds of Amines Directed by a
Removable Bts-Protecting Group.
AB - Pd-catalyzed acetoxylation of gamma-C(sp3)-H bonds directed by Bts-protected
amines using inexpensive PhI(OAc)2 as oxidant is reported. The Bts-protecting
group is easily introduced and removed under mild conditions. This protocol
provides an important strategy for the construction of gamma-hydroxyl amine
derivatives.
PMID- 29378116
TI - Islet Amyloid Polypeptide Promotes Amyloid-Beta Aggregation by Binding-Induced
Helix-Unfolding of the Amyloidogenic Core.
AB - Amyloid aggregation of amyloid-beta (Abeta) and islet amyloid polypeptide (IAPP)
is associated with Alzheimer's disease (AD) and type-2 diabetes (T2D),
respectively. With T2D being the risk factor for AD and the ability of IAPP to
cross the blood-brain barrier, the coaggregation of Abeta and IAPP has been
explored to understand the cross-talk between the two diseases. Recent studies
demonstrated that soluble IAPP could significantly accelerate the aggregation of
Abeta while preformed amyloids of IAPP were poor "seeds" for Abeta aggregation.
Here, we apply all-atom discrete molecular dynamics simulations to investigate
possible molecular mechanisms for the accelerated coaggregation of IAPP and
Abeta42 comparing to Abeta42 aggregation alone, which was confirmed by the
complementary thioflavin-T fluorescence assay. Our simulation results suggest
that peptides in the mixture tend to form heterodimers as the first step toward
their coaggregation. Strong interpeptide interactions with IAPP in the
heterodimer shift the helical conformation of Abeta42 in its amyloidogenic
central hydrophobic core, residues 16-22 (Abeta16-22), to the extended
conformation ready to form beta-sheets. Our study suggests that the unfolding of
Abeta16-22 helix contributes to the aggregation free-energy barrier and
corresponds to the rate-limiting conformational change for Abeta42 aggregation.
Therefore, we propose that soluble IAPP promotes the aggregation of Abeta42 by
binding-induced conformational change of Abeta42 in its amyloidogenic core and
thus reduced aggregation free-energy barrier.
PMID- 29378117
TI - Enhancement of Curcumin Bioavailability by Encapsulation in Sophorolipid-Coated
Nanoparticles: An in Vitro and in Vivo Study.
AB - There is great interest in developing colloidal delivery systems to enhance the
water solubility and oral bioavailability of curcumin, which is a hydrophobic
nutraceutical claimed to have several health benefits. In this study, a natural
emulsifier was used to form sophorolipid-coated curcumin nanoparticles. The
curcumin was loaded into sophorolipid micelles using a pH-driven mechanism based
on the decrease in curcumin solubility at lower pH values. The sophorolipid
coated curcumin nanoparticles formed using this mechanism were relatively small
(61 nm) and negatively charged (-41 mV). The nanoparticles also had a relatively
high encapsulation efficiency (82%) and loading capacity (14%) for curcumin,
which was present in an amorphous state. Both in vitro and in vivo studies showed
that the curcumin nanoparticles had an appreciably higher bioavailability than
that of free curcumin crystals (2.7-3.6-fold), which was mainly attributed to
their higher bioaccessibility. These results may facilitate the development of
natural colloidal systems that enhance the oral bioavailability and bioactivity
of curcumin in food, dietary supplements, and pharmaceutical products.
PMID- 29378114
TI - Nanoparticles for Immune Cytokine TRAIL-Based Cancer Therapy.
AB - The immune cytokine tumor necrosis factor-related apoptosis-inducing ligand
(TRAIL) has received significant attention as a cancer therapeutic due to its
ability to selectively trigger cancer cell apoptosis without causing toxicity in
vivo. While TRAIL has demonstrated significant promise in preclinical studies in
mice as a cancer therapeutic, challenges including poor circulation half-life,
inefficient delivery to target sites, and TRAIL resistance have hindered clinical
translation. Recent advances in drug delivery, materials science, and
nanotechnology are now being exploited to develop next-generation nanoparticle
platforms to overcome barriers to TRAIL therapeutic delivery. Here, we review the
design and implementation of nanoparticles to enhance TRAIL-based cancer therapy.
The platforms we discuss are diverse in their approaches to the delivery problem
and provide valuable insight into guiding the design of future nanoparticle-based
TRAIL cancer therapeutics to potentially enable future translation into the
clinic.
PMID- 29378118
TI - Role of Nitrogen Dioxide in the Production of Sulfate during Chinese Haze-Aerosol
Episodes.
AB - Haze events in China megacities involve the rapid oxidation of SO2 to sulfate
aerosol. Given the weak photochemistry that takes place in these optically thick
hazes, it has been hypothesized that SO2 is mostly oxidized by NO2 emissions in
the bulk of pH > 5.5 aerosols. Because NO2(g) dissolution in water is very slow
and aerosols are more acidic, we decided to test such a hypothesis. Herein, we
report that > 95% of NO2(g) disproportionates [2NO2(g) + H2O(l) = H+ + NO3-(aq) +
HONO (R1)] upon hitting the surface of NaHSO3 aqueous microjets for < 50 MUs,
thereby giving rise to strong NO3- ( m/ z 62) signals detected by online
electrospray mass spectrometry, rather than oxidizing HSO3- ( m/ z 81) to HSO4- (
m/ z 97) in the relevant pH 3-6 range. Because NO2(g) will be consumed via R1 on
the surface of typical aerosols, the oxidation of S(IV) may in fact be driven by
the HONO/NO2- generated therein. S(IV) heterogeneous oxidation rates are expected
to primarily depend on the surface density and liquid water content of the
aerosol, which are enhanced by fine aerosol and high humidity. Whether aerosol
acidity affects the oxidation of S(IV) by HONO/NO2- remains to be elucidated.
PMID- 29378119
TI - High-Performance Carbon Nanotube Complementary Electronics and Integrated Sensor
Systems on Ultrathin Plastic Foil.
AB - The longtime vacancy of high-performance complementary metal-oxide-semiconductor
(CMOS) technology on plastics is a non-negligible obstacle to the applications of
flexible electronics with advanced functions, such as continuous health
monitoring with in situ signal processing and wireless communication
capabilities, in which high speed, low power consumption, and complex
functionality are desired for integrated circuits (ICs). Here, we report the
implementation of carbon nanotube (CNT)-based high-performance CMOS technology
and its application for signal processing in an integrated sensor system for
human body monitoring on ultrathin plastic foil with a thickness of 2.5 MUm. The
performances of both the p- and n-type CNT field-effect transistors (FETs) are
excellent and symmetric on plastic foil with a low operation voltage of 2 V:
width-normalized transconductances ( gm/ W) as high as 4.69 MUS/MUm and 5.45
MUS/MUm, width-normalized on-state currents reaching 5.85 MUA/MUm and 6.05
MUA/MUm, and mobilities up to 80.26 cm2.V-1.s-1 and 97.09 cm2.V-1.s-1,
respectively, together with a current on/off ratio of approximately 105. The
devices were mechanically robust, withstanding a curvature radius down to 124
MUm. Utilizing these transistors, various high-performance CMOS digital ICs with
rail-to-rail output and a ring oscillator on plastics with an oscillation
frequency of 5 MHz were demonstrated. Furthermore, an ultrathin skin-mounted
humidity sensor system with in situ frequency modulation signal processing
capability was realized to monitor human body sweating.
PMID- 29378120
TI - Betulin-Constituted Multiblock Amphiphiles for Broad-Spectrum Protein Resistance.
AB - Multiblock-like amphiphilic polyurethanes constituted by poly(ethylene oxide) and
biosourced betulin are designed for antifouling and synthesized by a convenient
organocatalytic route comprising tandem chain-growth and step-growth
polymerizations. The doping density of betulin (DB) in the polymer chain
structure is readily varied by a mixed-initiator strategy. The spin-coated
polymer films exhibit unique nanophase separation and protein resistance
behaviors. Higher DB leads to enhanced surface hydrophobicity and, unexpectedly,
improved protein resistance. It is found that the surface holds molecular-level
heterogeneity when DB is substantially high due to restricted phase separation;
therefore, broad-spectrum protein resistance is achieved despite considerable
surface hydrophobicity. As DB decreases, the distance between adjacent betulin
units increases so that hydrophobic nanodomains are formed, which provide enough
landing areas for relatively small-sized proteins to adsorb on the surface.
PMID- 29378122
TI - A Definition of the Magnetic Transition Temperature Using Valence Bond Theory.
AB - Macroscopic magnetic properties are analyzed using Valence Bond theory. Commonly
the critical temperature TC for magnetic systems is associated with a maximum in
the energy-based heat capacity Cp(T). Here a more broadly applicable definition
of the magnetic transition temperature TC is described using the spin moment
expectation value (i.e., applying the spin exchange density operator) instead of
energy. Namely, the magnetic capacity Cs(T) reflects variation in the spin
multiplicity as a function of temperature, which is shown to be related to
?[chiT(T)]/?T. Magnetic capacity Cs(T) depends on long-range spin interactions
that are not relevant in the energy-based heat capacity Cp(T). Differences
between Cs(T) and Cp(T) are shown to be due to spin order/disorder within the
crystal that can be monitored via a Valence Bond analysis of the corresponding
magnetic wave function. Indeed the concept of the Boltzmann spin-alignment order
is used to provide information about the spin correlation between magnetic units.
As a final illustration, the critical temperature is derived from the magnetic
capacity for several molecular magnets presenting different magnetic topologies
that have been experimentally studied. A systematic shift between the transition
temperatures associated with Cs(T) and Cp(T) is observed. It is demonstrated that
this shift can be attributed to the loss of long-range spin correlation. This
suggests that the magnetic capacity Cs(T) can be used as a predictive tool for
the magnetic topology and thus for the synthetic chemists.
PMID- 29378121
TI - Lyophilic but Nonwettable Organosilane-Polymerized Carbon Dots Inverse Opals with
Closed-Cell Structure.
AB - This paper presents a unique lyophilic but nonwettable property of organosilane
polymerized carbon dots inverse opals photonic crystals (SiCDPCs) with closed
cell structure. Little stopband shift was observed for the SiCDPCs when being
immersed into the solvents such as isopropanol, olive oil, DMSO, hexane, silicone
oil, ethanediol, etc. but keeping lyophilic property. This could be attributed to
the combined effect of closed-cell structure and the unique chemical composition
of SiCDPCs. Furthermore, more than 30 kinds of organic solvents had been
investigated, it was found that there were two kinds of factors that affected the
stopband shift upon solvent's immersing; one was the polarity of solvent, and the
other one was the viscosity of solvent. That is, mainly nonpolar or high
viscosity solvents showed lyophilic but nonwettable property. The distinct
solvent-responsive behaviors of the SiCDPCs toward polar/nonpolar solvents had
been utilized for the fabrication of 2D/3D pattern. Additionally, the as-prepared
SiCDPCs showed improved optical limiting property, excellent low-temperature
resistance, and abrasion tolerant property. It is of great importance for the
development of multifunctional novel coating materials and creation of novel
optical devices.
PMID- 29378123
TI - Tuning the Strength of the Resonance-Assisted Hydrogen Bond in o
Hydroxybenzaldehyde by Substitution in the Aromatic Ring1.
AB - Intramolecular resonance-assisted hydrogen bonds (RAHBs) are stronger than
conventional hydrogen bonds (HBs) thanks to the extra stabilization connected
with the partial delocalization of the pi-electrons within the HB motif
containing conjugated formally single and double bonds. When these conjugated
bonds are part of an aromatic ring, there is an interplay between resonance
assisted hydrogen bonding and the aromaticity of the ring. The main aim of the
present work is to analyze the changes in RAHB strength by substitution in the
aromatic ring. For this purpose, we use density functional theory methods to
study all possible mono- and disubstitutions in the four free positions of the
aromatic ring of o-hydroxybenzaldehyde. As substituents, we consider three pi
electron donating groups (EDG: NH2, OH, and F) and three pi-electron withdrawing
groups (EWG: NO2, NO, and CN). We show that it is possible to tune the HB bond
distance in the RAHB by locating different substituents in given positions of the
aromatic ring. Indeed, certain combinations of EDG and EWD result in a reduction
or increase of the HB distance by up to 0.05 A. Results found can be explained by
considering the existence of a resonance effect of the pi-electrons within the HB
motif.
PMID- 29378124
TI - Water Lone Pair Delocalization in Classical and Quantum Descriptions of the
Hydration of Model Ions.
AB - Understanding the nature of ionic hydration at a fundamental level has eluded
scientists despite intense interest for nearly a century. In particular, the
microscopic origins of the asymmetry of ion solvation thermodynamics with respect
to the sign of the ionic charge remains a mystery. Here, we determine the
response of accurate quantum mechanical water models to strong nanoscale
solvation forces arising from excluded volumes and ionic electrostatic fields.
This is compared to the predictions of two important limiting classes of
classical models of water with fixed point changes, differing in their treatment
of "lone pair" electrons. Using the quantum water model as our standard of
accuracy, we find that a single fixed classical treatment of lone pair electrons
cannot accurately describe solvation of both apolar and cationic solutes,
emphasizing the need for a more flexible description of local electronic effects
in solvation processes. However, we explicitly show that all water models studied
respond to weak long-ranged electrostatic perturbations in a manner that follows
macroscopic dielectric continuum models, as would be expected. We emphasize the
importance of these findings in the context of realistic ion models, using
density functional theory and empirical models, and discuss the implications of
our results for quantitatively accurate reduced descriptions of solvation in
dielectric media.
PMID- 29378125
TI - Antitumor Potential of S-Nitrosothiol-Containing Polymeric Nanoparticles against
Melanoma.
AB - Melanoma is a malignant proliferative disease originated from melanocyte
transformations, which are characterized by a high metastatic rate and mortality.
Advances in Nanotechnology have provided useful new approaches and tools for
antitumor chemotherapy. The aim of this study was to investigate the molecular
mechanisms underlying chitosan nanoparticles containing S-nitrosomercaptosuccinic
acid ( S-nitroso-MSA-CS) induced cytotoxicity in melanoma cells. S-Nitroso-MSA-CS
induced concentration-dependent cell death against B16-F10 tumor cells, whereas
non-nitroso nanoparticles (CS or MSA-CS) did not induce significant cytotoxicity.
Additionally, melanoma cells were more sensitive to cell death than normal
melanocytes. S-Nitroso-MSA-CS-induced cytotoxicity exhibited features of caspase
dependent apoptosis, and it was associated with oxidative stress, characterized
by increased mitochondrial superoxide production and oxidation of protein thiol
groups. In addition, tyrosine nitration and cysteine S-nitrosylation of amino
acid residues in cellular proteins were observed. The potential use of these
nanoparticles in antitumor chemotherapy of melanoma is discussed.
PMID- 29378126
TI - Multiplex Surface Plasmon Resonance Imaging-Based Biosensor for Human Pancreatic
Islets Hormones Quantification.
AB - Diabetes arises from secretory defects in vascularized micro-organs known as the
islets of Langerhans. Recent studies indicated that furthering our understanding
of the paracrine effect of somatostatin on glucose-induced insulin secretion
could represent a novel therapeutic avenue for diabetes. While many research
groups are interested in insulin and glucagon secretion, few are particularly
focused on studying the paracrine interaction in islets' cells, and none on
monitoring a secretory fingerprint that contemplates more than two hormones.
Surface plasmon resonance imaging can achieve high-throughput and multiplexed
biomolecule quantification, making it an ideal candidate for detection of
multiple islet's secretion products if arrays of hormones can be properly
implemented on the sensing surface. In this study, we introduced a multiplex
surface plasmon resonance imaging-based biosensor for simultaneous quantification
of insulin, glucagon, and somatostatin. Performing this multiplex biosensing of
hormones was mainly the result of the design of an antifouling sensing surface
comprised by a mixed self-assembly monolayer of CH3O-PEG-SH and 16
mercaptohexadecanoic acid, which allowed it to operate in a complex matrix such
as an islet secretome. The limit of detection in multiplex mode was 1 nM for
insulin, 4 nM for glucagon, and 246 nM for somatostatin with a total analysis
time of 21 min per point, making our approach the first reporting a label-free
and multiplex measurement of such a combination of human hormones. This biosensor
holds the promise of providing us with a mean for the further understanding of
the paracrine effect of somatostatin on glucose-induced insulin secretion and
consequently help develop novel therapeutic agents for diabetes.
PMID- 29378128
TI - Bile Acid-Based Drug Delivery Systems for Enhanced Doxorubicin Encapsulation:
Comparing Hydrophobic and Ionic Interactions in Drug Loading and Release.
AB - Doxorubicin (Dox) is a drug of choice in the design of drug delivery systems
directed toward breast cancers, but is often limited by loading and control over
its release from polymer micelles. Bile acid-based block copolymers present
certain advantages over traditional polymer-based systems for drug delivery
purposes, since they can enable a higher drug loading via the formation of a
reservoir through their aggregation process. In this study, hydrophobic and
electrostatic interactions are compared for their influence on Dox loading inside
cholic acid based block copolymers. Poly(allyl glycidyl ether) (PAGE) and
poly(ethylene glycol) (PEG) were grafted from the cholic acid (CA) core yielding
a star-shaped block copolymer with 4 arms (CA-(PAGE- b-PEG)4) and then loaded
with Dox via a nanoprecipitation technique. A high Dox loading of 14 wt % was
achieved via electrostatic as opposed to hydrophobic interactions with or without
oleic acid as a cosurfactant. The electrostatic interactions confer a pH
responsiveness to the system. 50% of the loaded Dox was released at pH 5 in
comparison to 12% at pH 7.4. The nanoparticles with Dox loaded via hydrophobic
interactions did not show such a pH responsiveness. The systems with Dox loaded
via electrostatic interactions showed the lowest IC50 and highest cellular
internalization, indicating the pre-eminence of this interaction in Dox loading.
The blank formulations are biocompatible and did not show cytotoxicity up to 0.17
mg/mL. The new functionalized star block copolymers based on cholic acid show
great potential as drug delivery carriers.
PMID- 29378127
TI - Electrocatalytic H2O Reduction with f-Elements: Mechanistic Insight and
Overpotential Tuning in a Series of Lanthanide Complexes.
AB - Electrocatalytic energy conversion with molecular f-element catalysts is still in
an early phase of its development. We here report detailed electrochemical
investigations on the recently reported trivalent lanthanide coordination
complexes [((Ad,MeArO)3mes)Ln] (1-Ln), with Ln = La, Ce, Pr, Nd, Sm, Gd, Dy, Er,
and Yb, which were now found to perform as active electrocatalysts for the
reduction of water to dihydrogen. Reactivity studies involving complexes 1-Ln and
the Ln(II) analogues [K(2.2.2-crypt)][((Ad,MeArO)3mes)Ln] (2-Ln) suggest a
reaction mechanism that differs significantly from the reaction pathway found for
the corresponding uranium catalyst [((Ad,MeArO)3mes)U] (1-U). While complexes 1
Ln activate water via a radical pathway, only upon a 1 e- reduction to yield the
reduced species 2-Ln, the 5f analogue 1-U directly reduces H2O via a 2 e-
pathway. The electrocatalytic H2O reduction by complexes 1-Ln is initiated by the
respective Ln(III)/Ln(II) redox couples, which gradually turn to more positive
values across the Ln series. This correlation has been exploited to tune the
catalytic overpotential of water reduction by choice of the lanthanide ion.
Kinetic studies of the 1-Ln series were performed to elucidate correlations
between overpotential and turnover frequencies of the 4f-based electrocatalysts.
PMID- 29378129
TI - Hybrid Macrocycles for Selective Binding and Sensing of Fluoride in Aqueous
Solution.
AB - Synthesis and anion binding properties of hybrid macrocycles containing ammonium
and hydrogen bond donor groups are reported. Receptor properties were studied in
a 10 mM MES buffer solution at pH 6.2, at which the receptors carry two positive
charges at the secondary amine groups. Receptor 1 was found to bind fluoride with
the highest affinity (105 M-1) and selectivity among the synthesized receptors.
It was the only receptor that demonstrated fluorescence increase upon addition of
fluoride. Other titration experiments with halides and oxyanions led to an anion
induced aggregation and fluorescence quenching. The mechanism of the particular
turn-on fluorescence for fluoride was explained by the ability of receptor 1 to
encapsulate several fluoride anions. Multiple anion coordination resulted in the
protonation of the tertiary amine group and subsequent hindering of the PET
process. 1H and 19F NMR titrations, single-crystal X-ray structure of chloride
complex, and DFT calculation suggest that 1 can perfectly accommodate two
fluoride anions in the inner cavity but only one chloride, keeping the second
chloride in the outer coordination sphere. Thus, the importance of size
selectivity, which is reflected in a collective behavior of molecules in an
aqueous solution, represents a new strategy for the design of highly selective
probes for fluoride functioning in an aqueous solution.
PMID- 29378130
TI - Alcalase Enzymolysis of Red Bean (adzuki) Ferritin Achieves Nanoencapsulation of
Food Nutrients in a Mild Condition.
AB - Classical methods to fabricate ferritin-nutrients shell-core nanoparticles
usually apply extremely acid/alkaline pH transition, which may cause the activity
loss of nutrients or the formation of insoluble aggregates. In this work, we
prepared an extension peptide (EP) deleted red bean (adzuki) ferritin
(apoRBFDeltaEP) by Alcalase 3.0T enzymolysis. Such enzymolysis could delete the
EP domain and remain the typical shell-like structure of the ferritin. Meanwhile,
the alpha-helix content of apoRBFDeltaEP was decreased by 5.5%, and the
transition temperature (Tm) was decreased by 4.1 degrees C. Interestingly, the
apoRBFDeltaEP can be disassembled into subunits under a benign condition at pH
4.0 and is assembled to form an intact cage protein when the pH was increased to
6.7. By using this novel route, the epigallocatechin gallate (EGCG) molecules
were successfully encapsulated into the apoRBFDeltaEP cage with an encapsulation
ratio of 11.6% (w/w), which was comparable with that by the traditional pH 2.0
transition. The newly prepared EGCG-loaded apoRBFDeltaEP exhibited a similarly
protective effect on the EGCG upon simulated gastrointestinal tract and thermal
treatment as compared with the control. In addition, the EGCG-loaded
apoRBFDeltaEP could significantly relieve the ferritin association induced by pH
transition, which was superior to traditional method. The thinking of this work
will be especially suitable for encapsulating pH-sensitive molecules based on
ferritin in a benign condition.
PMID- 29378132
TI - Azure C Targets and Modulates Toxic Tau Oligomers.
AB - Alzheimer's disease (AD) is the most common age-related neurodegenerative
disorder affecting millions of people worldwide. Therefore, finding effective
interventions and therapies is extremely important. AD is one of over 20
different disorders known as tauopathies, characterized by the pathological
aggregation and accumulation of tau, a microtubule-associated protein. Tau
aggregates are heterogeneous and can be divided into two major groups: large
metastable fibrils, including neurofibrillary tangles, and oligomers. The
smaller, soluble and dynamic tau oligomers have been shown to be more toxic with
more proficient seeding properties for the propagation of tau pathology as
compared to the fibrillar Paired Helical Filaments (PHFs). Therefore, developing
small molecules that target and interact with toxic tau oligomers can be
beneficial to modulate their aggregation pathways and toxicity, preventing
progression of the pathology. In this study, we show that Azure C (AC) is capable
of modulating tau oligomer aggregation pathways at micromolar concentrations and
rescues tau oligomers-induced toxicity in cell culture. We used both biochemical
and biophysical in vitro techniques to characterize preformed tau oligomers in
the presence and absence of AC. Interestingly, AC prevents toxicity not by
disassembling the oligomers but rather by converting them into clusters of
aggregates with nontoxic conformation.
PMID- 29378131
TI - Benzoyl Halides as Alternative Precursors for the Colloidal Synthesis of Lead
Based Halide Perovskite Nanocrystals.
AB - We propose here a new colloidal approach for the synthesis of both all-inorganic
and hybrid organic-inorganic lead halide perovskite nanocrystals (NCs). The main
limitation of the protocols that are currently in use, such as the hot injection
and the ligand-assisted reprecipitation routes, is that they employ PbX2 (X = Cl,
Br, or I) salts as both lead and halide precursors. This imposes restrictions on
being able to precisely tune the amount of reaction species and, consequently, on
being able to regulate the composition of the final NCs. In order to overcome
this issue, we show here that benzoyl halides can be efficiently used as halide
sources to be injected in a solution of metal cations (mainly in the form of
metal carboxylates) for the synthesis of APbX3 NCs (in which A = Cs+, CH3NH3+, or
CH(NH2)2+). In this way, it is possible to independently tune the amount of both
cations and halide precursors in the synthesis. The APbX3 NCs that were prepared
with our protocol show excellent optical properties, such as high
photoluminescence quantum yields, low amplified spontaneous emission thresholds,
and enhanced stability in air. It is noteworthy that CsPbI3 NCs, which
crystallize in the cubic alpha phase, are stable in air for weeks without any
postsynthesis treatment. The improved properties of our CsPbX3 perovskite NCs can
be ascribed to the formation of lead halide terminated surfaces, in which Cs
cations are replaced by alkylammonium ions.
PMID- 29378133
TI - Quantum Dots Applied to Methodology on Detection of Pesticide and Veterinary Drug
Residues.
AB - The pesticide and veterinary drug residues brought by large-scale agricultural
production have become one of the issues in the fields of food safety and
environmental ecological security. It is necessary to develop the rapid,
sensitive, qualitative and quantitative methodology for the detection of
pesticide and veterinary drug residues. As one of the achievements of
nanoscience, quantum dots (QDs) have been widely used in the detection of
pesticide and veterinary drug residues. In these methodology studies, the used QD
signal styles include fluorescence, chemiluminescence, electrochemical
luminescence, photoelectrochemistry, etc. QDs can also be assembled into sensors
with different materials, such as QD-enzyme, QD-antibody, QD-aptamer, and QD
molecularly imprinted polymer sensors, etc. Plenty of study achievements in the
field of detection of pesticide and veterinary drug residues have been obtained
from the different combinations among these signals and sensors. They are
summarized in this paper to provide a reference for the QD application in the
detection of pesticide and veterinary drug residues.
PMID- 29378134
TI - Toll-like Receptor Agonist Conjugation: A Chemical Perspective.
AB - Toll-like receptors (TLRs) are vital elements of the mammalian immune system that
function by recognizing pathogen-associated molecular patterns (PAMPs), bridging
innate and adaptive immunity. They have become a prominent therapeutic target for
the treatment of infectious diseases, cancer, and allergies, with many TLR
agonists currently in clinical trials or approved as immunostimulants. Numerous
studies have shown that conjugation of TLR agonists to other molecules can
beneficially influence their potency, toxicity, pharmacokinetics, or function.
The functional properties of TLR agonist conjugates, however, are highly
dependent on the ligation strategy employed. Here, we review the chemical
structural requirements for effective functional TLR agonist conjugation. In
addition, we provide similar analysis for those that have yet to be conjugated.
Moreover, we discuss applications of covalent TLR agonist conjugation and their
implications for clinical use.
PMID- 29378135
TI - Novel Brassinosteroid-Modified Polyethylene Glycol Micelles for Controlled
Release of Agrochemicals.
AB - Two synthetic analogues of brassinosteroids (DI31 and S7) exhibit good plant
growth enhancer activity. However, their hydrophobicity and quick metabolism in
plants have limited their application and benefits in agriculture. Our objective
was to prepare novel brassinosteroid-modified polyethylene glycol (PEG) micelles
to achieve controlled release with extended stability while retaining
agrochemical activity. Spectroscopic studies confirmed quantitative
disubstitution of studied PEGs with the brassinosteroids, while elemental
analysis assessed purity of the synthesized conjugates. Conjugates were also
characterized by X-ray diffraction and thermal analysis. Dynamic and static light
scattering showed stable and homogeneous approximately spherical micelles with
average hydrodynamic diameters of 22-120 nm and almost neutral zeta potential.
Spherical 30-140 nm micelles were observed by electron microscopy. Sustained in
vitro releases at pH 5.5 were extended up to 96 h. Prepared PEG micelles showed
good agrochemical activity in the radish seed bioassay and no cytotoxicity to the
human microvascular endothelial cell line in the MTS test.
PMID- 29378136
TI - Fully Flexible Docking via Reaction-Coordinate-Independent Molecular Dynamics
Simulations.
AB - Predicting the geometry of protein-ligand binding complexes is of primary
importance for structure-based drug discovery. Molecular dynamics (MD) is
emerging as a reliable computational tool for use in conjunction with, or an
alternative to, docking methods. However, simulating the protein-ligand binding
process often requires very expensive simulations. This drastically limits the
practical application of MD-based approaches. Here, we propose a general
framework to accelerate the generation of putative protein-ligand binding modes
using potential-scaled MD simulations. The proposed dynamical protocol has been
applied to two pharmaceutically relevant systems (GSK-3beta and the N-terminal
domain of HSP90alpha). Our approach is fully independent of any predefined
reaction coordinate (or collective variable). It identified the correct binding
mode of several ligands and can thus save valuable computational time in dynamic
docking simulations.
PMID- 29378137
TI - Metabolomics Uncovers the Regulatory Pathway of Acyl-homoserine Lactones Based
Quorum Sensing in Anammox Consortia.
AB - Acyl-homoserine lactones (AHLs)-mediated quorum sensing in bacterial communities
have been extensively observed. However, the metabolic pathways regulated by AHLs
in bacteria remain elusive. Here, we combined long-term reactor operation with
microbiological and metabolomics analyses to explore the regulatory pathways for
different AHLs in anammox consortia, which perform promising nitrogen removal for
wastewater treatment. The results showed that no obvious shifts induced by
exogenous AHLs occurred in the microbial community and, mainly, dosing AHLs
induced changes in the metabolites. 3OC6-HSL, C6-HSL, and C8-HSL controlled the
electron transport carriers that influence the bacterial activity. In contrast,
only 3OC6-HSL regulated LysoPC(20:0) metabolism, which affected bacterial growth.
AHLs mainly regulated the synthesis of the amino acids Ala, Val, and Glu and
selectively regulated Asp and Leu to affect extracellular proteins.
Simultaneously, all the AHLs regulated the ManNAc biosynthetic pathways, while
OC6-HSL, OC8-HSL, and C6-HSL particularly enriched the UDP-GlcNAc pathway to
promote exopolysaccharides, resulting in different aggregation levels of the
anammox consortia. Our results not only provide the first metabolic insights into
the means by which AHLs affect anammox consortia but also hint at potential
strategies for overcoming the limitations of the long start-up period required
for wastewater treatment by anammox processing.
PMID- 29378138
TI - Phe71 in Type III Trypanosomal Protein Arginine Methyltransferase 7 (TbPRMT7)
Restricts the Enzyme to Monomethylation.
AB - Protein arginine methyltransferase 7 (PRMT7) is unique within the PRMT family as
it is the only isoform known to exclusively make monomethylarginine (MMA). Given
its role in epigenetics, the mechanistic basis for the strict monomethylation
activity is under investigation. It is thought that PRMT7 enzymes are unable to
add a second methyl group because of steric hindrance in the active site that
restricts them to monomethylation. To test this, we probed the active site of
trypanosomal PRMT7 (TbPRMT7) using accelerated molecular dynamics, site-directed
mutagenesis, kinetic, binding, and product analyses. Both the dynamics
simulations and experimental results show that the mutation of Phe71 to Ile
converts the enzyme from a type III methyltransferase into a mixed type I/II,
that is, an enzyme that can now perform dimethylation. In contrast, the serine
and alanine mutants of Phe71 preserve the type III behavior of the native enzyme.
These results are inconsistent with a sterics-only model to explain product
specificity. Instead, molecular dynamics simulations of these variants bound to
peptides show hydrogen bonding between would-be substrates and Glu172 of TbPRMT7.
Only in the case of the Phe71 to Ile mutation is this interaction between MMA and
the enzyme maintained, and the geometry for optimal SN2 methyl transfer is
obtained. The results of these studies highlight the benefit of combined
computational and experimental methods in providing a better understanding for
how product specificity is dictated by PRMTs.
PMID- 29378139
TI - Coil-Globule Collapse of Polystyrene Chains in Tetrahydrofuran-Water Mixtures.
AB - We study the coil and globule states of a single polymer chain in solution by
performing molecular dynamics simulations with a united atom model. Specifically,
we characterize the structural properties of atactic polystyrene chains with N =
20-150 monomers in tetrahydrofuran-water mixtures at varying mixing ratios. We
find that the hydrophobic polymers form rather open coils when the mole fraction
of water, XW, is roughly below 0.25, whereas the chains collapse into globules
when XW ? 0.75. We confirm the theoretically expected scaling laws for the radius
of gyration, Rg, in these regimes, i.e., Rg ? N3/5 and Rg ? N1/3 for good and
poor solvent conditions, respectively. For poor solvent conditions with XW =
0.75, we find a sizable fraction of residual tetrahydrofuran trapped inside the
collapsed polymer chains with an excess amount located at the globule surface,
acting as a protective layer between the hydrophobic polystyrene and the
surrounding water-rich mixture. These findings have important implications for
nanoparticle fabrication techniques where solvent exchange is exploited to drive
polymer aggregation, since residual solvent can significantly influence the
physical properties of the precipitated nanoparticles.
PMID- 29378140
TI - Allosteric Modulation of Human Hsp90alpha Conformational Dynamics.
AB - Central to Hsp90's biological function is its ability to interconvert between
various conformational states. Drug targeting of Hsp90's regulatory mechanisms,
including its modulation by cochaperone association, presents as an attractive
therapeutic strategy for Hsp90 associated pathologies. In this study, we utilized
homology modeling techniques to calculate full-length structures of human
Hsp90alpha in closed and partially open conformations and used these structures
as a basis for several molecular dynamics based analyses aimed at elucidating
allosteric mechanisms and modulation sites in human Hsp90alpha. Atomistic
simulations demonstrated that bound adenosine triphosphate (ATP) stabilizes the
dimer by "tensing" each protomer, while adenosine diphosphate (ADP) and apo
configurations "relax" the complex by increasing global flexibility, the former
case resulting in a fully open "v-like" conformation. Dynamic residue network
analysis revealed regions of the protein involved in intraprotein communication
and identified several key communication hubs that correlate with known
functional sites. Pairwise comparison of betweenness centrality, shortest path,
and residue fluctuations revealed that a proportional relationship exists between
the latter two measurables and an inverse relationship between these two and
betweenness centrality. This analysis showed how protein flexibility, degree of
compactness, and the distance cutoff used for network construction influence the
correlations between these metrics. These findings are novel and suggest shortest
path and betweenness centrality to be more relevant quantities to follow for
detecting functional residues in proteins compared to residue fluctuations.
Perturbation response scanning analysis identified several potential residue
sites capable of modulating conformational change in favor of interstate
conversion. For the ATP-bound open conformation, these sites were found to
overlap with known Aha1 and client binding sites, demonstrating how naturally
occurring forces associated with cofactor binding could allosterically modulate
conformational dynamics.
PMID- 29378141
TI - Quantum-Confined Stark Effect in a MoS2 Monolayer van der Waals Heterostructure.
AB - The optics of dangling-bond-free van der Waals heterostructures containing
transition metal dichalcogenides are dominated by excitons. A crucial property of
a confined exciton is the quantum confined Stark effect (QCSE). Here, such a
heterostructure is used to probe the QCSE by applying a uniform vertical electric
field across a molybdenum disulfide (MoS2) monolayer. The photoluminescence
emission energies of the neutral and charged excitons shift quadratically with
the applied electric field, provided that the electron density remains constant,
demonstrating that the exciton can be polarized. Stark shifts corresponding to
about half the homogeneous linewidth were achieved. Neutral and charged exciton
polarizabilities of (7.8 +/- 1.0) * 10-10 and (6.4 +/- 0.9) * 10-10 D m V-1 at
relatively low electron density (~1012 cm-2) have been extracted, respectively.
These values are one order of magnitude lower than the previously reported values
but in line with theoretical calculations. The methodology presented here is
versatile and can be applied to other semiconducting layered materials.
PMID- 29378142
TI - Intercorrelated In-Plane and Out-of-Plane Ferroelectricity in Ultrathin Two
Dimensional Layered Semiconductor In2Se3.
AB - Enriching the functionality of ferroelectric materials with visible-light
sensitivity and multiaxial switching capability would open up new opportunities
for their applications in advanced information storage with diverse signal
manipulation functions. We report experimental observations of robust intralayer
ferroelectricity in two-dimensional (2D) van der Waals layered alpha-In2Se3
ultrathin flakes at room temperature. Distinct from other 2D and conventional
ferroelectrics, In2Se3 exhibits intrinsically intercorrelated out-of-plane and in
plane polarization, where the reversal of the out-of-plane polarization by a
vertical electric field also induces the rotation of the in-plane polarization.
On the basis of the in-plane switchable diode effect and the narrow bandgap (~1.3
eV) of ferroelectric In2Se3, a prototypical nonvolatile memory device, which can
be manipulated both by electric field and visible light illumination, is
demonstrated for advancing data storage technologies.
PMID- 29378143
TI - Probing Spatiotemporal Stability of Optical Matter by Polarization Modulation.
AB - Light-driven self-organization of plasmonic nanoparticles via optical binding
interactions offers a unique route to assemble mesoscale photonic clusters and
chains. However, stability becomes an issue when more nanoparticles are added
into the clusters and chains, since the theoretical optical binding strength is
inhomogeneous and anisotropic in optical matter systems. Here we study the
spatiotemporal stability of optical matter chains self-organized by two to eight
ultrauniform gold nanospheres in a linearly polarized optical line trap.
Perturbations are introduced into the nanosphere chains by periodically switching
the polarization to be either parallel or perpendicular to the orientation of the
chains, where the spatial and temporal variation of optical binding strength has
been revealed. In addition, we found that the average oscillation amplitude and
stability of the particles can be tuned by the frequency of polarization
modulation. These results demonstrate a new way to study and improve the
stability of optical matter and provide a promising strategy in engineering
optical forces at the mesoscale.
PMID- 29378145
TI - Assessment of common red blood cell pretreatments to yield an accurate serologic
antigen phenotype compared with genotypepredicted phenotype.
AB - CONCLUSIONS: For patients requiring multiple transfusions and patients with
positive direct antiglobulin tests (DATs), an extended red blood cell (RBC)
phenotype can provide valuable information and help to determine the risk of
forming alloantibodies. In some instances, the phenotype may be used for
prophylactic matching. Phenotyping in this patient population is often hindered
by the presence of circulating donor cells and/or by a positive DAT. Several
methods, such as EDTA glycine acid (EGA) treatment to remove IgG, hypotonic
saline wash to separate autologous RBCs, or reticulocyte separation, are often
used in these situations to isolate patient RBCs for serologic phenotyping. This
study aimed to determine the accuracy of each RBC pretreatment method by
comparing serologically determined antigen types with those predicted by RBC
genotyping. Forty-eight peripheral blood samples from recently transfused
patients were phenotyped for selected antigens in the Rh, Kell, MNS, Duffy, and
Kidd systems. Treatment methods for the sample sets were reticulocyte separation
(N = 12), EGA (N = 16), and hypotonic saline wash (N = 20). DNA was extracted
using standard methods, and genotyping was performed using the HEA BeadChip
panel. In addition, 21 samples positive for RBC-bound IgG were EGAtreated up to
two times. These samples were analyzed pre- and post-EGA treatment for RBC-bound
IgG by tube DAT and by flow cytometry with fluorescein isothiocyanate-labeled
antihuman IgG. After reticulocyte separation, 3 of the 12 samples had discordant
types with one antigen each: Fyb, N, and K; serologic results were negative
compared with genotype-predicted positive phenotype results. The EGA-treated
sample set showed one discordant type: Fyb; serologic results were negative
compared with genotype-predicted positive phenotype results. Four of the 20
samples had discordant types involving the following antigens: Fyb, N, e, and M;
serologic results were negative compared with genotype-predicted positive
phenotype results. After EGA treatment of 21 samples, 14 (67%) were negative for
RBC-bound IgG by tube DAT, and 7 remained positive. Using flow cytometry, EGA
treatment rendered only 4 samples negative, and 17 remained positive. In the
antigen testing sample set of 48 samples, 10 of 511 total antigen types tested
were discordant. Discordant types were most frequent in the hypotonic saline wash
sample set (N = 6). In the flow cytometry sample set, 48 percent of the samples
negative by tube DAT after EGA elution had detectable RBCbound IgG by flow
cytometry. These findings suggest that caution should be taken when using
phenotype results from all pretreated RBCs and support the use of RBC genotyping
to predict RBC antigen expression in samples from recently transfused patients.
PMID- 29378144
TI - Biodistribution Analysis of NIR-Labeled Nanogels Using in Vivo FMT Imaging in
Triple Negative Human Mammary Carcinoma Models.
AB - The purpose of this study is to evaluate the biodistribution properties of random
copolymer-based core-cross-linked nanogels of various sizes and surface
poly(ethylene glycol) composition. Systematic variations of near-IR labeled
nanogels, comprising varying particle sizes (28-135 nm), PEG corona quantity (0
50 mol %), and PEG length (PEG Mn 1000, 2000, and 5000), were prepared and
injected in mice that had been subcutaneously implanted with MDA-MB-231-luc
D3H2LN human mammary carcinoma. In vivo biodistribution was obtained using
fluorescence molecular tomography imaging at 0, 6, 24, 48, and 72 h
postinjection. Retention of total body probe and percentages of total injected
dose in the tumor, liver, spleen, lungs, heart, intestines, and kidneys were
obtained. Smaller nanogels (~30-40 nm) with a high PEG conjugation (~43-46 mol %)
of Mn 2000 on their coronas achieved the highest tumor specificity with peak
maximum 27% ID/g, a statistically significant propensity toward accumulation with
16.5% ID/g increase from 0 to 72 h of imaging, which constitutes a 1.5-fold
increase. Nanogels with greater tumor localization also had greater retention of
total body probe over 72 h. Nanogels without extensive PEGylation were rapidly
excreted, even at similar sizes to PEGylated nanogels exhibiting whole body
retention. Of all tissues, the liver had the highest % ID, however, like other
tissues, it displayed a monotonic decrease over time, suggesting nanogel
clearance by hepatic metabolism. Ex vivo quantification of individual tissues
from gross necropsy at 72 h postinjection generally correlated with the FMT
analysis, providing confidence in tissue signal segmentation in vivo. The
parameters determined to most significantly direct a nanogel to the desired tumor
target can lead to improve effectiveness for nanogels as therapeutic delivery
vehicles.
PMID- 29378146
TI - Anti-Vel alloimmunization and severe hemolytic disease of the fetus and newborn.
AB - CONCLUSIONS: Only rare cases of anti-Vel-associated mild-to-moderate hemolytic
disease of the fetus and newborn have been previously reported. No case of fetal
anemia requiring prenatal therapy has been noted to date. We report such a case
recently encountered at our Fetal Center. Strategies are discussed for managing
pregnancy complicated with alloimmunization to an antibody to a high-prevalence
antigen, including sources of red blood cells for intrauterine transfusions.
PMID- 29378147
TI - Separation of multiple antibodies by adsorption with allogeneic red blood cells.
AB - CONCLUSIONS: Antibody detection and identification are processes that are
commonly performed in the transfusion service before transfusion of allogeneic
red blood cells (RBCs). Antibody identification usually follows the discovery of
a positive antibody detection test, or other factors such as ABO serum/cell
discrepancy or an incompatible crossmatch. Antibody identification is a necessary
practice in blood banking to determine the suitability of blood products for
transfusion on an individual basis. When the presence of multiple antibodies is
suspected, several methods, including neutralization of patient's plasma,
titration, elution, chemical or enzyme treatment of reagent RBCs, and adsorption
with allogeneic RBCs, may be used to separate and properly identify other
atypical antibodies that are present in a single serum or plasma sample. This
review will focus on the use of allogeneic adsorption to identify antibody
specificities in a patient's sample.
PMID- 29378148
TI - Hemovigilance and the Notify Library.
AB - CONCLUSIONS: Hemovigilance systems allow reporting of adverse occurrences
associated with blood transfusion to a central database where events can be
reviewed and analyzed for the benefit of patients and donors. Hemolytic and
serologic transfusion reactions are among the many types of reactions reported to
these systems. The Notify Library, a database of adverse events associated with
medical products of human origin, has incorporated hemovigilance into its
didactic resources. Students and practitioners are encouraged to use the
electronic library and to further enhance this resource through review and
recommendation of additional publications in the area of immunohematology.
PMID- 29378149
TI - Clinical and laboratory profile of anti-M.
AB - CONCLUSIONS: Anti-M is a frequently detected naturally occurring antibody that
has been reported in various clinical settings and also in voluntary donors. We
describe here the clinical and laboratory findings of 11 cases with anti-M
detected at our center. This report is a retrospective study in which we reviewed
our immunohematology laboratory records for cases involving anti-M. Both donor
and patient data from a 28-month period (September 2014 to December 2016) were
reviewed. During this period, 11 examples of anti-M were detected (8 patients, 1
voluntary whole blood donor, and 1 hematopoietic stem cell donor. Anti-M was also
detected in one external quality assessment scheme sample received during this
period. In conclusion, anti-M can be detected in various clinical settings. This
antibody can be clinically significant; in the laboratory, it can present as a
serologic problem such as an ABO group discrepancy or an incompatible crossmatch.
After detection, management and course of action is determined by both the
antibody characteristics and the clinical setting.
PMID- 29378150
TI - Dithiothreitol treatment of red blood cells.
AB - CONCLUSIONS: Dithiothreitol (DTT), a reducing reagent, has multiple applications
in blood bank testing. DTT disrupts the bridging of the disulfide bonds between
amino acid residues necessary for structural conformation of some proteins and
the bonds holding an IgM molecule in the pentameric formation. DTT treatment of
red blood cells (RBCs) can denature or modify certain blood group antigens-in
particular, those in the Kell, Lutheran, YT, JMH, LW, Cromer, Indian, Dombrock,
and Knops systems-and prevent recognition by the corresponding antibodies. It
also destroys RBC CD38, allowing DTT-treated RBCs to be used to avoid testing
interference by therapeutic anti-CD38 preparations. DTT treatment can be used to
disperse spontaneous agglutination of RBCs caused by heavy IgM autoantibody
coating that invalidates ABO/Rh cell grouping and direct antiglobulin tests.
PMID- 29378151
TI - What Happens after a Diagnosis of High-Risk Breast Lesion at Stereotactic Vacuum
assisted Biopsy? An Observational Study of Postdiagnosis Management and Imaging
Adherence.
AB - Purpose To assess adherence with annual or biennial screening mammography after a
diagnosis of high-risk lesion(s) at stereotactic biopsy with or without surgical
excision and to identify clinical factors that may affect screening adherence
after a high-risk diagnosis. Materials and Methods This institutional review
board-approved HIPAA-compliant retrospective study included 208 patients who
underwent stereotactic biopsy between January 2012 and December 2014 that
revealed a high-risk lesion. Whether the patient underwent surgical excision
and/or follow-up mammography was documented. Adherence of these women to a
protocol of subsequent mammography within 1 year (9-18 months) or within 2 years
(9-30 months) was compared with that of 45 508 women with normal screening
mammograms who were imaged during the same time period at the same institution.
Possible factors relevant to postdiagnosis management and screening adherence
were assessed. Consultation with a breast surgeon was identified by reviewing
clinical notes. Uptake of pharmacologic chemoprevention following diagnosis
(patient decision to take chemopreventive medications) was assessed. The Fisher
exact test was used to compare annual or biennial screening adherence rates.
Binary logistic regression was used to identify factors predictive of whether
women returned for screening within selected time frames. Results In total, 913
(1.3%) of 67 874 women were given a recommendation to undergo stereotactic
biopsy, resulting in diagnosis of 208 (22.8%) of 913 high-risk lesions. Excluding
those with a prior personal history of breast cancer or upgrade to cancer at
surgery, 124 (66.7%) of 186 women underwent surgery and 62 (33.3%) did not.
Overall post-high-risk diagnosis adherence to annual or biennial mammography was
similar to that in control subjects (annual, 56.4% vs 50.8%, P = .160; biennial,
62.0% vs 60.1%, P = .630). Adherence was significantly better in the surgical
group than in the nonsurgical group for annual mammography (70.0% vs 32.0%; odds
ratio [OR] = 5.0; 95% confidence interval [CI]: 2.4, 10.1; P < .001) and for
biennial mammography (74.3% vs 40.0%; OR = 4.3; 95% CI: 2.1, 8.8; P < .001).
Among the patients in the nonsurgical group, those adherent to annual or biennial
mammography were significantly more likely to have seen a breast surgeon than the
nonadherent women (annual, 77.3% vs 35.7%, P = .005; biennial, 67.9% vs 36.4%, P
= .045). All patients receiving chemopreventive agents underwent a surgical
consultation (100%; n = 21). Conclusion Although diagnosis of a high-risk lesion
at stereotactic breast biopsy did not compromise overall adherence to subsequent
mammographic screening, patients without surgical excision, particularly those
who did not undergo a surgical consultation, had significantly lower imaging
adherence and chemoprevention uptake as compared with their counterparts who
underwent surgery, suggesting that specialist care may be important in optimizing
management. (c) RSNA, 2018.
PMID- 29378152
TI - Beneficial effects of N-acetylcysteine on hepatic oxidative stress in
streptozotocin-induced diabetic rats.
AB - Diabetes is one of the leading diseases worldwide and, thus, finding new
therapeutic alternatives is essential. The development of non-alcoholic fatty
liver disease is a notable diabetic complication. Therefore, antioxidant therapy
became a leading topic in the world of diabetes research. The objective of this
present study was to evaluate the effects of antioxidant N-acetylcysteine (NAC)
administration on serum biochemical parameters and oxidative stress parameters in
hepatic tissue of the diabetic rats. Thirty-two animals were divided in 4 groups
(n = 8): G1, normal rats; G2, normal rats + NAC; G3, diabetic rats; and G4,
diabetic rats + NAC. Diabetes was induced in diabetic groups through
streptozotocin. NAC administration was effective in improving hyperglycemia and
hypoinsulinemia, as well as reducing serum alanine-aminotransferase and urea,
hepatic triglycerides accumulation, and oxidative stress biomarkers in the
diabetic liver, as well as improving the activity of hepatic antioxidant enzymes.
This effect was likely due to NAC's ability of restoring intracellular
glutathione, an important compound for the antioxidant defense, as well as due to
NAC's direct antioxidant properties. Thus, NAC administration was useful for
reducing hepatic oxidative stress and decreased the deposit of triacylglycerols,
minimizing diabetic hepatic damage, making it a promising therapeutic adjuvant in
the future.
PMID- 29378153
TI - Curcumin supplementation ameliorated vascular dysfunction and improved
antioxidant status in rats fed a high-sucrose, high-fat diet.
AB - Vascular endothelial dysfunction is a potential risk factor for cardiovascular
disease. This study evaluated the effect of curcumin on factors associated with
vascular dysfunction using rats fed a high-sucrose, high-fat (HSF) diet. The
experiment included 2 animal feeding phases. In the first feeding phase, male
Sprague-Dawley rats were randomly divided into 2 groups: the control group (n =
8) was fed a standard diet (AIN-93G) and the HSF group (n = 24) was fed an HSF
diet for 8 weeks to induce obesity. In the second feeding phase, lasting 4 weeks,
the HSF group was randomly divided into 3 subgroups: the O group (n = 8)
continued feeding on the HSF diet, the OA group (n = 8) had the HSF diet replaced
with AIN-93G, and the OC group (n = 8) was fed the HSF diet supplemented with
curcumin (300 mg/kg body weight daily). After 8 weeks, the HSF diet significantly
elevated levels of aspartate aminotransferase (AST), alanine aminotransferase
(ALT), insulin, homeostatic model assessment insulin resistance (HOMA-IR), low
density lipoprotein cholesterol (LDL-C), homocysteine (Hcy), C-reactive protein
(CRP), vascular cell adhesion molecule 1 (VCAM-1), and intercellular adhesion
molecule 1 (ICAM-1) but significantly reduced levels of nitric oxide (NO) and
high-density lipoprotein cholesterol (HDL-C). After dietary intervention, the OA
and OC groups exhibited significantly lower levels of AST, ALT, HOMA-IR,
cholesterol, LDL-C, Hcy, CRP, VCAM-1, and ICAM-1 and higher levels of NO and
catalase (CAT) activity compared with the O group. Superoxide dismutase, CAT, and
glutathione peroxidase activities were increased in the OA group, while CAT
levels were enhanced in the OC group. In conclusion, this study showed that
curcumin supplementation and diet modification can inhibit HSF diet-induced
vascular dysfunction potentially by enhancing NO production and antioxidant
enzyme activities, thereby suppressing inflammation and oxidative damage in the
vascular endothelium.
PMID- 29378154
TI - Plasma dispositions and concentrations of ivermectin in eggs following treatment
of laying hens.
AB - AIMS: To determine the plasma disposition and concentrations of ivermectin (IVM)
in eggs produced by laying hens following S/C, oral and I/V administration.
METHODS: Twenty-four laying hens, aged 37 weeks and weighing 1.73 (SD 0.12) kg
were allocated to three groups of eight birds. The injectable formulation of IVM
was administered either orally, S/C, or I/V, at a dose of 0.2 mg/kg liveweight,
following dilution (1:5, v/v) with propylene glycol. Heparinised blood samples
were collected at various times between 0.25 hours and 20 days after drug
administration. Eggs produced by hens were also collected daily throughout the
study period. Samples of plasma and homogenised egg were analysed using HPLC.
RESULTS: Maximum concentrations of IVM in plasma and mean residence time of IVM
were lower after oral (10.2 (SD 7.2) ng/mL and 0.38 (SD 0.14) days, respectively)
than after S/C (82.9 (SD 12.4) ng/mL and 1.05 (SD 0.24) days, respectively)
administration (p<0.01). The time to maximum concentration and elimination half
life were shorter following oral (0.14 (SD 0.04) and 0.23 (SD 0.11) days,
respectively) than S/C (0.25 (SD 0.00) and 1.45 (SD 0.45) days, respectively)
administration (p<0.01). IVM was first detected in eggs 2 days after treatment in
all groups and was detected until 8 days after oral and I/V administration, and
until 15 days after S/C administration. Peak concentrations of IVM were 15.7,
23.3 and 1.9 ug/kg, observed 2, 5 and 4 days after I/V, S/C and oral
administration, respectively. CONCLUSIONS AND CLINICAL RELEVANCE: The low plasma
bioavailability of IVM observed after oral administration in laying hens could
result in lower efficacy or subtherapeutic plasma concentrations, which may
promote the development of parasitic drug resistance. Due to high IVM residues in
eggs compared to the maximum residue limits for other food-producing animal
species, a withdrawal period should be necessary for eggs after IVM treatment in
laying hens.
PMID- 29378155
TI - Reliability of the individual components of the Canadian Armed Forces Physical
Employment Standard.
AB - This investigation recruited 24 participants from both the Canadian Armed Forces
(CAF) and civilian populations to complete 4 separate trials at "best effort" of
each of the 4 components in the CAF Physical Employment Standard named the FORCE
Evaluation: Fitness for Operational Requirements of CAF Employment. Analyses were
performed to examine the level of variability and reliability within each
component. The results demonstrate that candidates should be provided with at
least 1 retest if they have recently completed at least 2 previous best effort
attempts as per the protocol. In addition, the minimal detectable difference is
given for each of the 4 components in seconds which identifies the threshold for
subsequent action, either retest or remedial training, for those unable to meet
the minimum standard. These results will educate the delivery of this employment
standard, function as a method of accommodation, in addition to providing
direction for physical training programs.
PMID- 29378157
TI - Errata.
PMID- 29378156
TI - Pseudo-Infected Red Blood Cell Beads as Positive Control for Cell Microarray Chip
Based Detection of Plasmodium-Infected RBCs.
AB - The cell microarray chip is a polystyrene plate with 20,944 microchambers, and it
is used to detect red blood cells (RBCs) infected with the causative agent of
malaria, Plasmodium. Plasmodium-infected red blood cells (iRBCs) stained with a
nuclear staining dye (SYTO 21) form a monolayer on the bottom of the
microchambers, and about 130 RBCs are accommodated in each such microchamber of
the chip. The iRBCs in the RBC monolayer (containing 2.7 million RBCs) can be
identified using a fluorescence detector, and the infection rate can be
calculated by counting the number of fluorescent-positive RBCs. This diagnostic
device is highly sensitive and hence advantageous for early diagnosis of malaria
infections in endemic areas. However, a standard positive control for Plasmodium
infected RBCs is required to ensure that the reagents and detectors of these cell
microarray chips are working efficiently in remote endemic areas. Here, we
introduce "pseudo-iRBC beads," which consist of a mixture of DEA beads mimicking
RBCs and DEA beads coated with nucleic acids mimicking nuclei of the parasite.
These beads can be stained with SYTO 21, applied onto the cell microarray chip to
form a monolayer, and detected using the fluorescence detector in the same way as
iRBCs. Therefore, the introduction of pseudo-iRBC beads as a positive control
ensures unbiased malaria diagnoses with the cell microarray chip device in remote
endemic areas.
PMID- 29378158
TI - Errata.
PMID- 29378159
TI - Erratum to: Upstream signalling of mTORC1 and its hyperactivation in type 2
diabetes (T2D).
AB - The original version of this article unfortunately contained typographical errors
in the text and figures. This article has been updated to correct these errors as
red highlighting in this pages.
PMID- 29378160
TI - Distinct Presentation of a Neglected Tropical Disease on Screening Colonoscopy.
PMID- 29378161
TI - Rational redesign of the ferredoxin-NADP+-oxido-reductase/ferredoxin-interaction
for photosynthesis-dependent H2-production.
AB - Utilization of electrons from the photosynthetic water splitting reaction for the
generation of biofuels, commodities as well as application in biotransformations
requires a partial rerouting of the photosynthetic electron transport chain. Due
to its rather negative redox potential and its bifurcational function, ferredoxin
at the acceptor side of Photosystem 1 is one of the focal points for such an
engineering. With hydrogen production as model system, we show here the impact
and potential of redox partner design involving ferredoxin (Fd), ferredoxin-oxido
reductase (FNR) and [FeFe]-hydrogenase HydA1 on electron transport in a future
cyanobacterial design cell of Synechocystis PCC 6803. X-ray-structure-based
rational design and the allocation of specific interaction residues by NMR
analysis led to the construction of Fd- and FNR-mutants, which in appropriate
combination enabled an about 18-fold enhanced electron flow from Fd to HydA1 (in
competition with equimolar amounts of FNR) in in vitro assays. The negative
impact of these mutations on the Fd-FNR electron transport which indirectly
facilitates H2 production (with a contribution of <=42% by FNR variants and <=23%
by Fd-variants) and the direct positive impact on the Fd-HydA1 electron transport
(<=23% by Fd-mutants) provide an excellent basis for the construction of a
hydrogen-producing design cell and the study of photosynthetic efficiency
optimization with cyanobacteria.
PMID- 29378162
TI - Effects of cerium oxide nanoparticles on differentiated/undifferentiated human
intestinal Caco-2 cells.
AB - Since ingestion constitute one of the main routes of nanoparticles (NPs)
exposure, intestinal cells seems to be a suitable choice to evaluate their
potential harmful effects. Caco-2 cells, derived from a human colon
adenocarcinoma, have the ability to differentiate forming consistent cell
monolayer structures. For these reasons Caco-2 cells, both in their
undifferentiated or differentiated state, are extendedly used. We have used well
structured monolayers of differentiated Caco-2 cells, as a model of intestinal
barrier, to evaluate potential harmful effects associated to CeO2NPs exposure via
ingestion. Different parameters such as cell toxicity, monolayer integrity and
permeability, cell internalization, translocation through the monolayer, and
induction of DNA damage were evaluated. No toxic effects of CeO2NPs were
observed, independently of the differentiated state of the Caco-2 cells. In the
same way, no effects on the monolayer integrity/permeability were observed.
Although important cell uptake was demonstrated in undifferentiated cells (by
using confocal microscopy), CeO2NPs remained mostly attached to the apical
membrane in the differentiated cells. In spite of this apparent lack of uptake in
differentiated cells, translocation of CeO2NPs to the basolateral chamber was
observed by using confocal microscopy. Finally no genotoxic effects were observed
when the comet assay was used, although decreases in the levels of oxidized bases
were observed, supporting the antioxidant role of CeO2NPs.
PMID- 29378163
TI - Microparticles as prognostic biomarkers in dengue virus infection.
AB - Promising biomarkers which may help predict the risk of developing severe dengue
virus infection (DVI) are lacking and will be helpful. Thus the main aim of this
study was to analyze the role of cell-derived microparticles (MP) in DVI. Sixty
patients with DVI i.e. 18: dengue with warning signs (DWS); 1: DSS and 41: dengue
without warning signs (DWOS); along with 15 controls (other febrile illness) were
included in the study. The following MPs were assessed: annexinV, platelet
(CD41a), red blood cell (RBC) (CD235a) and activated endothelial (CD62e) MPs.
Patients with profound thrombocytopenia without bleeding had statistically
elevated platelet MP (PMP) levels when compared to patients with profound
thrombocytopenia with bleeding (p < .001). RBC MPs were found to be significantly
elevated in the 2nd phase in patient with DWS which was seen earliest on day 4 of
infection with a cut off of >=2200 MPs/MUl when compared to patients with DWOS
(p < .0001). PMPs may prove to be a promising novel biomarker which helps
discriminate patients in need of prophylactic platelet transfusion from those who
do not. RBC MPs, on the other hand could be potential biomarkers capable of
identifying potentially severe patients who require immediate care. Thus, MPs
seem to be a promising important biomarker in many aspects of DVI.
PMID- 29378165
TI - SAXS and homology modelling based structure characterization of pectin
methylesterase a family 8 carbohydrate esterase from Clostridium thermocellum
ATCC 27405.
AB - Pectin methylesterase (CtPME) from Clostridium thermocellum of family 8
carbohydrate esterase (CE8) belongs to pectin methylesterase super family
(E.C.3.1.1.11). BLAST analysis of CtPME showed 38% sequence identity with PME
from Erwinia chrysanthemi. Multiple sequence alignment of CtPME with other known
structures of pectin methylesterase revealed the conserved and semi-conserved
amino acid residues. Homology modelling of CtPME structure revealed a
characteristic right handed parallel beta-helices. The energy of modelled
structure was minimized by using YASARA software. The Ramachandran plot of CtPME
shows 83.7% residues in non-glycine and non-proline residues in most-favorable
region, 13.8% in additional allowed region and 1.4% in generously allowed region,
indicating that CtPME has a stable conformation. The secondary structure of CtPME
predicted using PSI-Pred software and confirmed by the circular dichroism (CD)
showed alpha-helices (3.1%), beta-sheets (40.1%) and random coils (56.9%). Small
Angle X-ray Scattering (SAXS) analysis demonstrated the overall shape and
structural characterization of CtPME in solution form. Guinier analysis gave the
radius of gyration (Rg) 2.28 nm for globular shape and 0.74 nm for rod shape.
Kratky plot gave the indication that protein is fully folded in solution. The ab
initio derived dummy atom model of CtPME superposed well on modelled CtPME
structure.
PMID- 29378164
TI - The chlorinated lipidome originating from myeloperoxidase-derived HOCl targeting
plasmalogens: Metabolism, clearance, and biological properties.
AB - Myeloperoxidase produces the two-electron oxidant HOCl, which targets plasmalogen
phospholipids liberating 2-chlorofatty aldehyde. 2-Chlorofatty aldehyde has four
known fates: 1) oxidation to 2-chlorofatty acid; 2) reduction to 2-chlorofatty
alcohol; 3) Schiff base adduct formation with proteins and amines; and 4)
reactivity with glutathione through nucleophilic attack of the alpha-chlorinated
carbon. 2-Chlorofatty acid does not undergo conventional fatty acid beta
oxidation due to the presence of the alpha-chlorinated carbon; however, 2
chlorofatty acid does undergo sequential omega-oxidation and beta-oxidation from
the omega-end, ultimately resulting in 2-chloroadipic acid urinary excretion.
Recent studies have demonstrated that 2-chlorofatty acid clearance is increased
by treatment with the PPAR-alpha agonist WY14643, which increases the enzymatic
machinery responsible for hepatic omega-oxidation. Furthermore, 2-chlorofatty
acid has been shown to be a PPAR-alpha agonist, and thus accelerates its own
clearance. The roles of 2-chlorofatty aldehyde and 2-chlorofatty acid on
leukocyte and endothelial function have been explored by several groups,
suggesting that chlorinated lipids induce endothelial cell dysfunction,
neutrophil chemotaxis, monocyte apoptosis, and alterations in vascular tone.
Thus, the chlorinated lipidome, produced in response to leukocyte activation, is
a potential biomarker and therapeutic target to modulate host response in
inflammatory diseases.
PMID- 29378166
TI - Duplex recombinase polymerase amplification assays incorporating competitive
internal controls for bacterial meningitis detection.
AB - Recombinase polymerase amplification (RPA) is an isothermal nucleic acid
amplification technology that provides rapid and robust infectious disease
pathogen detection, ideal for point-of-care (POC) diagnostics in disease
prevalent low-resource countries. We have developed and evaluated three duplex
RPA assays incorporating competitive internal controls for the detection of
leading bacterial meningitis pathogens. Streptococcus pneumoniae, Neisseria
meningitidis and Haemophilus influenzae singleplex RPA assays were initially
developed and evaluated, demonstrating 100% specificity with limits of detection
of 4.1, 8.5 and 3.9 genome copies per reaction, respectively. Each assay was
further developed into internally controlled duplex RPA assays via the
incorporation of internal amplification control templates. Clinical performance
of each internally controlled duplex RPA assay was evaluated by testing 64
archived PCR-positive clinical samples. Compared to real-time PCR, all duplex RPA
assays demonstrated 100% diagnostic specificity, with diagnostic sensitivities of
100%, 86.3% and 100% for the S. pneumoniae, N. meningitidis and H. influenzae
assays, respectively. This study details the first report of internally
controlled duplex RPA assays for the detection of bacterial meningitis pathogens:
S. pneumoniae, N. meningitidis and H. influenzae. We have successfully
demonstrated the clinical diagnostic utility of each duplex RPA assay,
introducing effective diagnostic technology for POC bacterial meningitis
identification in disease-prevalent developing countries.
PMID- 29378167
TI - Rapid detection of potyviruses from crude plant extracts.
AB - Potyviruses (genus Potyvirus; family Potyviridae) are widely distributed and
represent one of the most economically important genera of plant viruses.
Therefore, their accurate detection is a key factor in developing efficient
control strategies. However, this can sometimes be problematic particularly in
plant species containing high amounts of polysaccharides and polyphenols such as
yam (Dioscorea spp.). Here, we report the development of a reliable, rapid and
cost-effective detection method for the two most important potyviruses infecting
yam based on reverse transcription-recombinase polymerase amplification (RT-RPA).
The developed method, named 'Direct RT-RPA', detects each target virus directly
from plant leaf extracts prepared with a simple and inexpensive extraction method
avoiding laborious extraction of high-quality RNA. Direct RT-RPA enables the
detection of virus-positive samples in under 30 min at a single low operation
temperature (37 degrees C) without the need for any expensive instrumentation.
The Direct RT-RPA tests constitute robust, accurate, sensitive and quick methods
for detection of potyviruses from recalcitrant plant species. The minimal sample
preparation requirements and the possibility of storing RPA reagents without cold
chain storage, allow Direct RT-RPA to be adopted in minimally equipped
laboratories and with potential use in plant clinic laboratories and seed
certification facilities worldwide.
PMID- 29378168
TI - Potential of anti-inflammatory agents for treatment of atherosclerosis.
AB - Chronic inflammation is a central pathogenic mechanism of atherosclerosis
induction and progression. Vascular inflammation is associated with accelerated
onset of late atherosclerosis complications. Atherosclerosis-related inflammation
is mediated by a complex cocktail of pro-inflammatory cytokines, chemokines,
bioactive lipids, and adhesion molecules, and blocking the key pro-atherogenic
inflammatory mechanisms can be beneficial for treatment of atherosclerosis.
Therapeutic agents that specifically target some of the atherosclerosis-related
inflammatory mechanisms have been evaluated in preclinical and clinical studies.
The most promising anti-inflammatory compounds for treatment of atherosclerosis
include non-specific anti-inflammatory drugs, phospholipase inhibitors, blockers
of major inflammatory cytokines, leukotrienes, adhesion molecules, and pro
inflammatory signaling pathways, such as CCL2-CCR2 axis or p38 MAPK pathway.
Ongoing studies attempt evaluating therapeutic utility of these anti-inflammatory
drugs for treatment of atherosclerosis. The obtained results are important for
our understanding of atherosclerosis-related inflammatory mechanisms and for
designing randomized controlled studies assessing the effect of specific anti
inflammatory strategies on cardiovascular outcomes.
PMID- 29378169
TI - Aberrant endoplasmic reticulum stress mediates coronary artery spasm through
regulating MLCK/MLC2 pathway.
AB - Coronary artery spasm (CAS) is a pathophysiological phenomenon that may cause
myocardial infarction and lead to circulatory collapse and death. Aberrant
endoplasmic reticulum (ER) stress causes accumulation of misfolding proteins and
has been reported to be involved in a variety of vascular diseases. The present
study investigated the role of ER stress in the development of CAS and explored
the possible molecular mechanisms. Initially, it was found that ER stress markers
were elevated in response to drug-induced vascular smooth muscle cells (VSMCs)
contraction. Pharmacologic activation of ER stress using Tunicamycin (Tm)
persistently induced CAS and significantly promoted Pituitrin-induced CAS in mice
as well as in a collagen gel contraction assay. On the contrary, pharmacologic
inhibition of ER stress using 4-phenylacetic acid (4-PBA) completely blunted
Pituitrin-induced CAS development in mice. Moreover, during the drug-induced
VSMCs contraction, expression of ER stress markers were increased in parallel to
those of myosin light chain kinase (MLCK) and phosphor-MLC2 (p-MLC2, at Ser19).
After inhibiting MLCK activity by using its specific inhibitor ML-7, the ER
stress activator Tm failed to activate the MLCK/MLC2 pathway and could neither
trigger CAS in mice nor induce VSMCs contraction in vitro. Our results suggested
that aberrant ER stress mediated CAS via regulating the MLCK/MLC2 pathway. ER
stress activators might be more robust than the common drugs (Pituitrin or
acetylcholine) as to induce vasocontraction and thus may serve as potential
therapeutics against chronic bleeding, while its inhibitor might be useful for
treatment of severe CAS caused by other medication.
PMID- 29378170
TI - Long non-coding RNA PCAT-1 in human cancers: A meta-analysis.
AB - BACKGROUND AND AIMS: Prostate cancer-associated non-coding RNA transcript-1(PCAT
1), which is a newly discovered long non-coding RNA, is up-regulated in various
cancers. We conducted a meta-analysis to assess the clinicopathological and
prognostic value of PCAT-1 in patients with malignant tumors. METHODS: A
systematic literature search involved PubMed, Medline, Cochrane Library, Web of
Science, EMBASE database, Ovid, Chinese CNKI, and the Chinese WanFang database.
The role of PCAT-1 in cancers was evaluated by pooled odds ratios (ORs) and
hazard ratios (HRs) with 95% confidence intervals (CIs). RESULTS: A total of 1005
patients from nine studies were included in this meta-analysis. High expression
of PCAT-1 was associated with depth of infiltration, lymph node metastasis,
distant metastasis and TNM stage. However, increased PCAT-1 expression was not
related to gender, tumor size and differentiation. Moreover, high PCAT-1
expression was associated with poor overall survival (OS) and disease-free
survival (DFS), and the pooled results suggested that PCAT-1 expression can be an
independent predictive factor for overall survival. CONCLUSION: This meta
analysis provides evidence that PCAT-1 expression is closely correlated with
depth of infiltration, lymph node metastasis, distant metastasis and TNM stage,
and that increased PCAT-1 expression may be a potential prognostic biomarker in
human cancers. However, more large-scale studies are warranted.
PMID- 29378171
TI - Discriminating myelodysplastic syndrome and other myeloid malignancies from non
clonal disorders by multiparametric analysis of automated cell data.
AB - BACKGROUND: We investigated the usefulness of novel complete blood count (CBC)
data for discriminating myeloid malignancies from non-clonal CBC abnormalities.
METHODS: Data were obtained during routine CBC tests of 119 samples from 37
myelodysplastic syndrome (MDS) patients, 92 samples from 45 myeloproliferative
neoplasm (MPN) patients, and 15 samples from 11 chronic myelogenous leukemia
(CML) patients using a DxH800 (Beckman Coulter). Data obtained from patients with
hypocellular bone marrow and from those with other non-clonal diseases with CBC
abnormalities were included in the comparisons. RESULTS: For cell population data
of neutrophils, the means of median, upper median, lower median, and low angle
light scatters were significantly lower in MDS patients than in patients without
hematological malignancies. Low hemoglobin density (LHD) did not significantly
differ between the MDS and non-clonal cytopenia patients, but it was
significantly higher in the MPN and CML patients. We selected 13 parameters and
scored the MDS diagnosis using cut-off values obtained from receiver operating
characteristic (ROC) curve analysis. Using a score > 9, MDS was distinguished
from non-clonal cytopenia with a sensitivity of 92.4% and a specificity of 85.4%.
CONCLUSIONS: Multiparametric analyses of new automated parameters are useful for
discriminating MDS from non-clonal cytopenia.
PMID- 29378172
TI - Modeling Idiopathic Pulmonary Fibrosis in Humanized Severe Combined
Immunodeficient Mice.
AB - Idiopathic pulmonary fibrosis (IPF) is a fibrotic lung disease of unknown
etiopathogenesis with limited therapeutic options. IPF is characterized by an
abundance of fibroblasts and loss of epithelial progenitors, which cumulates in
unrelenting fibrotic lung remodeling and loss of normal oxygenation. IPF has been
challenging to model in rodents; nonetheless, mouse models of lung fibrosis
provide clues as to the natural progression of lung injury and remodeling, but
many have not been useful in predicting efficacy of therapeutics in clinical IPF.
We provide a detailed methodologic description of various iterations of humanized
mouse models, initiated by the i.v. injection of cells from IPF lung biopsy or
explants specimens into severe combined immunodeficiency (SCID)/beige or nonobese
diabetic SCID gamma mice. Unlike cells from normal lung samples, IPF cells
promote persistent, nonresolving lung remodeling in SCID mice. Finally, we
provide examples and discuss potential advantages and pitfalls of human-specific
targeting approaches in a humanized SCID model of pulmonary fibrosis.
PMID- 29378173
TI - Comparative Histopathologic Lesions of the Male Reproductive Tract during Acute
Infection of Zika Virus in AG129 and Ifnar-/- Mice.
AB - An understanding of the pathogenesis of infection with the Zika virus in the male
reproductive tract is vital for the development of vaccines and antivirals that
will limit or prevent sexual transmission. Two common immunocompromised mouse
strains used in transmission studies-male with genes encoding interferon types I
and II receptor gene knockout (IFNAR/IFNGR; AG129) and with interferon type 1
receptor knockout (Ifnar-/-) were infected with a Puerto Rican Zika virus isolate
(PRVABC59), and pathology was assessed 5 to 11 days after infection. Virus was
detected by immunohistochemistry and quantitative RT-PCR in the testicle and
epididymis of AG129 and Ifnar-/- mice, and by immunohistochemistry in the
prostate and seminal vesicle of infected AG129 mice. Severe disease
manifestations initiating as epididymitis and progressing to orchitis were
observed in both models, with more severe inflammation noted in the AG129 mouse
strain. Significant inflammation was not observed in any evaluated accessory sex
gland at any point during infection. Time-course analysis of infection revealed
an increase in the severity of disease within the epididymis of both strains,
indicating a potential route of sexual transmission. Male mice with Ifnar-/- may
better recapitulate Zika virus in humans and provide insight into the mechanism
of sexual transmission, due to milder histopathologic lesions, the presence of
histologically normal sperm in epididymal tubules, and an ability to survive the
acute phase of disease.
PMID- 29378175
TI - Re: African Americans in Oral and Maxillofacial Surgery: Factors Affecting Career
Choice, Satisfaction and Practice Patterns.
PMID- 29378176
TI - Total Maxillary Alveolar Osteotomy: Surgical Technique and Review of Its
Efficacy.
AB - PURPOSE: The total maxillary alveolar osteotomy (TMxAO) is not commonly used to
manage maxillary skeletal deformities. This article describes the technique,
reviews its stability, and discusses its advantages over the more popular Le Fort
I osteotomy. MATERIALS AND METHODS: A series of 177 TMxAO patients satisfying the
inclusion criteria from a cadre of 234 patients undergoing TMxAO between 1985 and
1993 were evaluated in a retrospective cohort study regarding achievement of
predicted anatomic movements, stability of skeletal results, and preservation of
inter-alar dimension. The patients were evaluated early postoperatively and at 11
to 14 months postoperatively, and the data were exposed to the Shapiro-Wilk test
and analysis of variance and analyzed using SPSS software (version 21; IBM,
Armonk, NY) at the 99% level of confidence (P <= .01). RESULTS: Only in 58% of
patients did the designed millimetric study model changes correspond precisely to
the millimetric changes disclosed in a comparison of preoperative and early
postoperative cephalograms. Comparison of early and late postoperative
cephalograms, with infrequent exceptions, described millimetric instabilities of
less than 2 mm and angular instabilities of less than 2 degrees . Despite 2
significant outliers, the average inter-alar instability as determined by direct
measurement was 0.19 mm. CONCLUSIONS: This study suggests that the TMxAO compares
favorably in stability with the Le Fort I osteotomy as recorded in the literature
and, in terms of versatility, mobility of segments, avoidance of anatomic hazard,
and preservation of nasal width, offers advantages in comparison.
PMID- 29378174
TI - Hippo Cascade Controls Lineage Commitment of Liver Tumors in Mice and Humans.
AB - Primary liver cancer consists mainly of hepatocellular carcinoma (HCC) and
intrahepatic cholangiocarcinoma (ICC). A subset of human HCCs expresses a ICC
like gene signature and is classified as ICC-like HCC. The Hippo pathway is a
critical regulator of normal and malignant liver development. However, the
precise function(s) of the Hippo cascade along liver carcinogenesis remain to be
fully delineated. The role of the Hippo pathway in a murine mixed HCC/ICC model
induced by activated forms of AKT and Ras oncogenes (AKT/Ras) was investigated.
The authors demonstrated the inactivation of Hippo in AKT/Ras liver tumors
leading to nuclear localization of Yap and TAZ. Coexpression of AKT/Ras with
Lats2, which activates Hippo, or the dominant negative form of TEAD2 (dnTEAD2),
which blocks Yap/TAZ activity, resulted in delayed hepatocarcinogenesis and
elimination of ICC-like lesions in the liver. Mechanistically, Notch2 expression
was found to be down-regulated by the Hippo pathway in liver tumors.
Overexpression of Lats2 or dnTEAD2 in human HCC cell lines inhibited their growth
and led to the decreased expression of ICC-like markers, as well as Notch2
expression. Altogether, this study supports the key role of the Hippo cascade in
regulating the differentiation status of liver tumors.
PMID- 29378177
TI - Leadership in Ophthalmology: The Role of Physician-MBAs.
AB - PURPOSE: As American health care evolves, an increasing number of doctors are
pursuing MBAs. However, relatively little is known about how business training
translates into their future careers. This study characterizes ophthalmologists
who have completed MBAs and identifies opportunities for physician leadership in
the field. DESIGN: Cross-sectional study. METHODS: We identified 120
ophthalmologists who hold MBAs. We searched each individual's online profiles to
collect information on demographics, training, and professional activities.
RESULTS: Physician-MBAs in ophthalmology are 80% male; 80% are fellowship
trained; and 28% are in primarily nonclinical roles and 55% participate in
significant nonclinical activity. Hospital administration is most common (31%),
followed by pharmaceutical administration (7%) and consulting (5%). Older
ophthalmologist-MBAs were more likely to work in nonclinical roles, with 79% of
those who completed residency before 2000 engaged in significant nonclinical
activity compared to 30% of those who completed residency after 2000. The most
common employers of physician-MBAs in ophthalmology are academic medical centers
(43%), large group practices (30%), and private practices (13%). CONCLUSIONS: The
majority of ophthalmologist-MBAs work in primarily clinical roles, although a
sizable proportion hold nonclinical positions. Moving forward, we anticipate an
increased role for physician leaders in health care administration, policy, and
entrepreneurship. While formal management training is not necessary for these
roles, a growing number of physicians have sought out MBAs to support their
nonclinical interests.
PMID- 29378178
TI - Limbal Stem Cell Deficiency-Demography and Underlying Causes.
AB - PURPOSE: To determine the demographic features of patients affected by limbal
stem cell deficiency (LSCD), and to identify the underlying causes of LSCD.
DESIGN: Retrospective, multicenter case series. SETTING: Two large tertiary care
ophthalmology hospitals. SUBJECTS: Patients with a diagnosis of LSCD presenting
from January 1, 2005 to December 31, 2014. METHODS: Records of patients with a
clinical diagnosis of LSCD were reviewed. Demographic details and clinical
features at presentation, as well as the underlying cause of LSCD (if
identified), were noted. Descriptive statistical analysis and chart preparation
were done. Main outcome measures were type of LSCD (unilateral or bilateral), age
and sex of patients, extent of LSCD (clock hours of limbus involved), and
underlying cause of LSCD. RESULTS: We found 1331 patients with LSCD in the 10
year period under study. Unilateral LSCD was more common (791 patients) than
bilateral LSCD (540 patients). Out of 1331 patients, 875 (65.74%) were male. The
median age of patients was 24 years. Extent of LSCD could be determined in 1849
eyes, of which 1239 eyes (67.00%) had total LSCD. The underlying cause of LSCD
could be identified in 1512 eyes. In cases of unilateral LSCD, ocular surface
burns was the commonest identifiable cause (83.73%). The leading identifiable
causes of bilateral LSCD were ocular surface burns (29.95%), allergic
conjunctivitis (29.48%), Stevens-Johnson syndrome (SJS) or toxic epidermal
necrolysis (TEN) (23.11%), aniridia (9.43%), and mucous membrane pemphigoid
(3.54%). Lime ("chuna") injury was responsible for ocular surface burns in 352
out of 567 cases in which the agent was identified (62.08%). CONCLUSIONS: In our
study, unilateral LSCD was more common than bilateral LSCD. Young male subjects
were commonly affected, with a majority of eyes suffering from total LSCD.
Overall, ocular surface burns are the leading cause of LSCD. Unilateral and
bilateral LSCD had a markedly different distribution of causes, necessitating
different approaches to management.
PMID- 29378179
TI - Human GIP(3-30)NH2 inhibits G protein-dependent as well as G protein-independent
signaling and is selective for the GIP receptor with high-affinity binding to
primate but not rodent GIP receptors.
AB - GIP(3-30)NH2 is a high affinity antagonist of the GIP receptor (GIPR) in humans
inhibiting insulin secretion via G protein-dependent pathways. However, its
ability to inhibit G protein-independent signaling is unknown. Here we determine
its action on arrestin-recruitment and receptor internalization in recombinant
cells. As GIP is adipogenic, we evaluate the inhibitory actions of GIP(3-30)NH2
in human adipocytes. Finally, we determine the receptor selectivity of GIP(3
30)NH2 among other human and animal GPCRs. cAMP accumulation and beta-arrestin 1
and 2 recruitment were studied in transiently transfected HEK293 cells and real
time internalization in transiently transfected HEK293A and in HEK293A beta
arrestin 1 and 2 knockout cells. Furthermore, human subcutaneous adipocytes were
assessed for cAMP accumulation following ligand stimulation. Competition binding
was examined in transiently transfected COS-7 cells using human 125I-GIP(3
30)NH2. The selectivity of human GIP(3-30)NH2 was examined by testing for
agonistic and antagonistic properties on 62 human GPCRs. Human GIP(3-30)NH2
inhibited GIP(1-42)-induced cAMP and beta-arrestin 1 and 2 recruitment on the
human GIPR and Schild plot analysis showed competitive antagonism with a pA2 and
Hill slope of 16.8 nM and 1.11 +/- 0.02 in cAMP, 10.6 nM and 1.15 +/- 0.05 in
beta-arrestin 1 recruitment, and 10.2 nM and 1.06 +/- 0.05 in beta-arrestin 2
recruitment. Efficient internalization of the GIPR was dependent on the presence
of either beta-arrestin 1 or 2. Moreover, GIP(3-30)NH2 inhibited GIP(1-42)
induced internalization in a concentration-dependent manner and notably also
inhibited GIP-mediated signaling in human subcutaneous adipocytes. Finally, the
antagonist was established as GIPR selective among 62 human GPCRs being species
specific with high affinity binding to the human and non-human primate (Macaca
fascicularis) GIPRs, and low affinity binding to the rat and mouse GIPRs (Kd
values of 2.0, 2.5, 31.6 and 100 nM, respectively). In conclusion, human GIP(3
30)NH2 is a selective and species-specific GIPR antagonist with broad inhibition
of signaling and internalization in transfected cells as well as in human
adipocytes.
PMID- 29378180
TI - Regulation of Kv4.3 and hERG potassium channels by KChIP2 isoforms and DPP6 and
response to the dual K+ channel activator NS3623.
AB - Transient outward potassium current (Ito) contributes to early repolarization of
many mammalian cardiac action potentials, including human, whilst the rapid
delayed rectifier K+ current (IKr) contributes to later repolarization. Fast Ito
channels can be produced from the Shal family KCNDE gene product Kv4.3s, although
accessory subunits including KChIP2.x and DPP6 are also needed to produce a near
physiological Ito. In this study, the effect of KChIP2.1 & KChIP2.2 (also known
as KChIP2b and KChIP2c respectively), alone or in conjunction with the accessory
subunit DPP6, on both Kv4.3 and hERG were evaluated. A dual Ito and IKr
activator, NS3623, has been recently proposed to be beneficial in heart failure
and the action of NS3623 on the two channels was also investigated. Whole-cell
patch-clamp experiments were performed at 33 +/- 1 degrees C on HEK293 cells
expressing Kv4.3 or hERG in the absence or presence of these accessory subunits.
Kv4.3 current magnitude was augmented by co-expression with either KChIP2.2 or
KChIP2.1 and KChIP2/DPP6 with KChIP2.1 producing a greater effect than KChIP2.2.
Adding DPP6 removed the difference in Kv4.3 augmentation between KChIP2.1 and
KChIP2.2. The inactivation rate and recovery from inactivation were also altered
by KChIP2 isoform co-expression. In contrast, hERG (Kv11.1) current was not
altered by co-expression with KChIP2.1, KChIP2.2 or DPP6. NS3623 increased Kv4.3
amplitude to a similar extent with and without accessory subunit co-expression,
however KChIP2 isoforms modulated the compound's effect on inactivation time
course. The agonist effect of NS3623 on hERG channels was not affected by
KChIP2.1, KChIP2.2 or DPP6 co-expression.
PMID- 29378181
TI - The E3 ubiquitin ligases HOIP and cIAP1 are recruited to the TNFR2 signaling
complex and mediate TNFR2-induced canonical NF-kappaB signaling.
AB - Tumor Necrosis Factor (TNF) is a proinflammatory cytokine that elicits its action
by binding to two cell surface TNF receptors (TNFR), TNFR1 and TNFR2, which are
expressed by many different cell types. Stimulation of TNFR1 activates canonical
NF-kappaB signaling, leading to the NF-kappaB dependent expression of a large
number of genes. Canonical NF-kappaB signaling requires the assembly of a TNFR1
signaling complex at the cell membrane, whose formation is regulated by different
protein ubiquitination events. In this context, recruitment of the Linear
Ubiquitin Chain Assembly Complex (LUBAC) to TNFR1 plays an important role by
mediating M1-linked polyubiquitination of specific NF-kappaB signaling proteins.
In contrast to TNFR1, much less is known about the role of ubiquitination in
TNFR2 signaling. Here we demonstrate that specific TNFR2 stimulation rapidly
triggers M1- and K63-linked polyubiquitination at the TNFR2 signaling complex. In
agreement, TNFR2 stimulation induces the recruitment of HOIP, a LUBAC component
and the only known E3 ubiquitin ligase for M1-polyubiquitination, to the TNFR2
signaling complex. Also cIAP1, a E3 ubiquitin ligase able to modify proteins with
K63-polyubiquitin chains, was recruited to the TNFR2 signaling complex. Treatment
of cells with a cIAP antagonist inhibited the recruitment of HOIP and prevented
HOIP-mediated M1-ubiquitination of the TNFR2 signaling complex, indicating that
HOIP recruitment to the TNFR2 relies on cIAPs. Finally, we show that both HOIP
and cIAP1 are required for TNFR2-induced canonical NF-kappaB activation.
Together, our findings demonstrate an important role for M1- and K63-linked
polyubiquitination in TNFR2 signaling.
PMID- 29378182
TI - Activation of mitochondrial fusion provides a new treatment for mitochondria
related diseases.
AB - Mitochondria fragmentation destabilizes mitochondrial membranes, promotes
oxidative stress and facilitates cell death, thereby contributing to the
development and the progression of several mitochondria-related diseases.
Accordingly, compounds that reverse mitochondrial fragmentation could have
therapeutic potential in treating such diseases. BGP-15, a hydroxylamine
derivative, prevents insulin resistance in humans and protects against several
oxidative stress-related diseases in animal models. Here we show that BGP-15
promotes mitochondrial fusion by activating optic atrophy 1 (OPA1), a GTPase
dynamin protein that assist fusion of the inner mitochondrial membranes.
Suppression of Mfn1, Mfn2 or OPA1 prevents BGP-15-induced mitochondrial fusion.
BGP-15 activates Akt, S6K, mTOR, ERK1/2 and AS160, and reduces JNK
phosphorylation which can contribute to its protective effects. Furthermore, BGP
15 protects lung structure, activates mitochondrial fusion, and stabilizes
cristae membranes in vivo determined by electron microscopy in a model of
pulmonary arterial hypertension. These data provide the first evidence that a
drug promoting mitochondrial fusion in in vitro and in vivo systems can reduce or
prevent the progression of mitochondria-related disorders.
PMID- 29378183
TI - CBFA2T2 is required for BMP-2-induced osteogenic differentiation of mesenchymal
stem cells.
AB - Bone morphogenetic protein (BMP) signaling is one of the essential pathways
involved in osteogenic differentiation of mesenchymal stem cells (MSCs) and
regulation of bone formation. While BMP-2 has been approved for clinic use, the
underlying mechanisms remain not fully understood. In this study, we found co
repressor CBFA2T2 (core-binding factor, runt domain, alpha subunit 2,
translocated to, 2) expression was significantly upregulated in response to BMP-2
treatment during osteogenic differentiation of human dental pulp stem cells
(hDPSCs) and mouse bone marrow stromal cells (mBMSCs). siRNA-mediated knockdown
of CBFA2T2 blunted the BMP-2-induced allkaline phosphatase (ALP) activity,
mineralization of extracelluar matrix (ECM), and expression of osteogenic related
genes in both hDPSCs and mBMSCs. Mechanistically, knockdown of CBFA2T2 promoted
expression of euchromatic histone methyltransferase 1 (EHMT1) in mBMSCs, which
further led to upregulation of H3K9me2 levels at promoter of runt related
transcription factor 2 (Runx2), the master regulator of osteogenesis.
Collectively, our findings indicate that CBFA2T2 is required for BMP-2-induced
osteogenic differentiation of MSCs through inhibition of EHMT1-mediated histone
methylation at Runx2 promoter.
PMID- 29378184
TI - miR-218 and miR-129 regulate breast cancer progression by targeting Lamins.
AB - Breast cancer is the most frequently diagnosed life-threatening cancer in women.
Triple-negative breast cancer (TNBC) has an aggressive clinical behavior, but the
treatment of TNBC remains challenging. MicroRNAs (miRNAs) have emerged as a
potential target for the diagnosis, therapy and prognosis of breast cancer.
However, the precise role of miRNAs and their targets in breast cancer remain to
be elucidated. Here we show that miR-218 is downregulated and miR-129 is
upregulated in TNBC samples and their expressions confer prognosis to patients.
Gain-of-function and loss-of-function analysis reveals that miR-218 has a tumor
suppressive activity, while miR-129 acts as an oncomir in breast cancer. Notably,
miR-218 and miR-129 directly target Lamin B1 and Lamin A, respectively, which are
also found to be deregulated in human breast tumors. Finally, we demonstrate
Lamins as the major factors in reliable miR-218 and miR-129 functions for breast
cancer progression. Our findings uncover a new miRNA-mediated regulatory network
for different Lamins and provide a potential therapeutic target for breast
cancer.
PMID- 29378185
TI - Application of the SSB biosensor to study in vitro transcription.
AB - Gene expression, catalysed by RNA polymerases (RNAP), is one of the most
fundamental processes in living cells. The majority of methods to quantify mRNA
are based upon purification of the nucleic acid which leads to experimental
inaccuracies and loss of product, or use of high cost dyes and sensitive
spectrophotometers. Here, we describe the use of a fluorescent biosensor based
upon the single stranded binding (SSB) protein. In this study, the SSB biosensor
showed similar binding properties to mRNA, to that of its native substrate,
single-stranded DNA (ssDNA). We found the biosensor to be reproducible with no
associated loss of product through purification, or the requirement for expensive
dyes. Therefore, we propose that the SSB biosensor is a useful tool for
comparative measurement of mRNA yield following in vitro transcription.
PMID- 29378186
TI - Effect of ghrelin on the motor deficit caused by the ablation of nigrostriatal
dopaminergic cells or the inhibition of striatal dopamine receptors.
AB - Ghrelin plays roles in a wide range of central functions by activating the growth
hormone secretagogue receptor (GHSR). This receptor has recently been found in
the substantia nigra (SN) to control dopamine (DA)-related physiological
functions. The dysregulation of DA neurons in the SN pars compacta (SNc) and the
consequent depletion of striatal DA are known to underlie the motor deficits
observed in Parkinson's disease (PD). In the present study, we further
investigated the role of the SN-ghrelin system in motor function under the
stereotaxic injection of AAV-CMV-FLEX-diphtheria toxin A (DTA) into the SN of
dopamine transporter (DAT)-Cre (DATSN::DTA) mice to expunge DA neurons of the
SNc. First, we confirmed the dominant expression of GHSR1a, which is a functional
GHSR, in tyrosine hydroxylase (TH)-positive DA neurons in the SNc of control
mice. In DATSN::DTA mice, we clearly observed motor dysfunction using several
behavioral tests. An immunohistochemical study revealed a dramatic loss of TH
positive DA neurons in the SNc and DAT-labeled axon terminals in the striatum,
and an absence of mRNAs for TH and DAT in the SN of DATSN::DTA mice. The mRNA
level of GHSR1a was drastically decreased in the SN of these mice. In normal
mice, we also found the mRNA expression of GHSR1a within GABAergic neurons in the
SN pars reticulata (SNr). Under these conditions, a single injection of ghrelin
into the SN failed to improve the motor deficits caused by ablation of the
nigrostriatal DA network using DATSN::DTA mice, whereas intra-SN injection of
ghrelin suppressed the motor dysfunction caused by the administration of
haloperidol, which is associated with the transient inhibition of DA
transmission. These findings suggest that phasic activation of the SNc-ghrelin
system could improve the dysregulation of nigrostriatal DA transmission related
to the initial stage of PD, but not the motor deficits under the depletion of
nigrostriatal DA. Although GHSRs are found in non-DA cells of the SNr, GHSRs on
DA neurons in the SNc may play a crucial role in motor function.
PMID- 29378188
TI - How brain response and eating habits modulate food energy estimation.
AB - The estimates we do of the energy content of different foods tend to be
inaccurate, depending on several factors. The elements influencing such
evaluation are related to the differences in the portion size of the foods shown,
their energy density (kcal/g), but also to individual differences of the
estimators, such as their body-mass index (BMI) or eating habits. Within this
context the contribution of brain regions involved in food-related decisions to
the energy estimation process is still poorly understood. Here, normal-weight and
overweight/obese women with restrained or non-restrained eating habits, received
anodal transcranial direct current stimulation (AtDCS) to modulate the activity
of the left dorsolateral prefrontal cortex (dlPFC) while they performed a food
energy estimation task. Participants were asked to judge the energy content of
food images, unaware that all foods, for the quantity presented, shared the same
energy content. Results showed that food energy density was a reliable predictor
of their energy content estimates, suggesting that participants relied on their
knowledge about the food energy density as a proxy for estimating food energy
content. The neuromodulation of the dlPFC interacted with individual differences
in restrained eating, increasing the precision of the energy content estimates in
participants with higher scores in the restrained eating scale. Our study
highlights the importance of eating habits, such as restrained eating, in
modulating the activity of the left dlPFC during food appraisal.
PMID- 29378187
TI - Apolipoprotein A-IV enhances cholecystokinnin secretion.
AB - Cholecystokinin (CCK) and apolipoprotein A-IV (ApoA-IV) are gastrointestinal
peptides that play an important role in controlling energy homeostasis. Lymphatic
ApoA-IV and plasma CCK secretion are mediated via a chylomicron formation
dependent pathway during a dietary lipid infusion. Given their similar roles as
satiating proteins, the present study examines how the two peptides interact in
their function. Specifically, this study sought to understand how ApoA-IV
regulates CCK secretion. For this purpose, Cck gene expression in the small
intestines of ApoA-IV knockout (ApoA-IV-KO) and wild-type (WT) mice were compared
under an array of feeding conditions. When fed with a chow or high-fat diet
(HFD), basal levels of Cck transcripts were significantly reduced in the duodenum
of ApoA-IV-KO mice compared to WT mice. Furthermore, after an oral gavage of a
lipid mixture, Cck gene expression in the duodenum was significantly reduced in
ApoA-IV-KO mice relative to the change seen in WT mice. To determine the
mechanism by which ApoA-IV modulates Cck gene expression, STC-1 cells were
transfected with predesigned mouse lysophosphatidic acid receptor 5 (LPAR5) small
interfering RNA (siRNA) to knockdown Lpar5 gene expression. In this in-vitro
study, mouse recombinant ApoA-IV protein increased Cck gene expression in
enteroendocrine STC-1 cells and stimulated CCK release from the STC-1 cells.
However, the levels of CCK protein and Cck expression were attenuated when Lpar5
was knocked down in the STC-1 cells. Together these observations suggest that
dietary lipid-induced ApoA-IV is associated with Cck synthesis in the duodenum
and that ApoA-IV protein directly enhances CCK release through the activation of
a LPAR5-dependent pathway.
PMID- 29378189
TI - JN-2, a C-X-C motif chemokine receptor 3 antagonist, ameliorates arthritis
progression in an animal model.
AB - Rheumatoid arthritis (RA) is a chronic autoimmune disease that is characterized
by uncontrolled joint inflammation and destruction of bone and cartilage.
Previous studies have shown that C-X-C motif chemokine 10 (CXCL10) has important
roles in RA development and that blocking CXCL10 expression effectively inhibits
arthritis progression in animal models. However, clinical study using anti-CXCL10
monoclonal antibody (MDX-1100) to block CXCL10 expression in patients with RA did
not show significant effectiveness. Therefore, we turned our attention to C-X-C
motif chemokine receptor 3 (CXCR3), which is a receptor for CXCL9, CXCL10, and
CXCL11, to treat RA. In the present study, administration of JN-2, our newly
developed CXCR3 antagonist, ameliorated the progression of arthritis in a
collagen-induced arthritis animal model. JN-2 also inhibited CXCR3-induced cell
migration and pro-inflammatory cytokine expression of bone marrow-derived
macrophages and CD4+ T cells in vitro. In addition, we found that CXCL10 formed
an auto-amplification loop through activation of NFkappaB. Furthermore,
Phosphorylation of p65 at serine 536 played an important role in the auto
amplification of CXCL10. Overall, the present results demonstrated that JN-2
decreased inflammation by inhibiting CXCR3-enhanced cell migration and pro
inflammatory cytokine expression, which then ameliorated arthritis progression.
PMID- 29378190
TI - Metabotropic glutamate 7 receptor agonist AMN082 inhibits glutamate release in
rat cerebral cortex nerve terminal.
AB - AMN082 is a selective metabotropic glutamate mGlu7 receptor agonist reported to
exhibit antidepressant activity. Considering that excessive glutamate release is
involved in the pathogenesis of depression, the effect of N,N'-dibenzyhydryl
ethane-1,2-diamine dihydrochloride (AMN082) on glutamate release in rat
cerebrocortical nerve terminals and the possible underlying mechanism were
investigated. In this study, we observed here that AMN082 inhibited 4
aminopyridine-evoked glutamate release and this phenomenon was blocked by the
metabotropic glutamate mGlu7 receptor antagonist MMPIP. Moreover, western blot
analysis and immunocytochemistry confirmed the presence of presynaptic
metabotropic glutamate mGlu7 receptor proteins. The effect of AMN082 on the 4
aminopyridine-evoked release of glutamate was prevented by chelating the
extracellular Ca2+ ions and the vesicular transporter inhibitor; however, the
effect of AMN082 was unaffected by the glutamate transporter inhibitor. AMN082
reduced the elevation of 4-aminopyridine-evoked intrasynaptosomal Ca2+
concentration, but did not alter the synaptosomal membrane potential. In the
presence of the Cav2.2 (N-type) and Cav2.1 (P/Q-type) channel blocker, the
adenylate cyclase inhibitor, and the protein kinase A inhibitor, the action of
AMN082 on the 4-aminopyridine-evoked glutamate release was markedly reduced.
These results suggest that the activation of the metabotropic glutamate mGlu7
receptors by AMN082 reduces adenylate cyclase/protein kinase A activation, which
subsequently reduces the entry of Ca2+ through voltage-dependent Ca2+ channels
and decreases evoked glutamate release. Additionally, fluoxetine, a clinically
effective antidepressant, completely occluded the inhibitory effect of AMN082 on
glutamate release, thus indicating the existence of a common intracellular
mechanism for these two compounds to inhibit glutamate release from the
cerebrocortical nerve terminals.
PMID- 29378191
TI - Involvement of the N/OFQ-NOP system in rat morphine antinociceptive tolerance:
Are astrocytes the crossroad?
AB - The development of tolerance to the antinociceptive effect is a main problem
associated with the repeated administration of opioids. The progressively higher
doses required to relieve pain reduce safety and exacerbate the side effects of
classical opioid receptor agonists like morphine. Nociceptin/orphanin FQ (N/OFQ)
and its NOP receptor constitute the fourth endogenous opioid system that is
involved in the control of broad spectrum of biological functions, including pain
transmission. Aim of this work was to evaluate the relevance of the N/OFQ-NOP
system in morphine antinociceptive action and in the development of morphine
tolerance in the rat. Continuous spinal intrathecal infusion of morphine (1-3
nmol/h) evoked analgesic effects for 5 days in wild type animals. The same doses
infused in NOP(-/-) rats showed a lower analgesic efficacy, while the onset of
tolerance was delayed to day 9. N/OFQ (1-3 nmol/h), continuously infused in
NOP(+/+) animals, showed an analgesic profile similar to morphine.
Immunohistochemical analysis of the dorsal horn of the spinal cord of morphine
tolerant NOP(+/+) rats showed an increased number of Iba1- and GFAP-positive
cells (microglia and astrocytes, respectively). Interestingly, microglia but not
astrocyte activation was observed in NOP(-/-) morphine tolerant rat. A selective
activation of astrocytes was observed in the dorsal horn of wild type N/OFQ
tolerant rats. The antinociceptive effect of morphine partially depends by the
N/OFQ-NOP system that participates in the development of morphine tolerance. In
particular, NOP receptors are involved in morphine-induced astrocyte activation,
and N/OFQ per se increases astrocyte density.
PMID- 29378192
TI - Berberine attenuates podocytes injury caused by exosomes derived from high
glucose-induced mesangial cells through TGFbeta1-PI3K/AKT pathway.
AB - Diabetic nephropathy is the most common microvascular complications of diabetes.
Berberine is the main active ingredient of Coptis chinensis and previous studies
have been showed that berberine could delay the progression of diabetic
nephropathy by regulating related cytokines and signaling pathways. Glomerular
mesangial cells and podocytes are two vital indigenous cells of kidney and
interaction between these two cellular components via exosomes might affect
function of glomerulus in diabetic nephropathy condition. On the basis of our
previous studies, transwell systems were used to demonstrate that the exosomes
released by glomerular mesangial cells induced by the high glucose were involved
in podocytes injury. The current study demonstrates that berberine can reduce
TGFbeta1 in exosomes released by high glucose-induced glomerular mesangial cells.
Berberine-treated high glucose-induced exosomes which are secreted by glomerular
mesangial cells can protect damage of podocytes by reducing apoptosis and
increasing adhesion. These results suggest that berberine could protect the
function of podocytes through inhibiting the transfer of TGFbeta1 from the
glomerular mesangial cells to the podocytes, which is one of the potential
mechanisms of protective effect of berberine on diabetic nephropathy.
PMID- 29378193
TI - PPARgamma agonists sensitize PTEN-deficient resistant lung cancer cells to EGFR
tyrosine kinase inhibitors by inducing autophagy.
AB - We aimed to develop novel drug combination strategy to overcome drug resistance
to epidermal growth factor receptor tyrosine kinase inhibitors (EGFR TKIs) in the
treatment of non-small cell lung cancer (NSCLC). Peroxisome proliferator
activated receptor gamma (PPARgamma) is a nuclear receptor, which upon activation
upregulates phosphatase and tensin homolog (PTEN) to inhibit cell signaling
downstream of PI3K to mediate apoptosis. To this end, PTEN loss is a known
mechanism contributing to resistance to EGFR TKIs. Therefore, PPARgamma agonists
are hypothesized to overcome EGFR TKI resistance. Using human NSCLC cell models
with PTEN deficiency, the potentiation of EGFR TKI anticancer activity by
PPARgamma agonists was evaluated. PPARgamma agonists were found to upregulate
PTEN, subsequently inhibiting the PI3K-Akt signaling pathway, and thus enhancing
the anticancer activity of gefitinib (a first generation EGFR TKI). Chemical and
genetic inhibition of PPARgamma were shown to prevent this potentiation of
anticancer activity by PPARgamma agonists, thus confirming the crucial role
played by PPARgamma activation. Interestingly, the tested PPARgamma agonists were
also found to induce autophagy, as evidenced by the increased expression of an
autophagy marker LC3-II and the autophagic degradation of p62/SQSTM1. PPARgamma
agonists-induced autophagic cell death was believed to contribute to the
circumvention of resistance in PTEN-deficient cells because the genetic silencing
of ATG5 (an autophagy mediator) was found to eliminate the drug potentiation
effect by the PPARgamma agonists. Our findings thus provide the basis for the
rational and personalized use of PPARgamma agonists in combination with EGFR TKIs
in lung cancer patients.
PMID- 29378194
TI - NaHS prejunctionally inhibits the cardioaccelerator sympathetic outflow in pithed
rats.
AB - Hydrogen sulfide is a gasotransmitter that mediates cardiovascular responses and
could protect the heart from ischemia-reperfusion damage. Furthermore, this gas
mediates bradycardia although the mechanisms involved remain elusive. In this
regard, the inhibition of the cardiac sympathetic outflow may be partially
involved. Thus, this study was designed to determine the capability of NaHS to
inhibit the tachycardic responses induced by preganglionic stimulation of the
cardioaccelerator sympathetic outflow. Wistar rats were anaesthetized with
isoflurane, cannulated and pithed. Then, animals received gallamine and the
effect of i.v. infusion of NaHS (310 and 560 MUg/kg min) was evaluated on the
tachycardic responses induced by (1) sympathetic stimulation (0.1-3.2 Hz) at C7
T1 region of the vertebral column; or i.v. injections of (2) noradrenaline (0.03
3 MUg/kg) and (3) isoproterenol (0.0003-0.1 MUg/kg). Notably, NaHS significantly
and dose-dependently inhibited the tachycardic responses induced by electrical
stimulation of the preganglionic sympathetic outflow without significantly modify
the tachycardic responses induced by either noradrenaline or isoproterenol. These
results allow us to conclude that i.v. infusion of NaHS inhibited the tachycardic
responses induced by stimulation of the cardioaccelerator sympathetic outflow by
a prejunctional mechanism.
PMID- 29378196
TI - Additive effect of simultaneous continuous administration of degarelix and TAK
448 on LH suppression in a castrated rat model.
AB - Gonadotropin releasing hormone (GnRH) analogs have long been used in androgen
deprivation therapy (ADT) in the treatment of prostate cancer. Chronic
administration of either GnRH agonists or antagonists leads to suppression of
testosterone production in the testes via either downregulation or direct
blockade of the GnRH receptor in the pituitary, respectively. Chronic
administration of kisspeptin analogs has more recently been shown to lead to
testosterone suppression via desensitization of GnRH neurons in the hypothalamus
and an optimized kisspeptin analog, TAK-448, was proven effective in a small
phase 1 trial. The current study explored the hypothesis that co-administration
of TAK-448 and the GnRH antagonist, degarelix, would have an additive effect on
hormonal suppression, as a result of simultaneous intervention in separate steps
in the same pathway. TAK-448 or degarelix were first administered individually to
castrated rats in order to identify low doses capable of partial or no
suppression of luteinizing hormone (LH). In the second step, combinations of the
low doses of TAK-448 and degarelix were assessed in a 14 day study and compared
to the drugs administered separately. The results showed that simultaneous
intervention at the kisspeptin and GnRH receptors caused a more pronounced LH
suppression than either drug alone, demonstrating an additive or potentiating
effect. These results suggest that such a drug combination may hold promise as
novel forms of androgen deprivation therapy in the treatment of prostate cancer.
PMID- 29378195
TI - Inhibition of hepatic apolipoprotein A-I gene expression by histamine.
AB - In a recent high throughput analysis to identify drugs that alter hepatic
apolipoprotein A-I (apo A-I) expression, histamine receptor one (H1) antagonists
emerged as potential apo A-1 inducing drugs. Thus the present study was
undertaken to identify some of the underlying molecular mechanisms of the effect
of antihistaminic drugs on apo AI production. Apo A-I levels were measured by
enzyme immunoassay and Western blots. Apo A-I mRNA levels were measured by
reverse transcription real-time PCR using glyceraldehyde-3-phosphate
dehydrogenase (GAPDH) mRNA as the internal control. The effects of histamine and
antihistamines on apo A-I gene were determined by transient transfection of
plasmids containing the apo A-I gene promoter. Histamine repressed while (H1)
receptor antagonist azelastine increased apo A-I protein and mRNA levels within
48 h in a dose-dependent manner. Azelastine and histamine increased and
suppressed, respectively, apo A-I gene promoter activity through a peroxisome
proliferator activated receptor alpha response element. Treatment of HepG2 cells
with other H1 receptor antagonists including fexofenadine, cetirizine, and
diphenhydramine increased apo A-I levels in a dose-dependent manner while
treatment with H2 receptor antagonists including cimetidine, famotidine, and
ranitidine had no effect. We conclude that H1 receptor signaling is a novel
pathway of apo A1 gene expression and therefore could be an important therapeutic
target for enhancing de-novo apo A-1 synthesis.
PMID- 29378197
TI - TLR7 Agonist Increases Responses of Hepatitis B Virus-Specific T Cells and
Natural Killer Cells in Patients With Chronic Hepatitis B Treated With
Nucleos(T)Ide Analogues.
AB - BACKGROUND & AIMS: The oral Toll-like receptor (TLR) 7 agonist GS-9620 has
antiviral effects in woodchuck and chimpanzee models of chronic hepatitis B virus
(HBV) infection. We investigated, in a clinical trial, the capacity of this agent
to reconstitute protective immunity in patients with chronic HBV infection.
METHODS: We performed a prospective study of 28 patients with suppression of HBV
infection by nucleos(t)ide analogue therapy and who tested negative for hepatitis
B e antigen at 4 medical centers in Italy. Patients were randomly assigned
(1:3:3:3) to groups given placebo or different doses of GS-9620 (1, 2, and 4 mg,
weekly for 12 weeks). We added data from 8 patients receiving nucleos(t)ide
analogue therapy to the placebo group (controls); 13 treatment-naive patients
with chronic HBV infection and 15 subjects who spontaneously recovered from an
acute HBV infection served as additional controls. Peripheral blood mononuclear
cells were collected at baseline, during administration of GS-9620 or placebo,
and 12 weeks afterward. Phenotype and function of natural killer (NK) and HBV
specific T cells were analyzed by flow cytometry. T cells were expanded by
incubation with peptides from the entire HBV proteome and studied after overnight
or 10 days culture. NK-cell inhibition of T-cell responses was measured by
assessing cytokine production by T cells stimulated with peptides in the presence
or absence of NK cells. RESULTS: T cells collected at baseline before addition of
GS-9620, when patients were receiving only nucleos(t)ide therapy, had greater
responses to HBV than T cells from treatment-naive patients, based on cytokine
production in response to HBV peptides. However, during or after administration
of GS-9620, T cells produced higher levels of cytokines compared to baseline. NK
cell activation and function increased after patients were given GS-9620, but the
ability of NK cells to suppress T-cell responses was lower during GS-9620 therapy
than before. Changes in T-cell or NK-cell function did not correlate with levels
of hepatitis B surface antigen. Serum levels of hepatitis B surface antigen did
not decrease significantly compared to baseline in patients given any dose of GS
9620. CONCLUSIONS: Twelve weeks administration of GS-9620 had no significant
effect on serum hepatitis B surface antigen levels, but did appear to increase T
cell and NK-cell responses and reduce the ability of NK to suppress T cells. GS
9620 might therefore be included in therapies to increase the immune response to
HBV.
PMID- 29378198
TI - Mutations in Mitochondrial DNA From Pancreatic Ductal Adenocarcinomas Associate
With Survival Times of Patients and Accumulate as Tumors Progress.
AB - Somatic mutations have been found in the mitochondria in different types of
cancer cells, but it is not clear whether these affect tumorigenesis or tumor
progression. We analyzed mitochondrial genomes of 268 early-stage, resected
pancreatic ductal adenocarcinoma tissues and paired non-tumor tissues. We defined
a mitochondrial somatic mutation (mtSNV) as a position where the difference in
heteroplasmy fraction between tumor and normal sample was >=0.2. Our analysis
identified 304 mtSNVs, with at least 1 mtSNV in 61% (164 of 268) of tumor
samples. The noncoding control region had the greatest proportion of mtSNVs (60
of 304 mutations); this region contains sites that regulate mitochondrial DNA
transcription and replication. Frequently mutated genes included ND5, RNR2, and
CO1, plus 29 mutations in transfer RNA genes. mtSNVs in 2 separate mitochondrial
genes (ND4 and ND6) were associated with shorter overall survival time. This
association appeared to depend on the level of mtSNV heteroplasmy. Non-random co
occurrence between mtSNVs and mutations in nuclear genes indicates interactions
between nuclear and mitochondrial DNA. In an analysis of primary tumors and
metastases from 6 patients, we found tumors to accumulate mitochondrial
mutational mutations as they progress.
PMID- 29378199
TI - Iron-induced oxidative stress stimulates osteoclast differentiation via NF-kappaB
signaling pathway in mouse model.
AB - BACKGROUND: Women transitioned to postmenopausal status experience a
corresponding gain in iron stores. Recently clinical researches have observed
increased serum ferritin level in postmenopausal women, and ferritin level was
negatively correlated with bone mineral density. PURPOSE: To explore the
mechanism of iron-induced osteopenia in mouse model. METHODS: Briefly, in this
study, we established an iron accumulation mouse model with ovariectomy. Primary
osteoclasts and osteoblasts were extracted for this research. Biomarkers of bone
metabolism and cell signaling pathways were measured. RESULTS: We found that bone
mass changed later than ferritin and decreased gradually following overiectomy.
We also observed higher levels of bone resorption and oxidative stress when iron
was administered. When stimulated with iron, primary osteoclasts derived from
bone marrow-derived macrophages (BMMs) underwent differentiation and numerous
reactive oxygen species (ROS) were generated. Further, we found that iron
activated the JNK, ERK and NF-kappaB signaling pathways in vivo. In vitro, we
found that only NF-kappaB signaling was stimulated by iron and that suppression
of this pathway blocked osteoclast differentiation. To determine whether these
effects were related to ROS, osteoclasts were treated with H2O2. We found that
ROS stimulated osteoclast activity, and that this effect was reversed upon NF
kappaB suppression. CONCLUSIONS: These data suggest that ROS might be a
downstream factor of iron and regulated NF-kappaB signaling in osteoclasts in
mouse model.
PMID- 29378200
TI - Research advances in metabolism 2017.
PMID- 29378201
TI - Hidradenitis Suppurativa Is Associated with Polycystic Ovary Syndrome: A
Population-Based Analysis in the United States.
AB - Polycystic ovary syndrome (PCOS) has been linked to hidradenitis suppurativa
(HS). However, evidence establishing a relationship between the two conditions is
limited. We sought to determine the prevalence of PCOS among patients with HS and
the strength of the association. We performed a cross-sectional analysis
involving 22,990 patients with HS using clinical data from a multihealth system
analytics platform comprising more than 55 million unique patients across all
census regions of the United States. The prevalence of PCOS among patients with
HS was 9.0%, compared with 2.9% in patients without HS (P < 0.0001). The
likelihood of patients with HS having PCOS was 2.14 (95% confidence interval 2.04
2.24) times that of patients without HS, and PCOS was associated with HS across
all subgroups. The strength of the HS association with PCOS was similar to that
of diabetes mellitus (odds ratio 2.88, 95% confidence interval 2.83-2.93) and
obesity (odds ratio 3.93, 95% confidence interval 3.87-3.99) with PCOS. The
influence of disease severity on the strength of association with PCOS could not
be assessed, nor could an HS phenotype for patients also having PCOS. This
analysis could not establish directionality of relationship, nor causal link. In
conclusion, PCOS is associated with HS, and patients with HS who have symptoms or
signs of androgen excess should be screened for PCOS.
PMID- 29378202
TI - De novo assembly of honey bee RNA viral genomes by tapping into the innate insect
antiviral response pathway.
AB - Bee pollination is critical for improving productivity of one third of all plants
or plant products consumed by humans. The health of honey bees is in decline in
many countries worldwide, and RNA viruses together with other biological,
environmental and anthropogenic factors have been identified as the main causes.
The rapid genetic variation of viruses represents a challenge for diagnosis.
Thus, application of deep sequencing methods for detection and analysis of
viruses has increased over the last years. In this study, we leverage from the
innate Dicer-2 mediated antiviral response against viruses to reconstruct
complete viral genomes using virus-derived small interfering RNAs (vsiRNAs).
Symptomatic A. mellifera larvae collected from hives free of Colony Collapse
Disorder (CCD) and the parasitic Varroa mite (Varroa destructor) were used to
generate more than 107 million small RNA reads. We show that de novo assembly of
insect viral sequences is less fragmented using only 22 nt long vsiRNAs rather
than a combination of 21-22 nt small RNAs. Our results show that A. mellifera
larvae activate the RNAi immune response in the presence of Sacbrood virus (SBV).
We assembled three SBV genomes from three individual larvae from different hives
in a single apiary, with 1-2% nucleotide sequence variability among them. We
found 3-4% variability between SBV genomes generated in this study and earlier
published Australian variants suggesting the presence of different SBV
quasispecies within the country.
PMID- 29378203
TI - Comparative analysis of the susceptibility/tolerance of Spodoptera littoralis to
Vip3Aa, Vip3Ae, Vip3Ad and Vip3Af toxins of Bacillus thuringiensis.
AB - The cotton leaf worm Spodoptera littoralis is known for causing serious damages
to various crops. In this study, the susceptibility/tolerance of this larvae to
four Vip3A (Vip3Aa, Vip3Ae, Vip3Ad and Vip3Af) toxins was investigated.
UnlikeVip3Ad which showed no activity to S. littoralis, Vip3Aa, Vip3Ae and Vip3Af
exhibited high toxicity to this larva with LC50 of 228.42 ng/cm2, 65.71 ng/cm2,
and 388.90 ng/cm2, respectively. Activation of the 90 kDa Vip3A proteins by S.
littoralis larvae juice generated four major bands of sizes 62, 45, 33 and 22
kDa. Binding experiments between biotinylated Vip3A toxins and the brush border
membrane vesicles (BBMV) revealed two binding proteins of 55 and 100 kDa with
Vip3Aa. Vip3Ae and Vip3Af recognized one single putative receptor of 65 kDa,
whereas Vip3Ad did not bind to S. littoralis BBMV. In histopathological
observations, Vip3Aa, Vip3Ae and Vip3Af toxins showed approximately similar
damages on S. littoralis midgut including rupture and disintegration of
epithelial layer and cellular vacuolization. These findings showed that Vip3Aa,
Vip3Ae and Vip3Af might be useful for controlling S. littoralis.
PMID- 29378204
TI - Glial cells as mediators of protective actions of prolactin (PRL) in the CNS.
AB - Prolactin (PRL) is a hormone with multiple actions in the central nervous system
(CNS) spanning from physiology to pathology. PRL exerts different actions through
its receptors that can be found in both neurons and glial cells (astrocytes,
microglia and oligodendrocytes) of the brain. Even though its effects during
pregnancy and lactation, stress, anxiety, and depression are well studied, recent
work on this hormone has brought to light a new role of PRL: that of a protective
agent against brain damage and, consequently, against neurodegeneration. The
mechanisms through which this protection takes place have not been fully
elucidated; however, neurogenesis and anti-apoptosis are some of the plausible
mechanisms that could mediate this effect. There is substantial information that
implies the involvement of glial activation in this PRL effect, as shown in
various models of brain damage. Taking into account glial cell dynamics and
actions in various pathological conditions, combined with the neuroprotective
effect of PRL, we consider of importance the revision of all the information
about the interaction between these two cell types, as it will provide
comprehensive knowledge about this new target of PRL against neuropathology.
PMID- 29378205
TI - Coordinated neural, behavioral, and phenomenological changes in perceptual
plasticity through overtraining of synesthetic associations.
AB - Synesthesia is associated with additional perceptual experiences, which are
automatically and consistently triggered by specific inducing stimuli.
Synesthesia is also accompanied by more general sensory and cortical changes,
including enhanced modality-specific cortical excitability. Extensive cognitive
training has been shown to generate synesthesia-like phenomenology but whether
these experiences are accompanied by neurophysiological changes characteristic of
synesthesia remains unknown. Addressing this question provides a unique
opportunity to elucidate the neural basis of perceptual plasticity relevant to
conscious experiences. Here we investigate whether extensive training of letter
color associations leads not only to synesthetic experiences, but also to changes
in cortical excitability. We confirm that overtraining synesthetic associations
results in synesthetic phenomenology. Stroop tasks further reveal synesthesia
like performance following training. Electroencephalography and transcranial
magnetic stimulation show, respectively, enhanced visual evoked potentials (in
response to untrained patterns) and lower phosphene thresholds, demonstrating
specific cortical changes. An active (using letter-symbol training) and a passive
control confirmed these results were due to letter-color training and not simply
to repeated testing. Summarizing, we demonstrate specific cortical changes,
following training-induced acquisition of synesthetic phenomenology that are
characteristic of genuine synesthesia. Collectively, our data reveal dramatic
plasticity in human visual perception, expressed through a coordinated set of
behavioral, neurophysiological, and phenomenological changes.
PMID- 29378206
TI - PSRC1 overexpression attenuates atherosclerosis progression in apoE-/- mice by
modulating cholesterol transportation and inflammation.
AB - AIMS: Human genome-wide association studies (GWAS) have found that proline/serine
rich coiled-coil 1 (PSRC1) encodes a protein that is associated with serum lipid
levels and coronary artery disease. In addition, our previous study showed that
the cholesterol efflux capacity is decreased in macrophages following a treatment
silencing Psrc1, indicating that PSRC1 has anti-atherosclerotic effects. However,
the role of PSRC1 in the development of atherosclerosis is unknown. This study
aims to explore the effect of PSRC1 on atherosclerosis and its underlying
mechanisms. METHOD AND RESULTS: A recombinant adenovirus expressing Psrc1 (Ad
PSRC1) was constructed and transfected in RAW264.7 cells as well as injected
intravenously into apoE-/- mice. The in vitro study showed that PSRC1
overexpression reduced the cellular cholesterol content, increased the
cholesterol efflux capacity and inhibited foam cell formation by upregulating the
expression of peroxisome proliferator-activated receptor gamma (PPAR-gamma) and
liver X receptor alpha (LXR-alpha), which are key cholesterol transportation
related proteins. Infecting apoE-/- mice with Ad-PSRC1 inhibited the development
of atherosclerotic lesions and enhanced atherosclerotic plaque stability.
Consistent with these results, PSRC1 overexpression in apoE-/- mice decreased the
plasma levels of TC, TG, LDL-C, TNF-alpha, IL-1beta and IL-6, increased the
plasma HDL-C levels and improved HDL function. Similarly, the PPAR-gamma and LXR
alpha expression levels were upregulated in the liver and in peritoneal
macrophages of PSRC1-overexpressing apoE-/- mice. Finally, the liver and
peritoneal macrophages of apoE-/- mice displayed elevated expression of beta
catenin, which is a direct downstream gene of PSRC1 and an upstream gene of PPAR
gamma and LXR-alpha, but decreased activity of nuclear transcription factor (NF
kappaB), which acts as a key gene in the regulation of inflammation. CONCLUSIONS:
PSRC1 protects against the development of atherosclerosis and enhances the
stability of plaques by modulating cholesterol transportation and inflammation in
macrophages and the liver of apoE-/- mice.
PMID- 29378207
TI - Sex-biased transcriptomic response of the reproductive axis to stress.
AB - Stress is a well-known cause of reproductive dysfunction in many species,
including birds, rodents, and humans, though males and females may respond
differently. A powerful way to investigate how stress affects reproduction is by
examining its effects on a biological system essential for regulating
reproduction, the hypothalamic-pituitary-gonadal (HPG) axis. Often this is done
by observing how a stressor affects the amount of glucocorticoids, such as
cortisol or corticosterone, circulating in the blood and their relationship with
a handful of known HPG-producing reproductive hormones, like testosterone and
estradiol. Until now, we have lacked a full understanding of how stress affects
all genomic activity of the HPG axis and how this might differ between the sexes.
We leveraged a highly replicated and sex-balanced experimental approach to test
how male and female rock doves (Columba livia) respond to restraint stress at the
level of their transcriptome. Females exhibit increased genomic responsiveness to
stress at all levels of their HPG axis as compared to males, and these responsive
genes are mostly unique to females. Reasons for this may be due to fluctuations
in the female endocrine environment over the reproductive cycle and/or their
evolutionary history, including parental investment and the potential for
maternal effects. Direct links between genome to phenome cause and effect cannot
be ascertained at this stage; however, the data we report provide a vital genomic
foundation on which sex-specific reproductive dysfunction and adaptation in the
face of stress can be further experimentally studied, as well as novel gene
targets for genetic intervention and therapy investigations.
PMID- 29378208
TI - An epigenetic basis for an omnigenic model of psychiatric disorders.
PMID- 29378209
TI - Methylphenidate ameliorates hypoxia-induced mitochondrial damage in human
neuroblastoma SH-SY5Y cells through inhibition of oxidative stress.
AB - AIMS: Methylphenidate (MPH) is a dopamine-reuptake inhibitor approved for the
treatment of attention-deficit/hyperactivity disorder (ADHD). Nonetheless, the
cellular and molecular mechanisms of MPH are still unknown. We attempt to
determine whether MPH protect neuron cells against oxidative stress by using
human neuroblastoma SH-SY5Y cells. MAIN METHODS: The SH-SY5Y cells were cultured
in normoxic and hypoxic conditions in the presence of different doses of MPH.
Then, reactive oxygen species (ROS), malondialdehyde (MDA), glutathione (GSH),
superoxide dismutase (SOD) and adenosine triphosphate (ATP) production were
quantitatively measured by using flow cytometry or spectrophotometry. The
mitochondrial ultrastructure of the cells was observed by electron microscope,
and the function of mitochondrial was evaluated by measuring mitochondrial
membrane potential (MMP) using flow cytometry. The levels of SOD and heme
oxygenase-1 (HO-1) proteins were detected by Western blot. KEY FINDINGS: We found
that low doses of MPH treatment (50-500 ng/mL) led to decreased ROS and MDA
production (P<0.05), increased GSH and SOD as well as ATP concentration (P<0.05)
in hypoxic SH-SY5Y cells. Additionally, low doses of MPH significantly inhibited
mitochondrial swelling and decreased the percentage of JC-1 monomer positive
cells. However, we did not observe the same effects of MPH in normoxia.
SIGNIFICANCE: Our results show that low doses of MPH play protective roles in
maintaining mitochondrial homeostasis in response to hypoxia-induced oxidative
stress. Our findings may provide novel insight into the mechanisms of MPH in the
treatment of ADHD, and shed light on the disease mechanisms of ADHD.
PMID- 29378210
TI - Facilitated AMPAR endocytosis causally contributes to the maternal sleep
deprivation-induced impairments of synaptic plasticity and cognition in the
offspring rats.
AB - Maternal sleep deprivation (MSD) has been suggested to be associated with
increased frequency of neurodevelopmental disorders in offspring in both humans
and animal models. However, the underlying cellular and molecular mechanism is
still unclear. We have recently reported that MSD at different stages of
pregnancy impairs the emotional and cognitive functions, and suppresses
hippocampal CA1 long-term potentiation (LTP) in the offspring rats. Here, we
report that the MSD induced LTP impairment at the CA1 hippocampus of the
offspring rats is associated with increased long-term depression (LTD) and
reduced expression of postsynaptic GluA2-containing alpha-amino-3-hydroxy-5
methyl-isoxazole-4-propionic acid receptors (AMPARs). Importantly, we found that
inhibition of AMPAR endocytosis by a synthetic peptide Tat-GluA23Y (3 MUmol/kg,
i.p.) not only increased level of AMPARs and reduced LTD, but also restored LTP.
Moreover, treatment with Tat-GluA23Y peptide markedly alleviated the MSD-induced
impairments of spatial learning and memory; and decreased depressive- and anxiety
like behaviors in the offspring. Together, our findings suggest that the MSD
induced postsynaptic AMPAR endocytosis causally contributes to the impairments of
hippocampal synaptic plasticity, thereby disrupting the emotional and cognitive
functions in the offspring.
PMID- 29378211
TI - Pharmacological activation of mGlu4 and mGlu7 receptors, by LSP2-9166, reduces
ethanol consumption and relapse in rat.
AB - Addiction is a chronic and highly relapsing disorder hypothesized to be produced
by an imbalance between excitatory and inhibitory neurotransmission. For more
than a decade, emerging evidence indicates that manipulation of glutamatergic
neurotransmission, by group III mGlu receptors (mGlu4/7/8), could be a promising
approach to develop therapeutic agents for the treatment of addiction. Thus, the
aim of the present study is to determine whether LSP2-9166, a mixed mGlu4/mGlu7
orthosteric agonist, could reduce ethanol self-administration, ethanol motivation
and reacquisition after protracted abstinence in a preclinical model of excessive
ethanol intake. Male Long Evans rats were chronically trained to consume large
amount of ethanol in operant cages for several weeks. Once they reached a stable
level of consumption (about 1 g of pure ethanol/kg bodyweight/15min), the effect
of LSP2-9166 was evaluated on different aspects of the operant self
administration behavior. In this study, we found that the intracerebroventricular
infusion of LSP2-9166 dose dependently reduced ethanol consumption, motivation
for ethanol and reacquisition of ethanol self-administration after abstinence.
Together, these results support recent preclinical findings showing that
pharmacological modulation of mGlu receptors may serve as an effective treatment
for reducing ethanol consumption and relapse.
PMID- 29378212
TI - Systemic blockade of LPA1/3 lysophosphatidic acid receptors by ki16425 modulates
the effects of ethanol on the brain and behavior.
AB - The systemic administration of lysophosphatidic acid (LPA) LPA1/3 receptor
antagonists is a promising clinical tool for cancer, sclerosis and fibrosis
related diseases. Since LPA1 receptor-null mice engage in increased ethanol
consumption, we evaluated the effects of systemic administration of an LPA1/3
receptor antagonist (intraperitoneal ki16425, 20 mg/kg) on ethanol-related
behaviors as well as on brain and plasma correlates. Acute administration of
ki16425 reduced motivation for ethanol but not for saccharine in ethanol self
administering Wistar rats. Mouse experiments were conducted in two different
strains. In Swiss mice, ki16425 treatment reduced both ethanol-induced sedation
(loss of righting reflex, LORR) and ethanol reward (escalation in ethanol
consumption and ethanol-induced conditioned place preference, CPP). Furthermore,
in the CPP-trained Swiss mice, ki16425 prevented the effects of ethanol on basal
c-Fos expression in the medial prefrontal cortex and on adult neurogenesis in the
hippocampus. In the c57BL6/J mouse strain, however, no effects of ki16425 on LORR
or voluntary drinking were observed. The c57BL6/J mouse strain was then evaluated
for ethanol withdrawal symptoms, which were attenuated when ethanol was preceded
by ki16425 administration. In these animals, ki16425 modulated the expression of
glutamate-related genes in brain limbic regions after ethanol exposure; and
peripheral LPA signaling was dysregulated by either ki16425 or ethanol. Overall,
these results suggest that LPA1/3 receptor antagonists might be a potential new
class of drugs that are suitable for treating or preventing alcohol use
disorders. A pharmacokinetic study revealed that systemic ki16425 showed poor
brain penetration, suggesting the involvement of peripheral events to explain its
effects.
PMID- 29378215
TI - Roles of TRAFs in NF-kappaB signaling pathways mediated by BAFF.
AB - B cell activating factor (BAFF) is an important cytokine for the maintenance of B
cell development, survival and homeostasis. BAFF/BAFF-R could directly activate
nuclear factor kappa B (NF-kappaB) pathway. Tumour necrosis factor receptor
associated factors (TRAFs) are key regulatory proteins in NF-kappaB signaling
pathways. TRAF1 enhances the activation of tumor necrosis factor receptor 2 (TNF
R2) induced by NF-kappaB. TRAF2 and TRAF3 signal adapters act cooperatively to
control the maturation and survival signals mediated by BAFF receptor. TRAF5 is
most homologous to TRAF3, as well as most functionally similar to TRAF2. TRAF6 is
also required for the BAFF-mediated activation of NF-kappaB signal pathway. TRAF7
is involved in signal transduction pathways that lead either to activation or
repression of NF-kappaB transcription factor. In this article, we reviewed the
roles of TRAFs in NF-kappaB signaling pathway mediated by BAFF.
PMID- 29378213
TI - "Ecstasy" to addiction: Mechanisms and reinforcing effects of three synthetic
cathinone analogs of MDMA.
AB - This study aimed to address the mechanisms and reinforcing effects of three
synthetic cathinone analogs of MDMA commonly reported in "Ecstasy" formulations:
methylone, butylone, and pentylone. Whole-cell patch clamp techniques were used
to assess the mechanism of each compound at the dopamine and serotonin
transporters. Separate groups of rats were trained to discriminate
methamphetamine, DOM, or MDMA from vehicle. Substitution studies were performed
in each group and antagonism studies with SCH23390 were performed against each
compound that produced substitution. Self-administration of each compound was
evaluated under a progressive ratio schedule of reinforcement. Each compound
produced an inward current at the serotonin transporter, but little or no current
at the dopamine transporter. Each of the test compounds substituted fully for the
discriminative stimulus effects of methamphetamine, methylone and butylone
substituted partially for DOM and fully for MDMA, whereas pentylone failed to
substitute for DOM and substituted only partially for MDMA. SCH23390 fully and
dose-dependently attenuated methamphetamine-appropriate responding produced by
each test compound, but was least potent against pentylone. MDMA-appropriate
responding was minimally affected by SCH23390. Each test compound was robustly
self-administered with pentylone producing the greatest self-administration at
the doses tested. Given the prevalence of synthetic cathinones in "Ecstasy"
formulations, these data indicate that adulterated "Ecstasy" formulations may
drive more compulsive drug use than those containing only MDMA.
PMID- 29378214
TI - Activation of neural stem cells from quiescence drives reactive hippocampal
neurogenesis after alcohol dependence.
AB - Neural stem cell-driven adult neurogenesis contributes to the integrity of the
hippocampus. Excessive alcohol consumption in alcoholism results in hippocampal
degeneration that may recover with abstinence. Reactive, increased adult
neurogenesis during abstinence following alcohol dependence may contribute to
recovery, but the mechanism driving reactive neurogenesis is not known.
Therefore, adult, male rats were exposed to alcohol for four days and various
markers were used to examine cell cycle dynamics, the percentage and number of
neural progenitor cell subtypes, and the percentage of quiescent versus activated
progenitors. Using a screen for cell cycle perturbation, we showed that the cell
cycle is not likely altered at 7 days in abstinence. As the vast majority of
Bromodeoxyuridine-positive (+) cells were co-labeled with progenitor cell marker,
Sox2, we then developed a quadruple fluorescent labeling scheme to examine Type
1, -2a, -2b and -3 progenitor cells simultaneously. Prior alcohol dependence
indiscriminately increased all subtypes at 7 days, the peak of the reactive
proliferation. An evaluation of the time course of reactive cell proliferation
revealed that cells begin proliferating at 5 days post alcohol, where only
actively dividing Type 2 progenitors were increased by alcohol. Furthermore,
prior alcohol increased the percentage of actively dividing Sox2+ progenitors,
which supported that reactive neurogenesis is likely due to the activation of
progenitors out of quiescence. These observations were associated with granule
cell number returning to normal at 28 days. Therefore, activating stem and
progenitor cells out of quiescence may be the mechanism underlying hippocampal
recovery in abstinence following alcohol dependence.
PMID- 29378216
TI - Junctional adhesion molecule C (JAM-C) dimerization aids cancer cell migration
and metastasis.
AB - Most cancer deaths result from metastasis, which is the dissemination of cells
from a primary tumor to distant organs. Metastasis involves changes to molecules
that are essential for tumor cell adhesion to the extracellular matrix and to
endothelial cells. Junctional Adhesion Molecule C (JAM-C) localizes at
intercellular junctions as homodimers or more affine heterodimers with JAM-B. We
previously showed that the homodimerization site (E66) in JAM-C is also involved
in JAM-B binding. Here we show that neoexpression of JAM-C in a JAM-C-negative
carcinoma cell line induced loss of adhesive property and pro-metastatic
capacities. We also identify two critical structural sites (E66 and K68) for JAM
C/JAM-B interaction by directed mutagenesis of JAM-C and studied their
implication on tumor cell behavior. JAM-C mutants did not bind to JAM-B or
localize correctly to junctions. Moreover, mutated JAM-C proteins increased
adhesion and reduced proliferation and migration of lung carcinoma cell lines.
Carcinoma cells expressing mutant JAM-C grew slower than with JAM-C WT and were
not able to establish metastatic lung nodules in mice. Overall these data
demonstrate that the dimerization sites E66-K68 of JAM-C affected cell adhesion,
polarization and migration and are essential for tumor cell metastasis.
PMID- 29378217
TI - Ultrasonography during CPR: Hands-off or hands-on?
PMID- 29378218
TI - Ensemble support vector machine classification of dementia using structural MRI
and mini-mental state examination.
AB - BACKGROUND: The International Challenge for Automated Prediction of MCI from MRI
data offered independent, standardized comparison of machine learning algorithms
for multi-class classification of normal control (NC), mild cognitive impairment
(MCI), converting MCI (cMCI), and Alzheimer's disease (AD) using brain imaging
and general cognition. NEW METHOD: We proposed to use an ensemble of support
vector machines (SVMs) that combined bagging without replacement and feature
selection. SVM is the most commonly used algorithm in multivariate classification
of dementia, and it was therefore valuable to evaluate the potential benefit of
ensembling this type of classifier. RESULTS: The ensemble SVM, using either a
linear or a radial basis function (RBF) kernel, achieved multi-class
classification accuracies of 55.6% and 55.0% in the challenge test set (60 NC, 60
MCI, 60 cMCI, 60 AD), resulting in a third place in the challenge. Similar
feature subset sizes were obtained for both kernels, and the most frequently
selected MRI features were the volumes of the two hippocampal subregions left
presubiculum and right subiculum. Post-challenge analysis revealed that enforcing
a minimum number of selected features and increasing the number of ensemble
classifiers improved classification accuracy up to 59.1%. COMPARISON WITH
EXISTING METHOD(S): The ensemble SVM outperformed single SVM classifications
consistently in the challenge test set. CONCLUSIONS: Ensemble methods using
bagging and feature selection can improve the performance of the commonly applied
SVM classifier in dementia classification. This resulted in competitive
classification accuracies in the International Challenge for Automated Prediction
of MCI from MRI data.
PMID- 29378219
TI - Meroterpenoids from the fruiting bodies of Ganoderma theaecolum.
AB - A series of new terminal cyclohexane-type meroterpenoids, ganotheaecoloids A-N (1
6, 8-13, 15, and 16), along with three known ones (7, 14, and 17), were isolated
from the dried fruiting bodies of Ganoderma theaecolum. Their chemical structures
were identified by using spectroscopic data and computational methods. Biological
activity of all the new meroterpenoids against COX-2 was evaluated in vitro, only
ganotheaecoloid J (11) was found to have COX-2 inhibitory activity with IC50
value of 9.96MUM.
PMID- 29378221
TI - Canine sarcomas as a surrogate for the human disease.
AB - Pet dogs are becoming increasingly recognized as a population with the potential
to inform medical research through their treatment for a variety of maladies by
veterinary health professionals. This is the basis of the One Health initiative,
supporting the idea of collaboration between human and animal health researchers
and clinicians to study spontaneous disease processes and treatment in animals to
inform human health. Cancer is a major health burden in pet dogs, accounting for
approximately 30% of deaths across breeds. As such, pet dogs with cancer are
becoming increasingly recognized as a resource for studying the pharmacology and
therapeutic potential of anticancer drugs and therapies under development. This
was recently highlighted by a National Academy of Medicine Workshop on
Comparative Oncology that took place in mid-2015 (http://www.nap.edu/21830). One
component of cancer burden in dogs is their significantly higher incidence of
sarcomas as compared to humans. This increased incidence led to canine
osteosarcoma being an important component in the development of surgical
approaches for osteosarcoma in children. Included in this review of sarcomas in
dogs is a description of the incidence, pathology, molecular characteristics and
previous translational therapeutic studies associated with these tumors. An
understanding of the patho-physiological and molecular characteristics of these
naturally occurring canine sarcomas holds great promise for effective
incorporation into drug development schemas, for evaluation of target modulation
or other pharmacodynamic measures associated with therapeutic response. These
data could serve to supplement other preclinical data and bolster clinical
investigations in tumor types for which there is a paucity of human patients for
clinical trials.
PMID- 29378220
TI - Thyroid hormone and the brain: Mechanisms of action in development and role in
protection and promotion of recovery after brain injury.
AB - Thyroid hormone (TH) is essential for normal brain development and may also
promote recovery and neuronal regeneration after brain injury. TH acts
predominantly through the nuclear receptors, TH receptor alpha (THRA) and beta
(THRB). Additional factors that impact TH action in the brain include metabolism,
activation of thyroxine (T4) to triiodothyronine (T3) by the enzyme 5'-deiodinase
Type 2 (Dio2), inactivation by the enzyme 5-deiodinase Type 3 (Dio3) to reverse
T3 (rT3), which occurs in glial cells, and uptake by the Mct8 transporter in
neurons. Traumatic brain injury (TBI) is associated with inflammation, metabolic
alterations and neural death. In clinical studies, central hypothyroidism, due to
hypothalamic and pituitary dysfunction, has been found in some individuals after
brain injury. TH has been shown, in animal models, to be protective for the
damage incurred from brain injury and may have a role to limit injury and promote
recovery. Although clinical trials have not yet been reported, findings from in
vitro and in vivo models inform potential treatment strategies utilizing TH for
protection and promotion of recovery after brain injury.
PMID- 29378222
TI - Carvacrol prevents impairments in motor and neurochemical parameters in a model
of progressive parkinsonism induced by reserpine.
AB - Parkinson's disease (PD) is a neurodegenerative disease characterized by a
progressive degeneration of dopaminergic neurons in the substantia nigra pars
compact (SNpc), with consequent depletion of dopamine in the striatum, which
gives rise to the characteristic motor symptoms of PD. Although its etiology is
unknown, several studies have suggested that oxidative stress plays a critical
function in the pathophysiology of PD, and antioxidant agents could be helpful to
slown down the dopaminergic neurodegeneration. Carvacrol (CA) is a phenolic
monoterpene found in essential oils of many aromatic plants that presents
antioxidant and neuroprotective effects. This study aimed to assess the effect of
CA in a reserpine (RES)-induced rat model of PD. Male Wistar rats received 15
s.c. injections of 0.1 mg/kg RES or vehicle, every other day, concomitantly to
daily i.p. injections of CA (12.5 or 25 mg/kg) or vehicle. Across the treatment,
the animals were submitted to behavioral evaluation in the catalepsy test
(performed daily), open field test (7th day) and assessment of vacuous chewing
movements (12th, 20th and 30th days). Upon completion of behavioral tests, rats
were perfused and their brains underwent tyrosine hydroxylase (TH)
immunohistochemical analysis. Our results showed that CA (12.5 e 25 mg/kg)
prevented the increase in catalepsy behavior and number of vacuous chewing
movements, but failed to revert the decreased open-field locomotor activity
induced by RES. In addition, CA in both doses prevented the decrease in TH
immunostaining induced by RES in the SNpc and dorsal striatum. Taken together,
our results suggest that CA shows a protective effect in a rat model of PD,
preventing motor and neurochemical impairments induced by RES. Thus, the use of
CA as a promising new strategy for the prevention and/or treatment of PD may be
considered.
PMID- 29378223
TI - Principles of diffusion kurtosis imaging and its role in early diagnosis of
neurodegenerative disorders.
AB - Pathology of neurodegenerative diseases can be correlated with intra-neuronal as
well as extracellular changes which lead to neuronal degeneration. The central
nervous system (CNS) is a complex structure comprising of many biological
barriers. These microstructural barriers might be affected by a variety of
pathological processes. Specifically, changes in the brain tissue's
microstructure affect the diffusion of water which can be assessed non-invasively
by diffusion weighted (DW) magnetic resonance imaging (MRI) techniques. Diffusion
tensor imaging (DTI) is a diffusion MRI technique that considers diffusivity as a
Gaussian process, i.e. does not account for any diffusion hindrance. However,
environment of the brain tissues is characterized by a non-Gaussian diffusion.
Therefore, diffusion kurtosis imaging (DKI) was developed as an extension of DTI
method in order to quantify the non-Gaussian distribution of water diffusion.
This technique represents a promising approach for early diagnosis of
neurodegenerative diseases when the neurodegenerative process starts. Hence, the
purpose of this article is to summarize the ongoing clinical and preclinical
research on Parkinson's, Alzheimer's and Huntington diseases, using DKI and to
discuss the role of this technique as an early stage biomarker of
neurodegenerative conditions.
PMID- 29378224
TI - Forces maintaining the DNA double helix and its complexes with transcription
factors.
AB - Precise calorimetric studies of DNA duplexes of various length and composition
have revised several long-held beliefs about the forces holding together the
double helix and its complexes with the DNA binding domains (DBDs) of
transcription factors. Heating DNA results in an initial non-cooperative increase
of torsional oscillations in the duplex, leading to cooperative dissociation of
its strands accompanied by extensive heat absorption and a significant heat
capacity increment. The enthalpy and entropy of duplex dissociation are therefore
temperature dependent quantities. When compared at the same temperature the
enthalpic and entropic contributions the CG base pair are less than that of the
AT pair - not more as previously assumed from the extra hydrogen bond. Thus the
stabilizing effect of the CG base pair comes from its smaller entropic
contribution. The greater enthalpic and entropic contributions of the AT pair
result from water fixed by its polar groups in the minor groove of DNA. This
water is also responsible for the so-called "nearest-neighbour effects" used to
explain the sequence-dependent stabilities of DNA duplexes. Removal of this water
by binding DBDs to the minor groove makes this an entropy driven process, in
contrast to major groove binding which is enthalpy driven. Analysis of the forces
involved in maintaining DNA-DBD complexes shows that specificity of DBD binding
is provided by enthalpic interactions, while the electrostatic component that
results from counter-ion dispersal is entirely entropic and not sequence
specific. Although the DNA double helix is a rather rigid construction, binding
of DBDs to its minor groove often results in considerable DNA bending without the
expenditure of significant free energy. This suggests that the rigidity of the
DNA duplex comes largely from the water fixed to AT pairs in the minor groove,
the loss of which then enables sharp bending.
PMID- 29378225
TI - Influence of the loci of non-cavitated fissure caries on its detection with
optical coherence tomography.
AB - OBJECTIVE: The main objective of this study was to evaluate the accuracy of
optical coherence tomography (OCT) in detecting naturally occurring non-cavitated
fissure caries (NCFC) in totality and at different loci by visually assessing
cross-sectional OCT scans (B-scan) with an interpretation criterion. The
secondary objective was to evaluate the agreement between dimensions of NCFC
measured with OCT and polarized light microscopy (PLM). METHODS: 71 investigation
sites of sound fissure and naturally occurring NCFC on human extracted premolars
were identified and scanned with a swept-source OCT. The teeth were then
sectioned bucco-lingually at the investigation sites and imaged using PLM. Two
calibrated examiners trained on the B-scan NCFC visual interpretation criteria
established for this study, assessed the investigation sites and results were
validated against PLM. RESULTS: Detection sensitivity of B-scan for NCFC when
fissures were assessed in totality, or on the slopes or walls separately are
0.98, 0.95, 0.94 and specificity are 0.95, 0.90, and 0.95. One-way ANOVA showed
that width measurements of wall loci done with OCT and PLM were not statistically
different. However, OCT height measurements of slope loci were statistically
bigger with a constant bias of 0.08 mm (of which is not clinically significant)
and OCT height measurements of wall loci were statistically smaller (0.57 mm) and
Bland-Altman plots indicated presence of proportionate bias. CONCLUSION: Visual
assessment of B-scans with the interpretation criteria resulted in both high
specificity and sensitivity and were not affected by loci location. OCT width
measurement of wall loci is in agreement with PLM. CLINICAL SIGNIFICANCE:
Unanimous high sensitivity in this and previous studies indicate that visual
assessment of B-scans reliably rule out NCFC. Detection accuracy was not affected
by loci location. Width of wall loci and/or height of slope loci in OCT B-scan
are to be used for monitoring NCFC but not height of wall loci.
PMID- 29378227
TI - The effect of acute and chronic exercise on steroid hormone fluctuations in young
and middle-aged men.
AB - The current study examine the effects of combined sprint and resistance training
on serum total testosterone (TT), sex-hormone-binding globulin (SHBG) and
cortisol (C), at rest, and in response to the Wingate Anaerobic-Test (WAnT) in 21
and 41 years old men. Forty moderately-trained men were randomly assigned to a
young trained (YT), young control (YC), middle-aged trained (MAT), and middle
aged control (MAC) group. Before (P1), and after (P2) training, blood samples
were collected at rest and after exercise. At P1, higher C and lower TT was
observed in middle-aged groups compared to younger ones (P < 0.05). At P2, basal
TT increased significantly (P < 0.05) in MAT and the age-difference was absent
between trained groups (P > 0.05). Basal SHBG decreased significantly in YT at P2
(P < 0.05) but did not change in other groups from before to after training (P >
0.05). Free-testosterone was significantly (P < 0.05) higher in young compared to
middle-aged groups at P1, but at P2, this age-related difference disappeared
between YT and MAT (P > 0.05). C post-WAnT increased significantly for MAT only
(P < 0.05) at P2, whilst no significant changes were observed in the other three
groups (P < 0.05) at P2. In contrast, resting levels of C did not change in all
groups at P2 (P > 0.05). The current study demonstrates that this training
intervention may help increase steroids hormones in middle-aged men and
counteract the negative effect of age on TT and free testosterone.
PMID- 29378226
TI - Membrane-initiated estrogen signaling via Gq-coupled GPCR in the central nervous
system.
AB - The last few decades have revealed increasing complexity and depth to our
knowledge of receptor-mediated estrogen signaling. Nuclear estrogen receptors
(ERs) ERalpha and ERbeta remain the fundamental dogma, but recent research
targeting membrane-bound ERs urges for a more expanded view on ER signaling.
ERalpha and ERbeta are also involved in membrane-delineated signaling alongside
membrane-specific G protein-coupled estrogen receptor 1 (GPER1), ER-X, and the Gq
coupled membrane ER (Gq-mER). Membrane ERs are responsible for eliciting rapid
responses to estrogen signaling, and their importance has been increasingly
indicated in central nervous system (CNS) regulation of such functions as
reproduction, energy homeostasis, and stress. While the Gq-mER signaling pathway
is well characterized, the receptor structure and gene remains uncharacterized,
although it is not similar to the nuclear ERalpha/beta. This review will describe
the current knowledge of this putative membrane ER and its selective ligand, STX,
from its initial characterization in hypothalamic melanocortin circuitry to
recent research exploring its role in the CNS outside of the hypothalamus.
PMID- 29378229
TI - Reformation in chimeric antigen receptor based cancer immunotherapy: Redirecting
natural killer cell.
AB - Natural killer (NK) cells are an important subset of lymphocytes which play a
critical role in host immunity against cancers. With MHC-independent recognition,
short lifespan and potent cytotoxicity, NK cells make a promising candidate for
chimeric antigen receptor (CAR)-engineered cancer immunotherapy. Due to innate
biological properties of NK cells, CAR-NK may outperform CAR-T therapy in terms
of less side effects and more universal access, which may become a great
reformation in CAR-based cancer immunotherapy. The CARs used in peripheral blood
(PB) NK cells as well as NK cell line like NK-92 are the most important outfits
defining antigenic specificity. The constructs of CARs used in NK cells from
different sources vary, which all undergo generational optimization. The anti
tumor effects of CAR-NK have been validated in numerous preclinical trials for
cancers, including hematologic malignancies and many solid tumors, which provide
evidence for potential clinical application of CAR-NK. Additionally, this review
concludes the challenges faced in the application of CAR-NK. Although CAR-NK is
considered as one of the most possible "off-the-shelf" products, the improvement
for the efficiency of expansion and transduction as well as the solution for
underlying safety issues is still needed. Possible coping strategies for
challenges and upgrades in techniques are also highlighted for future development
in CAR-NK cancer immunotherapy.
PMID- 29378230
TI - Furocoumarins: A review of biochemical activities, dietary sources and intake,
and potential health risks.
PMID- 29378228
TI - The pro-tumorigenic effects of metabolic alterations in glioblastoma including
brain tumor initiating cells.
AB - De-regulated cellular energetics is an emerging hallmark of cancer with
alterations to glycolysis, oxidative phosphorylation, the pentose phosphate
pathway, lipid oxidation and synthesis and amino acid metabolism. Understanding
and targeting of metabolic reprogramming in cancers may yield new treatment
options, but metabolic heterogeneity and plasticity complicate this strategy. One
highly heterogeneous cancer for which current treatments ultimately fail is the
deadly brain tumor glioblastoma. Therapeutic resistance, within glioblastoma and
other solid tumors, is thought to be linked to subsets of tumor initiating cells,
also known as cancer stem cells. Recent profiling of glioblastoma and brain tumor
initiating cells reveals changes in metabolism, as compiled here, that may be
more broadly applicable. We will summarize the profound role for metabolism in
tumor progression and therapeutic resistance and discuss current approaches to
target glioma metabolism to improve standard of care.
PMID- 29378232
TI - The frequency, antifungal susceptibility and enzymatic profiles of Candida
species in cases of onychomycosis infection.
AB - Although the frequency of candidal onychomycosis is increasing daily, there is
little information in literature about the epidemiology, pathogenesis, and
antifungal susceptibility of this dermatological disease. This study aimed to
provide information about the epidemiology, pathogenesis, and azole
susceptibility of Candida species isolated from patients living in a region with
continental climate. After identification of the isolated strains using
conventional methods, proteinase and phospholipase activities were determined by
a plate method and biofilm-forming ability was determined using the microplate
method. Susceptibility of the same species to fluconazole (FLU), voriconazole
(VRC), miconazole (MNZ), itraconazole (ITZ), and ketoconazole (KTZ) were
determined by microdilution method. The 50 Candida isolates included 23 C.
parapsilosis (46%), 13 C. albicans (26%), 4 C. guilliermondii(8%), 4 C.tropicalis
(8%), 2 C.krusei(2%), 1 C.lusitaniae (2%), 1 C. sake (2%), and 1 C. kefyr (2%)
isolates. The geometric mean (GM) of the minimum inhibitory concentration (MIC)
for FLU, KTZ, VRC, MNZ, and ITZ was 0.4 MUg/mL, 0.08 MUg/mL, 0.08 MUg/mL, 0.2
MUg/mL, and 0.6 MUg/mL, respectively. Proteinase, phospholipase, and biofilm
forming ability were detected in 18%(9/50), 20%(10/50), and 6%(3/50) of the
Candida isolates, respectively. We found that the most frequently isolated
species is C.parapsilosis. On the basis of the GM values, the most effective
azoles are ketoconazole and voriconazole. The isolated Candida species exhibited
low phospholipase, proteinase, and biofilm formation activities.
PMID- 29378231
TI - RIFM fragrance ingredient safety assessment, 1,1-diethoxyisooctane, CAS Registry
Number 69178-43-4.
PMID- 29378233
TI - In vitro models and systems for evaluating the dynamics of drug delivery to the
healthy and diseased brain.
AB - The blood-brain barrier (BBB) plays a crucial role in maintaining brain
homeostasis and transport of drugs to the brain. The conventional animal and
Transwell BBB models along with emerging microfluidic-based BBB-on-chip systems
have provided fundamental functionalities of the BBB and facilitated the testing
of drug delivery to the brain tissue. However, developing biomimetic and
predictive BBB models capable of reasonably mimicking essential characteristics
of the BBB functions is still a challenge. In addition, detailed analysis of the
dynamics of drug delivery to the healthy or diseased brain requires not only
biomimetic BBB tissue models but also new systems capable of monitoring the BBB
microenvironment and dynamics of barrier function and delivery mechanisms. This
review provides a comprehensive overview of recent advances in microengineering
of BBB models with different functional complexity and mimicking capability of
healthy and diseased states. It also discusses new technologies that can make the
next generation of biomimetic human BBBs containing integrated biosensors for
real-time monitoring the tissue microenvironment and barrier function and
correlating it with the dynamics of drug delivery. Such integrated system
addresses important brain drug delivery questions related to the treatment of
brain diseases. We further discuss how the combination of in vitro BBB systems,
computational models and nanotechnology supports for characterization of the
dynamics of drug delivery to the brain.
PMID- 29378234
TI - Circular RNA cSMARCA5 inhibits growth and metastasis in hepatocellular carcinoma.
AB - BACKGROUND & AIMS: In recent years, circular RNAs (circRNAs) have been shown to
have critical regulatory roles in cancer biology. However, the contributions of
circRNAs to hepatocellular carcinoma (HCC) remain largely unknown. METHODS:
cSMARCA5 (a circRNA derived from exons 15 and 16 of the SMARCA5 gene,
hsa_circ_0001445) was identified by RNA-sequencing and validated by quantitative
reverse transcription PCR. The role of cSMARCA5 in HCC progression was assessed
both in vitro and in vivo. circRNAs in vivo precipitation, luciferase reporter
assay, biotin-coupled microRNA capture and fluorescence in situ hybridization
were conducted to evaluate the interaction between cSMARCA5 and miR-17-3p/miR
181b-5p. RESULTS: The expression of cSMARCA5 was lower in HCC tissues, because of
the regulation of DExH-Box Helicase 9, an abundant nuclear RNA helicase. The
downregulation of cSMARCA5 in HCC was significantly correlated with aggressive
characteristics and served as an independent risk factor for overall survival and
recurrence-free survival in patients with HCC after hepatectomy. Our in vivo and
in vitro data indicated that cSMARCA5 inhibits the proliferation and migration of
HCC cells. Mechanistically, we found that cSMARCA5 could promote the expression
of TIMP3, a well-known tumor suppressor, by sponging miR-17-3p and miR-181b-5p.
CONCLUSION: These results reveal an important role of cSMARCA5 in the growth and
metastasis of HCC and provide a fresh perspective on circRNAs in HCC progression.
LAY SUMMARY: Herein, we studied the role of cSMARCA5, a circular RNA, in
hepatocellular carcinoma. Our in vitro and in vivo data showed that cSMARCA5
inhibits the growth and migration of hepatocellular carcinoma cells, making it a
potential therapeutic target.
PMID- 29378235
TI - Translational models of tumor angiogenesis: A nexus of in silico and in vitro
models.
AB - Emerging evidence shows that endothelial cells are not only the building blocks
of vascular networks that enable oxygen and nutrient delivery throughout a tissue
but also serve as a rich resource of angiocrine factors. Endothelial cells play
key roles in determining cancer progression and response to anti-cancer drugs.
Furthermore, the endothelium-specific deposition of extracellular matrix is a key
modulator of the availability of angiocrine factors to both stromal and cancer
cells. Considering tumor vascular network as a decisive factor in cancer
pathogenesis and treatment response, these networks need to be an inseparable
component of cancer models. Both computational and in vitro experimental models
have been extensively developed to model tumor-endothelium interactions. While
informative, they have been developed in different communities and do not yet
represent a comprehensive platform. In this review, we overview the necessity of
incorporating vascular networks for both in vitro and in silico cancer models and
discuss recent progresses and challenges of in vitro experimental microfluidic
cancer vasculature-on-chip systems and their in silico counterparts. We further
highlight how these two approaches can merge together with the aim of presenting
a predictive combinatorial platform for studying cancer pathogenesis and testing
the efficacy of single or multi-drug therapeutics for cancer treatment.
PMID- 29378237
TI - Increased mitochondrial turnover in the skeletal muscle of fasted, castrated mice
is related to the magnitude of autophagy activation and muscle atrophy.
AB - Androgen-deficiency promotes muscle atrophy in part by increasing autophagy
mediated muscle protein breakdown during the fasted state, but factors
contributing to this remain undefined. To identify novel factors, mice were
subjected to sham or castration surgery. Seven-weeks post-surgery, mice were
fasted overnight, refed for 30 min, and fasted another 4.5 h before sacrifice.
BNIP3-mediated turnover of mitochondria was increased within the atrophied
tibialis anterior (TA) of castrated mice and related to the magnitude of muscle
atrophy and autophagy activation (i.e. decreased p62 protein content), thus
linking turnover of potentially dysfunctional mitochondria with autophagy
mediated atrophy. Autophagy induction was likely facilitated by AMPK activation
as a stress survival mechanism since phosphorylation of AMPK (Thr172), as well as
the pro survival kinases Akt (Thr308) and (ERK1/2 Thr202/Tyr204), were increased
by castration. Together, these data identify a novel relationship between
mitochondrial turnover in the fasted state with autophagy activation and muscle
atrophy following androgen depletion.
PMID- 29378236
TI - Partial growth hormone insensitivity and dysregulatory immune disease associated
with de novo germline activating STAT3 mutations.
AB - Germinal heterozygous activating STAT3 mutations represent a novel monogenic
defect associated with multi-organ autoimmune disease and, in some cases, severe
growth retardation. By using whole-exome sequencing, we identified two novel
STAT3 mutations, p.E616del and p.C426R, in two unrelated pediatric patients with
IGF-I deficiency and immune dysregulation. The functional analyses showed that
both variants were gain-of-function (GOF), although they were not constitutively
phosphorylated. They presented differences in their dephosphorylation kinetics
and transcriptional activities under interleukin-6 stimulation. Both variants
increased their transcriptional activities in response to growth hormone (GH)
treatment. Nonetheless, STAT5b transcriptional activity was diminished in the
presence of STAT3 GOF variants, suggesting a disruptive role of STAT3 GOF
variants in the GH signaling pathway. This study highlights the broad clinical
spectrum of patients presenting activating STAT3 mutations and explores the
underlying molecular pathway responsible for this condition, suggesting that
different mutations may drive increased activity by slightly different
mechanisms.
PMID- 29378238
TI - Loss of ATRX suppresses ATM dependent DNA damage repair by modulating H3K9me3 to
enhance temozolomide sensitivity in glioma.
AB - Mutations in ATRX constitute the most prevalent genetic abnormalities in gliomas.
The presence of ATRX mutations in glioma serves as a marker of better prognosis
with longer patient survival although the underlying mechanisms are poorly
understood. In the present study, we found that ATRX biological function was
significantly involved in DNA replication and repair. CRISPR/Cas9-mediated
genetic inactivation of ATRX induced inhibition of cell proliferation, invasion
and vasculogenic mimicry. In addition, temozolomide (TMZ) treatment induced
greater DNA damage and apoptotic changes in ATRX knockout glioma cells. Moreover,
we confirmed that ATRX knockout resulted in a failure to trigger ATM
phosphorylation and finally restrained the activation of downstream proteins of
the ATM pathway. The ATM-associated DNA repair pathway was extensively
compromised in ATRX knockout cells owing to decreased histone H3K9me3
availability. Public databases also showed that patients with low ATRX expression
exhibited preferable overall survival and profited more from TMZ treatment. These
data suggest that ATRX is involved in DNA damage repair by regulating the ATM
pathway and might serve as a prognostic maker in predicting TMZ chemosensitivity.
PMID- 29378239
TI - BioMEMS for biosensors and closed-loop drug delivery.
AB - The efficacy of pharmaceutical treatments can be greatly enhanced by
physiological feedback from the patient using biosensors, though this is often
invasive or infeasible. By adapting microelectromechanical systems (MEMS)
technology to miniaturize such biosensors, previously inaccessible signals can be
obtained, often from inside the patient. This is enabled by the device's
extremely small footprint which minimizes both power consumption and implantation
trauma, as well as the transport time for chemical analytes, in turn decreasing
the sensor's response time. MEMS fabrication also allows mass production which
can be easily scaled without sacrificing its high reproducibility and
reliability, and allows seamless integration with control circuitry and telemetry
which is already produced using the same materials and fabrication steps. By
integrating these systems with drug delivery devices, many of which are also MEMS
based, closed loop drug delivery can be achieved. This paper surveys the types of
signal transduction devices available for biosensing-primarily electrochemical,
optical, and mechanical-looking at their implementation via MEMS technology. The
impact of MEMS technology on the challenges of biosensor development,
particularly safety, power consumption, degradation, fouling, and foreign body
response, are also discussed.
PMID- 29378241
TI - A small set of differentially expressed genes was associated with two color
morphs in natural populations of the pea aphid Acyrthosiphon pisum.
AB - Color polymorphism is an ecologically important trait, which is related to local
adaptation and ecological speciation. The pea aphid Acyrthosiphon pisum shows
color polymorphism: the red and green color morphs where differences in
ecological adaptation have been observed. Here, we measured genome-wide gene
expression profiles of two color morphs in natural populations of A. pisum to
explore the genetic basis of differentiated ecological adaptation. The results
showed that only 32 genes were significantly differentially expressed between the
two morphs, of which 18 had functional annotations. Among them, 13 genes were up
regulated [e.g. genes encoding protoheme IX farnesyltransferase (LOC100570971),
carotene dehydrogenase (tor) and V-type proton ATPase subunit B (LOC100169462)]
and 5 genes were down-regulated in the red morph (e.g. genes encoding
transcription factors and heat shock proteins). To assess the functional
importance of these differentially expressed genes (DEGs), we selected three
highly expressed DEGs (LOC100169462, LOC100570971 and tor) with functional
annotations and analyzed their expression levels in the red morph under three low
temperatures (1 degrees C, 4 degrees C, and 8 degrees C) for 24 h. These three
DEGs showed an interesting expression response to the cold acclimating conditions
which resulted in an obvious phenotypic change of the red individuals to be
greenish variants. This study suggests a link between gene expressions and body
color polymorphisms in the pea aphid and provides important clues for further
studying molecular mechanisms of ecological adaptation in aphids.
PMID- 29378242
TI - Identification of a novel mutation (Ala66Thr) of SRY gene causes XY pure gonadal
dysgenesis by affecting DNA binding activity and nuclear import.
AB - Sex-determining region of the Y chromosome (SRY) gene plays a crucial role in
male sexual differentiation and development. Several mutations in the SRY gene
have been reported in the high mobility group (HMG) box domain and can cause
gonadal dysgenesis symptoms. In this study, we report that a novel missense
mutation in the SRY gene, a G to A transition within the HMG box, causes the
Ala66Thr amino acid substitution in a female patient presenting 46,XY karyotype
with pure gonadal dysgenesis. The G to A base transition was not found in the SRY
sequence after the screening of 100 normal males. Furthermore, Ala66Thr mutation
drastically reduced the binding capacity of SRY to DNA sequences, whereas wild
type SRY protein showed the normal binding capacity to DNA sequences in vitro. We
also found that the mutant SRY protein was partly localized in cytoplasm, whereas
wild-type SRY protein was strictly localized in cell nucleus. In addition, we
analyzed the three-dimensional structure of SRY protein by homology modeling
methods. In conclusion, we identified a novel SRY mutation in a 46,XY female
patient with pure gonadal dysgenesis, demonstrating the importance of the
Ala66Thr mutation in DNA binding activity and nuclear transport.
PMID- 29378240
TI - Fluconazole non-susceptible breakthrough candidemia after prolonged low-dose
prophylaxis: a prospective FUNGINOS study.
AB - OBJECTIVES: Breakthrough candidemia (BTC) on fluconazole was associated with non
susceptible Candida spp. and increased mortality. This nationwide FUNGINOS study
analyzed clinical and mycological BTC characteristics. METHODS: A 3-year
prospective study was conducted in 567 consecutive candidemias. Species
identification and antifungal susceptibility testing (CLSI) were performed in the
FUNGINOS reference laboratory. Data were analyzed according to STROBE criteria.
RESULTS: 43/576 (8%) BTC occurred: 37/43 (86%) on fluconazole (28 prophylaxis,
median 200 mg/day). 21% BTC vs. 23% non-BTC presented severe sepsis/septic shock.
Overall mortality was 34% vs. 32%. BTC was associated with gastrointestinal
mucositis (multivariate OR 5.25, 95%CI 2.23-12.40, p < 0.001) and graft-versus
host-disease (6.25, 1.00-38.87, p = 0.05), immunosuppression (2.42, 1.03-5.68, p
= 0.043), and parenteral nutrition (2.87, 1.44-5.71, p = 0.003). Non-albicans
Candida were isolated in 58% BTC vs. 35% non-BTC (p = 0.005). 63% of 16 BTC
occurring after 10-day fluconazole were non-susceptible (Candida glabrata,
Candida krusei, Candida norvegensis) vs. 19% of 21 BTC (C. glabrata) following
shorter exposure (7.10, 1.60-31.30, p = 0.007). Median fluconazole MIC was 4 mg/l
vs. 0.25 mg/l (p < 0.001). Ten-day fluconazole exposure predicted non-susceptible
BTC with 73% accuracy. CONCLUSIONS: Outcomes of BTC and non-BTC were similar.
Fluconazole non-susceptible BTC occurred in three out of four cases after
prolonged low-dose prophylaxis. This implies reassessment of prophylaxis duration
and rapid de-escalation of empirical therapy in BTC after short fluconazole
exposure.
PMID- 29378244
TI - ATF3 is positively involved in particulate matter-induced airway inflammation in
vitro and in vivo.
AB - Airborne particulate matter (PM) has been reported to be associated with a wide
range of respiratory disorders. However, the mechanisms underlying PM-induced
airway inflammation remain largely unknown. Generally, ATF3 negatively regulates
pro-inflammatory cytokines production in response to TLR4 signaling. Here we
first showed ATF3 has promoting effects in PM-induced airway inflammation in
vitro an in vivo. We demonstrated PM significantly upregulated ATF3 expression in
HBE cells and in mouse lung tissues. ATF3 siRNA markedly inhibited, while ATF3
recombinant over-expression plasmid significantly increased PM-induced IL-6
expression in cultured HBE cells, and PM-induced IL-6, CXCL2 expression as well
as neutrophil infiltration, mucus over-production in the lung of ATF3-/- mice
were all notably reduced relative to the wild-type littermates. Furthermore, we
showed ATF3 mediated PM-induced inflammatory cytokines expression partly through
NF-kappaB and AP-1 pathways. Our data further elucidates the mechanisms
underlying PM-induced airway inflammation, and indicates ATF3 may function as
different role in response to different stimuli.
PMID- 29378245
TI - Neuroglobin overexpression plays a pivotal role in neuroprotection through
mitochondrial raft-like microdomains in neuroblastoma SK-N-BE2 cells.
AB - Since stressing conditions induce a relocalization of endogenous human
neuroglobin (NGB) to mitochondria, this research is aimed to evaluate the
protective role of NGB overexpression against neurotoxic stimuli, through
mitochondrial lipid raft-associated complexes. To this purpose, we built a
neuronal model of oxidative stress by the use of human dopaminergic neuroblastoma
cells, SK-N-BE2, stably overexpressing NGB by transfection and treated with 1
methyl-4-phenylpyridinium ion (MPP+). We preliminary observed the redistribution
of NGB to mitochondria following MPP+ treatment. The analysis of mitochondrial
raft-like microdomains revealed that, following MPP+ treatment, NGB translocated
to raft fractions (Triton X-100-insoluble), where it interacts with ganglioside
GD3. Interestingly, the administration of agents capable of perturbating
microdomain before MPP+ treatment, significantly affected viability in SK-N-BE2
NGB cells. The overexpression of NGB was able to abrogate the mitochondrial
injuries on complex IV activity or mitochondrial morphology induced by MPP+
administration. The protective action of NGB on mitochondria only takes place if
the mitochondrial lipid(s) rafts-like microdomains are intact, indeed NGB fails
to protect complex IV activity when purified mitochondria were treated with the
lipid rafts disruptor methyl-beta-cyclodextrin. Thus, our unique in vitro model
of stably transfected cells overexpressing endogenous NGB allowed us to suggest
that the role in neuroprotection played by NGB is reliable only through
interaction with mitochondrial lipid raft-associated complexes.
PMID- 29378243
TI - Alterations in the nigrostriatal dopamine system after acute systemic PhIP
exposure.
AB - Heterocyclic amines (HCAs) are primarily formed during cooking of meat at high
temperature. HCAs have been extensively studied as mutagens and possible
carcinogens. Emerging data suggest that HCAs are neurotoxic and may be relevant
to Parkinson's disease (PD) etiology. However, the majority of HCAs have not been
evaluated for in vivo neurotoxicity. Here, we investigated acute in vivo
neurotoxicity of 2-amino-1-methyl-6-phenylimidazo[4,5-b]pyridine (PhIP). PhIP is
the most prevalent genotoxin in many types of meats. Adult, male Sprague-Dawley
rats were subjected to acute, systemic PhIP at doses and time-points that have
been extensively utilized in cancer studies (100 and 200 mg/kg for 8, 24 h) and
evaluated for changes in dopaminergic, serotoninergic, GABAergic, and
glutamatergic neurotransmission. PhIP exposure resulted in decreased striatal
dopamine metabolite levels and dopamine turnover in the absence of changes to
vesicular monoamine transporter 2 levels; other neurotransmitter systems were
unaffected. Quantification of intracellular nitrotyrosine revealed higher levels
of oxidative damage in dopaminergic neurons in the substantia nigra after PhIP
exposure, while other neuronal populations were less sensitive. These changes
occurred in the absence of an overt lesion to the nigrostriatal dopamine system.
Collectively, our study suggests that acute PhIP treatment in vivo targets the
nigrostriatal dopaminergic system and that PhIP should be further examined in
chronic, low-dose studies for PD relevance.
PMID- 29378246
TI - Phenotypes and endotypes of food allergy: A path to better understanding the
pathogenesis and prognosis of food allergy.
PMID- 29378247
TI - Convergent herbivory on conifers by Choristoneura moths after boreal forest
formation.
AB - Mitogenomes are useful markers for phylogenetic studies across a range of
taxonomic levels. Here, we focus on mitogenome variation across the tortricid
moth genus Choristoneura and particularly the spruce budworm (Choristoneura
fumiferana) species complex, a notorious pest group of North American conifer
forests. Phylogenetic relationships of Tortricidae, representing two subfamilies,
four tribes and nine genera, were analyzed using 21 mitogenomes. These included
six newly-sequenced mitogenomes for species in the spruce budworm complex plus
three additional Choristoneura species and 12 previously published mitogenomes
from other tortricids and one from the Cossidae. We evaluated the phylogenetic
informativeness of the mitogenomes and reconstructed a time-calibrated tree with
fossil and secondary calibrations. We found that tortricid mitogenomes had
conserved protein and ribosomal regions, and analysis of all protein-coding plus
ribosomal genes together provided an efficient marker at any taxonomic rank. The
time-calibrated phylogeny showed evolutionary convergence of conifer feeding
within Choristoneura, with two independent lineages, the Nearctic spruce budworm
complex and the Palearctic species Choristoneura murinana, both shifting onto
conifers about 11 million years ago from angiosperms. These two host-plant shifts
both occurred after the formation of boreal forest in the late Miocene. Haplotype
diversification within the spruce budworm complex occurred in the last 4 million
years, and is probably linked to the initial cooling cycles of the Northern
Hemisphere in the Pliocene.
PMID- 29378248
TI - Effect of dietary nitrate levels on nitrate fluxes in rat skeletal muscle and
liver.
AB - Rodent skeletal muscle has high levels of nitrate ions and this endogenous
nitrate reservoir can supply nitrite/nitric oxide (NO) for functional hyperemia
and/or for other physiological processes in muscle during exercise. Mice with a
NOS1 knockout have markedly reduced muscle nitrate levels, suggesting NO
production by NOS and its reaction with oxymyoglobin as a source of nitrate.
However, oxygen levels are normally low in most internal organs, which raises the
possibility that nitrate-derived NO pathway is physiologically important even at
"normoxia", and muscle nitrate reservoir is the main endogenous NO backup when
exogeneous (dietary) nitrate intake is low. Using dietary nitrate manipulations,
we explore the importance of diet for maintaining and renewal of muscle nitrate
reservoir and its levels in other tissues. We found that skeletal muscle nitrate
is extensively used when nitrate in diet is low. One week of nitrate starvation
leads to dramatic nitrate depletion in skeletal muscle and a substantial decrease
in liver. Nitrate depleted from skeletal muscle during starvation is quickly
recovered from new dietary sources, with an unexpected significant "overload"
compared with animals not subjected to nitrate starvation. Our results suggest
the importance of dietary nitrate for nitrate reserves in muscle and in other
tissues, when compared with endogenous NOS-derived sources. This requires an
active transport mechanism for sequestering nitrate into cells, stimulated by
lack of dietary nitrate or other enzymatic changes. These results confirm the
hypothesis that muscle is a major storage site for nitrate in mammals.
PMID- 29378249
TI - Contrasting effects of low versus high ascorbate doses on blood pressure
responses to oral nitrite in L-NAME-induced hypertension.
AB - Nitrite reduces blood pressure (BP) in both clinical and experimental
hypertension. This effect is attributable to the formation of nitric oxide (NO)
and other NO-related species, which may be improved by ascorbate or other
antioxidants. However, the BP responses to oral nitrite result, at least in part,
of increased gastric S-nitrosothiol formation. This study tested the hypothesis
that ascorbate may destroy S-nitrosothiols and therefore not all doses of
ascorbate enhance the BP responses to oral nitrite. We assessed the BP responses
to oral sodim nitrite (0.2 mmol/kg) in L-NAME hypertensive rats pretreated with
ascorbate (0, 0.02, 0.2, or 2 mmol/kg). Plasma and gastric wall concentrations of
nitrite and nitroso compounds concentrations were determined using an ozone-based
reductive chemiluminescence assay. Nitrate concentrations were determined using
the Griess reaction. Free thiol concentrations were determined by a colorimetric
assay. The BP responses to nitrite exhibited a bell-shape profile as they were
not modified by ascorbate 0.02 mmol/l, whereas the 0.2 mmol/kg dose enhanced and
the 2 mmol/kg dose attenuated BP responses. In parallel with BP responses,
nitrite-induced increases in plasma nitrite and RSNO species were not modified by
ascorbate 0.02 mmol/l, whereas the 0.2 mmol/kg dose enhanced and the 2 mmol/kg
dose attenuated them. Similar experiments were carried out with an equimolar dose
of S-nitrosogluthathione. Ascorbate dose-dependently impaired the BP responses to
S-nitrosogluthathione, and the corresponding increases in plasma RSNO, but not in
plasma nitrite concentrations. This is the first study to show that while
ascorbate dose-dependently impairs the BP responses to oral S
nitrosogluthathione, there are contrasting effects when low versus high ascorbate
doses are compared with respect to its effects on the blood pressure responses to
oral nitrite administration. Our findings may have special implications to
patients taking ascorbate, as high doses of this vitamin may impair protective
mechanisms associated with nitrite or nitrate from dietary sources.
PMID- 29378250
TI - Accelerating clinical development timelines.
PMID- 29378251
TI - CROs and CNS research: challenges and trends.
PMID- 29378252
TI - Gut Microbiota, Hypertension and Chronic kidney Disease: recent advances.
AB - A large number of different microbial species populates intestine. Extensive
research has studied the entire microbial population and their genes (microbiome)
by using metagenomics, metatranscriptomics and metabolomic analysis. Studies
suggest that the imbalances of the microbial community causes alterations in the
intestinal homeostasis, leading to repercussions on other systems: metabolic,
nervous, cardiovascular, immune. These studies have also shown that alterations
in the structure and function of the gut microbiota play a key role in the
pathogenesis and complications of Hypertension (HTN) and Chronic Kidney Disease
(CKD). Increased blood pressure (BP) and CKD are two leading risk factors for
cardiovascular disease and their treatment represents a challenge for the
clinicians. In this Review, we discuss mechanisms whereby gut microbiota (GM) and
its metabolites act on downstream cellular targets to contribute to the
pathogenesis of HTN and CKD, and potential therapeutic implications.
PMID- 29378253
TI - Evaluation and optimized selection of supersaturating drug delivery systems of
posaconazole (BCS class 2b) in the gastrointestinal simulator (GIS): An in vitro
in silico-in vivo approach.
AB - Supersaturating drug delivery systems (SDDS) have been put forward in the recent
decades in order to circumvent the issue of low aqueous solubility. Prior to the
start of clinical trials, these enabling formulations should be adequately
explored in in vitro/in silico studies in order to understand their in vivo
performance and to select the most appropriate and effective formulation in terms
of oral bioavailability and therapeutic outcome. The purpose of this work was to
evaluate the in vivo performance of four different oral formulations of
posaconazole (categorized as a biopharmaceutics classification system (BCS) class
2b compound) based on the in vitro concentrations in the gastrointestinal
simulator (GIS), coupled with an in silico pharmacokinetic model to predict their
systemic profiles. Recently published intraluminal and systemic concentrations of
posaconazole for these formulations served as a reference to validate the in
vitro and in silico results. Additionally, the morphology of the formed
precipitate of posaconazole was visualized and characterized by optical
microscopy studies and thermal analysis. This multidisciplinary work demonstrates
an in vitro-in silico-in vivo approach that provides a scientific basis for
screening SDDS by a user-friendly formulation predictive dissolution (fPD) device
in order to rank these formulations towards their in vivo performance.
PMID- 29378255
TI - Effect of an oral health education program based on the use of quantitative light
induced fluorescence technology in Uzbekistan adolescents.
AB - OBJECTIVES: The aim of this study was to determine whether an oral health
education program using a Qscan device based on quantitative light-induced
fluorescence (QLF) technology could improve the oral hygiene status and oral
health literacy of adolescents. MATERIALS AND METHODS: One hundred adolescents
aged 14-16 years attending a school in Tashkent city were included in this study.
The participants were assigned to the following two groups using permuted block
randomization technique: (i) control group (traditional learning) and (ii)
experimental group (Qscan device-based learning). The participants included in
the experimental group received additional education and training on dental
plaque removal using the Qscan device. The accumulated levels of plaque were
assessed in all participants, who also completed questionnaires about their oral
health status, oral health knowledge, attitude, and behavior during an 8-week
period. RESULTS: There were statistically significant improvements in the
experimental group compared to the control group in the plaque index (0.46 vs
0.07, p < .05), oral health knowledge (19.4 vs 28.8, p < .05), attitude (16.7 vs
20.2, p < .05), and behavior (19.9 vs 30.5, p < .05). CONCLUSIONS: This study has
demonstrated that an oral health education program based on the use of QLF
technology could be useful for improving the oral hygiene status and oral health
literacy of adolescents in Uzbekistan.
PMID- 29378254
TI - Toxicokinetics of the neonicotinoid insecticide imidacloprid in rainbow trout
(Oncorhynchus mykiss).
AB - Studies were conducted to determine the distribution and elimination of
imidacloprid (IMI) in rainbow trout. Animals were injected with a low (47.6
MUg/kg), medium (117.5 MUg/kg) or high (232.7 MUg/kg) dose directly into the
bloodstream and allowed to depurate. The fish were then sampled to characterize
the loss of IMI from plasma and its appearance in expired water (all dose groups)
and urine (medium dose only). In vitro biotransformation of IMI was evaluated
using trout liver S9 fractions. Mean total clearance (CLT) values determined by
non-compartmental analysis of plasma time-course data were 21.8, 27.0 and 19.5
mL/h/kg for the low, medium and high dose groups, respectively. Estimated half
lives for the same groups were 67.0, 68.4 and 68.1 h, while fitted values for the
steady-state volume of distribution (VSS) were 1.72, 2.23 and 1.81 L/kg.
Branchial elimination rates were much lower than expected, suggesting that IMI is
highly bound in blood. Renal clearance rates were greater than measured rates of
branchial clearance (60% of CLT in the medium dose group), possibly indicating a
role for renal membrane transporters. There was no evidence for hepatic
biotransformation of IMI. Collectively, these findings suggest that IMI would
accumulate in trout in continuous waterborne exposures.
PMID- 29378256
TI - Curcumin-mediated Photodynamic Therapy for the treatment of oral infections-A
review.
AB - BACKGROUND: Recent evidences show the promising applications of Curcumin (CUR)
against different diseases, including some of the main oral pathologies. The
objective of this review paper was to catalog articles that investigated the
photodynamic effect of CUR for oral diseases in the last 15 years. METHODS: The
establishment of defined criteria for data collection was proposed and a total of
173 articles were identified, but only 26 were eligible for full text reading.
Their main findings were critically reviewed to provide a state-of-the-art
overview of the use of CUR in Dentistry. RESULTS: Antimicrobial potential of CUR
was the subject of the majority of the articles. CUR showed great potential for
photodynamic action against oral bacteria, fungi, and strains resistant to
conventional drugs. Some authors indicated the efficacy of CUR-mediated
Photodynamic Therapy to reduce tumor cells while others observed low cytotoxicity
in mammalian cells and healthy oral mucosa. However, CUR solubility and stability
is still a problem for the photodynamic technique, and to overcome these
drawbacks, biocompatible vehicles need to be better explored. CONCLUSIONS:
Investigations have used different CUR concentrations and formulations, as well
as different light parameters. This fact, together with the lack of in vivo
studies, clearly shows that clinical protocols have not been established yet.
Investigations are necessary in order to establish the best concentrations and
safe vehicles to be used for this technique.
PMID- 29378257
TI - Neprilysin Inhibition: What We Know and What We Don't Know.
PMID- 29378258
TI - Pregnancy outcomes after maternal use of thiocolchicoside: A case series.
AB - OBJECTIVE: The 2014 report by European Medicines Agency (EMA) restricted the use
of thiocolchicoside for all reproductive-age women. In this study, we aim to
expand the systematically-collected human data and discuss it within the frame
provided by this report. METHODS: We identified and evaluated the outcomes of 48
prospectively recorded pregnancies referred to Terafar (Teratology Information
Service, Izmir, Turkey). RESULTS: Of 42 pregnancies with first-trimester exposure
and known outcomes, 31 resulted in live births, four in miscarriage and seven
ended with elective terminations. There were 26 normal outcomes, two major and
three minor congenital malformations among the live births. CONCLUSIONS: Despite
a number of limitations, our results and previous case series collectively
strengthen the view that thiocolchicoside is unlikely to be a major teratogen.
EMA's 2014 report should be revised to reflect this finding, while current
restrictions on use should continue until more detailed safety information is
available.
PMID- 29378259
TI - Delay in Adjuvant Chemotherapy and Survival Advantage in Stage III Colon Cancer.
AB - BACKGROUND: Adjuvant chemotherapy after resection is the standard of care for
stage III colon cancer, yet many patients omit chemotherapy. We aimed to describe
the impact of delayed chemotherapy on overall survival across multiple time
points. STUDY DESIGN: The 2006 to 2014 National Cancer Data Base (NCDB) was
queried for patients with single primary stage III adenocarcinoma of the colon.
Patients were grouped by receipt and timing of chemotherapy from resection date:
chemotherapy omitted, <6 weeks, 6 to 8 weeks, 8 to 12 weeks, 12 to 24 weeks, and
>24 weeks. Subgroup analyses were performed for those with comorbidities and
those who had postoperative complications. Overall survival was compared using
Cox proportional hazard modeling, adjusting for patient, tumor, and facility
characteristics. RESULTS: In total, 72,057 patients were included; 20,807 omitted
chemotherapy, 22,705 received it at <6 weeks, 15,412 between 6 and 8 weeks, 9,049
between 8 and 12 weeks, 3,595 between 12 and 24 weeks, and 489 at >24 weeks after
resection. Compared with patients who omitted chemotherapy, patients who received
chemotherapy at <6 weeks (hazard ratio [HR] 0.44), 6 to 8 weeks (HR 0.45), 8 to
12 weeks (HR 0.52), 12 to 24 weeks (HR 0.61), and >24 weeks (HR 0.68) had
superior overall survival (p < 0.001). This survival benefit was preserved across
subgroups (p < 0.001). CONCLUSIONS: After resection of stage III colon cancer,
patients should receive adjuvant chemotherapy within 6 to 8 weeks for maximal
benefit. However, chemotherapy should be offered to patients who are outside the
optimal window, who have significant comorbidities, or who have had a
complication more than 24 weeks from resection to improve the overall survival
compared with omitting chemotherapy.
PMID- 29378260
TI - Kinetic properties analysis of beta-mannanase from Klebsiella oxytoca KUB-CW2-3
expressed in Escherichia coli.
AB - Endo-1,4-beta-mannanase is an enzyme that can catalyze the random hydrolysis of
beta-1,4-mannosidic linkages in the main chain of mannans, glucomannans and
galactomannans and offers many applications in different biotechnology
industries. Purification and kinetic properties of the endo-1,4-beta-mannanase
from recombinant Escherichia coli strain KMAN-3 were examined. Recombinant beta
mannanase (KMAN-3) was purified 50.5 fold using Ni-NTA Agarose resin and specific
activity of 11900 U/mg protein was obtained. Purified KMAN-3 showed a single band
on SDS-PAGE with a molecular weight of 43 kDa. Km and Vmax values of KMAN-3 on
ivory nut mannan, locust bean gum, defatted copra meal and konjac glucomannan
were 243, 3.83 * 105 37 and 2.13 * 106 mg ml-1 and 2940, 61,100, 3930 and 1.56 *
1010 mg-1, respectively. Carboxymethyl cellulose was not digested by KMAN-3.
PMID- 29378261
TI - Improving the quality of a recombinant rabbit monoclonal antibody against PLXDC2
by optimizing transient expression conditions and purification method.
AB - Rabbit monoclonal antibodies (mAbs) have many advantages over mouse antibodies in
biological research and diagnostics applications because they exhibit high
affinity and specificity. However, the methods of recombinant rabbit mAb
production have not been optimized to the same extent as techniques used to
produce mouse and human mAbs. In this study, we sought to optimize the production
of a recombinant rabbit mAb against human plexin domain containing protein 2
(PLXDC2), a known cell surface antigen, by culturing HEK293-6E cells transfected
with antibody-encoding genes at two different temperatures and by purifying the
end-product by three different chromatography methods. The quality and function
of purified antibody preparations were checked by electrophoresis and western
blot analysis. The secreted rabbit mAb produced by a combination of culturing at
32 degrees C, purification by ammonium sulfate fractionation, and
diethylaminoethyl resin (DEAE) ion exchange chromatography was of high quality.
In contrast, the antibody produced by the cells grown at 37 degrees C for 6 days
after transfection and purified by Protein A/G affinity method was low quality.
Hypothermic conditions during production reduced protein heterogeneity probably
by favorably affecting the levels of glycosylation and aggregation. In
particular, according to western blotting data, CIMmultus DEAE chromatography
that utilizes monolithic columns not only excluded inferior charge variants
resulting from nonspecific reactions but also yielded rabbit mAb that was of
better quality than commercially available rabbit polyclonal antibodies. The
combination of techniques suggested by us may be a general approach to enhance
product quality of rabbit mAbs produced by transient expression systems.
PMID- 29378262
TI - A new focal model resembling features of cortical pathology of the progressive
forms of multiple sclerosis: Influence of innate immunity.
AB - Multiple sclerosis (MS) is an inflammatory and demyelinating disease of unknown
aetiology that causes neurological disabilities in young adults. MS displays
different clinical patterns, including recurrent episodes with remission periods
("relapsing-remitting MS" (RRMS)), which can progress over several years to a
secondary progressive form (SPMS). However, 10% of patients display persistent
progression at the onset of disease ("primary progressive MS" (PPMS)). Currently,
no specific therapeutic agents are available for the progressive forms, mainly
because the underlying pathogenic mechanisms are not clear and because no animal
models have been specifically developed for these forms. The development of MS
animal models is required to clarify the pathological mechanisms and to test
novel therapeutic agents. In the present work, we overexpressed interleukin 1
beta (IL-1beta) in the cortex to develop an animal model reflecting the main
pathological hallmarks of MS. The treated animals presented with
neuroinflammation, demyelination, glial activation, and neurodegeneration along
with cognitive symptoms and MRI images consistent with MS pathology. We also
demonstrated the presence of meningeal inflammation close to cortical lesions,
with characteristics similar to those described in MS patients. Systemic pro
inflammatory stimulation caused a flare-up of the cortical lesions and
behavioural symptoms, including impairment of working memory and the appearance
of anxiety-like symptoms. Our work demonstrated induced cortical lesions,
reflecting the main histopathological hallmarks and cognitive impairments
characterizing the cortical pathology described in MS patients with progressive
forms of the disease.
PMID- 29378263
TI - Interaction between microbiome and host genetics in psoriatic arthritis.
AB - Psoriatic arthritis (PsA) is a chronic inflammatory joint disease, seen in
combination with psoriasis. Both genetic and environmental factors are
responsible for the development of PsA, however little is known about the
different weight of these two distinctive components in the pathogenesis of the
disease. Genomic variability in PsA is associated with the disease and/or some
peculiar clinical phenotypes. Candidate genes involved are crucial in
inflammation, immune system, and epithelial permeability. Moreover, the genesis
and regulation of inflammation are influenced by the composition of the human
intestinal microbiome that is able to modulate both mucosal and systemic immune
system. It is possible that pro-inflammatory responses initiated in gut mucosa
could contribute to the induction and progression of autoimmune conditions. Given
such premises, the aim of this review is to summarize immune-mediated response
and specific bacterial changes in the composition of fecal microbiota in PsA
patients and to analyze the relationships between bacterial changes, immune
system, and host genetic background.
PMID- 29378264
TI - Molecular characterization of Rhodnius robustus specimens, potential vectors for
Chagas disease in French Guiana, South America.
AB - Insects of the genus Rhodnius are broadly involved in Chagas disease
transmission. In French Guiana, where the disease remains a public health
problem, R. robustus and R. pictipes are vectors, but so far few genetic analyses
of these local species have been reported. Here, we explored three mitochondrial
genes (Cytb, Lsu-rRNA, and ND1) and one nuclear gene (D2) in 49 adult specimens
morphologically characterized as R. robustus. We analyzed genetic polymorphisms
and haplotype distributions, and we built phylogenetic trees using the available
GenBank sequences from R. robustus and related species. The molecular taxonomy
analysis confirmed that 35 insects, closely related to Brazilian species and
separated by a few mutations, truly belong to R. robustus; two others were
attributed to the R. prolixus complex and for 12 no sequence was obtained. The
geographical haplotype distribution indicates a likely geographical structuring
and evidenced true differentiation between the two main urban centers, Cayenne
and Saint-Laurent-du-Maroni.
PMID- 29378265
TI - Whipple's disease mimicking an auto-inflammatory disease with myositis and soft
tissue inflammation.
PMID- 29378266
TI - Association of PD-L1 Expression with Tumor-Infiltrating Immune Cells and Mutation
Burden in High-Grade Neuroendocrine Carcinoma of the Lung.
AB - INTRODUCTION: The immune microenvironment of high-grade neuroendocrine carcinoma
of the lung, including programmed death ligand 1 (PD-L1) expression, has not been
well characterized. METHODS: On the basis of immunohistochemistry (IHC) results,
PD-L1 expression on tumor cells (TCs) and tumor-infiltrating immune cells (ICs)
was scored as follows: TC0 and IC0 were defined as PD-L1 expression less than 1%,
TC1 and IC1 as at least 1% but less than 10%, TC2 and IC2 as 10% or more but less
than 50%, and TC3 and IC3 as 50% or more. Phosphatase and tensin homolog (PTEN)
IHC was scored as either lost or retained expression. The Ion AmpliSeq
Comprehensive Cancer Panel (ThermoFisher Scientific, Waltham, MA) was used to
identify mutations in all coding exons of 409 cancer-related genes. RESULTS: A
total of 192 patients with large cell neuroendocrine carcinoma (LCNEC) (n = 72)
and SCLC (n = 120) were studied. The prevalence of PD-L1 expression on TCs was
15.1% (29 of 192). IC infiltration and PD-L1 expression on ICs were observed in
34.4% of patients (66 of 192) and 31.3% of patients (60 of 192), respectively.
The prevalence of IC infiltration and PD-L1 expression on IC were more strongly
correlated with LCNEC than with SCLC (57.6% versus 23.3%, p < 0.01; 45.8% versus
22.5%, p < 0.01) and high nonsynonymous mutations (p = 0.05 and .04). PTEN loss
was found in 9.5% of patients (18 of 189) and showed no correlation with PD-L1
expression. Progression-free survival was better in patients with IC infiltration
than in those without IC infiltration (median 11.3 versus 6.8 months [p < 0.01])
and in patients with PD-L1 expression of IC1/2/3 than in those with expression of
IC0 (median 11.3 versus 7.0 months [p = 0.03]). CONCLUSION: These findings
suggest that the PD-1/PD-L1 pathway is activated in the microenvironment of
pulmonary high-grade neuroendocrine carcinoma and correlated with a higher
mutation burden.
PMID- 29378267
TI - Safety of Combined PD-1 Pathway Inhibition and Intracranial Radiation Therapy in
Non-Small Cell Lung Cancer.
AB - INTRODUCTION: Intracranial metastases are a common cause of morbidity and
mortality in patients with advanced NSCLC, and are frequently managed with
radiation therapy (RT). The safety of cranial RT in the setting of treatment with
immune checkpoint inhibitors (ICIs) has not been established. METHODS: We
identified patients with advanced NSCLC with brain metastases who received
cranial RT and were treated with or without programmed cell death 1/programmed
death ligand 1 inhibitors between August 2013 and September 2016. RT-related
adverse events (AEs) were retrospectively evaluated and analyzed according to ICI
treatment status, cranial RT type, and timing of RT with respect to ICI. RESULTS:
Of 163 patients, 50 (31%) received ICIs, whereas 113 (69%) were ICI naive.
Overall, 94 (58%), 28 (17%), and 101 (62%) patients received stereotactic
radiosurgery, partial brain irradiation, and/or whole brain RT, respectively.
Fifty percent of patients received more than one radiation course. We observed no
significant difference in rates of all-grade AEs and grade 3 or higher AEs
between the ICI-naive and ICI-treated patients across different cranial RT types
(grade >=3 AEs in 8% of ICI-naive patients versus in 9% of ICI-treated patients
for stereotactic radiosurgery [p = 1.00] and in 8% of ICI-naive patients versus
in 10% of ICI-treated patients for whole brain RT [p = 0.71]). Additionally,
there was no difference in AE rates on the basis of timing of ICI administration
with respect to RT. CONCLUSIONS: Treatment with an ICI and cranial RT was not
associated with a significant increase in RT-related AEs, suggesting that use of
programmed cell death 1/programmed death ligand 1 inhibitors in patients
receiving cranial RT may have an acceptable safety profile. Nonetheless,
additional studies are needed to validate this approach.
PMID- 29378268
TI - Rural-urban differences in human papillomavirus knowledge and awareness among US
adults.
AB - Rural residents of the United States have higher HPV-associated cancer incidence
and mortality, and suboptimal HPV vaccine uptake compared to urban residents.
This study aimed to assess differences in knowledge and awareness of HPV, the HPV
vaccine, and HPV-associated cancers among rural and urban residents. We analyzed
data from the Health Information National Trends Survey 2013-2017 on 10,147
respondents ages >=18 years. Multivariable logistic regression analyses compared
urban/rural differences in knowledge and awareness of HPV, associated cancers,
and HPV vaccine. Models were adjusted for sex, age, race/ethnicity, education,
household income, census region, health insurance, regular provider, internet
use, and personal history of cancer. Overall, 67.2% and 65.8% of urban residents
were aware of HPV and HPV vaccine, respectively, compared to only 55.8% and 58.6%
of rural residents. Adjusted models illustrated that compared to urban residents,
rural residents were less likely to be aware of HPV (OR = 0.68, 95% CI = 0.53
0.86) and HPV vaccine (OR = 0.78, 95% CI = 0.63-0.97). Among those who were aware
of HPV, rural residents were less likely to know that HPV causes cervical cancer
(OR = 0.62, 95% CI = 0.46-0.84) and that HPV can be transmitted through sexual
contact (OR = 0.72, 95% CI = 0.56-0.94). No significant differences between rural
and urban residents were noted for knowledge that HPV is transmitted sexually and
that it causes oral, anal, and penile cancers. This study highlights significant
rural health disparities in knowledge and awareness of HPV and the HPV vaccine
compared to urban counterparts.
PMID- 29378269
TI - Internet little cigar and cigarillo vendors: Surveillance of sales and marketing
practices via website content analysis.
AB - INTRODUCTION: Cigar sales have nearly doubled as cigarette sales have dropped,
and large cigars have been replaced by little cigars and cigarillos (LCCs). Many
LCCs are flavored, are perceived as less harmful than cigarettes, and have become
increasingly available from e-commerce sources. We conducted surveillance of the
online retail environment in 2013 and 2014 for LCCs in order to describe
characteristics of Internet tobacco vendors selling LCCs and their sales and
marketing practices, youth access practices, and their practices in relation to
cigarette and other tobacco product sales. METHODS: In 2013, we identified and
manually screened 32,446 websites, yielding 500 unique Internet LCC vendors. In
2014, we identified 511 vendors selling LCCs from a list of 31,239 manually
screened websites. We then selected 249 in 2013 and 263 in 2014 for content
analysis focusing on six domains including demographics, youth access, payment
and delivery, products for sale, promotions and claims, and prices. RESULTS: Just
over half of vendors in both years were located solely in the U.S. with 70.1% of
those selling flavored LCCs in 2013 and 76.1% in 2014. Nearly half only used
proven ineffective age verification strategies and another 10% made no attempts
to verify age at all. Most vendors accepted credit cards and advertised using the
United States Postal Service. Half of vendors featured a variety of health
warnings and most featured promotions. CONCLUSIONS: Federal bans on flavored
cigarettes and restrictions on age verification, payment, and shipping for
Internet tobacco sales should be extended to include LCCs.
PMID- 29378270
TI - d-Ribose induced glycoxidative insult to hemoglobin protein: An approach to spot
its structural perturbations.
AB - Glycation of biological macromolecule leads to the establishment of advanced
glycation end products (AGEs) having implications in metabolic disorders. d
ribose appears to be the most reactive among the naturally occurring sugars and
contribute significantly to the glycation reactions in vivo, however, no report
have been published yet to discuss d-ribose induced glycation of hemoglobin (Hb).
Therefore, the present study was designed to investigate d-ribose induced
glycoxidative damage to Hb protein. Briefly, the commercially available Hb was
glycated with d-ribose for varying time intervals. The structural perturbation
induced in glycated Hb (GHb) was confirmed by biophysical techniques viz., UV
visible, fluorescence spectroscopy, circular dichroism, Fourier transform infra
red spectroscopy, dynamic light scattering, MALDIthermal denaturation by UV
visible spectrophotometer and DSC. Biophysical techniques confirm the secondary
and tertiary structural perturbation in GHb as compared to native Hb. The values
of carbonyl content, hydroxy methyl furfural, thiobarbituric acid reactive
substance and nitro blue tetrazolium were found to be increased and free lysine
and free arginine content were decreased in the GHb due to structural change.
Thus, results of this study have established that glycation with d-ribose lead to
the structural changes in the native Hb which might play an important role in
pathophysiology metabolic diseases.
PMID- 29378271
TI - A new tactics for the detection of S. aureus via paper based geno-interface
incorporated with graphene nano dots and zeolites.
AB - Staphylococcus aureus (S. aureus) is a pathogenic bacteria which causes
infectious diseases and food poisoning. Current diagnostic methods for infectious
disease require sophisticated instruments, long analysis time and expensive
reagents which restrict their application in resource-limited settings.
Electrochemical paper based analytical device (EPAD) was developed by integrating
graphene nano dots (GNDs) and zeolite (Zeo) using specific DNA probe. The
ssDNA/GNDs-Zeo modified paper based analytical device (PAD) was characterized
using cyclic voltammetry (CV) and differential pulse voltammetry (DPV). The
genosensor was optimized at pH7.4 and incubation temperature of 30 degrees C. A
linear current response with respect to target DNA concentrations was obtained.
The limit of detection (LOD) of the proposed sensor was found out to be 0.1nM.
The specificity was confirmed by introducing non-complimentary target DNA to
ssDNA/GNDs-Zeo modified PAD. The suitability of the proposed EPAD genosensor was
demonstrated with fruit juice samples mixed with S. aureus. The proposed EPAD
genosensor is a low cost, highly specific, easy to fabricate diagnostic device
for detection of S. aureus bacteria which requires very low sample volume and
minimum analysis time of 10s.
PMID- 29378272
TI - Fabrication and characterization of dual acting oleyl chitosan functionalised
iron oxide/gold hybrid nanoparticles for MRI and CT imaging.
AB - Bionanocomposites fabricated using metal nanoparticles serve a wide range of
biomedical applications viz., site targeted drug delivery, imaging etc.
Theranostics emerge as an important field of science, which focuses on the use of
single entity for both disease diagnosis and treatment. The present work aimed at
designing a multifunctional nanocomposite comprising of iron/gold hybrid
nanoparticles, coated with oleyl chitosan and conjugated with methotrexate. The
HR-TEM images revealed the spherical nature of the composite, while it's nontoxic
and biocompatible property was proved by the MTT assay in NIH 3T3 cells and
hemolysis assay. Though the VSM results exhibited the magnetic property, the MRI
phantom images and X-ray contrast images demonstrated the potential of the
composite to be used as contrast agent. Thus the prepared nanocomposite possess
good cytocompatibility, magnetic property and also high X-ray attenuation,
wherein it could serve as a novel platform for both MRI and CT diagnosis, as well
as drug conjugation could aid in targeted drug delivery.
PMID- 29378273
TI - pH and near-infrared active; chitosan-coated halloysite nanotubes loaded with
curcumin-Au hybrid nanoparticles for cancer drug delivery.
AB - In this work, we propose biofriendly in-situ preparation method of Au NPs
(hexagonal and rod-shape structures) in the lumen as well as the surface cage of
biocompatible halloysite nanotubes (HNTs) using curcumin (CUR) as anticancer drug
and subsequently coating with bio-adhesive chitosan (CS) as a polysaccharide. The
formation of Au NPs and their interactions with CUR and CS exist in the HNTs has
been characterized by FTIR, XRD, XPS, STEM techniques. Interestingly, Au NPs
showed longitudinal plasmon resonance bands at 760 and 980 nm that indicate the
near-infrared (NIR) responsive property of hybrid nanoparticles. Rod shape and
hexagonal structures of Au NPs were produced as confirmed by TEM images. The
loading efficiency of CUR was found as much as 12%. Importantly, more CUR release
was achieved under acidic conditions (pH 5.5) than basic conditions (pH 7.4). The
anticancer potential of HNT hybrid nanoparticles on MCF-7 cancer cells was
studied and showed efficient anticancer activity under intracellular tumor cell
environment (pH 5.5) than extracellular conditions (pH 7.4). Moreover, the
developed HNT hybrid nanoparticles consisting of Au NPs (NIR responsive property)
and pH-responsive CUR release could make it suitable for cancer cell-targeted
drug delivery platform with NIR-imaging.
PMID- 29378274
TI - Nature of phase transitions of waxy maize starch in water-ionic liquid mixtures.
AB - The nature of phase transitions of waxy maize starch heated in mixtures of water
and ionic liquid (IL) was elucidated using a variety of analytical methods. The
transition from a single endotherm to an exotherm followed by an endotherm, and
then to a single exotherm was observed with the increasing concentration of IL.
The results showed that at To of DSC phase transition no structural disruption
had occurred. Except for the water/IL ratios of 1.5:1 and 1:1, there was
considerable structural disruption of starch samples that were preheated to Tp or
Tp2 of gelatinization transition. No residual structural order was observed at Tc
of the gelatinization transition. An exothermic transition at water:IL ratios of
3:1 and 2:1 was attributed to the interaction of ionic liquid and amorphous
regions in starch. The molecular disassembly of starch granules during
gelatinization in different water:IL mixtures proceeded to completion at
different rates.
PMID- 29378275
TI - Lanthanum (III) encapsulated chitosan-montmorillonite composite for the
adsorptive removal of phosphate ions from aqueous solution.
AB - In the present work, lanthanum (III) encapsulated chitosan-montmorillonite
composite (La-CS-MMT) was prepared as an adsorbent for the effective removal of
phosphate ions from aqueous solution. Characterization of the adsorbent using FT
IR, XRD, SEM with EDX, TGA and DTA techniques were carried out. Batch adsorption
experiments were carried out to optimize the effects of various operating
parameters viz., shaking time, initial concentration of phosphate ions, dosage,
competitor co-existing ions, pH and temperature. Phosphate ions adsorbed
effectively on La-CS-MMT composite through hydrogen bonding and by outer-sphere
complex formation mechanism. Freundlich isotherm model fit well with the
equilibrium isotherm data. The experimental values of ?G degrees , ?S degrees
and ?H degrees revealed that the nature of adsorption was feasible, spontaneous
and exothermic. The kinetic study was well fitted with pseudo-second-order model.
Regeneration study of the spent La-CS-MMT-P composite was carried out upto five
cycles and found that about 70% of adsorption efficiency was retained.
PMID- 29378276
TI - Biological macromolecules for ophthalmic drug delivery to treat ocular diseases.
AB - Development of newer drug carrier systems by the researchers has resulted in
numerous breakthroughs in the development and manufacturing of ocular products.
The ocular bioavailability of drugs at the posterior segment of the eye is a
challenging task in the present scenario. Naturally derived macromolecular
carriers are widely used to increase the efficacy of ocular drugs. They provide
enhanced corneal permeability and retention effect at the surface of cornea for a
prolonged period of time. In this regimen the present review focuses towards the
major ocular diseases and their prevalence and development of efficient drug
carrier systems utilizing various naturally derived macromolecules for improved
delivery of drugs to treat ocular diseases.
PMID- 29378277
TI - Guargum and Eudragit (r) coated curcumin liquid solid tablets for colon specific
drug delivery.
AB - Colorectal cancer, also known as bowel cancer, is the uncontrolled cell growth in
the colon or rectum (parts of the large intestine), or in the appendix. The colon
specific drug delivery would alleviate the systemic side effects and would assure
the safe therapy for colonic disorders with minimum dose and duration of therapy.
The liquisolid technique refers to solubilisation of drug in a non-volatile
solvent combined with inclusion of appropriate carrier and coating agent required
for tableting. Colon specific degradation of natural polymer, guar gum and pH
dependant degradative (pH-7) property of eudragit L100 restricts the delivery of
curcumin in gastric and intestinal pH. Formulated curcumin liquisolid powder was
evaluated for the micrometric properties, solubility and by differential thermal
analysis, X ray powder diffraction and scanning electron microscopy. Curcumin
loaded liquisolid tablet showed more anticancer activity against HCT-15 compared
with free curcumin. Bioavailability study of the coated and uncoated liquisolid
tablets were performed using Newzealand white rabbits. The present study
concludes that liquisolid technique is a promising alternative for improving oral
bioavailability and dissolution rate of water insoluble drug and coating
liquisolid tablet with colon sensitive polymers showed site specific release of
drug in the colon.
PMID- 29378278
TI - Renal Pseudo-tumor Related to Renal Splenosis: Imaging Features.
AB - OBJECTIVE: To report the case of a 29-year-old patient presenting with renal
splenosis along with a complete review of literature on this condition. Splenosis
is a frequent condition following abdominal trauma or splenectomy, described as
splenic tissue that autotransplants into a heterotopic location. However, renal
splenosis is rare and often mistaken with renal carcinoma. MATERIALS AND METHODS:
The patient was initially referred to our department for a renal mass
incidentally discovered on ultrasound. Further investigation included with
computed tomography and magnetic resonance imaging. RESULTS: Imaging features
revealed a well circumscribed solid renal mass, exhibiting an isosignal on T1-
and T2-weighted sequences in comparison with the renal cortex. The mass exhibited
a heterogeneous enhancement on the arterial and portal phases, homogeneous
patterns during the delayed phases, and high signal intensity on diffusion
weighted images. A partial nephrectomy was performed and pathological examination
revealed the final diagnosis of renal splenosis. CONCLUSION: Imaging features
alone do not provide a definitive diagnosis of splenosis but suggestive past
history associated with imaging findings consistent with splenic tissue should
lead to 99m technetium-sulfur colloid scanning or ferumoxid-enhanced MRI to avoid
useless surgery.
PMID- 29378279
TI - Hypoandrogenism is Prevalent in Males With Urethral Stricture Disease and is
Associated with Longer Strictures.
AB - OBJECTIVE: To assess the association of hypoandrogenism (HA) with urethral
stricture disease in a series of patients undergoing urethroplasty at 2
institutions. HA has recently been associated with increased urethral atrophy in
artificial sphincter failures and decreased androgen receptors and periurethral
vascularity. HA might be an etiologic factor in urethral stricture disease.
METHODS: We reviewed the charts in 202 men with anterior urethral strictures
between 2011 and 2017. We excluded patients with radiation-induced stricture,
previous prostatectomy, previous urethroplasty, pelvic fracture-related
strictures, or those on testosterone replacement. We defined HA by a total
testosterone of less than 300 ng/dL. We used as age-matched cohort from a
national database (National Health and Nutrition Examination Survey), as a
reference. Stricture characteristics, such as length, location, and etiology were
compared in HA and eugonadal groups. RESULTS: Of 202 men with anterior urethral
strictures, we excluded 45. Of the remaining 157 patients, 115 (73%) had
preoperative testosterone measurements. Overall, hypoandrogenism (HA) was found
in 65 of 115 (57%) men in the urethral stricture group compared with 28% of age
matched men in the national database. Mean stricture length in HA and eugonadal
men was 7.2 cm and 4.8 cm, respectively (P = .02). CONCLUSION: HA may be more
prevalent and associated with increased disease severity in men with anterior
urethral strictures. The relationship between HA and stricture formation and its
potential impact on therapeutic outcomes merit further prospective investigation.
PMID- 29378280
TI - Speech Disfluency-dependent Amygdala Activity in Adults Who Stutter: Neuroimaging
of Interpersonal Communication in MRI Scanner Environment.
AB - Affective states, such as anticipatory anxiety, critically influence speech
communication behavior in adults who stutter. However, there is currently little
evidence regarding the involvement of the limbic system in speech disfluency
during interpersonal communication. We designed this neuroimaging study and
experimental procedure to sample neural activity during interpersonal
communication between human participants, and to investigate the relationship
between the amygdala activity and speech disfluency. Participants were required
to engage in live communication with a stranger of the opposite sex in the MRI
scanner environment. In the gaze condition, the stranger gazed at the participant
without speaking, while in the live conversation condition, the stranger asked
questions that the participant was required to answer. The stranger continued to
gaze silently at the participant while the participant answered. Adults who
stutter reported significantly higher discomfort than fluent controls during the
experiment. Activity in the right amygdala, a key anatomical region in the limbic
system involved in emotion, was significantly correlated with stuttering
occurrences in adults who stutter. Right amygdala activity from pooled data of
all participants also showed a significant correlation with discomfort level
during the experiment. Activity in the prefrontal cortex, which forms emotion
regulation neural circuitry with the amygdala, was decreased in adults who
stutter than in fluent controls. This is the first study to demonstrate that
amygdala activity during interpersonal communication is involved in disfluent
speech in adults who stutter.
PMID- 29378281
TI - Automatic Conflict Monitoring by Event-Related Potentials Could be used to
Estimate Visual Acuity Levels.
AB - Numerous studies have explored the physical attribute features or face
perceptions in conflict processing, while complicate gradient conflicts were
rarely discussed. The aim of the study was to discuss the relationship between
the event-related potential (ERP) component features and different visual acuity
levels by using the modified S1-S2 task under non-attention status. Three visual
acuity levels were applied, each with four orientations of "E" optotype stimuli
randomly presented in the center of the visual field while participants were
required to concentrate on listening to stories. The results showed that the
amplitudes of P1 and P3 as well as difference P3 were larger in supra-threshold
condition. In threshold condition, larger amplitudes for both N2 and difference
N2 exhibited in frontal and central areas. In sub-threshold condition, there was
no endogenous component elicited by mismatch stimuli except smaller anterior N1.
Meanwhile, the specific distributions of N1 and N2 were presented and compared
with previous face processing. The findings showed that visual conflict
processing took place not only at an early stage but also at the late period,
which might be as the consequences of interaction between conflict strength and
involuntary attention. We concluded that automatic conflict detecting of visual
icons by the serial ERP components could distinguish different visual acuity
levels. The involvement of endogenous components could reveal the specific
mechanism of more precise and fine conflict identification of complex physical
attributes under non-attention status, furthermore could be used as valid markers
to estimate the magnitude of visual acuity objectively.
PMID- 29378282
TI - Volumetric Associations Between Amygdala, Nucleus Accumbens, and Socially Anxious
Tendencies in Healthy Women.
AB - Socially anxious individuals report higher social fears and feelings of distress
in interpersonal interactions. Structural neuroimaging studies indicate brain
morphological abnormalities in patients with social anxiety disorder (SAD), but
findings are heterogeneous and partially discrepant. Studies on structural
correlates of socially anxious tendencies in participants without clinical
diagnoses are scarce. Using structural magnetic resonance imaging, the present
study examined the relationship between social interaction anxiety and gray
matter (GM) volume in 38 healthy women. The amygdala and nucleus accumbens (NAcc)
were defined as a priori regions of interest. Moreover, exploratory whole-brain
analyses were conducted. Higher levels of social anxiety significantly predicted
increased GM volume in the right amygdala [k = 262 voxels, voxel-level threshold
at p < .05 (uncorrected), with a cluster-corrected significance level of p = 0.05
calculated by Monte Carlo Simulations] and bilateral NAcc [left: k = 52 voxels,
right: k = 49 voxels; at p < .05 (corrected for search volume)]. These
relationships remained significant when controlling for a potential influence of
trait anxiety. Additionally, socially anxious tendencies were associated with an
enlarged striatum [i.e., putamen and caudate; left: k = 567 voxels, right: k =
539 voxels; at p < .001 (uncorrected)]. Our findings indicate that higher social
interaction anxiety in healthy individuals is related to amygdalar and striatal
volumetric increases. These brain regions are known to be involved in social
perception, anxiety, and the avoidance of harm. Future studies may clarify
whether the observed morphological alterations constitute a structural
vulnerability factor for SAD.
PMID- 29378283
TI - Emotion lies in the eye of the listener: Emotional arousal to novel sounds is
reflected in the sympathetic contribution to the pupil dilation response and the
P3.
AB - Novel sounds in the auditory oddball paradigm elicit a biphasic dilation of the
pupil (PDR) and P3a as well as novelty P3 event-related potentials (ERPs). The
biphasic PDR has been hypothesized to reflect the relaxation of the iris
sphincter muscle due to parasympathetic inhibition and the constriction of the
iris dilator muscle due to sympathetic activation. We measured the PDR and the P3
to neutral and to emotionally arousing negative novels in dark and moderate
lighting conditions. By means of principal component analysis (PCA) of the PDR
data we extracted two components: the early one was absent in darkness and, thus,
presumably reflects parasympathetic inhibition, whereas the late component
occurred in darkness and light and presumably reflects sympathetic activation.
Importantly, only this sympathetic late component was enhanced for emotionally
arousing (as compared to neutral) sounds supporting the hypothesis that emotional
arousal specifically activates the sympathetic nervous system. In the ERPs we
observed P3a and novelty P3 in response to novel sounds. Both components were
enhanced for emotionally arousing (as compared to neutral) novels. Our results
demonstrate that sympathetic and parasympathetic contributions to the PDR can be
separated and link emotional arousal to sympathetic nervous system activation.
PMID- 29378284
TI - Measurement of attentional reserve and mental effort for cognitive workload
assessment under various task demands during dual-task walking.
AB - Previous work focused on cognitive workload assessment suggests EEG spectral
content and component amplitudes of the event-related potential (ERP) waveform
may index mental effort and attentional reserve, respectively. Although few
studies have assessed attentional reserve and mental effort during upper
extremity performance, none have employed a combined approach to measure
cognitive workload during locomotion. Therefore, by systematically considering
ERPs, spectral content and importantly their combination, this study aimed to
examine whether concurrent changes in spectral content and ERPs could
collectively serve as an index of cognitive workload during locomotion.
Specifically, ERP and EEG biomarkers were assessed as participants performed a
cognitive task under two levels of difficulty (easy or hard) and two conditions
(seated or walking). Changes in attentional reserve and mental effort appeared to
collectively index cognitive workload under varying demands due to changes in
task difficulty or performance conditions. This work can inform cognitive
workload assessment in patient populations with gait deficiencies for future
applications.
PMID- 29378285
TI - Knowledge of resting heart rate mediates the relationship between intelligence
and the heartbeat counting task.
AB - Evidence suggests that intelligence is positively associated with performance on
the heartbeat counting task (HCT). The HCT is often employed as measure of
interoception - the ability to perceive the internal state of one's body -
however it's use remains controversial as performance on the HCT is strongly
influenced by knowledge of resting heart rate. This raises the possibility that
heart rate knowledge may mediate the previously-observed association between
intelligence and HCT performance. Study One demonstrates an association between
intelligence and HCT performance (N = 94), and Study Two demonstrates that this
relationship is mediated by knowledge of the average resting heart rate (N =
134). These data underscore the need to account for the influence of prior
knowledge and beliefs when examining individual differences in cardiac
interoceptive accuracy using the HCT.
PMID- 29378286
TI - Impact of food allergy on the growth of children with moderate-severe atopic
dermatitis.
PMID- 29378287
TI - High number of early respiratory infections in association with allergic
sensitization to mold promotes childhood asthma.
PMID- 29378288
TI - Interleukins and their signaling pathways in the Reactome biological pathway
database.
AB - BACKGROUND: There is a wealth of biological pathway information available in the
scientific literature, but it is spread across many thousands of publications.
Alongside publications that contain definitive experimental discoveries are many
others that have been dismissed as spurious, found to be irreproducible, or are
contradicted by later results and consequently now considered controversial. Many
descriptions and images of pathways are incomplete stylized representations that
assume the reader is an expert and familiar with the established details of the
process, which are consequently not fully explained. Pathway representations in
publications frequently do not represent a complete, detailed, and unambiguous
description of the molecules involved; their precise posttranslational state; or
a full account of the molecular events they undergo while participating in a
process. Although this might be sufficient to be interpreted by an expert reader,
the lack of detail makes such pathways less useful and difficult to understand
for anyone unfamiliar with the area and of limited use as the basis for
computational models. OBJECTIVE: Reactome was established as a freely accessible
knowledge base of human biological pathways. It is manually populated with
interconnected molecular events that fully detail the molecular participants
linked to published experimental data and background material by using a formal
and open data structure that facilitates computational reuse. These data are
accessible on a Web site in the form of pathway diagrams that have descriptive
summaries and annotations and as downloadable data sets in several formats that
can be reused with other computational tools. The entire database and all
supporting software can be downloaded and reused under a Creative Commons
license. METHODS: Pathways are authored by expert biologists who work with
Reactome curators and editorial staff to represent the consensus in the field.
Pathways are represented as interactive diagrams that include as much molecular
detail as possible and are linked to literature citations that contain supporting
experimental details. All newly created events undergo a peer-review process
before they are added to the database and made available on the associated Web
site. New content is added quarterly. RESULTS: The 63rd release of Reactome in
December 2017 contains 10,996 human proteins participating in 11,426 events in
2,179 pathways. In addition, analytic tools allow data set submission for the
identification and visualization of pathway enrichment and representation of
expression profiles as an overlay on Reactome pathways. Protein-protein and
compound-protein interactions from several sources, including custom user data
sets, can be added to extend pathways. Pathway diagrams and analytic result
displays can be downloaded as editable images, human-readable reports, and files
in several standard formats that are suitable for computational reuse. Reactome
content is available programmatically through a REpresentational State Transfer
(REST)-based content service and as a Neo4J graph database. Signaling pathways
for IL-1 to IL-38 are hierarchically classified within the pathway "signaling by
interleukins." The classification used is largely derived from Akdis et al.
CONCLUSION: The addition to Reactome of a complete set of the known human
interleukins, their receptors, and established signaling pathways linked to
annotations of relevant aspects of immune function provides a significant
computationally accessible resource of information about this important family.
This information can be extended easily as new discoveries become accepted as the
consensus in the field. A key aim for the future is to increase coverage of gene
expression changes induced by interleukin signaling.
PMID- 29378289
TI - PNMA family: Protein interaction network and cell signalling pathways implicated
in cancer and apoptosis.
AB - Paraneoplastic Ma Family (PNMA) comprises a growing number of family members
which share relatively conserved protein sequences encoded by the human genome
and is localized to several human chromosomes, including the X-chromosome. Based
on sequence analysis, PNMA family members share sequence homology to the Gag
protein of LTR retrotransposon, and several family members with aberrant protein
expressions have been reported to be closely associated with the human
Paraneoplastic Disorder (PND). In addition, gene mutations of specific members of
PNMA family are known to be associated with human mental retardation or 3-M
syndrome consisting of restrictive post-natal growth or dwarfism, and development
of skeletal abnormalities. Other than sequence homology, the physiological
function of many members in this family remains unclear. However, several members
of this family have been characterized, including cell signalling events mediated
by these proteins that are associated with apoptosis, and cancer in different
cell types. Furthermore, while certain PNMA family members show restricted gene
expression in the human brain and testis, other PNMA family members exhibit
broader gene expression or preferential and selective protein interaction
profiles, suggesting functional divergence within the family. Functional analysis
of some members of this family have identified protein domains that are required
for subcellular localization, protein-protein interactions, and cell signalling
events which are the focus of this review paper.
PMID- 29378290
TI - Antidepressant administration modulates stress-induced DNA methylation and DNA
methyltransferase expression in rat prefrontal cortex and hippocampus.
AB - Stress and antidepressant treatment can modulate DNA methylation in promoter
region of genes related to neuroplasticity and mood regulation, thus implicating
this epigenetic mechanism in depression neurobiology and treatment. Accordingly,
systemic administration of DNA methyltransferase (DNMT) inhibitors induces
antidepressant-like effects in rodents. DNA methylation is conveyed by DNMT 1, 3a
and 3b isoforms, which are differentially expressed in the brain. In order to
investigate if the behavioral effects of antidepressants could be associated with
changes in DNA methylation and DNMT expression, we investigated the effects
induced by acute and repeated antidepressant treatment on DNA methylation and
DNMT expression (1, 3a and 3b isoforms) in different brain regions of rats
exposed to a stress model of depression, the learned helplessness (LH).
Therefore, rats were exposed to pretest and treated with one or seven injections
of vehicle or imipramine (15 mg kg-1), with test session performed one hour after
the last injection. Chronic, but not acute, imipramine administration attenuated
escape failures during the test, a well described antidepressant-like effect in
this model. DNA methylation and DNMT (1, 3a and 3b) levels were measured in the
dorsal and ventral hippocampus (dHPC, vHPC) and in the prefrontal cortex (PFC) of
rats exposed to stress and treatment. Stress increased DNA methylation, DNMT3a
and DNMT3b expression in the dHPC and PFC. Chronic, but not acute, imipramine
administration attenuated stress effects only in the PFC. These results suggest
the regulation of DNA methylation in the PFC may be an important mechanism for
antidepressant-like effects in the LH model.
PMID- 29378291
TI - Glutamine/glutamate (Glx) concentration in prefrontal cortex predicts reversal
learning performance in the marmoset.
AB - This study used Magnetic Resonance Spectroscopy (MRS) to identify potential
neurometabolitic markers of cognitive performance in male (n = 7) and female (n =
8) middle-aged (~5 years old) common marmosets (Callithrix jacchus). Anesthetized
marmosets were scanned with a 4.7 T/40 cm horizontal magnet equipped with 450
mT/m magnetic field gradients and a 20 G/cm magnetic field gradient insert,
within 3 months of completing the CANTAB serial Reversal Learning task.
Neurometabolite concentrations of N-Acetyl Asparate, Myo-Inositol, Choline,
Phosphocreatine + creatine, Glutamate and Glutamine were acquired from a 3 mm3
voxel positioned in the Prefrontal Cortex (PFC). Males acquired the reversals
(but not simple discriminations) faster than the females. Higher PFC Glx
(glutamate + glutamine) concentration was associated with faster acquisition of
the reversals. Interestingly, the correlation between cognitive performance and
Glx was significant in males, but not in females. These results suggest that MRS
is a useful tool to identify biochemical markers of cognitive performance in the
healthy nonhuman primate brain and that biological sex modulates the relationship
between neurochemical composition and cognition.
PMID- 29378292
TI - Strategic neuronal encoding in medial prefrontal cortex of spatial working memory
in the T-maze.
AB - Strategic neuronal encoding in the medial prefrontal cortex (mPFC) of the rat was
correlated with spatial working memory (sWM) assessed by behavior in the T-maze.
Neurons increased their firing rate around choice, with the increase largely
occurring before choice as a prospective encode of behavior. This could be
classified as sensitive-to-spatial information or sensitive-to-choice outcome.
The sensitivity-to-spatial choice was defined by distinct firing rate changes
before left- or right-choice. The percentage of left-choice sensitive neurons was
not different from the percentage of right-choice sensitive neurons. There was
also location-related neuronal activity in which neurons fired at distinct rates
when rats were in a left- or right-location. More neurons were sensitive to left
location, as most of them were recorded from rats preferring to enter the right
location. The sensitivity to outcome was defined by a distinct firing rate around
correct or error choice. Significantly more neurons were sensitive to error
outcome, and, among these, more preferred to encode prospectively, increasing
firing in advance of an error outcome. Similar to single neuron activity, the
mPFC enhanced its neuronal network as measured by the oscillation of local field
potential. The maximum power of oscillation was around choice, and occurred
slightly earlier before error versus before correct outcome. Thus, sWM modulation
in the mPFC includes not only spatial, but also outcome-related inputs, and
neuronal ensembles monitor behavioral outcome to make strategic adjustments
ensuring successful task performance.
PMID- 29378293
TI - NMDA receptors in the avian amygdala and the premotor arcopallium mediate
distinct aspects of appetitive extinction learning.
AB - Extinction learning is an essential mechanism that enables constant adaptation to
ever-changing environmental conditions. The underlying neural circuit is mostly
studied with rodent models using auditory cued fear conditioning. In order to
uncover the variant and the invariant neural properties of extinction learning,
we adopted pigeons as an animal model in an appetitive sign-tracking paradigm.
The animals firstly learned to respond to two conditioned stimuli in two
different contexts (CS-1 in context A and CS-2 in context B), before conditioned
responses to the stimuli were extinguished in the opposite contexts (CS-1 in
context B and CS-2 in context A). Subsequently, responding to both stimuli was
tested in both contexts. Prior to extinction training, we locally injected the N
methyl-d-aspartate receptor (NMDAR) antagonist 2-Amino-5-phosphonovaleric acid
(APV) in either the amygdala or the (pre)motor arcopallium to investigate their
involvement in extinction learning. Our findings suggest that the encoding of
extinction memory required the activation of amygdala, as visible by an
impairment of extinction acquisition by concurrent inactivation of local NMDARs.
In contrast, consolidation and subsequent retrieval of extinction memory
recruited the (pre)motor arcopallium. Also, the inactivation of arcopallial
NMDARs induced a general motoric slowing during extinction training. Thus, our
results reveal a double dissociation between arcopallium and amygdala with
respect to acquisition and consolidation of extinction, respectively. Our study
therefore provides new insights on the two key components of the avian extinction
network and their resemblance to the data obtained from mammals, possibly
indicating a shared neural mechanism underlying extinction learning shaped by
evolution.
PMID- 29378294
TI - Morphine antinociception on thermal sensitivity and place conditioning in male
and female rats treated with intraplantar complete freund's adjuvant.
AB - The experience of pain is characterized by the presence of a noxious sensory
stimulus combined with negative affect, which is often treated clinically through
administration of drugs such as morphine or other opioids. This study
investigated the effects of morphine one and seven days after intraplantar
administration of complete freund's adjuvant (CFA) in male and female rats.
Hargreaves test for thermal nociception and conditioned place preference (CPP)
were performed following subcutaneous administration of saline or morphine (1.0,
4.0, 8.0, 12.0 mg/kg). Hargreaves test results revealed that male rats were more
sensitive to morphine antinociceptive actions as compared to female rats one day
after CFA treatment; however, this sex difference was not detected seven days
after CFA treatment. One day after CFA treatment, morphine doses of 8.0 and 12.0
mg/kg produced a CPP in male rats, while female rats exhibited CPP with only the
12.0 mg/kg dose. Seven days after CFA treatment, both male and female rats
exhibited a CPP with morphine doses of 4.0 mg/kg and higher. These results reveal
sexually dimorphic properties of morphine in the paw withdrawal latencies and
conditioned place preference models, representing reflexive and non-reflexive
behavioral assays employed to examine inflammatory nociception. Our findings also
suggest that antinociceptive effects of morphine are dynamic across early and
later periods of CFA-induced inflammatory pain.
PMID- 29378295
TI - A multidimensional approach to understanding the potential risk factors and
covariates of adult picky eating.
AB - OBJECTIVE: Adult picky eating (PE) has received increased attention in the eating
behavior literature due to its important association with adult avoidant
restrictive food intake disorder (ARFID). The current study tested a model of
potential risk factors of adult PE behavior, including perceived early parental
feeding practices. An exploratory model was also utilized to understand
associations with different aspects of adult PE behaviors. METHODS: A sample of
1339 US adults recruited through Amazon's MTurk completed an online survey that
included the recently developed Adult Picky Eating Questionnaire (APEQ),
retrospective reports of parental feeding practices, and other measures of eating
behavior and demographic variables. A structural equation modeling procedure
tested a series of regression models that included BMI and disordered eating
behaviors as covariates. RESULTS: SEM modeling indicated that retrospective
reports of greater parental pressure to eat, higher disgust sensitivity, lower PE
age of onset, and experiencing an aversive food event were associated with
general adult PE behavior. Results also indicated parental encouragement of
healthy eating may be a protective factor, and that men endorsed higher levels of
adult PE. Exploratory analyses indicated that cross-sectional predictors and
covariates were differentially related to specific aspects of PE as measured by
the APEQ subscales. CONCLUSIONS: Early experiences, including parental approaches
to feeding, appear to be potential risk factors of PE behavior in adults. A
nuanced understanding of adult PE is important for the prevention and treatment
of severe PE behaviors, related psychosocial impairment, and ARFID.
PMID- 29378296
TI - Metabolic signaling in the brain and the role of astrocytes in control of
glutamate and GABA neurotransmission.
AB - Neurotransmission mediated by the two amino acids glutamate and GABA is based on
recycling of the two signaling molecules between the presynaptic nerve endings
and the surrounding astrocytes. During the recycling process, a fraction of the
transmitter pool is lost since both transmitters undergo oxidative metabolism.
This loss must be replenished by de novo synthesis which involves the action of
pyruvate carboxylase, aminotransferases, glutamate dehydrogenase and glutamine
synthetase. Among these enzymes, pyruvate carboxylase and glutamine synthetase
are selectively expressed in astrocytes and thus these cells are obligatory
partners in synaptic replenishment of both glutamate and GABA. The cycling
processes also involve transporters for glutamate, GABA and glutamine and the
operation of these transporters is discussed. Additionally, astrocytes appear to
be essential for production of the neuromodulators, citrate, glycine and d
serine, aspects that will be briefly discussed.
PMID- 29378298
TI - miR-34a deficiency in APP/PS1 mice promotes cognitive function by increasing
synaptic plasticity via AMPA and NMDA receptors.
AB - MicroRNA (miR)-34a was recently determined to contribute to the pathological
development of Alzheimer's disease (AD). miR-34a deficiency significantly
attenuates cognitive deficits in amyloid precursor protein (APP)/presenilin 1
(PS1) mice; however, its role in early AD pathology and the underlying mechanisms
remain elusive. Here, we confirmed that the increase of miR-34a expression in
APP/PS1 mice was earlier than the relevant AD pathological characteristics, such
as amyloid-beta production, amyloid plaque deposition, and cognitive deficits.
Furthermore, because predicted miR-34a target genes were broadly linked to alpha
amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid (AMPA) and N-methyl-d
aspartate (NMDA) receptors, we evaluated synaptic plasticity by investigating
high-frequency conditioning tetanus-induced excitatory postsynaptic potential,
which revealed that synaptic plasticity was promoted in miR-34a knockout/APP/PS1
mice. Therefore, we assessed the expression of the presynaptic components
synaptophysin and postsynaptic density protein 95 (PSD95) and found that
synaptophysin and PSD95 were not altered by miR-34a deficiency. Additionally, the
synaptic strength (vesicular fusion, vesicular docking, and transporting) was
either not significantly changed. We also evaluated the levels of AMPA and NMDA
receptors, which showed that the expression of AMPA and NMDA receptors was
markedly upregulated in APP/PS1 mice with miR-34a deficiency. We conclude that
miR-34a is involved in synaptic deficits in AD pathological development, which
was, at least in part, due to the inhibition of NMDA (by miR-34a-5p) and AMPA (by
miR-34a-3p) receptor expression.
PMID- 29378299
TI - Advances in targeted therapies 2017.
PMID- 29378300
TI - Assessment of brain oxygenation imbalance following soman exposure in rats.
AB - Nerve agents (NAs) are potent organophosphorus (OP) compounds with applications
in chemical warfare. OP compounds act by inhibiting acetylcholinesterase (AChE).
Soman (O-pinacolyl methylphosphonofluoridate) is one of the most potent NAs. It
is well known that small doses of NAs can be lethal, and that even non-lethal
exposure leads to long-term mental debilitation/neurological damage. However, the
neuropathology following exposure to sub-lethal nerve agents is not well
understood. In this study, we examined changes in tissue oxygenation (pO2) in the
cortex and hippocampus after a sub-lethal dose of soman [80-90 MUg/kg;
subcutaneous]. pO2 changes can provide information regarding oxygen delivery and
utilization and may be indicative of a disruption in cerebral blood flow and/or
metabolism. Changes in oxygenation were measured with chronically implanted
oxygen sensors in awake and freely moving rats. Measurements were taken before,
during, and after soman-induced convulsive seizures. Soman exposure resulted in
an immediate increase in pO2 in the cortex, followed by an even greater increase
that precedes the onset of soman-induced convulsive seizures. The rise in
hippocampus pO2 was delayed relative to the cortex, although the general pattern
of brain oxygenation between these two regions was similar. After convulsive
seizures began, pO2 levels declined but usually remained hyperoxygenated.
Following the decline in pO2, low frequency cycles of large amplitude changes
were observed in both the cortex and hippocampus. This pattern is consistent with
recurring seizures. Measuring real-time changes in brain pO2 provides new
information on the physiological status of the brain following soman exposure.
These results highlight that the measurement of brain oxygenation could provide a
sensitive marker of nerve agent exposure and serve as a biomarker for treatment
studies.
PMID- 29378297
TI - Deconstructing arousal into wakeful, autonomic and affective varieties.
AB - Arousal plays a central role in a wide variety of phenomena, including
wakefulness, autonomic function, affect and emotion. Despite its importance, it
remains unclear as to how the neural mechanisms for arousal are organized across
them. In this article, we review neuroscience findings for three of the most
common origins of arousal: wakeful arousal, autonomic arousal, and affective
arousal. Our review makes two overarching points. First, research conducted
primarily in non-human animals underscores the importance of several subcortical
nuclei that contribute to various sources of arousal, motivating the need for an
integrative framework. Thus, we outline an integrative neural reference space as
a key first step in developing a more systematic understanding of central nervous
system contributions to arousal. Second, there is a translational gap between
research on non-human animals, which emphasizes subcortical nuclei, and research
on humans using non-invasive neuroimaging techniques, which focuses more on gross
anatomical characterizations of cortical (e.g. network architectures including
the default mode network) and subcortical structures. We forecast the importance
of high-field neuroimaging in bridging this gap to examine how the various
networks within the neural reference space for arousal operate across varieties
of arousal-related phenomena.
PMID- 29378301
TI - Connexin43 and zonula occludens-1 are targets of Akt in cardiomyocytes that
correlate with cardiac contractile dysfunction in Akt deficient hearts.
AB - While deletion of Akt1 results in a smaller heart size and Akt2-/- mice are
mildly insulin resistant, Akt1-/-/Akt2-/- mice exhibit perinatal lethality,
indicating a large degree of functional overlap between the isoforms of the
serine/threonine kinase Akt. The present study aimed to determine the cooperative
contribution of Akt1 and Akt2 on the structure and contractile function of adult
hearts. To generate an inducible, cardiomyocyte-restricted Akt2 knockout (KO)
model, Akt2flox/flox mice were crossed with tamoxifen-inducible MerCreMer
transgenic (MCM) mice and germline Akt1-/- mice to generate the following
genotypes:Akt1+/+; Akt2flox/flox (WT), Akt2flox/flox; alpha-MHC-MCM (iAkt2 KO),
Akt1-/-, and Akt1-/-; Akt2flox/flox; alpha-MHC-MCM mice (Akt1-/-/iAkt2 KO). At 28
days after the first tamoxifen injection, Akt1-/-/iAkt2 KO mice developed
contractile dysfunction paralleling increased atrial and brain natriuretic
peptide (ANP and BNP) levels, and repressed mitochondrial gene expression.
Neither cardiac fibrosis nor apoptosis were detected in Akt1-/-/iAkt2 KO hearts.
To explore potential molecular mechanisms for contractile dysfunction, we
investigated myocardial microstructure before the onset of heart failure. At 3
days after the first tamoxifen injection, Akt1-/-/iAkt2 KO hearts showed
decreased expression of connexin43 (Cx43) and connexin-interacting protein zonula
occludens-1 (ZO-1). Furthermore, Akt1/2 silencing significantly decreased both
Cx43 and ZO-1 expression in cultured neonatal rat cardiomyocytes in concert with
reduced beating frequency. Akt1 and Akt2 are required to maintain cardiac
contraction. Loss of Akt signaling disrupts gap junction protein, which might
precipitate early contractile dysfunction prior to heart failure in the absence
of myocardial remodeling, such as hypertrophy, fibrosis, or cell death.
PMID- 29378302
TI - Amyloid-beta oligomers synaptotoxicity: The emerging role of EphA4/c-Abl
signaling in Alzheimer's disease.
AB - Alzheimer's disease (AD) is characterized by progressive memory loss and
dementia. The strong correlation between cognitive decline and the loss of
synapses supports the idea that synaptic damage is a relevant pathogenic
mechanism underlying AD progression. It has been shown that amyloid beta
oligomers (AbetaOs) induce synaptotoxicity ultimately leading to the reduction of
dendritic spine density, which underlies cognitive damage. However, the signaling
pathways connecting AbetaOs to synaptic dysfunction have not been completely
elucidated. In this review, we have gathered evidence on AbetaOs receptors and
the signaling pathways involved in synaptic damage. We make special emphasis on a
new AbetaOs induced axis that involves the tyrosine kinase ephrin receptor A4
(EphA4) and c-Abl tyrosine kinase activation. EphA4 is a key player in
homeostatic plasticity, mediating dendritic spine remodeling and retraction.
AbetaOs aberrantly activate EphA4 leading to dendritic spine elimination. c-Abl
is activated in AbetaOs exposed neurons and in AD patient's brain, and the
inhibition of activated c-Abl ameliorates cognitive deficits in AD mouse model.
The EphA4 receptor activates c-Abl intracellular signaling. Therefore EphA4 is an
emerging AbetaOs receptor and the activation of the EphA4/c-Abl axis would
explain the synaptic spine alterations found in AD.
PMID- 29378303
TI - Superior Survival of Black Versus White Patients Following Post-Transplant
Cyclophosphamide-Based Haploidentical Transplantation for Adults with Hematologic
Malignancy.
AB - Available evidence from large registry studies has shown inferior survival for
black adult patients following both unrelated donor and cord blood
transplantation. Post-transplant cyclophosphamide (PTCy)-based haploidentical
donor transplantation (HIDT) is being increasingly used in ethnic minorities.
However, no studies of the impact of race on outcomes following HIDT have been
reported. We analyzed 203 consecutive patients (123 white, 80 black) who
underwent first HIDT using PTCy for hematologic malignancy at a single
institution. Median recipient age was 53 (range, 19-75) years. Peripheral blood
stem cells (PBSCs) were used as the stem cell source in 66% of patients, and
conditioning intensity was myeloablative (MA) in 41%. After a median follow-up of
36 months, the estimated 3-year overall survival (OS), disease-free survival
(DFS), and cumulative incidence of relapse (CIR) were significantly better in
black patients, compared with white patients (72% [95% confidence interval (CI),
60% to 81%], 65% [95% CI, 52% to 75%], and 25% [95% CI, 16% to 35] versus 50%
[95% CI, 40% to 59%], 45% [95% CI, 36% to 54%], and 39% [95% CI, 31% to 47%],
respectively; P < .001 for OS and DFS, P = .015 for CIR). In contrast, 3-year
nonrelapse mortality was similar between black (11%) and white (16%) patients, as
were the incidences of acute graft-versus-host disease (GVHD) and moderate-to
severe chronic GVHD. Improved survival was noted in all subgroups of black
patients-younger versus older, male versus female, lower versus higher disease
risk index, MA versus non-MA conditioning, or PBSC versus marrow stem cell
source. In multivariate analysis, black race was independently associated with
better OS (hazard ratio [HR], .47; P = .003), DFS (HR, .49; P = .003), and
relapse (HR, .49; P = .01). Black patients achieve superior outcomes to their
white counterparts following PTCy-based HIDT due to a decreased incidence of
disease relapse.
PMID- 29378304
TI - Low Rate of Cervical Cancer Screening among Women with Hematologic Malignancies
after Stem Cell Transplant.
AB - Annual cervical cancer screening with Papanicolaou (Pap) and human papillomavirus
(HPV) testing after stem cell transplant (SCT) is recommended, but the uptake is
unknown. We aimed to determine the prevalence and predictors of cervical cancer
screening in patients with hematologic malignancies. We searched MarketScan
Commercial Claims database for women who underwent allogeneic or autologous SCT.
The primary outcome was cervical cancer screening, defined as procedures or
abnormal results for HPV and/or Pap testing according administrative codes within
2 years after SCT. A multivariable logistic regression model was fitted with
cancer type, SCT year, age, geographic area, insurance plan, comorbidity, and
presence of graft-versus-host disease (GVHD).The study included 1484 patients;
1048 patients (70.6%) had autologous and 436 (29.4%) allogeneic SCT. Mean age was
52.5 years. Overall, 660 patients (44.5%) had screening within 2 years after SCT,
214 (49.1%) with allogeneic SCT and 446 (42.6%) with autologous SCT (P = .02). In
the allogeneic SCT group, patients with GVHD had a lower rate of screening than
patients without GVHD (42.5% versus 55.4%, P < .01), and GVHD was associated with
lower odds of screening (odds ratio, .50; 95% confidence interval, .32 to .79).
In the autologous SCT group, patients with comorbid medical conditions had a
lower rate of screening than patients without comorbidity (36.0% versus 45.7%, P
< .01). In both allogeneic and autologous SCT groups older patients had lower
odds of screening. Cervical cancer screening rates after SCT are low,
particularly in patients with GVHD, who are at significant risk of second
malignancies. Future work is needed to develop strategies to increase uptake.
PMID- 29378305
TI - Choice of time period to identify confounders for propensity score matching,
affected the estimate: a retrospective cohort study of drug effectiveness in
asthmatic children.
AB - OBJECTIVES: To control for confounding by indication in comparative (drug)
effectiveness studies, propensity score (PS) methods may be used. Since childhood
diseases or outcomes often present as acute events, we compared the effect of
using different look-back periods in electronic health-care data, to construct
PSs. This was applied in our research on the effect of a combination of inhaled
corticosteroids/long-acting beta-2 agonists (ICS + LABA), either as fixed
combination or used as loose combination (2 separate inhaler devices) in the
prevention of severe asthma exacerbations. METHODS: We created a cohort of
children (5-17 years) diagnosed with asthma from the Dutch Integrated Primary
Care information database. Within this cohort, we identified new users of ICS +
LABA, either as fixed combination or loose combination (2 separate inhaler
devices). The outcome of interest was severe asthma exacerbations. PSs for type
of treatment were created using comorbidity and drug use history in different
time windows: 1 week, 1 month, 3 months, 1 year, and full history prior to the
start of treatment. PSs were used for matching subjects in both exposure groups.
Time to first asthma exacerbation was analyzed with Cox proportional hazard
regression. The results were compared with published clinical trials. RESULTS: Of
39,682 asthmatic children, 3,500 (8.8%) were new users of either ICS + LABA fixed
(3,324 [95.0%]) or loose (176 [5.0%]). The crude hazard ratio (HR) for a severe
asthma exacerbation, comparing ICS + LABA fixed to loose was 0.37 (95% confidence
interval [CI]: 0.20-0.66). PS-matched HRs (1 week, 1 month, 3 month, 1 year, and
full history) were 0.48 (95% CI: 0.22-1.04); 0.60 (95% CI: 0.26-1.38), 0.69 (95%
CI: 0.31-1.57), 0.56 (CI: 0.25-1.24), and 0.58 (CI: 0.24-1.36), respectively.
CONCLUSIONS: PS matching can be used to control for confounding in pediatric
comparative (drug) effectiveness studies, the impact of different look-back
periods to implement the PS is important. Controlling for confounders occurring
in the 3 months preceding drug exposure may yield results comparable to clinical
trial results.
PMID- 29378306
TI - Taenia Saginata in Third-Generation Capsule Endoscopy.
PMID- 29378308
TI - Symptoms Do Not Correlate With Findings From Colonoscopy in Children With
Inflammatory Bowel Disease and Primary Sclerosing Cholangitis.
AB - BACKGROUND & AIMS: Approximately 75% of children with primary sclerosing
cholangitis (PSC) also have inflammatory bowel disease (IBD). IBD in patients
with PSC (PSC-IBD) often has a unique phenotype, including a mild clinical
course, yet it is associated with an increased risk of colorectal cancer compared
with colonic IBD without PSC. We investigated whether subclinical endoscopic and
histologic inflammation could account for the increased risk of colorectal cancer
in patients with PSC-IBD, and whether these patients have increased fecal levels
of calprotectin, a marker of inflammation. METHODS: We performed a prospective
study of children (age, <18 y) with colonic IBD with and without PSC who
underwent colonoscopy from February 1, 2016, through March 31, 2017, at the
Hospital for Sick Children in Toronto, Canada. We collected pediatric ulcerative
colitis activity index (PUCAI) scores (to measure symptoms) and fecal levels of
calprotectin from 37 children with PSC-IBD and 50 children with only IBD
(controls; UC or IBD-unclassified). Colonoscopies were scored using the Mayo
endoscopic subscore and the UC Endoscopic Index of Severity (UCEIS) scores, and
histologic activity was graded. Among patients in clinical remission, endoscopic
scores and the odds of active endoscopic disease (based on a UCEIS score >=1)
were compared between patients with and without PSC in univariate and
multivariable analyses. Correlations between activity markers were compared
between groups. The ability of fecal calprotectin to identify mucosal healing in
patients with PSC-IBD was assessed using receiver operating characteristic curve
analyses. Analogous analyses were performed for histologic activity. RESULTS:
Patients with PSC-IBD in clinical remission had higher endoscopic scores and
greater odds of active endoscopic disease than controls (odds ratio, 5.9; 95% CI,
1.6-21.5). There was a higher degree of correlation between PUCAI and UCEIS
scores in controls (r = 0.82) than in patients with PSC-IBD (r = 0.51; P = .01).
Fecal levels of calprotectin correlated with UCEIS in patients with PSC-IBD (r =
0.84) and controls (r = 0.82; P = .80). Fecal levels of calprotectin identified
mucosal healing in patients with PSC-IBD with an area under the receiver
operating characteristic curve of 0.94 (optimal cut-point, 93 MUg/g; 100%
sensitivity and 92% specificity). Histologic activity scores and the odds of
active histologic disease were also greater in patients in clinical remission
with PSC-IBD than controls. CONCLUSIONS: Children with PSC-IBD in clinical
remission, based on PUCAI scores, have a significantly higher risk of active
endoscopic and histologic disease than children with colitis without PSC. Fecal
levels of calprotectin correlate with endoscopic findings in pediatric patients
with PSC-IBD; levels below 93 MUg/g are associated with mucosal healing.
PMID- 29378307
TI - Among Patients With Nonalcoholic Fatty Liver Disease, Modest Alcohol Use Is
Associated With Less Improvement in Histologic Steatosis and Steatohepatitis.
AB - BACKGROUND & AIMS: Cross-sectional studies of patients with nonalcoholic fatty
liver disease (NAFLD) have reported a lower prevalence of severe disease among
modest drinkers compared with nondrinkers. We collected data from adult
participants in the Nonalcoholic Steatohepatitis (NASH) Clinical Research Network
to evaluate the longitudinal association between modest use of alcohol and
histology findings in patients with NAFLD, using paired liver biopsies collected
more than 1 year apart. METHODS: We studied NASH Clinical Research Network
participants 21 years or older, not receiving pharmacologic therapy, from whom 2
or more liver biopsies and data on alcohol use within 2 years of the initial
biopsy were available. Alcohol consumption was evaluated at study entry using the
Alcohol Use Disorders Identification Test and Skinner Lifetime Drinking History
questionnaires. At each follow-up visit participants were asked about alcohol use
frequency, number of drinks on a typical day, and frequency of heavy drinking.
The association between baseline drinking status and changes in fibrosis stage,
NASH histology, and the NAFLD Activity Score and its individual components were
evaluated by analysis of covariance. The association between change in drinking
status and change in histology was evaluated using adjusted logistic regression.
RESULTS: Of 285 participants (82% white, 70% female, mean age, 47 y) meeting
entry criteria, 168 (59%) were modest alcohol users (<=2 drinks/d) and the
remaining 117 were abstinent. At baseline, a higher proportion of modest alcohol
users were white (86% vs 76% nonwhite) (P = .04) and a lower proportion of modest
alcohol users were diagnosed with definite NASH (57% vs 74% without NASH; P =
.01). During a mean follow-up period of 47 months between biopsies, nondrinkers
had a greater mean reduction in steatosis grade (reduction, 0.49) than modest
drinkers (reduction, 0.30; P = .04) and a greater reduction in mean level of
aspartate transaminase (reduction, 7 U/L vs an increase of 2 U/L in modest
drinkers; P = .04). Modest drinkers had significantly lower odds of NASH
resolution compared with nondrinkers (adjusted odds ratio, 0.32; 95% CI, 0.11
0.92; P = .04) on adjusted analysis. CONCLUSIONS: In a longitudinal analysis of
liver biopsies from patients with NAFLD not receiving pharmacologic therapy,
modest alcohol use was associated with less improvement in steatosis and level of
aspartate transaminase, as well as lower odds of NASH resolution, compared with
no use of alcohol.
PMID- 29378309
TI - The Unregulated Probiotic Market.
AB - BACKGROUND & AIMS: This narrative review provides an overview of the current
regulation of probiotics, with a focus on those used for the dietary management
of medical conditions (Medical Foods). FINDINGS: The probiotic market has grown
rapidly, both for foods and supplements intended to enhance wellness in healthy
individuals, and for preparations for the dietary management of disease.
Regulation of probiotics varies between regions. Unless they make specific
disease-related health claims, probiotics are regulated as food supplements and
regulation is focused on the legitimacy of any claims, rather than efficacy,
safety and quality. Many properties of probiotics are strain-specific, and safety
and efficacy findings associated to specific formulations should not be
generalized to other probiotic products. Manufacturing processes, conditions and
ingredients are important determinants of product characteristics and changes to
manufacturing are likely to give rise to a product not identical to the
"original" in efficacy and safety if proper measures and controls are not taken.
Current trademark law and the lack of stringent regulation of probiotic
manufacturing mean that the trademark owner can commercialize any formulation
under the same brand, even if significantly different from the original. These
regulatory deficits may have serious consequences for patients where probiotics
are used as part of clinical guideline-recommended management of serious
conditions such as inflammatory bowel diseases, and may make doctors liable for
prescribing a formulation not previously tested for safety and efficacy.
CONCLUSIONS: Current regulation of probiotics is inadequate to protect consumers
and doctors, especially when probiotics are aimed at the dietary management of
serious conditions.
PMID- 29378310
TI - Hepatitis C.
PMID- 29378311
TI - High Risk of Advanced Colorectal Neoplasia in Patients With Primary Sclerosing
Cholangitis Associated With Inflammatory Bowel Disease.
AB - BACKGROUND & AIMS: Patients with inflammatory bowel disease (IBD) and primary
sclerosing cholangitis (PSC, termed PSC-IBD) are at increased risk for colorectal
cancer, but their risk following a diagnosis of low-grade dysplasia (LGD) is not
well described. We aimed to determine the rate of advanced colorectal neoplasia
(aCRN), defined as high-grade dysplasia and/or colorectal cancer, following a
diagnosis of indefinite dysplasia or LGD in this population. METHODS: We
performed a retrospective, longitudinal study of 1911 patients with colonic IBD
(293 with PSC and 1618 without PSC) who underwent more than 2 surveillance
colonoscopies from 2000 through 2015 in The Netherlands or the United States
(9265 patient-years of follow-up evaluation). We collected data on clinical and
demographic features of patients, as well as data from each surveillance
colonoscopy and histologic report. For each surveillance colonoscopy, the
severity of active inflammation was documented. The primary outcome was a
diagnosis of aCRN during follow-up evaluation. We also investigated factors
associated with aCRN in patients with or without a prior diagnosis of indefinite
dysplasia or LGD. RESULTS: Patients with PSC-IBD had a 2-fold higher risk of
developing aCRN than patients with non-PSC IBD. Mean inflammation scores did not
differ significantly between patients with PSC-IBD (0.55) vs patients with non
PSC IBD (0.56) (P = .89), nor did proportions of patients with LGD (21% of
patients with PSC-IBD vs 18% of patients with non-PSC IBD) differ significantly
(P = .37). However, the rate of aCRN following a diagnosis of LGD was
significantly higher in patients with PSC-IBD (8.4 per 100 patient-years) than
patients with non-PSC IBD (3.0 per 100 patient-years; P = .01). PSC (adjusted
hazard ratio [aHR], 2.01; 95% CI, 1.09-3.71), increasing age (aHR 1.03; 95% CI,
1.01-1.05), and active inflammation (aHR, 2.39; 95% CI, 1.63-3.49) were
independent risk factors for aCRN. Dysplasia was more often endoscopically
invisible in patients with PSC-IBD than in patients with non-PSC IBD.
CONCLUSIONS: In a longitudinal study of almost 2000 patients with colonic IBD,
PSC remained a strong independent risk factor for aCRN. Once LGD is detected,
aCRN develops at a higher rate in patients with PSC and is more often
endoscopically invisible than in patients with only IBD. Our findings support
recommendations for careful annual colonoscopic surveillance for patients with
IBD and PSC, and consideration of colectomy once LGD is detected.
PMID- 29378313
TI - Rectal Bleeding Secondary to Viral and Fungal Colitidies in an Immunocompromised
Host.
PMID- 29378314
TI - Prevalence of Irritable Bowel Syndrome and Chronic Fatigue 10 Years After Giardia
Infection.
AB - BACKGROUND & AIMS: Irritable bowel syndrome (IBS) is a complication that can
follow gastrointestinal infection, but it is not clear if patients also develop
chronic fatigue. We investigated the prevalence and odds ratio of IBS and chronic
fatigue 10 years after an outbreak of Giardia lamblia, compared with a control
cohort, and changes in prevalence over time. METHODS: We performed a prospective
follow-up study of 1252 laboratory-confirmed cases of giardiasis (exposed), which
developed in Bergen, Norway in 2004. Statistics Norway provided us with
information from 2504 unexposed individuals from Bergen, matched by age and sex
(controls). Questionnaires were mailed to participants 3, 6, and 10 years after
the outbreak. Results from the 3- and 6-year follow-up analyses have been
published previously. We report the 10-year data and changes in prevalence among
time points, determined by logistic regression using generalized estimating
equations. RESULTS: The prevalence of IBS 10 years after the outbreak was 43% (n
= 248) among 576 exposed individuals and 14% (n = 94) among 685 controls
(adjusted odds ratio for development of IBS in exposed individuals, 4.74; 95% CI,
3.61-6.23). At this time point, the prevalence of chronic fatigue was 26% (n =
153) among 587 exposed individuals and 11% (n = 73) among 692 controls (adjusted
odds ratio, 3.01; 95% CI, 2.22-4.08). The prevalence of IBS among exposed persons
did not change significantly from 6 years after infection (40%) to 10 years after
infection (43%; adjusted odds ratio for the change 1.03; 95% CI, 0.87-1.22).
However, the prevalence of chronic fatigue decreased from 31% at 6 years after
infection to 26% at 10 years after infection (adjusted odds ratio for the change
0.74; 95% CI, 0.61-0.90). CONCLUSION: The prevalence of IBS did not change
significantly from 6 years after an outbreak of Giardia lamblia infection in
Norway to 10 years after. However, the prevalence of chronic fatigue decreased
significantly from 6 to 10 years afterward. IBS and chronic fatigue were still
associated with giardiasis 10 years after the outbreak.
PMID- 29378312
TI - Under-dilated TIPS Associate With Efficacy and Reduced Encephalopathy in a
Prospective, Non-randomized Study of Patients With Cirrhosis.
AB - BACKGROUND & AIMS: Portosystemic encephalopathy (PSE) is a major complication of
trans-jugular intrahepatic porto-systemic shunt (TIPS) placement. Most devices
are self-expandable polytetrafluoroethylene-covered stent grafts (PTFE-SGs) that
are dilated to their nominal diameter (8 or 10 mm). We investigated whether PTFE
SGs dilated to a smaller caliber (under-dilated TIPS) reduce PSE yet maintain
clinical and hemodynamic efficacy. We also studied whether under-dilated TIPS
self-expand to nominal diameter over time. METHODS: We performed a prospective,
non-randomized study of 42 unselected patients with cirrhosis who received under
dilated TIPS (7 and 6 mm) and 53 patients who received PTFE-SGs of 8 mm or more
(controls) at referral centers in Italy. After completion of this study, dilation
to 6 mm became the standard and 47 patients were included in a validation study.
All patients were followed for 6 months; Doppler ultrasonography was performed 2
weeks and 3 months after TIPS placement and every 6 months thereafter. Stability
of PTFE-SG diameter was evaluated by computed tomography analysis of 226 patients
with cirrhosis whose stent grafts increased to 6, 7, 8, 9, or 10 mm. The primary
outcomes were incidence of at least 1 episode of PSE grade 2 or higher during
follow up, incidence of recurrent variceal hemorrhage or ascites, incidence of
shunt dysfunction requiring TIPS recanalization, and reduction in porto-caval
pressure gradient. RESULTS: PSE developed in a significantly lower proportion of
patients with under-dilated TIPS (27%) than controls (54%) during the first year
after the procedure (P = .015), but the proportions of patients with recurrent
variceal hemorrhage or ascites did not differ significantly between groups. No
TIPS occlusions were observed. These results were confirmed in the validation
cohort. In an analysis of self-expansion of stent grafts, during a mean follow-up
period of 252 days after placement, none of the PTFE-SGs self-expanded to the
nominal diameter in hemodynamically relevant sites (such as portal and hepatic
vein vascular walls). CONCLUSIONS: In prospective, non-randomized study of
patients with cirrhosis, we found under-dilation of PTFE-SGs during TIPS
placement to be feasible, associated with lower rates of PSE, and effective.
PMID- 29378315
TI - Systematic optimization of cell-free synthesized human endothelin B receptor
folding.
AB - Cell-free production of G-protein coupled receptors is becoming attractive for
biochemical characterization, ligand screening or even structural purposes.
However, despite high production levels within the range of mg/mL, the fraction
of functionally folded receptor is frequently below 1%. In synthetic cell-free
reactions, numerous factors that affect the efficient folding and stability of
translated membrane proteins can be addressed by the appropriate design of the
synthetic expression environment. We demonstrate the systematic quality
optimization of the cell-free synthesized human endothelin B receptor by a
combined approach of lipid screening, redox optimization, and molecular
engineering. Key parameters for receptor folding are the implementation of
nanodiscs, the selection of suitable lipid environments for co-translational
solubilization, as well as providing an optimized redox system for essential
disulfide bridge formation. In addition, enrichment with chaperones as well as
receptor engineering by thermostabilization further supported the folding into
ligand binding conformation. In summary, we provide evidence that the initial co
translational folding process rather than long-term stability of the receptor is
limiting. The folding efficiency could be improved by more than 103-fold and
under optimized conditions, up to 1.6 nmol or ~100 ug of ligand binding competent
receptor could be produced per mL of reaction mixture in a timescale of less than
24 h. The identified parameters affect rather common characteristics of G-protein
receptors and are thus likely to improve the folding of similar targets as well.
The optimized process provides full-length receptors embedded in defined membrane
environments and in quantities and quality sufficient for throughput screening
applications.
PMID- 29378316
TI - Fragment screening for drug leads by weak affinity chromatography (WAC-MS).
AB - Fragment-based drug discovery is an important tool for design of small molecule
hit-to-lead compounds against various biological targets. Several approved drugs
have been derived from an initial fragment screen and many such candidates are in
various stages of clinical trials. Finding fragment hits, that are suitable for
optimisation by medicinal chemists, is still a challenge as the binding between
the small fragment and its target is weak in the range of mM to uM of Kd and
irrelevant non-specific interactions are abundant in this area of transient
interactions. Fortunately, there are methods that can study weak interactions
quite efficiently of which NMR, surface plasmon resonance (SPR) and X-ray
crystallography are the most prominent. Now, a new technology based on zonal
affinity chromatography, weak affinity chromatography (WAC), has been introduced
which has remedied many of the problems with other technologies. By combining WAC
with mass spectrometry (WAC-MS), it is a powerful tool to identify binders
quantitatively in terms of affinity and kinetics either from fragment libraries
or from complex mixtures of biological extracts. As WAC-MS can be multiplexed by
analysing mixtures of fragments (20-100 fragments) in one sample, this approach
yields high throughput, where a whole library of e.g. >2000 fragments can be
analysed quantitatively within a day. WAC-MS is easy to perform, where the
robustness and quality of HPLC is fully utilized. This review will highlight the
rationale behind the application of WAC-MS for fragment screening in drug
discovery.
PMID- 29378317
TI - Decoding the auditory brain with canonical component analysis.
AB - The relation between a stimulus and the evoked brain response can shed light on
perceptual processes within the brain. Signals derived from this relation can
also be harnessed to control external devices for Brain Computer Interface (BCI)
applications. While the classic event-related potential (ERP) is appropriate for
isolated stimuli, more sophisticated "decoding" strategies are needed to address
continuous stimuli such as speech, music or environmental sounds. Here we
describe an approach based on Canonical Correlation Analysis (CCA) that finds the
optimal transform to apply to both the stimulus and the response to reveal
correlations between the two. Compared to prior methods based on forward or
backward models for stimulus-response mapping, CCA finds significantly higher
correlation scores, thus providing increased sensitivity to relatively small
effects, and supports classifier schemes that yield higher classification scores.
CCA strips the brain response of variance unrelated to the stimulus, and the
stimulus representation of variance that does not affect the response, and thus
improves observations of the relation between stimulus and response.
PMID- 29378318
TI - Hyperedge bundling: A practical solution to spurious interactions in MEG/EEG
source connectivity analyses.
AB - Inter-areal functional connectivity (FC), neuronal synchronization in particular,
is thought to constitute a key systems-level mechanism for coordination of
neuronal processing and communication between brain regions. Evidence to support
this hypothesis has been gained largely using invasive electrophysiological
approaches. In humans, neuronal activity can be non-invasively recorded only with
magneto- and electroencephalography (MEG/EEG), which have been used to assess FC
networks with high temporal resolution and whole-scalp coverage. However, even in
source-reconstructed MEG/EEG data, signal mixing, or "source leakage", is a
significant confounder for FC analyses and network localization. Signal mixing
leads to two distinct kinds of false-positive observations: artificial
interactions (AI) caused directly by mixing and spurious interactions (SI)
arising indirectly from the spread of signals from true interacting sources to
nearby false loci. To date, several interaction metrics have been developed to
solve the AI problem, but the SI problem has remained largely intractable in
MEG/EEG all-to-all source connectivity studies. Here, we advance a novel approach
for correcting SIs in FC analyses using source-reconstructed MEG/EEG data. Our
approach is to bundle observed FC connections into hyperedges by their adjacency
in signal mixing. Using realistic simulations, we show here that bundling yields
hyperedges with good separability of true positives and little loss in the true
positive rate. Hyperedge bundling thus significantly decreases graph noise by
minimizing the false-positive to true-positive ratio. Finally, we demonstrate the
advantage of edge bundling in the visualization of large-scale cortical networks
with real MEG data. We propose that hypergraphs yielded by bundling represent
well the set of true cortical interactions that are detectable and dissociable in
MEG/EEG connectivity analysis.
PMID- 29378319
TI - Alter spontaneous activity in amygdala and vmPFC during fear consolidation
following 24 h sleep deprivation.
AB - Sleep deprivation (SD) has been associated with cognitive and emotional
disruptions, however its impact on the acquisition of fear and subsequent fear
memory consolidation remain unknown. To address this question, we measured human
brain activity before and after fear acquisition under conditions of 24 h sleep
deprivation versus normal sleep using resting-state functional magnetic resonance
imaging (rs-fMRI). Additionally, we explored whether the fear acquisition-induced
change of brain activity during the fear memory consolidation window can be
predicted by subjective fear ratings and autonomic fear response, assessed by
skin conductance responses (SCR) during acquisition. Behaviorally, the SD group
demonstrated increased subjective and autonomic fear responses compared to
controls at the stage of fear acquisition. During the stage of fear
consolidation, the SD group displayed decreased ventromedial prefrontal cortex
(vmPFC) activity and concomitantly increased amygdala activity. Moreover, in the
SD group fear acquisition-induced brain activity changes in amygdala were
positively correlated with both, subjective and autonomic fear indices during
acquisition, whereas in controls changes vmPFC activity were positively
correlated with fear indices during acquisition. Together, the present findings
suggested that SD may weaken the top-down ability of the vmPFC to regulate
amygdala activity during fear memory consolidation. Moreover, subjective and
objective fear at fear acquisition stage can predict the change of brain activity
in amygdala in fear memory consolidation following SD.
PMID- 29378320
TI - White matter microstructural variability mediates the relation between obesity
and cognition in healthy adults.
AB - Obesity has been linked with structural and functional brain changes. However,
the impact of obesity on brain and cognition in aging remains debatable,
especially for white matter. We therefore aimed to determine the effects of
obesity on white matter microstructure and potential implications for cognition
in a well-characterized large cohort of healthy adults. In total, 1255
participants (50% females, 19-80 years, BMI 16.8-50.2 kg/m2) with diffusion
weighted magnetic resonance imaging at 3T were analysed. Tract-based spatial
statistics (TBSS) probed whether body mass index (BMI) and waist-to-hip ratio
(WHR) were related to fractional anisotropy (FA). We conducted partial
correlations and mediation analyses to explore whether obesity or regional FA
were related to cognitive performance. Analyses were adjusted for demographic,
genetic, and obesity-associated confounders. Results showed that higher BMI and
higher WHR were associated with lower FA in multiple white matter tracts (p <
0.05, FWE-corrected). Mediation analyses provided evidence for indirect negative
effects of higher BMI and higher WHR on executive functions and processing speed
through lower FA in fiber tracts connecting (pre)frontal, visual, and associative
areas (indirect paths, |beta| >= 0.01; 99% |CI| > 0). This large cross-sectional
study showed that obesity is correlated with lower FA in multiple white matter
tracts in otherwise healthy adults, independent of confounders. Moreover,
although effect sizes were small, mediation results indicated that visceral
obesity was linked to poorer executive functions and lower processing speed
through lower FA in callosal and associative fiber tracts. Longitudinal studies
are needed to support this hypothesis.
PMID- 29378321
TI - Personalised genome editing - The future for corneal dystrophies.
AB - The potential of personalised genome editing reaching the clinic has come to
light due to advancements in the field of gene editing, namely the development of
CRISPR/Cas9. The different mechanisms of repair used to resolve the double strand
breaks (DSBs) mediated by Cas9 allow targeting of a wide range of disease causing
mutations. Collectively, the corneal dystrophies offer an ideal platform for
personalised genome editing; the majority of corneal dystrophies are monogenic,
highly penetrant diseases with a known pattern of inheritance. This genetic
background coupled with the accessibility, ease of visualisation and immune
privilege status of the cornea make a gene editing strategy for the treatment of
corneal dystrophies an attractive option. Off-target cleavage is a major concern
for the therapeutic use of CRISPR/Cas9, thus current efforts in the gene editing
field are focused on improving the genome-wide specificity of Cas9 to minimise
the risk of off-target events. In addition, the delivery of CRISPR/Cas9 to
different tissues is a key focus; various viral and non-viral platforms are being
explored to develop a vehicle that is highly efficient, specific and non-toxic.
The rapid pace and enthusiasm with which CRISPR/Cas9 has taken over biomedical
research has ensured the personalised medicine revolution has been realised.
CRISPR/Cas9 has recently been utilised in the first wave of clinical trials, and
the potential for a genome editing therapy to treat corneal dystrophies looks
promising. This review will discuss the current status of therapeutic gene
editing in relation to the corneal dystrophies.
PMID- 29378322
TI - Liver disturbances in activated phosphoinositide 3-kinase delta syndrome.
PMID- 29378323
TI - Computational 3D imaging to quantify structural components and assembly of
protein networks.
AB - : Traditionally, protein structures have been described by the secondary
structure architecture and fold arrangement. However, the relatively novel method
of 3D confocal microscopy of fluorescent-protein-tagged networks in living cells
allows resolving the detailed spatial organization of these networks. This
provides new possibilities to predict network functionality, as structure and
function seem to be linked at various scales. Here, we propose a quantitative
approach using 3D confocal microscopy image data to describe protein networks
based on their nano-structural characteristics. This analysis is constructed in
four steps: (i) Segmentation of the microscopic raw data into a volume model and
extraction of a spatial graph representing the protein network. (ii) Quantifying
protein network gross morphology using the volume model. (iii) Quantifying
protein network components using the spatial graph. (iv) Linking these two scales
to obtain insights into network assembly. Here, we quantitatively describe the
filamentous temperature sensitive Z protein network of the moss Physcomitrella
patens and elucidate relations between network size and assembly details. Future
applications will link network structure and functionality by tracking dynamic
structural changes over time and comparing different states or types of networks,
possibly allowing more precise identification of (mal) functions or the design of
protein-engineered biomaterials for applications in regenerative medicine.
STATEMENT OF SIGNIFICANCE: Protein networks are highly complex and dynamic
structures that play various roles in biological environments. Analyzing the
detailed spatial structure of these networks may lead to new insight into
biological functions and malfunctions. Here, we propose a tool set that extracts
structural information at two scales of the protein network and allows therefore
to address questions such as "how is the network built?" or "how networks grow?".
PMID- 29378324
TI - Adhesive free-standing multilayer films containing sulfated levan for biomedical
applications.
AB - : This work is the first reporting the use of layer-by-layer to produce adhesive
free-standing (FS) films fully produced using natural-based macromolecules:
chitosan (CHI), alginate (ALG) and sulfated levan (L-S). The deposition
conditions of the natural polymers were studied through zeta potential
measurements and quartz crystal microbalance with dissipation monitoring
analysis. The properties of the FS films were evaluated and compared with the
control ones composed of only CHI and ALG in order to assess the influence of
levan polysaccharide introduced in the multilayers. Tensile tests, dynamic
mechanical analysis and single lap shear strength tests were performed to
evaluate the mechanical properties of the prepared FS films. The presence of L-S
conferred both higher tensile strength and shear strength to the developed FS
membranes. The results showed an adhesion strength 4 times higher than the
control (CHI/ALG) FS films demonstrating the adhesive character of the FS films
containing L-S. Morphological and topography studies were carried out revealing
that the crosslinking reaction granted the L-S based FS film with a higher
roughness and surface homogeneity. Preliminary biological assays were performed
by cultivating myoblasts cells on the surface of the produced FS films. Both
crosslinked and uncrosslinked FS films containing L-S were cytocompatible and
myoconductive. STATEMENT OF SIGNIFICANCE: Sutures remain as the "gold standard"
for wound closure and bleeding control; however they still have limitations such
as, high infection rate, inconvenience in handling, and concern over possible
transmission of blood-borne disease through the use of needles. One of the
challenges of tissue engineering consist on the design and development of
biocompatible tissue adhesives and sealants with high adhesion properties to
repair or attach devices to tissues. In this work, the introduction of sulfated
levan (L-S) on multilayered free-standing membranes was proposed to confer
adhesive properties. Moreover, the films were myoconductive even in the absence
of crosslinking just by the presence of L-S. This study provides a promising
strategy to develop biological adhesives and for cardiac tissue engineering
applications.
PMID- 29378325
TI - Capacity of octacalcium phosphate to promote osteoblastic differentiation toward
osteocytes in vitro.
AB - : Octacalcium phosphate (OCP) has been shown to act as a nucleus for initial bone
deposition and enhancing the early stages of osteoblastic differentiation.
However, the effect on differentiation at the late stage into osteocytes has not
been elucidated. The present study was designed to investigate whether OCP can
promote the differentiation lineage from osteoblasts to late osteocytes using a
clonal cell line IDG-SW3 compared to commercially available sintered beta
tricalcium phosphate (beta-TCP) and hydroxyapatite (HA) in a transwell cell
culture. Special attention was paid to detect the progress of OCP hydrolysis
associated with ionic dissolution products from this material. OCP induced the
appearance of an alkaline phosphatase (ALP) peak in the IDG-SW3 cells compared to
beta-TCP and HA and increased SOST/sclerostin and FGF23 gene expression after 35
days of incubation. Analyses by X-ray diffraction, curve fitting of Fourier
transform infrared spectra, and acid phosphate inclusion of the materials showed
that OCP tended to hydrolyze to an apatitic structure during the incubation.
Since the hydrolysis enhanced inorganic phosphate ion (Pi) release from OCP in
the media, IDG-SW3 cells were further incubated in the conditioned media with an
increased concentration of Pi in the presence or absence of phosphonoformic acid
(PFA), which is an inhibitor of Pi transport within the cells. An increase in Pi
concentration up to 1.5 mM raised ALP activity, while its positive effect was
eliminated in the presence of 0.1 to 0.5 mM PFA. Calcium ions did not show such
an effect. These results indicate the stimulatory capacity of OCP on osteoblastic
differentiation toward osteocytes. STATEMENT OF SIGNIFICANCE: Octacalcium
phosphate (OCP) has been shown to have a superior osteoconductivity due to its
capacity to enhance initial stage of osteoblast differentiation. However, the
effect of OCP on the late osteoblastic differentiation into osteocyte is unknown.
This study showed the capacity associated with the structural change of OCP. The
data show that OCP released inorganic phosphate (Pi) ions while the hydrolysis
advanced if soaked in the media, determined by chemical and physical analyses,
and enhanced osteocytes differentiation of IDG-SW3 cells more than hydroxyapatite
(HA) and beta-tricalcium phosphate (beta-TCP). Conditioned elevated Pi-containing
media in the absence of OCP enhanced the osteocyte differentiation in the range
of the concentration induced by OCP, the effect of which was cancelled by the
inhibitor of Pi-transporters.
PMID- 29378326
TI - Interplay between stiffness and degradation of architectured gelatin hydrogels
leads to differential modulation of chondrogenesis in vitro and in vivo.
AB - : The limited capacity of cartilage to heal large lesions through endogenous
mechanisms has led to extensive effort to develop materials to facilitate
chondrogenesis. Although physical-chemical properties of biomaterials have been
shown to impact in vitro chondrogenesis, whether these findings are translatable
in vivo is subject of debate. Herein, architectured 3D hydrogel scaffolds
(ArcGel) (produced by crosslinking gelatin with ethyl lysine diisocyanate (LDI))
were used as a model system to investigate the interplay between scaffold
mechanical properties and degradation on matrix deposition by human articular
chondrocytes (HAC) from healthy donors in vitro and in vivo. Using ArcGel
scaffolds of different tensile and shear modulus, and degradation behavior; in
this study, we compared the fate of ex vivo engineered ArcGels-chondrocytes
constructs, i.e. the traditional tissue engineering approach, with thede
novoformation of cartilaginous tissue in HAC laden ArcGels in an ectopic nude
mouse model. While the softer and fast degrading ArcGel (LNCO3) was more
efficient at promoting chondrogenic differentiation in vitro, upon ectopic
implantation, the stiffer and slow degrading ArcGel (LNCO8) was superior in
maintaining chondrogenic phenotype in HAC and retention of cartilaginous matrix.
Furthermore, surprisingly the de novo formation of cartilage tissue was promoted
only in LNCO8. Since HAC cultured for only three days in the LNCO8 environment
showed upregulation of hypoxia-associated genes, this suggests a potential role
for hypoxia in the observed in vivo outcomes. In summary, this study sheds light
on how immediate environment (in vivo versus in vitro) can significantly impact
the outcomes of cell-laden biomaterials. STATEMENT OF SIGNIFICANCE: In this
study, 3D architectured hydrogels (ArcGels) with different mechanical and
biodegradation properties were investigated for their potential to promote
formation of cartilaginous matrix by human articular chondrocytes in vitro and in
vivo. Two paradigms were explored (i) ex vivo engineering followed by in vivo
implantation in ectopic site of nude mice and (ii) short in vitro culture (3
days) followed by implantation to induce de novo cartilage formation. Softer and
fast degrading ArcGel were better at promoting chondrogenesis in vitro, while
stiffer and slow degrading ArcGel were strikingly superior in both maintaining
chondrogenesis in vivo and inducing de novo formation of cartilage. Our findings
highlight the importance of the interplay between scaffold mechanics and
degradation in chondrogenesis.
PMID- 29378327
TI - TRIB3 limits FGF21 induction during in vitro and in vivo nutrient deficiencies by
inhibiting C/EBP-ATF response elements in the Fgf21 promoter.
AB - Mammals must be able to endure periods of limited food availability, and the
liver plays a central role in the adaptation to nutritional stresses. TRIB3
(Tribbles homolog 3) is a cellular stress-inducible gene with a liver-centric
expression pattern and it has been implicated in stress response regulation and
metabolic control. In the current article, we study the involvement of TRIB3 in
responses to nutrient deficiencies, including fasting for up to 48 h in mice. We
show that hepatic expression of Trib3 is increased after 48 h of fasting and mice
with a targeted deletion of the Trib3 gene present elevated hepatic triglyceride
content and liver weight at 48 h, along with an upregulation of lipid utilization
genes in the liver. Further, hepatic and serum levels of the metabolic stress
hormone FGF21 are considerably increased in 48-h-fasted Trib3 knockout mice
compared to wild type. Trib3 deficiency also leads to elevated FGF21 levels in
the mouse liver during essential amino acid deficiency and in cultured mouse
embryonic fibroblasts during glucose starvation. Reporter assays reveal that
TRIB3 regulates FGF21 by inhibiting ATF4-mediated, C/EBP-ATF site-dependent
activation of Fgf21 transcription. Based on chromatin immunoprecipitation from
mouse liver, the binding of TRIB3 and ATF4, a transcription factor known to
physically interact with TRIB3, is significantly increased at the Fgf21 promoter
following 48 h of fasting. Thus, under nutrient-limiting conditions that
stimulate ATF4 activity, TRIB3 is implicated in the regulation of metabolic
adaptation by restraining the transcription of Fgf21.
PMID- 29378328
TI - Transfer RNA modification and infection - Implications for pathogenicity and host
responses.
AB - Transfer RNA (tRNA) molecules are sumptuously decorated with evolutionary
conserved post-transcriptional nucleoside modifications that are essential for
structural stability and ensure efficient protein translation. The tRNA
modification levels change significantly in response to physiological stresses,
altering translation in a number of ways. For instance, tRNA hypomodification
leads to translational slowdown, disrupting protein homeostasis and reducing
cellular fitness. This highlights the importance of proper tRNA modification as a
determinant for maintaining cellular function and viability during stress.
Furthermore, the expression of several microbial virulence factors is induced by
changes in environmental conditions; a process where tRNA 2-thiolation is
unequivocal for pathogenicity. In this review, we discuss the multifaceted
implications of tRNA modification for infection by examining the roles of
nucleoside modification in tRNA biology. Future development of novel methods and
combinatory utilization of existing technologies will bring tRNA modification
mediated regulation of cellular immunity and pathogenicity to the limelight.
PMID- 29378329
TI - miR-1275 controls granulosa cell apoptosis and estradiol synthesis by impairing
LRH-1/CYP19A1 axis.
AB - miR-1275 is one of the microRNAs (miRNAs) that are differentially expressed
during follicular atresia in pig ovaries, as identified by a miRNA microarray
assay in our previous study [1]. However, its functions in follicular atresia
remain unknown. In this study, we showed that miR-1275 promotes early apoptosis
of porcine granulosa cells (pGCs) and the initiation of follicular atresia, and
inhibits E2 release and expression of CYP19A1, the key gene in E2 production.
Bioinformatics and luciferase reporter assays revealed that liver receptor
homolog (LRH)-1, not CYP19A1, is a direct functional target of miR-1275. In vitro
overexpression and knockdown experiments showed that LRH-1 significantly
repressed apoptosis and induced E2 secretion and CYP19A1 expression in pGCs. LRH
1, whose expression was regulated by miR-1275, prevented apoptosis in pGCs.
Furthermore, luciferase and chromatin immunoprecipitation assays demonstrated
that LRH-1 protein bound to the CYP19A1 promoter and increased its activity. Our
findings suggest that miR-1275 attenuates LRH-1 expression by directly binding to
its 3'UTR. This prevents the interaction of LRH-1 protein with the CYP19A1
promoter, represses E2 synthesis, promotes pGC apoptosis, and initiates
follicular atresia in porcine ovaries.
PMID- 29378330
TI - Dysregulation of cellular microRNAs by human oncogenic viruses - Implications for
tumorigenesis.
AB - Infection with certain animal and human viruses, often referred to as tumor
viruses, induces oncogenic processes in their host. These viruses can induce
tumorigenesis through direct and/or indirect mechanisms, and the regulation of
microRNAs expression has been shown to play a key role in this process. Some
human oncogenic viruses can express their own microRNAs; however, they all can
dysregulate the expression of cellular microRNAs, facilitating their respective
life cycles. The modulation of cellular microRNAs expression brings consequences
to the host cells that may lead to malignant transformation, since microRNAs
regulate the expression of genes involved in oncogenic pathways. This review
focus on the mechanisms used by each human oncogenic virus to dysregulate the
expression of cellular microRNAs, and their impact on tumorigenesis.
PMID- 29378331
TI - Identification of the TXNIP IRES and characterization of the impact of regulatory
IRES trans-acting factors.
PMID- 29378334
TI - Roles of mammalian glutathione peroxidase and thioredoxin reductase enzymes in
the cellular response to nitrosative stress.
AB - Mammalian cells employ elaborate antioxidant systems to effectively handle
reactive oxygen and nitrogen species (ROS and RNS). At the heart of these systems
operate two selenoprotein families consisting of glutathione peroxidase (GPx) and
thioredoxin reductase (TrxR) enzymes. Although mostly studied in the context of
oxidative stress, considerable evidence has amassed to indicate that these
selenoenzymes also play important roles in nitrosative stress responses. GPx and
TrxR, together with their redox partners, metabolize nitrosothiols and
peroxynitrite, two major RNS. As such, these enzymes play active roles in the
cellular defense against nitrosative stress. However, under certain conditions,
these enzymes are inactivated by nitrosothiols or peroxynitrite, which may
exacerbate oxidative and nitrosative stress in cells. The selenol groups in the
active sites of GPx and TrxR enzymes are critically involved in these beneficial
and detrimental processes. Further elucidation of the biochemical interactions
between distinct RNS and GPx/TrxR will lead to a better understanding of the
roles of these selenoenzymes in cellular homeostasis and disease.
PMID- 29378333
TI - Regulation of tRNA synthesis by the general transcription factors of RNA
polymerase III - TFIIIB and TFIIIC, and by the MAF1 protein.
AB - The synthesis of transfer RNA (tRNA) is directed by RNA polymerase III (Pol III)
specialized in high-level transcription of short DNA templates. Pol III
recruitment to tRNA genes is controlled by two general initiation factors, TFIIIB
and TFIIIC. They are multi-protein complexes regulated at the level of expression
of individual subunits, as well as through phosphorylation and interaction with
partner proteins. Here, we describe particular aspects of TFIIIB and TFIIIC
control in yeast and human cells. Under stress conditions, tRNA synthesis is
negatively regulated by the MAF1 protein, which interacts directly with Pol III.
Sequence and function of MAF1 are conserved among eukaryotic organisms from yeast
to humans. MAF1 is a phosphoprotein which mediates diverse regulatory signals to
Pol III. Interestingly, there is a subset of housekeeping tRNA genes, both in the
yeast and human genome, which are less sensitive to MAF1-dependent repression.
The possible mechanisms responsible for this differential regulation of tRNA
synthesis by MAF1 are discussed.
PMID- 29378335
TI - Mitochondrial rescue prevents glutathione peroxidase-dependent ferroptosis.
AB - Research into oxidative cell death is producing exciting new mechanisms, such as
ferroptosis, in the neuropathologies of cerebral ischemia and hemorrhagic brain
insults. Ferroptosis is an oxidative form of regulated necrotic cell death
featuring glutathione (GSH) depletion, disrupted glutathione peroxidase-4 (GPX4)
redox defense and detrimental lipid reactive oxygen species (ROS) formation.
Further, our recent findings identified mitochondrial damage in models of
oxidative glutamate toxicity, glutathione peroxidase depletion, and ferroptosis.
Despite knowledge on the signaling pathways of ferroptosis increasing, the
particular role of mitochondrial damage requires more in depth investigation in
order to achieve effective treatment options targeting mitochondria. In the
present study, we applied RSL3 to induce ferroptosis in neuronal HT22 cells and
mouse embryonic fibroblasts. In both cell types, RSL3 mediated concentration
dependent inhibition of GPX4, lipid peroxidation, enhanced mitochondrial
fragmentation, loss of mitochondrial membrane potential, and reduced
mitochondrial respiration. Ferroptosis inhibitors, such as deferoxamine,
ferrostatin-1 and liproxstatin-1, but also CRISPR/Cas9 Bid knockout and the BID
inhibitor BI-6c9 protected against RSL3 toxicity. We found compelling new
information that the mitochondria-targeted ROS scavenger mitoquinone (MitoQ)
preserved mitochondrial integrity and function, and cell viability despite
significant loss of GPX4 expression and associated increases in general lipid
peroxidation after exposure to RSL3. Our data demonstrate that rescuing
mitochondrial integrity and function through the inhibition of BID or by the
mitochondria-targeted ROS scavenger MitoQ serves as a most effective strategy in
the prevention of ferroptosis in different cell types. These findings expose
mitochondria as promising targets for novel therapeutic intervention strategies
in oxidative cell death.
PMID- 29378336
TI - Modulation of the rod outer segment aerobic metabolism diminishes the production
of radicals due to light absorption.
AB - Oxidative stress is a primary risk factor for both inflammatory and degenerative
retinopathies. Our previous data on blue light-irradiated retinas demonstrated an
oxidative stress higher in the rod outer segment (OS) than in the inner limb,
leading to impairment of the rod OS extra-mitochondrial aerobic metabolism. Here
the oxidative metabolism and Reactive Oxygen Intermediates (ROI) production was
evaluated in purified bovine rod OS in function of exposure to different
illumination conditions. A dose response was observed to varying light
intensities and duration in terms of both ROI production and ATP synthesis.
Pretreatment with resveratrol, inhibitor of F1Fo-ATP synthase, or metformin,
inhibitor of the respiratory complex I, significantly diminished the ROI
production. Metformin also diminished the rod OS Complex I activity and reduced
the maximal OS response to light in ATP production. Data show for the first time
the relationship existing in the rod OS between its -aerobic- metabolism, light
absorption, and ROI production. A beneficial effect was exerted by metformin and
resveratrol, in modulating the ROI production in the illuminated rod OS,
suggestive of their beneficial action also in vivo. Data shed new light on
preventative interventions for cone loss secondary to rod damage due to oxidative
stress.
PMID- 29378337
TI - Molecular response to nitrogen starvation by Frankia alni ACN14a revealed by
transcriptomics and functional analysis with a fosmid library in Escherichia
coli.
AB - The transcriptome of Frankia alni strain ACN14a was compared between in vitro
ammonium-replete (N-replete) and ammonium-free dinitrogen-fixing (N-fixing)
conditions using DNA arrays. A Welch-test (p < 0.05) revealed significant
upregulation of 252 genes under N-fixing vs. N-replete (fold-change (FC) >= 2),
as well as significant downregulation of 48 other genes (FC <= 0.5).
Interestingly, there were 104 Frankia genes upregulated in vitro that were also
significantly upregulated in symbiosis with Alnus glutinosa, while the other 148
genes were not, showing that the physiology of in vitro fixation is markedly
different from that under symbiotic conditions. In particular,in vitro fixing
cells were seen to upregulate genes identified as coding for a nitrite reductase,
and amidases that were not upregulated in symbiosis. Confirmatory assays for
nitrite reductase showed that Frankia indeed reduced nitrite and used it as a
nitrogen source. An Escherichia coli fosmid clone carrying the nirB region was
able to grow better in the presence of 5 mM nitrite than without it, confirming
the function of the genome region. The physiological pattern that emerges shows
that Frankia undergoes nitrogen starvation that induces a molecular response
different from that seen in symbiosis.
PMID- 29378332
TI - The histone demethylase Jmjd3 regulates zebrafish myeloid development by
promoting spi1 expression.
AB - The histone demethylase Jmjd3 plays a critical role in cell lineage specification
and differentiation at various stages of development. However, its function
during normal myeloid development remains poorly understood. Here, we carried out
a systematic in vivo screen of epigenetic factors for their function in
hematopoiesis and identified Jmjd3 as a new epigenetic factor that regulates
myelopoiesis in zebrafish. We demonstrated that jmjd3 was essential for zebrafish
primitive and definitive myelopoiesis, knockdown of jmjd3 suppressed the myeloid
commitment and enhanced the erythroid commitment. Only overexpression of spi1 but
not the other myeloid regulators rescued the myeloid development in jmjd3
morphants. Furthermore, preliminary mechanistic studies demonstrated that Jmjd3
could directly bind to the spi1 regulatory region to alleviate the repressive
H3K27me3 modification and activate spi1 expression. Thus, our studies highlight
that Jmjd3 is indispensable for early zebrafish myeloid development by promoting
spi1 expression.
PMID- 29378338
TI - Phenotypic and molecular characterization of Streptococcus agalactiae colonized
in Chinese pregnant women: predominance of ST19/III and ST17/III.
AB - Streptococcus agalactiae (GBS) remains a major cause of invasive infections in
neonates and pregnant women. Our aim was to evaluate the phenotypic and molecular
characteristics of GBS isolates in order to reveal potential relationships among
molecular characteristics and differences in genotype-phenotype characteristics
between ST17 and ST19. A total of 104 GBS isolates were collected from pregnant
women. All isolates were tested for antibiotic susceptibility by disk diffusion
method and molecular characteristics, including antibiotic-resistant genes,
virulence genes, serotypes and STs. The prevalence of GBS colonization in
pregnant women was 4.9%. All isolates were susceptible to penicillin, but a high
prevalence of resistance was observed for tetracycline (76.9%) and erythromycin
(72.1%), with the predominant resistant genes being tet(M), tet(O), erm(B) and
mef (A/E). The most frequent serotypes were III, Ia and V, and the predominant
STs were ST19, ST17, ST12, ST10 and ST651. A potential correlation existed
between STs, serotypes and alp genes, with ST19/III/rib and ST17/III/rib as the
most prevalent clones. Notably, we observed significant differences in phenotypic
and genotypic characteristics between ST17 [levofloxacin-susceptible and tet(O)
positive] and ST19 [levofloxacin-resistant and tet(O)-negative]. Our findings
reveal a high prevalence of ST19/III and ST17/III and significant characteristic
differences between them.
PMID- 29378339
TI - The cfr and cfr-like multiple resistance genes.
AB - The Cfr methyl transferase causes an RNA methylation of the bacterial ribosomes
impeding reduced or abolished binding of many antibiotics acting at the peptidyl
transferase center. It provides multi-resistance to eight classes of antibiotics,
most of which are in clinical and veterinary use. The cfr gene is found in
various bacteria in many geographical locations and placed on plasmids or
associated with transposons. Cfr-related genes providing similar resistance have
been identified in Bacillales, and now also in the pathogens Clostridium
difficile and Enterococcus faecium. In addition, the presence of the cfr gene has
been detected in harbours and food markets.
PMID- 29378340
TI - Regulator DegU is required for multicellular behavior in Lysinibacillus
sphaericus.
AB - DegS and DegU make up a two component system belonging to a class of signal
transduction systems that play important roles in a broad range of bacterial
responses to the environment. However, little study has been done to explore the
physiological functions of DegS-DegU in mosquitocidal Lysinibacillus sphaericus.
In this study, it was found that deletion of degU or degS-degU inhibited the
swarming motility, biofilm formation, sporulation and binary toxin production
through regulating the related genes, and phosphorylation was necessary for the
functions of DegU. Based on the findings, a regulation network mediated by DegU
was delineated. Both DegU-pi and Spo0A-pi positively regulates genes which are
linked with the transition from stage II to the end of the sporulation process
and also influences the production of binary toxins via regulation on sigE. Both
DegU-pi and Spo0A-pi negatively regulate abrB/sinR and influence the biofilm
formation. DegU-pi can positively regulate the motility via the regulation on
sigD. Whether the regulations are directly or indirectly need to be explored.
Moreover, Spo0A-pi may indirectly regulate the swarming motility through
negatively regulating DegU. It was concluded that DegU is a global
transcriptional regulator on cell swarming motility, biofilm formation,
sporulation and virulence in L. sphaericus.
PMID- 29378341
TI - Nagarse treatment of cardiac subsarcolemmal and interfibrillar mitochondria leads
to artefacts in mitochondrial protein quantification.
AB - INTRODUCTION: In the heart, subsarcolemmal (SSM), interfibrillar (IFM) and
perinuclear mitochondria represent three subtypes of mitochondria. The most
commonly used protease during IFM isolation is the nagarse, however, its effect
on the detection of mitochondrial proteins is still unclear. Therefore, we
investigated whether nagarse treatment influences the quantification of
mitochondrial proteins. METHODS: SSM and IFM were isolated from hearts of mice
and rats. During IFM isolation, nagarse activity was either stopped by
centrifugation (common protocol, IFM+N) or inhibited by phenylmethylsulfonyl
fluoride (PMSF, IFM+N+I). The amounts of proteins located in different
mitochondrial compartments (outer membrane: mitofusin 1 (MFN1) and 2 (MFN2);
intermembrane space: p66shc; inner membrane (connexin 43 (Cx43)), and of protein
deglycase DJ-1 were determined by Western blot. RESULTS: MFN2 and Cx43 were found
predominantly in SSM isolated from mouse and rat hearts. MFN1 and p66shc were
present in similar amounts in SSM and IFM+N, whereas the level of DJ-1 was higher
in IFM+N compared to SSM. In IFM+N+I samples from mice, the amount of MFN2, but
not that of Cx43 increased. Nagarse or nagarse inhibition by PMSF had no effect
on oxygen consumption of SSM or IFM. DISCUSSION: Whereas the use of the common
protocol indicates the localization of MFN2 predominantly in SSM, the inhibition
of nagarse by PMSF increases the signal of MFN2 in IFM to that of in SSM,
indicating an underestimation of MFN2 in IFM. Therefore, protease sensitivity
should be considered when assessing distribution of mitochondrial proteins using
nagarse-based isolation.
PMID- 29378342
TI - Cefoxitin-based antibiotic therapy for extended-spectrum beta-lactamase-producing
Enterobacteriaceae prostatitis: a prospective pilot study.
AB - The emergence of extended-spectrum beta-lactamase-producing Enterobacteriaceae
(ESBL-E) infections requires re-assessment of therapeutic choices. Here we report
the efficacy of cefoxitin-based antibiotic therapy for ESBL-E prostatitis. A
prospective study including patients with ESBL-E prostatitis resistant to
trimethoprim/sulfamethoxazole and fluoroquinolones from January 2014 to March
2016 was conducted. Cefoxitin was administered by continuous infusion for 3 weeks
in the case of acute bacterial prostatitis or 6 weeks in the case of chronic
bacterial prostatitis (CBP), with intravenous fosfomycin for the first 5 days.
Urological investigations were performed to diagnose underlying urinary tract
pathology. Clinical and microbiological efficacy were evaluated 3 months (M3) and
6 months (M6) after the end of therapy. A total of 23 patients were included in
the study. The median patient age was 74 years (range 48-88 years). Of the 23
infections, 14 (61%) were CBP and 12 (52%) were healthcare-associated infections.
The bacteria involved were Escherichia coli in 11 cases, Klebsiella pneumoniae in
10 cases and Klebsiella oxytoca in 2 cases. Clinical cure was observed in 19/23
patients (83%) at M3 and in 17/22 patients (77%) at M6. Urocultures were sterile
in 13/23 patients (57%) at M3 and in 9/19 patients (47%) and M6. Urinary
colonisation was observed in 6/19 patients (32%) with clinical cure at M3 and
5/14 patients (36%) with clinical cure at M6. No resistance to cefoxitin was
detected. Surgical treatment was required for 7/23 patients (30%). In conclusion,
cefoxitin-based antibiotic therapy is suitable for difficult-to-treat ESBL-E
infections such as prostatitis.
PMID- 29378343
TI - Anti-Yo-Associated Paraneoplastic Cerebellar Degeneration Manifesting as Acute
Cerebellitis with Posterior Cranial Fossa Hypertension.
AB - BACKGROUND: Paraneoplastic cerebellar degeneration (PCD) is a rare complication
of some malignant cancers. It is most commonly described in women with
gynecologic or breast malignancies; however, there have been reports in other
types of cancers. Symptoms include ataxia, dysarthria, and tremors, which could
be the first manifestations of an underlying malignancy. CASE DESCRIPTION: A 50
year-old woman had an acute PCD with anti-Yo antibodies from an underlying breast
invasive ductal carcinoma. She presented with intracranial hypertension in the
posterior cranial fossa that required an emergent decompressive craniectomy.
CONCLUSIONS: PCD is an uncommon disease that may manifest initially as posterior
cranial fossa hypertension and subsequent acute hydrocephalus owing to diffuse
cerebellar swelling. To our knowledge, this is the first described case of an
anti-Yo PCD that has manifested as acute posterior cranial fossa hypertension
owing to diffuse cerebellar edema. Early diagnosis and treatment should be
pursued to improve long-term outcomes.
PMID- 29378344
TI - Minimally Invasive Approaches for Anterior Skull Base Meningiomas: Supraorbital
Eyebrow, Endoscopic Endonasal, or a Combination of Both? Anatomic Study,
Limitations, and Surgical Application.
AB - BACKGROUND: Minimally invasive accesses to the anterior skull base include the
endoscopic endonasal approach (EEA) and the supraorbital eyebrow approach. These
2 are often seen as competing approaches, not alternative or combinatory
approaches. In this study, we evaluated the anatomic limitations of each approach
and the combined approach for accessing the anterior skull base. METHODS: Ten
neurovascular injected cadaver heads were used for the study. The supraorbital
approach to the anterior skull base was performed on 5 heads, and EEA was done on
the other 5 heads. Then, the supraorbital approach was added to the 5 heads
receiving EEA. Visualization and surgical limitations were recorded by the
ability to perform resection of the crista galli, anterior clinoid, cribriform
plate, and planum sellae. RESULTS: The maximal lateral extension of EEA for
anterior skull base was the midorbit line anteriorly but narrowing down toward
the orbital apex. The limitation of the supraorbital approach was found mostly
medial and anterior. Drilling of anterior skull base was impossible medially
between the sphenoethmoidal suture and the posterior aspect of the crista galli.
The combined approach showed complementary areas of visualization and surgical
maneuverability. Three clinical cases were presented to illustrate the
indications for the stand-alone supraorbital approach, EEA, and combined
approach. CONCLUSION: The limitations of the EEA when dealing with lateral
extension of anterior skull base meningiomas, and the limitations of the
supraorbital eyebrow approach for medial skull base drilling and reconstruction,
can be overcome by a judicious, anatomically based combination of both
approaches.
PMID- 29378346
TI - Making Sense: Robotic Skin That Senses Proprioception, Temperature, and Pressure.
PMID- 29378345
TI - Ectopic Prolactinoma Presenting as Bacterial Meningitis: A Diagnostic Conundrum.
AB - BACKGROUND: Prolactinomas may rarely present with meningitis and cerebrospinal
fluid (CSF) rhinorrhea secondary to erosion of the wall of the sella turcica. It
is even more uncommon for this abnormal communication to be caused by an ectopic
prolactinoma arising from the sphenoid sinus and eroding into the sella. This
atypical growth pattern makes diagnosis very difficult because there may be no
displacement of the normal pituitary gland. The first reported case of a patient
with an ectopic prolactinoma originating within the sphenoid sinus presenting
primarily with meningitis is presented, and the management strategy and surgical
and nonsurgical treatment options are discussed. CASE DESCRIPTION: A 48-year-old
woman presented with confusion, low-pressure headache, and fever. A lumbar
puncture revealed Streptococcus pneumoniae meningitis, and she was placed on
intravenous penicillin G. After initiation of antibiotics, she noticed salty
tasting postnasal fluid leakage. Imaging was remarkable for bony erosion of the
sphenoid sinus wall by a soft tissue mass growing from within the sinus, with no
disruption of the normal pituitary gland. A biopsy was then performed with an
endoscopic transnasal transsphenoidal approach, and the CSF leak was repaired
with a pedicled nasoseptal flap. The final pathology was prolactinoma, and she
was placed on cabergoline. CONCLUSIONS: Ectopic prolactinomas may rarely present
as meningitis secondary to retrograde transmission of bacteria through a bony
defect in the sphenoid sinus, and must be included in the differential diagnosis
of any sphenoid sinus mass. Management should first address the infection,
followed by surgical repair of the bony defect.
PMID- 29378347
TI - Extraventricular Neurocytoma in the Left Frontal Lobe: A Case Report and
Literature Review.
AB - BACKGROUND: Neurocytoma is a rare brain neoplasm of neuroepithelial origin that
occurs predominantly in the ventricular system adjacent to the interventricular
foramen and septum pellucidum. However, extraventricular neurocytoma is an
extremely rare entity, with poor clinical, radiologic, and histopathological
characterization. Here we report a case of an extraventricular parafalcine
neurocytoma in the left frontal lobe. We also examine previously reported cases
of extraventricular neurocytoma in an attempt to provide an up-to-date summary of
the condition. METHODS: A literature search was performed using PubMed with
specific key terms, inclusion criteria, and exclusion criteria. Selected case
studies and case series were then compared, and statistical analyses were
performed where appropriate. We report a 59-year-old woman presenting with
weakness in her right leg and urinary incontinence. Physical examination revealed
muscle strength of grade 3/5 in the right lower extremity. Brain magnetic
resonance imaging showed a parafalcine mass in the left frontal lobe, with
perilesional edema; the cerebral falx and lateral ventricle were shifted due to
the compression. Gross total resection was performed. RESULTS: Histopathological
examination revealed a neurocytoma. Immunohistochemical staining showed diffuse
positivity for synaptophysin. MIB-1 staining for Ki-67 antibody showed a labeling
index of 20%. No adjuvant radiation or chemotherapy was administered. Brain
computed tomography performed at a 3-month follow-up showed no signs of
recurrence. CONCLUSION: Extraventricular neurocytoma occurring in the brain
parenchyma is a very rare central nervous system tumor. Its clinical and
radiologic manifestations are nonspecific. The diagnosis depends on
histopathological and immunohistochemical examination. Surgical resection should
be the first-choice treatment.
PMID- 29378348
TI - Sexual Dysfunction in Unmedicated Patients with Schizophrenia and in Healthy
Controls.
AB - INTRODUCTION: Sexual dysfunction figures prominently in patients with
schizophrenia; however, medication effects may play a role. The objective of this
case control study was to assess differences in the presence of sexual
dysfunction in unmedicated patients with schizophrenia versus healthy controls.
METHODS: Sexual dysfunction was assessed using the Derogatis Inventory for Sexual
Function self-rating in a cross-sectional design controlling for age and gender
effects. A brief sexual anamnesis was applied to describe the psychosocial
background of the mostly male sample further. RESULTS: Results show a significant
difference with patients reporting more problems in most domains and with a
significant correlation between severity of psychosis (Positive and Negative
Syndrome Scale total scores) and the impairment of orgasm experience. The study
revealed reduced sexual activities and less pleasure during sexual activities of
patients. DISCUSSION: This study implies that schizophrenia has an impact on the
presence of sexual dysfunction and that sexual dysfunction is partly independent
of antipsychotic treatment. Since the sample consisted mostly of first-episode
males, conclusions might only be valid for this subgroup.
PMID- 29378349
TI - [Intrathecal Nursinersen Therapy in Children with Spinal Muscular Atrophy and
Spinal Deformities].
PMID- 29378350
TI - [Unexpected consequences of standard ENT therapy].
PMID- 29378351
TI - [Optimized Transition from Inpatient Stroke Rehabilitation to Home Care - Current
Practice and Analysis of Factors Influencing Transition].
AB - OBJECTIVE: To identify factors influencing the current transition practice and to
generate aspects to improve transition. METHODS: Expert interviews and group
discussions with health care professionals; a scoping review and a standardized
interview with stroke patients 6 weeks after discharge via telephone. RESULTS: 14
expert interviews and 3 group discussions (n=18) were conducted. Factors
influencing transition at home were communication of professionals between and
within settings, social support and role behavior of stroke patients. The
interviews (n=110) revealed realization of recommendations towards consultations
of medical specialists of 37%, and of outpatient therapies up to 86%. The scoping
review included 7 systematic reviews, 21 randomised trials and 5 controlled
trials to patient education, information and counselling, Early Supported
Discharge models, stroke liaison services, team conferences and integrated care
pathways. CONCLUSION: A structured approach is needed which has to consider the
complexity of the transition process.
PMID- 29378353
TI - Contact System Activation and Cancer: New Insights in the Pathophysiology of
Cancer-Associated Thrombosis.
AB - Cancer induces a systemic hypercoagulable state that elevates the baseline
thrombotic risk of affected patients. This hypercoagulable state reflects a
complex interplay between cancer cells and host cells and the coagulation system
as part of the host response to cancer. Although the tissue factor (TF)/factor
VIIa pathway is proposed to be the principal initiator of fibrin formation in
cancer patients, clinical studies have not shown a consistent relationship
between circulating TF levels (often measured as plasma microvesicle-associated
TF) and the risk of thrombosis. A renewed interest in the role of the contact
pathway in thrombosis has evolved over the past decade, raising the question of
its role in the pathogenesis of thrombotic complications in cancer. Recent
observations have documented the presence of activation of the contact system in
gastrointestinal, lung, breast and prostate cancers. Although the assays used to
measure contact activation differ, and despite the absence of standardization of
methodologies, it is clear that both the intrinsic and extrinsic pathways may be
activated in cancer. This review will focus on recent findings concerning the
role of activation of the contact system in cancer-associated hypercoagulability
and thrombosis. An improved understanding of the pathophysiology of these
mechanisms may lead to personalized antithrombotic protocols with improved
efficacy and safety compared with currently available therapies.
PMID- 29378354
TI - Urban Particulate Matter Induces Changes in Gene Expression in Vascular
Endothelial Cells that Are Associated with Altered Clot Structure In Vitro.
AB - BACKGROUND: Particulate matter contained in ambient air pollution has been
associated with cardiovascular diseases in several epidemiological studies.
OBJECTIVE: The aim of this study was to investigate the potential for urban
particulate matter to induce changes in clot structure through interaction with
vascular endothelial cells. METHODS: We examined the structure of clots formed
on human umbilical vascular endothelial cells that had been treated with various
types of particles versus those formed on untreated cells. Particles used were
standard reference particulate matter from diesel engine emissions (SRM2975) and
urban ambient collection (SRM2787). RESULTS: There was a dose-dependent increase
in fibre density in clots formed on particle-treated endothelial cells. It was
also found that exposure to the particles induced increased expression of tissue
factor and reduced expression of thrombomodulin genes as measured by real-time
polymerase chain reaction and increased expression of von Willebrand factor and
plasminogen activation inhibitor-1 as measured by ELISA. CONCLUSION: These
changes are consistent with increased procoagulant activity of air pollution
particulate matter-treated endothelial cells and suggest that particulate matter
has the potential to promote clot formation through changes induced in
endothelial genes controlling clot formation.
PMID- 29378356
TI - A Mechanistic Model to Quantify von Willebrand Factor Release, Survival and
Proteolysis in Patients with von Willebrand Disease.
AB - A reduced von Willebrand factor (VWF) synthesis or survival, or its increased
proteolysis, alone or in combination, contributes to the development of von
Willebrand disease (VWD).We describe a new, simple mechanistic model for
exploring how VWF behaves in well-defined forms of VWD after its 1-desamino-8-D
arginine vasopressin (DDAVP)-induced release from endothelial cells. We aimed to
ascertain whether the model can consistently predict VWF kinetic changes. The
study involved 9 patients with VWD types Vicenza (a paradigmatic form with a
reduced VWF survival), 8 type 2B, 2 type 2A-I, 1 type 2A-II (associated with an
increased VWF proteolysis), and 42 normal controls, whose VWF levels were
measured after a 24-hour-long DDAVP test. The rate constants considered were: k0,
associated with the VWF release phase; k1, illustrating the phase of conversion
from high- to low-molecular-weight VWF multimers; and ke, associated with the VWF
elimination phase. The amount of VWF released (D) was also measured. ke and D
were significantly higher in O than in non-O blood group controls; k1 was also
higher, but less markedly so. All the parameters were accelerated in type
Vicenza, especially ke (p < 0.0001), which explains the significant reduction in
VWF half-life. In types 2B and 2A-II, k1 was one order of magnitude higher than
in controls, which explains their loss of large VWF multimers. All parameters
except ke were lower in type 2A-I.The proposed mechanistic model clearly
describes the altered biochemical pathways in well-characterized VWD, prompting
us to suggest that it might help clarify elusive forms of VWD too.
PMID- 29378355
TI - A Genome-wide Study of Common and Rare Genetic Variants Associated with
Circulating Thrombin Activatable Fibrinolysis Inhibitor.
AB - Thrombin-activatable fibrinolysis inhibitor (TAFI) plays a central role in
haemostasis, and plasma TAFI concentrations are heritable. Candidate gene studies
have identified several variants within the gene encoding TAFI, CPB2, that
explain part of the estimated heritability. Here, we describe an exploratory
genome-wide association study to identify novel variants within and outside of
the CPB2 locus that influence plasma concentrations of intact TAFI and/or the
extent of TAFI activation (measured by released TAFI activation peptide, TAFI-AP)
amongst 3,260 subjects from Southern Sweden. We also explored the role of rare
variants on the HumanExome BeadChip. We confirmed the association with previously
reported common variants in CPB2 for both intact TAFI and TAFI-AP, and discovered
novel associations with variants in putative CPB2 enhancers. We identified a gene
based association with intact TAFI at CPB2 (PSKAT-O = 2.8 * 10-8), driven by two
novel rare nonsynonymous single nucleotide polymorphisms (SNPs; I420N and D177G).
Carriers of the rare variant of D177G (rs140446990; MAF 0.2%) had lower intact
TAFI and TAFI-AP concentrations compared with non-carriers (intact TAFI,
geometric mean 53 vs. 78%, PT-test = 5 * 10-7; TAFI-AP 63 vs. 99%, PT-test = 7.2
* 10-4). For TAFI-AP, we identified a genome-wide significant association at an
intergenic region of chromosome 3p14.1 and five gene-based associations (all
PSKAT-O < 5 * 10-6). Using well-characterized assays together with a genome-wide
association study and a rare-variant approach, we verified CPB2 to be the primary
determinant of TAFI concentrations and identified putative secondary loci
(candidate variants and genes) associated with intact TAFI and TAFI-AP that
require independent validation.
PMID- 29378357
TI - Predictors of Post-Thrombotic Ulcer after Acute DVT: The RIETE Registry.
AB - In patients with deep-vein thrombosis (DVT) in the lower limbs, venous ulcer is
the most debilitating and end-stage clinical expression of the post-thrombotic
syndrome (PTS). To date, risk factors for PTS-related ulcer in DVT patients have
not been identified.We used the international observational RIETE registry to
assess the evolution of PTS signs and symptoms during a 3-year follow-up period
and to identify independent predictors of PTS ulcer at 1 year in patients with
acute DVT.Among 1,866 eligible patients, cumulative rates of PTS ulcer at 1, 2
and 3 years were 2.7% (n = 50), 4.3% (n = 54) and 7.1% (n = 60), respectively.
The proportion of patients with PTS symptoms at 1, 2 or 3 years remained stable
(~40%), while the proportion of patients with PTS signs increased slightly over
time (from 49 to 53%). Prior history of venous thromboembolism (VTE) (odds ratio
[OR] = 5.5 [2.8-10.9]), diabetes (OR = 2.3 [1.1-4.7]), pre-existing leg
varicosities (OR = 3.2 [1.7-6.1]) and male sex (OR = 2.5 [1.3-5.1]) independently
increased the risk of PTS ulcer at 1 year. Obesity also increased the risk but
failed to reach statistical significance (OR = 1.8 [0.9-3.3]). DVT treatment
characteristics (duration or drug) did not influence the risk.Our results
evidence that after acute DVT, pre-existing leg varicosities, prior venous
thromboembolism, diabetes and male gender independently increased the risk for
PTS ulcer. This suggests that clinicians should consider strategies aimed to
prevent ulcers in high-risk DVT patients, such as preventing VTE recurrence, use
of stockings in those with pre-existing venous insufficiency, careful monitoring
of diabetic patients and encouraging weight loss in obese patients.
PMID- 29378358
TI - Chemical Footprinting Reveals Conformational Changes Following Activation of
Factor XI.
AB - Coagulation factor XI is activated by thrombin or factor XIIa resulting in a
conformational change that converts the catalytic domain into its active form and
exposing exosites for factor IX on the apple domains. Although crystal structures
of the zymogen factor XI and the catalytic domain of the protease are available,
the structure of the apple domains and hence the interactions with the catalytic
domain in factor XIa are unknown. We now used chemical footprinting to identify
lysine residue containing regions that undergo a conformational change following
activation of factor XI. To this end, we employed tandem mass tag in conjunction
with mass spectrometry. Fifty-two unique peptides were identified, covering 37 of
the 41 lysine residues present in factor XI. Two identified lysine residues that
showed altered flexibility upon activation were mutated to study their
contribution in factor XI stability or enzymatic activity. Lys357, part of the
connecting loop between A4 and the catalytic domain, was more reactive in factor
XIa but mutation of this lysine residue did not impact on factor XIa activity.
Lys516 and its possible interactor Glu380 are located in the catalytic domain and
are covered by the activation loop of factor XIa. Mutating Glu380 enhanced Arg369
cleavage and thrombin generation in plasma. In conclusion, we have identified
novel regions that undergo a conformational change following activation. This
information improves knowledge about factor XI and will contribute to development
of novel inhibitors or activators for this coagulation protein.
PMID- 29378359
TI - Dimeric Glycoprotein VI Binds to Collagen but Not to Fibrin.
AB - Platelet glycoprotein VI (GPVI) acts as a decisive collagen receptor in
atherothrombosis. Besides collagen, injured atherosclerotic plaques expose tissue
factor (TF) that triggers fibrin formation. Two recent studies reported that
platelet GPVI also functions as fibrin receptor, which would importantly widen
the mode of action of GPVI-targeted antithrombotic drugs. We studied the binding
of two GPVI fusion proteins to fibrin under static and arterial flow conditions.
Fibrin was prepared from purified fibrinogen or generated more physiologically
from endogenous fibrinogen by coagulating plasma with thrombin. Fibrin formation
was also triggered by exposing TF-coated surfaces or human atherosclerotic plaque
slices to arterially flowing blood. By binding studies and advanced optical
imaging, we found that recombinant dimeric GPVI-Fc fusion proteins with Fc from
either IgG1 (GPVI-Fc1) or IgG2 (GPVI-Fc2) bound to collagen fibres, but neither
to fibrin prepared from purified fibrinogen obtained from three suppliers, nor to
physiological fibrin formed by thrombin in plasma or triggered by exposing TF or
atherosclerotic plaque slices to arterially flowing blood. Our findings do not
support a role of dimeric platelet GPVI as receptor for fibrin. This is important
for the understanding of plaque-triggered platelet thrombus formation and is
clinically relevant for future GPVI-targeting therapies with recombinant GPVI-Fc
and anti-GPVI antibodies.
PMID- 29378360
TI - Activated Factor X-Based versus Thrombin-Based Antithrombin Testing in
Thrombophilia Workup in the DOAC Era.
AB - Antithrombin (AT) activity tests are used for diagnosing hereditary AT
deficiency, a main genetic determinant of thrombophilia. They are either based on
inhibition of thrombin (FIIa) or activated factor X (FXa). FXa-based assays have
been suggested to be preferable to FIIa-based assays due to their higher
sensitivity for certain AT deficiency causing mutations. To assess the
performance of these two methods in a real-world scenario, 745 consecutively
collected samples from patients referred to our institute during a 3-month period
for thrombophilia testing were analysed. In samples from patients not receiving
direct-acting oral anticoagulants or heparins (n = 485), both methods showed good
agreement (r = 0.874, Bland-Altman limits of agreement 6.57%, -15.76%). While
similar results were obtained in patients receiving low-molecular-weight heparin
(LMWH, n = 76, r = 0.891, 4.09%, -14.35%), the agreement was lower in patients
receiving rivaroxaban (n = 86, r = 0.570, 5.97%, -49.43%) and apixaban (n = 72, r
= 0.735, 3.77%, -42.45%). Direct FXa inhibitors but not LMWH increased FXa-based
assay results in a dose-dependent manner, while the FIIa-based test was
unaffected. Both assay types were equally successful in detecting hereditary AT
deficiency in our study population, as samples from 9 out of 10 patients with AT
deficiency causing mutations were detected by each method. These data suggest
that FXa-based AT testing can be preferred over FIIa-based methods only in the
absence of direct FXa inhibitors. In patients receiving direct FXa inhibitors, AT
activity testing should be performed using FIIa-based assays.
PMID- 29378352
TI - Atherothrombosis and Thromboembolism: Position Paper from the Second Maastricht
Consensus Conference on Thrombosis.
AB - Atherothrombosis is a leading cause of cardiovascular mortality and long-term
morbidity. Platelets and coagulation proteases, interacting with circulating
cells and in different vascular beds, modify several complex pathologies
including atherosclerosis. In the second Maastricht Consensus Conference on
Thrombosis, this theme was addressed by diverse scientists from bench to bedside.
All presentations were discussed with audience members and the results of these
discussions were incorporated in the final document that presents a state-of-the
art reflection of expert opinions and consensus recommendations regarding the
following five topics: 1. Risk factors, biomarkers and plaque instability: In
atherothrombosis research, more focus on the contribution of specific risk
factors like ectopic fat needs to be considered; definitions of atherothrombosis
are important distinguishing different phases of disease, including plaque
(in)stability; proteomic and metabolomics data are to be added to genetic
information. 2. Circulating cells including platelets and atherothrombosis:
Mechanisms of leukocyte and macrophage plasticity, migration, and transformation
in murine atherosclerosis need to be considered; disease mechanism-based
biomarkers need to be identified; experimental systems are needed that
incorporate whole-blood flow to understand how red blood cells influence thrombus
formation and stability; knowledge on platelet heterogeneity and priming
conditions needs to be translated toward the in vivo situation. 3. Coagulation
proteases, fibrin(ogen) and thrombus formation: The role of factor (F) XI in
thrombosis including the lower margins of this factor related to safe and
effective antithrombotic therapy needs to be established; FXI is a key regulator
in linking platelets, thrombin generation, and inflammatory mechanisms in a renin
angiotensin dependent manner; however, the impact on thrombin-dependent PAR
signaling needs further study; the fundamental mechanisms in FXIII biology and
biochemistry and its impact on thrombus biophysical characteristics need to be
explored; the interactions of red cells and fibrin formation and its consequences
for thrombus formation and lysis need to be addressed. Platelet-fibrin
interactions are pivotal determinants of clot formation and stability with
potential therapeutic consequences. 4. Preventive and acute treatment of
atherothrombosis and arterial embolism; novel ways and tailoring? The role of
protease-activated receptor (PAR)-4 vis a vis PAR-1 as target for antithrombotic
therapy merits study; ongoing trials on platelet function test-based antiplatelet
therapy adjustment support development of practically feasible tests; risk scores
for patients with atrial fibrillation need refinement, taking new biomarkers
including coagulation into account; risk scores that consider organ system
differences in bleeding may have added value; all forms of oral anticoagulant
treatment require better organization, including education and emergency access;
laboratory testing still needs rapidly available sensitive tests with short
turnaround time. 5. Pleiotropy of coagulation proteases, thrombus resolution and
ischaemia-reperfusion: Biobanks specifically for thrombus storage and analysis
are needed; further studies on novel modified activated protein C-based agents
are required including its cytoprotective properties; new avenues for optimizing
treatment of patients with ischaemic stroke are needed, also including novel
agents that modify fibrinolytic activity (aimed at plasminogen activator
inhibitor-1 and thrombin activatable fibrinolysis inhibitor.
PMID- 29378361
TI - Assessment of Thrombotic Risk in Atrial Fibrillation with Ultrasound Molecular
Imaging of P-Selectin.
AB - Molecular imaging of inflammatory mediators in atria may contribute to thrombotic
risk assessment of atrial fibrillation (AF). We investigated the feasibility of
ultrasound molecular imaging (UMI) targeted to P-selectin to assess thrombotic
risk in AF. Rat AF models were established with rapid atrial pacing. Microbubbles
targeted to P-selectin were injected into the rats, followed by left atrial (LA)
UMI examination. Furthermore, P-selectin, platelets (PLTs), fibrin and tissue
factor (TF) of LA were detected by histopathology and scanning electron
microscopy. Plasma levels of P-selectin, thrombin-antithrombin complex (TAT) and
prothrombin fragment 1 + 2 (F1 + 2) were measured by enzyme-linked immunosorbent
assay. The data showed that P-selectin in LA was correlated with PLT, fibrin and
TF (r = 0.735, p < 0.05; r = 0.827, p < 0.05; r = 0.785, p < 0.05, respectively).
The plasma level of P-selectin was correlated with the expression of TAT and F1 +
2 (r = 0.866, p < 0.05; r = 0.916, p < 0.05, respectively). The contrast video
intensity of adhered microbubbles targeted to P-selectin was correlated with the
levels of P-selectin, PLT and fibrin in LA (r = 0.768, p < 0.05; r = 0.798, p <
0.05; r = 0.745, p < 0.05, respectively). In conclusion, P-selectin may serve as
a biomarker for thrombotic risk in AF and can be quantified by UMI to assess
thrombotic risk.
PMID- 29378362
TI - Inhibitory Effects of an Orally Active Thromboxane A2 Receptor Antagonist,
nstpbp5185, on Atherosclerosis in ApoE-Deficient Mice.
AB - Thromboxane A2 (TXA2) activation of TP receptor has been shown contributing to
the progression and acute complications of atherosclerosis including endothelial
dysfunction, platelet hyperactivity and inflammation. Growing evidence suggests
that TP receptor may represent as a therapeutic target in atherosclerosis and
related cardiovascular diseases. We investigated whether nstpbp5185, an orally
active TP receptor antagonist, exhibits protective effects against
atherosclerotic progression. Nstpbp5185 and aspirin were orally administered
daily for 12 weeks in high-cholesterol-fed ApoE-deficient mice to examine their
anti-atherosclerosis effects. Total cholesterol, low-density lipoprotein
cholesterol and triglycerides were slightly decreased in nstpbp5185-treated mice.
However, nstpbp5185 significantly reduced neointima formation and aortic
atherosclerotic lesion area. Nstpbp5185 increased serum paraoxonase 1 activity.
In contrast, plasma levels of interleukin-6 and tumour necrosis factor-alpha were
reduced in nstpbp5185-treated mice. Plasma level of TXA2 metabolite, TXB2, was
lower in both aspirin- and nstpbp5185-treated mice, while the urinary 2,3-dinor-6
keto PGF1alpha (a PGI2 metabolite) and plasma iPF2alpha-III were not altered.
Moreover, nstpbp5185 neither caused gastric ulceration nor affected the
haemostatic response. Nstpbp5185 also inhibited U46619-induced endothelial NF-kB
activation, ICAM-1 and VCAM-1 expression, as well as monocyte adhesion to
endothelial cells. In conclusion, nstpbp5185 may represent as an ideal, safe and
efficacious agent for preventing atherosclerotic progression through its
antiplatelet, anti-inflammatory and antioxidative activities.
PMID- 29378363
TI - Severe Wound Healing Impairment in a Patient with Dysfibrinogenaemia.
PMID- 29378364
TI - External Validation of the WILL-BLEED Risk Score.
PMID- 29378365
TI - Video Comment on Emo E. van Halsema et al.
PMID- 29378366
TI - Video Comment on Irina Gessl et al.
PMID- 29378367
TI - Video Comment on Yu Bai et al.
PMID- 29378368
TI - Video Comment on Stefan K. Golder et al.
PMID- 29378369
TI - Video Comment on Yasushi Yamasaki et al.
PMID- 29378370
TI - Why don't endoscopists follow guideline recommendations and how can we improve
adherence?
PMID- 29378371
TI - Treatment of Zenker's diverticulum: different tastes all leading to the same
flavor?
PMID- 29378372
TI - Double incision and snare resection in symptomatic Zenker's diverticulum: a
modification of the stag-beetle knife technique.
PMID- 29378373
TI - Differences in endoscopic techniques for symptomatic Zenker's diverticulum.
PMID- 29378374
TI - [French comment on article Stent placement for benign esophageal leaks,
perforations, and fistulae: a clinical prediction rule for successful leakage
control].
PMID- 29378375
TI - [French comment on article Surveillance colonoscopy in Austria: Are we following
the guidelines?]
PMID- 29378376
TI - [French comment on article Impact of preprocedure simethicone on adenoma
detection rate during colonoscopy: a multicenter, endoscopist-blinded randomized
controlled trial].
PMID- 29378377
TI - Anti-Mullerian Hormone and Inhibin B Levels in Obese Boys; Relations with
Cardiovascular Risk Factors.
AB - OBJECTIVE: Obesity may reduce sertoli cell functions in men. The aim of the study
was to investigate antimullerian hormone (AMH) and inhibin B levels (sertoli cell
markers) in obese boys and their relations to cardiovascular risk factors such as
insulin sensitivity index, aortic intima media thickness (aIMT) and high
sensitive c-reactive protein (hsCRP). PATIENTS, METHODS: 121 obese and 38 healthy
lean adolescents were included in the study. Serum AMH, inhibin B, gonadotropins,
total testosterone, lipids, hsCRP, glucose and insulin levels were detected and
analyzed. Insulin resistance was analyzed using the homeostasis model assessment
(HOMA-IR). aIMT was measured by high-resolution B-mode ultrasonography. RESULTS:
Serum AMH, inhibin B and total testosterone levels were lower in the obese
adolescents (p=0.01, p=0.009 and p=0.002, respectively). aIMT measurements
(p<0.001, 0.63+/-0.09 and 0.47+/-0.06 mm, respectively) and hsCRP levels
(p<0.001, 2.5+/-0.4 and 0.66+/-0.69 mg/L, respectively) were significantly
increased in the obese group. Obese with IR group had decreased AMH levels
(p=0.02, 53.0+/-20.5 and 66.7+/-19.5 ng/mL, respectively) and increased
triglycerides, HOMA-IR, aIMT measurements than non-IR obese group. AMH levels
were correlated negatively with body mass index (r:-0.108, p=0.03), HOMA-IR (r:
0.358, p=0.003) and fasting insulin levels (r:-0.389, p=0.001) in obese group
with IR. CONCLUSION: We found that concentrations of both sertoli cell markers
(AMH and inhibin B) were significantly lower in obese pubertal boys especially in
obese with IR. Obesity and IR might be important factors for the sertoli cell
impairment in pubertal boys.
PMID- 29378378
TI - The Variation of Disulfides in the Progression of Type 2 Diabetes Mellitus.
AB - AIM: The purpose of this study was to examine thiol-disulfide balance in patients
with type 2 diabetes mellitus. METHODS: This study included 32 subjects with
known type 2 diabetes mellitus without complications, 30 patients with type 2
diabetes mellitus with complications, 28 newly diagnosed patients with type 2
diabetes mellitus, and 45 healthy individuals. Thiol-disulfide profile tests were
quantified in all groups. RESULTS: Compared to the control group, patients in
each of the diabetic groups had significantly lower native and total thiol
levels, higher disulfide levels, and higher disulfide/native thiol and
disulfide/total thiol ratios (p<0.05 for all). Disulfide levels were
significantly lower in the newly diagnosed group than in other diabetic groups
(p<0.05). There were significant associations between glycemic parameters and
thiol-disulfide tests (p<0.05). CONCLUSIONS: A disequilibrium between thiol
disulfide pairs occurs in patients with type 2 diabetes mellitus, and a gradual
increase to disulfide levels may contribute to the disease's severity.
Deteriorated thiol-disulfide homeostasis may be relevant to the pathophysiology
of type 2 diabetes mellitus.
PMID- 29378379
TI - [Biofabrication: new approaches for tissue regeneration].
AB - BACKGROUND: The advent of Tissue Engineering (TE) in the early 1990ies was
fostered by the increasing need for functional tissue and organ replacement.
Classical TE was based on the combination of carrier matrices, cells and growth
factors to reconstitute lost or damaged tissue and organs. Despite considerable
results in vitro and in experimental settings the lack of early vascularization
has hampered its translation into daily clinical practice so far. A new field of
research, called "biofabrication" utilizing latest 3D printing technologies aims
at hierarchically and spatially incorporating different cells, biomaterials and
molecules into a matrix to alleviate a directed maturation of artificial tissue.
MATERIALS AND METHODS: A literature research of the relevant publications
regarding biofabrication and bioprinting was performed using the PubMed data
base. Relevant papers were selected and evaluated with secondary analysis of
specific citations on the bioprinting techniques. RESULTS: 180 relevant papers
containing the key words were identified and evaluated. Basic principles into the
developing field of bioprinting technology could be discerned. Key elements
comprise the high-throughput assembly of cells and the fabrication of complex and
functional hierarchically organized tissue constructs. Five relevant
technological principles for bioprinting were identified, such as
stereolithography, extrusion-based printing, laser-assisted printing, inkjet
based printing and nano-bioprinting. The different technical methods of 3D
printing were found to be associated with various positive but also negative
effects on cells and proteins during the printing process. Research efforts in
this field obviously aim towards the development of optimizing the so called
bioinks and the printing technologies. CONCLUSION: This review details the
evolution of the classical methods of TE in Regenerative Medicine into the
evolving field of biofabrication by bioprinting. The advantages of 3D bioprinting
over traditional tissue engineering techniques are based on the assembling of
cells, biomaterials and biomolecules in a spatially controlled manner to
reproduce native tissue macro-, micro- and nanoarchitectures, that can be
utilized not only to potentially produce functional replacement tissues or organs
but also to serve as new models for basic research. Mimicking the stromal
microenvironment of tumor cells to study the process of tumor formation and
progression, metastasis, angiogenesis and modulation of the associated processes
is one of these applications under research. To this end a close collaboration of
specialists from the fields of engineering, biomaterial science, cell biology and
reconstructive microsurgery will be necessary to develop future strategies that
can overcome current limitations of tissue generation.
PMID- 29378380
TI - Intra-Arterial Thrombolytic Therapy Is Not a Therapeutic Option for Filler
Related Central Retinal Artery Occlusion.
AB - Cosmetic facial filler-related central retinal artery occlusion (CRAO) is a
devastating complication of facial hyaluronic acid (HA) injection and can be
managed by intra-arterial thrombolytic therapy (IATT). The authors report on a 20
year-old woman who developed unilateral CRAO due to facial HA injection and who,
despite prompt IATT, lost vision. A review of the related literature found 14
other female patients who developed cosmetic facial filler-related CRAO and
accepted IATT management. In no case was vision loss clinically improved. IATT is
not an effective preventive treatment of dermal filler-associated CRAO. The
authors suggest careful preprocedural patient selection to prevent this
complication.
PMID- 29378381
TI - [Antimicrobial activity of ceftolozane/tazobactam against Enterobacteriaceae and
Pseudomonas aeruginosa in the Czech Republic in 2016].
AB - OBJECTIVE: Ceftolozane/tazobactam is an antibiotic effective against Gram
negative bacteria(including Pseudomonas aeruginosa).The study aimed at
determining the effectiveness of the novel antibiotic in the Czech Republic.
MATERIAL AND METHODS: The effectiveness of the antibiotic was studied in 16 Czech
laboratories in 822 Enterobacteriaceae isolates (including AmpC and ESBL
producers) and P. aeruginosa causing complicated intraabdominal or urinary tract
infections. Minimum inhibitory concentrations were determined using the Etest.
With the exception of Citrobacter freundii and Enterobacter cloacae,
ceftozolane/tazobactam proved to be very effective against Enterobacteriaceae; no
P. aeruginosa strain was resistant to the antibiotic. CONCLUSION: The results
confirmed good activity of ceftozolane/tazobactam in vitro against
Enterobacteriaceae (Escherichia coli, Klebsiella pneumoniae, Klebsiella oxytoca,
Proteus mirabilis) and P. aeruginosa in the Czech Republic.
PMID- 29378382
TI - [First experience with elbasvir/grazoprevir fixed-dose combination in real-life
practice in the Czech Republic].
AB - Hepatitis C virus infection (HCV) is one of the leading causes of chronic liver
disease worldwide. The new fixed-dose combination of the highly potent second
wave first generation NS5A inhibitor elbasvir (50 mg) and the second generation
protease inhibitor grazoprevir (100 mg) is contained in the drug Zepatier. This
combination is indicated for the treatment of patients chronically infected with
HCV genotypes 1 or 4. Between June and August 2017, the treatment was initiated
in 22 patients with chronic viral hepatitis C, with 17 patients being treated in
the Department of Infectious Diseases University Hospital Brno and five patients
in the Center of Cardiovascular and Transplant Surgery in Brno. All patients were
infected with HCV subtype 1b. In all cases, the duration of Zepatier monotherapy
(without simultaneous ribavirin administration) was 12 weeks. At the moment, only
preliminary results are available. All 22 patients achieved end-of-treatment
virologic response. In nine patients, it was already possible to evaluate the
virologic response at four weeks after the end of treatment, with sustained
virological response (SVR12) was observed in all these patients. The most common
complaints were fatigue (3 patients, 14 %) and headache (2.9 %). These problems
were not serious and did not interfere with normal daily activities of treated
persons.
PMID- 29378383
TI - [Concurrent malaria and rickettsiosis in a patient returning from the Republic of
South Africa].
AB - Definitive diagnosis and therapy proved challenging in the case of a 60-year-old
male with malaria and rickettsiosis. Returning travellers who are unwell can
present practical difficulties in diagnosis and treatment and the focus here is
on conditions relevant to the Republic of South Africa. Malaria, rickettsiosis
and Q fever are discussed.
PMID- 29378384
TI - [Diagnosis and therapy of hepatitis B virus infection: Czech national
guidelines].
AB - The new recommendations reflect the increase in knowledge that has been reported
since the release of previous Czech guidelines in September 2014. The basis for
these guidelines were the European Association for the Study of the Liver
guidelines from April 2017. According to qualified estimates, there are 240
million people with chronic hepatitis B (HBV) infection worldwide. The Czech
Republic is among the countries with a low prevalence of HBV infection. According
to the latest seroprevalence study, 0.56 % of the Czech citizens were chronically
infected with HBV in 2001. A similar study conducted in only two regions of the
Czech Republic in 2013 showed a prevalence of only 0.064 %. HBV infection can
lead to serious life-threatening liver damage - fulminant hepatitis, liver
cirrhosis and hepatocellular carcinoma (HCC). The main goals of treatment are to
prolong the length of life and improve its quality by preventing the progression
of chronic hepatitis to cirrhosis, cirrhosis decompensation and development of
HCC. The goals may be achieved if HBV replication is suppressed in a sustained
manner. Additional goals are prevention of vertical transmission from mother to
newborn, inhibition of HBV reactivation and therapy of HBV-related extrahepatic
manifestations. Generally, there are two different strategies of chronic
hepatitis B therapy available - treatment with nucleoside or nucleotide
inhibitors (NIs) or with pegylated interferon alfa. Currently, the vast majority
of Czech and European patients are treated with NIs. The NIs that have been
approved for HBV treatment in the European Union include lamivudine, adefovir
dipivoxil, entecavir (ETV), telbivudin (TBV), tenofovir disoproxil fumarate (TDF)
and tenofovir alafenamide (TAF). TAF and TBV have not yet been marketed in the
Czech Republic. The main advantages of treatment with potent NIs with a high
barrier to resistance (ETV, TDF, TAF) are their predictable high long-term
antiviral efficacy leading to undetectable HBV DNA levels in the vast majority of
compliant patients as well as their favorable safety profiles. These drugs can be
used in any HBV infected patient and represent the only treatment option for
patients with decompensated liver cirrhosis, liver transplants, extrahepatic HBV
related manifestations, severe acute hepatitis B or chronic HBV reactivation.
PMID- 29378385
TI - Novel FOXL2 mutations cause blepharophimosis-ptosis-epicanthus inversus syndrome
with premature ovarian insufficiency.
AB - BACKGROUND: Blepharophimosis-ptosis-epicanthus inversus syndrome (BPES) is a
malformation of the eyelids. Forkhead Box L2 (FOXL2) is the only gene known to be
associated with BPES. METHODS: We identified two Han Chinese BPES families with
premature ovarian insufficiency (POI). Sanger sequencing and in vitro functional
analysis were performed to identify the genetic cause. RESULTS: Sanger sequencing
identified two novel mutations (c.462_468del, c.988_989insG) in FOXL2, one in
each family. The in vitro functional analysis confirmed that both novel mutations
were associated with impaired transactivation of downstream genes. Specifically,
the single-base insertion, c.988_989insG, led to subcellular mislocalization and
aggregation of the encoded protein, which validated the hypothesis that the two
novel FOXL2 mutations are deleterious and associated with POI in the two BPES
families. CONCLUSION: The novel mutations identified in the present study will
enhance the present knowledge of the mutation spectrum of FOXL2. The in vitro
experiments provide further insights into the molecular mechanism by which the
two new variants mediate disease pathogenesis and may contribute to elucidating
the genotype-phenotype correlation between the two novel FOXL2 mutations and POI.
PMID- 29378386
TI - Stachydrine ameliorates carbon tetrachloride-induced hepatic fibrosis by
inhibiting inflammation, oxidative stress and regulating MMPs/TIMPs system in
rats.
AB - Inflammation and oxidative stress are two crucial factors mediating liver
fibrosis. Stachydrine (STA) is a naturally occurring compound extracted from a
medicinal plant Leonuru heterophyllus, which can inhibit the proliferation and
induce the apoptosis of breast cancer cells, relieve high glucose-induced
endothelial cell senescence and isoproterenol-induced cardiac hypertrophy, and
exert antitumor effects. However, its roles in hepatic fibrosis remain largely
unknown. We aimed to evaluate the effect of STA on carbon tetrachloride (CCl4)
induced hepatic fibrosis in rats and to elucidate the possible mechanisms. STA
alleviated the pathological changes caused by CCl4 injection in livers compared
to the normal liver. Hematoxylin-eosin staining further showed that STA treatment
remarkably improved the liver histology, as evidenced by mitigated hepatic
steatosis, necrosis, and fibrotic septa. STA reduced the liver/body weight ratio
and the serum levels of aminotransferase, aspartate aminotransferase and alkaline
phosphatase. It also significantly decreased collagen deposition and
hydroxyproline level. Both mRNA and protein levels of alpha-SMA, alpha1(I)
procollagen and fibronectin were decreased by STA compared to those of the model
group. STA significantly inhibited the expressions of inflammatory factors
interleukin-6 (IL-6), IL-8, IL-1beta, tumor necrosis factor-alpha, inducible
nitric oxide synthase and cyclooxygenase-2. It suppressed oxidative stress by
decreasing malondialdehyde level as well as increasing glutathione level and
enzymatic activities of superoxide dismutase, catalase, glutathione reductase and
glutathione peroxidase. STA also significantly increased the protein expressions
of tissue inhibitor of metallopeptidase-1 (TIMP-1) and TIMP-2 but decreased those
of matrix metalloproteinase-2 (MMP-2) and MMP-9, indicating excessive basement
membrane in the fibrotic liver. Collectively, STA has potent protective effects
on the liver, with therapeutic implication for liver fibrosis.
PMID- 29378388
TI - Limits of endoscopic endonasal transpterygoid approach to cavernous sinus and
Meckel's cave.
AB - The endoscopic endonasal approach (EEA) to the ventral skull base has greatly
increased in popularity over the last two decades. So-called expanded EEA have
opened corridors to pathology off-midline, including lesions within the cavernous
sinus and Meckel's cave. A standard EEA exposure into the sphenoid sinus allows
visualization of the medial cavernous sinus; a transpterygoid approach allows for
surgical manipulation of the lateral cavernous sinus and Meckel's cave contents.
Pituitary adenomas, meningiomas, and schwannomas are the most common pathologies
in this region. This approach to the "front door" of the cavernous sinus and
Meckel's cave should be considered complementary to traditional craniotomy
techniques as each have benefits and limitations. Herein we review the published
literature regarding endoscopic endonasal transpterygoid surgery for pathology in
the lateral cavernous sinus and Meckel's cave, and the anatomical and functional
limitations of these approaches.
PMID- 29378389
TI - Limits of endoscopic endonasal surgery for III ventricle craniopharyngiomas.
AB - Craniopharyngiomas represent one of the most challenging brain tumors for the
neurosurgeon. For most of the 20th century, these parasellar lesions have been
approached via the classic open approaches of neurosurgery such as pterional,
frontobasal, interhemispheric, and transpetrosal craniotomies. The endoscopic
endonasal approach to these tumors, rather than craniotomy, has risen in
popularity over the last two decades. Regardless of approach, a detailed
knowledge of surgical anatomy and careful preoperative surgical planning are
essential to achieve good clinical results; iatrogenic morbidity can potentially
be severe due to hypothalamus, optic apparatus, and/or vascular injuries.
Especially challenging, and highlighting the limitations of endoscopic endonasal
surgery, are the tumors that arise primarily from within the third ventricle and
do not expand the pituitary stalk and suprasellar region or tumors that have
projected to areas far from the parasellar region as such as the sylvian and
ambient cisterns. Herein we review the published literature regarding endoscopic
endonasal surgery for craniopharyngioma, and the anatomical and functional
limitations therein. The benefits and drawbacks of each surgical approach to this
deep-seated area are discussed, and a strategy for surgical decision-making
proposed.
PMID- 29378390
TI - Stable and Label-Free Fluorescent Probe Based on G-triplex DNA and Thioflavin T.
AB - G-triplexes have recently been identified as a new kind of DNA structures. They
perhaps possess specific biological and chemical functions similar as identified
G-quadruplex but can be formed by shorter G-rich sequences with only three G
tracts. However, until now, limited G-triplexes sequences have been reported,
which might be due to the fact that their stability is one of the biggest
concerns during their functional studies and application research. Herein, we
found a G-rich sequence (5'-TGGGTAGGGCGGG-3') which can form a stable G-triplex
(Tm ~ 60 degrees C) at room temperature. The stable G-triplex can combine with
thioflavin T and function as an efficient fluorescence light-up probe. Comparing
with the traditional G-quadruplex based probe, this triplex based probe was easy
to be controlled and excited. Finally, the probe was successfully applied into
constructing a label-free molecular beacon for miRNA detection. Taking advantage
of these abilities of the G-triplex based fluorescent probe, the challenges faced
during designing G-rich sequences based fluorescent biosensors can be efficiently
solved. These findings provide important information for the future application
of G-triplex.
PMID- 29378391
TI - Lithographically Patterned Functional Polymer-Graphene Hybrids for Nanoscale
Electronics.
AB - Two-dimensional (2D) materials are believed to hold significant promise in
nanoscale optoelectronics. While significant progress has been made in this field
over the past decade, the ability to control charge carrier density with high
spatial precision remains an outstanding challenge in 2D devices. We present an
approach that simultaneously addresses the dual issues of charge-carrier doping
and spatial precision based on a functional lithographic resist that employs
methacrylate polymers containing zwitterionic sulfobetaine pendent groups for
noncovalent surface doping of 2D materials. We demonstrate scalable approaches
for patterning these polymer films via electron-beam lithography, achieving
precise spatial control over carrier doping for fabrication of high-quality, all
2D, lateral p-n junctions in graphene. Our approach preserves all of the
desirable structural and electronic properties of graphene while exclusively
modifying its surface potential. The functional polymer resist platform and
concept offers a facile route toward lithographic doping of graphene- and other
2D material-based optoelectronic devices.
PMID- 29378387
TI - Depolarizing Effectors of Bradykinin Signaling in Nociceptor Excitation in Pain
Perception.
AB - Inflammation is one of the main causes of pathologic pain. Knowledge of the
molecular links between inflammatory signals and pain-mediating neuronal signals
is essential for understanding the mechanisms behind pain exacerbation. Some
inflammatory mediators directly modulate the excitability of pain-mediating
neurons by contacting the receptor molecules expressed in those neurons. For
decades, many discoveries have accumulated regarding intraneuronal signals from
receptor activation through electrical depolarization for bradykinin, a major
inflammatory mediator that is able to both excite and sensitize pain-mediating
nociceptor neurons. Here, we focus on the final effectors of depolarization, the
neuronal ion channels, whose functionalities are specifically affected by
bradykinin stimulation. Particular G-protein coupled signaling cascades
specialized for each specific depolarizer ion channels are summarized. Some of
these ion channels not only serve as downstream effectors but also play critical
roles in relaying specific pain modalities such as thermal or mechanical pain.
Accordingly, specific pain phenotypes altered by bradykinin stimulation are also
discussed. Some members of the effector ion channels are both activated and
sensitized by bradykinin-induced neuronal signaling, while others only sensitized
or inhibited, which are also introduced. The present overview of the effect of
bradykinin on nociceptor neuronal excitability at the molecular level may
contribute to better understanding of an important aspect of inflammatory pain
and help future design of further research on the components involved and pain
modulating strategies.
PMID- 29378392
TI - Quantitative mRNA Imaging with Dual Channel qFIT Probes to Monitor Distribution
and Degree of Hybridization.
AB - Fluorogenic oligonucleotide probes facilitate the detection and localization of
RNA targets within cells. However, quantitative measurements of mRNA abundance
are difficult when fluorescence signaling is based on intensity changes because a
high concentration of unbound probes cannot be distinguished from a low
concentration of target-bound probes. Here, we introduce qFIT (quantitative
forced intercalation) probes that allow the detection both of probe-target
complexes and of unbound probes on separate, independent channels. A surrogate
nucleobase based on thiazole orange (TO) probes the hybridization status. The
second channel involves a nonresponsive near-IR dye, which serves as a reporter
of concentration. We show that the undesirable perturbation of the hybridization
reporter TO is avoided when the near-IR dye Cy7 is connected by means of short
triazole linkages in an >=18 nucleotides distance. We used the qFIT probes to
localize and quantify oskar mRNA in fixed egg chambers of wild-type and mutant
Drosophila melanogaster by wash-free fluorescence in situ hybridization. The
measurements revealed a relative 400-fold enrichment of oskar within a 3000 MUm3
large volume at the posterior pole of stage 8-9 oocytes, which peaked at a
remarkably high 1.8 MUM local concentration inside 0.075 MUm3 volume units. We
discuss detection limits and show that the number of oskar mRNA molecules per
oocyte is independent of the oocyte size, which suggests that the final levels
are attained already during the onset of oskar localization at stage 8.
PMID- 29378393
TI - Autochthonous Bioaugmentation-Modified Bacterial Diversity of Phenanthrene
Degraders in PAH-Contaminated Wastewater as Revealed by DNA-Stable Isotope
Probing.
AB - To reveal the mechanisms of autochthonous bioaugmentation (ABA) in wastewater
contaminated with polycyclic aromatic hydrocarbons (PAHs), DNA-stable-isotope
probing (SIP) was used in the present study with the addition of an autochthonous
microorganism Acinetobacter tandoii LJ-5. We found LJ-5 inoculum produced a
significant increase in phenanthrene (PHE) mineralization, but LJ-5 surprisingly
did not participate in indigenous PHE degradation from the SIP results. The
improvement of PHE biodegradation was not explained by the engagement of LJ-5 but
attributed to the remarkably altered diversity of PHE degraders. Of the major PHE
degraders present in ambient wastewater ( Rhodoplanes sp., Mycobacterium sp.,
Xanthomonadaceae sp. and Enterobacteriaceae sp.), only Mycobacterium sp. and
Enterobacteriaceae sp. remained functional in the presence of strain LJ-5, but
five new taxa Bacillus, Paenibacillus, Ammoniphilus, Sporosarcina, and
Hyphomicrobium were favored. Rhodoplanes, Ammoniphilus, Sporosarcina, and
Hyphomicrobium were directly linked to, for the first time, indigenous PHE
biodegradation. Sequences of functional PAH-RHDalpha genes from heavy fractions
further proved the change in PHE degraders by identifying distinct PAH-ring
hydroxylating dioxygenases between ambient degradation and ABA. Our findings
indicate a new mechanism of ABA, provide new insights into the diversity of PHE
degrading communities, and suggest ABA as a promising in situ bioremediation
strategy for PAH-contaminated wastewater.
PMID- 29378394
TI - Scalable Production of Few-Layer Boron Sheets by Liquid-Phase Exfoliation and
Their Superior Supercapacitive Performance.
AB - Although two-dimensional boron (B) has attracted much attention in electronics
and optoelectronics due to its unique physical and chemical properties, in-depth
investigations and applications have been limited by the current synthesis
techniques. Herein, we demonstrate that high-quality few-layer B sheets can be
prepared in large quantities by sonication-assisted liquid-phase exfoliation. By
simply varying the exfoliating solvent types and centrifugation speeds, the
lateral size and thickness of the exfoliated B sheets can be controllably tuned.
Additionally, the exfoliated few-layer B sheets exhibit excellent stability and
outstanding dispersion in organic solvents without aggregates for more than 50
days under ambient conditions, owing to the presence of a solvent residue shell
on the B sheet surface that provides excellent protection against air oxidation.
Moreover, we also demonstrate the use of the exfoliated few-layer B sheets for
high-performance supercapacitor electrode materials. This as-prepared device
exhibits impressive electrochemical performance with a wide potential window of
up to 3.0 V, excellent energy density as high as 46.1 Wh/kg at a power density of
478.5 W/kg, and excellent cycling stability with 88.7% retention of the initial
specific capacitance after 6000 cycles. This current work not only demonstrates
an effective strategy for the synthesis of the few-layer B sheets in a controlled
manner but also makes the resulting materials promising for next-generation
optoelectronics and energy storage applications.
PMID- 29378395
TI - Antibiotics Disturb the Microbiome and Increase the Incidence of Resistance Genes
in the Gut of a Common Soil Collembolan.
AB - Gut microbiota make an important contribution to host health but the effects of
environmental pressures on the gut microbiota of soil fauna are largely
uncharacterized. Here, we examine the effects of norfloxacin and oxytetracycline
on the gut microbiome of the common soil collembolan Folsomia candida and
concomitant changes in the incidence of antibiotic resistance genes (ARGs) in the
gut and in growth of the collembolan. Exposure to 10 mg antibiotics kg-1 for 2
weeks significantly inhibited the growth of the collembolan with roughly a 10
fold decrease in 16S rRNA gene abundance. Antibiotics did alter the composition
and structure of the collembolan gut microbiome and decreased the diversity of
the gut bacteria. A decline in the firmicutes/bacteroidetes ratio in the
antibiotic-treated collembolans may be responsible for the decrease in body
weight. Exposure to antibiotics significantly increased the diversity and
abundance of ARGs in the collembolan gut. The Mantel test and Procrustes analysis
both reveal that ARGs and gut microbiota were significantly correlated with one
another ( P < 0.05). These results indicate that antibiotics may induce a shift
in the gut microbiota of nontarget organisms such as soil collembolans and
thereby affect their growth and enrichment of ARGs.
PMID- 29378396
TI - Recovery of Macro and Micro-Nutrients by Hydrothermal Carbonization of Septage.
AB - In this study, septic tank waste (i.e., septage) was hydrothermally carbonized
(HTC) in order to recover macro and micronutrients, while tracking the fate of
residual heavy metals. Three different HTC temperatures (i.e., 180, 220, and 260
degrees C) at autogenous pressures and two reaction times (i.e., 30 and 120 min)
were applied on both solid and liquid septages. Hydrochar and HTC process liquids
were characterized using ICP, CHNS, and UV-vis spectroscopy. Treatment at 260
degrees C for 120 min maximized ammonia recovery, producing a liquid with 1400
mg/L of ammonia. Overall, about 70% of available nitrogen ended up in the liquid
phase as nitrate or ammonia. Solid hydrochars show potential for fertilizer use,
with high phosphorus content of 100-130 kg/tonne. It was found that heavy metals
mainly remained in the solid phase, although the concentrations of heavy metals
are mostly lower than U.S. EPA regulation for biosolids with the exception of
selenium.
PMID- 29378397
TI - En Route to 2-(Cyclobuten-1-yl)-3-(trifluoromethyl)-1H-indole.
AB - A six-step synthetic route from 4-chloro-2-methylaniline to 5-chloro-2-(cyclobut
1-en-1-yl)-3-(trifluoromethyl)-1H-indole (1) has been reported. Compound 1a is a
key impurity of reverse transcriptase inhibitor efavirenz, an important anti
HIV/AIDS drug. Synthetic challenges, dead ends, and detours are discussed.
PMID- 29378398
TI - Partnership of Arthrobacter and Pimelobacter in Aerobic Degradation of
Sulfadiazine Revealed by Metagenomics Analysis and Isolation.
AB - In this study, metagenomic analyses were combined with cultivation-based
techniques as a nested approach to identify functionally significant bacteria for
sulfadiazine biodegradation within enrichment communities. The metagenomic
investigations indicated that our previously isolated sulfadiazine degrader,
Arthrobacter sp. D2, and another Pimelobacter bacterium concomitantly occurred as
most abundant members in the community of an enrichment culture that performed
complete sulfadiazine mineralization for over two years. Responses of the
enriched populations to sole carbon source alternation further suggested the
ability of this Pimelobacter member to grow on 2-aminopyrimidine, the most
prominent intermediate metabolite of sulfadiazine. Taking advantage of this
propensity, additional cultivation procedures have enabled the successful
isolation of Pimelobacter sp. LG209, whose genomic sequences exactly matched that
of the dominant Pimelobacter bacterium in the sulfadiazine enrichment culture.
Integration of metagenomic investigations with the physiological characteristics
of the isolates conclusively demonstrated that the sulfadiazine mineralization in
a long-running enrichment culture was prominently mediated by primary
sulfadiazine-degrading specialist strain Arthrobacter sp. D2 in association with
the 2-aminopyrimidine-degrading partner strain Pimelobacter sp. LG209. Here, we
provided the first mechanistic insight into microbial interactions in steady
sulfadiazine mineralization processes, which will help develop appropriate
bioremediation strategies for sulfadiazine-contaminated hotspot sites.
PMID- 29378399
TI - Accuracy Comparison of Generalized Born Models in the Calculation of
Electrostatic Binding Free Energies.
AB - The need for accurate yet efficient representation of the aqueous environment in
biomolecular modeling has led to the development of a variety of generalized Born
(GB) implicit solvent models. While many studies have focused on the accuracy of
available GB models in predicting solvation free energies, a systematic
assessment of the quality of these models in binding free energy calculations,
crucial for rational drug design, has not been undertaken. Here, we evaluate the
accuracies of eight common GB flavors (GB-HCT, GB-OBC, GB-neck2, GBNSR6, GBSW,
GBMV1, GBMV2, and GBMV3), available in major molecular dynamics packages, in
predicting the electrostatic binding free energies ( DeltaDelta Gel) for a
diverse set of 60 biomolecular complexes belonging to four main classes: protein
protein, protein-drug, RNA-peptide, and small complexes. The GB flavors are
examined in terms of their ability to reproduce the results from the Poisson
Boltzmann (PB) model, commonly used as accuracy reference in this context. We
show that the agreement with the PB of DeltaDelta Gel estimates varies widely
between different GB models and also across different types of biomolecular
complexes, with R2 correlations ranging from 0.3772 to 0.9986. A surface-based
"R6" GB model recently implemented in AMBER shows the closest overall agreement
with reference PB ( R2 = 0.9949, RMSD = 8.75 kcal/mol). The RNA-peptide and
protein-drug complex sets appear to be most challenging for all but one model, as
indicated by the large deviations from the PB in DeltaDelta Gel. Small neutral
complexes present the least challenge for most of the GB models tested. The
quantitative demonstration of the strengths and weaknesses of the GB models
across the diverse complex types provided here can be used as a guide for
practical computations and future development efforts.
PMID- 29378400
TI - Controlling Fundamental Fluctuations for Reproducible Growth of Large Single
Crystal Graphene.
AB - The controlled growth of graphene by the chemical vapor deposition method is
vital for its various applications; however, the reproducibility remains a great
challenge. Here, using single-crystal graphene growth on a Cu surface as a model
system, we demonstrate that a trace amount of H2O and O2 impurity gases in the
reaction chamber is key for the large fluctuation of graphene growth. By
precisely controlling their parts per million level concentrations, centimeter
sized single-crystal graphene is obtained in a reliable manner with a maximum
growth rate up to 190 MUm min-1. The roles of oxidants are elucidated as an
effective modulator for both graphene nucleation density and growth rate. This
control is more fundamental for reliable growth of graphene beyond previous
findings and is expected to be useful for the growth of various 2D materials that
are also sensitive to trace oxidant impurities.
PMID- 29378402
TI - Who Is the Rock Miner and Who Is the Hunter? The Use of Heavy-Oxygen Labeled
Phosphate (P18O4) to Differentiate between C and P Fluxes in a Benzene-Degrading
Consortium.
AB - Phosphorus availability and cycling in microbial communities is a key determinant
of bacterial activity. However, identifying organisms critical to P cycling in
complex biodegrading consortia has proven elusive. Here we assess a new DNA
stable isotope probing (SIP) technique using heavy oxygen-labeled phosphate
(P18O4) and its effectiveness in pure cultures and a nitrate-reducing benzene
degrading consortium. First, we successfully labeled pure cultures of Gram
positive Micrococcus luteus and Gram-negative Bradyrhizobium elkanii and
separated isotopically light and heavy DNA in pure cultures using centrifugal
analyses. Second, using high-throughput amplicon sequencing of 16S rRNA genes to
characterize active bacterial taxa (13C-labeled), we found taxa like
Betaproteobacteria were key in denitrifying benzene degradation and that other
degrading (nonhydrocarbon) inactive taxa (P18O4-labeled) like Staphylococcus and
Corynebacterium may promote degradation through production of secondary
metabolites (i.e., "helper" or "rock miner" bacteria). Overall, we successfully
separated active and inactive taxa in contaminated soils, demonstrating the
utility of P18O4-DNA SIP for identifying actively growing bacterial taxa. We also
identified potential "miner" bacteria that choreograph hydrocarbon degradation by
other microbes (i.e., the "hunters") without directly degrading contaminants
themselves. Thus, while several taxa degrade benzene under denitrifying
conditions, microbial benzene degradation may be enhanced by both direct
degraders and miner bacteria.
PMID- 29378401
TI - Epidermis Microstructure Inspired Graphene Pressure Sensor with Random
Distributed Spinosum for High Sensitivity and Large Linearity.
AB - Recently, wearable pressure sensors have attracted tremendous attention because
of their potential applications in monitoring physiological signals for human
healthcare. Sensitivity and linearity are the two most essential parameters for
pressure sensors. Although various designed micro/nanostructure morphologies have
been introduced, the trade-off between sensitivity and linearity has not been
well balanced. Human skin, which contains force receptors in a reticular layer,
has a high sensitivity even for large external stimuli. Herein, inspired by the
skin epidermis with high-performance force sensing, we have proposed a special
surface morphology with spinosum microstructure of random distribution via the
combination of an abrasive paper template and reduced graphene oxide. The
sensitivity of the graphene pressure sensor with random distribution spinosum
(RDS) microstructure is as high as 25.1 kPa-1 in a wide linearity range of 0-2.6
kPa. Our pressure sensor exhibits superior comprehensive properties compared with
previous surface-modified pressure sensors. According to simulation and mechanism
analyses, the spinosum microstructure and random distribution contribute to the
high sensitivity and large linearity range, respectively. In addition, the
pressure sensor shows promising potential in detecting human physiological
signals, such as heartbeat, respiration, phonation, and human motions of a
pushup, arm bending, and walking. The wearable pressure sensor array was further
used to detect gait states of supination, neutral, and pronation. The RDS
microstructure provides an alternative strategy to improve the performance of
pressure sensors and extend their potential applications in monitoring human
activities.
PMID- 29378404
TI - The Goldilocks Principle in Phase Labeling. Minimalist and Orthogonal Phase
Tagging for Chromatography-Free Mitsunobu Reaction.
AB - An inexpensive and chromatography-free Mitsunobu methodology has been developed
using low molecular weight and orthogonally phase-tagged reagents, a tert-butyl
tagged highly apolar phosphine, and a water-soluble DIAD analogue. The byproduct
of the Mitsunobu reactions can be removed by sequential liquid-liquid extractions
using traditional solvents such as hexanes, MeOH, water, and EtOAc. Owing to the
orthogonal phase labeling, the spent reagents can be regenerated. This new
variant of the Mitsunobu reaction promises to provide an alternative and
complementary solution for the well-known separation problem of the Mitsunobu
reaction without having to resort to expensive, large molecular weight reagents
and chromatography.
PMID- 29378403
TI - Bioorthogonal Masking of Circulating Antibody-TCO Groups Using Tetrazine
Functionalized Dextran Polymers.
AB - Pretargeting strategies have gained popularity for the in vivo imaging and
therapy of cancer by combining antibodies with small molecule radioligands. In
vivo recombination of both moieties can be achieved using the bioorthogonal
inverse electron demand Diels-Alder (IEDDA) chemistry between tetrazine (Tz) and
trans-cyclooctene (TCO). An issue that arises with pretargeting strategies is
that while part of the antibody dose accumulates at antigen-expressing tumor
tissue, there is a significant portion of the injected antibody that remains in
circulation, causing a reduction in target-to-background ratios. Herein, we
report the development of a novel TCO scavenger, the masking agent DP-Tz. DP-Tz
is based on Tz-modified dextran polymers (DP, MW = 0.5-2 MDa). Large dextran
polymers were reported to exhibit low penetration of tumor vasculature and
appeared nontoxic, nonimmunogenic, and easily modifiable. Our newly developed
masking agent deactivates the remaining TCO-moieties on the circulating mAbs yet
does not impact the tumor uptake of the Tz-radioligand. In pretargeting studies
utilizing a 68Ga-labeled tetrazine radioligand ([68Ga]Ga-NOTA-PEG11-tetrazine),
DP-Tz constructs (Tz/DP ratios of 62-254) significantly increased TTB ratios from
0.8 +/- 0.3 (control cohorts) to up to 5.8 +/- 2.3 at 2 h postinjection. Tumor
tissue delineation in PET imaging experiments employing DP-Tz is significantly
increased compared to control. Uptake values of other significant organs, such as
heart, lungs, pancreas, and stomach, were decreased on average by 2-fold when
using DP-Tz. Overall, pretargeting experiments utilizing DP-Tz showed
significantly improved tumor delineation, enhanced PET image quality, and reduced
uptake in vital organs. We believe that this new masking agent is a powerful new
addition to the IEDDA-based pretargeting tool box and, due to its properties, an
excellent candidate for clinical translation.
PMID- 29378405
TI - Surface Chemistry and Spectroscopic Study of a Cholera Toxin B Langmuir
Monolayer.
AB - In this article, we explored the surface chemistry properties of a cholera toxin
B (CTB) monolayer at the air-subphase interface and investigated the change in
interfacial properties through in situ spectroscopy. The study showed that the
impact of the blue shift was negligible, suggesting that the CTB molecules were
minimally affected by the subphase molecules. The stability of the CTB monolayer
was studied by maintaining the constant surface pressure for a long time and also
by using the compression-decompression cycle experiments. The high stability of
the Langmuir monolayer of CTB clearly showed that the driving force of CTB going
to the amphiphilic membrane was its amphiphilic nature. In addition, no major
change was detected in the various in situ spectroscopy results (such as UV-vis,
fluorescence, and IR ER) of the CTB Langmuir monolayer with the increase in
surface pressure. This indicates that no aggregation occurs in the Langmuir
monolayer of CTB.
PMID- 29378406
TI - Uranium Dioxides and Debris Fragments Released to the Environment with Cesium
Rich Microparticles from the Fukushima Daiichi Nuclear Power Plant.
AB - Trace U was released from the Fukushima Daiichi Nuclear Power Plant (FDNPP)
during the meltdowns, but the speciation of the released components of the
nuclear fuel remains unknown. We report, for the first time, the atomic-scale
characteristics of nanofragments of the nuclear fuels that were released from the
FDNPP into the environment. Nanofragments of an intrinsic U-phase were discovered
to be closely associated with radioactive cesium-rich microparticles (CsMPs) in
paddy soils collected ~4 km from the FDNPP. The nanoscale fuel fragments were
either encapsulated by or attached to CsMPs and occurred in two different forms:
(i) UO2+X nanocrystals of ~70 nm size, which are embedded into magnetite
associated with Tc and Mo on the surface and (ii) Isometric (U,Zr)O2+X
nanocrystals of ~200 nm size, with the U/(U+Zr) molar ratio ranging from 0.14 to
0.91, with intrinsic pores (~6 nm), indicating the entrapment of vapors or
fission-product gases during crystallization. These results document the
heterogeneous physical and chemical properties of debris at the nanoscale, which
is a mixture of melted fuel and reactor materials, reflecting the complex thermal
processes within the FDNPP reactor during meltdown. Still CsMPs are an important
medium for the transport of debris fragments into the environment in a respirable
form.
PMID- 29378407
TI - Multiple Pathways and Time Scales for Conformational Transitions in apo-Adenylate
Kinase.
AB - The open/close transition in adenylate kinase (AK) is regarded as a
representative example for large-scale conformational transition in proteins, yet
its mechanism remains unclear despite numerous experimental and computational
studies. Using extensive (~50 MUs) explicit solvent atomistic simulations and
Markov state analysis, we shed new lights on the mechanism of this transition in
the apo form of AK. The closed basin of apo AK features an open NMP domain while
the LID domain closes and rotates toward it. Therefore, although the computed
structural properties of the closed ensemble are consistent with previously
reported FRET and PRE measurements, our simulations suggest that NMP closure is
likely to follow AMP binding, in contrast to the previous interpretation of FRET
and PRE data that the apo state was able to sample the fully closed conformation
for "ligand selection". The closed state ensemble is found to be kinetically
heterogeneous; multiple pathways and time scales are associated with the
open/close transition, providing new clues to the disparate time scales observed
in different experiments. Besides interdomain interactions, a novel mutual
information analysis identifies specific intradomain interactions that correlate
strongly to transition kinetics, supporting observations from previous chimera
experiments. While our results underscore the role of internal domain properties
in determining the kinetics of open/close transition in apo AK, no evidence is
observed for any significant degree of local unfolding during the transition.
These observations about AK have general implications to our view of
conformational states, transition pathways, and time scales of conformational
changes in proteins. The key features and time scales of observed transition
pathways are robust and similar from simulations using two popular fixed charge
force fields.
PMID- 29378408
TI - Small Molecule Inhibitors of the PCSK9.LDLR Interaction.
AB - The protein-protein interaction between proprotein convertase subtilisin/kexin
type 9 (PCSK9) and low-density lipoprotein receptor (LDLR) is a relatively new,
and extremely important, validated therapeutic target for treatment and
prevention of heart disease. Experts in the area agree that the first small
molecules to disrupt PCSK9.LDLR would represent a milestone in this field, yet
few credible leads have been reported. This paper describes how side-chain
orientations in preferred conformations of carefully designed chemotypes were
compared with LDLR side chains at the PCSK9.LDLR interface to find molecules that
would mimic interface regions of LDLR. This approach is an example of the
procedure called EKO (Exploring Key Orientations). The guiding hypothesis on
which EKO is based is that good matches indicate the chemotypes bearing the same
side chains as the protein at the sites of overlay have the potential to disrupt
the parent protein-protein interaction. In the event, the EKO procedure and one
round of combinatorial fragment-based virtual docking led to the discovery of
seven compounds that bound PCSK9 (SPR and ELISA) and had a favorable outcome in a
cellular assay (hepatocyte uptake of fluorescently labeled low-density
lipoprotein particles) and increased the expression LDLR on hepatocytes in
culture. Three promising hit compounds in this series had dissociation constants
for PCSK9 binding in the 20-40 MUM range, and one of these was modified with a
photoaffinity label and shown to form a covalent conjugate with PCSK9 on
photolysis.
PMID- 29378409
TI - Artemisinin-Loaded Mesoporous Nanoplatform for pH-Responsive Radical Generation
Synergistic Tumor Theranostics.
AB - The development of novel and effective cancer treatments will greatly contribute
to prolonging and improving patient lives. In this study, a multifunctional
nanoplatform was designed and developed based on mesoporous NiO (mNiO)
nanoparticles and terbium complexes as an artemisinin (ART) vehicle, a T2
weighted contrast agent, and a luminescence imaging probe. mNiO is a novel pH
responsive material that can degrade and release nickel ions (Ni2+) in an acidic
tumor microenvironment. The endoperoxide bridge bond in the structure of ART
tends to react with Ni2+ to produce radicals that can kill tumor cells. On the
basis of its excellent near-infrared absorbance, mNiO can also be considered as a
novel photothermal conversion agent for cancer photothermal therapy (PTT).
Compared with free ART or PTT only, this novel agent showed remarkably enhanced
antitumor activity in cultured cells and in tumor mice models, owing to the
hypoxic tumor microenvironment impelling synergistic therapeutic action. These
results provide a novel way of using a promising natural drug-based nanoplatform
for synergistic therapy of tumors.
PMID- 29378410
TI - Kinetics of Dissolution of an Amorphous Solid.
AB - The kinetics of dissolution of an amorphous solid is studied using a simple model
of a glass that captures with reasonable accuracy the dynamic heterogeneities
associated with the relaxation of an amorphous material at low temperatures. The
intrinsic dissolution rate is shown to be proportional to the concentration of
surface particles kinetically able to exchange with the solvent, independent of
temperature or the thermal history of the glass. The morphology of the dissolving
surface is described, and the possibility of using surface etching to image
dynamic heterogeneities is explored.
PMID- 29378411
TI - Complexity of Advanced Glycation End Products in Foods: Where Are We Now?
AB - Recent clinical trials indicate that consumption of dietary advanced glycation
end products (AGEs) may promote the development of major chronic diseases.
However, the outcomes of human studies have proven inconclusive as a result of
estimates of the total AGE intake being taken with a single AGE in most of the
studies. In this perspective, we summarized the major types of AGEs derived from
proteins, nucleic acids, and phospholipids during food processing and suggested a
panel of AGEs as markers to better measure the intake of total dietary AGEs in
human studies.
PMID- 29378412
TI - Exploring Electronic Structure and Order in Polymers via Single-Particle
Microresonator Spectroscopy.
AB - PEDOT: PSS, a transparent electrically conductive polymer, finds widespread use
in electronic devices. While empirical efforts have increased conductivity, a
detailed understanding of the coupled electronic and morphological landscapes in
PEDOT:PSS has lagged due to substantial structural heterogeneity on multiple
length-scales. We use an optical microresonator-based absorption spectrometer to
perform single-particle measurements, providing a bottom-up examination of
electronic structure and morphology ranging from single PEDOT:PSS polymers to
nascent films. Using single-particle spectroscopy with complementary theoretical
calculations and ultrafast spectroscopy, we demonstrate that PEDOT:PSS displays
bulk-like optical response even in single polymers. We find highly ordered PEDOT
assemblies with long-range ordering mediated by the insulating PSS matrix and
reveal a preferential surface orientation of PEDOT nanocrystallites absent in
bulk films with implications for interfacial electronic communication. Our single
particle perspective provides a unique window into the microscopic structure and
electronic properties of PEDOT:PSS.
PMID- 29378413
TI - Hand-Held Photometer for Instant On-Spot Quantification of Nucleic Acids,
Proteins, and Cells.
AB - This paper presents a novel hand-held photometer, termed "Photopette", for on
spot absorbance measurements of biochemical analytes. The Photopette is a
multicomponent, highly portable device with an overall weight of 160 g, which
fits within 202 mm * 47 mm * 42 mm. Designed in the form factor of a
micropipette, Photopette integrates a photodiode detector with light emitting
diodes (LEDs) to form a highly customizable photometer which supports a wide
variety of applications within the wavelengths between 260 and 1050 nm. A dual
purpose disposable reflective tip was designed to act as a sample holder and a
light-reflecting system, which is in stark contrast to the operation of
mainstream spectrophotometers and photometers. Small volume analytes may be
measured with low sample loss using this proprietary CuveTip. A user-friendly
software application running on smart devices was developed to control and read
the values from Photopette via a low-energy Bluetooth link. This one-step
strategy allows measurements on-spot without sample transfer, minimizing cross
contamination and human error. The results reported in this paper demonstrate
Photopette's great potential to quantify DNA, direct protein, and cell density
directly within the laminar flow hood. Results are compared with a Nanodrop 2000c
spectrophotometer, a mainstream spectrophotometer for small-volume measurements.
PMID- 29378414
TI - The E15R Point Mutation in Scorpion Toxin Cn2 Uncouples Its Depressant and
Excitatory Activities on Human NaV1.6.
AB - We report the chemical synthesis of scorpion toxin Cn2, a potent and highly
selective activator of the human voltage-gated sodium channel NaV1.6. In an
attempt to decouple channel activation from channel binding, we also synthesized
the first analogue of this toxin, Cn2[E15R]. This mutation caused uncoupling of
the toxin's excitatory and depressant activities, effectively resulting in a
NaV1.6 inhibitor. In agreement with the in vitro observations, Cn2[E15R] is
antinociceptive in mouse models of NaV1.6-mediated pain.
PMID- 29378415
TI - Severe Toxicity in Nonhuman Primates and Piglets with Systemic High-Dose
Administration of Adeno-Associated Virus Serotype 9-Like Vectors: Putting
Patients First.
PMID- 29378416
TI - Wellness-Promoting Practices Through Girl Scouts: A Pragmatic Superiority
Randomized Controlled Trial With Additional Dissemination.
AB - PURPOSE: To evaluate the effectiveness of in-person versus online Girl Scout
leader wellness training for implementation of wellness-promoting practices
during troop meetings (phase I) and to assess training adoption and current
practices across the council (phase II). DESIGN: Pragmatic superiority trial
(phase 1) followed by serial cross-sectional study (phase II). SETTING: Girl
Scout troop meetings in Northeast Kansas. PARTICIPANTS: Eighteen troop leaders
from 3 counties (phase 1); 113 troop leaders from 7 counties (phase II).
INTERVENTION: Phase I: Troop leaders attended 2 wellness training sessions (first
in groups, second individually), wherein leaders set wellness-promoting practice
implementation goals, self-monitored progress, and received guidance and
resources for implementation. Leaders received the intervention in person or
online. MEASURES: Phase I: At baseline and postintervention, leaders completed a
wellness-promoting practice implementation questionnaire assessing practices
during troop meetings (max score = 11). Phase II: Leaders completed a survey
about typical troop practices and interest in further training. ANALYSIS: Phase
I: Generalized linear mixed modeling. RESULTS: Phase I: In-person training
increased wellness-promoting practice implementation more than online training
(in person = 2.1 +/- 1.8; online = 0.2 +/- 1.2; P = .022). Phase II: Fifty-six
percent of leaders adopted the training. For 8 of 11 wellness categories, greater
than 50% of leaders employed wellness-promoting practices. CONCLUSION: In-person
training was superior to online training for improvements in wellness-promoting
practices. Wellness training was adopted by the majority of leaders across the
council.
PMID- 29378417
TI - Epigenetic Modifications Following Noxious Stimuli in Infants.
AB - PURPOSE: To recruit healthy full- and preterm infants into genetic research and
determine the effectiveness of a noninvasive DNA sampling technique for comparing
epigenetic modifications. BACKGROUND: Noxious stimuli during a vulnerable period
of infant neuronal plasticity may trigger long-term epigenetic changes affecting
neurodevelopment, pain modulation, and reactivity. Recognizing epigenetic pain
findings is problematic because parents are reluctant to enroll newborns into
genetic research. METHODS: Design: Within-subject change over time candidate-gene
DNA methylation association study. Setting/ sample: Urban teaching hospital's
neonatal intensive care unit and newborn nursery. Convenience sample of healthy
full- (>37 weeks, n = 6) and preterm (<37 weeks, n = 6) infants. PROCEDURE:
Parents participated in a genetic presentation prior to informed consent. Infant
buccal saliva was collected after admission to the unit and prior to discharge.
ANALYSIS: The methylation pattern at the 5' end of u-opioid receptor gene (
OPRM1) was examined. DNA was treated with bisulfite to convert all cytosines to
uracil residues, leaving methylated cytosines unchanged. Sequencing of untreated
and bisulfite-converted DNA was carried out. The sequences of unconverted and
bisulfite-converted DNA were aligned with ClustalW, fidelity of the polymerase
chain reaction and the sequencing reaction evaluated, and the methylation pattern
identified. RESULTS: Recruitment and assessment of a noninvasive DNA sampling
technique for comparing epigenetic modifications were successful; however, infant
stress did not produce a change in OPRM1 methylation expression. RELEVANCE: This
study established the feasibility of recruiting healthy full-term infants into
genetic research and the effectiveness of noninvasive DNA sampling for comparing
epigenetic modification in infants.
PMID- 29378418
TI - CPX-351: changing the landscape of treatment for patients with secondary acute
myeloid leukemia.
AB - Multiple novel therapeutic agents against acute myeloid leukemia (AML) have been
evaluated in the past several decades without meaningful clinical improvement in
outcomes, especially for AML patients age >=60, where the overall incidence of
AML is highest. Therapeutic options mainly consist of hypomethylating agents,
ongoing clinical trials and, less commonly, intensive cytotoxic chemotherapy. CPX
351, a novel liposomal formulation which encapsulates cytarabine and daunorubicin
in 5:1 molar ratio, has shown promising efficacy, leading to recent US FDA
approval for front-line therapy for patients with therapy-related AML and AML
with myelodysplasia-related changes based on a large multicenter Phase III
clinical trial. This review summarizes the clinical development of CPX-351 as
induction therapy.
PMID- 29378419
TI - Clinical importance of eflornithine (alpha-difluoromethylornithine) for the
treatment of malignant gliomas.
AB - This review covers the literature between 1989 and 2007 on studies relevant to
the neuro-oncology usage of eflornithine (alpha-difluoromethylornithine), an oral
agent that irreversibly inhibits the enzyme ornithine decarboxylase. It covers
the use of eflornithine, alone or in combination, to treat high-grade gliomas. In
addition, we provide an update on overall survival from The University of Texas
MD Anderson Cancer Center Community Clinical Oncology Program and Clinical Trials
Data Office that demonstrates a meaningful benefit in overall survival for
eflornithine as a single agent and in combination with nitrosourea-based
therapies for anaplastic gliomas. We also provide a framework for understanding
the basis and study design of the ongoing pivotal, registrational Phase III
multicenter trial for recurrent/progressive anaplastic astrocytoma.
PMID- 29378420
TI - Embolic Protection Device in Asymptomatic Carotid Stenosis.
PMID- 29378421
TI - Early animal model evaluation of an implantable contrast agent to enhance
magnetic resonance imaging of arterial bypass vein grafts.
AB - Background Non-invasive monitoring of autologous vein graft (VG) bypass grafts is
largely limited to detecting late luminal narrowing. Although magnetic resonance
imaging (MRI) delineates vein graft intima, media, and adventitia, which may
detect early failure, the scan time required to achieve sufficient resolution is
at present impractical. Purpose To study VG visualization enhancement in vivo and
delineate whether a covalently attached MRI contrast agent would enable quicker
longitudinal imaging of the VG wall. Material and Methods Sixteen 12-week-old
male C57BL/6J mice underwent carotid interposition vein grafting. The inferior
vena cava of nine donor mice was treated with a gadolinium
diethylenetriaminepentaacetic acid (Gd-DTPA)-based contrast agent, with control
VGs labeled with a vehicle. T1-weighted (T1W) MRI was performed serially at
postoperative weeks 1, 4, 12, and 20. A portion of animals was sacrificed for
histopathology following each imaging time point. Results MRI signal-to-noise
ratio (SNR) and contrast-to-noise ratio (CNR) were significantly higher for
treated VGs in the first three time points (1.73 * higher SNR, P = 0.0006, and
5.83 * higher CNR at the first time point, P = 0.0006). However, MRI signal
enhancement decreased consistently in the study period, to 1.29 * higher SNR and
2.64 * higher CNR, by the final time point. There were no apparent differences in
graft morphometric analyses in Masson's trichrome-stained sections. Conclusion A
MRI contrast agent that binds covalently to the VG wall provides significant
increase in T1W MRI signal with no observed adverse effects in a mouse model.
Further optimization of the contrast agent to enhance its durability is required.
PMID- 29378422
TI - The Gestalt of functioning in autism spectrum disorder: Results of the
international conference to develop final consensus International Classification
of Functioning, Disability and Health core sets.
AB - Autism spectrum disorder is associated with diverse social, educational, and
occupational challenges. To date, no standardized, internationally accepted tools
exist to assess autism spectrum disorder-related functioning. World Health
Organization's International Classification of Functioning, Disability and Health
can serve as foundation for developing such tools. This study aimed to identify a
comprehensive, a common brief, and three age-appropriate brief autism spectrum
disorder Core Sets. Four international preparatory studies yielded in total 164
second-level International Classification of Functioning, Disability and Health
candidate categories. Based on this evidence, 20 international autism spectrum
disorder experts applied an established iterative decision-making consensus
process to select from the candidate categories the most relevant ones to
constitute the autism spectrum disorder Core Sets. The consensus process
generated 111 second-level International Classification of Functioning,
Disability and Health categories in the Comprehensive Core Set for autism
spectrum disorder-one body structure, 20 body functions, 59 activities and
participation categories, and 31 environmental factors. The Common Brief Core Set
comprised 60 categories, while the age-appropriate core sets included 73
categories in the preschool version (0- to 5-year-old children), 81 in the school
age version (6- to 16-year-old children and adolescents), and 79 in the older
adolescent and adult version (?17-year-old individuals). The autism spectrum
disorder Core Sets mark a milestone toward the standardized assessment of autism
spectrum disorder-related functioning in educational, administrative, clinical,
and research settings.
PMID- 29378423
TI - Receipt of Vasopressors Is Positively Associated With the Length of the Actively
Dying Process in Hospitalization.
AB - BACKGROUND: End-of-life care is important in general hospitalization care.
However, the clinical impact of using vasopressors on the length of the actively
dying process is still controversial. METHODS: We reviewed patients who were
hospitalized in general wards and died before discharge. We classified the
patients into 2 groups: those who received vasopressors (RVs) and those who did
not receive vasopressors (NRV). We analyzed the factors associated with the
length of hospital stay (LOS) and the length of the actively dying process.
RESULTS: In all, 745 participants, 10.01% of all admitted patients, were
analyzed. Of them, 225 patients were RV group, and the remaining 520 were NRV
group. Age and gender were comparable in the 2 groups. The use of vasopressors
was associated with an admission diagnosis of sepsis and absence of Do-Not
Resuscitate consent and parenteral use of morphine. In multivariable analysis, a
high Barthel index score, the absence of cancer and cardiopulmonary resuscitation
(CPR), and no receipt of vasopressors were independent factors for LOS. For the
length of the actively dying process, a longer duration of inotropic agent, the
receipt of vasopressors, and the absence of CPR were independent factors.
CONCLUSION: In-hospital mortality is not uncommon during hospitalization in a
general ward. The length of the actively dying process is extended by the use of
vasopressors. Further prospective study is required for cautious evaluation of
the pros and cons of using vasopressors at the end of life during
hospitalization.
PMID- 29378424
TI - Anticipating Needs at End of Life in Narratives Related by People Living With
HIV/AIDS in Appalachia.
AB - As part of a mixed methods study determining end-of-life and advanced care
planning needs in southern Appalachia, a narrative analysis was done of stories
told in interviews of 8 selected participants using transcript data. Narratives
were fraught with contradiction and paradox. Tensions were evident about living
in Appalachia, the Bible Belt, and an area wherein distances are long and
community rejection can occur as news travels quickly. The primary finding was
that stigma, from several sources, and shrinking circles of social support for
people living with HIV/AIDS, all of whom were in treatment, combined to create a
sense of solitariness. Narratives were fraught with tensions, contradictions, and
paradoxes. Living in Appalachia, the Bible Belt, and an area wherein distances
are long and community rejection can occur as news travels quickly. The rejection
based religiously based stigma was often predicated on stereotypes about sexual
behavior and illicit drug use. Diagnosis was a key turning point after which many
spiraled downward financially and socially. Implications for research and
advanced care planning are included.
PMID- 29378425
TI - Developing a tool to support diagnostic delivery of dementia.
AB - It is increasingly recognised that there are challenges affecting the current
delivery of dementia diagnoses. Steps are required to address this. Current good
practice guidelines provide insufficient direction and interventions from other
healthcare settings do not appear to fully translate to dementia care settings.
This project has taken a sequential two-phase design to developing a tool
specific to dementia diagnostic delivery. Interviews with 14 participants
explored good diagnostic delivery. Thematic analysis produced key themes
(overcoming barriers, navigation of multiple journeys and completing overt and
covert tasks) that were used to inform the design of a tool for use by
clinicians, patients and companions. The tool was evaluated for acceptability in
focused group discussions with 13 participants, which indicated a desire to use
the tool and that it could encourage good practice. Adaptations were highlighted
and incorporated to improve acceptability. Future research is now required to
further evaluate the tool.
PMID- 29378426
TI - Severe Toxicity in Nonhuman Primates and Piglets Following High-Dose Intravenous
Administration of an Adeno-Associated Virus Vector Expressing Human SMN.
AB - Neurotropic adeno-associated virus (AAV) serotypes such as AAV9 have been
demonstrated to transduce spinal alpha motor neurons when administered
intravenously (i.v.) at high doses. This observation led to the recent successful
application of i.v. AAV9 delivery to treat infants with spinal muscular atrophy,
an inherited deficiency of the survival of motor neuron (SMN) protein
characterized by selective death of lower motor neurons. To evaluate the
efficiency of motor neuron transduction with an AAV9 variant (AAVhu68) using this
approach, three juvenile nonhuman primates (NHPs; aged 14 months) and three
piglets (aged 7-30 days) were treated with an i.v. injection of an AAVhu68 vector
carrying a human SMN transgene at a dose similar to that employed in the spinal
muscular atrophy clinical trial. Administration of 2 * 1014 genome copies per
kilogram of body weight resulted in widespread transduction of spinal motor
neurons in both species. However, severe toxicity occurred in both NHPs and
piglets. All three NHPs exhibited marked transaminase elevations. In two NHPs,
the transaminase elevations resolved without clinical sequelae, while one NHP
developed acute liver failure and shock and was euthanized 4 days after vector
injection. Degeneration of dorsal root ganglia sensory neurons was also observed,
although NHPs exhibited no clinically apparent sensory deficits. There was no
correlation between clinical findings and T-cell responses to the vector capsid
or transgene product in NHPs. Piglets demonstrated no evidence of hepatic
toxicity, but within 14 days of vector injection, all three animals exhibited
proprioceptive deficits and ataxia, which profoundly impaired ambulation and
necessitated euthanasia. These clinical findings correlated with more severe
dorsal root ganglia sensory neuron lesions than those observed in NHPs. The liver
and sensory neuron findings appear to be a direct consequence of AAV transduction
independent of an immune response to the capsid or transgene product. The present
results and those of another recent study utilizing a different AAV9 variant and
transgene indicate that systemic and sensory neuron toxicity may be general
properties of i.v. delivery of AAV vectors at high doses, irrespective of the
capsid serotype or transgene. Preclinical and clinical studies involving high
systemic doses of AAV vectors should include careful monitoring for similar
toxicities.
PMID- 29378427
TI - Ultra-obligatory running among ultramarathon runners.
AB - Participants in the Ultrarunners Longitudinal TRAcking (ULTRA) Study were asked
to answer "yes" or "no" to the question "If you were to learn, with absolute
certainty, that ultramarathon running is bad for your health, would you stop your
ultramarathon training and participation?" Among the 1349 runners, 74.1% answered
"no". Compared with those answering "yes", they were younger (p < 0.0001), less
likely to be married (p = 0.019), had less children (p = 0.0095), had a lower
health orientation (p < 0.0001) though still high, and higher personal goal
achievement (p = 0.0066), psychological coping (p < 0.0001) and life meaning (p =
0.0002) scores on the Motivations of Marathoners Scales. Despite a high health
orientation, most ultramarathon runners would not stop running if they learned it
was bad for their health as it appears to serve their psychological and personal
achievement motivations and their task orientation such that they must perceive
enhanced benefits that are worth retaining at the risk of their health.
PMID- 29378428
TI - Determination of Lentiviral Infectious Titer by a Novel Droplet Digital PCR
Method.
AB - Lentivirus is one of the best vehicles in delivering exogenous genes for
therapeutics. Prior to application, it is very important to determine the
infectious titer, which measures only mature virus capable of infecting target
cells. Quantitative polymerase chain reaction (PCR) and fluorescence-activated
cell sorting are commonly used for determination of infectious titer. This study
introduces a new method based on Droplet Digital PCR (ddPCR), a recently
developed PCR technology that quantifies the absolute amount of target DNA in the
reaction. In this study, the dynamic range, Limit of Quantification (LOQ), and
data acceptance criteria for ddPCR are defined against lentiviral sequence. ddPCR
performance is also compared to established FACS and qPCR methods. This work not
only demonstrates the feasibility of ddPCR in determining lentiviral infectious
titer, but provides a detailed method that can be easily adapted by the
scientific community.
PMID- 29378430
TI - The potential role of insulin-like growth factor-1 and zinc in brain growth of
autism spectrum disorder children.
PMID- 29378429
TI - Extrinsic and Intrinsic Help-Seeking Motivation in the Assessment of Cognitive
Decline.
AB - Diagnostic assessments for dementia include the evaluation of subjective memory
impairment, dementia worries, or depressive symptoms. Data on the predictive
value of these factors remain unclear, and varying help-seeking behavior may
contribute to this finding. We investigate whether differentiating help-seeking
motivation from other psychological factors associated with cognitive impairment
would enhance the prediction of diagnostic outcomes in a memory clinic. We
obtained information on help-seeking motivation from 171 patients who underwent
routine diagnostic assessments. Utilizing a discriminant correspondence analysis,
our results indicate that extrinsic motivation increases the likelihood of
receiving a dementia diagnosis, whereas depression or the duration of deficits
carries discriminatory information to further guide the differentiation of
prodromal dementia. Recognizing motivational aspects of help-seeking behavior can
complement the clinical evaluation of cognitive performance.
PMID- 29378431
TI - Evaluating the occurrence of cryptic invasions of a rocky shore barnacle,
Semibalanus cariosus, between the north-eastern Pacific and Japan.
AB - Many coastal barnacles are introduced to non-native regions. However, data are
lacking on cryptic invasion, which is defined as an invasion that remains
unrecognised because the invader is mistaken for a native or previously
introduced species or clade. In this work, cryptic invasions of an intertidal
barnacle, Semibalanus cariosus, between Japan and the north-eastern Pacific were
evaluated based on population genetic analyses. A significant genetic
differentiation was found between the Japanese and north-eastern Pacific
populations, suggesting a limited introduction of non-native genotypes between
these regions. Haplotype frequencies did not differ significantly between the
past (museum samples collected in 1971 from Hokkaido, Japan) and present Japanese
populations, implying the rare occurrence of human-mediated migration from the
north-eastern Pacific to Japan. Migrate-n analysis revealed a low level of
directional gene flow in S. cariosus from the north-eastern Pacific to Japan,
possibly by natural stepping-stone dispersal via directional water currents or
human-mediated transport.
PMID- 29378432
TI - Nanocarrier for levodopa Parkinson therapeutic drug; comprehensive benserazide
analysis.
AB - Loss of dopamine-secreting neurons in the midbrain causes Parkinson's disease. L
DOPA, the precursor to the neurotransmitters dopamine, crosses vast majority of
physiological and biochemical barriers that dopamine cannot. But most levodopa is
decarboxylated to dopamine before it reaches the brain. This causes to little
therapeutic gain with strong peripheral side effects. Benserazide is an
irreversible inhibitor of peripheral aromatic L-amino acid decarboxylase that
prevents the breakdown of levodopa in the bloodstream. The challenges are to
increase the therapeutic efficiency, the bioavailability and decreasing the
unfavourable side effects of Levodopa drug. Biocompatible nano-sized drug
carriers could address these challenges at molecular level. Thus calculations of
drug loading ability of acid-functionalized CNT for the benserazide as a nanodug
carrier complex for L-DOPA were performed. In this regard, evaluation of all
adsorption features of the most stable conformer of benserazide molecule onto
carboxylated carbon nanotube is critical. To determine the minimum energy
conformer of benserazide, the molecular structure and conformational analysis of
512 possible conformers have been subjected to first principle quantum mechanical
calculations. Our work established a novel and easy-to-make formulation of
benserazide/carboxylated CNT conjugate with extremely high drug loading
efficiency of Levodopa for Parkinson disease treatment.
PMID- 29378433
TI - Passive delivery of protein drugs through transdermal route.
AB - Skin is the largest external organ in the human body but its use for therapeutic
purposes has been minimal. Stratum corneum residing on the uppermost layer of the
skin provides a tough barrier to transport the drugs across the skin. Very small
group of drugs sharing Lipinski properties, i.e. drugs having molecular weight
not larger than 500 Da, having high lipophilicity and optimum polarity are
fortunate enough to be used on skin therapeutics. But, at a time where modern
therapeutics is slowly shifting from use of small molecular drugs towards the use
of macromolecular therapeutic agents such as peptides, proteins and nucleotides
in origin, skin therapeutics need to be evolved accordingly to cater the delivery
of these agents. Physical technologies like iontophoresis, laser ablation, micro
needles and ultrasound, etc. have been introduced to enhance skin permeability.
But their success is limited due to their complex working mechanisms and
involvement of certain irreversible skin damage in some or other way. This review
therefore explores the delivery strategies for transport of mainly peptide and
protein drugs that do not involve any injuries (non-invasive) to the skin termed
as passive delivery techniques. Chemical enhancers, nanocarriers, certain
biological peptides and miscellaneous approaches like prodrugs are also
thoroughly reviewed for their applications in protein delivery.
PMID- 29378434
TI - TLC-bioautography directed isolation of antibacterial compounds from active
fractionation of Ferula ferulioides.
AB - A novel optimised isolation method, TLC-bioautography, was evaluated and utilised
in this research. Antibacterial compounds which were isolated from the
dichloromethane extract of Ferula ferulioides (Steud.) Korovin were detected by
means of the method. Their structures were elucidated by extensive spectral and
chemical methods. Their antibacterial activities against drug-resistant
Staphylococcus aureus (S. aureus) strains were evaluated with broth microdilution
method, and the results proved that TLC-bioautography was an effective and highly
efficient way to screen natural compounds from plant extracts against drug
resistant strains.
PMID- 29378435
TI - Measuring emotion socialization in families affected by pediatric cancer:
Refinement and reduction of the Parents' Beliefs about Children's Emotions
questionnaire.
AB - The aim of this study is to conduct a multimethod psychometric reduction in the
Parents' Beliefs about Children's Emotions (PBCE) questionnaire using an item
response theory framework with a pediatric oncology sample. Participants were 216
pediatric oncology caregivers who completed the PBCE. The PBCE contains 105 items
(11 subscales) rated on a 6-point Likert-type scale. We evaluated the PBCE
subscale performance by applying a partial credit model in WINSTEPS. Sixty-six
statistically weak items were removed, creating a 44-item PBCE questionnaire with
10 subscales and 3 response options per item. The refined scale displayed good
psychometric properties and correlated .910 with the original PBCE. Additional
analyses examined dimensionality, item-level (e.g. difficulty), and person-level
(e.g. ethnicity) characteristics. The refined PBCE questionnaire provides better
test information, improves instrument reliability, and reduces burden on
families, providers, and researchers. With this improved measure, providers can
more easily identify families who may benefit from psychosocial interventions
targeting emotion socialization. The results of the multistep approach presented
should be considered preliminary, given the limited sample size.
PMID- 29378436
TI - Repair of Morgagni hernia and ventricular septal defect through sternotomy.
AB - We report a case of Morgagni hernia occupying the anterior mediastinum and right
hemithorax in a male infant with Down syndrome, who also had a perimembranous
ventricular septal defect. Through a median sternotomy, the hernia sac was freed
from the right pleura, and the pericardium was opened to reduce its contents
(colon) into the abdomen. The diaphragmatic defect was closed with Prolene mesh
and the hernia sac was used to reinforce the diaphragmatic defect. Finally, the
pericardium was opened and the ventricular septal defect was closed with a
polytetrafluoroethylene patch through a right atriotomy after instituting
cardiopulmonary bypass.
PMID- 29378437
TI - Pierre-Marie-Bamberger syndrome and solitary fibrous tumor: a rare association.
AB - A solitary fibrous tumor originates in the pleura with variable degrees of
invasion. Hypertrophic osteoarthropathy, known as Pierre-Marie-Bamberger
syndrome, is characterized by clubbing of the fingers due to bone surface and
soft tissue calcification, historically known as a bronchogenic carcinoma
paraneoplastic syndrome; however, a few cases have been associated with solitary
fibrous tumors. We describe the case of a 38-year-old woman who presented with
clubbing of the fingers. Studies revealed an intrathoracic fibrous tumor that was
successfully treated with improvement in symptoms.
PMID- 29378438
TI - Editorial.
PMID- 29378440
TI - Time burden of caring and depression among parents of individuals with cerebral
palsy.
AB - PURPOSE: The presence of an individual with disability in a family affects the
whole family. Families of individuals with cerebral palsy (CP) experience
increased psychological anxiety and financial problems; specifically, parents
tend to feel time pressure and struggle to maintain their social and cultural
activities. METHODS: t-Tests and ANOVA with post hoc Tukey tests were used to
compare caregiving time, time pressure, and depression between parents.
Multivariate logistic regression analysis was used to examine the effect of
caregiving time and time pressure on depression in parents. RESULTS: Regarding
depression, 58 (38.2%) respondents scored >=16 on the Center for Epidemiological
Studies - Depression scale. Respondents supporting a preschool child spent more
time than those supporting adults did; those supporting adults reported less time
pressure than those supporting individuals of other ages. Caregiving time's
effect on depression was not supported, whereas increased time pressure raised
the risk of depression. CONCLUSIONS: The frequency of depression among parents
supporting individuals with CP exceeded preceding findings. Time pressure due to
support appears to directly predict depression. Total time spent caring appears
unrelated to depression. Implications for Rehabilitation It is necessary to
prepare various community and family support systems in order to relieve parental
caregivers' burden and exhaustion. Interventions should focus on parents with
higher time pressure than parents with high caregiving time. Physical and
psychological difficulties experienced by parents supporting a child with a
disability vary with the child's life stage, meaning that families' care burden
partly depends on the age of the individual with disabilities.
PMID- 29378439
TI - Steroid-depleted polycystic ovarian syndrome serum promotes in vitro oocyte
maturation and embryo development.
AB - In vitro maturation (IVM) of immature oocytes obtained from patients with
polycystic ovarian syndrome (PCOS) is considered as a novel strategy in order to
reduce clinical side effects and cost of in vitro fertilization (IVF) technique.
The aim of this study was to evaluate the effects of PCOS whole and steroid
depleted serums on in vitro oocyte maturation indices. Patients with PCOS were
selected according to the Rotterdam criteria. Cumulus-oocyte complexes and blood
serums were collected and pooled. Cumulus cells and immature oocytes were treated
with 10% whole or steroid-depleted serums. Stearoyl-CoA desaturase-1 (SCD1) and
cyclooxygenase-2 (COX2) expression levels in cumulus cells were evaluated by
quantitative PCR. Fatty acid composition of cumulus cells was analyzed using gas
liquid chromatography. Polar body observation was considered as the oocyte
maturation index. Oleate (1.28-fold, p = .006), SCD1 expression (450-fold, p =
.001), and COX2 expression (35-fold, p = .02) in cumulus cell, as well as oocyte
maturation (p < .001) and in vitro embryo development (p < .05) were
significantly higher in treatment with steroid-depleted serum compared to that of
whole serum. Steroid depletion of PCOS serum improved its capacity to increase
success rate of oocyte maturation, intra-cytoplasmic sperm injection and early
embryo development.
PMID- 29378441
TI - Real-time 3D ultrasound based motion tracking for the treatment of mobile organs
with MR-guided high-intensity focused ultrasound.
AB - INTRODUCTION: Magnetic resonance-guided high-intensity focused ultrasound
(MRgHIFU) treatments of mobile organs require locking the HIFU beam on the
targeted tissue to maximise heating efficiency. We propose to use a standalone 3
D ultrasound (US)-based motion correction technique using the HIFU transducer in
pulse-echo mode. Validation of the method was performed in vitro and in vivo in
the liver of pig under MR-thermometry. METHODS: 3 D-motion estimation was
implemented using ultrasonic speckle-tracking between consecutive acquisitions.
Displacement was estimated along four sub-apertures of the HIFU transducer by
computing the normalised cross-correlation of backscattered signals followed by a
triangulation algorithm. The HIFU beam was steered accordingly and energy was
delivered under real-time MR-thermometry (using the proton resonance frequency
shift method with online motion compensation and correction of associated
susceptibility artefacts). An MR-navigator echo was used to assess the quality of
the US-based motion correction. RESULTS: Displacement estimations from US
measurements were in good agreement with 1 D MR-navigator echo readings. In
vitro, the maximum temperature increase was improved by 37% as compared to
experiments performed without motion correction and temperature distribution
remained much more focussed. Similar results were reported in vivo, with an
increase of 35% on the maximum temperature using this US-based HIFU target
locking. CONCLUSION: This standalone 3D US-based motion correction technique is
robust and allows maintaining the HIFU focal spot in the presence of motion
without adding any burden or complexity to MR thermal imaging. In vitro and in
vivo results showed about 35% improvement in heating efficiency when focus
position was locked on the target using the proposed technique.
PMID- 29378442
TI - Female hormonal factors and osteoarthritis of the knee, hip and hand: a narrative
review.
AB - Osteoarthritis is a leading cause of disability with no cure. The incidence of
osteoarthritis is sexually dimorphic: women have a higher rate of osteoarthritis
than men after the age of 50. Research has investigated the contribution of sex
hormones, reproductive factors and hormone supplementation to osteoarthritis. It
has been recognized that different joints are susceptible to different risk
factors for osteoarthritis. We reviewed the evidence for the effect of endogenous
sex hormones, reproductive factors and hormone supplementation on joint-specific
osteoarthritis of the knee, hip and hand. Although the role of these hormonal
factors in the pathogenesis of osteoarthritis is complex, data suggest that
endogenous hormones and reproductive factors have a role in the pathogenesis of
osteoarthritis, especially knee osteoarthritis, with uncertainty for the effect
of exogenous hormones. From the available data, it is hard to conclude whether
this is a direct effect of hormonal factors, or whether other factors related to
these hormonal factors, i.e. obesity and inflammation, have a role in this
association. Further studies should consider the mediation effect of body weight
and inflammation, change in body weight throughout life, circulatory levels of
all endogenous hormones and circulatory levels of hormones after hormone
supplementation in this complex relationship.
PMID- 29378443
TI - Thrombolysis in pregnancy: a literature review.
AB - BACKGROUND: Changes in the coagulation system during pregnancy and puerperium
produce a physiological hypercoagulable state. These changes are thought to be
the cause of the higher rates of deep vein thrombosis (DVT), pulmonary embolism
(PE), stroke, and mechanical prosthetic valve thrombosis (PVT) during pregnancy.
Thrombolysis can be a treatment option in this case. However, there are no
available data from randomized controlled trials in pregnant patients and
information about the security of thrombolytics in pregnancy is missing.
OBJECTIVE: The aim of this review is to summarize the available data regarding
the use of thrombolytic agents in pregnancy, describing maternal and fetal
outcomes. METHODS: A systematic review was performed, searching the electronic
database MEDLINE for relevant studies published up to April 2017. The search
included MeSH terms "thrombolytic therapy" OR "fibrinolysis" OR "streptokinase"
OR "tissue plasminogen activator" AND "pregnancy". All publications that reported
the use of a thrombolytic agent for DVT, PE, stroke or PVT in pregnancy were
included in the review. Data on the type and total dose of the thrombolytic
agent, gestational week, outcome of mothers and children, preterm delivery and
bleeding complications were described. RESULTS: Sixty-five articles have been
published describing outcomes in 141 pregnant women with serious thrombotic
events. There have been no randomized trials involving the use of thrombolytics
in pregnancy. Only one prospective study was found. Four maternal deaths (2.8%),
12 major bleeding episodes (8.5%), 13 mild/moderate bleeding episodes (9.2%), two
fetal death (1.4%), one child death (0.7%), nine miscarriages (6.4%), and 14
preterm delivery (9.9%) were described. CONCLUSIONS: The risk of using
thrombolytics in pregnancy seems reasonable taking into account the risk of death
in a life-threatening event, with the majority of cases presented in this article
resulting in encouraging outcomes. The complication rate of thrombolytic
treatment does not seem higher in pregnant women than in the nonpregnant. Poor
fetal outcome occurred in mothers with poor prognosis. Specific consensus
recommendations are needed in the use of thrombolytics in pregnancy.
PMID- 29378444
TI - Further validation of the Chinese (Mandarin) Tinnitus Handicap Inventory:
comparison between patient-reported and clinician-interviewed outcomes.
AB - OBJECTIVE: The objective of this study was to evaluate the feasibility of the
Chinese (Mandarin) Tinnitus Handicap Inventory (THI-C) by comparing patient
reported and clinician-interviewed outcomes and to raise awareness of the
difficulties in using adapted international test measures. DESIGN: All patients
were required to first complete the THI-C independently. Then, clinicians
conducted a face-to-face interview, explained the exact meaning of each item and
re-evaluated every item based on the patient's descriptions. During this process,
patients were encouraged to make comments about each item. Both the self
administered and clinician-interviewed scores were recorded and compared. STUDY
SAMPLE: A total of 178 patients who reported tinnitus as a primary complaint were
included. RESULTS: Among these patients, 88 (49.4%) completed the questionnaire
independently, while 79 (44.4%) patients experienced difficulty in understanding
at least one item. The difference between the self-administered and clinician
interviewed scores was statistically significant (p < 0.01). CONCLUSIONS: The
feasibility of the THI-C is limited unless a revision is made. Follow-up studies
are needed for validation when a newly translated self-administered questionnaire
is put into use. In addition to semantic equivalence and idiomatic equivalence,
experiential equivalence and conceptual equivalence should also be fully
considered during the translation process.
PMID- 29378445
TI - Real-Time Closed-Loop Functional Electrical Stimulation Control of Muscle
Activation with Evoked Electromyography Feedback for Spinal Cord Injured
Patients.
AB - Functional electrical stimulation (FES) is a neuroprosthetic technique to help
restore motor function of spinal cord-injured (SCI) patients. Through delivery of
electrical pulses to muscles of motor-impaired subjects, FES is able to
artificially induce their muscle contractions. Evoked electromyography (eEMG) is
used to record such FES-induced electrical muscle activity and presents a form of
[Formula: see text]-wave. In order to monitor electrical muscle activity under
stimulation and ensure safe stimulation configurations, closed-loop FES control
with eEMG feedback is needed to be developed for SCI patients who lose their
voluntary muscle contraction ability. This work proposes a closed-loop FES system
for real-time control of muscle activation on the triceps surae and tibialis
muscle groups through online modulating pulse width (PW) of electrical stimulus.
Subject-specific time-variant muscle responses under FES are explicitly reflected
by muscle excitation model, which is described by Hammerstein system with its
input and output being, respectively, PW and eEMG. Model predictive control is
adopted to compute the PW based on muscle excitation model which can online
update its parameters. Four muscle activation patterns are provided as desired
control references to validate the proposed closed-loop FES control paradigm.
Real-time experimental results on three able-bodied subjects and five SCI
patients in clinical environment show promising performances of tracking the
aforementioned reference muscle activation patterns based on the proposed closed
loop FES control scheme.
PMID- 29378446
TI - Characterizing EEG Cortical Dynamics and Connectivity with Responses to Single
Pulse Electrical Stimulation (SPES).
AB - OBJECTIVES: To model cortical connections in order to characterize their
oscillatory behavior and role in the generation of spontaneous
electroencephalogram (EEG). METHODS: We studied averaged responses to single
pulse electrical stimulation (SPES) from the non-epileptogenic hemisphere of five
patients assessed with intracranial EEG who became seizure free after
contralateral temporal lobectomy. Second-order control system equations were
modified to characterize the systems generating a given response. SPES responses
were modeled as responses to a unit step input. EEG power spectrum was calculated
on the 20[Formula: see text]s preceding SPES. RESULTS: 121 channels showed
responses to 32 stimulation sites. A single system could model the response in
41.3% and two systems were required in 58.7%. Peaks in the frequency response of
the models tended to occur within the frequency range of most activity on the
spontaneous EEG. Discrepancies were noted between activity predicted by models
and activity recorded in the spontaneous EEG. These discrepancies could be
explained by the existence of alpha rhythm or interictal epileptiform discharges.
CONCLUSIONS: Cortical interactions shown by SPES can be described as control
systems which can predict cortical oscillatory behavior. The method is unique as
it describes connectivity as well as dynamic interactions.
PMID- 29378447
TI - Oral administration of l-carnitine improves the clinical outcome of fertility in
patients with IVF treatment.
AB - Age-dependent decline of mitochondrial function has been proposed to be a main
cause of decline of embryo quality. Then, l-carnitine plays important roles in
reducing the membranous toxicity of free-fatty acids by forming acyl-carnitine
and promoting beta-oxidation, preventing cell damage. Recent research revealed
that l-carnitine played important roles in vitro in oocyte growth, oocyte
maturation and embryo development. However, such beneficial effects of l
carnitine in vivo have yet to be verified. The effect of oral l-carnitine
supplementation on embryo quality and implantation potential was examined. A
total of 214 patients were included in this study. They all previously received
in vitro fertilization-embryo transfer (IVF-ET) and failed to conceive. Then they
were administered l-carnitine for 82 days on average and underwent IVF-ET again.
There were no significant differences in the total number of retrieved oocytes,
and their maturation and fertilization rates between before and after l-carnitine
administration. The quality of embryos on Days 3 and 5 after insemination was
improved following l-carnitine administration (p < .05) in cycles after l
carnitine administration compared with previous cycles. Healthy neonates were
born after IVF-ET following l-carnitine administration. Our data suggested that
oral administration of l-carnitine to fertility patients improved the
developmental competence of their oocytes after insemination.
PMID- 29378448
TI - "There are more important things to worry about": attitudes and behaviours
towards leisure noise and use of hearing protection in young adults.
AB - OBJECTIVE: Noise-induced hearing problems among young adults are increasing due
to participation in loud activities. This study explored attitudes towards
leisure noise, hearing protection, and perceived susceptibility to noise damage
in young adults with no diagnosed hearing problems. Understanding attitudes and
behaviours will assist with the future development of strategies to improve
awareness and use of hearing protection. DESIGN: A qualitative study. STUDY
SAMPLE: Four focus groups, with 28 adults aged 18-35 years (6 male; 22 female;
mean age 23 years). RESULTS: Using framework analysis, five themes emerged.
Earplug use occurred when participants had experienced previous temporary hearing
damage (i.e. short-lived tinnitus or hearing loss). Others chose not to use
earplugs because music venues are expected to be loud. Peer behaviours and
opinions also had a strong influence over earplug use. A lack of knowledge of
hearing-related damage resulted in a lack of concern for hearing health and other
health conditions taking priority. CONCLUSIONS: The challenge is to present
hearing health messages that are relevant and accessible to young adults. Music
and entertainment venues must also take greater responsibility to protect the
hearing of its customers by at least informing visitors of the dangers of loud
music.
PMID- 29378449
TI - Experience using pipeline embolization device with Shield Technology in a patient
lacking a full postoperative dual antiplatelet therapy regimen.
AB - Utilization of flow diverting devices is accompanied with dual antiplatelet
therapy to reduce the risk of thromboembolic events, even though this increases
the risk of hemorrhagic complications. The updated Pipeline Flex embolization
device with Shield Technology has been created using a phosphorylcholine coating
that reduces thrombogenicity and possibly reduces the need for dual antiplatelet
therapy. However, because of the potential risk to patients of utilizing a
pipeline embolization device without dual antiplatelet therapy, the pipeline
embolization device with Shield Technology has not been tested in human subjects
without dual antiplatelet therapy, and its contribution to preventing
thromboembolic events is therefore unknown. We report a case in which a patient,
following complications that limited his absorption of dual antiplatelet therapy,
had low levels of dual antiplatelet therapy medications in his bloodstream
following treatment for an intracranial aneurysm with a pipeline embolization
device with Shield Technology. The patient recovered without signs of luminal
stenosis or thromboembolic event.
PMID- 29378450
TI - Simple aspiration with balloon catheter technique (simple ABC technique) against
proximal internal carotid artery occlusion in cases of cardiogenic cerebral
embolism.
AB - Background In cases of acute ischemic stroke, manual aspiration of the thrombus
is commonly performed with a balloon guiding catheter placed in the cervical
segment of the internal carotid artery (ICA). However, most manual aspirations
using a balloon guiding catheter are combined with inner catheters, as in the
direct aspiration first pass technique (ADAPT). We experienced some cases of
acute ischemic stroke with proximal ICA occlusion due to cardiogenic thrombus
where we obtained sufficient recanalization by simple manual aspiration from
inflated Optimo 9F balloon catheters (Tokai Medical Products, Japan) placed in
the origin of the cervical segment of the ICA without any inner catheter or stent
retriever. We perform by preference this procedure, named the simple Aspiration
with Balloon Catheter (simple ABC) technique. Herein, we report two recent cases
and discuss this procedure. Case presentation Case 1: An 80-year-old man with
paroxysmal atrial fibrillation developed left ICA occlusion. We performed the
simple ABC technique and obtained a large amount of dark red and white thrombus.
Puncture-to-reperfusion time was 14 minutes with Thrombolysis in Cerebral
Infarction (TICI) grade 3. Case 2: A 69-year-old man with chronic atrial
fibrillation developed left internal carotid occlusion. We performed the simple
ABC technique and obtained a large amount of dark red thrombus. Puncture-to
reperfusion time was 15 minutes with TICI grade 2b. Conclusion The simple ABC
technique is useful to deal with a large amount of thrombus, shortens procedure
time, enables less invasive thrombectomy, and can shift immediately to subsequent
procedures such as delivering a stent retriever or ADAPT.
PMID- 29378452
TI - Remediation of spatial processing disorder (SPD).
AB - OBJECTIVE: To determine the efficacy of deficit-specific remediation for spatial
processing disorder, quantify effects of remediation on functional listening, and
determine if remediation is maintained. DESIGN: Participants had SPD, diagnosed
using the Listening in Spatialised Noise-Sentences test. The LiSN and Learn
software was provided as auditory training. Post-training, repeat LiSN-S testing
was conducted. Questionnaires pre- and post-training acted as subjective measures
of remediation. A late-outcome assessment established long-term effects of
remediation. STUDY SAMPLE: Sixteen children aged between 6;3 [years; months] and
10;0 completed between 20 and 146 training games. RESULTS: Post-training LiSN-S
improved in measures containing spatial cues (p <= 0.001) by 2.0 SDs (3.6 dB) for
DV90, 1.8 SDs for SV90 (3.2 dB), 1.4 SDs for spatial advantage (2.9 dB) and 1.6
SDs for total advantage (3.3 dB). Improvement was also found in the DV0 condition
(1.4 dB or 0.5 SDs). Post-training changes were not significant in the talker
advantage measure (1.0 dB or 0.4 SDs) or the SV0 condition (0.3 dB or 0.1 SDs).
The late-outcome assessment demonstrated improvement was maintained. Subjective
improvement post-remediation was observed using the parent questionnaire.
CONCLUSIONS: Children with SPD had improved ability to utilise spatial cues
following deficit-specific remediation, with the parent questionnaire sensitive
to remediation. Effects of the remediation also appear to be sustained.
PMID- 29378453
TI - 5-Fluorouracil ethosomes - skin deposition and melanoma permeation synergism with
microwave.
AB - This study focuses on the use of ethosome and microwave technologies to
facilitate skin penetration and/or deposition of 5-fluorouracil in vitro and in
vivo. Low ethanol ethosomes were designed and processed by mechanical dispersion
technique and had their size, zeta potential, morphology, drug content and
encapsulation efficiency characterized. The skin was pre-treated with microwave
at 2450 MHz for 2.5 min with ethosomes applied topically and subjected to in
vitro and in vivo skin drug permeation as well as retention evaluation. The drug
and/or ethosomes cytotoxicity, uptake and intracellular trafficking by SKMEL-28
melanoma cell culture were evaluated. Pre-treatment of skin by microwave promoted
significant drug deposition in skin from ethosomes in vitro while keeping the
level of drug permeation unaffected. Similar observations were obtained in vivo
with reduced drug permeation into blood. Combination ethosome and microwave
technologies enhanced intracellular localization of ethosomes through
fluidization of cell membrane lipidic components as well as facilitating
endocytosis by means of clathrin, macropinocytosis and in particularly lipid
rafts pathways. The synergistic use of microwave and ethosomes opens a new
horizon for skin malignant melanoma treatment.
PMID- 29378451
TI - Hydrogen sulfide increases glutathione biosynthesis, and glucose uptake and
utilisation in C2C12 mouse myotubes.
AB - Diabetic patients have lower blood concentrations of hydrogen sulfide (H2S), L
cysteine (LC), and glutathione (GSH). Using C2C12 mouse myotubes as a model, this
study investigates the hypothesis that the beneficial effects of LC
supplementation are mediated by upregulation of the H2S status under diabetic
conditions. Results show that exogenous administration of sodium hydrosulfide
(NaHS, 10 or 20 uM; 6 hours), a H2S donor, significantly (p < .05) upregulates
the gene expression of cystathionine-gamma-lyase (CSE), LC transporter
(Slc7a11/xCT), and the genes involved in GSH biosynthesis. Additionally, it
reduces homocysteine (HCys), reactive oxygen species (ROS) production, and
enhances cellular LC, H2S, and glucose uptake and utilisation in myoblasts. The
use of CSE siRNA to induce deficient endogenous H2S production causes an increase
in H2O2, ROS, HCys levels, and downregulation of GSH biosynthesis pathway
enzymes. In additional, CSE knockdown downregulates glucose transporter type 4
(GLUT4) and gene expression of its key transcription factors, and reduces glucose
uptake in C2C12 myotubes. CSE knockdown cells showed specific increases in the
protein S-glutathionylation of LC transporter and GLUT4 along with increased
total protein S-glutathionylation. Taken together, evidence from this study
provides molecular insights into the importance of the CSE/H2S system in
maintaining the cellular glutathione and glucose homeostasis in C2C12 myotubes.
PMID- 29378454
TI - Possible role of DPP4 inhibitors to promote hippocampal neurogenesis in
Alzheimer's disease.
AB - As well-known to the scientific community, Alzheimer's disease (AD) is an
irreversible neurodegenerative disease that ends up with impairment of memory and
cognition. Patient quality of life can be enhanced by targeting neurogenesis as a
therapeutic paradigm. Preserving functional activity of SDF-1alpha and GLP-1 by
DPPIV inhibition will enhance the homing of stem cells and modulate cell
signalling pathways. The non-invasive approach presented in this article is a
major advantage for managing AD, as regular/conventional stem-cell therapy
necessarily relies on the application of regenerative stem cells exogenously.
Using DPP-4 inhibitors to achieve the SDF-1alpha/CXCR4 axis stabilisation and
augmenting GLP-1 levels, will enhance the homing/recruitment of brain resident
and non-resident circulating stem cells/progenitor cells towards the sites of
lesion to increase synaptic plasticity, a promising approach and also a novel one
as well.
PMID- 29378455
TI - Twelve tips for medical students to establish a collaborative flashcard project.
AB - Medical students employ various study strategies to master large amounts of
information during their medical education. Digital flashcards are an
interactive, self-directed study stool that may improve knowledge retention by
combining the principles of active recall and spaced-repetition. They may be
studied during and beyond undergraduate medical education. However, making
flashcards can be an onerous task. In this article, we describe twelve tips on
how to establish and maintain a collaborative digital flashcard project based on
the undergraduate medical curriculum.
PMID- 29378457
TI - Review of long-term outcomes of disc arthroplasty for symptomatic single level
cervical degenerative disc disease.
AB - INTRODUCTION: Cervical disc arthroplasty (CDA) was developed as an alternative
for anterior cervical discectomy and fusion (ACDF) for nerve root decompression.
The rationale behind CDA was to help prevent adjacent segment degeneration which
potentially may lead to additional surgery. Multiple randomized controlled trials
have evaluated a myriad of disc arthroplasty devices over the past 10 years and
provided data regarding clinical benefits and safety. Their long-term data,
reporting results at 5-10 years, presents the opportunity to comment on the
overall efficacy, safety and reported complications of these devices. This report
reviews results of the Prestige, proDisc-C, Mobi-C Disc and various other
arthroplasty devices and provides an overall report of the longest term available
data and comparisons between devices. Areas covered: This review summarizes
previously published articles in a literature search using keywords: 'cervical
disc arthroplasty', 'cervical total disc replacement', 'anterior cervical
decompression and fusion', 'anterior cervical arthrodesis', 'symptomatic
degenerative disc disease' and commercial names of devices. Expert commentary:
CDA, with the devices reviewed, resulted in favorable outcomes in comparison to
ACDF for the treatment of symptomatic cervical degenerative disc disease (DDD)
taking in to account the long-term functional recovery, adverse events,
development of adjacent segment degeneration and subsequent surgical
interventions.
PMID- 29378458
TI - Hyperbaric Oxygen therapy effects on bone regeneration in Type 1 diabetes
mellitus in rats.
AB - PURPOSE: The aim of this study was evaluate the effect of HBO on diabetic rats.
MATERIALS AND METHODS: Twenty rats were distributed into four groups (n = 5):
Control (C); Control + HBO (CH); Diabetes (D) and Diabetes + HBO (DH). Diabetes
was induced by streptozotocin, and bone defects were created in both femurs in
all animals. HBO therapy began immediately after surgery and was performed daily
in the CH and DH groups. After 7 days, the animals were euthanized. The femurs
were removed, demineralized, embedded in paraffin, and histologic images were
analyzed. RESULTS: Qualitative histologic analyses showed more advanced stage
bone regeneration in control groups (C and CH) compared with diabetic groups (D
and DH). Histomorphometric analysis showed significantly increased bone
neoformation in CH compared with the other groups (p < 0.001). Diabetic Group (D)
showed decreased bone neoformation compared with non-diabetic groups (C and CH)
(p < 0.001); however DH did not differ from C Group (p > 0.05). The mast cell
population increased in CH compared with the other groups (C, D, and DH) (p <
0.05). The mast cell population did not differ between D and DH Groups.
CONCLUSIONS: This study showed that HBO therapy improved early bone regeneration
in diabetic rats and increased the mast cell population only in non-diabetic
animals. HBO was shown to be important treatment for minimizing deleterious
effects of diabetes on bone regeneration.
PMID- 29378459
TI - Auditory brainstem, middle and late latency responses to short gaps in noise at
different presentation rates.
AB - OBJECTIVE: The effects of rate on auditory-evoked potentials (AEP) to short noise
gaps (12 ms) recorded at high sampling rates using wide-band filters were
investigated. DESIGN: Auditory brainstem (ABR), middle latency (MLR), late
latency (LLR) and steady-state (ASSR) responses were simultaneously recorded in
adult subjects at four gap rates (0.5, 1, 5 and 40 Hz). Major components (V, Na,
Pa, Nb, Pb, N1 and P2) were identified at each rate and analysed for
latency/amplitude characteristics. Gap responses at 40 Hz were recovered from
Quasi-ASSRs (QASSR) using the CLAD deconvolution method. STUDY SAMPLE: Fourteen
right ears of young normal hearing subjects were tested. RESULTS: All major
components were present in all subjects at 1 Hz. P1 (P50) appeared as a low-pass
filtered component of Pa and Pb waves. At higher rates, N1 and P2 disappeared
completely while major ABR-MLR components were identified. Peak latencies were
mostly determined by noise onsets slightly delayed by offset responses.
CONCLUSIONS: Major AEP components can be recorded to short gaps at 1 Hz using
high sampling rates and wide-band filters. At higher rates, only ABR and MLRs can
be recorded. Such simultaneous recordings may provide a complete assessment of
temporal resolution and processing at different levels of auditory pathways.
PMID- 29378456
TI - Developments in drug delivery of bioactive alkaloids derived from traditional
Chinese medicine.
AB - The bioactive alkaloids (e.g. vincristine, hydroxycamptothecin, ligustrazine, and
so on) from traditional Chinese medicine (TCM) have exerted potent efficacies
(e.g. anti-tumor, anti-inflammation, immunosuppression, etc.). However, a series
of undesirable physicochemical properties (like low solubility and weak
stability) and baneful pharmacokinetic (PK) profiles (e.g. low bioavailability,
short half time, rapid clearance, etc.) have severely restricted their
applications in clinic. In addition, some side effects (like cumulative
toxicities caused by high-frequency administration and their own toxicities) have
recently been reported and also confined their clinical uses. Therefore,
developments in drug delivery of such alkaloids are of significance in improving
their drug-like properties and, thus, treatment efficiencies in clinic.
Strategies, including (i) specific delivery via liposomes; (ii) sustained
delivery via nanoparticles, gels, and emulsions; and (iii) transdermal delivery
via ethosomes, solid lipid nanoparticles, and penetrating enhancers, have been
reported to improve the pharmacokinetic and physicochemical characters of
problematic TCM alkaloids, decline their adverse effects, and thus, boost their
curative efficacies. In this review, the recent reports in this field were
comprehensively summarized with the aim of providing an informative reference for
relevant readers.
PMID- 29378460
TI - The modifying effect of positive emotion on the relationship between cognitive
impairment and disability among older Mexican Americans: a cohort study.
AB - OBJECTIVE: To determine if positive emotion modifies the relationship between
cognitive impairment and activities of daily living disability status over 10
years in Mexican American adults aged 75 years and older. METHODS: A
retrospective cohort design using data from the Hispanic established populations
for the epidemiologic studies of the elderly. About 2674 participants aged 75
years and older were included and followed over 10 years. Cognition was measured
using the mini-mental state examination, positive emotion was measured using four
questions from the Center for Epidemiologic Studies Depression Scale, and
disability was measured using seven activities of daily living items. A series of
generalized estimating equations models were used, with the initial analysis
including those with disability at baseline and subsequent analyses excluding
disability at baseline. RESULTS: Positive emotion and cognitive impairment
consistently decreased and increased risk for activities of daily living
disability, respectively. Positive emotion was a significant modifier in the
cross-sectional analysis, and was not a statistically significant modifier in the
longitudinal or predictive series analysis. CONCLUSIONS: Positive emotion and
cognitive impairment differentially affect the risk of developing activities of
daily living disability. Further research is needed to explore the interaction of
positive emotion and cognitive impairment, and to identify appropriate
interventions that address the specific cognitive and emotional needs of older
Mexican Americans. Implications for rehabilitation Promoting emotional well-being
may be protective against incident disability for older adults. Cognitive
impairment significantly predicts incident disability in activities of daily
living and should be considered an early indicator of impending disability for
older adults.
PMID- 29378461
TI - Bringing the patient back in: behavioral decision-making and choice in medical
economics.
AB - We explore the behavioral methodology and "revolution" in economics through the
lens of medical economics. We address two questions: (1) Are mainstream economic
assumptions of utility-maximization realistic approximations of people's actual
behavior? (2) Do people maximize subjective expected utility, particularly in
choosing from among the available options? In doing so, we illustrate-in terms of
a hypothetical experimental sample of patients with dry eye diagnosis-why and how
utility in pharmacoeconomic assessments might be valued differently by patients
when subjective psychological, social, cognitive, and emotional factors are
considered. While experimentally-observed or surveyed behavior yields stated
(rather than revealed) preferences, behaviorism offers a robust toolset in
understanding drug, medical device, and treatment-related decisions compared to
the optimizing calculus assumed by mainstream economists. It might also do so
more perilously than economists have previously understood, in light of the
intractable uncertainties, information asymmetries, insulated third-party agents,
entry barriers, and externalities that characterize healthcare. Behavioral work
has been carried out in many sub-fields of economics. Only recently has it been
extended to healthcare. This offers medical economists both the challenge and
opportunity of balancing efficiency presumptions with relatively autonomous
patient choices, notwithstanding their predictable, yet seemingly consistent,
irrationality. Despite its comparative youth and limitations, the scientific
contributions of behaviorism are secure and its future in medical economics
appears to be promising.
PMID- 29378462
TI - Comparison between microwave ablation and lobectomy for stage I non-small cell
lung cancer: a propensity score analysis.
AB - PURPOSE: To compare the effectiveness and complication between microwave ablation
and lobectomy for stage I non-small cell lung cancer. MATERIALS AND METHODS: This
retrospective study was approved by two institutional ethics committees and all
patients were provided with informed consent. From January 2000 to December 2010,
54 and 795 stage I patients who underwent microwave ablation and lobectomy were
included in this study. A matched cohort composed of 54 and 108 patients in the
microwave ablation and the lobectomy group were selected after adjustment with
1:2 propensity score matching. The overall survival and disease-free survival
were evaluated. Survival curves were constructed with the Kaplan-Meier method and
compared by using the log-rank test. RESULTS: The 1, 3 and 5-year Overall survive
were 100, 92.6 and 50.0% for MWA group and 100, 90.7 and 46.3% for lobectomy
group. The 1, 3 and 5-year disease free survival was 98.1, 79.6 and 37.0% for MWA
group and 98.1, 81.5 and 29.6% for lobectomy group. There was no significant
difference between two groups in overall survival (p = 0.608) and disease free
survival (p = 0.672). Additionally, local or distant metastasis rate (p = 0.544)
were not significantly different between two groups while the complication rate
in the MWA group was significantly lower than the lobectomy group (p = 0.008).
CONCLUSION: Microwave ablation has similar therapeutic effect compared with
lobectomy for stage I non-small cell lung cancer, but with fewer complication and
less pain.
PMID- 29378463
TI - Development and anti-Candida evaluation of the vaginal delivery system of
amphotericin B nanosuspension-loaded thermogel.
AB - Vulvovaginal candidiasis (VVC) is a typical kind of vaginal mucosal infection.
Herein, we developed a novel vaginal delivery system of amphotericin B (AmB)
nanosuspension-loaded thermogel (AmB NPs/thermogel) utilising pharmaceutical
technique of high-pressure homogenisation and Poloxamer P407/P188 hydrogel. The
stabiliser and hydrogel materials of the formulation were tested to maintain
proper sol-gel transition as well as the relative stability of the particle size
of AmB nanosuspension in the thermogel. The particle size of AmB nanosuspensions
in the hydrogel was ~247 nm. Transmission electron microscopy images confirmed
the round-shape morphology of AmB nanoparticles in AmB NPs/thermogel, while that
of irregular morphology of merely AmB nanosuspensions without stabiliser and
hydrogel materials. AmB could be sustained release for ~12 h in vitro. In vivo
drug content in the vaginal tissue was also evaluated with 87, 47, 33 and 6.7%
drug remaining after 1, 3, 6 and 12 h, respectively. The in vivo anti-Candida
test was conducted on candidiasis-infected mice model. In the same drug dose of
2.5 mg/kg, AmB NPs/thermogel showed better anti-Candida efficiency compared with
commercial AmB effervescent tablet. This delivery system might show some insights
for the vaginal formulation development of other hydrophobic antifungal drugs.
PMID- 29378464
TI - Preface to DMR special edition 'Cannabinoid receptors and ligands: therapeutic
drug development and abuse potential'.
PMID- 29378465
TI - Development a hyaluronic acid ion-pairing liposomal nanoparticle for enhancing
anti-glioma efficacy by modulating glioma microenvironment.
AB - Glioma, one of the most common brain tumors, remains a challenge worldwide. Due
to the specific biological barriers such as blood-brain barrier (BBB), cancer
stem cells (CSCs), tumor associated macrophages (TAMs), and vasculogenic mimicry
channels (VMs), a novel versatile targeting delivery for anti-glioma is in urgent
need. Here, we designed a hyaluronic acid (HA) ion-pairing nanoparticle. Then,
these nanoparticles were encapsulated in liposomes, termed as DOX-HA-LPs, which
showed near-spherical morphology with an average size of 155.8 nm and uniform
distribution (PDI = 0.155). HA was proven to specifically bind to CD44 receptor,
which is over-expressed on the surface of tumor cells, other associated cells
(such as CSCs and TAMs) and VMs. We systematically investigated anti-glioma
efficacy and mechanisms in vivo and in vitro. The strong anti-glioma efficacy
could attribute to the accumulation in glioma site and the regulation of tumor
microenvironment with depletion of TAMs, inhibition of VMs, and elimination of
CSCs.
PMID- 29378467
TI - Microencapsulation of Bifidobacterium bifidum BB01 by xanthan-chitosan:
preparation and its stability in pure milk.
AB - Xanthan-chitosan (XC) and xanthan-chitosan-xanthan (XCX) were employed for
microencapsulation of Bifidobacterium bifidum BB01 using extrusion technique. To
optimize the process of B. bifidum BB01 microcapsules based on XC hydrogels,
response surface methodology was employed to obtain the best possible combination
of chitosan concentration, xanthan-B. bifidum BB01 mixture (XBM)/chitosan,
stirring time for the maximum viable count and encapsulation yield. The optimum
conditions were: chitosan concentration of 0.84 g.mL-1, XBM/chitosan of 1:9.0,
stirring time of 60 min with high viable count and encapsulation yield of 1.52 +/
0.15 * 1010 CFU.g-1, 90 +/- 0.65%, respectively. In addition, the effective
encapsulation system (XC and XCX) resulted in improvement in survival of B.
bifidum BB01 compared to non-encapsulated cells during 3 weeks storage at 4 and
25 degrees C in pure milk.
PMID- 29378468
TI - The self-reference effect in memory: an implicit way to assess affective self
representations in social anxiety.
AB - This study was designed to assess, through the self-reference effect in memory,
whether affective self-representations were modulated by the retrieval conditions
and the severity of social anxiety. Three groups (high socially anxious, low
socially anxious and non-anxious) were compared on a self-referential task that
involved encoding affective trait adjectives under three conditions: self
reference encoding, encoding with reference to the perception of self by others,
and other-reference encoding. Memory for trait adjectives was tested on both a
free recall task and a Remember/Know/Guess recognition task. The results revealed
that while socially anxious individuals explicitly rated as self-descriptive and
recalled more positive than negative trait adjectives like non-anxious
participants, this positivity bias was respectively reduced and erased among low
and high socially anxious participants when recollecting the same adjectives
encoded in reference to the self. These findings are discussed in relation to
their contribution to the understanding of the emotional memory biases related to
the retrieval of self-knowledge in social anxiety. In particular, they highlight
the necessity of using the self-reference effect in memory rather than mere self
endorsement of trait adjectives when assessing the efficacy of cognitive
therapies for social anxiety.
PMID- 29378466
TI - Intra-individual variation of miRNA expression levels in human plasma samples.
AB - BACKGROUND: Circulating miRNAs as potential non-invasive biomarkers for disease
risk assessment and cancer early diagnosis have attracted increasing interest.
Little information, however, is available regarding the intra-individual
variation of circulating miRNA levels. METHODS: We measured expression levels of
a panel of 800 miRNAs in repeated plasma samples from 51 healthy individuals that
were collected 6 to 12 months apart and evaluated the intra-individual variation
by the intra-class correlation coefficient (ICC). RESULTS: After background
correction, a total of 185 miRNAs were detected in at least 10% of the plasma
samples, with 69 and 28 miRNAs being detected in 50% and 90% of samples,
respectively. The median ICC was 0.46 for these 185 miRNAs. Among them, 41% (75
miRNAs) had an ICC >= 0.5, and 23% (42 miRNAs) had an ICC >= 0.6. The ICC is
higher for miRNAs with higher expression levels or higher detection rates, when
compared to those with lower expression levels or lower detection rates.
CONCLUSIONS: These results suggest that common circulating miRNAs are stable over
a relatively long period and can serve as reliable biomarkers for epidemiological
and clinical research.
PMID- 29378471
TI - Nanaomycin A Treatment Promotes Hepatoblast Differentiation from Human iPS Cells.
AB - Human induced pluripotent stem cell-derived hepatocyte-like cells (HLCs) are
expected to be utilized in pharmaceutical research, including drug screening.
However, the hepatocyte functions of the HLCs are still lower than those of human
hepatocytes. Therefore, we attempted to improve the hepatocyte differentiation
method by modulating the DNA epigenetic status. We first examined the expression
profiles of the maintenance DNA methyltransferase (DNMT) 1 and the de novo DNMTs
DNMT3A and DNMT3B, all of which are essential for mammalian development. Among
these DNMTs, the expression levels of DNMT3B were significantly decreased during
the hepatoblast differentiation. To accelerate the hepatoblast differentiation, a
DNMT3B-selective inhibitor, nanaomycin A, was treated during the hepatoblast
differentiation. The gene expression levels of hepatoblast markers (such as alpha
fetoprotein and hepatocyte nuclear factor 4 alpha) were increased by the
nanaomycin A treatment. On the other hand, the gene expression levels of
hepatoblast markers were decreased by DNMT3B overexpression. These results
suggest that it might be possible to promote the hepatoblast differentiation by
DNMT3B inhibition using nanaomycin A. Importantly, we also confirmed that the
hepatocyte differentiation potency of nanaomycin A-treated hepatoblast-like cells
was higher than that of dimethyl sulfoxide-treated hepatoblast-like cells. Our
findings should assist in the future generation of functional HLCs for
pharmaceutical research.
PMID- 29378472
TI - Discriminatory miRNAs for the Management of Papillary Thyroid Carcinoma and
Noninvasive Follicular Thyroid Neoplasms with Papillary-Like Nuclear Features.
AB - BACKGROUND: Papillary thyroid carcinoma (PTC) variants have several overlapping
clinical and pathological features. The World Health Organization recently
published a new classification of thyroid tumors containing significant
revisions. Encapsulated papillary thyroid carcinoma (EPTC) has been recognized as
a distinctive variant of PTC. The noninvasive encapsulated follicular variant of
PTC has been reclassified as noninvasive follicular thyroid neoplasms with
papillary-like nuclear features (NIFTP). Different neoplasms are associated with
different outcomes and require different clinical management. The objective of
this study was to explore the miRNA expression patterns specific for classic PTC
(cPTC), EPTC, follicular variant of PTC, and NIFTP in order to identify
biomarkers of diagnostic and prognostic utility aiming for better clinical
decisions. METHODS: The expression of 84 miRNAs was determined by quantitative
real-time polymerase chain reaction in 113 thyroid tissues of PTC (classic,
encapsulated, and follicular), NIFTP, and hyperplasia lesions. Expression of the
same miRNAs was tested in pre- and postoperative whole-blood samples. RESULTS:
Several miRNAs were differentially expressed in the different groups. Expression
profile of miRNAs in the tissue was similarly reflected in the circulation.
Receiver operating characteristic curve analysis showed that miR-7-5p, miR-222
3p, and miR-146b-5p can discriminate between the different groups with high
sensitivity and specificity. Downregulation of miR-144-3p, miR-15a-5p, miR-20a
5p, miR-32-5p miR-142-5p, miR-143-3p, and miR-20b-5p is associated with
aggressive behavior in cPTC. Circulating miR-146b-5p, miR-222-3p, miR-155-5p, and
miR-378a-3p are potential diagnostic and follow up biomarkers for PTC.
CONCLUSION: Downregulation of miR-7-5p discriminates NIFTP from hyperplasia.
Upregulation of miR-222-3p discriminates follicular variant of PTC from NIFTP.
High levels of miR-146b-5p distinctively characterize cPTC. These miRNAs are
useful biomarkers in the diagnosis of PTC and NIFTP, and help to avoid
unnecessary thyroidectomy and improve clinical management.
PMID- 29378470
TI - Prunella vulgaris L. Exerts a Protective Effect Against Extrinsic Aging Through
NF-kappaB, MAPKs, AP-1, and TGF-beta/Smad Signaling Pathways in UVB-Aged Normal
Human Dermal Fibroblasts.
AB - Prunella vulgaris L., a well-known traditional Chinese herbal medicine, has anti
inflammatory and antioxidant activities. In the present study, the underlying
molecular mechanisms of the protective effect of P. vulgaris extract (PVE) were
investigated in UVB-irradiated normal human dermal fibroblasts (NHDFs). The mRNA
expression of matrix metalloproteinases (MMPs), procollagen type I, and
cytokines, such as interleukin-6 (IL-6) and tumor necrosis factor (TNF-alpha),
was determined by reverse transcription-polymerase chain reaction. The expression
of anti-photoaging-related signaling molecules in the NF-kappaB, MAPK/AP-1, and
TGF/Smad pathways was assessed by western blot. We observed that PVE blocked the
upregulated production of radical oxygen species induced in UVB-irradiated NHDFs
in a dose-dependent manner. Treatment with PVE also significantly ameliorated the
mRNA levels of MMPs, procollagen type I, TNF-alpha, and IL-6. In addition, the
phosphorylation level of c-Jun and c-Fos was decreased through the attenuated
expression levels of p-ERK and p-JNK after treatment with PVE. Furthermore, cells
treated with PVE showed inhibited Smad 7 and increased Smad 2/3 expression in the
TGF-beta/Smad signaling pathway. Hence, synthesis of procollagen type I, a
precursor of collagen I, was promoted. These findings indicate that treatment
with PVE has a potential protective effect against UVB-induced photoaging and
photoinflammation.
PMID- 29378473
TI - Alpha suppression over parietal electrode sites predicts decisions to trust.
AB - Decisions to trust help form the basis of relationships and society yet little is
known about their neurophysiology. We told participants they were playing a coin
toss game with a trustworthy and an untrustworthy person and measured their
neural activity with EEG as they decided whether to trust those fictitious
interaction partners. Target people ostensibly correctly reported the outcome of
a coin toss on 66% of trials. Behaviorally, participants probability matched and
chose to trust the reported coin flips from each profile equally by the end of
100 trials. Electrophysiologically, there were reliable differences in the
pattern of oscillatory activity in the alpha band (8-13Hz) over parietal
electrode sites 1-3 s prior to their trust decisions. Specifically, for
trustworthy profiles, there was greater alpha suppression for trust decisions vs.
distrust decisions. Conversely, for untrustworthy profiles there was greater
alpha suppression for distrust decisions vs. trust decisions. This differential
activity (trust minus distrust) also predicted the number of trust decisions
made. Our results indicate that the intentions to trust people form very early in
the processing stream and manifest as alpha suppression over parietal cortex.
PMID- 29378475
TI - Commentary on Some Recent Theses Relevant to Combating Aging: February 2018.
PMID- 29378474
TI - Circulating BRAFV600E Levels Correlate with Treatment in Patients with Thyroid
Carcinoma.
AB - BACKGROUND: BRAFV600E is the most common mutation in papillary thyroid carcinoma
(PTC) and can be associated with aggressive disease. Previously, a highly
sensitive blood RNA-based BRAFV600E assay was reported. The objective of this
study was to assess the correlation of BRAFV600E circulating tumor RNA levels
with surgical and medical treatment. METHODS: Circulating BRAFV600E levels were
assessed in (i) a murine model of undifferentiated (anaplastic) thyroid carcinoma
with known BRAFV600E mutation undergoing BRAFV600E-inhibitor (BRAFi) treatment,
and (ii) in 111 patients enrolled prior to thyroidectomy (n = 86) or treatment of
advanced recurrent or metastatic PTC (n = 25). Blood samples were drawn for
BRAFV600E analysis before and after treatment. Testing characteristics were
assessed and positivity criteria optimized. Changes in blood BRAFV600E values
were assessed and compared to clinical characteristics and response to therapy.
RESULTS: In a murine model of anaplastic thyroid carcinoma with BRAFV600E
mutation, blood BRAFV600E RNA correlated with tumor volume in animals treated
with BRAFi. In tissue BRAFV600E-positive (n = 36) patients undergoing initial
surgery for PTC, blood BRAFV600E levels declined postoperatively (median 370.0
178.5 fg/ng; p = 0.002). In four patients with metastatic or poorly
differentiated thyroid carcinoma receiving targeted therapies, blood BRAFV600E
declined following therapy and corresponded with radiographic evidence of partial
response or stable disease. CONCLUSIONS: This study shows the correlation of
blood BRAFV600E levels in response to treatment in both an established animal
model of thyroid cancer and in patients with BRAFV600E-positive tumors with all
stages of disease. This assay represents an alternative biomarker in patients
with positive thyroglobulin antibodies, and tumors, which do not express
thyroglobulin.
PMID- 29378476
TI - Health-related quality of life and cost-effectiveness analysis of gum chewing in
patients undergoing colorectal surgery: results of a randomized controlled trial.
AB - BACKGROUND: Postoperative ileus (POI) and anastomotic leakage (AL) following
colorectal surgery severely increase healthcare costs and decrease quality of
life. This study evaluates the effects of reducing POI and AL via perioperative
gum chewing compared to placebo (control) on in-hospital costs, health-related
quality of life (HRQoL), and assesses cost-effectiveness. METHODS: In patients
undergoing elective, open colorectal surgery, changes in HRQoL were assessed
using EORTC-QLQ-C30 questionnaires and costs were estimated from a hospital
perspective. Incremental cost-effectiveness ratios were estimated. RESULTS: In
112 patients, mean costs for ward stay were significantly lower in the gum
chewing group when compared to control (?3522 (95% CI ?3034-?4010) versus ?4893
(95% CI ?3843-?5942), respectively, p = .020). No differences were observed in
mean overall in-hospital costs, or in mean change in any of the HRQoL scores or
utilities. Gum chewing was dominant (less costly and more effective) compared to
the control in more than 50% of the simulations for both POI and AL. CONCLUSION:
Reducing POI and AL via gum chewing reduced costs for ward stay, but did not
affect overall in-hospital costs, HRQoL, or mapped utilities. More studies with
adequate sample sizes using validated questionnaires at standardized time points
are needed.
PMID- 29378478
TI - Perspectives of Decision-Making for Corneal Donation: A Qualitative Research
Among Cancer Patients.
AB - This study investigates the subjective experiences of terminal cancer patients
who expedite their corneal donation decisions. The percentage of cancer patients
who donate their corneas postmortem is low in Taiwan. The reasons are complex and
needs further exploration. A qualitative design using content analysis was used.
A semistructured interview approach was adopted to interview cancer patients
recruited from a cancer ward in northern Taiwan. The study findings show that the
factors contributing to an aversive preference of cancer patients included the
necessity to consider the emotions of family members, traditional perceptions,
religious reasons, disease, and no reason at all. Most cancer patients maintain a
negative stance toward corneal donation. The results obtained in the present
study can be used as a reference for future in-service education and promotional
efforts regarding corneal donation. Discussing cornea donation needs to become a
routine end-of-life care discussion.
PMID- 29378477
TI - A review of the in vitro and in vivo valved holding chamber (VHC) literature with
a focus on the AeroChamber Plus Flow-Vu Anti-static VHC.
AB - Valved holding chambers (VHCs) reduce the need for inhalation-actuation
coordination with pressurized metered dose inhalers (pMDIs), reduce oropharyngeal
drug deposition and may improve lung deposition and clinical outcomes compared to
pMDIs used alone. While VHCs are thus widely advocated for use in vulnerable
patient groups within clinical and regulatory guidelines, there is less consensus
as to whether the performance differences between different VHCs have clinical
implications. This review evaluates the VHC literature, in particular the data
pertaining to large- versus small-volume chambers, aerosol performance with a VHC
adjunct versus a pMDI alone, charge dissipative/conducting versus non-conducting
VHCs, and facemasks, to ascertain whether potentially meaningful differences
between VHCs exist. Inconsistencies in the literature are examined and explained,
and relationships between in vitro and in vivo data are discussed. A particular
focus of this review is the AeroChamber Plus(r) Flow-Vu(r) Anti-static VHC, the
most recent iteration of the AeroChamber VHC family.
PMID- 29378479
TI - Preimplantation Genetic Diagnosis of Multiple Endocrine Neoplasia Type 2A Using
Informative Markers Identified by Targeted Sequencing.
AB - BACKGROUND: The revised guidelines for the management of medullary thyroid
carcinoma recommend that genetic counseling regarding reproductive options,
including preimplantation genetic diagnosis (PGD), be considered for all RET
mutation carriers of reproductive age to avoid the transmission of multiple
endocrine neoplasia type 2 (MEN2). However, the high complexity and cost of PGD
have hindered its widespread use. Thus, it is necessary to establish a simple and
relatively inexpensive method to facilitate the PGD of MEN2. PATIENTS AND
METHODS: A customized Nimblegen EZ sequence capture array was designed to capture
the targeted regions, including the RET gene, and 1 Mb range on each side of the
RET gene. Targeted, capture-based next-generation sequencing of three members of
one family with MEN2A (the couple and the paternal father) was conducted to
identify the informative markers. The diagnosis of the embryos was achieved
through haplotype analysis based on informative markers and causative mutation.
RESULTS: Based on the sequencing results, 173 informative markers were detected,
which were sufficient for the subsequent use for PGD. Seven informative markers
and the causative mutation (RETC634Y) were selected and subjected to Sanger
sequencing. Through haplotype analysis, four embryos without inheritance of the
mutation haplotype of the RET gene were diagnosed as unaffected. One unaffected
embryo was transferred, with one healthy baby born at 38 gestational weeks.
CONCLUSIONS: Targeted, capture-based next-generation sequencing for
identification of informative markers together with Sanger sequencing is an easy
and efficient method for the PGD of monogenic diseases such as MEN2.
PMID- 29378481
TI - Meta-analysis of the effects of cognitive-behavioral therapy on the core eating
disorder maintaining mechanisms: implications for mechanisms of therapeutic
change.
AB - The original and enhanced cognitive model of eating disorders proposes that
cognitive-behavioral therapy (CBT) "works" through modifying dietary restraint
and dysfunctional attitudes towards shape and weight. However, evidence
supporting the validity of this model is limited. This meta-analysis examined
whether CBT can effectively modify these proposed maintaining mechanisms.
Randomized controlled trials that compared CBT to control conditions or non-CBT
interventions, and reported dietary restraint and shape and weight concern
outcomes were searched. Twenty-nine trials were included. CBT was superior to
control conditions in reducing shape (g=0.53) and weight (g=0.63) concerns, and
dietary restraint (g=0.36). These effects occurred across all diagnoses and
treatment formats. Improvements in shape and weight concerns and restraint were
also greater in CBT than non-CBT interventions (g's=0.25, 0.24, 0.31,
respectively) at post-treatment and follow-up. The magnitude of improvement in
binge/purge symptoms was related to the magnitude of improvement in these
maintaining mechanisms. Findings demonstrate that CBT has a specific effect in
targeting the eating disorder maintaining mechanisms, and offers support to the
underlying cognitive model. If changes in these variables during treatment are
shown to be causal mechanisms, then these findings show that CBT, relative to non
CBT interventions, is better able to modify these mechanisms.
PMID- 29378480
TI - Irbesartan prevents sodium channel remodeling in a canine model of atrial
fibrillation.
AB - INTRODUCTION: Activation of the renin-angiotensin system (RAS) plays an important
role in atrial electrical remodeling (AER). The purpose of the present study was
to evaluate the effects of irbesartan on cardiac sodium current (INa) in a canine
model of atrial fibrillation. MATERIALS AND METHODS: Eighteen dogs were
randomized into sham, pacing or pacing+irbesartan groups ( n = 6 in each group).
The dogs in the pacing and irbesartan group were paced at 500 bpm for two weeks.
Irbesartan (60 mg.kg-1.d-1) was administered orally in the pacing+irbesartan
groups. INa was recorded using the whole-cell patch clamp technique from canine
atrial myocytes. The expressions of cardiac Na+ channels (Nav1.5) mRNA were semi
quantified by reverse transcription-polymerase chain reaction. RESULTS: Our
results showed that INa density and Nav1.5 mRNA expression in the pacing group
decreased significantly ( p < 0.05 vs. sham). However, rapid atrial pacing had no
effects on the half-activation voltage (V1/2act) and half-inactivation voltage
(V1/2inact) of INa ( p > 0.05 vs. sham). Irbesartan significantly increased INa
densities and gene expression and hyperpolarized V1/2act without concomitant
changes in V1/2inact. CONCLUSIONS: Irbesartan significantly increased INa
densities, which contributed to improving intra-atrial conduction and prevented
the induction and promotion of AF in atrial pacing dogs.
PMID- 29378482
TI - Longevity Escape Velocity Medicine: A New Medical Specialty for Longevity?
PMID- 29378483
TI - Mapping the central effects of (+/-)-ketamine and traxoprodil using
pharmacological magnetic resonance imaging in awake rats.
AB - Major depressive disorder is a leading cause of disability globally. Improvements
in the efficacy of antidepressant therapy are needed as a high proportion (>40%)
of individuals with major depressive disorder fail to respond adequately to
current treatments. The non-selective N-methyl-D-aspartate receptor channel
blocker, (+/-)-ketamine, has been reported to produce a rapid and long-lasting
antidepressant response in treatment-resistant major depressive disorder
patients, which provides a unique opportunity for investigation of mechanisms
that mediate its therapeutic effect. Efforts have also focused on the development
of selective N-methyl-D-aspartate receptor subtype 2B antagonists which may
retain antidepressant activity but have lower potential for
dissociative/psychotomimetic effects. In the present study, we examined the
central nervous system effects of acute, intravenous administration of (+/-)
ketamine or the N-methyl-D-aspartate receptor subtype 2B antagonist, traxoprodil,
in awake rats using pharmacological magnetic resonance imaging. The study
contained five treatment groups: vehicle, 3 mg/kg (+/-)-ketamine, and three doses
of traxoprodil (0.3 mg/kg, 5 mg/kg, and 15 mg/kg). Non-linear model fitting was
performed on the temporal hemodynamic pharmacological magnetic resonance imaging
data to generate brain activation maps as well as regional responses based on
blood oxygen level dependent signal changes for group analysis. Traxoprodil at 5
mg/kg and 15 mg/kg produced a dose-dependent pharmacological magnetic resonance
imaging signal in rat forebrain regions with both doses achieving >80% N-methyl-D
aspartate receptor subtype 2B occupancy determined by ex vivo [3H]Ro 25-6981
binding. The middle dose of traxoprodil (5 mg/kg) generated region-specific
activations in medial prefrontal cortex, ventral orbital cortex, and anterior
cingulate cortex whereas the high dose (15 mg/kg) produced a widespread
pharmacological magnetic resonance imaging response in both cortical and
subcortical brain regions which was similar to that produced by (+/-)-ketamine (3
mg/kg, intravenous).
PMID- 29378485
TI - Treatment of lower urinary tract symptoms/benign prostatic hyperplasia and
erectile dysfunction.
AB - This article summarizes years of challenging research on erectile dysfunction
(ED), a condition that has an important social and cultural relevance.
Preclinical and clinical research progress has led to new therapeutic approaches
to ED in patients with different comorbidities and particularly in those with low
urinary tract symptoms (LUTS)/benign prostatic hyperplasia (BPH). These goals
were possible only by combined work of specialists and researchers of different
and intertwined medical disciplines. Currently, tadalafil (5 mg/d) is the best
choice; other phosphodiesterase-5 inhibitors (PDE5i) are not included among
options, despite the growing evidence of therapeutic effects. Different regimens
of tadalafil may be prescribed based on patient needs, severity of LUTS/BPH - ED
profile, and clinical experience. An integrated approach is necessary to choose
for a combined therapy with PDE5i and alpha-blockers following urological and
cardiac counseling in terms of outcomes and adverse effects.
PMID- 29378486
TI - Incremental burden of type 2 diabetes in patients experiencing cardiovascular
hospitalizations.
AB - OBJECTIVE: To evaluate the incremental economic burden of type 2 diabetes in
patients experiencing cardiovascular (CV) hospitalizations. RESEARCH DESIGN AND
METHODS: Adults with >=1 CV hospitalization were identified using a US-based
healthcare claims database from 1 July 2011 to 30 June 2014. Outcomes for
patients surviving the index hospitalization were compared between patients with
vs. without type 2 diabetes (cohorts were identified in the pre-index period).
Subsequent CV hospitalizations were evaluated using Cox proportional hazards
models. All-cause and CV-related healthcare resource utilization (HCRU) and costs
captured on a per-patient per-month (PPPM) basis during a variable follow-up
period were evaluated using appropriate multivariable regression models. RESULTS:
Of 316,207 patients with >=1 CV hospitalization, 23% had comorbid type 2
diabetes. The mean age +/- SD was 62.6 +/- 12.3 years and 64.4% were male. During
follow-up, the type 2 diabetes cohort had a 19% higher risk of subsequent CV
hospitalizations compared to the non-type-2-diabetes cohort (p < .001). This
difference in risk was highest in patients aged 35-44 years. Subsequent all-cause
hospitalizations for the type 2 diabetes cohort were longer (mean length of stay,
6.7 vs. 6.3 days; p < .001), with higher total bed-days PPPM (mean, 0.52 vs.
0.43; p < .001), compared to the non-type-2-diabetes cohort. The type 2 diabetes
cohort had a significantly higher incremental cost for both the index CV
hospitalization (mean cost difference, $1046; p < .001) and all-cause costs PPPM
following discharge (mean cost difference, $749; p < .001). CONCLUSIONS: Comorbid
type 2 diabetes was associated with an increased risk of subsequent CV
hospitalizations and higher costs and HCRU during the follow-up period.
PMID- 29378484
TI - The relationship between ACE/AGT gene polymorphisms and the risk of diabetic
retinopathy in Chinese patients with type 2 diabetes.
AB - AIMS: This study aims to investigate the association between renin-angiotensin
system gene polymorphism and diabetic retinopathy (DR) in Chinese patients with
type 2 diabetes. METHODS: We consecutively included 1491 patients for the
assessment of ACE I/D and AGT M/T gene polymorphisms in 345 DR cases and 1146
patients without retinopathy (DNR). Albuminuria was defined by urine albumin
creatinine ratio and albumin excretion rate. RESULTS: Compared with the NDR
patients, the DR cases displayed a higher proportion of diabetic nephropathy
(32.68% vs. 6.52%, chi2 = 150.713, p < 0.001). The DR cases and DNR individuals
did not differ in the frequency of genotypes and alleles of ACE I/D and AGT M/T
(all p > 0.05). Intriguingly, DR patients with obesity showed higher frequency of
DD (chi2 = 4.181, p = 0.041), but no significant difference exists in the other
stratified BMI and hypertension analyses (all p > 0.05). Binary logistic
regression displays that the association of the ACE and AGT gene polymorphisms in
DR patients is not significant after adjusting for confounding covariates in all
the comparisons. CONCLUSIONS: The ACE and AGT gene polymorphisms are not
associated with the progress of diabetes developing into retinopathy in Chinese
patients with type 2 diabetes. However, more investigations are needed to further
prove the association.
PMID- 29378487
TI - Incidence, Risk Factors, and Outcome of Acute Kidney Injury in Neurocritical
Care.
AB - PURPOSE: Acute kidney injury (AKI) is a severe complication in medical and
surgical intensive care units accounting for a high morbidity and mortality.
Incidence, risk factors, and prognostic impact of this deleterious condition are
well established in this setting. Data concerning the neurocritically ill
patients is scarce. Therefore, aim of this study was to determine the incidence
of AKI and elucidate risk factors in this special population. METHODS: Patients
admitted to a specialized neurocritical care unit between 2005 and 2011 with a
length of stay above 48 hours were analyzed retrospectively for incidence, cause,
and outcome of AKI (AKI Network-stage >=2). RESULTS: The study population
comprised 681 neurocritically ill patients from a mixed neurosurgical and
neurological intensive care unit. The prevalence of chronic kidney disease (CKD)
was 8.4% (57/681). Overall incidence of AKI was 11.6% with 36 (45.6%) patients
developing dialysis-requiring AKI. Sepsis was the main cause of AKI in nearly 50%
of patients. Acute kidney injury and renal replacement therapy are independent
predictors of worse outcome (hazard ratio [HR]: 3.704; 95% confidence interval
[CI]: 1.867-7.350; P < .001; and HR: 2.848; CI: 1.301-6.325; P = .009). Chronic
kidney disease was the strongest independent risk factor (odds ratio: 12.473; CI:
5.944-26.172; P < .001), whereas surgical intervention or contrast agents were
not associated with AKI. CONCLUSIONS: Acute kidney injury in neurocritical care
has a high incidence and is a crucial risk factor for mortality independently of
the underlying neurocritical condition. Sepsis is the main cause of AKI in this
setting. Therefore, careful prevention of infectious complications and
considering CKD in treatment decisions may lower the incidence of AKI and hereby
improve outcome in neurocritical care.
PMID- 29378488
TI - Commentary on Martinez-Mendez D. et al. Intra-articular distal radius fractures
in elderly patients: a randomized prospective study of casting versus volar
plating.
PMID- 29378491
TI - Sixth annual Paul R. Manske Award for the best upper-extremity congenital
research manuscript.
PMID- 29378493
TI - Hand surgery in South Africa.
PMID- 29378492
TI - Why and how to seek hand surgery training abroad.
PMID- 29378494
TI - Letter about a Published Paper.
PMID- 29378496
TI - Nutraceuticals to promote neuronal plasticity in response to corticosterone
induced stress in human neuroblastoma cells.
AB - OBJECTIVES: To search for novel compounds that will protect neuronal cells under
stressed conditions that may help to restore neuronal plasticity. METHODS: A
model of corticosterone (CORT)-induced stress in human neuroblastoma cells (SH
SY5Y) was used to compare the efficacy of 6 crude extracts and 10 pure compounds
(6 polyphenols, 2 carotenoids, 1 amino acid analogue, and 1 known antidepressant
drug) to increase neuronal plasticity and to decrease cytotoxicity. RESULTS:
Astaxanthin (among pure compounds) and phlorotannin extract of Fucus vesiculosus
(among crude extracts) showed a maximum increase in cell viability in the
presence of excess CORT. BDNF-VI mRNA expression in SH-SY5Y cells was
significantly improved by pretreatment with quercetine, astaxanthin, curcumin,
fisetin, and resveratrol. Among crude extracts, xanthohumol, phlorotannin extract
(Ecklonia cava), petroleum ether extract (Nannochloropsis oculata), and
phlorotannin extract (F. vesiculosus) showed a significant increase in BDNF-VI
mRNA expression. CREB1 mRNA expression was significantly improved by astaxanthin,
beta-carotene, curcumin, and fluoxetine whereas none of the crude extracts caused
significant improvement. As an adjunct of fluoxetine, phlorotannin extract (F.
vesiculosus), beta-carotene, and xanthohumol have resulted in significant
improvement in BDNF-VI mRNA expression and CREB1 mRNA expression was
significantly improved by phlorotannin extract (F. vesiculosus). Significant
improvement in mature BDNF protein expression by phlorotannin extract (F.
vesiculosus) and beta-carotene as an adjunct of fluoxetine confirm their
potential to promote neuronal plasticity against CORT-induced stress. DISCUSSION:
The carotenoids, flavonoids, namely quercetine, curcumin, and low molecular
weight phlorotannin-enriched extract of F. vesiculosus may serve as potential
neuroprotective agents promoting neuronal plasticity in vitro. Graphical
abstract: Cascade of events associated with disturbed homeostatic balance of
glucocorticoids and impact of phlorotannin extract (F. vesiculosus) and beta
carotene in restoring neuronal plasticity. Abbreviation: TrKB, tropomyosin
receptor kinase B; P-ERK, phosphorylated extracellular signal-related kinase;
PI3K, phosphatidylinositol 3-kinase; Akt, protein kinase B; Ca++/CaMK,
calcium/calmodulin-dependent protein kinase; pCREB, phosphorylated cAMP response
element-binding protein; CRE, cAMP response elements, CORT, corticosterone; and
BDNF; brain-derived neurotrophic factor.
PMID- 29378497
TI - Postoperative CEA is a better prognostic marker than CA19-9, hCGbeta or TATI
after resection of colorectal liver metastases.
AB - Liver metastases of colorectal cancer can be operated with a curative intent in
selected cases. However, more than half of the patients have a recurrence. The
aim of this study was to evaluate the prognostic and predictive value of
carcinoembryonic antigen (CEA), carbohydrate antigen 19-9 (CA19-9), human
chorionic gonadotropin beta (hCGbeta) and tumour-associated trypsin-inhibitor
(TATI) in colorectal cancer patients before and 3 months after resection of liver
metastases. Marker concentrations were determined in blood samples from 168
colorectal cancer patients, who underwent liver resection between the years 1998
and 2007 at Helsinki University Hospital, Finland. The samples were taken before
and 3 months after curative resection. Increased concentrations of CEA (>5 ug/L)
and hCGbeta (>1 pmol/L) 3 months after liver resection correlated with recurrence
and impaired overall survival and increased CA19-9 (>26 kU/L) with impaired
overall survival, but postoperative TATI was not prognostic. Preoperatively
elevated CEA and CA19-9 correlated with impaired overall survival, but not with
recurrence. Neither preoperative hCGbeta nor TATI was prognostic. In conclusion,
CEA is a useful prognostic marker, when measured 3 months after resection of
colorectal liver metastases. CA19-9 also has prognostic significance and may have
additional value.
PMID- 29378498
TI - Evaluating oil palm fresh fruit bunch processing in Nigeria.
AB - Three routes of oil palm fresh fruit bunch (FFB) processing in Nigeria namely,
industrial, small-scale and traditional were compared by means of determining
fruit losses associated with each route. The fruits that are not recovered after
each process were hand-picked and quantified in terms of crude palm oil (CPO),
palm kernel (PK), mesocarp fibre (MF) and palm kernel shell (PKS). The energy
value of empty fruit bunch (EFB), MF and PKS were used to determine the value of
energy lost for each route. Additionally, the environmental implications of
disposal of EFB were estimated, and socio-economics of the industrial and small
scale routes were related. The analysis showed that 29, 18, 75 and 27 kg of CPO,
PK, MF and PKS were lost for every 1000 kg of FFB processed with the industrial
route, whereas 5.6, 3.2, 1.4 and 5.1 g were lost with the small-scale route,
respectively. Approximately 89 kWh and 31 kWh more energy were lost from MF and
PKS with the industrial route than the other two routes, respectively. An
equivalent of 6670 tonnes carbon dioxide equivalent of methane and nitrogen oxide
was released due to the disposal of 29,000 tonnes of EFB from one palm oil mill.
The monetary value of lost CPO per 1000 kg of FFB processed in the industrial
route is more than the labour cost of processing 1000 kg of FFB in the small
scale route. The advantages of the industrial route are high throughput in terms
of FFB processed per hour and high quality of CPO; however, high fruit loss is
associated with it and therefore, the poorly threshed EFB is recommended to be
fed into the small-scale route.
PMID- 29378499
TI - Effects of the opening of the Qinghai-Tibet Railway on municipal solid waste
management generation in Lhasa.
AB - Lhasa, the capital of Tibet, is located on the Tibetan Plateau. Accelerated
economic development and flourishing tourism resulting from the opening of the
Qinghai-Tibet Railway (QTR) have increased solid waste generation and
contamination in recent years. Using data from Lhasa Statistical Yearbooks and
previous studies, this study estimates the future population of permanent
residents and tourists using the least squares method to extrapolate the
population from 2015-2025, and evaluates the effects of the QTR on municipal
solid waste (MSW) generation in Lhasa and estimates future MSW generation. There
were approximately 1.35 million tourists in 2008 when the QTR had been operating
for 2 years and MSW generation was approximately 470 tons per day. The amount of
MSW generated increased dramatically with time after opening the QTR. This study
estimates that MSW generation will reach 962 tons per day in 2025. Due to the
existence of the QTR, increasing numbers of people are traveling to Lhasa, and
tourism has driven the development of the local economy. During the studies, the
proportion of MSW produced by tourists increased from 2.99% to 20.06%, and it is
estimated that it will increase to 33.49% in 2025. If the current trend
continues, Lhasa will face significant challenges from garbage disposal. This
study analyzes the current situation of urban garbage treatment in Lhasa, and it
suggests several options for improvement to MSW generation, transportation
equipment, disposal, and resource recycling.
PMID- 29378500
TI - Solid-phase partitioning of mercury in artisanal gold mine tailings from selected
key areas in Mindanao, Philippines, and its implications for mercury
detoxification.
AB - The solid-phase partitioning of mercury could provide necessary data in the
identification of remediation techniques in contaminated artisanal gold mine
tailings. This study was conducted to determine the total mercury content of mine
wastes and identify its solid-phase partitioning through selective sequential
extraction coupled with cold vapour atomic absorption spectroscopy. Samples from
mine tailings and the carbon-in-pulp (CIP) process were obtained from selected
key areas in Mindanao, Philippines. The results showed that mercury use is still
prevalent among small-scale gold miners in the Philippines. Tailings after ball
mill-gravity concentration (W-BM and Li-BM samples) from Mt Diwata and Libona
contained high levels of mercury amounting to 25.024 and 6.5 mg kg-1,
respectively. The most prevalent form of mercury in the mine tailings was
elemental/amalgamated mercury, followed by water soluble, exchangeable, organic
and strongly bound phases, respectively. In contrast, mercury content of carbon
in-pulp residues were significantly lower at only 0.3 and 0.06 mg kg-1 for P-CIP
(Del Pilar) and W-CIP (Mt Diwata), respectively. The bulk of mercury in P-CIP
samples was partitioned in residual fraction while in W-CIP samples, water
soluble mercury predominated. Overall, this study has several important
implications with regards to mercury detoxification of contaminated mine tailings
from Mindanao, Philippines.
PMID- 29378501
TI - Comparative Proteomic Analysis of Liver Steatosis and Fibrosis after Oral
Hepatotoxicant Administration in Sprague-Dawley Rats.
AB - The past decade has seen an increase in the development and clinical use of
biomarkers associated with histological features of liver disease. Here, we
conduct a comparative histological and global proteomics analysis to identify
coregulated modules of proteins in the progression of hepatic steatosis or
fibrosis. We orally administered the reference chemicals bromobenzene (BB) or
4,4'-methylenedianiline (4,4'-MDA) to male Sprague-Dawley rats for either 1
single administration or 5 consecutive daily doses. Livers were preserved for
histopathology and global proteomics assessment. Analysis of liver sections
confirmed a dose- and time-dependent increase in frequency and severity of
histopathological features indicative of lipid accumulation after BB or fibrosis
after 4,4'-MDA. BB administration resulted in a dose-dependent increase in the
frequency and severity of inflammation and vacuolation. 4,4'-MDA administration
resulted in a dose-dependent increase in the frequency and severity of periportal
collagen accumulation and inflammation. Pathway analysis identified a time
dependent enrichment of biological processes associated with steatogenic or
fibrogenic initiating events, cellular functions, and toxicological states.
Differentially expressed protein modules were consistent with the observed
histology, placing physiologically linked protein networks into context of the
disease process. This study demonstrates the potential for protein modules to
provide mechanistic links between initiating events and histopathological
outcomes.
PMID- 29378502
TI - Facial anthropometric measurements in Iranian male workers using Digimizer
version 4.1.1.0 image analysis software: a pilot study.
AB - OBJECTIVE: Craniometry is a subset of anthropometry, which measures the
anatomical sizes of the head and face (craniofacial indicators). These dimensions
are used in designing devices applied in the facial area, including respirators.
This study was conducted to measure craniofacial dimensions of Iranian male
workers required for face protective equipment design. METHODS: In this study,
facial anthropometric dimensions of 50 randomly selected Iranian male workers
were measured by photographic method and Digimizer version 4.1.1.0. Ten facial
dimensions were extracted from photographs and measured by Digimizer version
4.1.1.0. Mean, standard deviation and 5th, 50th and 95th percentiles for each
dimension were determined and the relevant data bank was established. RESULTS:
The anthropometric data bank for the 10 dimensions required for respirator design
was provided for the target group with photo-anthropometric methods. The results
showed that Iranian face dimensions were different from those of other nations
and ethnicities. CONCLUSIONS: In this pilot study, anthropometric dimensions
required for half-mask respirator design for Iranian male workers were measured
by Digimizer version 4.1.1.0. The obtained anthropometric tables could be useful
for the design of personal face protective equipment.
PMID- 29378503
TI - Editorial: Bioactive Fungal Metabolites.
PMID- 29378504
TI - Preface.
PMID- 29378505
TI - Editorial: Applications of Medicinal Bioinorganic Chemistry.
PMID- 29378506
TI - Editorial: Recent Trends in Anticancer Drug Development: Challenges and
Opportunities.
PMID- 29378507
TI - Editorial: Microwave-induced Organic Reactions Toward Biologically Active
Molecules.
PMID- 29378508
TI - Memory profiles in Down syndrome across development: a review of memory abilities
through the lifespan.
AB - Down syndrome (DS) is associated with a variety of cognitive impairments, notably
memory impairments. Due to the high prevalence rates of early-onset dementia
associated with DS, it is imperative to understand the comprehensive development
of memory impairments beginning in childhood and into adulthood, as this may help
researchers identify precursors of dementia at earlier stages of development and
pinpoint targets for memory intervention. The current paper provides a
systematic, developmentally focused review of the nature of memory difficulties
in DS across the lifespan. Specifically, this review summarizes what is known
about long-term, short-term, and working memory abilities (distinguishing between
verbal and nonverbal modalities) in DS, compared to both mental age-matched
typically developing peers and individuals with other forms of intellectual
disability (ID) at three developmental stages (i.e., preschool, adolescence, and
adulthood). Additionally, this review examines the degree of impairment reported
relative to typically developing mental age-matched peers in the existing
literature by examining effect size data across memory domains as a function of
age. With few exceptions, memory abilities were impaired across the lifespan
compared to mental age-matched typically developing peers. Relative to other
groups with ID, research findings are mixed. Our review of the literature
identified a scarcity of memory studies in early childhood, particularly for STM
and WM. In adulthood, research was limited in the LTM and WM domains and very
little research has compared memory abilities in older adults with DS to those
with typical development. Looking to the future, longitudinal studies could
provide a better understanding of the developmental trajectory of memory
abilities in DS, and the possible associations between memory abilities and real
world functioning. This research could ultimately inform interventions to improve
independence and overall quality of life for those with DS and their families.
PMID- 29378509
TI - Quantitative or qualitative transcriptional diagnostic signatures? A case study
for colorectal cancer.
AB - BACKGROUND: Due to experimental batch effects, the application of a quantitative
transcriptional signature for disease diagnoses commonly requires inter-sample
data normalization, which would be hardly applicable under common clinical
settings. Many cancers might have qualitative differences with the non-cancer
states in the gene expression pattern. Therefore, it is reasonable to explore the
power of qualitative diagnostic signatures which are robust against experimental
batch effects and other random factors. RESULTS: Firstly, using data of technical
replicate samples from the MicroArray Quality Control (MAQC) project, we
demonstrated that the low-throughput PCR-based technologies also exist large
measurement variations for gene expression even when the samples were measured in
the same test site. Then, we demonstrated the critical limitation of low
stability for classifiers based on quantitative transcriptional signatures in
applications to individual samples through a case study using a support vector
machine and a naive Bayesian classifier to discriminate colorectal cancer tissues
from normal tissues. To address this problem, we identified a signature
consisting of three gene pairs for discriminating colorectal cancer tissues from
non-cancer (normal and inflammatory bowel disease) tissues based on within-sample
relative expression orderings (REOs) of these gene pairs. The signature was well
verified using 22 independent datasets measured by different microarray and
RNA_seq platforms, obviating the need of inter-sample data normalization.
CONCLUSIONS: Subtle quantitative information of gene expression measurements
tends to be unstable under current technical conditions, which will introduce
uncertainty to clinical applications of the quantitative transcriptional
diagnostic signatures. For diagnosis of disease states with qualitative
transcriptional characteristics, the qualitative REO-based signatures could be
robustly applied to individual samples measured by different platforms.
PMID- 29378512
TI - Is perceived intolerance to milk and wheat associated with the corresponding IgG
and IgA food antibodies? A cross sectional study in subjects with morbid obesity
and gastrointestinal symptoms.
AB - BACKGROUND: Serum IgG and IgA food antibodies have been used for dietary advice
to subjects with gastrointestinal symptoms and perceived food intolerance, but
the role of these antibodies in mediating intolerance is controversial. The
present study investigated associations between perceived gastrointestinal
intolerance to milk-or wheat and the corresponding s-IgG and s-IgA food
antibodies in subjects with morbid obesity. METHODS: Subjects with morbid obesity
(BMI >= 40 kg/m2 or >=35 kg/m2 with obesity-related complications) were included.
Irritable Bowel Syndrome (IBS) was diagnosed based on the Rome III criteria.
Severity of specific gastrointestinal symptoms were measured with the
Gastrointestinal Symptom Rating Scale (GSRS)-IBS. S-IgG against cow's milk,
cheese, wheat and gluten, and s-IgA against casein and gliadin were measured.
RESULTS: Ninety-seven subjects (80 females) with mean age 45 (SD 8.4) years were
included, 70 had gastrointestinal complaints, 25 had IBS, and 22 and 20 reported
milk- and wheat- intolerance respectively. There were no significant differences
in serum concentrations or proportions of subjects above defined cut-off values
for the antibodies between subjects with and without gastrointestinal complaints.
In the group with gastrointestinal complaints, no significant differences were
found between subjects with and without perceived food intolerance. Except for a
significant correlation between IgG against cheese and GSRS-diarrhea (Rho: -0.25,
P = 0.04), no significant correlations were found between the antibodies and type
or degree of gastrointestinal symptoms, including IBS. CONCLUSIONS: The study
showed no associations between perceived milk or wheat intolerance and the
corresponding s-IgG and s-IgA food antibodies in subjects with morbid obesity.
PMID- 29378511
TI - Integrated omics data of two annual ryegrass (Lolium multiflorum L.) genotypes
reveals core metabolic processes under drought stress.
AB - BACKGROUND: Annual ryegrass (Lolium multiflorum L.) is a commercially important,
widely distributed forage crop that is used in the production of hay and silage
worldwide. Drought has been a severe environmental constraint in its production.
Nevertheless, only a handful of studies have examined the impact of short-term
drought stress on annual ryegrass. The aim of this study was to explore how
stress-induced core metabolic processes enhance drought tolerance, or adaptation
to drought, in annual ryegrass. RESULTS: We profiled the transcriptomes,
proteomes, and metabolomes of two annual ryegrass genotypes: the drought
resistant genotype "Abundant 10" and drought-susceptible genotype "Adrenalin 11."
We identified differentially expressed metabolites and their corresponding
proteins and transcripts that are involved in 23 core metabolic processes, in
response to short-term drought stress. Protein-gene-metabolite correlation
networks were built to reveal the relationships between the expression of
transcripts, proteins, and metabolites in drought-resistant annual ryegrass.
Furthermore, integrated metabolic pathways were used to observe changes in
enzymes corresponding with levels of amino acids, lipids, carbohydrate
conjugates, nucleosides, alkaloids and their derivatives, and pyridines and their
derivatives. The resulting omics data underscored the significance of 23 core
metabolic processes on the enhancement of drought tolerance or adaptation to
drought in annual ryegrass. CONCLUSIONS: The regulatory networks were inferred
using MCoA and correlation analysis to reveal the relationships among the
expression of transcripts, proteins, and metabolites that highlight the
corresponding elements of these core metabolic pathways. Our results provide
valuable insight into the molecular mechanisms of drought resistance, and
represent a promising strategy toward the improvement of drought tolerance in
annual ryegrass.
PMID- 29378513
TI - New anthropometric indices or old ones: which perform better in estimating
cardiovascular risks in Chinese adults.
AB - BACKGROUND: Various anthropometric indices can be used to estimate obesity, and
it is important to determine which one is the best in predicting the risk of
coronary heart disease (CHD) and to define the optimal cut-off point for the best
index. METHODS: This cross-sectional study investigated a consecutive sample of
11,247 adults, who had lived in rural areas of China and were older than 35 years
of age. Eight obesity indices, including the body mass index (BMI), waist
circumference (WC), waist-to-hip ratio (WHR), waist-to-height ratio (WHtR),
abdominal volume index (AVI), body adiposity index (BAI), body roundness index
(BRI) and a body shape index (ABSI) were investigated. The risk of CHD was
evaluated by the 10-year coronary event risk (Framingham risk score). Receiver
operating characteristic (ROC) curve analyses were used to evaluate the
predictive ability of the obesity indices for CHD risk. RESULTS: Of the whole
population, 3636 (32.32%) participants had a risk score higher than 10%. Those
who suffered medium or high CHD risk were more likely to have higher mean
anthropometric indices, except for BMI in males. In the multivariate-adjusted
logistic regression, all these anthropometric measurements were statistically
associated with CHD risk in males. After adjusting for all the possible
confounders, these anthropometric measurements, except for ABSI, remained as
independent indicators of CHD risk in females. According to the ROC analyses,
ABSI provided the largest area under the curve (AUC) value in males, and BMI
showed the lowest AUC value, with AUC varying from 0.52 to 0.60. WHtR and BRI
provided the largest AUC value in female, and similarly, BMI showed the lowest
AUC value, with AUC varying from 0.59 to 0.70. The optimal cut-off values were as
follows: WHtR (females: 0.54), BRI (females: 4.21), and ABSI (males: 0.078).
CONCLUSIONS: ABSI was the best anthropometric index for estimating CHD risk in
males, and WHtR and BRI were the best indicators in females. Males should
maintain an ABSI of less than 0.078, and females should maintain a WHtR of less
than 0.54 or a BRI of less than 4.21.
PMID- 29378510
TI - Transcriptomic profiling of Burkholderia phymatum STM815, Cupriavidus taiwanensis
LMG19424 and Rhizobium mesoamericanum STM3625 in response to Mimosa pudica root
exudates illuminates the molecular basis of their nodulation competitiveness and
symbiotic evolutionary history.
AB - BACKGROUND: Rhizobial symbionts belong to the classes Alphaproteobacteria and
Betaproteobacteria (called "alpha" and "beta"-rhizobia). Most knowledge on the
genetic basis of symbiosis is based on model strains belonging to alpha-rhizobia.
Mimosa pudica is a legume that offers an excellent opportunity to study the
adaptation toward symbiotic nitrogen fixation in beta-rhizobia compared to alpha
rhizobia. In a previous study (Melkonian et al., Environ Microbiol 16:2099-111,
2014) we described the symbiotic competitiveness of M. pudica symbionts belonging
to Burkholderia, Cupriavidus and Rhizobium species. RESULTS: In this article we
present a comparative analysis of the transcriptomes (by RNAseq) of B. phymatum
STM815 (BP), C. taiwanensis LMG19424 (CT) and R. mesoamericanum STM3625 (RM) in
conditions mimicking the early steps of symbiosis (i.e. perception of root
exudates). BP exhibited the strongest transcriptome shift both quantitatively and
qualitatively, which mirrors its high competitiveness in the early steps of
symbiosis and its ancient evolutionary history as a symbiont, while CT had a
minimal response which correlates with its status as a younger symbiont (probably
via acquisition of symbiotic genes from a Burkholderia ancestor) and RM had a
typical response of Alphaproteobacterial rhizospheric bacteria. Interestingly,
the upregulation of nodulation genes was the only common response among the three
strains; the exception was an up-regulated gene encoding a putative fatty acid
hydroxylase, which appears to be a novel symbiotic gene specific to Mimosa
symbionts. CONCLUSION: The transcriptional response to root exudates was
correlated to each strain nodulation competitiveness, with Burkholderia phymatum
appearing as the best specialised symbiont of Mimosa pudica.
PMID- 29378514
TI - Integrated ovarian mRNA and miRNA transcriptome profiling characterizes the
genetic basis of prolificacy traits in sheep (Ovis aries).
AB - BACKGROUND: The highly prolific breeds of domestic sheep (Ovis aries) are
globally valuable genetic resources for sheep industry. Genetic, nutritional and
other environmental factors affect prolificacy traits in sheep. To improve our
knowledge of the sheep prolificacy traits, we conducted mRNA-miRNA integrated
profiling of ovarian tissues from two pure breeds with large (Finnsheep) vs.
small (Texel) litter sizes and their F1 crosses, half of which were fed a
flushing diet. RESULTS: Among the samples, 16,402 genes (60.6% known ovine genes)
were expressed, 79 novel miRNAs were found, and a cluster of miRNAs on chromosome
18 was detected. The majority of the differentially expressed genes between
breeds were upregulated in the Texel with low prolificacy, owing to the flushing
diet effect, whereas a similar pattern was not detected in the Finnsheep. F1 ewes
responded similarly to Finnsheep rather than displaying a performance
intermediate between the two pure breeds. CONCLUSIONS: The identification and
characterization of differentially expressed genes and miRNAs in the ovaries of
sheep provided insights into genetic and environmental factors affecting
prolificacy traits. The three genes (CST6, MEPE and HBB) that were differentially
expressed between the group of Finnsheep and Texel ewes kept in normal diet
appeared to be candidate genes of prolificacy traits and will require further
validation.
PMID- 29378515
TI - The effects of an 8-week computerized cognitive training program in older adults:
a study protocol for a randomized controlled trial.
AB - BACKGROUND: Given the world's aging population, it is important to identify
strategies that promote healthy cognitive aging and minimize cognitive decline.
Currently, no curative pharmaceutical therapy exists for cognitive impairment and
dementia. As a result, there is much interest in lifestyle approaches.
Specifically, complex mental activity, such as cognitive training, may be a
promising method to combat cognitive decline in older adults. As such, the
industry of commercial computerized cognitive training (CCT) applications has
rapidly grown in the last decade. However, the efficacy of these commercial
products is largely not established. Moreover, exercise is a recognized strategy
for promoting cognitive outcomes in older adults and may augment the efficacy of
computerized cognitive training applications. Therefore, we propose a proof-of
concept randomized controlled trial (RCT) to examine the effect of a commercial
CCT program in community-dwelling older adults. METHODS: An 8-week RCT to examine
the effect of a commercial CCT program, alone and preceded by a 15-min brisk
walk, on cognitive function and explore the underlying neural mechanisms in
adults aged 65-85 years old. Participants will be randomized to one of three
intervention groups: 1) Computerized cognitive training (FBT); 2) A 15-min brisk
walk followed by computerized cognitive training (Ex-FBT); or 3) A combination of
educational classes, sham cognitive training, and balanced and tone exercises
(active control, BAT). Participants in all intervention groups will attend three
one-hour classes per week over the course of the intervention. Participants will
be assessed at baseline, trial completion, and 1-year post study completion (1
year follow-up). DISCUSSION: If results from this study show benefits for
cognition at trial completion, CCT programs, alone or in combination with
walking, might be a strategy to promote healthy cognitive aging in older adults.
In addition, results from the 1-year follow-up measurement could provide
important information regarding the long-term benefits of these CCT programs.
TRIAL REGISTRATION: ClinicalTrials.gov Protocol Registration System: NCT02564809;
registered September 1, 2015.
PMID- 29378516
TI - Left ventricular short-axis systolic function changes in patients with
hypertrophic cardiomyopathy detected by two-dimensional speckle tracking imaging.
AB - BACKGROUND: Hypertrophic cardiomyopathy (HCM) is a genetic disease was
characterised by left ventricular hypertrophy (LVH), myocardial fibrosis, fiber
disarray. The short-axis systolic function is important in left ventricle
function. METHODS: Forty one healthy subjects and 37 HCM patients were enrolled
for this research. Parasternal short-axis at the basal, middle, and apical levels
were acquired by Echocardiography. The peak systolic circumferential strain of
the endocardial, the middle and the epicardial layers, the peak systolic radial
strain, and the peak systolic rotational degrees at different short-axis levels
were measured by 2-dimensional speckle tracking imaging (2D-STI). RESULTS: The
peak systolic circumferential strain of the septum and anterior walls in HCM
patients was significantly lower than normal subjects. All of the peak systolic
radial strain in HCM patients was significantly lower than normal subjects. The
rotational degrees at the base and middle short-axis levels in HCM patients were
larger than normal subjects. The interventricular septal thickness in end
diastolic period correlated to the peak systolic circumferential strain of the
septum wall. CONCLUSIONS: The short-axis systolic function was impaired in HCM
patients. The peak circumferential systolic strain of the different layers, peak
systolic radial strain and rotation degrees of the different short-axis levels
detected by 2D-STI are very feasible for assessing the short-axis function in HCM
patients.
PMID- 29378517
TI - Cost-benefit analysis of aquaculture breeding programs.
AB - BACKGROUND: Profitability of breeding programs is a key determinant in the
adoption of selective breeding, and can be evaluated using cost-benefit analysis.
There are many options to design breeding programs, with or without a multiplier
tier. Our objectives were to evaluate different breeding program designs for
aquaculture and to optimize the number of selection candidates for these
programs. METHODS: The baseline was based on an existing breeding program for
gilthead seabream, where improvement of the nucleus had priority over improvement
of the multiplier tier, which was partly replaced once every 3 years. Alternative
breeding programs considered were annual multiplier tier replacement, annual
multiplier tier replacement with priority on improvement of the multiplier tier,
and a program without a multiplier tier. Cost-benefit analyses were performed to
compare breeding programs. The outcomes were used to describe relationships
between profitability and the number of selection candidates, length of the time
horizon, and production output, and to estimate the optimum numbers of selection
candidates. RESULTS: The baseline breeding program was profitable after 5 years
and reached a net present value of 2.9 million euro in year 10. All alternative
programs were more profitable up to year 17. The program without a multiplier
tier was the most profitable one up to year 22, followed by the program with
annual multiplier tier replacement and nucleus priority. The optimum number of
selection candidates increased with the length of the time horizon and production
output. CONCLUSIONS: The baseline breeding program was profitable after 5 years.
For a short time horizon, putting priority on improvement of the multiplier tier
over the nucleus is more profitable than putting priority on nucleus improvement,
and vice versa for a long time horizon. Use of a multiplier tier increases the
delay between costs made for selection and resulting benefits. Thus, avoiding the
use of a multiplier tier will increase the profitability of the breeding program
in the short term. The optimum number of selection candidates increases with the
length of the time horizon and production output. Using too many selection
candidates relative to the optimum leads to less reduction in profitability than
using too few selection candidates.
PMID- 29378519
TI - Correction to: On the use of the outcome variable "small for gestational age"
when gestational age is a potential mediator: a maternal asthma perspective.
AB - CORRECTION: Following publication of the original article [1], the authors
reported that the following four references in Table 2 are incorrect.
PMID- 29378518
TI - Mobility and cognition at admission to the nursing home - a cross-sectional
study.
AB - BACKGROUND: Earlier studies show that the main reasons for admission to long-term
nursing home care are cognitive impairment and functional impairments of
activities of daily life. However, descriptive evidence of mobility is scant. The
aims of this study were to describe mobility at admission to nursing homes and to
assess the association between mobility and degree of dementia. METHODS: We
included 696 residents at admission to 47 nursing homes in Norway. Inclusion
criteria were expected stay for more than 4 weeks and 65 years or older. In
addition, younger residents with dementia were included. Residents with life
expectancy shorter than six weeks were excluded. Mobility was assessed using the
Short Physical Performance Battery (SPPB) and the Nursing Home Life Space
Diameter (NHLSD). The Clinical Dementia Rating Scale (CDR) was used to describe
the degree of dementia. The associations between mobility and degree of dementia
was analysed using the Chi-square and the Kruskal-Wallis test (KW-test). When the
KW-test indicated a statistical significant difference, we proceeded with planned
group comparisons with the Mann-Whitney U-test. In addition, we performed
multiple linear regression analyses to control for potential confounders.
RESULTS: Forty-three percent of the residents were not able to perform the
balance test in SPPB. Twenty-four percent of the residents were not able to walk
four meters, while only 17.6% had a walking speed of 0.83 m/s or higher. Sixty
two percent of the residents were not able to rise from a chair or spent more
than 60 s doing it. The median score on NHLSD area was 22 (IQR 17) and the median
score on NHLSD dependency was 36 (IQR 26). Residents with severe dementia had
significantly lower levels of mobility than residents with moderate dementia.
Cognitive function was associated with SPPB and NHLSD dependency in the adjusted
models. CONCLUSION: Nursing home residents form a frail, but heterogeneous group
both in terms of cognition and mobility at admission. Mobility was negatively
associated with cognitive function, and residents with severe dementia had
significantly lower levels of mobility than residents with moderate dementia.
PMID- 29378520
TI - Assessing runs of Homozygosity: a comparison of SNP Array and whole genome
sequence low coverage data.
AB - BACKGROUND: Runs of Homozygosity (ROH) are genomic regions where identical
haplotypes are inherited from each parent. Since their first detection due to
technological advances in the late 1990s, ROHs have been shedding light on human
population history and deciphering the genetic basis of monogenic and complex
traits and diseases. ROH studies have predominantly exploited SNP array data, but
are gradually moving to whole genome sequence (WGS) data as it becomes available.
WGS data, covering more genetic variability, can add value to ROH studies, but
require additional considerations during analysis. RESULTS: Using SNP array and
low coverage WGS data from 1885 individuals from 20 world populations, our aims
were to compare ROH from the two datasets and to establish software conditions to
get comparable results, thus providing guidelines for combining disparate
datasets in joint ROH analyses. By allowing heterozygous SNPs per window, using
the PLINK homozygosity function and non-parametric analysis, we were able to
obtain non-significant differences in number ROH, mean ROH size and total sum of
ROH between data sets using the different technologies for almost all
populations. CONCLUSIONS: By allowing 3 heterozygous SNPs per ROH when dealing
with WGS low coverage data, it is possible to establish meaningful comparisons
between data using SNP array and WGS low coverage technologies.
PMID- 29378521
TI - Detection and genotyping of Helicobacter pylori in saliva versus stool samples
from asymptomatic individuals in Northeastern Thailand reveals intra-host tissue
specific H. pylori subtypes.
AB - BACKGROUND: Two-thirds of the world's population is thought to be infected by
Helicobacter pylori. Although most people infected with H. pylori are
asymptomatic, this pathogen is associated with several gastric pathologies
including cancer. The risk factors for colonization are still unclear and the
genetic diversity within individual hosts has never been clearly investigated.
RESULT: This study determined the prevalence of, and explored risk factors for,
H. pylori infection directly from paired saliva (n = 110) and stool (n = 110)
samples from asymptomatic persons in Northeast Thailand. Samples were subjected
to indirect immunofluorescence assay (IFA), 16S rRNA-based real-time PCR and vacA
based semi-nested PCR. Partial vacA gene sequences of H. pylori were compared
between saliva and stool samples. The overall prevalence of H. pylori infection
in our asymptomatic study population was 64%. Age, gender, occupation and
frequency of brushing teeth were not found to be associated with H. pylori
colonization. The vacA gene was successfully sequenced from both saliva and stool
samples of 12 individuals. For seven of these individuals, saliva and stool
sequences fell into different clusters on a phylogenetic tree, indicating intra
host genetic variation of H. pylori. CONCLUSION: This study reports a high
prevalence of H. pylori infection in asymptomatic persons in this region of
Thailand and demonstrates that genotypes (vacA gene sequences) of H. pylori may
differ between the oral cavity and intestinal tract.
PMID- 29378522
TI - Auditory repetition suppression alterations in relation to cognitive functioning
in fragile X syndrome: a combined EEG and machine learning approach.
AB - BACKGROUND: Fragile X syndrome (FXS) is a neurodevelopmental genetic disorder
causing cognitive and behavioural deficits. Repetition suppression (RS), a
learning phenomenon in which stimulus repetitions result in diminished brain
activity, has been found to be impaired in FXS. Alterations in RS have been
associated with behavioural problems in FXS; however, relations between RS and
intellectual functioning have not yet been elucidated. METHODS: EEG was recorded
in 14 FXS participants and 25 neurotypical controls during an auditory
habituation paradigm using repeatedly presented pseudowords. Non-phased locked
signal energy was compared across presentations and between groups using linear
mixed models (LMMs) in order to investigate RS effects across repetitions and
brain areas and a possible relation to non-verbal IQ (NVIQ) in FXS. In addition,
we explored group differences according to NVIQ and we probed the feasibility of
training a support vector machine to predict cognitive functioning levels across
FXS participants based on single-trial RS features. RESULTS: LMM analyses showed
that repetition effects differ between groups (FXS vs. controls) as well as with
respect to NVIQ in FXS. When exploring group differences in RS patterns, we found
that neurotypical controls revealed the expected pattern of RS between the first
and second presentations of a pseudoword. More importantly, while FXS
participants in the <= 42 NVIQ group showed no RS, the > 42 NVIQ group showed a
delayed RS response after several presentations. Concordantly, single-trial
estimates of repetition effects over the first four repetitions provided the
highest decoding accuracies in the classification between the FXS participant
groups. CONCLUSION: Electrophysiological measures of repetition effects provide a
non-invasive and unbiased measure of brain responses sensitive to cognitive
functioning levels, which may be useful for clinical trials in FXS.
PMID- 29378523
TI - Late presentation for HIV care in Southwest Ethiopia in 2003-2015: prevalence,
trend, outcomes and risk factors.
AB - BACKGROUND: Early presentation for HIV care is vital as an initial tread in the
UNAIDS 90-90-90 targets. However, late presentation for HIV care (LP) challenges
achieving the targets. This study assessed the prevalence, trends, outcomes and
risk factorsfor LP. METHODS: A 12 year retrospective cohort study was conducted
using electronic medical records extracted from an antiretroviral therapy (ART)
clinic at Jimma University Teaching Hospital. LP for children refers to moderate
or severe immune-suppression, or WHO clinical stage 3 or 4 at the time of first
presentation to the ART clinics. LP for adults refers to CD4 lymphocyte count of
< 200 cells/ MUl and < 350 cells/MUl irrespective of clinical staging, or WHO
clinical stage 3 or 4 irrespective of CD4 count at the time of first presentation
to the ART clinics. Binary logistic regression was used to identify factors that
were associated with LP, and missing data were handled using multiple
imputations. RESULTS: Three hundred ninety-nine children and 4900 adults were
enrolled in ART care between 2003 and 15. The prevalence of LP was 57% in
children and 66.7% in adults with an overall prevalence of 65.5%, and the 10-year
analysis of LP showed upward trends. 57% of dead children, 32% of discontinued
children, and 97% of children with immunological failure were late presenters for
HIV care. Similarly, 65% of dead adults, 65% of discontinued adults, and 79% of
adults with immunological failure presented late for the care. Age between 25- <
50 years (AOR = 0.4,95% CI:0.3-0.6) and 50+ years (AOR = 0.4,95% CI:0.2-0.6),
being female (AOR = 1.2, 95% CI: 1.03-1.5), having Tb/HIV co-infection (AOR =
1.6, 95% CI: 1.09-2.1), having no previous history of HIV testing (AOR = 1.2, 95%
CI: 1.1-1.4), and HIV care enrollment period in 2012 and after (AOR = 0.8, 95%
CI: 0.7-0.9) were the factors associated with LP for Adults. For children, none
of the factors were associated with LP. CONCLUSIONS: The prevalence of LP was
high in both adults and children. The majority of both children and adults who
presented late for HIV care had died and developed immunological failure.
Effective programs should be designed and implemented to tackle the gap in timely
HIV care engagement.
PMID- 29378524
TI - Therapeutic preferences and outcomes in newly diagnosed patients with Crohn's
diseases in the biological era in Hungary: a nationwide study based on the
National Health Insurance Fund database.
AB - BACKGROUND: Accelerated treatment strategy, including tight disease control and
early aggressive therapy with immunosuppressives (IS) and biological agents have
become increasingly common in inflammatory bowel disease (IBD). The aim of the
present study was to estimate the early treatment strategy and outcomes in newly
diagnosed patients with Crohn's disease (CD) between 2004 and 2008 and 2009-2015
in the whole IBD population in Hungary based on the administrative database of
the National Health Insurance Fund (OEP). METHODS: We used the administrative
database of the OEP, the only nationwide state-owned health insurance provider in
Hungary. Patients were identified through previously reported algorithms using
the ICD-10 codes for CD in the out-, inpatient (medical, surgical) non-primary
care records and drug prescription databases between 2004 and 2015. Patients were
stratified according to the year of diagnosis and maximum treatment steps during
the first 3 years after diagnosis. RESULTS: A total of 6173 (male/female:
46.12%/53.87%) newly diagnosed CD patients with physician-diagnosed IBD were
found in the period of 2004-2015. The use of 5-ASA and steroids remained common
in the biological era, while immunosuppressives and biologicals were started
earlier and became more frequent among patients diagnosed after 2009. The
probability of biological therapy was 2.9%/6.4% and 8.4%/13.7% after 1 and 3
years in patients diagnosed in 2004-2008/2009-2015. The probability of
hospitalization in the first 3 years after diagnosis was different before and
after 2009, according to the maximal treatment step (overall 55.7%vs. 47.4% (p =
0.001), anti-TNF: 73%vs. 66.7% (p = 0.103), IS: 64.6% vs. 56.1% (p = 0.001),
steroid: 44.2%vs. 36.8% (p < 0.007), 5-ASA: 32.6% vs. 26.7% p = 0.157)). In
contrast, surgery rates were not significantly different in patients diagnosed
before and after 2009 according to the maximum treatment step (overall
16.0%vs.15.3%(p = 0.672) anti-TNF 26.7%vs.27.2% (p = 0.993), IS: 24.1%vs22.2% (p
= 0.565), steroid 8.1%vs.7.9% (p = 0.896), 5-ASA 10%vs. 11% (p = 0.816)).
CONCLUSIONS: IS and biological exposure became more frequent, while
hospitalization decreased and surgery remained low but constant during the
observation period. Use of steroids and 5-ASA remained high after 2009. The
association between the maximal treatment step and hospitalization/surgery rates
suggests that maximal treatment step can be regarded as proxy severity marker in
patients with IBD.
PMID- 29378525
TI - Developmental change in look durations predicts later effortful control in
toddlers at familial risk for ASD.
AB - BACKGROUND: Difficulties with executive functioning (EF) are common in
individuals with a range of developmental disorders, including autism spectrum
disorder (ASD). Interventions that target underlying mechanisms of EF early in
development could be broadly beneficial, but require infant markers of such
mechanisms in order to be feasible. Prospective studies of infants at high
familial risk (HR) for ASD have revealed a surprising tendency for HR toddlers to
show longer epochs of attention to faces than low-risk (LR) controls. In typical
development, decreases in look durations towards the end of the first year of
life are driven by the development of executive attention-a foundational
component of EF. Here, we test the hypothesis that prolonged attention to visual
stimuli (including faces) in HR toddlers reflects early differences in the
development of executive attention. METHODS: In a longitudinal prospective study,
we used eye-tracking to record HR and LR infants' looking behaviour to social and
non-social visual stimuli at ages 9 and 15 months. At age 3 years, we assessed
children with a battery of clinical research measures and collected parental
report of effortful control (EC)-a temperament trait closely associated with EF
and similarly contingent on executive attention. RESULTS: Consistent with
previous studies, we found an attenuated reduction in peak look durations to
faces between 9 and 15 months for the HR group compared with the LR group, and
lower EC amongst the HR-ASD group. In line with our hypothesis, change in peak
look duration to faces between 9 and 15 months was negatively associated with EC
at age 3. CONCLUSIONS: We suggest that for HR toddlers, disruption to the early
development of executive attention results in an attenuated reduction in looking
time to faces. Effects may be more apparent for faces due to early biases to
orient towards them; further, attention difficulties may interact with earlier
emerging differences in social information processing. Our finding that prolonged
attention to faces may be an early indicator of disruption to the executive
attention system is of potential value in screening for infants at risk for later
EF difficulties and for evaluation of intervention outcomes.
PMID- 29378526
TI - Saving babies' lives project impact and results evaluation (SPiRE): a mixed
methodology study.
AB - BACKGROUND: Reducing stillbirth and early neonatal death is a national priority
in the UK. Current evidence indicates this is potentially achievable through
application of four key interventions within routine maternity care delivered as
the National Health Service (NHS) England's Saving Babies' Lives care bundle.
However, there is significant variation in the degree of implementation of the
care bundle between and within maternity units and the effectiveness in reducing
stillbirth and improving service delivery has not yet been evaluated. This study
aims to evaluate the impact of implementing the care bundle on UK maternity
services and perinatal outcomes. METHODS: The Saving Babies' Lives Project Impact
and Results Evaluation (SPiRE) study is a multicentre evaluation of maternity
care delivered through the Saving Babies' Lives care bundle using both
quantitative and qualitative methodologies. The study will be conducted in twenty
NHS Hospital Trusts and will include approximately 100,000 births. It involves
participation by both service users and care providers. To determine the impact
of the care bundle on pregnancy outcomes, birth data and other clinical measures
will be extracted from maternity databases and case-note audit from before and
after implementation. Additionally, this study will employ questionnaires with
organisational leads and review clinical guidelines to assess how resources,
leadership and governance may affect implementation in diverse hospital settings.
The cost of implementing the care bundle, and the cost per stillbirth avoided,
will also be estimated as part of a health economic analysis. The views and
experiences of service users and service providers towards maternity care in
relation to the care bundle will be also be sought using questionnaires.
DISCUSSION: This protocol describes a pragmatic study design which is necessarily
limited by the availability of data and limitations of timescales and funding. In
particular there was no opportunity to prospectively gather pre-intervention data
or design a phased implementation such as a stepped-wedge study. Nevertheless
this study will provide useful practice-based evidence which will advance
knowledge about the processes that underpin successful implementation of the care
bundle so that it can be further developed and refined. TRIAL REGISTRATION:
www.clinicaltrials.gov NCT03231007 (26th July 2017).
PMID- 29378527
TI - Inclusion of joint laxity, recurrent patellar dislocation, and short distal ulnae
as a feature of Van Den Ende-Gupta syndrome: a case report.
AB - BACKGROUND: Van Den Ende-Gupta Syndrome (VDEGS) is an extremely rare autosomal
recessive syndrome with less than 20 reported families (approximately 40
patients) in the worldwide literature. CASE PRESENTATION: We have assessed one
consanguineous Saudi family with typical features of VDEGS. Two siblings were
affected with almost identical features; including blepharophimosis,
arachnodactyly, flexion contractures of the elbows, camptodactyly, slender ribs,
hooked lateral clavicular ends, and bilateral radial head dislocations. Both
patients had several unusual features; including joint laxity, flat feet,
recurrent patellar dislocations, and bilateral short distal ulnae. Full
sequencing of SCARF2 revealed a homozygous mutation c.773G > A (p. Cys258Tyr) in
both affected children. The parents (both with no abnormalities) were
heterozygous for the same mutation. CONCLUSION: Joint laxity, recurrent patellar
dislocations, and short distal ulnae should be included as part of the clinical
spectrum of VDEGS.
PMID- 29378528
TI - Clinical outcomes of switching to aflibercept using a pro re nata treatment
regimen in patients with neovascular age-related macular degeneration who
incompletely responded to ranibizumab.
AB - BACKGROUND: To assess the effect of switching patients previously incompletely
treated with ranibizumab (RBZ) to aflibercept (AFL) using a pro re nata (PRN)
treatment strategy in neovascular age-related macular degeneration (nvAMD).
METHODS: A retrospective case series was conducted on patients who had persistent
or recurrent intra- and/or sub-retinal fluid treated initially with RBZ and
subsequently switched to AFL. The main outcome measures were best corrected
visual acuity (BCVA) and central retinal thickness (CRT) measured at different
stages of the study. Friedman analysis of variance and Wilcoxon test were used to
examine differences in BCVA and CRT. RESULTS: Two hundred and seven eyes from 182
patients were included. BCVA and CRT improved significantly initially following 3
RBZ injections with a mean gain of 3.7 letters (p < 0.001) and a mean loss of 69
MUm (p < 0.001) respectively. Following PRN RBZ therapy and immediately prior to
switching to AFL (mean 129 weeks), there was a mean loss of 6.7 letters (p <
0.001) BCVA and a mean gain of 24 MUm (p < 0.001) CRT. AFL loading resulted in a
mean improvement of 0.7 letters (p = 0.28) BCVA and 55 MUm (p < 0.001) CRT. At
final follow-up following AFL PRN therapy (mean 85 weeks), there was a mean loss
of 8.9 letters (p < 0.001) BCVA and a mean gain of 12 MUm (p < 0.05) CRT.
CONCLUSION: AFL loading resulted in a significant anatomical improvement but no
significant change in visual acuity. However, the benefits of switching were
gradually lost over time with AFL PRN dosing despite an increased injection rate
when compared with RBZ PRN treatment. TRIAL REGISTRATION: Not applicable.
PMID- 29378529
TI - Galectin-1 is a poor prognostic factor in patients with glioblastoma multiforme
after radiotherapy.
AB - BACKGROUND: Galectin-1, a radioresistance marker, was found in our previous study
to be a prognostic factor for cervical cancer. The aim of current study is to
determine the prognostic significance of the galectin-1 expression level in
patients with glioblastoma multiforme (GBM) undergoing adjuvant radiotherapy
(RT). METHODS: We included 45 patients with GBM who were treated with maximal
safe surgical resection or biopsy alone followed by adjuvant RT of EQD2
(equivalent dose in 2-Gy fractions) > or = 60 Gy for homogeneous treatment.
Paraffin-embedded tissues acquired from the Department of Pathology were analyzed
using immunohistochemical staining for galectin-1 expression. The primary
endpoint was overall survival (OS). RESULTS: Patients with weak expression had a
better median survival (27.9 months) than did those with strong expression (10.7
months; p = 0.009). We compared characteristics between weak and strong galectin
1 expression, and only the expression level of galectin-3 showed a correlation.
The group with weak galectin-1 expression displayed a 3-year OS of 27.3% and a 3
year cancer-specific survival (CSS) of 27.3%; these values were only 5.9% and
7.6%, respectively, in the group with strong galectin-1 expression (p = 0.009 and
0.020, respectively). Cox regression was used to confirm that the expression
level of galectin-1 (weak vs. strong) is a significant factor of OS (p = 0.020)
and CSS (p = 0.022). Other parameters, such as the expression level of galectin
3, Eastern Cooperative Oncology Group (ECOG) performance, gender, surgical
method, age >= 50 years, tumor size, or radiation field were not significant
factors. CONCLUSION: The expression level of galectin-1 affects survival in
patients with GBM treated with adjuvant RT. Future studies are required to
analyze the effect of other factors, such as O(6)-methylguanine-DNA
methyltransferase (MGMT)-promoter methylation status, in patients with weak and
strong galectin-1 expression.
PMID- 29378530
TI - Comparison of efficacy between anti-vascular endothelial growth factor (VEGF) and
laser treatment in Type-1 and threshold retinopathy of prematurity (ROP).
AB - BACKGROUND: Retinopathy of Prematurity (ROP) is one of the most common causes of
childhood blindness worldwide. Comparisons of anti-VEGF and laser treatments in
ROP are relatively lacking, and the data are scattered and limited. The objective
of this meta-analysis is to compare the efficacy of both treatments in type-1 and
threshold ROP. METHODS: A comprehensive literature search on ROP treatment was
conducted using PubMed and Embase up to March 2017 in all languages. Major
evaluation indexes were extracted from the included studies by two authors. The
fixed-effects and random-effects models were used to measure the pooled
estimates. The test of heterogeneity was performed using the Q statistic.
RESULTS: Ten studies were included in this meta-analysis. Retreatment incidence
was significantly increased for anti-VEGF (OR 2.52; 95% CI 1.37 to 4.66; P =
0.003) compared to the laser treatment, while the incidences of eye complications
(OR 0.29; 95% CI 0.10 to 0.82; P = 0.02) and myopia were significantly decreased
with anti-VEGF compared to the laser treatment. However, there was no difference
in the recurrence incidence (OR 1.86; 95% CI 0.37 to 9.40; P = 0.45) and time
between treatment and retreatment (WMD 7.54 weeks; 95% CI 2.00 to 17.08; P =
0.12). CONCLUSION: This meta-analysis indicates that laser treatment may be more
efficacious than anti-VEGF treatment. However, the results of this meta-analysis
also suggest that laser treatment may cause more eye complications and increase
myopia. Large-scale prospective RCTs should be performed to assess the efficacy
and safety of anti-VEGF versus laser treatment in the future.
PMID- 29378531
TI - Variations of circulating cardiac biomarkers during and after anthracycline
containing chemotherapy in breast cancer patients.
AB - BACKGROUND: Over time, the chance of cure after the diagnosis of breast cancer
has been increasing, as a consequence of earlier diagnosis, improved diagnostic
procedures and more effective treatment options. However, oncologists are
concerned by the risk of long term treatment side effects, including congestive
heart failure (CHF). METHODS: In this study, we evaluated innovative circulating
cardiac biomarkers during and after anthracycline-based neoadjuvant chemotherapy
(NAC) in breast cancer patients. Levels of cardiac-specific troponins T (cTnT), N
terminal natriuretic peptides (NT-proBNP), soluble ST2 (sST2) and 10 circulating
microRNAs (miRNAs) were measured. RESULTS: Under chemotherapy, we observed an
elevation of cTnT and NT-proBNP levels, but also the upregulation of sST2 and of
4 CHF-related miRNAs (miR-126-3p, miR-199a-3p, miR-423-5p, miR-34a-5p). The
elevations of cTnT, NT-proBNP, sST2 and CHF-related miRNAs were poorly
correlated, suggesting that these molecules could provide different information.
CONCLUSIONS: Circulating miRNA and sST2 are potential biomarkers of the
chemotherapy-related cardiac dysfunction (CRCD). Nevertheless, further studies
and long-term follow-up are needed in order to evaluate if these new markers may
help to predict CRCD and to identify the patients at risk to later develop CHF.
PMID- 29378532
TI - Intra-arterial ethanol embolization augments response to TACE for treatment of
HCC with portal venous tumor thrombus.
AB - BACKGROUND: The prognosis of hepatocellular carcinoma with portal vein tumor
thrombus remains extremely poor. This pilot study aimed to evaluate the technical
feasibility, effectiveness and safety of transcatheter chemoembolization for
tumors in the liver parenchyma plus intra-arterial ethanol embolization for
portal vein tumor thrombus. METHODS: A pilot study was carried out on 31 patients
in the treatment group (transcatheter chemoembolization plus intra-arterial
ethanol embolization) and 57 patients in the control group (transcatheter
chemoembolization alone). Enhanced computed tomography/magnetic resonance images
were repeated 4 weeks after the procedure to assess the response. Overall
survival and complications were assessed until the patient died or was lost to
follow-up. RESULTS: Median survival was 10.5 months in the treatment group (2.4
+/- 1.7 courses) and 3.9 months in the control group (1.9 +/- 1 courses) (P =
0.001). Patients in the treatment group had better overall survival (at 3, 6 and
12 months, respectively), compared to patients in the control group (90.3% vs.
59.6%, 64.5% vs. 29.8%, and 41.9% vs. 10.6%; p = 0.001). Furthermore, the rate of
portal vein tumor thrombus regression was higher in the treatment group (93.1%)
than in the control group (32.1%) (P < 0.001). CONCLUSIONS: Based on the results
of this study, transcatheter chemoembolization combined with intra-arterial
ethanol embolization may be more effective than transcatheter chemoembolization
alone for treating hepatocellular carcinoma with portal vein tumor thrombus.
Intra-arterial ethanol embolization for treating portal vein tumor thrombus is
safe, feasible and prolongs overall survival.
PMID- 29378533
TI - An advanced glioma cell invasion assay based on organotypic brain slice cultures.
AB - BACKGROUND: The poor prognosis for glioblastoma patients is caused by the diffuse
infiltrative growth pattern of the tumor. Therefore, the molecular and cellular
processes underlying cell migration continue to be a major focus of glioblastoma
research. Emerging evidence supports the concept that the tumor microenvironment
has a profound influence on the functional properties of tumor cells.
Accordingly, substantial effort must be devoted to move from traditional two
dimensional migration assays to three-dimensional systems that more faithfully
recapitulate the complex in vivo tumor microenvironment. METHODS: In order to
mimic the tumor microenvironment of adult gliomas, we used adult organotypic
brain slices as an invasion matrix for implanted, fluorescently labeled tumor
spheroids. Cell invasion was imaged by confocal or epi-fluorescence microscopy
and quantified by determining the average cumulative sprout length per spheroid.
The tumor microenvironment was manipulated by treatment of the slice with small
molecule inhibitors or using different genetically engineered mouse models as
donors. RESULTS: Both epi-fluorescence and confocal microscopy were applied to
precisely quantify cell invasion in this ex vivo approach. Usage of a red
emitting membrane dye in addition to tissue clearing drastically improved epi
fluorescence imaging. Preparation of brain slices from of a genetically
engineered mouse with a loss of a specific cell surface protein resulted in
significantly impaired tumor cell invasion. Furthermore, jasplakinolide treatment
of either tumor cells or brain slice significantly reduced tumor cell invasion.
CONCLUSION: We present an optimized invasion assay that closely reflects in vivo
invasion by the implantation of glioma cells into organotypic adult brain slice
cultures with a preserved cytoarchitecture. The diversity of applications
including manipulation of the tumor cells as well as the microenvironment,
permits the investigation of rate limiting factors of cell migration in a
reliable context. This model will be a valuable tool for the discovery of the
molecular mechanisms underlying glioma cell invasion and, ultimately, the
development of novel therapeutic strategies.
PMID- 29378534
TI - Vertebral fractures among breast cancer survivors in China: a cross-sectional
study of prevalence and health services gaps.
AB - BACKGROUND: Breast cancer survivors are at high risk for fracture due to cancer
treatment-induced bone loss, however, data is scarce regarding the scope of this
problem from an epidemiologic and health services perspective among Chinese women
with breast cancer. METHODS: We designed a cross-sectional study comparing
prevalence of vertebral fractures among age- and BMI-matched women from two
cohorts. Women in the Breast Cancer Survivors cohort were enrolled from a large
cancer hospital in Beijing. Eligibility criteria included age 50-70 years,
initiation of treatment for breast cancer at least 5 years prior to enrollment,
and no history of metabolic bone disease or bone metastases. Data collected
included sociodemographic characteristics; fracture-related risk factors,
screening and preventive measures; breast cancer history; and thoracolumbar x
ray. The matched comparator group was selected from participants enrolled in the
Peking Vertebral Fracture Study, an independent cohort of healthy community
dwelling postmenopausal women from Beijing. RESULTS: Two hundred breast cancer
survivors were enrolled (mean age 57.5 +/- 4.9 years), and compared with 200
matched healthy women. Twenty-two (11%) vertebral fractures were identified among
breast cancer survivors compared with 7 (3.5%) vertebral fractures in the
comparison group, yielding an adjusted odds ratio for vertebral fracture of 4.16
(95%CI 1.69-10.21, p < 0.01). The majority had early stage (85.3%) and estrogen
and/or progesterone receptor positive (84.6%) breast cancer. Approximately half
of breast cancer survivors reported taking calcium supplements, 6.1% reported
taking vitamin D supplements, and only 27% reported having a bone density scan
since being diagnosed with breast cancer. CONCLUSIONS: Despite a four-fold
increased odds of prevalent vertebral fracture among Chinese breast cancer
survivors in our study, rates of screening for osteoporosis and fracture risk
were low reflecting a lack of standardization of care regarding cancer-treatment
induced bone loss.
PMID- 29378535
TI - Whole exome sequencing reveals a stop-gain mutation of PKD2 in an autosomal
dominant polycystic kidney disease family complicated with aortic dissection.
AB - BACKGROUND: Autosomal dominant polycystic kidney disease (ADPKD) is the most
common inherited kidney disorder characterized by progressive cyst formation and
expansion in the kidneys, which culminates in end-stage renal disease. Aortic
dissection is a rare vascular complication of ADPKD and related literature is
currently limited. CASE PRESENTATION: In this report, we described a patient with
asymptomatic Stanford B aortic dissection. Further investigation revealed a
positive family history of ADPKD and normal renal function. Whole exome
sequencing identified a stop-gain mutation c.1774C > T, p.Arg592Ter in the PKD2
gene that segregated in the family. To our knowledge, this is the first report of
ADPKD complicated with aortic dissection caused by PKD2 mutation. CONCLUSIONS:
The case illustrates the importance of aorta imaging and molecular diagnosis in
ADPKD patients in order to achieve early recognition of the deadly vascular
complication.
PMID- 29378537
TI - Comprehensive economic evaluation of thermotherapy for the treatment of cutaneous
leishmaniasis in Colombia.
AB - BACKGROUND: Cutaneous leishmaniasis causes a high disease burden in Colombia, and
available treatments present systemic toxicity, low patient compliance,
contraindications, and high costs. The purpose of this study was to estimate the
cost-effectiveness of thermotherapy versus Glucantime in patients with cutaneous
leishmaniasis in Colombia. METHODS: Cost-effectiveness study from an
institutional perspective in 8133 incident cases. Data on therapeutic efficacy
and safety were included, calculating standard costs; the outcomes were
disability adjusted life years (DALYs) and the number of patients cured. The
information sources were the Colombian Public Health Surveillance System, disease
burden studies, and one meta-analysis of controlled clinical trials. Incremental
cost-effectiveness was determined, and uncertainty was evaluated with tornado
diagrams and Monte Carlo simulations. RESULTS: Thermotherapy would generate costs
of US$ 501,621; the handling of adverse effects, US$ 29,224; and therapeutic
failures, US$ 300,053. For Glucantime, these costs would be US$ 2,731,276, US$
58,254, and US$ 406,298, respectively. With thermotherapy, the cost would be US$
2062 per DALY averted and US$ 69 per patient cured; with Glucantime, the cost
would be US$ 4241 per DALY averted and US$ 85 per patient cured. In Monte Carlo
simulations, thermotherapy was the dominant strategy for DALYs averted in 67.9%
of cases and highly cost-effective for patients cured in 72%. CONCLUSION: In
Colombia, thermotherapy can be included as a cost-effective strategy for the
management of cutaneous leishmaniasis. Its incorporation into clinical practice
guidelines could represent savings of approximately US$ 10,488 per DALY averted
and costs of US$ 116 per additional patient cured, compared to the use of
Glucantime. These findings show the relevance of the incorporation of this
treatment in our country and others with similar parasitological, clinical, and
epidemiological patterns.
PMID- 29378538
TI - A novel compound heterozygous variant of the SLC12A3 gene in Gitelman syndrome
pedigree.
AB - BACKGROUND: Gitelman syndrome (GS) is an autosomal recessive disorder caused by
genic mutations of SLC12A3 (Solute carrier family 12 member 3), which encodes the
Na-Cl cotransporter (NCC), and presents with characteristic metabolic
abnormalities, including hypokalemia, metabolic alkalosis, hypomagnesemia, and
hypocalciuria. In this study, we report a case of a GS pedigree, including
analysis of GS-associated gene mutations. METHODS: We performed next-generation
sequencing analysis and Sanger sequencing to explore the SLC12A3 mutations in a
GS pedigree that included a 35-year-old female patient with GS and five family
members within three generations. Furthermore, we summarized their clinical
manifestations and analyzed laboratory parameters related to GS. RESULTS: The
female proband (the patient with GS) presented with intermittent fatigue and
transient periods of tetany, along with significant hypokalemia, hypomagnesemia,
and hypocalciuria. All other members of the pedigree had normal laboratory
results without obvious GS-related symptoms. Genetic analysis of the SLC12A3 gene
identified two novel missense mutations (c.1919A > G, p.N640S in exon 15; c.2522A
> G, p.D841G in exon 21) in the patient with GS. Moreover, we demonstrated that
her mother, younger maternal uncle, and cousin were carriers of one mutation
(c.1919A > G), and her father was the carrier of the other (c.2522A > G).
CONCLUSION: This is the first report of these two novel pathogenic variants of
SLC12A3 and their contribution to GS. Further functional studies are particularly
warranted to explore the underlying molecular mechanisms.
PMID- 29378536
TI - A protocol for the HeadCoach trial: the development and evaluation of an online
mental health training program for workplace managers.
AB - BACKGROUND: Within high income countries, mental health is now the leading cause
of long term sickness absence in the workplace. Managers are in a position to
make changes and decisions that have a positive effect on the wellbeing of staff,
the recovery of employees with mental ill health, and potentially prevent future
mental health problems. However, managers report addressing workplace mental
health issues as challenging. The aim of the HeadCoach trial is to evaluate the
effectiveness of a newly developed online training intervention to determine
whether it is able to build managers' confidence to better support individuals
within their teams who are experiencing mental ill health, and the confidence to
promote manager behaviour likely to result in a more mentally healthy workplace.
METHODS/DESIGN: We will conduct a cluster randomised control trial (RCT) to
evaluate the effect of HeadCoach, an online training intervention for managers
with a focus on the mental health of their employees, compared to a waitlist
control. The target sample is 168 managers, and their direct employees. Managers
and employees will be assessed at baseline and at 4-month follow up. Managers
will have an additional, intermediate assessment 6-weeks post-baseline. The
primary outcome is change from baseline in managers' self-reported confidence
when dealing with mental health issues within their team and promoting a mentally
healthy workplace. The difference between the intervention and waitlist control
groups will be assessed using linear mixed effects repeated measures (MMRM)
analysis of variance (ANOVA). Secondary managerial outcomes include mental health
literacy, attitudes towards mental health issues in the workplace and managerial
behaviour in dealing with mental health matters with their staff. Employee
outcomes will be perceived level of manager support, engagement, psychological
distress, and rates of sickness absence and presenteeism. DISCUSSION: To our
knowledge this will be the first RCT of a purely online training intervention
developed specifically for managers that promotes confidence to both support
staff experiencing mental ill health and create a mentally healthy work
environment. If successful, this intervention has the potential to provide an
effective and efficient method of training managers in workplace mental health
and to enhance employee wellbeing. TRIAL REGISTRATION: Australian and New Zealand
Clinical Trials Registry ACTRN12617000279325.
PMID- 29378539
TI - Leptospirosis presenting as haemolytic uraemic syndrome: a case report.
AB - BACKGROUND: Leptospirosis is a rare infectious disease especially in Western
Countries. Renal involvement is a recognised complication of leptospirosis but
leptospirosis-associated haemolytic uraemic syndrome is extremely rare and to our
knowledge has only been reported once, in 1985. CASE PRESENTATION: A 29-year-old
male was transferred to our Renal Unit with fevers, myalgia and diarrhoeal
illness. Laboratory investigations revealed an acute kidney injury, acute liver
injury, significantly raised lactate dehydrogenase with marked anaemia,
thrombocytopenia and schistocytes on a blood film. A diagnosis of haemolytic
uraemic syndrome was made. Surprisingly, the stool culture was negative which led
to a suspicion of leptospirosis as one of the differential diagnoses. This was
subsequently confirmed by enzyme-linked immunosorbent assay and microscopic
agglutination test. He received plasma exchange and antibiotics and made a
complete recovery on discharge. CONCLUSION: Leptospirosis presenting as
haemolytic uraemic syndrome is rare but should be considered in the differential
diagnosis especially in the presence of significant liver injury, as current
evidence suggests that the disease is re-emerging.
PMID- 29378541
TI - Measurement of sexual health outcomes among people who inject drugs: pilot study
in Catalonia, Spain and Barnaul, Russia.
AB - BACKGROUND: The main objective of this study was to test some of the draft sexual
health indicators developed by the World Health Organization as part of a
comprehensive indicator framework to monitor progress in universal access to
reproductive health. METHODS: Cross-sectional studies among people who inject
drugs were conducted in Catalonia (n = 734) and Barnaul (n = 500). 'Sexual
competency' was measured using three indicators: sexual satisfaction, sexual
safety, and sexual autonomy. Individual social norms on sexuality were also
collected. The construct validity of the sexual safety and sexual autonomy items
were assessed. Multivariate logistic regression models explored factors
associated with sexual dissatisfaction. RESULTS: In Catalonia, sexual competency
was higher among males than females who inject drugs (60.4% versus 33.3%). In
both Catalonia and Barnaul, differences by sex in social norms on sexuality were
seen. Mean scores on sexual safety (4.15 in Catalonia and 3.54 in Barnaul) were
lower among participants who reported not using condoms. Mean scores on sexual
autonomy (4.42 in Catalonia and 3.97 in Barnaul) were lower among those who had
experienced some form of sexual assault. Perceived sexual safety, sexual
autonomy, and social norms on sexuality were associated with sexual
dissatisfaction. CONCLUSIONS: The sexual health indicators tested are valid,
feasible, and reliable tools to monitor and evaluate sexual health programs and
activities. The results confirm that sexual satisfaction depends on safe sexual
experiences, free from coercion and violence. Social norms and individual
perceptions about sexual health need to be considered when developing national
disease prevention programs.
PMID- 29378540
TI - Detecting frail, older adults and identifying their strengths: results of a mixed
methods study.
AB - BACKGROUND: The debate on frailty in later life focuses primarily on deficits and
their associations with adverse (health) outcomes. In addition to deficits, it
may also be important to consider the abilities and resources of older adults.
This study was designed to gain insights into the lived experiences of frailty
among older adults to determine which strengths can balance the deficits that
affect frailty. METHODS: Data from 121 potentially frail community-dwelling older
adults in Flemish-speaking Region of Belgium and Brussels were collected using a
mixed-methods approach. Quantitative data were collected using the Comprehensive
Frailty Assessment Instrument (CFAI), Montreal Cognitive Assessment (MoCA), and
numeric rating scales (NRS) for quality of life (QoL), care and support, meaning
in life, and mastery. Bivariate analyses, paired samples t-tests and means were
performed. Qualitative data on experiences of frailty, frailty balance, QoL, care
and support, meaning in life, and mastery were collected using semi-structured
interviews. Interviews were subjected to thematic content analysis. RESULTS: The
"no to mild frailty" group had higher QoL, care and support, meaning in life, and
mastery scores than the "severe frailty" group. Nevertheless, qualitative results
indicate that, despite being classified as frail, many older adults experienced
high levels of QoL, care and support, meaning in life, and mastery. Respondents
mentioned multiple balancing factors for frailty, comprising individual-level
circumstances (e.g., personality traits, coping strategies, resilience),
environmental influences (e.g., caregivers, neighborhood, social participation),
and macro-level features (e.g., health literacy, adequate financial
compensation). Respondents also highlighted that life changes affected their
frailty balance, including changes in health, finances, personal relationships,
and living situation. CONCLUSION: The findings indicate that frailty among older
individuals can be considered as a dynamic state and, regardless of frailty,
balancing factors are important in maintaining a good QoL. The study investigated
not only the deficits, but also the abilities, and resources of frail, older
adults. Public policymakers and healthcare organizations are encouraged to
include these abilities, supplementary or even complementary to the usual focus
on deficits.
PMID- 29378543
TI - Smoking behavior of males attending the quit tobacco clinics in Bahrain and their
knowledge on tobacco smoking health hazards.
AB - BACKGROUND: One third of Bahraini adult (20-64 years) males and 7.0% of females
use some form of tobacco. The corresponding rates for cigarette and waterpipe
tobacco smoking (WTS) are 11.0% and 6.0%, respectively. The objective of the
study was to determine the knowledge on tobacco smoking and past smoking related
behavior of male patients attending the Quit Tobacco Clinics (QTC) in Bahrain.
METHODS: A sample of 339 male clinic attendees was taken proportional to the
population distribution in the three QTC at Al Hoora Health Center, Hamad Kanoo
Health Center, and Bank of Bahrain and Kuwait Health Center. Data collection was
performed until the sample size was completed (September 2015 to December 2016).
Knowledge on the health effects of cigarette and WTS was examined based on 10
statements on cigarette and similar ones on WTS. Respondents "agreeing" with the
statements were considered knowledgeable and those "disagreeing" or responding
"don't know", not knowledgeable. All the "agree" responses for cigarette/WTS were
summed across the 10 health effects and average health knowledge scores for
cigarette/WTS were computed. RESULTS: Most of the study participants were
Bahraini nationals, ever married and educated with at least secondary level. The
majority (65.8%) of participants smoked a single type of tobacco product, and the
rest, two (28.0%) or three or more (6.2%). Age of starting cigarette and WTS was
16.2 +/- 4.0 and 19.3 +/- 6.7 years, respectively. The majority (81%) smoked in
the presence of other family members and 26.3% in the presence of a child. 76.2%
smoked in the presence of others in their cars. 18.9% of the attendees had quit
smoking at the time of interview. 81% of the participants knew about the hazards
of both cigarette and WTS with a significantly higher (p = 0.0001) mean knowledge
score for cigarette (93.3 +/- 3.0%) than WTS (85.2 +/- 2.1%). CONCLUSION: The
relative lack of knowledge on the hazards of WTS in a sample of Arab country
population with an increasing trend of WTS warrants the attention of health
policy makers in the country and region.
PMID- 29378542
TI - Interrupting seasonal transmission of Schistosoma haematobium and control of soil
transmitted helminthiasis in northern and central Cote d'Ivoire: a SCORE study
protocol.
AB - BACKGROUND: To achieve a world free of schistosomiasis, the objective is to scale
up control and elimination efforts in all endemic countries. Where interruption
of transmission is considered feasible, countries are encouraged to implement a
comprehensive intervention package, including preventive chemotherapy,
information, education and communication (IEC), water, sanitation and hygiene
(WASH), and snail control. In northern and central Cote d'Ivoire, transmission of
Schistosoma haematobium is seasonal and elimination might be achieved. In a
cluster-randomised trial, we will assess different treatment schemes to interrupt
S. haematobium transmission and control soil-transmitted helminthiasis over a 3
year period. We will compare the impact of (i) arm A: annual mass drug
administration (MDA) with praziquantel and albendazole before the peak
schistosomiasis transmission season; (ii) arm B: annual MDA after the peak
schistosomiasis transmission season; (iii) arm C: two yearly treatments before
and after peak schistosomiasis transmission; and (iv) arm D: annual MDA before
peak schistosomiasis transmission, coupled with chemical snail control using
niclosamide. METHODS/DESIGN: The prevalence and intensity of S. haematobium and
soil-transmitted helminth infections will be assessed using urine filtration and
Kato-Katz thick smears, respectively, in six administrative regions in northern
and central parts of Cote d'Ivoire. Once a year, urine and stool samples will be
collected and examined from 50 children aged 5-8 years, 100 children aged 9-12
years and 50 adults aged 20-55 years in each of 60 selected villages. Changes in
S. haematobium and soil-transmitted helminth prevalence and intensity will be
assessed between years and stratified by intervention arm. In the 15 villages
randomly assigned to intervention arm D, intermediate host snails will be
collected three times per year, before niclosamide is applied to the selected
freshwater bodies. The snail abundance and infection rates over time will allow
drawing inference on the force of transmission. DISCUSSION: This cluster
randomised intervention trial will elucidate whether in an area with seasonal
transmission, the four different treatment schemes can interrupt S. haematobium
transmission and control soil-transmitted helminthiasis. Lessons learned will
help to guide schistosomiasis control and elimination programmes elsewhere in
Africa. TRIAL REGISTRATION: ISRCTN ISRCTN10926858 . Registered 21 December 2016.
Retrospectively registered.
PMID- 29378544
TI - Cigarette smoking and smoking-attributable diseases among Estonian physicians: a
cross-sectional study.
AB - BACKGROUND: Smoking is a risk factor for several diseases. Physicians are role
models for their patients. Physicians who smoke underestimate the health risks of
smoking and may be less likely to offer advice to help their patients to quit.
The aim of this study was to: provide an overview of smoking behaviour among
Estonian physicians; assess the relationship between smoking and ischaemic heart
disease (IHD), chronic bronchitis (CB), and lung emphysema (LE); and estimate
fractions of prevalences of the three diseases attributable to smoking. METHODS:
Self-administered questionnaires were sent to practising physicians (n = 5666) in
Estonia in 2014. Prevalence of smoking and relative risks for IHD, CB and LE as
well as the risks of IHD, CB and LE attributable to smoking were calculated by
age and sex. Post-stratification was used to compensate non-response. RESULTS:
There were 535 male and 2404 female physicians participating. The prevalence of
daily smoking was 12.4% (95% CI 10.4-14.4%) among men and 5.0% (95% CI 4.4-5.6%)
among women. Mean duration of smoking among male and female daily smokers was
28.6 (95% CI 26.1-31.1) and 28.6 (95% CI 27.1-30.2) years. Compared to lifelong
non-smokers, the age-adjusted risk for IHD was 1.29 times (95% CI 0.88-1.89)
higher for men, but 1.69 times (95% CI 1.17-2.40) lower for all women who have
ever smoked. The risk for CB was 2.29 (95% CI 1.30-4.03) times higher for smokers
among men and, 1.32 (95% CI 0.95-1.82) among women; the risk ratio for LE was
4.92 (95% CI 1.14-21.1) among men and 2.45 (95% CI 0.63-9.52) among women. The
smoking-attributable risk for IHD was 3.2% (95% CI 2.3-4.1%) among men and - 0.1%
(95% CI -0.7-0.4%) among women; for CB 6.9% (95% CI 6.0-7.8%) and 4.2% (95% CI
3.5-4.8%); and for LE 18.8% (95% CI 17.0-22.5%) and 22.6% (95% CI 18.5-26.9%),
respectively. CONCLUSION: Prevalence of daily smoking was relatively low among
Estonian physicians (and twice lower among female physicians). The risk
attributable to smoking was higher for LE and CB than for IHD.
PMID- 29378546
TI - Identifying factors influencing contraceptive use in Bangladesh: evidence from
BDHS 2014 data.
AB - BACKGROUND: Birth control is the conscious control of the birth rate by methods
which temporarily prevent conception by interfering with the normal process of
ovulation, fertilization, and implantation. High contraceptive prevalence rate is
always expected for controlling births for those countries that are experiencing
high population growth rate. The factors that influence contraceptive prevalence
are also important to know for policy implication purposes in Bangladesh. This
study aims to explore the socio-economic, demographic and others key factors that
influence the use of contraception in Bangladesh. METHODS: The contraception data
are extracted from the 2014 Bangladesh Demographic and Health Survey (BDHS) data
which were collected by using a two stage stratified random sampling technique
that is a source of nested variability. The nested sources of variability must be
incorporated in the model using random effects in order to model the actual
parameter effects on contraceptive prevalence. A mixed effect logistic regression
model has been implemented for the binary contraceptive data, where parameters
are estimated through generalized estimating equation by assuming exchangeable
correlation structure to explore and identify the factors that truly affect the
use of contraception in Bangladesh. RESULTS: The prevalence of contraception use
by currently married 15-49 years aged women or their husbands is 62.4%. Our study
finds that administrative division, place of residence, religion, number of
household members, woman's age, occupation, body mass index, breastfeeding
practice, husband's education, wish for children, living status with wife, sexual
activity in past year, women amenorrheic status, abstaining status, number of
children born in last five years and total children ever died were significantly
associated with contraception use in Bangladesh. CONCLUSIONS: The odds of women
experiencing the outcome of interest are not independent due to the nested
structure of the data. As a result, a mixed effect model is implemented for the
binary variable 'contraceptive use' to produce true estimates for the significant
determinants of contraceptive use in Bangladesh. Knowing such true estimates is
important for attaining future goals including increasing contraception use from
62 to 75% by 2020 by the Bangladesh government's Health, Population & Nutrition
Sector Development Program (HPNSDP).
PMID- 29378545
TI - Under-vaccinated groups in Europe and their beliefs, attitudes and reasons for
non-vaccination; two systematic reviews.
AB - BACKGROUND: Despite effective national immunisation programmes in Europe, some
groups remain incompletely or un-vaccinated ('under-vaccinated'), with
underserved minorities and certain religious/ideological groups repeatedly being
involved in outbreaks of vaccine preventable diseases (VPD). Gaining insight into
factors regarding acceptance of vaccination of 'under-vaccinated groups' (UVGs)
might give opportunities to communicate with them in a trusty and reliable manner
that respects their belief system and that, maybe, increase vaccination uptake.
We aimed to identify and describe UVGs in Europe and to describe beliefs,
attitudes and reasons for non-vaccination in the identified UVGs. METHODS: We
defined a UVG as a group of persons who share the same beliefs and/or live in
socially close-knit communities in Europe and who have/had historically low
vaccination coverage and/or experienced outbreaks of VPDs since 1950. We searched
MEDLINE, EMBASE and PsycINFO databases using specific search term combinations.
For the first systematic review, studies that described a group in Europe with an
outbreak or low vaccination coverage for a VPD were selected and for the second
systematic review, studies that described possible factors that are associated
with non-vaccination in these groups were selected. RESULTS: We selected 48
articles out of 606 and 13 articles out of 406 from the first and second search,
respectively. Five UVGs were identified in the literature: Orthodox Protestant
communities, Anthroposophists, Roma, Irish Travellers, and Orthodox Jewish
communities. The main reported factors regarding vaccination were perceived non
severity of traditional "childhood" diseases, fear of vaccine side-effects, and
need for more information about for example risk of vaccination. CONCLUSIONS:
Within each UVG identified, there are a variety of health beliefs and objections
to vaccination. In addition, similar factors are shared by several of these
groups. Communication strategies regarding these similar factors such as
educating people about the risks associated with being vaccinated versus not
being vaccinated, addressing their concerns, and countering vaccination myths
present among members of a specific UVG through a trusted source, can establish a
reliable relationship with these groups and increase their vaccination uptake.
Furthermore, other interventions such as improving access to health care could
certainly increase vaccination uptake in Roma and Irish travellers.
PMID- 29378547
TI - The Research and Evaluation of Antipsychotic Treatment in Community Behavioral
Health Organizations, Outcomes (REACH-OUT) study: real-world clinical practice in
schizophrenia.
AB - BACKGROUND: Outpatient facilities, such as community behavioral health
organizations (CBHOs), play a critical role in the care of patients with serious
mental illness, but there is a paucity of "real-world" patient outcomes data from
this health care setting. Therefore, we conducted The Research and Evaluation of
Antipsychotic Treatment in Community Behavioral Health Organizations, Outcomes
(REACH-OUT) trial, a real-world, prospective, noninterventional observational
study of patients with mental illness treated at CBHOs across the United States.
We describe demographic and clinical characteristics, antipsychotic therapy (APT)
treatment patterns, and health care resource utilization in patients with
schizophrenia undergoing medical care as usual. METHODS: This study enrolled
adults with schizophrenia or bipolar I disorder who initiated APT treatment at
various time points: 1) within 8 weeks of initiating risperidone long-acting
injectables (RLAIs) or other APTs except paliperidone palmitate (PP), 2) after
more than 24 weeks of continuous RLAI treatment, or 3) at any time after
initiating PP LAI treatment (schizophrenia only). Study assessments were
performed via participant interview, medical chart abstraction, and clinical
survey at enrollment and at month 12. RESULTS: A total of 1065 patients from 46
CBHOs were enrolled. Of these, 944 (88.6%) had a diagnosis of schizophrenia and
121 (11.4%) had bipolar I disorder. At enrollment, 599 (63.5%) of patients with
schizophrenia were receiving RLAIs or PP LAI, 281 (29.8%) were receiving oral
APTs, and 64 (6.8%) were receiving other injectable APTs. A number of differences
in patient characteristics and outcomes were observed between patients in the LAI
APT cohort and the oral APT cohort. CONCLUSION: Descriptive analyses from this
observational study suggest differences in the patient characteristics, treatment
patterns, and clinical and economic outcomes among those with schizophrenia
treated at CBHOs with LAI APT or oral APTs. Additional analyses will be conducted
to delineate the impact of LAI APT versus oral APTs on patient outcomes. TRIAL
REGISTRATION: Clinical Trial Registry: NCT01181960 . Registered 12 August 2010.
PMID- 29378548
TI - "I beg you...breastfeed the baby, things changed": infant feeding experiences
among Ugandan mothers living with HIV in the context of evolving guidelines to
prevent postnatal transmission.
AB - BACKGROUND: For women living with HIV (WLWH) in low- and middle-income countries,
World Health Organization (WHO) infant feeding guidelines now recommend exclusive
breastfeeding until six months followed by mixed feeding until 24 months,
alongside lifelong maternal antiretroviral therapy (ART). These recommendations
represent the sixth major revision to WHO infant feeding guidelines since 1992.
We explored how WLWH in rural Uganda make infant feeding decisions in light of
evolving recommendations. METHODS: We conducted semi-structured interviews with
20 postpartum Ugandan WLWH accessing ART, who reported pregnancy < 2 years prior
to recruitment. Interviews were conducted between February-August 2014 with
babies born between March 2012-October 2013, over which time, the regional HIV
treatment clinic recommended lifelong ART for all pregnant and breastfeeding
women (Option B+). Content analysis was used to identify major themes. Infant
feeding experiences was an emergent theme. NVivo 10 software was used to organize
analyses. RESULTS: Among 20 women, median age was 33 years [IQR: 28-35], number
of livebirths was 3 [IQR: 2-5], years on ART was 2.3 [IQR: 1.5-5.1], and 95% were
virally suppressed. Data revealed that women valued opportunities to reduce
postnatal transmission. However, women made infant feeding choices that differed
from recommendations due to: (1) perception of conflicting recommendations
regarding infant feeding; (2) fear of prolonged infant HIV exposure through
breastfeeding; and (3) social and structural constraints shaping infant feeding
decision-making. CONCLUSIONS: WLWH face layered challenges navigating evolving
infant feeding recommendations. Further research is needed to examine guidance
and decision-making on infant feeding choices to improve postpartum experiences
and outcomes. Improved communication about changes to recommendations is needed
for WLWH, their partners, community members, and healthcare providers.
PMID- 29378549
TI - Anti-apoptotic potential of several antidiabetic medicinal plants of the eastern
James Bay Cree pharmacopeia in cultured kidney cells.
AB - BACKGROUND: Our team has identified 17 Boreal forest species from the traditional
pharmacopeia of the Eastern James Bay Cree that presented promising in vitro and
in vivo biological activities in the context of type 2 diabetes (T2D). We now
screened the 17 plants extracts for potential anti-apoptotic activity in cultured
kidney cells and investigated the underlying mechanisms. METHODS: MDCK (Madin
Darnby Canine Kidney) cell damage was induced by hypertonic medium (700 mOsm/L)
in the presence or absence of maximal nontoxic concentrations of each of the 17
plant extracts. After 18 h' treatment, cells were stained with Annexin V (AnnV)
and Propidium iodide (PI) and subjected to flow cytometry to assess the
cytoprotective (AnnV-/PI-) and anti-apoptotic (AnnV+/PI-) potential of the 17
plant extracts. We then selected a representative subset of species (most
cytoprotective, moderately so or neutral) to measure the activity of caspases 3,
8 and 9. RESULTS: Gaultheria hispidula and Abies balsamea are amongst the most
powerful cytoprotective and anti-apoptotic plants and appear to exert their
modulatory effect primarily by inhibiting caspase 9 in the mitochondrial
apoptotic signaling pathway. CONCLUSION: We conclude that several Cree
antidiabetic plants exert anti-apoptotic activity that may be relevant in the
context of diabetic nephropathy (DN) that affects a significant proportion of
Cree diabetics.
PMID- 29378550
TI - Tools for a systematic appraisal of integrated community-based approaches to
prevent childhood obesity.
AB - BACKGROUND: Evaluation and monitoring methods are often unable to identify
crucial elements of success or failure of integrated community-wide approaches
aiming to tackle childhood overweight and obesity, yet difficult to determine in
complex programmes. Therefore, we aimed to systematically appraise strengths and
weaknesses of such programmes and to assess the usefulness of the appraisal tools
used. METHODS: To identify strengths and weaknesses of the integrated community
based approaches two tools were used: the Good Practice Appraisal tool for
obesity prevention programmes, projects, initiatives and intervention (GPAT), a
self-administered questionnaire developed by the WHO; and the OPEN tool, a
structured list of questions based on the EPODE theory, to assist face-to-face
interviews with the principle programme coordinators. The strengths and
weaknesses of these tools were assessed with regard to practicalities, quality of
acquired data and the appraisal process, criteria and scoring. RESULTS: Several
strengths and weaknesses were identified in all the assessed integrated community
based approaches, different for each of them. The GPAT provided information
mostly on intervention elements whereas through the OPEN tool information on both
the programme and intervention levels were acquired. CONCLUSION: Large
variability between integrated community-wide approaches preventing childhood
obesity in the European region was identified and therefore each of them has
different needs. Both tools used in combination seem to facilitate comprehensive
assessment of integrated community-wide approaches in a systematic manner, which
is rarely conducted. Nonetheless, the tools should be improved in line to their
limitations as recommended in this manuscript.
PMID- 29378551
TI - Stimulatory effect of icariin on the proliferation of neural stem cells from rat
hippocampus.
AB - BACKGROUND: Icariin (ICA), a major ingredient of Epimediumbrevicornum, has
various pharmacological activities including central nervous system protective
functions such as the improvement of learning and memory function in mice models
of Alzheimer's disease. It has been reported that ICA can promote regeneration of
peripheral nerve and functional recovery. The purpose of this study was to
investigate the potentiating effect of ICA on the proliferation of rat
hippocampal neural stem cells, and explore the possible mechanism involved.
METHODS: Primary neural stem cells were prepared from the hippocampus of newly
born SD rats, and cells were cultured in special stem cell culture medium. Neural
stem cells were confirmed by immunofluorescence detection of nestin, NSE and GFAP
expression. The effect of ICA on the growth and proliferation of the neural stem
cells was evaluated by 5-ethynyl-2-deoxyuridine (EdU) labeling of proliferating
cells, and photomicrographic images of the cultured neural stem cells. Further,
the mechanism of ICA-induced cell proliferation of neural stem cells was
investigated by analyzing the gene and protein expression of cell cycle related
genes cyclin D1 and p21. RESULTS: The present study showed that icariin promotes
the growth and proliferation of neural stem cells from rat hippocampus in a dose
dependent manner. Incubation of cells with icariin resulted in significant
increase in the number of stem cell spheres as well as the increased
incorporation of EdU when compared with cells exposed to control vehicle. In
addition, it was found that icariin-induced effect on neural stem cells is
associated with increased mRNA and protein expression of cell cycle genes cyclin
D1 and p21. CONCLUSIONS: This study evidently demonstrates the potentiating
effect of ICA on neural stem cell growth and proliferation, which might be
mediated through regulation of cell cycle gene and protein expression promoting
cell cycle progression.
PMID- 29378552
TI - Optimized DNA electroporation for primary human T cell engineering.
AB - BACKGROUND: Effective gene-delivery systems for primary human T cell engineering
are useful tools for both basic research and clinical immunotherapy applications.
Pseudovirus-based systems and electro-transfection are the most popular
strategies for genetic material transduction. Compared with viral-particle
mediated approaches, electro-transfection is theoretically safer, because it does
not promote transgene integration into the host genome. Additionally, the
simplicity and speed of the procedure increases the attractiveness of
electroporation. Here, we developed and optimized an electro-transfection method
for the production of engineered chimeric antigen receptor (CAR)-T cells.
RESULTS: Stimulation of T cells had the greatest effect on their transfection,
with stimulation of cells for up to 3 days substantially improving transfection
efficiency. Additionally, the strength of the external electric field, input cell
number, and the initial amount of DNA significantly affected transfection
performance. The voltage applied during electroporation affected plasmid
permeation and was negatively correlated with the number of viable cells after
electroporation. Moreover, higher plasmid concentration increased the proportion
of positively transfected cells, but decreased cell viability, and for single
activated cells, higher cell density enhanced their viability. We evaluated the
effects of two clinically relevant factors, serum supplementation in the culture
medium and cryopreservation immediately after the isolation of peripheral blood
lymphocytes. Our findings showed that our protocol performed well using xeno-free
cultured, fresh T cells, with application resulting in a lower but acceptable
transfection efficiency of cells cultured with fetal bovine serum or thawed
cells. Furthermore, we described an optimized procedure to generate CAR-T cells
within 6 days and that exhibited cytotoxicity toward targeted cells. CONCLUSIONS:
Our investigation of DNA electro-transfection for the use in human primary T cell
engineering established and validated an optimized method for the construction of
functional CAR-T cells.
PMID- 29378553
TI - Improving public health evaluation: a qualitative investigation of practitioners'
needs.
AB - BACKGROUND: In 2011, the House of Lords published a report on Behaviour Change,
in which they report that "a lot more could, and should, be done to improve the
evaluation of interventions." This study aimed to undertake a needs assessment of
what kind of evaluation training and materials would be of most use to UK public
health practitioners by conducting interviews with practitioners about everyday
evaluation practice and needed guidance and materials. METHODS: Semi-structured
interviews were conducted with 32 public health practitioners in two UK regions,
Cambridgeshire and the South West. Participants included directors of public
health, consultants in public health, health improvement advisors, public health
intelligence, and public health research officers. A topic guide included
questions designed to explore participants existing evaluation practice and their
needs for further training and guidance. Data were analysed using thematic
analyses. RESULTS: Practitioners highlighted the need for evaluation to defend
the effectiveness of existing programs and protect funding provisions. However,
practitioners often lacked training in evaluation, and felt unqualified to
perform such a task. The majority of practitioners did not use, or were not aware
of many existing evaluation guidance documents. They wanted quality-assured,
practical guidance that relate to the real world settings in which they operate.
Practitioners also mentioned the need for better links and support from academics
in public health. CONCLUSION: Whilst numerous guidance documents supporting
public health evaluation exist, these documents are currently underused by
practitioners - either because they are not considered useful, or because
practitioners are not aware of them. Integrating existing guides into a catalogue
of guidance documents, and developing a new-quality assured, practical and useful
document may support the evaluation of public health programs. This in turn has
the potential to identify those programs that are effective; thus improving
public health and reducing financial waste.
PMID- 29378554
TI - Screening of Baccaurea ramiflora (Lour.) extracts for cytotoxic, analgesic, anti
inflammatory, neuropharmacological and antidiarrheal activities.
AB - BACKGROUND: It has been observed that the various part of Baccaurea ramiflora
plant is used in rheumatoid arthritis, cellulitis, abscesses, constipation and
injuries. This plant also has anticholinergic, hypolipidemic, hypoglycemic,
antiviral, antioxidant, diuretic and cytotoxic activities. The present studyaimed
to assess the cytotoxic, analgesic, anti-inflammatory, CNS depressant and
antidiarrheal activities of methanol extract of Baccaurea ramiflora pulp and
seeds in mice model. METHODS: The cytotoxic activity was determined by brine
shrimp lethality bioassay; anti-nociceptive activity was determined by acetic
acid-induced writhing, formalin- induced licking and biting, and tail immersion
methods. The anti-inflammatory, CNS depressant and anti-diarrheal activities were
assessed by carrageenan-induced hind paw edema, the open field and hole cross
tests, and castor oil-induced diarrheal methods, respectively. The data were
analyzed by one way ANOVA (analysis of variance) followed by Dunnett's test.
RESULTS: In brine shrimp lethality bioassay, the LC50 values of the methanol
extracts of Baccaurea ramiflora pulp and seed were 40 MUg/mL and 10 MUg/mL,
respectively. Our investigation showed that Baccaurea ramiflora pulp and seed
extracts (200 mg/kg) inhibited acetic acid induced pain 67.51 and 66.08%,
respectively (p < 0.05) that was strongly comparable with that of Ibuprofen (72%)
(p < 0.05). The Baccaurea ramiflora pulp and seed extracts (200 mg/kg)
significantly (p < 0.05) reduced 58.5 and 53.4 in early and 80.8%, 76.61% in late
phase of formalin-induced licking and biting. At 60 and 90 min pulp and seed
extracts (200 mg/kg) inhibited nociception of thermal stimulus 50.16 and 62.4%,
respectively (p < 0.05) which was comparable with the standard (morphine, 75.9%
inhibition). The pulp and seed extracts (200 mg/kg) significantly (p < 0.05)
reduced inflammation (42.00 and 55.22%, respectively) in carrageenan-induced hind
paw edema and defecations (59.7 and 63.03%, respectively) in castor oil induced
diarrhea. Both the extracts showed high sedative activity at 30, 60, 90, and 120
min. CONCLUSION: Our investigation demonstrated significant cytotoxic, analgesic,
anti-inflammatory, CNS depressant and antidiarrheal activities of methanol
extract of Baccaurea ramiflora pulp and seeds (200 mg/kg).
PMID- 29378555
TI - The clinical course and pathophysiological investigation of adolescent
gestational diabetes insipidus: a case report.
AB - BACKGROUND: Gestational diabetes insipidus (GDI) is a rare endocrine complication
during pregnancy that is associated with vasopressinase overproduction from the
placenta. Although increased vasopressinase is associated with placental volume,
the regulation of placental growth in the later stage of pregnancy is not well
known. CASE PRESENTATION: A 16-year-old pregnant woman was urgently transferred
to our hospital because of threatened premature labor when the Kumamoto
earthquakes hit the area where she lived. During her hospitalization, she
complained of gradually increasing symptoms of polyuria and polydipsia. The serum
level of arginine vasopressin (AVP) was 1.7 pg/mL, which is inconsistent with
central DI. The challenge of diagnostic treatment using oral 1-deamino-8-D-AVP
(DDAVP) successfully controlled her urine and allowed for normal delivery. DDAVP
tablets were not necessary to control her polyuria thereafter. Based on these
observations, clinical diagnosis of GDI was confirmed. Pathophysiological
analyses revealed that vasopressinase expression was more abundant in the GDI
patient's syncytiotrophoblast in placenta compared with that in a control
subject. Serum vasopressinase was also observed during gestation and disappeared
soon after delivery. Vasopressinase is reportedly identical to oxytocinase or
insulin regulated aminopeptidase (IRAP), which is an abundant cargo protein
associated with the glucose transporter 4 (GLUT4) storage vesicle. Interestingly,
the expression and subcellular localization of GLUT4 appeared to occur in a
vasopressinase (IRAP)-dependent manner. CONCLUSION: Because placental volume may
be associated with vasopressinase overproduction in GDI, vasopressinase
(IRAP)/GLUT4 association appears to contribute to the growth of placenta in this
case.
PMID- 29378556
TI - Risk factors of stunting among children living in an urban slum of Bangladesh:
findings of a prospective cohort study.
AB - BACKGROUND: Bangladesh is one of the 20 countries with highest burden of stunting
globally. A large portion (around 2.2 million) of the population dwells in the
slum areas under severe vulnerable conditions. Children residing in the slums are
disproportionately affected with higher burden of undernutrition particularly
stunting. In this paper, findings of a prospective cohort study which is part of
a larger multi-country study are presented. METHODS: Two hundred and sixty five
children were enrolled and followed since their birth till 24 months of age.
Anthropometric measurements, dietary intake and morbidity information were
collected monthly. Data from 9 to 12, 15-18 and 21-24 months were collated to
analyze and report findings for 12, 18 and 24 months of age. Generalized
estimating equation models were constructed to determine risk factors of stunting
between 12 and 24 months of age. RESULT: Approximately, 18% of children were
already stunted (LAZ < -2SD) at birth and the proportion increased to 48% at 24
months of age. Exclusive breastfeeding prevalence was only 9.4% following the WHO
definition at 6 months. Dietary energy intake as well as intakes of carbohydrate,
fat and protein were suboptimal for majority of the children. However, in
regression analysis, LAZ at birth (AOR = 0.40, 95% CI: 0.26, 0.61), household
with poor asset index (AOR = 2.81, 95% CI: 1.43, 5.52; ref.: average asset
index), being male children (AOR = 1.75, 95% CI: 1.04, 2.95; ref.: female) and
age (AOR = 2.34, 95% CI: 1.56, 3.52 at 24 months, AOR = 2.13, 95% CI: 1.55, 2.92
at 18 months; ref.: 12 months of age) were the significant predictors of stunting
among this population. CONCLUSION: As the mechanism of stunting begins even
before a child is born, strategies must be focused on life course approach and
preventive measurement should be initiated during pregnancy. Alongside,
government and policymakers have to develop sustainable strategies to improve
various social and environmental factors those are closely interrelated with
chronic undernutrition particularly concentrating on urban slum areas.
PMID- 29378557
TI - Determinants of excellent/good self-rated health among HIV positive individuals
in South Africa: evidence from a 2012 nationally representative household survey.
AB - BACKGROUND: In South Africa, HIV is increasingly becoming a chronic disease as a
result of advances in HIV treatment and prevention in the last three decades.
This has changed the perception from a life threating to a potentially manageable
disease. However, little is known about self-perceived health status of HIV
infected individuals. Self-rated health (SRH) has been shown to be a sensitive
indicator of health-relatedchanges directly linked to HIV, but can also be
influenced by differences in social and material conditions. The aim of this
paper was to identify determinants of excellent/good SRH among HIV-infected
individuals using socio-demographic, life style and health related data. METHODS:
The study used data from the nationally representative 2012 South African
population-based household survey on HIV prevalence, incidence and behaviour
conducted using multi-stage stratified cluster sampling design. Bivariate and
multivariate logistic regression models were used to identify determinants of SRH
among HIV-infected individuals. RESULTS: Out of a total of 2632 HIV positive
participants 74.1% (95% CI: 68.4-74.2) reported excellent/good SRH. Increased
likelihood of reporting excellent/good SRH was significantly associated with
being Black African [OR= 1.97 (95%CI: 1.12-3.46), p = 0.019] and belonging to
least poor household [OR= 3.13 (95%CI: 1.26-7.78), p = 0.014]. Decreased
likelihood of reporting excellent/good SRH was significantly associated with
those aged 25 to 34 years [OR= 0.49 (95% CI: 0.31-0.78), p = 0.003], 35 to 44
years[OR= 0.27 (95% CI: 0.17-0.44), p < 0.001], 45 to 54 years [OR= 0.20 (95% CI:
0.12-0.34), p < 0.001], and those 55 years and older [OR= 0.15 (95% CI: 0.09
0.26), p < 0.001], hospitalization in the past twelve months [OR= 0.40 (95% CI:
0.26-0.60), p < 0.001]. CONCLUSION: To have positive health effects and improve
the perceived health status for PLWH social interventions should seek to enhance
to support for the elderly HIV-positive individuals, and address the challenge of
socio-economic inequalities and underlying comorbid conditions resulting in
hospitalization.
PMID- 29378558
TI - Cytotoxicity of seputhecarpan D, thonningiol and 12 other phytochemicals from
African flora towards human carcinoma cells.
AB - BACKGROUND: Despite the remarkable progress in cancer therapy in recent years,
this disease still remains a serious public health concern. The use of natural
products has been and continues to be one of the most effective ways to fight
malignancies. The cytotoxicity of 14 compounds from African medicinal plants was
evaluated in four human carcinoma cell lines and normal fibroblasts. The tested
samples included: beta-spinasterol (1), friedelanone (2), 16beta-hydroxylupeol
(3), beta-amyrin acetate (4), lupeol acetate (5), sequoyitol (6), rhamnitrin (7),
europetin 3-O-rhamnoside (8), thonningiol (9), glyasperin F (10), seputhecarpan B
(11), seputhecarpan C (12), seputhecarpan D (13) and rheediaxanthone A (14).
METHODS: The neutral red uptake (NR) assay was used to evaluate the cytotoxicity
of samples; caspase-Glo assay, flow cytometry for cell cycle analysis and
mitochondrial membrane potential (MMP) as well as spectrophotometry to measure
levels of reactive oxygen species (ROS) were performed to detect the mode of
action of compounds 9 and 13 in MCF-7 breast adenocarcinoma cells. RESULTS:
Compounds 3, 9-13 displayed cytotoxic effects against the four tested cancer cell
lines with IC50 values below 85 MUM. Compounds 9 and 13 had IC50 values below 10
MUM in 4/4 and 3/4 tested cell lines respectively. The IC50 values varied from
0.36 MUM (against MCF7 cells) to 5.65 MUM (towards colon carcinoma DLD-1 cells)
for 9, from 9.78 MUM (against MCF7 cells) to 67.68 MUM (against HepG2 cells) for
13 and 0.18 MUM (towards HepG2 cells) to 72 MUM (towards Caco-2 cells) for the
reference drug, doxorubicin. Compounds 9 and 13 induced cell cycle arrest in
Go/G1 whilst doxorubicin induced arrest in G2/M. The two molecules (9 and 13)
also induced apoptosis in MCF-7 cells through activation of caspases 3/7 and 9 as
well as enhanced ROS production. CONCLUSION: Compounds 9 and 13 are good
cytotoxic phytochemicals that should be explored more in future to develop a
cytotoxic drug to fight human carcinoma.
PMID- 29378559
TI - Coping strategies, vision-related quality of life, and emotional health in
managing retinitis pigmentosa: a survey study.
AB - BACKGROUND: Retinitis pigmentosa is a group of genetic progressive retinal
dystrophies that may adversely affect daily life. Those with RP should develop
adaptive coping strategies to manage their condition. This study investigates the
relationship between engaging (ECS) and disengaging coping strategies (DCS),
vision-related quality of life (VRQoL), and emotional health, in adults living at
home with retinitis pigmentosa. METHOD: One hundred and five participants (70
female; meanage of 46.98, SD age = 13.77) completed a cross-sectional survey.
The questionnaire booklet consisted of the Coping Strategies Inventory - Short
Form (32 items), the National Eye Institute Visual Functioning Questionnaire 25
(25 items), Marylands Trait Depression Scale (18 items), the Warwick-Edinburgh
Mental Well-being Scale (14 items), and the Subjective Happiness Scale (4 items).
RESULTS: Data was analysed with a two-block hierarchical multiple regression,
with the first block controlling for the demographic data (age, sex, years since
retinitis pigmentosa diagnosis, number of comorbidities, participant-perceived
retinitis pigmentosa severity, and knowing RP type) and the second block
consisting of primary measures (type of coping strategy, VRQoL, and Emotional
Health). Type of coping strategy was found to impact psychosocial variables of
VRQoL, not overall VRQoL. These psychosocial VRQoL variables had a positive
association with ECS and a negative association with DCS. Emotional Health
increased with ECS and decreased with DCS. There was a larger impact of DCS on
VRQoL and Emotional Health compared to ECS, that is, VRQoL and Emotional Health
decreased more with increasing DCS than VRQoL, and Emotional Health increased
with increasing ECS. CONCLUSION: In concordance with previous research, ECS
increased with increasing VRQoL and DCS decreased with increasing VRQoL. However,
the findings also indicated that DCS had a greater impact than ECS on VRQoL and
Emotional Health. This suggests that diminishing DCS should be prioritised over
developing ECS to positively influence VRQoL and Emotional Health. Further
research should investigate the impact of reducing DCS compared to increasing
ECS, and how this may influence VRQoL and Emotional Health.
PMID- 29378560
TI - Sheng-ji Hua-yu formula promotes diabetic wound healing of re-epithelization via
Activin/Follistatin regulation.
AB - BACKGROUND: Sheng-ji Hua-yu(SJHY) formula is one of the most useful Traditional
Chinese medicine (TCM) in the treatment of the delayed diabetic wound. However,
elucidating the related molecular biological mechanism of how the SJHY Formula
affects excessive inflammation in the process of re-epithelialization of diabetic
wound healing is a task urgently needed to be fulfilled. The objectives of this
study is to evaluate the effect of antagonisic expression of pro-/anti
inflammatory factors on transforming growth factor-beta(TGF-beta) superfamily
(activin and follistatin) in the process of re-epithelialization of diabetic
wound healing in vivo, and to characterize the involvement of the
activin/follistatin protein expression regulation, phospho-Smad (pSmad2), and
Nuclear factor kappa B p50 (NF-kB) p50 in the diabetic wound healing effects of
SJHY formula. METHODS: SJHY Formula was prepared by pharmaceutical preparation
room of Yueyang Hospital of Integrated Traditional Chinese and Western Medicine.
Diabetic wound healing activity was evaluated by circular excision wound models.
Wound healing activity was examined by macroscopic evaluation.
Activin/follistatin expression regulation, protein expression of pSmad2 and NF-kB
p50 in skin tissue of wounds were analyzed by Real Time PCR, Western blot,
immunohistochemistry and hematoxylin and eosin (H&E) staining. RESULTS:
Macroscopic evaluation analysis showed that wound healing of diabetic mice was
delayed, and SJHY Formula accelerated wound healing time of diabetic mice. Real
Time PCR analysis showed higher mRNA expression of activin/follistatin in
diabetic delayed wound versus the wound in normal mice. Western Blot immunoassay
analysis showed reduction of activin/follistatin proteins levels by SJHY Formula
treatment 15 days after injury. Immunohistochemistry investigated the reduction
of pSmad2 and NF-kB p50 nuclear staining in the epidermis of diabetic SJHY versus
diabetic control mice on day 15 after wounding. H&E staining revealed that SJHY
Formula accelerated re-epithelialization of diabetic wound healing. CONCLUSION:
The present study found that diabetic delayed wound healing time is closely
related to the high expression level of activin/follistatin, which leads to
excessive inflammation in the process of re-epithelization. SJHY Formula
accelerates re-epithelialization and healing time of diabetic wounds through
decreasing the high expression of activin/follistatin.
PMID- 29378561
TI - Primary palliative Care in General Practice - study protocol of a three-stage
mixed-methods organizational health services research study.
AB - BACKGROUND: The focus of this project is on improving the provision of primary
palliative care (PC) by general practitioners (GPs). While approximately 10-15%
of the incurable, seriously ill or dying people will be in need of specialist PC,
the vast majority can be adequately treated within generalist care. The
strengthening of the GP's role in PC, as well as ensuring close collaboration
between specialist PC services and GPs have been identified as top priorities for
the improvement of PC in Germany. Despite healthcare policy actions, diverse
obstacles still exist to successful implementation of primary PC on a structural,
process, and economic level. Therefore, this project aims at addressing barriers
and facilitators to primary PC delivery in general practice in Germany. METHODS:
The study follows a three-step approach; first, it aims at systematically
analyzing barriers and facilitators to primary PC provision by GPs. Second, based
on these outcomes, a tailored intervention package will be developed to enhance
the provision of primary PC by GPs. Third, the intervention package will be
implemented and evaluated in practice. The expected outcome will be an evidence
based model for successful implementation of primary PC delivery tailored to the
German healthcare system, followed by a strategic action plan on how to improve
current practice both on a local level and nationally. DISCUSSION: The first step
of the project has been partly completed at the time of writing. The chosen
methodologies of four sub-projects within this first step have opened up
different advantages and disadvantages for the data collection. In sum of all sub
projects, the different methodologies and target groups contributed valuable
information to the systematic analysis of barriers and facilitators to primary PC
provision by GPs. TRIAL REGISTRATION: The study (BMBF-FK 01 GY 1610) was
retrospectively registered at the German Clinical Trials Register (Deutsches
Register Klinischer Studien) (Registration N degrees DRKS00011821 ; date of
registration: December 04th 2017) and at the German Register of health care
research (Versorgungsforschung Deutschland - Datenbank) (Registration N degrees
VfD_ALLPRAX_16_003817 ; date of registration: March 30th 2017).
PMID- 29378562
TI - Perception of inpatients following remission of a manic episode in bipolar I
disorder on a group-based Psychoeducation program: a qualitative study.
AB - BACKGROUND: This forms the first study of a group-based psychoeducation program
for inpatients following remission of a manic episode in patients suffering from
bipolar I disorder in a Chinese population. The aim was to explore the patient's
perspectives of the program and their suggestions regarding ways to improve the
intervention in the future. METHODS: Semi-structured and in-depth interviews were
conducted with 15 participants who had participated in 8 sessions of a group
psychoeducation program over 2 weeks. The verbatim transcripts of those
interviews were analysed using thematic analysis. RESULTS: Five themes emerged
from the data, including the patients' perception of participating in the
program, their perception of the setting, perception of participating in a group
program, perception of the learning content and of the outcome of participating
in the program. CONCLUSIONS: The results presented here describe how the short
term group psychoeducation program was experienced by the patients.
Recommendations are also offered to improve the setting, content, and delivery.
Our findings provide evidence that the program is beneficial for manic patients
with bipolar I disorder, and this intervention warrants further research
especially in a Chinese population. If these benefits are confirmed in future
studies, this program could be incorporated into routine psychiatric inpatient
care in China.
PMID- 29378563
TI - Health-related physical fitness and physical activity in elementary school
students.
AB - BACKGROUND: This study examined associations between students' physical fitness
and physical activity (PA), as well as what specific physical fitness components
were more significant correlates to being physically active in different settings
for boys and girls. METHODS: A total of 265 fifth-grade students with an average
age of 11 voluntarily participated in this study. The students' physical fitness
was assessed using four FitnessGram tests, including Progressive Aerobic
Cardiovascular Endurance Run (PACER), curl-up, push-up, and trunk lift tests. The
students' daily PA was assessed in various settings using a daily PA log for 7
days. Data was analyzed with descriptive statistics, univariate analyses, and
multiple R-squared liner regression methods. RESULTS: Performance on the four
physical fitness tests was significantly associated with the PA minutes spent in
physical education (PE) class and recess for the total sample and for girls, but
not for boys. Performance on the four fitness tests was significantly linked to
participation in sports/dances outside school and the total weekly PA minutes for
the total sample, boys, and girls. Further, boys and girls who were the most
physically fit spent significantly more time engaging in sports/dances and had
greater total weekly PA than boys and girls who were not physically fit. In
addition, the physically fit girls were more physically active in recess than
girls who were not physically fit. CONCLUSIONS: Overall, students' performance on
the four physical fitness tests was significantly associated with them being
physically active during PE and in recess and engaging in sports/dances, as well
as with their total weekly PA minutes, but not with their participation in non
organized physical play outside school. TRIAL REGISTRATION: ClinicalTrials.gov
ID: NCT03015337 , registered date: 1/09/2017, as "retrospectively registered".
PMID- 29378564
TI - "Ways and channels for voice regarding perceptions of maternal health care
services within the communities of the Makamba and Kayanza provinces in the
Republic of Burundi: an exploratory study".
AB - BACKGROUND: Increased availability of maternal health services alone does not
lead to better outcomes for maternal health.The services need to be utilized
first.One way to increase service utilization is to plan responsive health care
services by taking into account the community's views or expressed needs. Burundi
has a high maternal mortality ratio, and despite improvements in health
infrastructure, skilled staff and the abolition of user fees for pregnant
women,utilization of maternal health services remains low. Possible reasons for
this include a lack of responsive healthcare services. An exploratory study was
conducted in 2013 in two provinces of Burundi (Makamba and Kayanza), with the aim
to collect the experiences of women and men with the maternal health
services,their views regarding those services, channels used to express these
experiences, and the providers' reaction. METHODS: Semi-structured interviews
were used to collect data from men and women and key informants, including
community health workers, health committee members, health providers, local
authorities, religious leaders and managers of non-governmental organizations.
Data analysis was facilitated by MAXQDA 11 software. RESULTS: Negative
experiences with maternal health services were reported and included poor staff
behavior towards women and a lack of medicine. Health committees and suggestion
boxes were introduced by the government to channel the community's views.
However, they are not used by the community members, who prefer to use community
health workers as intermediaries. Fear of expressing oneself linked to the post
war context of Burundi, social and gender norms, and religious norms limit the
expression of community members' views, especially those of women. The limited
appreciation of community health workers by the providers further hampers
communication and acceptance of the community's views by health providers.
CONCLUSION: In Burundi, the community voice to express views on maternal health
services is encountering obstacles and needs to be strengthened,especially the
women's voice. Community mobilization in the form of a mass immunization campaign
day organized by women fora, and community empowerment using participatory
approaches could contribute towards community voice strengthening.
PMID- 29378565
TI - Comparison of the efficacy of nafcillin and glycopeptides as definitive therapy
for patients with methicillin-susceptible Staphylococcus aureus bacteremia: a
retrospective cohort study.
AB - BACKGROUND: Studies have shown that the prognosis of the treatment of methicillin
susceptible S. aureus (MSSA) with glycopeptides is inferior compared to treatment
with beta-lactam. However, there are only few studies comparing treatment with
antistaphylococcal penicillin alone to glycopeptide treatment. The aim of this
study was to compare the efficacy of nafcillin, an antistaphylococcal penicillin,
with that of glycopeptides as a definitive therapy for MSSA bacteremia. METHODS:
Patients with MSSA bacteremia recruited from a tertiary referral hospital were
enrolled in this retrospective cohort study. Demographic characteristics,
laboratory data, and clinical outcome of the treatment were compared between a
group receiving nafcillin and a group receiving glycopeptides. RESULTS: A total
of 188 patients with MSSA bacteremia were included in this study. The
glycopeptide group had a higher rate of malignancy (28.6 vs. 60.8%, p < 0.001)
and proportion of healthcare-associated infections (47.3 vs. 72.2%, p < 0.001)
compared to the nafcillin group. The ratio of skin and soft tissue infections
(30.0 vs. 16.7%, p = 0.037) and bone and joint infections (17.8 vs. 6.3%, p =
0.022), as well as levels of C-reactive protein (139.60 vs. 107.61 mg/dL, p =
0.022) were higher in the nafcillin group. All-cause 28-day mortality was
significantly high in the glycopeptide group (7.7 vs. 20.6%, p = 0.013).
CONCLUSION: In patients with MSSA bacteremia, all-cause 28-day mortality rate was
higher in a group treated with glycopeptides than in a group treated with
nafcillin. Therefore, the use of nafcillin should be considered as a definitive
therapy for MSSA bacteremia.
PMID- 29378566
TI - Bridging gaps in everyday life - a free-listing approach to explore the variety
of activities performed by physiotherapists in specialized palliative care.
AB - BACKGROUND: A growing body of studies indicate benefits of physiotherapy for
patients in palliative care, for symptom relief and wellbeing. Though
physiotherapists are increasingly acknowledged as important members of palliative
care teams, they are still an underutilized source and not fully recognized. The
aim of this study was to explore the variety of activities described by
physiotherapists in addressing the needs and problems of patients and their
families in specialized palliative care settings. METHODS: Using a free-listing
approach, ten physiotherapists working in eight specialized palliative care
settings in Sweden described as precisely and in as much detail as possible
different activities in which patients and their families were included (directly
or indirectly) during 10 days. The statements were entered into NVivo and
analysed using qualitative content analysis. Statements containing more than one
activity were categorized per activity. RESULTS: In total, 264 statements,
containing 504 varied activities, were coded into seven categories: Counteracting
a declining physical function; Informing, guiding and educating; Observing,
assessing and evaluating; Attending to signs and symptoms; Listening, talking
with and understanding; Caring for basic needs; and Organizing, planning and
coordinating. In practice, however, the activities were intrinsically interwoven.
The activities showed how physiotherapists aimed, through care for the body, to
address patients' physical, psychological, social and existential needs,
counteracting the decline in a patient's physical function and wellbeing. The
activities also revealed a great variation, in relation not only to what they
did, but also to their holistic and inseparable nature with regard to why, how,
when, where, with whom and for whom the activities were carried out, which points
towards a well-adopted person-centred palliative care approach. CONCLUSIONS: The
study provides hands-on descriptions of how person-centred palliative care is
integrated in physiotherapists' everyday activities. Physiotherapists in
specialized palliative care help patients and families to bridge the gap between
their real and ideal everyday life with the aim to maximize security, autonomy
and wellbeing. The concrete examples included can be used in understanding the
contribution of physiotherapists to the palliative care team and inform future
research interventions and outcomes.
PMID- 29378567
TI - Does a provider payment method affect membership retention in a health insurance
scheme? a mixed method study of Ghana's capitation payment for primary care.
AB - BACKGROUND: Ghana introduced a National Health Insurance Scheme (NHIS) in 2003
applying fee-for-service method for paying NHIS-credentialed health care
providers. The National Health Insurance Authority (NHIA) later introduced
diagnosis-related-grouping (DRG) payment to contain cost without much success.
The NHIA then introduced capitation payment, a decision that attracted complaints
of falling enrolment and renewal rates from stakeholders. This study was done to
provide evidence on this trend to guide policy debate on the issue. METHODS: We
applied mixed method design to the study. We did a trend analysis of NHIS
membership data in Ashanti, Volta and Central regions to assess growth rate;
performed independent-sample t-test to compare sample means of the three regions
and analysed data from individual in-depth interviews to determine any
relationship between capitation payment and subscribers' renewal decision.
RESULTS: Results of new enrolment data analysis showed differences in mean growth
rates between Ashanti (M = 30.15, SE 3.03) and Volta (M = 40.72, SE 3.10), p =
0.041; r = 0. 15; and between Ashanti and Central (M = 47.38, SE6.49) p = 0.043;
r = 0. 42. Analysis of membership renewal data, however, showed no significant
differences in mean growth rates between Ashanti (M = 65.47, SE 6.67) and Volta
(M = 69.29, SE 5.04), p = 0.660; r = 0.03; and between Ashanti and Central (M =
50.51, SE 9.49), p = 0.233. Analysis of both new enrolment and renewal data also
showed no significant differences in mean growth rates between Ashanti (M = -
13.76, SE 17.68) and Volta (M = 5.48, SE 5.50), p = 0.329; and between Ashanti
and Central (M = - 6.47, SE 12.68), p = 0.746. However, capitation payment had
some effect in Ashanti compared with Volta (r = 0. 12) and Central (r = 0. 14);
but could not be sustained beyond 2012. Responses from the in-depth interviews
did not also show that capitation payment is a key factor in subscribers' renewal
decision. CONCLUSION: Capitation payment had a small but unsustainable effect on
membership growth rate in the Ashanti region. Factors other than capitation
payment may have played a more significant role in subscribers' enrolment and
renewal decisions in the Ashanti region of Ghana.
PMID- 29378568
TI - The five-year costs and benefits of extended psychological and psychiatric
assessment versus standard intake interview for women with comorbid substance use
disorders treated in compulsory care in Sweden.
AB - BACKGROUND: Women with comorbid substance use disorders are an extremely
vulnerable group having an increased relative risk of negative outcomes such as
incarceration, morbidity and mortality. In Sweden, women with comorbid substance
use disorders may be placed in compulsory care for substance abuse treatment.
Clinical intake assessment procedures are a distinct aspect of clinical practice
and are a foundation upon which client motivation and continued treatment occurs.
METHOD: The current study is a naturalistic quasi-experiment and aims to assess
the five-year costs and benefits of a standard intake interview versus an
extended psychological and psychiatric assessment for a group of chronic
substance abusing women placed in compulsory care in Sweden between 1997 and
2000. Official register data on criminal activity, healthcare use, compulsory
care stays and other services was retrieved and all resources used by study
participants from date of index care episode was valued. In addition, the cost of
providing the intake assessment was estimated. RESULTS: Results show that the
extended assessment resulted in higher net costs over five years of between
256,000 and 557,000 SEK per person for women placed in care via the Law on
Compulsory Care for Substance Abusers (LVM). Higher assessment costs made up a
portion of this cost. The majority of this cost (47-57%) falls on the local
municipality (social welfare) and 11.6-13.7% falls on the individual patient.
CONCLUSIONS: Solid evidence supporting the clinical utility or incremental
validity of assessment for improving treatment outcomes in this setting was not
confirmed.
PMID- 29378569
TI - Synthesis of 4-(dimethylamino)pyridine propylthioacetate coated gold
nanoparticles and their antibacterial and photophysical activity.
AB - BACKGROUND: Gold nanoparticles are useful candidate for drug delivery
applications and are associated with enhancement in the bioavailability of coated
drugs and/or therapeutic agent. Since, heterocyclic compounds are known to
exhibit antimicrobial potential against variety of pathogens, we designed this
study to evaluate the antibacterial effects of gold nanoparticles conjugation
with new synthesized cationic ligand; 4-Dimethyl aminopyridinium
propylthioacetate (DMAP-PTA) in comparison with pure compound and antibiotic drug
Pefloxacin. Antibacterial activity of DMAP-PTA coated gold nanoparticles was
investigated against a fecal strain of E. coli (ATCC 8739). RESULTS: A new
dimethyl aminopyridine based stabilizing agent named as DMAP-PTA was synthesized
and used for stabilization of gold nanoparticles. Gold nanoparticles coated with
DMAP-PTA abbreviated as DMAP-PTA-AuNPs were thoroughly characterized by UV
visible, FT-IR spectroscopic methods and transmission electron microscope before
biological assay. DMAP-PTA, DMAP-PTA-AuNPs and Pefloxacin were examined for their
antibacterial potential against E. coli, and the minimum inhibitory concentration
(MIC) was determined to be 300, 200 and 50 ug/mL respectively. Gold nanoparticles
conjugation was found to significantly enhance the antibacterial activity of DMAP
PTA as compared to pure compound. Moreover, effects of DMAP-PTA-AuNPs on the
antibacterial potential of Pefloxacin was also evaluated by combination therapy
of 1:1 mixture of DMAP-PTA-AuNPs and Pefloxacin against E. coli in a wide range
of concentrations from 5 to 300 ug/mL. The MIC of Pefloxacin + DMAP-PTA-AuNPs
mixture was found to be 25 ug/mL as compared to Pefloxacin alone (50 ug/mL),
which clearly indicates that DMAP-PTA-AuNPs increased the potency of Pefloxacin.
AFM analysis was also carried out to show morphological changes occur in bacteria
before and after treatment of test samples. Furthermore, DMAP-PTA-AuNPs showed
high selectivity towards Pefloxacin in spectrophotometric drug recognition
studies which offers tremendous potential for analytical applications.
CONCLUSIONS: Gold nanoparticles conjugation was shown to enhance the
antibacterial efficacy of DMAP-PTA ligand, while DMAP-PTA-AuNPs also induced
synergistic effects on the potency of Pefloxacin against E. coli. DMAP-PTA-AuNPs
were also developed as Pefloxacin probes in recognizing the drug in blood and
water samples in the presence of other drugs.
PMID- 29378571
TI - High incidence and early onset of nivolumab-induced pneumonitis: four case
reports and literature review.
AB - BACKGROUND: Nivolumab, an anti-programmed cell death-1 (PD-1) monoclonal antibody
used as an immune checkpoint inhibitor, is commonly employed for its anti-tumor
effects against various types of malignant tumors. However, its administration is
complicated by immune-related adverse events (irAEs), including pneumonitis. CASE
PRESENTATION: We present a case series of four patients with malignant melanoma,
non-small cell lung cancer, and hypopharyngeal carcinoma who demonstrated
pneumonitis induced by nivolumab, and further review clinicopathological
characteristics of these patients in comparison with those of previously reported
patients with nivolumab-induced pneumonitis. In our series, 20% of patients who
were treated with nivolumab developed pneumonitis, all of which occurred
approximately 2 weeks after the initiation of nivolumab treatment. Prompt
recognition of the nivolumab-induced pneumonitis allowed for successful
resolution. Computed tomography scan images of the patients demonstrated
predominantly cryptogenic organizing pneumonia patterns. All patients were males,
who had been heavily treated with antitumor drugs prior to nivolumab.
CONCLUSIONS: Our case series showed that nivolumab had a high incidence of drug
induced pneumonitis with early onset, supporting the need for renewed attention
to nivolumab-induced pneumonitis, particularly in patients with a history of
heavy antitumor treatments.
PMID- 29378570
TI - Improving early audiological intervention via newborn hearing screening in
Belgium.
AB - BACKGROUND: Newborn hearing screening programs aim to lower the ages at
audiological intervention among hearing-impaired children. In Wallonia and
Brussels (Belgium), audiological intervention data are not collected in the
screening program, and the ages at initiating audiological care have never been
assessed. This study aimed to assess the evolution in the ages at initiating
audiological intervention in the context of a newborn hearing screening program
implementation. METHODS: This population-based descriptive study used data from
the Belgian healthcare billing database. The main outcomes were the children's
ages at the initial audiological assessment, hearing-aid fitting, and cochlear
implantation. Results were compared to the same outcomes from another Belgian
regional program (Flanders) that was implemented one decade earlier. Annual birth
cohorts from 2006 to 2011 were included in the study. RESULTS: In Wallonia
Brussels, the median ages for all outcomes tended to decrease over time but
remained higher than in Flanders for each birth cohort. For all outcomes except
the hearing-aid fitting, differences in median ages between the two regions
became less pronounced during the study period. In 2006, < 23% of the children
from Wallonia-Brussels received any audiological care before the age of 12 months
and these proportions were approximately 2-fold greater in the subsequent birth
cohorts. For all outcomes, early care (< 12 months) was typically delivered less
frequently in Wallonia-Brussels, compared to the delivery in Flanders. These
region-specific differences exhibited a decreasing trend over time, and
statistically significant differences were less common in the later birth
cohorts. CONCLUSIONS: We conclude that the hearing screening program in Wallonia
and Brussels promoted earlier audiological intervention among hearing-impaired
children. However, milestones recommended by experts for an early intervention
were not totally encountered. We also recommend collecting audiological
intervention data as part of this program, which can facilitate more accurate and
regular program evaluation.
PMID- 29378572
TI - CRISPR/dCas9-mediated transcriptional improvement of the biosynthetic gene
cluster for the epothilone production in Myxococcus xanthus.
AB - BACKGROUND: The CRISPR/dCas9 system is a powerful tool to activate the
transcription of target genes in eukaryotic or prokaryotic cells, but lacks
assays in complex conditions, such as the biosynthesis of secondary metabolites.
RESULTS: In this study, to improve the transcription of the heterologously
expressed biosynthetic genes for the production of epothilones, we established
the CRISPR/dCas9-mediated activation technique in Myxococcus xanthus and analyzed
some key factors involving in the CRISPR/dCas9 activation. We firstly optimized
the cas9 codon to fit the M. xanthus cells, mutated the gene to inactivate the
nuclease activity, and constructed the dCas9-activator system in an epothilone
producer. We compared the improvement efficiency of different sgRNAs on the
production of epothilones and the expression of the biosynthetic genes. We also
compared the improvement effects of different activator proteins, the omega and
alpha subunits of RNA polymerase, and the sigma factors sigma54 and CarQ. By
using a copper-inducible promoter, we determined that higher expressions of dCas9
activator improved the activation effects. CONCLUSIONS: Our results showed that
the CRISPR/dCas-mediated transcription activation is a simple and broadly
applicable technique to improve the transcriptional efficiency for the production
of secondary metabolites in microorganisms. This is the first time to construct
the CRISPR/dCas9 activation system in myxobacteria and the first time to assay
the CRISPR/dCas9 activations for the biosynthesis of microbial secondary
metabolites.
PMID- 29378573
TI - Cortisol modulates inflammatory responses in LPS-stimulated RAW264.7 cells via
the NF-kappaB and MAPK pathways.
AB - BACKGROUND: The uteruses of most dairy cattle are easily infected by bacteria,
especially gram-negative bacteria, following parturition. Macrophages are
important cells of the immune system and play a critical role in the inflammatory
response. In addition, cortisol levels become significantly increased due to the
stress of parturition in dairy cattle, and cortisol is among the most widely used
and effective therapies for many inflammatory diseases. In this study, we
assessed the anti-inflammatory effects and potential molecular mechanisms of
cortisol using a Lipopolysaccharide (LPS)-induced RAW264.7 macrophage cell line.
RESULTS: Cortisol significantly suppressed the production of prostaglandin E2
(PGE2) and decreased the gene and protein expression of inducible NO synthase
(iNOS) and cyclooxygenase-2 (COX-2) in a dose-dependent manner. Moreover,
cortisol inhibited the mRNA expression of pro-inflammatory cytokines including
tumor necrosis factor alpha (TNFalpha), interleukin-1beta (IL-1beta), and
interleukin-6 (IL-6) and decreased IL-1beta secretion in an LPS-treated RAW264.7
macrophage cell line. Moreover, we found that cortisol suppressed nuclear factor
kappa B (NF-kappaB) signaling in RAW264.7 macrophages stimulated with LPS. This
suppression was mediated by the inhibition of IkappaBalpha degradation and NF
kappaB p65 phosphorylation. In addition, cortisol also suppressed the
phosphorylation of mitogen-activated protein kinases (MAPK) such as extracellular
signal-regulated kinase (ERK1/2), p38 MAPK, and c-Jun N-terminal kinase/stress
activated protein kinase (JNK). CONCLUSIONS: These results suggest that high
cortisol levels can attenuate LPS-induced inflammatory responses in the RAW264.7
macrophage cell line by regulating the NF-kappaB and MAPK signaling pathways.
PMID- 29378574
TI - Anticipating the potential for positive uptake and adaptation in the
implementation of a publicly funded online STBBI testing service: a qualitative
analysis.
AB - BACKGROUND: Online health services are a rapidly growing aspect of public health
provision, including testing for sexually transmitted and other blood-borne
infections (STBBI). Generally, healthcare providers, policymakers, and clients
imbue online approaches with great positive potential (e.g., encouraging clients'
agency; providing cost-effective services to more clients). However, the promise
of online health services may vary across contexts and be perceived in negative
or ambiguous ways (e.g., risks to 'gold standard' care provision; loss of
provider control over an intervention; uncertainty related to budget
implications). This study examines attitudes and perceptions regarding the
development of a novel online STBBI testing service in Vancouver, Canada. We
examine the perceptions about the intervention's potential by interviewing
practitioners and planners who were engaged in the development and initial
implementation of this testing service. METHODS: We conducted in-depth interviews
with 37 healthcare providers, administrators, policymakers, and community-based
service providers engaged in the design and launch of the new online STBBI
testing service. We also conducted observations during planning and
implementation meetings for the new service. Thematic analysis techniques were
employed to identify codes and broader discursive themes across the interview
transcripts and observation notes. RESULTS: Some study participants expressed
concern that the potential popularity of the new testing service might increase
demand on existing sexual health services or become fiscally unsustainable.
However, most participants regarded the new service as having the potential to
improve STBBI testing in several ways, including reducing waiting times,
enhancing privacy and confidentiality, appealing to more tech-savvy sub
populations, optimizing the redistribution of demands on face-to-face service
provision, and providing patient-centred technology to empower clients to seek
testing. CONCLUSIONS: Participants perceived this online STBBI testing service to
have the potential to improve sexual health care provision. But, they also
anticipated actions-and-reactions, revealing a need to monitor ongoing
implementation dynamics. They also identified the larger, potentially system
transforming dimension of the new technology, which enables new system drivers
(consumers) and reduces the amount of control health care providers have over
online STBBI testing compared to conventional in-person testing.
PMID- 29378575
TI - Effects of Omegaven(r), EPA, DHA and oxaliplatin on oesophageal adenocarcinoma
cell lines growth, cytokine and cell signal biomarkers expression.
AB - BACKGROUND: There is limited evidence assessing the effects of omega-3
polyunsaturated fatty acids (PUFAs) on oesophageal adenocarcinoma, both in vitro
and in vivo. We evaluated the effects of the omega-3 PUFA and oxaliplatin on OE33
and OE19 cells. METHOD: The two oesophageal cells were treated with Omegaven(r)
(fish oil emulsion), EPA, DHA and oxaliplatin and incubated for up to 144 h.
RESULTS: The following inhibitory effects were observed on OE33 cells: EPA
reduced cell growth by 39% (p = 0.001), DHA by 59% (p < 0.000) and Oxaliplatin by
77% (p < 0.000). For OE19 cells, the EPA reduced growth by 1% (p = 0.992), DHA by
26% (p = 0.019) and oxaliplatin by 76% (p < 0.000). For both cells, Omegaven(r)
resulted in reduced cell growth at intermediate concentrations (20-40 MUM) and
increased cell growth at low (10 MUM) and high (50 MUM) concentrations. DHA,
Omegaven(r) and oxaliplatin were associated with significant downregulation of
VEGF and p53 protein, and upregulation of p21 protein. DHA, Omegaven(r) and
Oxaliplatin also led to significant downregulation of the total ERK1/2 and Akt
proteins. CONCLUSION: DHA, Omegaven(r) and oxaliplatin were associated with
downregulation of p53 and VEGF in both cells. Of the PUFAs studied, DHA alone or
in combination (Omegaven(r)) had greater in vitro anti-cancer effects than EPA
alone.
PMID- 29378576
TI - Economic evaluation of type 2 diabetes prevention programmes: Markov model of low
and high-intensity lifestyle programmes and metformin in participants with
different categories of intermediate hyperglycaemia.
AB - BACKGROUND: National guidance on preventing type 2 diabetes mellitus (T2DM) in
the UK recommends low-intensity lifestyle interventions for individuals with
intermediate categories of hyperglycaemia defined in terms of impaired fasting
glucose (IFG) or 'at-risk' levels of HbA1c. In a recent systematic review of
economic evaluations of such interventions, most studies had evaluated intensive
trial-based lifestyle programmes in participants with impaired glucose tolerance
(IGT). This study examines the costs and effects of different intensity lifestyle
programmes and metformin in participants with different categories of
intermediate hyperglycaemia. METHODS: We developed a decision tree and Markov
model (50-year horizon) to compare four approaches, namely (1) a low-intensity
lifestyle programme based on current NICE guidance, (2) a high-intensity
lifestyle programme based on the US Diabetes Prevention Program, (3) metformin,
and (4) no intervention, modelled for three different types of intermediate
hyperglycaemia (IFG, IGT and HbA1c). A health system perspective was adopted and
incremental analysis undertaken at an individual and population-wide level,
taking England as a case study. RESULTS: Low-intensity lifestyle programmes were
the most cost-effective (L44/QALY, L195/QALY and L186/QALY compared to no
intervention in IGT, IFG and HbA1c, respectively). Intensive lifestyle
interventions were also cost-effective compared to no intervention (L2775/QALY,
L6820/QALY and L7376/QALY, respectively, in IGT, IFG and HbA1c). Metformin was
cost-effective relative to no intervention (L5224/QALY, L6842/QALY and L372/QALY
in IGT, IFG and HbA1c, respectively), but was only cost-effective relative to
other treatments in participants identified with HbA1c. At a willingness-to-pay
threshold of L20,000/QALY, low- and high-intensity lifestyle programmes were cost
effective 98%, 99% and 98% and 81%, 81% and 71% of the time in IGT, IFG and
HbA1c, respectively. An England-wide programme for 50-59 year olds could reduce
T2DM incidence by < 3.5% over 50 years and would cost 0.2-5.2% of the current
diabetes budget for 2-9 years. DISCUSSION: This analysis suggests that current
English national policy of low-intensity lifestyle programmes in participants
with IFG or HbA1c will be cost-effective and have the most favourable budget
impact, but will prevent only a fraction of cases of T2DM. Additional approaches
to prevention need to be investigated urgently.
PMID- 29378577
TI - Risks predicting prolonged hospital discharge boarding in a regional acute care
hospital.
AB - BACKGROUND: Prolonged hospital discharge boarding can impact patient flow
resulting in upstream Emergency Department crowding. We aim to determine the
risks predicting prolonged hospital discharge boarding and their direct and
indirect effects on patient flow. METHODS: Retrospective review of a single
hospital discharge database was conducted. Variables including type of
disposition, disposition boarding time, case management consultation, discharge
medications prescriptions, severity of illness, and patient homeless status were
analyzed in a multivariate logistic regression model. Hospital charges, potential
savings of hospital bed hours, and whether detailed discharge instructions
provided adequate explanations to patients were also analyzed. RESULTS: A total
of 11,527 admissions was entered into final analysis. The median discharge
boarding time was approximately 2 h. Adjusted Odds Ratio (AOR) of patients
transferring to other hospitals was 7.45 (95% CI 5.35-10.37), to court or law
enforcement custody was 2.51 (95% CI 1.84-3.42), and to a skilled nursing
facility was 2.48 (95% CI 2.10-2.93). AOR was 0.57 (95% CI 0.47-0.71) if the
disposition order was placed during normal office hours (0800-1700). AOR of early
case management consultation was 1.52 (95% CI 1.37-1.68) versus 1.73 (95% CI 1.03
2.89) for late consultation. Eighty-eight percent of patients experiencing
discharge boarding times within 2 h of disposition expressed positive responses
when questioned about the quality of explanations of discharge instructions and
follow-up plans based on satisfaction surveys. Similar results (86% positive
response) were noted among patients whose discharge boarding times were prolonged
(> 2 h, p = 0.44). An average charge of $6/bed/h was noted in all hospital
discharges. Maximizing early discharge boarding (<= 2 h) would have resulted in
16,376 hospital bed hours saved thereby averting $98,256.00 in unnecessary dwell
time charges in this study population alone. CONCLUSION: Type of disposition,
case management timely consultation, and disposition to discharge dwell time
affect boarding and patient flow in a tertiary acute care hospital. Efficiency of
the discharge process did not affect patient satisfaction relative to the
perceived quality of discharge instruction and follow-up plan explanations.
Prolonged disposition to discharge intervals result in unnecessary hospital bed
occupancy thereby negatively impacting hospital finances while delivering no
direct benefit to patients.
PMID- 29378578
TI - Artificial intelligence on the identification of risk groups for osteoporosis, a
general review.
AB - INTRODUCTION: The goal of this paper is to present a critical review on the main
systems that use artificial intelligence to identify groups at risk for
osteoporosis or fractures. The systems considered for this study were those that
fulfilled the following requirements: range of coverage in diagnosis, low cost
and capability to identify more significant somatic factors. METHODS: A
bibliographic research was done in the databases, PubMed, IEEExplorer Latin
American and Caribbean Center on Health Sciences Information (LILACS), Medical
Literature Analysis and Retrieval System Online (MEDLINE), Cumulative Index to
Nursing and Allied Health Literature (CINAHL), Scopus, Web of Science, and
Science Direct searching the terms "Neural Network", "Osteoporosis Machine
Learning" and "Osteoporosis Neural Network". Studies with titles not directly
related to the research topic and older data that reported repeated strategies
were excluded. The search was carried out with the descriptors in German,
Spanish, French, Italian, Mandarin, Portuguese and English; but only studies
written in English were found to meet the established criteria. Articles covering
the period 2000-2017 were selected; however, articles prior to this period with
great relevance were included in this study. DISCUSSION: Based on the collected
research, it was identified that there are several methods in the use of
artificial intelligence to help the screening of risk groups of osteoporosis or
fractures. However, such systems were limited to a specific ethnic group, gender
or age. For future research, new challenges are presented. CONCLUSIONS: It is
necessary to develop research with the unification of different databases and
grouping of the various attributes and clinical factors, in order to reach a
greater comprehensiveness in the identification of risk groups of osteoporosis.
For this purpose, the use of any predictive tool should be performed in different
populations with greater participation of male patients and inclusion of a larger
age range for the ones involved. The biggest challenge is to deal with all the
data complexity generated by this unification, developing evidence-based
standards for the evaluation of the most significant risk factors.
PMID- 29378579
TI - Provider views on childhood obesity management in primary care settings: a mixed
methods analysis.
AB - BACKGROUND: Pediatric providers are key players in the treatment of childhood
obesity, yet rates of obesity management in the primary care setting are low. The
goal of this study was to examine the views of pediatric providers on conducting
obesity management in the primary care setting, and identify potential resources
and care models that could facilitate delivery of this care. METHODS: A mixed
methods approach was utilized. Four focus groups were conducted with providers
from a large pediatric network in San Diego County. Based on a priori and
emerging themes, a questionnaire was developed and administered to the larger
group of providers in this network. RESULTS: Barriers to conducting obesity
management fell into four categories: provider-level/individual (e.g., lack of
knowledge and confidence), practice-based/systems-level (e.g., lack of time and
resources), parent-level (e.g., poor motivation and follow-up), and environmental
(e.g., lack of access to resources). Solutions centered around implementing a
team approach to care (with case managers and health coaches) and electronic
medical record changes to include best practice guidelines, increased ease of
documentation, and delivery of standardized handouts/resources. Survey results
revealed only 23.8% of providers wanted to conduct behavioral management of
obesity. The most requested support was the introduction of a health educator in
the office to deliver a brief behavioral intervention. CONCLUSION: While
providers recognize the importance of addressing weight during a well-child
visit, they do not want to conduct obesity management on their own. Future
efforts to improve health outcomes for pediatric obesity should consider
implementing a collaborative care approach.
PMID- 29378580
TI - Automatic QRS complex detection using two-level convolutional neural network.
AB - BACKGROUND: The QRS complex is the most noticeable feature in the
electrocardiogram (ECG) signal, therefore, its detection is critical for ECG
signal analysis. The existing detection methods largely depend on hand-crafted
manual features and parameters, which may introduce significant computational
complexity, especially in the transform domains. In addition, fixed features and
parameters are not suitable for detecting various kinds of QRS complexes under
different circumstances. METHODS: In this study, based on 1-D convolutional
neural network (CNN), an accurate method for QRS complex detection is proposed.
The CNN consists of object-level and part-level CNNs for extracting different
grained ECG morphological features automatically. All the extracted morphological
features are used by multi-layer perceptron (MLP) for QRS complex detection.
Additionally, a simple ECG signal preprocessing technique which only contains
difference operation in temporal domain is adopted. RESULTS: Based on the MIT-BIH
arrhythmia (MIT-BIH-AR) database, the proposed detection method achieves overall
sensitivity Sen = 99.77%, positive predictivity rate PPR = 99.91%, and detection
error rate DER = 0.32%. In addition, the performance variation is performed
according to different signal-to-noise ratio (SNR) values. CONCLUSIONS: An
automatic QRS detection method using two-level 1-D CNN and simple signal
preprocessing technique is proposed for QRS complex detection. Compared with the
state-of-the-art QRS complex detection approaches, experimental results show that
the proposed method acquires comparable accuracy.
PMID- 29378581
TI - Patient and provider perspectives inform an intervention to improve linkage to
care for HIV patients in Ukraine.
AB - BACKGROUND: Engagement with HIV medical care is critical to successful HIV
treatment and prevention efforts. However, in Ukraine, delays in the timely
initiation of HIV treatment hamper viral suppression. By January 01, 2016, only
126,604 (57.5%) of the estimated 220,000 people living with HIV (PLWH) had
registered for HIV care, and most (55.1%) of those who registered for HIV care in
2015 did that at a late stage of infection. In the US, Anti-Retroviral Treatment
and Access to Services (ARTAS) intervention successfully linked newly diagnosed
PLWH to HIV services using strengths-based case management with a linkage
coordinator. To tailor the ARTAS intervention for Ukraine, we conducted a
qualitative study with patients and providers to understand barriers and
facilitators that influence linkage to HIV care. METHODS: During September
October 2014, we conducted 20 in-depth interviews with HIV-positive patients and
two focus groups with physicians in infectious disease, sexually transmitted
infection (STI), and addiction clinics in Dnipropetrovsk Region of Ukraine.
Interviews and focus groups were audio-recorded and transcribed verbatim. We
translated illustrative quotes into English. We used thematic analysis for the
data analysis. RESULTS: Participants (20 patients and 14 physicians) identified
multiple, mostly individual-level factors influencing HIV care initiation. Key
barriers included lack of HIV knowledge, non-acceptance of HIV diagnosis, fear of
HIV disclosure, lack of psychological support from health providers, and HIV
stigma in community. Responsibility for one's health, health deterioration, and
supportive provider communication were reported as facilitators to linkage to
care. Expected benefits from the case management intervention included
psychological support, HIV education, and help with navigating the segmented
health system. CONCLUSIONS: The findings from the study will be used to optimize
the ARTAS for the Ukrainian context. Our findings can also support future linkage
to-care strategies in other countries of Eastern Europe and Central Asia.
PMID- 29378582
TI - A novel one arm motorized walker for hemiplegic stroke survivors: a feasibility
study.
AB - BACKGROUND: A hemiplegic stroke survivor with a moderate to severe gait
disturbance may have difficulty walking using a one-arm walker. This study aimed
to test the safety and feasibility of a prototype one-arm motorized walker that
uses a power-driven device to provide gait assistance to hemiplegic stroke
survivors with moderate to severe gait disturbances. METHODS: A one-arm motorized
walker with a power-driven device was developed and tested with respect to 10
distinct variables, including weight, degrees of freedom, handle, handle
substitution function, two-sided use function, variable handle height,
redirecting function, electric moving parts through the handle control, brake
function using the handle control, folding chairs, and design stability. Its
safety and feasibility were tested in 19 hemiplegic stroke individuals using the
Likert scale and a simple interview. RESULTS: The walker consists of a frame
platform including a handle, electric motor for driving, one wheel for driving,
two wheels for turning, unlocking sensor, driving button, and turning buttons.
The walker is programmed so that a touch sensor in the handle can unlock the
locking system. Furthermore, it is programmed so that a user can propel it by
pushing the handle downward or pressing a button and can control directions for
turning right or left by pressing buttons. Safety and performance testing was
achieved for 10 separate variables, and a Likert scale score of 3.5 of 5 was
recorded. CONCLUSION: This walker's novel design was developed for hemiplegic
stroke survivors with moderate to severe gait disturbances. Our findings indicate
that the walker is both safe and feasible for providing walking assistance to
hemiplegic stroke survivors and establish the potential advantages of the one-arm
motorized walker.
PMID- 29378583
TI - Associations of childhood, maternal and household dietary patterns with childhood
stunting in Ethiopia: proposing an alternative and plausible dietary analysis
method to dietary diversity scores.
AB - BACKGROUND: Identifying dietary patterns that consider the overall eating habits,
rather than focusing on individual foods or simple counts of consumed foods,
better helps to understand the combined effects of dietary components. Therefore,
this study aimed to use dietary patterns, as an alternative method to dietary
diversity scores (DDSs), and investigate their associations with childhood
stunting in Ethiopia. METHODS: Mothers and their children aged under 5 years (n =
3788) were recruited using a two-stage random cluster sampling technique in two
regions of Ethiopia. Socio-demographic, dietary and anthropometric data were
collected. Dietary intake was assessed using standardized dietary diversity
tools. Household, maternal and child DDSs were calculated and dietary patterns
were identified by tetrachoric (factor) analysis. Multilevel linear and Poisson
regression analyses were applied to assess the association of DDSs and dietary
patterns with height-for-age z score (HAZ) and stunting, respectively. RESULTS:
The overall prevalence of stunting among children under-five was 38.5% (n =
1459). We identified three dietary patterns each, for households ("fish, meat and
miscellaneous", "egg, meat, poultry and legume" and "dairy, vegetable and
fruit"), mothers ("plant-based", "egg, meat, poultry and legume" and "dairy,
vegetable and fruit" and children ("grain based", "egg, meat, poultry and legume"
and "dairy, vegetable and fruit"). Children in the third tertile of the household
"dairy, vegetable and fruit" pattern had a 0.16 (beta = 0.16; 95% CI: 0.02, 0.30)
increase in HAZ compared to those in the first tertile. A 0.22 (beta = 0.22; 95%
CI: 0.06, 0.39) and 0.19 (beta = 0.19; 0.04, 0.33) increase in HAZ was found for
those in the third tertiles of "dairy, vegetable and fruit" patterns of children
24-59 months and 6-59 months, respectively. Those children in the second (beta =
0.17; 95% CI: -0.31, -0.04) and third (beta = -0.16; 95% CI: -0.30, -0.02)
tertiles of maternal "egg, meat, poultry and legume" pattern had a significantly
lower HAZ compared to those in the first tertile. No significant associations
between the household and child "egg, meat, poultry and legume" dietary patterns
with HAZ and stunting were found. Statistically non-significant associations were
found between household, maternal and child DDSs, and HAZ and stunting.
CONCLUSION: A higher adherence to a "dairy, vegetable and fruit" dietary pattern
is associated with increased HAZ and reduced risk of stunting. Dietary pattern
analysis methods, using routinely collected dietary data, can be an alternative
approach to DDSs in low resource settings, to measure dietary quality and in
determining associations of overall dietary intake with stunting.
PMID- 29378584
TI - Development of a 5As-based technology-assisted weight management intervention for
veterans in primary care.
AB - BACKGROUND: Obesity is a worldwide epidemic, and its prevalence is higher among
Veterans in the United States. Based on our prior research, primary care teams at
a Veterans Affairs (VA) hospital do not feel well-equipped to deliver effective
weight management counseling and often lack sufficient time. Further, effective
and intensive lifestyle-based weight management programs (e.g. VA MOVE! program)
are underutilized despite implementation of systematic screening and referral at
all VA sites. The 5As behavior change model (Assess, Advise, Agree, Assist,
Arrange) is endorsed by the United States Preventive Service Task Force for use
in counseling patients about weight management in primary care and reimbursed by
Medicare. In this paper, we describe the iterative development of a technology
assisted intervention designed to provide primary care-based 5As counseling
within Patient-Centered Medical Homes without overburdening providers/healthcare
teams. METHODS: Thematic analyses of prior formative work (focus groups with
patients [n = 54] and key informant interviews with staff [n = 25]) helped to
create a technology-assisted, health coaching intervention called Goals for
Eating and Moving (GEM). To further develop the intervention, we then conducted
two rounds of testing with previous formative study participants (n = 5 for Round
1, n = 5 for Round 2). Each session included usability testing of prototypes of
the online GEM tool, pilot testing of 5As counseling by a Health Coach, and a
post-session open-ended interview. RESULTS: Three main themes emerged from
usability data analyses: participants' emotional responses, tool language, and
health literacy. Findings from both rounds of usability testing, pilot testing,
as well as the open-ended interview data, were used to finalize protocols for the
full intervention in the clinic setting to be conducted with Version 3 of the GEM
tool. CONCLUSIONS: The use of qualitative research methods and user-centered
design approaches enabled timely detection of salient issues to make iterative
improvements to the intervention. Future studies will determine whether this
intervention can increase enrollment in intensive weight management programs and
promote clinically meaningful weight loss in both Veterans and in other patient
populations and health systems.
PMID- 29378586
TI - South African primary health care allied health clinical practice guidelines: the
big picture.
AB - BACKGROUND: Good quality clinical practice guidelines (CPGs) are a vehicle to
implementing evidence into allied health (AH) care. This paper reports on the
current 'state of play' of CPGs in a lower-to-middle-income country (South
Africa), where primary healthcare (PHC) AH activities face significant challenges
in terms of ensuring quality service delivery in the face of huge PHC need.
METHODS: A qualitative study was conducted, using semi-structured interviews with
purposively-sampled individuals involved in AH PHC CPGs in South Africa. They
included national and state government policy-makers, academics and educators,
service managers, clinicians, representatives of professional associations,
technical writers, and members of informal professional networks. The interview
data was transcribed and de-identified, and analysed descriptively by hand
coding. The COREQ statement guided study conduct and reporting. A framework to
guide research in other countries into perspectives of AH PHC CPG activities was
established. RESULTS: Of the 32 invited, 29 people participated: of these 25 were
interviewed and four provided meeting notes. Most participants had multiple
professional roles, being engaged concurrently in clinical practice, academia,
professional associations and / or government. Key themes comprised Players (sub
themes of sampling frame, participants, advice, role players and collaboration);
Guidance (sub-themes of nomenclature, drivers, purpose, evidence sources) and
Role of AH in PHC (sub-themes of discipline groupings, disability and
rehabilitation, AH recognition). CONCLUSION: There was consistently-expressed
desire for quality guidance to support better quality AH PHC activities around
the country. However no international CPGs were used, and there were no South
African CPGs specific to local PHC AH practice. The guidance gap was filled by
non-evidence-based documents produced often without training, to deal with
specific clinical situations. This led to frustration, duplication and
fragmentation of effort, confusing nomenclature, and an urgent need for
standardised and agreed guidance. We provided a standardised framework to capture
perspectives on CPGs activities in other AH PHC settings.
PMID- 29378585
TI - Barriers and facilitators to implementation, uptake and sustainability of
community-based health insurance schemes in low- and middle-income countries: a
systematic review.
AB - BACKGROUND: Community-based health insurance (CBHI) has evolved as an alternative
health financing mechanism to out of pocket payments in low- and middle-income
countries (LMICs), particularly in areas where government or employer-based
health insurance is minimal. This systematic review aimed to assess the barriers
and facilitators to implementation, uptake and sustainability of CHBI schemes in
LMICs. METHODS: We searched six electronic databases and grey literature. We
included both quantitative and qualitative studies written in English language
and published after year 1992. Two reviewers worked in duplicate and
independently to complete study selection, data abstraction, and assessment of
methodological features. We synthesized the findings based on thematic analysis
and categorized according to the ecological model into individual, interpersonal,
community and systems levels. RESULTS: Of 15,510 citations, 51 met the
eligibility criteria. Individual factors included awareness and understanding of
the concept of CBHI, trust in scheme and scheme managers, perceived service
quality, and demographic characteristics, which influenced enrollment and
sustainability. Interpersonal factors such as household dynamics, other family
members enrolled in the scheme, and social solidarity influenced enrollment and
renewal of membership. Community-level factors such as culture and community
involvement in scheme development influenced enrollment and sustainability of
scheme. Systems-level factors encompassed governance, financial and delivery
arrangement. Government involvement, accountability of scheme management, and
strong policymaker-implementer relation facilitated implementation and
sustainability of scheme. Packages that covered outpatient and inpatient care and
those tailored to community needs contributed to increased enrollment. Amount and
timing of premium collection was reported to negatively influence enrollment
while factors reported as threats to sustainability included facility bankruptcy,
operating on small budgets, rising healthcare costs, small risk pool, irregular
contributions, and overutilization of services. At the delivery level,
accessibility of facilities, facility environment, and health personnel
influenced enrollment, service utilization and dropout rates. CONCLUSION: There
are a multitude of interrelated factors at the individual, interpersonal,
community and systems levels that drive the implementation, uptake and
sustainability of CBHI schemes. We discuss the implications of the findings at
the policy and research level. TRIAL REGISTRATION: The review protocol is
registered in PROSPERO International prospective register of systematic reviews
(ID = CRD42015019812 ).
PMID- 29378587
TI - Prevalence and characteristics of COPD among pneumoconiosis patients at an
occupational disease prevention institute: a cross-sectional study.
AB - BACKGROUND: Pneumoconiosis may play an important role in the development of
chronic obstructive pulmonary disease (COPD), and the complication of COPD may
impose a heavy burden of illness. METHODS: The study was conducted in Hunan
Province in China from December 1, 2015, to December 1, 2016. Consecutive
underground male pneumoconiosis patients employed for at least 1 year were
recruited from the Hunan Occupational Disease Prevention Institute. Patient
information, respiratory symptoms and clinical data were collected using a
structured questionnaire. The diagnosis of COPD were assessed using the Global
Initiative for Chronic Obstructive Lung Disease (GOLD) criteria. Logistic
regression analyses were conducted to examine the clinical and demographic risk
factors of COPD among pneumoconiosis patients. RESULTS: The prevalence of COPD in
our sample of pneumoconiosis patients was 18.65% (119/638). In pneumoconiosis
patients with and without smoking history, the prevalence of COPD was 19.32 and
16.77%. Compared with non-COPD patients, those with COPD are older in age, have
longer exposure time, have lower body mass index (BMI), have a higher smoking
index and have worse pulmonary function (all p < 0.05). For the five respiratory
symptoms (cough, sputum, wheeze, dyspnea, and chest tightness), only the presence
of wheeze and the severity scores for wheeze or dyspnea showed significant
differences between the COPD and non-COPD groups (p < 0.01). Multivariate
logistic regression analysis revealed that advanced pneumoconiosis category,
older age and the presence of wheeze symptoms were significant risk factors for
the development of COPD among pneumoconiosis patients. CONCLUSION: Pneumoconiosis
patients are at a high risk of COPD, and pneumoconiosis patients with COPD may
suffer more severe respiratory symptoms, such as wheeze and dyspnea, than
patients without COPD. Advanced pneumoconiosis category, older age and the
presence of wheeze symptoms are associated with an increased risk of COPD in
pneumoconiosis. We proposed that a routine assessment of lung function is
necessary for timely and adequate clinical management.
PMID- 29378588
TI - Plasmodium species differentiation by non-expert on-line volunteers for remote
malaria field diagnosis.
AB - BACKGROUND: Routine field diagnosis of malaria is a considerable challenge in
rural and low resources endemic areas mainly due to lack of personnel, training
and sample processing capacity. In addition, differential diagnosis of Plasmodium
species has a high level of misdiagnosis. Real time remote microscopical
diagnosis through on-line crowdsourcing platforms could be converted into an
agile network to support diagnosis-based treatment and malaria control in low
resources areas. This study explores whether accurate Plasmodium species
identification-a critical step during the diagnosis protocol in order to choose
the appropriate medication-is possible through the information provided by non
trained on-line volunteers. METHODS: 88 volunteers have performed a series of
questionnaires over 110 images to differentiate species (Plasmodium falciparum,
Plasmodium ovale, Plasmodium vivax, Plasmodium malariae, Plasmodium knowlesi) and
parasite staging from thin blood smear images digitalized with a smartphone
camera adapted to the ocular of a conventional light microscope. Visual cues
evaluated in the surveys include texture and colour, parasite shape and red blood
size. RESULTS: On-line volunteers are able to discriminate Plasmodium species (P.
falciparum, P. malariae, P. vivax, P. ovale, P. knowlesi) and stages in thin
blood smears according to visual cues observed on digitalized images of
parasitized red blood cells. Friendly textual descriptions of the visual cues and
specialized malaria terminology is key for volunteers learning and efficiency.
CONCLUSIONS: On-line volunteers with short-training are able to differentiate
malaria parasite species and parasite stages from digitalized thin smears based
on simple visual cues (shape, size, texture and colour). While the accuracy of a
single on-line expert is far from perfect, a single parasite classification
obtained by combining the opinions of multiple on-line volunteers over the same
smear, could improve accuracy and reliability of Plasmodium species
identification in remote malaria diagnosis.
PMID- 29378589
TI - Patients' perceptions of interactions with hospital staff are associated with
hospital readmissions: a national survey of 4535 hospitals.
AB - BACKGROUND: Reducing 30-day hospital readmissions has become a focus of the
current national payment policies. Medicare requires that hospitals collect and
report patients' experience with their care as a condition of payment. However,
the extent to which patients' experience with hospital care is related to
hospital readmission is unknown. METHODS: We established multivariate regression
models in which 30-day risk-adjusted readmission rates were the dependent
variables and patients' perceptions of the responsiveness of the hospital staff
and communication (as measured by the Hospital Consumer Assessment of Healthcare
Providers and Systems (HCAHPS) scores) were the independent variables of
interest. We selected six different clinical conditions for analyses, including
acute myocardial infarction (AMI), chronic obstructive pulmonary disease (COPD),
heart failure, hip/knee surgery, pneumonia, and stroke. Data included all acute
care hospitals reporting in Hospital Compare in 2014. RESULTS: The number of
hospitals with reported readmissions ranged from 2234 hospitals for AMI to 3758
hospitals for pneumonia. The average 30-day readmission rates ranged from 5.19%
for knee/hip surgery to 22.7% for COPD. Patient experience of hospital-staff
responsiveness as "top-box" ranged from 64% to 67% across the six clinical
conditions, communication with nurses ranged from 77% to 79% and communication
with doctors ranged from 80% to 81% (higher numbers are better). Our finding
suggests that hospitals with better staff responsiveness were significantly more
likely to have lower 30-day readmissions for all conditions. The effect size
depended on the baseline readmission rates, with the largest effect on hospitals
in the upper 75th quartile. A ten-percentage-point increase in staff
responsiveness led to a 0.03-0.18 percentage point decrease in readmission rates.
We found that neither communication with physicians nor communication with nurses
was significantly associated with hospital readmissions. CONCLUSIONS: Our
findings suggest that elements of care related to staff responsiveness during
patients' stay may influence rehospitalization rates. Changes in staff
responsiveness may offer an additional tool for hospitals to employ ongoing
efforts to achieve reductions in readmissions, an important objective both
financially and for patient health outcomes.
PMID- 29378590
TI - Patient satisfaction, patients leaving hospital against medical advice and
mortality in Italian university hospitals: a cross-sectional analysis.
AB - BACKGROUND: Healthcare systems are increasingly focusing on outcomes that are the
endpoints of care: patient health status and patient satisfaction. The
availability of patient satisfaction (PS) data has encouraged research on its
relationship with other outcomes, such as mortality. In Italy, an inter-regional
performance evaluation system (IRPES) provides 13 regional healthcare systems
with a multidimensional assessment of appropriateness, efficiency, financial
sustainability, effectiveness, and equity. For university hospitals, IRPES
includes the percentage of patients leaving hospital against medical advice
(PLHAMA) and mortality rates at the ward level. This paper investigates the
relationship between PS and PLHAMA across and within regional healthcare systems
in Italy. Secondly, PLHAMA is used as a PS proxy to investigate its relationship
with mortality at the ward level in the IRPES university hospitals. METHODS:
PLHAMA and mortality rates were gathered from administrative data, and PS scores
from patient surveys. We explored the association between PS and PLHAMA through a
correlation analysis, using data for the 13 IRPES regions. We tested this
relationship also at the clinical directorate level in 28 hospitals in Tuscany
(5482 interviewed patients in 100 clinical directorates). Secondly, we explored
the association between PLHAMA and mortality at the ward level through
correlation and regression analyses, using data of 405 wards of eight clinical
specialties within 24 IRPES university hospitals. RESULTS: Lower PLHAMA rates
were associated with a higher PS in both regional and clinical directorate
levels. A positive association between PLHAMA and mortality was shown at the ward
level for IRPES university hospitals, with different results for medical and
surgical clinical specialties. CONCLUSIONS: PS is an important performance
dimension that provides healthcare managers and professionals with useful
insights for improving care quality and effectiveness. Based on the study
results, the PLHAMA rate could be regularly measured to highlight patient
dissatisfaction. Due to the association between PLHAMA and mortality, this study
also provides evidence of the importance of the patient perspective in assessing
the quality of healthcare services. This relationship proved to be significant
for surgical clinical units, suggesting the need for further analysing outcomes
considering their different determinants in medical and surgical care.
PMID- 29378591
TI - Chitosan-DNA nanoparticles enhanced the immunogenicity of multivalent DNA
vaccination on mice against Trueperella pyogenes infection.
AB - BACKGROUND: Trueperella pyogenes is a commensal and opportunistic pathogen that
normally causes mastitis, liver abscesses and pneumonia of economically important
livestock. To develop efficacious and potent vaccine against T. pyogenes,
chimeric gene DNA vaccines were constructed and encapsulated in chitosan
nanoparticles (pPCFN-CpG-CS-NPs). RESULTS: The pPCFN-CpG-CS-NPs consists of the
plo, cbpA, fimA, and nanH gene of T. pyogenes and CpG ODN1826. It was produced
with good morphology, high stability, a mean diameter of 93.58 nm, and a zeta
potential of + 5.27 mV. Additionally, chitosan encapsulation was confirmed to
protect the DNA plasmid from DNase I digestion. The immunofluorescence assay
indicated that the four-chimeric gene could synchronously express in HEK293T
cells and maintain good bioactivity. Compared to the mice immunized with the
control plasmid, in vivo immunization showed that mice immunized with the pPCFN
CpG-CS-NPs had better immune responses, and release of the plasmid DNA was
prolonged. Importantly, immunization with pPCFN-CpG-CS-NPs could significantly
protect mice from highly virulent T. pyogenes TP7 infection. CONCLUSIONS: This
study indicates that chitosan-DNA nanoparticles are potent immunization
candidates against T. pyogenes infection and provides strategies for the further
development of novel vaccines encapsulated in chitosan nanoparticles.
PMID- 29378592
TI - Sox5 is involved in germ-cell regulation and sex determination in medaka
following co-option of nested transposable elements.
AB - BACKGROUND: Sex determination relies on a hierarchically structured network of
genes, and is one of the most plastic processes in evolution. The evolution of
sex-determining genes within a network, by neo- or sub-functionalization, also
requires the regulatory landscape to be rewired to accommodate these novel gene
functions. We previously showed that in medaka fish, the regulatory landscape of
the master male-determining gene dmrt1bY underwent a profound rearrangement,
concomitantly with acquiring a dominant position within the sex-determining
network. This rewiring was brought about by the exaptation of a transposable
element (TE) called Izanagi, which is co-opted to act as a silencer to turn off
the dmrt1bY gene after it performed its function in sex determination. RESULTS:
We now show that a second TE, Rex1, has been incorporated into Izanagi. The
insertion of Rex1 brought in a preformed regulatory element for the transcription
factor Sox5, which here functions in establishing the temporal and cell-type
specific expression pattern of dmrt1bY. Mutant analysis demonstrates the
importance of Sox5 in the gonadal development of medaka, and possibly in mice, in
a dmrt1bY-independent manner. Moreover, Sox5 medaka mutants have complete female
to-male sex reversal. CONCLUSIONS: Our work reveals an unexpected complexity in
TE-mediated transcriptional rewiring, with the exaptation of a second TE into a
network already rewired by a TE. We also show a dual role for Sox5 during sex
determination: first, as an evolutionarily conserved regulator of germ-cell
number in medaka, and second, by de novo regulation of dmrt1 transcriptional
activity during primary sex determination due to exaptation of the Rex1
transposable element.
PMID- 29378593
TI - Facile assembly of upconversion nanoparticle-based micelles for active targeted
dual-mode imaging in pancreatic cancer.
AB - BACKGROUND: Pancreatic cancer remains the leading cause of cancer-related deaths,
the existence of cancer stem cells and lack of highly efficient early detection
may account for the poor survival rate. Gadolinium ion-doped upconversion
nanoparticles (UCNPs) provide opportunities for combining fluorescent with
magnetic resonance imaging, and they can improve the diagnostic efficacy of early
pancreatic cancer. In addition, as one transmembrane glycoprotein overexpressed
on the pancreatic cancer stem cells, CD326 may act as a promising target. In this
study, we developed a facile strategy for developing anti-human CD326-grafted
UCNPs-based micelles and performed the corresponding characterizations. After
conducting in vitro and vivo toxicology experiments, we also examined the active
targeting capability of the micelles upon dual-mode imaging in vivo. RESULTS: We
found that the micelles owned superior imaging properties and long-time stability
based on multiple characterizations. By performing in vitro and vivo toxicology
assay, the micelles had good biocompatibility. We observed more cellular uptake
of the micelles with the help of anti-human CD326 grafted onto the micelles.
Furthermore, we successfully concluded that CD326-conjugated micelles endowed
promising active targeting ability by conducting dual-mode imaging in human
pancreatic cancer xenograft mouse model. CONCLUSIONS: With good biocompatibility
and excellent imaging properties of the micelles, our results uncover efficient
active homing of those micelles after intravenous injection, and undoubtedly
demonstrate the as-obtained micelles holds great potential for early pancreatic
cancer diagnosis in the future and would pave the way for the following
biomedical applications.
PMID- 29378594
TI - Decreased endemic malaria in Suriname: moving towards elimination.
AB - BACKGROUND: Suriname has moved from being the country with the highest annual
parasite index in the Americas to one on the threshold of elimination. The
progress toward elimination in the stable populations of Suriname between 2000
and 2015 is reviewed. METHODS: Data was obtained from the Medical Mission and the
Ministry of Health Malaria Programme case-reporting systems, and analysed with a
focus on disease burden and differentiation of the disease geographically, by
malaria species, age, gender, ethnicity, incidence and gametocytaemia. RESULTS:
Between 2000 and 2015 there were 57,811 locally acquired cases of malaria in the
stable populations of Suriname. A significant reduction in indigenous malaria
cases was observed from 2006 to 2015. The number of imported malaria cases saw a
relative increase compared to the number of autochthonous cases. In 2015 over 95%
of the cases reported in stable communities are imported, mainly from
neighbouring French Guiana, a department of France. The overall decline in
malaria case incidence followed the mass-distribution of free long-lasting
insecticide-impregnated mosquito nets and increased awareness building efforts,
improved access to malaria services as a result of the introduction of Rapid
Diagnostic Tests and the implementation of active case detection in high risk
areas. In addition, improved management of Plasmodium falciparum infections was
achieved with the introduction of artemisinin combination therapy. CONCLUSIONS:
The existence of a network of policlinics in the interior ran by Medical Mission,
for the indigenous population, allowed the rapid implementation of the strategy
in stable communities. The success of malaria control in Suriname indicates that
the availability at local level, of prompt and adequate prevention, diagnosis and
treatment is a key requirement for the elimination of malaria.
PMID- 29378595
TI - HIV evolution and diversity in ART-treated patients.
AB - Characterizing HIV genetic diversity and evolution during antiretroviral therapy
(ART) provides insights into the mechanisms that maintain the viral reservoir
during ART. This review describes common methods used to obtain and analyze intra
patient HIV sequence data, the accumulation of diversity prior to ART and how it
is affected by suppressive ART, the debate on viral replication and evolution in
the presence of ART, HIV compartmentalization across various tissues, and
mechanisms for the emergence of drug resistance. It also describes how CD4+ T
cells that were likely infected with latent proviruses prior to initiating
treatment can proliferate before and during ART, providing a renewable source of
infected cells despite therapy. Some expanded cell clones carry intact and
replication-competent proviruses with a small fraction of the clonal siblings
being transcriptionally active and a source for residual viremia on ART. Such
cells may also be the source for viral rebound after interrupting ART. The
identical viral sequences observed for many years in both the plasma and infected
cells of patients on long-term ART are likely due to the proliferation of
infected cells both prior to and during treatment. Studies on HIV diversity may
reveal targets that can be exploited in efforts to eradicate or control the
infection without ART.
PMID- 29378597
TI - Full genome characterization of porcine circovirus type 3 isolates reveals the
existence of two distinct groups of virus strains.
AB - BACKGROUND: The occurrence of the novel porcine circovirus type 3 (PCV3) was
reported from the Americas, Asia and Europe. Although this virus was detected in
association with various clinical syndromes in pigs, its role as possible swine
pathogen remains unclear. PCV3 was detected with high prevalence in Polish farms,
but to date no genome sequences were available from European PCV3 strains.
METHODS: We collected 1060 serum samples from piglets at the age of 20-24 weeks
from 53 farms distributed all over Germany. PCV3 DNA was detected using a real
time PCR and subsequently complete PCV3 genome sequences were obtained after
multiply primed rolling circle amplification and sequencing of overlapping PCR
products. Phylogenetic analysis was performed by neighbor-joining method and
maximum likelihood method. RESULTS: We obtained 15 complete PCV3 genome sequences
as well as nine partial sequences including the putative ORFs 1, 2 and 3 from
PCV3 viremic animals in German pig farms. Phylogenetic analysis of these German
as well as 30 full genome sequences received from GenBank divided the PCV3
strains into two main groups and several subclusters. Furthermore, we were able
to define group specific amino acid patterns in open reading frame 1 and 2.
CONCLUSION: PCV3 is distributed with high prevalence in German pig industry.
Phylogenetic analysis revealed two clearly separated groups of PCV3 strains,
which might be considered as PCV3 genotypes. Specific nucleotide and amino acid
marker positions may serve for easy and fast intraspecies classification and
genotyping of PCV3 strains. No correlation between PCV3 variants with their
geographical origin was evident. We found the same diversity of PCV3 strains in
Germany as in other countries. We hypothesize that PCV3 is not a newly emerging
virus in the German pig population. Future studies will have to show, if PCV3
genotype specific biological properties are evident.
PMID- 29378596
TI - Myeloid-derived suppressor cells in transplantation: the dawn of cell therapy.
AB - Myeloid-derived suppressor cells (MDSCs) are a series of innate cells that play a
significant role in inhibiting T cell-related responses. This heterogeneous
population of immature cells is involved in tumor immunity. Recently, the
function and importance of MDSCs in transplantation have garnered the attention
of scientists and have become an important focus of transplantation immunology
research because MDSCs play a key role in establishing immune tolerance in
transplantation. In this review, we summarize recent studies of MDSCs in
different types of transplantation. We also focus on the influence of
immunosuppressive drugs on MDSCs as well as future obstacles and research
directions in this field.
PMID- 29378598
TI - Video pulse rate variability analysis in stationary and motion conditions.
AB - BACKGROUND: In the last few years, some studies have measured heart rate (HR) or
heart rate variability (HRV) parameters using a video camera. This technique
focuses on the measurement of the small changes in skin colour caused by blood
perfusion. To date, most of these works have obtained HRV parameters in
stationary conditions, and there are practically no studies that obtain these
parameters in motion scenarios and by conducting an in-depth statistical
analysis. METHODS: In this study, a video pulse rate variability (PRV) analysis
is conducted by measuring the pulse-to-pulse (PP) intervals in stationary and
motion conditions. Firstly, given the importance of the sampling rate in a PRV
analysis and the low frame rate of commercial cameras, we carried out an analysis
of two models to evaluate their performance in the measurements. We propose a
selective tracking method using the Viola-Jones and KLT algorithms, with the aim
of carrying out a robust video PRV analysis in stationary and motion conditions.
Data and results of the proposed method are contrasted with those reported in the
state of the art. RESULTS: The webcam achieved better results in the performance
analysis of video cameras. In stationary conditions, high correlation values were
obtained in PRV parameters with results above 0.9. The PP time series achieved an
RMSE (mean +/- standard deviation) of 19.45 +/- 5.52 ms (1.70 +/- 0.75 bpm). In
the motion analysis, most of the PRV parameters also achieved good correlation
results, but with lower values as regards stationary conditions. The PP time
series presented an RMSE of 21.56 +/- 6.41 ms (1.79 +/- 0.63 bpm). CONCLUSIONS:
The statistical analysis showed good agreement between the reference system and
the proposed method. In stationary conditions, the results of PRV parameters were
improved by our method in comparison with data reported in related works. An
overall comparative analysis of PRV parameters in motion conditions was more
limited due to the lack of studies or studies containing insufficient data
analysis. Based on the results, the proposed method could provide a low-cost,
contactless and reliable alternative for measuring HR or PRV parameters in non
clinical environments.
PMID- 29378599
TI - miR-3928v is induced by HBx via NF-kappaB/EGR1 and contributes to hepatocellular
carcinoma malignancy by down-regulating VDAC3.
AB - BACKGROUND: Hepatitis B virus (HBV) plays a critical role in the tumorigenic
behavior of human hepatocellular carcinoma (HCC). MicroRNAs (miRNAs) have been
reported to participate in HCC development via the regulation of their target
genes. However, HBV-modulated miRNAs involved in tumorigenesis remain to be
identified. Here, we found that a novel highly expressed miRNA, TLRC-m0008_3p
(miR-3928v), may be an important factor that promotes the malignancy of HBV
related HCC. METHODS: Solexa sequencing was applied to profile miRNAs, and RT
qPCR was used to identify and quantitate miRNAs. We studied miR-3928v function in
HCC cell lines by MTT, colony formation, migration/invasion, and vascular mimicry
(VM) assays in vitro and by a xenograft tumor model in vivo. Finally, we
predicted and verified the target gene of miR-3928v by a reporter assay, studied
the function of this target gene, and cloned the promoter of miR-3928v and the
transcription factor for use in dual-luciferase reporter assays and EMSAs.
RESULTS: A variant of miR-3928 (miR-3928v) was identified and found to be highly
expressed in HBV (+) HCC tissues. Voltage-dependent anion channel 3 (VDAC3) was
validated as a target of miR-3928v and found to mediate the effects of miR-3928v
in promoting HCC growth and migration/invasion. Furthermore, HBx protein
increased early growth response 1 (EGR1) expression and facilitated its
translocation into the nucleus to enhance miR-3928v promoter activity in an NF
kappaB signaling-dependent manner. CONCLUSIONS: miR-3928v is induced by HBx
through the NF-kappaB/EGR1 signaling pathway and down-regulates the tumor
suppressor gene VDAC3 to accelerate the progression of HCC.
PMID- 29378601
TI - Tissue expression of retinoic acid receptor alpha and CRABP2 in metastatic
nephroblastomas.
AB - BACKGROUND: Nephroblastoma or Wilms tumor is the most frequent kidney cancer in
children and accounts for 98% of kidney tumors in this age group. Despite
favorable prognosis, a subgroup of these patients progresses to recurrence and
death. The retinoic acid (RA) pathway plays a role in the chemoprevention and
treatment of tumors due to its effects on cell differentiation and its
antiproliferative, anti-oxidant, and pro-apoptotic activities. Reports describe
abnormal cellular retinoic acid-binding protein 2 (CRABP2) expression in
neoplasms and its correlation with prognostic factors and clinical and
pathological characteristics. The aim of this study was to evaluate the
immunohistochemical expression of retinoic acid receptor alpha (RARA) and CRABP2
in paraffin-embedded samples of nephroblastomas via semiquantitative and
quantitative analyses and to correlate this expression with prognostic factors.
METHODS: Seventy-seven cases of nephroblastomas were selected from pediatric
oncology services. The respective medical records and surgical specimens were
reviewed. Three representative tumor samples and one non-tumor renal tissue
sample were selected for the preparation of tissue microarrays (TMA). The Allred
scoring system was used for semiquantitative immunohistochemical analyses,
whereas a morphometric analysis of the stained area was employed for quantitative
evaluation. The nonparametric Mann-Whitney test was used for comparisons between
two groups, while the nonparametric Kruskal-Wallis test was used to compare three
or more groups. RESULTS: Immunopositivity for RARA and CRABP2 was observed in
both the nucleus and cytoplasm. All histological components of the nephroblastoma
(blastema, epithelium, and stroma) were positive for both markers. RARA, based on
semiquantitative analyses, and CRABP2, bases on quantitative analyses, exhibited
increased immunohistochemical expression in patients with metastasis, with p
values of 0.0247 and 0.0128, respectively. These findings were similar to the
results of the quantitative analysis of RARA expression, showing greater
immunopositivity in tumor samples of patients subjected to pre-surgical
chemotherapy. No significant correlation was found with the other variables
studied, such as disease stage, anaplasia, risk group, histological type, nodal
involvement, and clinical evolution. CONCLUSIONS: Semiquantitative and
quantitative analyses of the markers RARA and CRABP2 indicate their potential as
biomarkers for tumor progression and their participation in nephroblastoma
tumorigenesis.
PMID- 29378600
TI - Digital PCR as a tool to measure HIV persistence.
AB - Although antiretroviral therapy is able to suppress HIV replication in infected
patients, the virus persists and rebounds when treatment is stopped. In order to
find a cure that can eradicate the latent reservoir, one must be able to quantify
the persisting virus. Traditionally, HIV persistence studies have used real-time
PCR (qPCR) to measure the viral reservoir represented by HIV DNA and RNA. Most
recently, digital PCR is gaining popularity as a novel approach to nucleic acid
quantification as it allows for absolute target quantification. Various
commercial digital PCR platforms are nowadays available that implement the
principle of digital PCR, of which Bio-Rad's QX200 ddPCR is currently the most
used platform in HIV research. Quantification of HIV by digital PCR is proving to
be a valuable improvement over qPCR as it is argued to have a higher robustness
to mismatches between the primers-probe set and heterogeneous HIV, and forfeits
the need for a standard curve, both of which are known to complicate reliable
quantification. However, currently available digital PCR platforms occasionally
struggle with unexplained false-positive partitions, and reliable segregation
between positive and negative droplets remains disputed. Future developments and
advancements of the digital PCR technology are promising to aid in the accurate
quantification and characterization of the persistent HIV reservoir.
PMID- 29378602
TI - A 3-year follow-up study after treatment with simeprevir in combination with
pegylated interferon-alpha and ribavirin for chronic hepatitis C virus infection.
AB - BACKGROUND: Simeprevir is approved with pegylated interferon and ribavirin (PR)
for chronic hepatitis C virus (HCV) genotype (GT) 1 and GT4 infection in the USA
and the European Union. METHODS: This 3-year follow-up study assessed the
durability of sustained virologic response (SVR) (undetectable HCV RNA 12 or 24
weeks after treatment end), and evaluated the persistence of treatment-emergent
NS3/4A protease inhibitor resistance in patients not achieving SVR following
treatment with simeprevir plus PR in the parent study. The maintenance of SVR
after the last post-therapy follow-up visit of the parent study (LPVPS) was
assessed using HCV RNA measurements. The persistence of treatment-emergent NS3
amino acid substitutions in patients with no SVR at LPVPS was assessed using
population sequencing. No study medications were administered. RESULTS: Overall,
249 patients were enrolled (200 with SVR at LPVPS; 49 with no SVR at LPVPS); 40
patients discontinued prematurely (18 with SVR; 22 with no SVR). All 200 enrolled
patients who achieved SVR in the parent study maintained SVR until the last
available visit in this study (median follow-up time: 35.8 months). The treatment
emergent NS3 amino acid substitutions detected at time of failure in the parent
study in 43/49 enrolled patients were no longer detected in 37/43 (86.0%) at the
end of this study (median follow-up time: 179.9 weeks [41.3 months]). CONCLUSION:
This 3-year follow-up study provides evidence for the long-term durability of SVR
(100%) after successful treatment with simeprevir plus PR. Treatment-emergent NS3
amino acid substitutions became undetectable in the majority of patients. TRIAL
REGISTRATION: NCT01349465; ClinicalTrials.gov .
PMID- 29378604
TI - Splenic hamartoma with bizarre stromal cells: a case report and literature
review.
AB - BACKGROUND: Splenic hamartoma is a rare benign vascular proliferative lesion
composed of unorganized sinusoid-like channels lined with plump or flat
endothelial cells and characterized by a CD8-positive immunophenotype of the
lining cells. Scattered bizarre stromal cells can be found in some splenic
hamartomas. The presence of splenic hamartoma with bizarre stromal cells is
extremely rare and these bizarre cells make it possible to be regarded as a
malignancy. Recognition of this rare histologic variant will help to avoid
diagnostic confusion and overtreatment of this benign entity. CASE PRESENTATION:
We report a case of a 40-year-old man with occasional left-sided waist back pain.
A splenic space-occupying lesion was detected by ultrasound and magnetic
resonance imaging. Microscopically bizarre large cells were scattered throughout
the splenic hamartoma. The cells exhibited atypical nuclei, scarcely visible
cytoplasm, and vesicular chromatin, and they did not form expansile clusters and
lacked mitotic activity. An immunohistochemical panel was performed. The bizarre
cells strongly expressed vimentin, and the Ki-67 index was very low. The lesion
was diagnosed as a splenic hamartoma with bizarre stromal cells. CONCLUSIONS: To
the best of our knowledge, this is the first systematic review on a splenic
hamartoma with bizarre stromal cells; only six cases have been described in the
literature. Proper identification is important to secure adequate treatment.
PMID- 29378603
TI - Additional radiotherapy following endoscopic submucosal dissection for T1a-MM/T1b
SM esophageal squamous cell carcinoma improves locoregional control.
AB - BACKGROUND: Endoscopic submucosal dissection (ESD) can be used as a less invasive
treatment option for superficial esophageal cancer involving the muscularis
mucosae (T1a-MM) or upper third of the submucosa (T1b-SM1). Additional treatment
after ESD is needed to prevent lymph node metastasis. However, the efficacy of
radiotherapy following ESD has not been well evaluated. Moreover, the clinical
outcomes of patients with large mucosal defects of the esophagus who received
radiotherapy after ESD have not been reported. This study aimed to clarify the
efficacy of additional radiotherapy following ESD for esophageal squamous cell
cancer involving T1a-MM or T1b-SM1. METHODS: We analyzed twenty-seven patients
with pathologically confirmed T1a-MM or T1b-SM1 esophageal squamous cell cancer
treated by ESD. Thirteen patients received additional radiotherapy (RT group),
and the remaining patients did not (non-RT group). Locoregional control (LRC),
overall survival, cause-specific survival, and adverse events including treatment
related esophageal strictures were evaluated. RESULTS: The three-year LRC was
significantly better for the RT than the non-RT group (100% vs. 57.8%,
respectively; p = 0.022). Chemotherapy following ESD did not improve LRC.
Multivariate analysis showed that radiotherapy was an independent prognostic
factor for better LRC (p = 0.0022). Contrary to the results in LRC, overall and
cause-specific survival were not significantly different between the RT and non
RT groups. A subgroup analysis of patients with mucosal defects involving >= 3/4
of the esophageal circumference after ESD showed that LRC of the RT group was
better than that of the non-RT group (p = 0.049). Treatment-related esophageal
strictures were observed in 2 of 6 patients in the RT group with large mucosal
defects after ESD. No patients with mucosal defects involving less than 3/4 of
the circumference after ESD developed treatment-related strictures. CONCLUSIONS:
Radiotherapy after ESD contributed to better LRC in esophageal squamous cell
cancer involving pT1a-MM and pT1b-SM1. Esophageal strictures were observed in
some patients with large mucosal defects after ESD. Despite leading to better
LRC, radiotherapy after ESD should be undertaken after careful consideration for
patients with large mucosal defects after ESD.
PMID- 29378606
TI - Treponema pallidum Immunohistochemistry is positive in human intestinal
Spirochetosis.
AB - BACKGROUND: Human intestinal spirochetosis (IS) has been recognized for decades,
but whether it represents commensalism or a pathogenic process remains
controversial. IS is diagnosed on routine stains with confirmation by silver
stains but these stains are labor intensive and slow to read. We evaluated the
Treponema pallidum immunostain as a diagnostic adjunct for IS. METHODS: We
retrieved biopsies from 33 patients with IS for this study. Each case was tested
by Warthin-Starry (WS) and T. pallidum immunohistochemistry (IHC). Species
specific genotyping was performed in 3 cases. RESULTS: Patients with IS ranged
from 22 to 82 years without gender predilection. IS involved normal (n = 15), and
inflamed (n = 5) mucosa and colonic polyps (n = 13). Warthin-Starry and T.
pallidum IHC were positive in all cases including both species of Brachyspira.
Six (18%) symptomatic patients were treated for IS, and experienced resolution.
In patients diagnosed with incidental IS on cancer screening (n = 5), follow up
biopsies, without therapy, were negative for IS. T. pallidum IHC required 75 min
less hands-on time than WS for performance and was faster to interpret.
CONCLUSIONS: T. pallidum IHC can be used to confirm the diagnosis of IS and is
easier to perform and faster to interpret than WS.
PMID- 29378605
TI - Piperine ameliorates SCA17 neuropathology by reducing ER stress.
AB - BACKGROUND: Spinocerebellar ataxia 17 (SCA17) belongs to the family of
neurodegenerative diseases caused by polyglutamine (polyQ) expansion. In SCA17,
polyQ expansion occurs in the TATA box binding protein (TBP) and leads to the
misfolding of TBP and the preferential degeneration in the cerebellar Purkinje
neurons. Currently there is no effective treatment for SCA17. Mesencephalic
astrocyte-derived neurotrophic factor (MANF) is a recently identified
neurotrophic factor, and increasing MANF expression ameliorated SCA17
neuropathology in TBP-105Q knock-in (KI) mouse model, indicating that MANF could
be a therapeutic target for treating SCA17. METHODS: In this study, we screened a
collection of 2000 FDA-approved chemicals using a stable cell line expressing
luciferase reporter, which is driven by MANF promoter. We identified several
potential candidates that can induce the expression of MANF. Of these inducers,
piperine is an agent that potently induces the luciferase expression or MANF
expression. RESULTS: Addition of piperine in both cellular and mouse models of
SCA17 alleviated toxicity caused by mutant TBP. Although mutant TBP is primarily
localized in the nuclei, the polyQ expansion in TBP is able to induce ER stress,
suggesting that nuclear misfolded proteins can also elicit ER stress as
cytoplasmic misfolded proteins do. Moreover, piperine plays its protective role
by reducing toxicity caused by the ER stress. CONCLUSION: Our study established
piperine as a MANF-based therapeutic agent for ER stress-related neuropathology
in SCA17.
PMID- 29378607
TI - Development of a rapid point-of-care patient reported outcome measure for
cataract surgery in India.
AB - BACKGROUND: For patient undergoing cataract surgery in India, existing patient
reported outcome (PRO) measures are either not culturally relevant, have not been
adequately validated, or are too long to be used in a busy clinical setting. We
sought to develop and validate a brief and culturally relevant point-of-care PRO
measure to address this need. METHODS: Twelve items from the Indian Visual
Functioning Questionnaire (IND-VFQ) were selected based on preliminary data.
Patients 18 years and older were prospectively recruited at Aravind Eye Care
System in Madurai, India. Clinical and sociodemographic data were collected and
the 12-item short-form IND-VFQ (SF-IND-VFQ) was administered pre- and post
operatively to 225 patients; Factor analysis and Rasch modeling was performed to
assess its psychometric properties. RESULTS: One item that did not fit a
unidimensional scale and had poor fit with the Rasch model was eliminated from
the questionnaire. The remaining 11 items represented a single construct (no
residual correlations> 0.1) and were largely unaffected by differential item
functioning. Five items had disordered thresholds resolved by collapsing the
response scale from four to three categories. The survey had adequate reliability
(0.80) and good construct (infit range, 0.77-1.29; outfit range, 0.56-1.30) and
content (item separation index, 5.87 logits) validity. Measurement precision was
fair (person separation index, 1.97). There was evidence that items were not
optimally targeted to patients' visual ability (preoperatively, - 1.92 logits;
overall, - 3.41 logits), though the survey measured a very large effect (Cohen's
d 1.80). In a subset of patients, the average time to complete the questionnaire
was 2 min 6.3 s. CONCLUSIONS: The SF-IND-VFQ is a valid, reliable, sensitive, and
rapidly administered point-of-care PRO measure to assess changes in visual
functioning in patients undergoing cataract surgery in India.
PMID- 29378608
TI - Case report: IgG4-related mass-forming thyroiditis accompanied by regional
lymphadenopathy.
AB - BACKGROUND: It has been recently accepted that IgG4-related thyroiditis is
comparable to the Hashimoto and Riedel thyroiditis and Graves disease which are
rich in IgG4-secreting plasma cells. Many physicians believe that in IgG4-related
thyroiditis, the thyroid is entirely enlarged and diffusely affected, which is
similar to conventional thyroiditis, but rarely ever accompanied by
pseudoneoplastic mass formation as in IgG4-related disease in the other organs.
This report introduces another pattern of IgG4-related thyroiditis as mass
forming thyroiditis and presents the occurrence of IgG4-related regional
lymphadenopathy as an unusual accompanying symptom. CASE PRESENTATION: A 66-year
old woman presented with an approximately 2.5-cm mass in the right thyroidal lobe
and regional lymph node swelling, which were preoperatively misinterpreted as
thyroidal carcinoma. After lobectomy, histological examination was performed,
revealing that the mass showed dense stromal fibrosis, lymphoplasmacytic
infiltration, and effacement of thyroid follicles, while the background thyroidal
tissue seemed to mimic lymphocytic thyroiditis without fibrosis.
Immunohistochemistry revealed predominance of IgG4-secreting plasma cells among
infiltrating lymphocytes independent of mass lesion or background tissue. In
addition, the regional Delphian and paratracheal lymph nodes were swollen,
histologically showing numerous IgG4-secreting plasma cell infiltrations in the
interfollicular zone. CONCLUSIONS: IgG4-related mass-forming thyroiditis, which
may be an extremely rare but recognizable pattern of IgG4-related thyroiditis,
may be distinguishable from Hashimoto and Riedel thyroiditis, Graves disease, and
thyroidal carcinoma. In addition, the regional IgG4-related lymphadenopathy, also
possibly misdiagnosed as metastatic thyroidal carcinoma, may be a newly
recognized manifestation of IgG4-related thyroiditis.
PMID- 29378609
TI - Plasmodium vivax molecular diagnostics in community surveys: pitfalls and
solutions.
AB - A distinctive feature of Plasmodium vivax infections is the overall low parasite
density in peripheral blood. Thus, identifying asymptomatic infected individuals
in endemic communities requires diagnostic tests with high sensitivity. The
detection limits of molecular diagnostic tests are primarily defined by the
volume of blood analysed and by the copy number of the amplified molecular marker
serving as the template for amplification. By using mitochondrial DNA as the
multi-copy template, the detection limit can be improved more than tenfold,
compared to standard 18S rRNA targets, thereby allowing detection of lower
parasite densities. In a very low transmission area in Brazil, application of a
mitochondrial DNA-based assay increased prevalence from 4.9 to 6.5%. The
usefulness of molecular tests in malaria epidemiological studies is widely
recognized, especially when precise prevalence rates are desired. Of concern,
however, is the challenge of demonstrating test accuracy and quality control for
samples with very low parasite densities. In this case, chance effects in
template distribution around the detection limit constrain reproducibility.
Rigorous assessment of false positive and false negative test results is,
therefore, required to prevent over- or under-estimation of parasite prevalence
in epidemiological studies or when monitoring interventions.
PMID- 29378610
TI - HyperArc VMAT planning for single and multiple brain metastases stereotactic
radiosurgery: a new treatment planning approach.
AB - PURPOSE: The HyperArc VMAT (HA-VMAT) planning approach was newly developed to
fulfill the demands of dose delivery for brain metastases stereotactic
radiosurgery. We compared the dosimetric parameters of the HA-VMAT plan with
those of the conventional VMAT (C-VMAT). MATERIAL AND METHODS: For 23 patients (1
4 brain metastases), C-VMAT and HA-VMAT plans with a prescription dose of 20-24
Gy were retrospectively generated, and dosimetric parameters for PTV (homogeneity
index, HI; conformity index, CI; gradient index, GI) and brain tissue (V2Gy
V16Gy) were evaluated. Subsequently, the physical characteristics (modulation
complexity score for VMAT, MCSV; Monitor unit, MU) of both treatment approaches
were compared. RESULTS: HA-VMAT provided higher HI (1.41 +/- 0.07 vs. 1.24 +/-
0.07, p < 0.01), CI (0.93 +/- 0.02 vs. 0.90 +/- 0.05, p = 0.01) and lower GI
(3.06 +/- 0.42 vs. 3.91 +/- 0.55, p < 0.01) values. Moderate-to-low dose spreads
(V4Gy-V16Gy) were significantly reduced (p < 0.01) in the HA-VMAT plan over that
of C-VMAT. HA-VMAT plans resulted in more complex MLC patterns (lower MCSV, p <
0.01) and higher MU (p < 0.01). CONCLUSIONS: HA-VMAT plans provided significantly
higher conformity and rapid dose falloff with respect to the C-VMAT plans.
PMID- 29378612
TI - Case report of an unusual combination of purulent pericarditis and false aneurysm
of the ascending aorta.
AB - BACKGROUND: Purulent pericarditis is an uncommon entity, which is, in very rare
cases, associated to infection of the aorta. CASE PRESENTATION: We present the
case of a 42-year-old male patient, who was admitted to hospital complaining of
tiredness, diarrhea and leg edema. Clinical examination revealed a hypotensive
and obviously shocked patient. He was ultimately diagnosed with a rare
combination of purulent pericarditis followed by false aneurysm of the ascending
aorta. He was successfully treated by surgical pericardial drainage, replacement
of the ascending aorta and antibiotics. CONCLUSION: Mycotic aneurysms can rarely
be associated with purulent pericarditis. Our literature review shows that there
are two mechanisms explaining this association and that in most of the published
cases infective endocarditis could not be demonstrated.
PMID- 29378611
TI - Episomal HIV-1 DNA and its relationship to other markers of HIV-1 persistence.
AB - Reverse transcription of HIV-1 results in the generation of a linear cDNA that
serves as the precursor to the integrated provirus. Other classes of
extrachromosomal viral cDNA molecules can be found in acutely infected cells
including the 1-LTR and 2-LTR circles of viral DNA, also referred as episomal HIV
1 DNA. Circulating CD4+ T-cells of treatment-naive individuals contain
significant levels of unintegrated forms of HIV-1 DNA. However, the importance of
episomal HIV-1 DNA in the study of viral persistence during antiviral therapy
(ART) is debatable. 2-LTR circles are preferentially observed in the effector
memory CD4+ T cell subset of long-term treated subjects. Treatment
intensification of standard regimens has been used to determine if more potent
ART can impact viral reservoir activity. Adding a potent antiretroviral drug to a
stable triple-drug regimen has no measurable impact on plasma HIV-1 RNA levels,
suggesting that ongoing cycles of HIV-1 replication are not a major mechanism
driving persistent plasma viremia during triple-drug ART. However, in randomized
clinical trials of HIV-1-infected adults on apparently effective ART, the
addition of an integrase inhibitor (raltegravir) to stable regimens resulted in a
transient increase in 2-LTR circles in some patients, suggesting a pre
intensification steady-state in which the processes of virion generation and de
novo infection were occurring. Mathematical modeling of 2-LTR production during
integrase inhibitor intensification suggests the coexistence, at different
levels, of ongoing de novo infection and de novo replication mechanisms,
specifically in inflamed lymphoid drug sanctuaries. Most reports looking into
potential changes in 2-LTR circles in interventional clinical studies have
simultaneously assessed other potential surrogate markers of viral persistence.
Transient increases in 2-LTR circles have been correlated to decreases in CD8+ T
cell activation, transient CD45RA-CD4+ T-cell redistribution, and decreases in
the hypercoagulation biomarker D-dimer in ART-intensified individuals. It is
difficult, however, to establish a systematic association because the level of
correlation with different types of markers differs significantly among studies.
In conclusion, despite suppressive ART, a steady-state of de novo infection may
persist in some infected individuals and that this may drive immune activation
and inflammation changes reflecting residual viral reservoir activity during
otherwise apparently suppressive ART.
PMID- 29378613
TI - Axial loading during MRI induces significant T2 value changes in vertebral
endplates-a feasibility study on patients with low back pain.
AB - BACKGROUND: The function of the endplate (EP) is the most important factor
influencing nutritional supply to the avascular intervertebral disc (IVD). It is
desired to have a non-invasive method to assess functional EP characteristics in
vivo. Assessment of functional EP characteristics is important in order to
understand its relation to IVD degeneration, which in turn might deepen the
understanding of the pathophysiology behind low back pain (LBP). It was
hypothesized that, by comparing quantitative MRI of EPs performed with
conventional supine MRI (unloaded MRI) with axial loading during MRI (alMRI),
dynamical properties of the EP can be displayed. The aim was therefore to
investigate the feasibility of axial loading during MRI (alMRI) to
instantaneously induce quantitative EP changes. METHODS: T2 mapping of 55
vertebral EPs (L1-S1) in five LBP patients was performed during conventional
supine MRI (unloaded MRI) and subsequent alMRI. With T2 mapping, the
cartilaginous EP and bony EP cannot be separated; hence, the visualized EP was
termed EP zone (EPZ). Each EPZ was segmented at multiple midsagittal views,
generating volumetric regions of interest. EPZs demonstrating signal
inhomogeneity and/or adjacent Modic changes (MC) were termed abnormal EPZs. EPZ
mean T2 values were compared between unloaded MRI and alMRI, and their
relationship with abnormal EPZs was determined. RESULTS: alMRI induced
significantly higher (p = 0.01) EPZ mean T2 values compared with unloaded MRI.
Significantly higher mean T2 values were seen in inferior EPZs compared with
superior EPZs, both with unloaded MRI (35%, p < 0.001) and with alMRI (26%, p =
0.04). Significant difference between unloaded MRI and alMRI was seen in normal
(p = 0.02), but not in abnormal EPZs (p = 0.5; n = 12). CONCLUSIONS: alMRI
induces changes in human EPZ characteristics in vivo. The T2 value significantly
increased in normal EPZs, with lack of such in abnormal EPZs. Combining T2
mapping with alMRI provides a clinical feasible, non-invasive method with
potential to reveal biochemical behavioral patterns, thus adding another
dimension of the EPZs characteristics compared with information obtained with
solely unloaded MRI.
PMID- 29378614
TI - Diversity and use of wild and non-cultivated edible plants in the Western
Himalaya.
AB - BACKGROUND: Local people in the Himalayan region use a wide range of wild and non
cultivated edible plants (WNEPs) for food, spice, medicinal, and cultural
purposes. However, their availability, use, status and contribution to livelihood
security are poorly documented, and they have been generally overlooked in recent
agro-biodiversity conservation and management programmes. The study aimed to
investigate WNEP diversity and current status in a part of the Kailash Sacred
Landscape-a transboundary landscape shared by Nepal, India and PR China-in terms
of collection, use, management and conservation initiatives. METHODS: Multiple
methodologies and tools were used for data collection. A series of participatory
tools (45 key informant interviews, 10 focus group discussions, a crop diversity
fair, direct observation of species through a transect walk and rapid market
assessments) was followed by a household survey (195 respondents) and
complemented by a literature review. RESULTS: The study recorded 99 WNEPs
belonging to 59 families of which 96 were angiosperms, one gymnosperm and two
pteridophytes. Species were used for food, spice, medicine, rituals and income
generation. Thirty-five species had multiple uses, including these: 40 species
were used for fruit and 31 for vegetables. WNEPs contribute significantly to
daily food requirements, especially the vegetables. The use value of Dryopteris
cochleata was found highest (0.98) among frequently used vegetable species. The
values of informant consensus factor were found maximum for worms in the stomach
(0.99) and minimum for skin disease treatment (0.67). Nearly 85% of households
depended exclusively on WNEPs for at least more than a month per year. Results on
the importance and use of different species, gender roles in WNEP activities and
conservation approaches are presented. CONCLUSIONS: People living in the Kailash
Sacred Landscape depend significantly on WNEPs, and this is especially critical
in times of food shortage. The WNEPs have considerable potential as an important
supplement to cultivated food crops. Farmers prioritise species with multiple use
values and popular vegetables. However, there are numerous challenges and
interventions needed to ensure conservation and management of species and their
continued availability to support food security and local livelihoods.
PMID- 29378615
TI - Expression of cystatin C in the female reproductive tract and its effect on human
sperm capacitation.
AB - BACKGROUND: Cystatin C (CST3), a cysteine protease inhibitor in seminal plasma,
is expressed in animal uteri. However, its expression in the human female
reproductive tract and its effect on human sperm capacitation are unclear.
METHODS: The cellular localization of CST3 was observed using
immunohistochemistry. The binding of CST3 to sperm was examined using
immunocytochemistry. Sperm motility parameters were analyzed using computer
assisted sperm analysis. Sperm capacitation was evaluated by analyzing
cholesterol content, protein tyrosine phosphorylation levels, and the acrosome
reaction. RESULTS: Immunohistochemical staining demonstrated that CST3 is
prominently expressed in the female reproductive tract, including the epithelial
lining and cervix and endometrium fluids, particularly at times near ovulation.
It can bind to human sperm on the post-acrosomal head region and the mid and
principal piece of the tail. CST3 enhances sperm motility and inhibits the signal
initiating sperm capacitation, i.e., efflux of cholesterol from the sperm plasma
membrane and a late sperm capacitation event, i.e., the increase in the sperm
protein tyrosine phosphorylation. The suppressive trend on sperm acrosome
reaction further supports CST3's ability to inhibit sperm capacitation.
CONCLUSIONS: These findings suggest that cervical CST3 may prevent precocious
capacitation and acrosome reaction, thus preserving sperm fertilizing ability
before it reaches the fallopian tube. Additionally, CST3 may help sperm enter the
upper reproductive tract by enhancing sperm motility.
PMID- 29378616
TI - Sensemaking in the formation of basic life support teams - a proof-of-concept,
qualitative study of simulated in-hospital cardiac arrests.
AB - BACKGROUND: The formation of critical care teams is a complex process where team
members need to get a shared understanding of a serious situation. No previous
studies have focused on how this shared understanding is achieved during the
formation of cardiac arrest teams. "Sensemaking" is a concept well known in
organizational studies. It refers to the collaborative effort among members in a
dialogue to create meaning in an ambiguous situation, often by using subtle
variations in the sentences in the dialogue. Sentences with high degrees of
"sensemaking" activity can be thematized as "co-orientation", "re-presentation"
and/or "subordination" (among others). We sought to establish if elements of
"sensemaking" occur in the formation of in-hospital cardiac arrest teams.
METHODS: Videos of ten simulations of unannounced in-hospital cardiac arrests
treated by basic life support (BLS) providers. We transcribed all verbal
communication from the moment the first responder stepped into the room until the
moment external chest compression were initiated (verbatim transcription).
Transcriptions were then analyzed with a focus on identifying three elements of
sensemaking: Co-orientation, Re-presentation and Sub-ordination. RESULTS:
Sensemaking elements could be identified in seven of ten scenarios as part of
team formation. Co-orientation was the element that was used most consistently,
occurring in all of the eight scenarios that included sensemaking efforts.
CONCLUSIONS: Sensemaking is an element in the communication in some cardiac
arrest teams. It is possible that the active moderation of sensemaking should be
considered a non-technical skill in cardiac arrest teams.
PMID- 29378617
TI - PD-L1 in pancreatic ductal adenocarcinoma: a retrospective analysis of 373
Chinese patients using an in vitro diagnostic assay.
AB - BACKGROUND: Programmed death ligand 1 (PD-L1) has shown potential as a
therapeutic target in numerous solid tumors. Its prognostic significance has also
been established in pancreatic ductal adenocarcinoma (PDAC). The present study
aimed to explore PD-L1 expression in PDAC cases in a large Chinese cohort using
an in vitro diagnostic (IVD) assay to provide further insight into the potential
value of programmed cell death protein 1 (PD-1) as a therapeutic target. METHODS:
Three hundred seventy-three PDAC patients were retrospectively recruited in this
study. Tissue microarray (TMA) blocks were made from available formalin-fixed and
paraffin-embedded (FFPE) tumor and matched adjacent tissue specimens. We
evaluated PD-L1 protein expression via immunohistochemistry (IHC) using a U.S.
Food and Drug Administration (FDA)-approved IVD assay. The relationships between
PD-L1 positivity and both clinicopathological characteristics and patient
prognosis were analyzed. PD-1 expression and clinicopathological significance
were also evaluated. RESULTS: PD-L1 and PD-1 positivity were observed in 3.2% and
7.5% of cases, respectively. PD-L1 showed a predominantly membranous pattern in
tumor cells, while no positive PD-L1 staining was observed in normal regions.
Statistical analyses revealed that PD-L1 expression was associated with lymph
node metastasis. PD-L1 positivity was a prognostic indicator of progression-free
survival (PFS) and overall survival (OS) in univariate analyses, but only PFS
remained statistically significant in multivariate analysis. PD-1 expression was
detected in lymphocytes and was not associated with any clinicopathological
feature except a history of pancreatitis. CONCLUSIONS: The PD-L1 positivity rate
is low in PDAC when evaluated using a companion diagnostic assay. It remains an
independent prognostic factor for poor PFS.
PMID- 29378618
TI - 'Recruitment, recruitment, recruitment' - the need for more focus on retention: a
qualitative study of five trials.
AB - BACKGROUND: Loss to follow-up (attrition) is a frequent problem in clinical
trials and can introduce bias or reduce power. So, understanding retention issues
and strategies to address these are important. As part of a multi-method project,
this qualitative study aimed to explore retention strategies used by trial teams
and factors which may influence strategy adoption. METHOD: A purposive sample of
active trials was selected from the UK NIHR HTA portfolio of ongoing trials in
2014/2015. Semi-structured interviews with several trial team members from each
trial and supplementary interviews with experienced trial managers explored
strategies in collecting clinical outcome data and retaining participants.
Interview data were analysed thematically using techniques of constant
comparison. RESULTS: Twenty-two semi-structured interviews with trial team
members including chief investigators, trial managers, nurses and research
administrators revealed strategies used to enhance retention. Some were
recognised methods and planned from trial outset whilst others were implemented
more responsively. Interviewees placed great value on fostering positive
relationships with trial participants to enhance retention. However, these
strategies took time which was not always appreciated by the wider trial team or
funding bodies. The national focus on recruitment targets in networks posed a
challenge to staff and was deemed detrimental to retention. The 'moral compass'
of individual researchers relied on their own beliefs and values and research
experience and the factors affected their confidence to pursue participant data
during follow-up. CONCLUSION: The role of trial staff and their underlying
behaviours influence retention practices and, combined with emphasis on
recruitment targets, can be detrimental to motivation and retention activities.
There is a need to consider how to train and support trial staff involved in
retention practices and recognition of retention from funding bodies and
oversight organisations.
PMID- 29378620
TI - Human infection with sub-periodic Brugia spp. in Gampaha District, Sri Lanka: a
threat to filariasis elimination status?
AB - BACKGROUND: Post-mass drug administration (MDA) surveillance during the lymphatic
filariasis (LF) elimination program in Sri Lanka, revealed the re-emergence of
brugian filariasis after four decades. This study was done with the objectives of
investigating the epidemiology and age-specific vulnerability to infection.
Surveillance was done using night blood smears (NBS) and the Brugia rapid test
(BRT), to detect microfilaria (MF) and anti-Brugia IgG4 antibodies in blood
samples collected from an age-stratified population enrolled from two high-risk
study areas (SA)s, Pubudugama and Wedamulla in the Gampaha District. The
periodicity of the re-emergent Brugia spp. was characterized by quantitative
estimation of MF in blood collected periodically over 24 h using nucleopore
membrane filtration method. RESULTS: Of 994 participants [Pubudugama 467 (47.9%)
and Wedamulla 527 (53%)] screened by NBS, two and zero cases were positive for MF
at Pubudugama (MF rate, 0.43) and Wedamulla (MF rate, 0), respectively, with an
overall MF rate of 0.2. Of the two MF positives, one participant had a W.
bancrofti while the other had a Brugia spp. infection. Of 984 valid BRT test
readings [Pubudugama (n = 461) and Wedamulla (n = 523)], two and seven were
positive for anti-brugia antibodies by BRT at Pubudugama (antibody rate 0.43) and
Wedamulla (antibody rate 1.34), respectively, with an overall antibody rate of
0.91. Both MF positives detected from SAs and two of three other Brugia spp. MF
positives detected at routine surveillance by the National Anti-Filariasis
Campaign (AFC) tested negative by the BRT. Association of Brugia spp. infections
with age were not evident due to the low case numbers. MF was observed in the
peripheral circulation throughout the day (subperiodic) with peak counts
occurring at 21 h indicating nocturnal sub-periodicity. CONCLUSIONS: There is the
low-level persistence of bancroftian filariasis and re-emergence of brugian
filariasis in the Gampaha District, Sri Lanka. The periodicity pattern of the re
emergent Brugia spp. suggests a zoonotic origin, which causes concern as MDA may
not be an effective strategy for control. The importance of continuing
surveillance is emphasized in countries that have reached LF elimination targets
to sustain programmatic gains.
PMID- 29378619
TI - Chromosome conformation signatures define predictive markers of inadequate
response to methotrexate in early rheumatoid arthritis.
AB - BACKGROUND: There is a pressing need in rheumatoid arthritis (RA) to identify
patients who will not respond to first-line disease-modifying anti-rheumatic
drugs (DMARD). We explored whether differences in genomic architecture
represented by a chromosome conformation signature (CCS) in blood taken from
early RA patients before methotrexate (MTX) treatment could assist in identifying
non-response to DMARD and, whether there is an association between such a
signature and RA specific expression quantitative trait loci (eQTL). METHODS: We
looked for the presence of a CCS in blood from early RA patients commencing MTX
using chromosome conformation capture by EpiSwitchTM. Using blood samples from
MTX responders, non-responders and healthy controls, a custom designed biomarker
discovery array was refined to a 5-marker CCS that could discriminate between
responders and non-responders to MTX. We cross-validated the predictive power of
the CCS by generating 150 randomized groups of 59 early RA patients (30
responders and 29 non-responders) before MTX treatment. The CCS was validated
using a blinded, independent cohort of 19 early RA patients (9 responders and 10
non-responders). Last, the loci of the CCS markers were mapped to RA-specific
eQTL. RESULTS: We identified a 5-marker CCS that could identify, at baseline,
responders and non-responders to MTX. The CCS consisted of binary chromosome
conformations in the genomic regions of IFNAR1, IL-21R, IL-23, CXCL13 and IL-17A.
When tested on a cohort of 59 RA patients, the CCS provided a negative predictive
value of 90.0% for MTX response. When tested on a blinded independent validation
cohort of 19 early RA patients, the signature demonstrated a true negative
response rate of 86 and a 90% sensitivity for detection of non-responders to MTX.
Only conformations in responders mapped to RA-specific eQTL. CONCLUSIONS: Here we
demonstrate that detection of a CCS in blood in early RA is able to predict
inadequate response to MTX with a high degree of accuracy. Our results provide a
proof of principle that a priori stratification of response to MTX is possible,
offering a mechanism to provide alternative treatments for non-responders to MTX
earlier in the course of the disease.
PMID- 29378621
TI - Novel botanical drug DA-9803 prevents deficits in Alzheimer's mouse models.
AB - BACKGROUND: Alzheimer's disease (AD) is a neurodegenerative disorder
characterized by deposition of amyloid plaques and disruption of neural
circuitry, leading to cognitive decline. Animal models of AD deposit senile
plaques and exhibit structural and functional deficits in neurons and neural
networks. An effective treatment would prevent or restore these deficits,
including calcium dyshomeostasis observed with in-vivo imaging. METHODS: We
examined the effects of DA-9803, a multimodal botanical drug, in 5XFAD and
APP/PS1 transgenic mice which underwent daily oral treatment with 30 or 100 mg/kg
DA-9803 or vehicle alone. Behavioral testing and longitudinal imaging of amyloid
deposits and intracellular calcium in neurons with multiphoton microscopy was
performed. RESULTS: Chronic administration of DA-9803 restored behavioral
deficits in 5XFAD mice and reduced amyloid-beta levels. DA-9803 also prevented
progressive amyloid plaque deposition in APP/PS1 mice. Elevated calcium, detected
in a subset of neurons before the treatment, was restored and served as a
functional indicator of treatment efficacy in addition to the behavioral readout.
In contrast, mice treated with vehicle alone continued to progressively
accumulate amyloid plaques and calcium overload. CONCLUSIONS: In summary,
treatment with DA-9803 prevented structural and functional outcome measures in
mouse models of AD. Thus, DA-9803 shows promise as a novel therapeutic approach
for Alzheimer's disease.
PMID- 29378622
TI - Maximizing adherence and retention for women living with HIV and their infants in
Kenya (MOTIVATE! study): study protocol for a randomized controlled trial.
AB - BACKGROUND: Successful completion and retention throughout the multi-step cascade
of prevention of mother-to-child HIV transmission (PMTCT) remains difficult to
achieve. The Mother and Infant Visit Adherence and Treatment Engagement study
aims to evaluate the effect of mobile text messaging, community-based mentor
mothers (cMMs), or both on increasing antiretroviral therapy (ART) adherence,
retention in HIV care, maternal viral load suppression, and mother-to-child HIV
transmission for mother-infant pairs receiving lifelong ART. METHODS/DESIGN: This
study is a cluster randomized, 2 * 2 factorial, controlled trial. The trial will
be undertaken in the western Kenyan counties of Migori, Kisumu, and Homa Bay.
Study sites will be randomized into one of four groups: six sites will implement
both text messaging and cMM, six sites will implement cMM only, six sites will
implement text messaging only, and six sites will implement the existing standard
of care. The primary analysis will be based on the intention-to-treat principle
and will compare maternal ART adherence and maternal retention in care.
DISCUSSION: This study will determine the impact of long-term (up to 12 months
postpartum) text messaging and cMMs on retention in and adherence to ART among
pregnant and breastfeeding women living with HIV in Kenya. It will address key
gaps in our understanding of what interventions may successfully promote long
term retention in the PMTCT cascade of care. TRIAL REGISTRATION:
ClinicalTrials.gov, NCT02491177 . Registered on 11 March 2015.
PMID- 29378623
TI - Patient perspectives of transitioning from prescription opioids to heroin and the
role of route of administration.
AB - BACKGROUND: As the availability of prescription opioids decreases and the
availability of heroin increases, some prescription opioid users are
transitioning to heroin. This study seeks to explore factors associated with
respondents' transition from prescription opioid use to heroin. METHODS: In
depth, semi-structured qualitative interviews (n = 20) were conducted with
buprenorphine patients in an opioid treatment program. Respondents were
predominantly White (n = 13) and male (n = 13), with a range of treatment tenure
(4 days to 2 years). RESULTS: A vast majority of respondents in this study (n =
15) initiated opioid use with either licit (n = 8) or illicit (n = 7)
prescription opioids (e.g. hydrocodone, oxycodone, morphine). Of these
respondents, all but two transitioned from prescription opioids to heroin (n =
13). For those respondents who transitioned to heroin, most initiated heroin use
intranasally (n = 12), after using prescription opioids in the same manner (n =
9), but before using heroin intravenously (n = 9). Respondents attributed this
transition between substances to common explanations, such as "it's cheaper" and
"the same thing as pills." However, respondents also dispel these myths by
describing: 1) heroin quality is always uncertain, often resulting in spending
more money over time; 2) dramatic increases in tolerance, resulting in spending
more money over time and transitioning to intravenous use; 3) more severe
withdrawal symptoms, especially when respondents transitioned to intravenous use.
CONCLUSIONS: Understanding how route of administration and common myths shape key
transition points for opioid users will allow practitioners to develop effective
harm reduction and prevention materials that target individuals already using
prescription opioids.
PMID- 29378624
TI - Evaluation of the tumor movement and the reproducibility of two different
immobilization setups for image-guided stereotactic body radiotherapy of liver
tumors.
AB - BACKGROUND: The purpose of this study is to evaluate the tumor movement and
accuracy of patient immobilization in stereotactic body radiotherapy of liver
tumors with low pressure foil or abdominal compression. METHODS: Fifty-four liver
tumors treated with stereotactic body radiotherapy were included in this study.
Forty patients were immobilized by a vacuum couch with low pressure foil, 14
patients by abdominal compression. We evaluated the ratio of gross tumor
volume/internal target volume, the tumor movement in 4D-computed tomography scans
and daily online adjustments after cone beam computed tomography scans. RESULTS:
The ratio of gross tumor volume/internal target volume was smaller with low
pressure foil. The tumor movement in 4D-computed tomography scans was smaller
with abdominal compression, the cranial movement even significantly different (p
= 0.02). The mean online adjustments and their mean absolute values in the
vertical, lateral and longitudinal axis were smaller with abdominal compression.
The online adjustments were significantly different (p < 0.013), their absolute
values in case of the longitudinal axis (p = 0.043). There was no significant
difference of the adjustments' 3D vectors. CONCLUSIONS: In comparison to low
pressure foil, abdominal compression leads to a reduction of the tumor movement.
Online adjustments decreased significantly, thus leading to higher accuracy in
patient positioning.
PMID- 29378625
TI - Outcome and prognostic factors in cervical cancer patients treated with surgery
and concurrent chemoradiotherapy: a retrospective study.
AB - BACKGROUND: The objective of this study is to analyze the treatment outcome and
secondary reactions in 98 patients with stage I-III cervical carcinoma who
underwent postoperative radiotherapy. METHODS: From 2006 to 2014, 98 patients
with stage I-III cervical carcinoma were treated with postoperative radiotherapy.
The major histological type, found in 92.86% of the patients (91 cases), was
squamous cell carcinoma. Patients were staged according to the 2002 TNM
guidelines. The postoperative radiotherapy methods included two-field irradiation
(16 patients, 16.32%), four-field box irradiation (16 patients, 16.32%), and
intensity-modulated radiotherapy (IMRT; 66 patients, 67.36%). The survival rates
were represented using Kaplan-Meier curves, and prognosis analyses were performed
using Cox multivariate analyses. RESULTS: The 5-year overall survival and
progression-free survival rates were 82.0 and 76.0%, respectively. Only one
patient (1.02%) developed a grade 3 acute radiation enteritis, while grade 3 and
4 myelosuppression was noted in 17 patients (17.35%) and one patient (1.02%),
respectively. Multivariate analyses showed that anemia before radiotherapy and
tumor size were predictors of the OS (P = 0.008, P = 0.045) rates. CONCLUSIONS:
Postoperative radiotherapy for patients with risk factors of cervical cancer
procured good efficacy levels with mild side effects. Anemia and tumor size were
important OS predictors.
PMID- 29378626
TI - Prevalence and molecular characterization of Strongyloides stercoralis, Giardia
duodenalis, Cryptosporidium spp., and Blastocystis spp. isolates in school
children in Cubal, Western Angola.
AB - BACKGROUND: Human infections by the gastrointestinal helminth Strongyloides
stercoralis and the enteric protozoans Giardia duodenalis, Cryptosporidium spp.
and Blastocystis spp. are not formally included in the list of 20 neglected
tropical diseases prioritised by the World Health Organization. Although largely
underdiagnosed and considered of lower public health relevance, these infections
have been increasingly demonstrated to cause significant morbidity and even
mortality globally, particularly among children living in resource-poor settings.
METHODS: In this cross-sectional survey the prevalence, frequency and molecular
diversity of S. stercoralis, G. duodenalis, Cryptosporidium spp. and Blastocystis
spp. were investigated in a school children population in the province of
Benguela (Angola). A total of 351 stool samples were collected during January to
June 2015. The presence of S. stercoralis and G. duodenalis was confirmed by qPCR
methods. Giardia duodenalis assemblages and sub-assemblages were determined by
multilocus sequence-based genotyping of the glutamate dehydrogenase and beta
giardin genes of the parasite. Detection and identification of Cryptosporidium
and Blastocystis species and subtypes was carried out by amplification and
sequencing of a partial fragment of the small-subunit ribosomal RNA gene of both
protozoan. Analyses of risk factors potentially associated with the transmission
of these pathogens were also conducted. RESULTS: Prevalences of S. stercoralis,
G. duodenalis, Cryptosporidium spp., and Blastocystis spp. were estimated at
21.4% (95% CI: 17.1-25.7%), 37.9% (95% CI: 32.8-43.0%), 2.9% (95% CI: 1.1-4.5%)
and 25.6% (95% CI: 21.18-30.2%), respectively. Overall, 64.1% (225/351) of the
children were infected by at least one of the pathogens investigated. Sequence
analyses of the 28 G. duodenalis isolates that were successfully genotyped
allowed the identification of sub-assemblages AI (14.3%), AII (14.3%), BIII
(7.1%) and BIV (25.0%). Discordant typing results AII/AIII and BIII/BIV were
identified in 7.1% and 14.3% of the isolates, respectively. A total of five
additional isolates (17.9%) were identified as assemblage B. Three
Cryptosporidium species including C. hominis (70%), C. parvum (20%) and C. canis
(10%) were found circulating in the children population under study. A total of
75 Blastocystis isolates were assigned to the subtypes ST1 (30.7%), ST2 (30.7%),
ST3 (36.0%), ST5 (1.3%) and ST7 (1.3%), respectively. Children younger than seven
years of age had significantly higher risk of infections by protozoan
enteropathogens (PRR: 1.35, P < 0.01), whereas being underweight seemed to have a
protective effect against these infections (PRR: 0.74, P = 0.005). CONCLUSIONS:
The burden of disease attributable to human strongyloidiasis, giardiosis,
cryptosporidiosis and blastocystosis in Angola is considerably higher than
initially estimated in previous surveys. Surveillance and control of these
infections should be jointly tackled with formally considered neglected tropical
diseases in order to maximize effort and available resources. Our data also
demonstrate the added value of using molecular diagnostic methods in high
transmission areas.
PMID- 29378627
TI - Integrated multi-omic analysis of host-microbiota interactions in acute oak
decline.
AB - BACKGROUND: Britain's native oak species are currently under threat from acute
oak decline (AOD), a decline-disease where stem bleeds overlying necrotic lesions
in the inner bark and larval galleries of the bark-boring beetle, Agrilus
biguttatus, represent the primary symptoms. It is known that complex interactions
between the plant host and its microbiome, i.e. the holobiont, significantly
influence the health status of the plant. In AOD, necrotic lesions are caused by
a microbiome shift to a pathobiome consisting predominantly of Brenneria
goodwinii, Gibbsiella quercinecans, Rahnella victoriana and potentially other
bacteria. However, the specific mechanistic processes of the microbiota causing
tissue necrosis, and the host response, have not been established and represent a
barrier to understanding and managing this decline. RESULTS: We profiled the
metagenome, metatranscriptome and metaproteome of inner bark tissue from AOD
symptomatic and non-symptomatic trees to characterise microbiota-host
interactions. Active bacterial virulence factors such as plant cell wall
degrading enzymes, reactive oxygen species defence and flagella in AOD lesions,
along with host defence responses including reactive oxygen species, cell wall
modification and defence regulators were identified. B. goodwinii dominated the
lesion microbiome, with significant expression of virulence factors such as the
phytopathogen effector avrE. A smaller proportion of microbiome activity was
attributed to G. quercinecans and R. victoriana. In addition, we describe for the
first time the potential role of two previously uncharacterised Gram-positive
bacteria predicted from metagenomic binning and identified as active in the AOD
lesion metatranscriptome and metaproteome, implicating them in lesion formation.
CONCLUSIONS: This multi-omic study provides novel functional insights into
microbiota-host interactions in AOD, a complex arboreal decline disease where
polymicrobial-host interactions result in lesion formation on tree stems. We
present the first descriptions of holobiont function in oak health and disease,
specifically, the relative lesion activity of B. goodwinii, G. quercinecans,
Rahnella victoriana and other bacteria. Thus, the research presented here
provides evidence of some of the mechanisms used by members of the lesion
microbiome and a template for future multi-omic research into holobiont
characterisation, plant polymicrobial diseases and pathogen defence in trees.
PMID- 29378628
TI - Activation of MAP kinases by green leaf volatiles in grasses.
AB - OBJECTIVE: Previously we have shown that mechanical wounding and volatiles
released from cut grass, activated a 46 and 44 kDa mitogen-activated protein
kinase (MAPK) in the model grass species Lolium temulentum (Lt). MAPKs play an
important role as signal relays that connect incoming stress signals and stress
responses. Since green leaf volatiles (GLV) are released during wounding, we
wanted determine if specific compounds contained in the GLV mixture or if GLV
generated from other plant species could activate these Lt MAPKs. RESULTS: Our
analysis found that just a 1-min exposure to GLV was enough to activate the Lt 46
kDa MAPK within 3 min and the 44 kDa MAPK within 15 min. This activation pattern
showed similar kinetics to those observed after wounding, and the GLV and wound
activated bands associated with these MAPKs displayed identical migration on
sodium dodecyl sulfate polyacrylamide gels. Thirteen different commercially
available plant volatiles (alcohols, aldehydes and ketones) were tested and all
thirteen volatile compounds were able to activate these same Lt MAPKs.
Furthermore, GLV derived from three other grass species as well as tomato, a
dicot, were also shown to activate these MAPKs in Lt.
PMID- 29378629
TI - An integrative functional genomics framework for effective identification of
novel regulatory variants in genome-phenome studies.
AB - BACKGROUND: Genome-phenome studies have identified thousands of variants that are
statistically associated with disease or traits; however, their functional roles
are largely unclear. A comprehensive investigation of regulatory mechanisms and
the gene regulatory networks between phenome-wide association study (PheWAS) and
genome-wide association study (GWAS) is needed to identify novel regulatory
variants contributing to risk for human diseases. METHODS: In this study, we
developed an integrative functional genomics framework that maps 215,107
significant single nucleotide polymorphism (SNP) traits generated from the PheWAS
Catalog and 28,870 genome-wide significant SNP traits collected from the GWAS
Catalog into a global human genome regulatory map via incorporating various
functional annotation data, including transcription factor (TF)-based motifs,
promoters, enhancers, and expression quantitative trait loci (eQTLs) generated
from four major functional genomics databases: FANTOM5, ENCODE, NIH Roadmap, and
Genotype-Tissue Expression (GTEx). In addition, we performed a tissue-specific
regulatory circuit analysis through the integration of the identified regulatory
variants and tissue-specific gene expression profiles in 7051 samples across 32
tissues from GTEx. RESULTS: We found that the disease-associated loci in both the
PheWAS and GWAS Catalogs were significantly enriched with functional SNPs. The
integration of functional annotations significantly improved the power of
detecting novel associations in PheWAS, through which we found a number of
functional associations with strong regulatory evidence in the PheWAS Catalog.
Finally, we constructed tissue-specific regulatory circuits for several complex
traits: mental diseases, autoimmune diseases, and cancer, via exploring tissue
specific TF-promoter/enhancer-target gene interaction networks. We uncovered
several promising tissue-specific regulatory TFs or genes for Alzheimer's disease
(e.g. ZIC1 and STX1B) and asthma (e.g. CSF3 and IL1RL1). CONCLUSIONS: This study
offers powerful tools for exploring the functional consequences of variants
generated from genome-phenome association studies in terms of their mechanisms on
affecting multiple complex diseases and traits.
PMID- 29378630
TI - Host genetic variation and its microbiome interactions within the Human
Microbiome Project.
AB - BACKGROUND: Despite the increasing recognition that microbial communities within
the human body are linked to health, we have an incomplete understanding of the
environmental and molecular interactions that shape the composition of these
communities. Although host genetic factors play a role in these interactions,
these factors have remained relatively unexplored given the requirement for large
population-based cohorts in which both genotyping and microbiome characterization
have been performed. METHODS: We performed whole-genome sequencing of 298 donors
from the Human Microbiome Project (HMP) healthy cohort study to accompany
existing deep characterization of their microbiomes at various body sites. This
analysis yielded an average sequencing depth of 32x, with which we identified 27
million (M) single nucleotide variants and 2.3 M insertions-deletions. RESULTS:
Taxonomic composition and functional potential of the microbiome covaried
significantly with genetic principal components in the gastrointestinal tract and
oral communities, but not in the nares or vaginal microbiota. Example
associations included validation of known associations between FUT2 secretor
status, as well as a variant conferring hypolactasia near the LCT gene, with
Bifidobacterium longum abundance in stool. The associations of microbial features
with both high-level genetic attributes and single variants were specific to
particular body sites, highlighting the opportunity to find unique genetic
mechanisms controlling microbiome properties in the microbial communities from
multiple body sites. CONCLUSIONS: This study adds deep sequencing of host genomes
to the body-wide microbiome sequences already extant from the HMP healthy cohort,
creating a unique, versatile, and well-controlled reference for future studies
seeking to identify host genetic modulators of the microbiome.
PMID- 29378631
TI - Socio-demographic and sexual practices associated with HIV infection in Kenyan
injection and non-injection drug users.
AB - BACKGROUND: Substance use is increasingly becoming prevalent on the African
continent, fueling the spread of HIV infection. Although socio-demographic
factors influence substance consumption and risk of HIV infection, the
association of these factors with HIV infection is poorly understood among
substance users on the African continent. The objective of the study was to
assess socio-demographic and sexual practices that are associated with HIV
infection among injection drug users (IDUs), non-IDUs, and non-drug users (DUs)
at an urban setting of coastal Kenya. METHODS: A cross-sectional descriptive
study was conducted among 451 adults comprising HIV-infected and -uninfected IDUs
(n = 157 and 39); non-IDUs (n = 17 and 48); and non-DUs (n = 55 and 135);
respectively at coastal, Kenya. Respondent driven sampling, snowball and
makeshift methods were used to enroll IDUs and non-IDUs. Convenience and
purposive sampling were used to enroll non-DUs from the hospital's voluntary HIV
testing unit. Participant assisted questionnaire was used in collecting socio
demographic data and sexual practices. RESULTS: Binary logistic regression
analysis indicated that higher likelihood of HIV infection was associated with
sex for police protection (OR, 9.526; 95% CI, 1.156-78.528; P = 0.036) and
history of sexually transmitted infection (OR, 5.117; 95% CI, 1.924-13.485; P =
0.001) in IDUs; divorced, separated or widowed marital status (OR, 6.315; 95% CI,
1.334-29.898; P = 0.020) in non-IDUs; and unemployment (OR, 2.724; 95% CI, 1.049
7.070; P = 0.040) in non-drug users. However, never married (single) marital
status (OR, 0.140; 95% CI, 0.030-0.649; P = 0.012) was associated with lower odds
for HIV infection in non-drug users. CONCLUSION: Altogether, these results
suggest that socio-demographic and sexual risk factors for HIV transmission
differ with drug use status, suggesting targeted preventive measures for drug
users.
PMID- 29378632
TI - The effects of a 12-week worksite physical activity intervention on
anthropometric indices, blood pressure indices, and plasma biomarkers of
cardiovascular disease risk among university employees.
AB - BACKGROUND: To determine the effectiveness of a low-cost 12-week worksite
physical activity intervention targeting a goal of 10,000 steps per day on
reducing anthropometric indices, blood pressure indices, and plasma biomarkers of
cardiovascular disease (CVD) risk among the employees of a major university.
METHODS: Fifty university employees (n = 43 female, n = 7 male; mean age = 48 +/-
10 years) participated in the 12-week physical activity intervention (60 min, 3
day/week). Each session included both aerobic (cardiorespiratory endurance) and
muscle-strengthening (resistance) physical activity using existing university
facilities and equipment. Anthropometric indices, blood pressure indices, and
plasma biomarkers of CVD risk assessed included those for obesity (body mass
index), hypertension (systolic blood pressure, SBP; diastolic blood pressure,
DBP), dyslipidemia (high-density lipoprotein, HDL; low-density lipoprotein, LDL;
total serum cholesterol), and prediabetes (impaired fasting glucose, IFG). Steps
per day were assessed using a wrist-worn activity monitor. Participants were
given the goal of 10,000 steps per day and categorized as either compliers (>=
10,000 steps per day on average) or non-compliers (< 10,000 steps per day on
average) based on their ability to achieve this goal. RESULTS: Overall, 34% of
participants at baseline were already at an elevated risk of CVD due to age. On
average, 28% of participants adhered to the goal of 10,000 steps per day. After
12-weeks, participants in both groups (compliers and non-compliers) had lower BMI
scores (p < 0.001), lower HDL scores (p < 0.034), and higher IFG scores (p <
0.001). The non-compliers had a greater reduction of BMI scores than the
compliers (p = 0.003). Participants at risk for CVD had greater reductions than
those not at risk for several risk factors, including SBP (p = 0.020), DBP (p =
0.028), IFG (p = 0.002), LDL (p = 0.006), and total serum cholesterol (p =
0.009). CONCLUSION: While the physical activity intervention showed mixed results
overall with both favorable changes in anthropometric indices yet unfavorable
changes in plasma biomarkers, it was particularly beneficial in regards to both
blood pressure indices and plasma biomarkers among those already at risk of CVD.
Trial registration ClinicalTrials.gov NCT03385447; retrospectively registered.
PMID- 29378634
TI - Evaluating antibody functional activity and strain-specificity of vaccine
candidates for malaria in pregnancy using in vitro phagocytosis assays.
AB - BACKGROUND: Malaria in pregnancy is a major cause of poor maternal and infant
health, and is associated with the sequestration of P. falciparum-infected
erythrocytes (IE) in the placenta. The leading vaccine candidate for pregnancy
malaria, VAR2CSA, has been shown to induce antibodies that inhibit IE adhesion to
the placental receptor chondroitin sulfate A (CSA), potentially preventing
placental infection. However, the ability of vaccination-induced antibodies to
promote opsonic phagocytosis is not well defined, but likely to be an important
component of protective immunity. METHODS: We investigated the use of an opsonic
phagocytosis assay to evaluate antibodies induced by pregnancy malaria vaccine
candidate antigens based on VAR2CSA. Opsonic phagocytosis was measured by flow
cytometry and visualized by electron microscopy. We measured vaccine-induced
antibody reactivity to placental type IEs from different geographical origins,
and the functional ability of antibodies raised in immunized rabbits to induce
phagocytosis by a human monocyte cell line. RESULTS: Immunization-induced
antibodies showed a mixture of strain-specific and cross-reactive antibody
recognition of different placental-binding parasite lines. Antibodies generated
against the DBL5 and DBL3 domains of VAR2CSA effectively promoted the opsonic
phagocytosis of IEs by human monocytes; however, these functional antibodies were
largely allele-specific and not cross-reactive. This has significant implications
for the development of vaccines aiming to achieve a broad coverage against
diverse parasite strains. Using competition ELISAs, we found that acquired human
antibodies among pregnant women targeted both cross-reactive and allele-specific
epitopes, consistent with what we observed with vaccine-induced antibodies.
CONCLUSIONS: Vaccines based on domains of VAR2CSA induced opsonic phagocytosis of
IEs in a strain-specific manner. Assays measuring this phagocytic activity have
the potential to aid the development and evaluation of vaccines against malaria
in pregnancy.
PMID- 29378635
TI - Breaking the rules: is it the neurointensivists' turn?
PMID- 29378633
TI - Commensal microbiota modulate gene expression in the skin.
AB - BACKGROUND: The skin harbors complex communities of resident microorganisms, yet
little is known of their physiological roles and the molecular mechanisms that
mediate cutaneous host-microbe interactions. Here, we profiled skin
transcriptomes of mice reared in the presence and absence of microbiota to
elucidate the range of pathways and functions modulated in the skin by the
microbiota. RESULTS: A total of 2820 genes were differentially regulated in
response to microbial colonization and were enriched in gene ontology (GO) terms
related to the host-immune response and epidermal differentiation. Innate immune
response genes and genes involved in cytokine activity were generally upregulated
in response to microbiota and included genes encoding toll-like receptors,
antimicrobial peptides, the complement cascade, and genes involved in IL-1 family
cytokine signaling and homing of T cells. Our results also reveal a role for the
microbiota in modulating epidermal differentiation and development, with
differential expression of genes in the epidermal differentiation complex (EDC).
Genes with correlated co-expression patterns were enriched in binding sites for
the transcription factors Klf4, AP-1, and SP-1, all implicated as regulators of
epidermal differentiation. Finally, we identified transcriptional signatures of
microbial regulation common to both the skin and the gastrointestinal tract.
CONCLUSIONS: With this foundational approach, we establish a critical resource
for understanding the genome-wide implications of microbially mediated gene
expression in the skin and emphasize prospective ways in which the microbiome
contributes to skin health and disease.
PMID- 29378637
TI - Staphylococcal scalded skin syndrome in a 4-year-old child: a case report.
AB - BACKGROUND: Staphylococcal scalded skin syndrome is an exfoliating skin disease
which primarily affects children. Differential diagnosis includes toxic epidermal
necrolysis, staphylococcal scalded skin syndrome, epidermolysis bullosa, and
Stevens-Johnson syndrome. Staphylococcal scalded skin syndrome primarily affects
children and can cause serious morbidity. CASE PRESENTATION: In this case report
we highlight the case of a 4-year-old Caucasian boy. Diagnostic and therapeutic
challenges are discussed. Differential diagnoses are considered and therapy is
described and discussed. The latest treatment options are used and described.
Successful results are achieved in this case due to timely and correct
management. CONCLUSIONS: Some therapeutic options are widely used without
thorough research bases. This case report highlights staphylococcal scalded skin
syndrome and its treatment, and future challenges. Further research is warranted
and this case report aims to further research in exfoliating skin disorders.
PMID- 29378636
TI - Traditional uses of medicinal plants used by Indigenous communities for
veterinary practices at Bajaur Agency, Pakistan.
AB - BACKGROUND: The pastoral lifestyle of Indigenous communities of Bajaur Agency is
bringing them close to natural remedies for treating their domestic animals.
Several studies have been conducted across the globe describing the importance of
traditional knowledge in veterinary care. Therefore, this study was planned with
the aim to record knowledge on ethnoveterinary practices from the remote areas
and share sit with other communities through published literature. METHODS: Data
was gathered from community members through semi-structured interviews and
analyzed through informant consensus factor (Fic) to evaluate the consent of
current ethnoveterinary practices among the local people. RESULTS: In total, 73
medicinal plants were recorded under the ethnoveterinary practices. Most widely
used medicinal plants with maximum use reports (URs) were Visnaga daucoides
Gaertn., Foeniculum vulgare Mill., Solanum virginianum L., Withania somnifera
(L.) Dunal, Glycyrrhiza glabra L., and Curcuma longa L. New medicinal values were
found with confidential level of citations for species including Heracleum
candicans and Glycerhiza glabra. Family Apiaceae was the utmost family with high
number (7 species) of medicinal plants. Maximum number of medicinal plants (32)
was used for gastric problems. High Fic was recorded for dermatological (0.97)
followed by reproductive (0.93) and gastrointestinal disorders (0.92). The main
route of remedies administration was oral. CONCLUSIONS: Current study revealed
that the study area has sufficient knowledge on ethnoveterinary medicinal plants.
This knowledge is in the custody of nomadic grazers, herders, and aged community
members. Plants with new medicinal uses need to be validated phytochemically and
pharmacologically for the development of new alternative drugs for veterinary
purposes.
PMID- 29378638
TI - Pilot study on an innovative biosensor with a range of medical and surgical
applications.
AB - OBJECTIVES: The objective of this article is to briefly outline the utilization
of biosensors in medicine and surgery and present diagnostic efficacy of thermal
product (TP) based biosensor. RESULTS: The working principle of biosensor is
based on measuring TP of a material in contact with the sensor. When an
electrical square wave pulse of certain amplitude and duration is passed through
TP based biosensor, the generated heat from its higher resistance will be
dissipated and recorded by the sensor. As the surrounding material composition
changes, the dissipated heat split between the sensor substrate and surrounding
material changes which can be correlated to the change in TP of the material. For
biological tissues, it is known that the thermal properties of tissues are quite
different for different layers in the body and hence the heat absorbed will be
different. The experiments were conducted on biological and non-biological
tissues. For data acquisition software LabView 2014 (64-bit) was used and
software used for post-processing was MATLAB R2015a (64-bit). The resulting
graphs of TP from various materials (oil, water, saline, acetone) and biological
tissue (porcine belly, porcine thigh layers and porcine abdominal viscera)
expressed prominent deflections indicating diagnostic efficacy of TP based
biosensor.
PMID- 29378639
TI - Mesenchymal stem cell-derived extracellular vesicles attenuate influenza virus
induced acute lung injury in a pig model.
AB - BACKGROUND: Mesenchymal stem (stromal) cells (MSCs) mediate their
immunoregulatory and tissue repair functions by secreting paracrine factors,
including extracellular vesicles (EVs). In several animal models of human
diseases, MSC-EVs mimic the beneficial effects of MSCs. Influenza viruses cause
annual outbreaks of acute respiratory illness resulting in significant mortality
and morbidity. Influenza viruses constantly evolve, thus generating drug
resistant strains and rendering current vaccines less effective against the newly
generated strains. Therefore, new therapies that can control virus replication
and the inflammatory response of the host are needed. The objective of this study
was to examine if MSC-EV treatment can attenuate influenza virus-induced acute
lung injury in a preclinical model. METHODS: We isolated EVs from swine bone
marrow-derived MSCs. Morphology of MSC-EVs was determined by electron microscopy
and expression of mesenchymal markers was examined by flow cytometry. Next, we
examined the anti-influenza activity of MSC-EVs in vitro in lung epithelial cells
and anti-viral and immunomodulatory properties in vivo in a pig model of
influenza virus. RESULTS: MSC-EVs were isolated from MSC-conditioned medium by
ultracentrifugation. MSC-EVs were round-shaped and, similarly to MSCs, expressed
mesenchymal markers and lacked the expression of swine leukocyte antigens I and
II. Incubation of PKH-26-labeled EVs with lung epithelial cells revealed that MSC
EVs incorporated into the epithelial cells. Next, we examined the anti-influenza
and anti-inflammatory properties of MSC-EVs. MSC-EVs inhibited the
hemagglutination activity of avian, swine, and human influenza viruses at
concentrations of 1.25-5 MUg/ml. MSC-EVs inhibited influenza virus replication
and virus-induced apoptosis in lung epithelial cells. The anti-influenza activity
of MSC-EVs was due to transfer of RNAs from EVs to epithelial cells since pre
incubation of MSC-EVs with RNase enzyme abrogated the anti-influenza activity of
MSC-EVs. In a pig model of influenza virus, intratracheal administration of MSC
EVs 12 h after influenza virus infection significantly reduced virus shedding in
the nasal swabs, influenza virus replication in the lungs, and virus-induced
production of proinflammatory cytokines in the lungs of influenza-infected pigs.
The histopathological findings revealed that MSC-EVs alleviated influenza virus
induced lung lesions in pigs. CONCLUSIONS: Our data demonstrated in a relevant
preclinical large animal model of influenza virus that MSC-EVs possessed anti
influenza and anti-inflammatory properties and that EVs may be used as cell-free
therapy for influenza in humans.
PMID- 29378640
TI - Are CONSORT checklists submitted by authors adequately reflecting what
information is actually reported in published papers?
AB - BACKGROUND: Compulsory submission of a checklist from the relevant reporting
guideline is one of the most widespread journal requirements aiming to improve
completeness of reporting. However, the current suboptimal levels of adherence to
reporting guidelines observed in the literature may indicate that this journal
policy is not having a significant effect. FINDINGS: We explored whether authors
provided the appropriate CONSORT checklist extension for their study and whether
there were inconsistencies between what authors claimed on the submitted
checklist and what was actually reported in the published paper. We randomly
selected 12 randomized trials from three journals that provide the originally
submitted checklist and analyzed six core CONSORT items. Only one paper used the
appropriate checklist extension and had no inconsistencies between what was
claimed in the submitted checklist and what was reported in the published paper.
CONCLUSION: Journals should take further actions to take full advantage of the
requirement for the submission of fulfilled CONSORT checklists, thus ensuring
that these checklists reflect what is reported in the manuscript.
PMID- 29378641
TI - Intestinal endometriosis combined with colorectal cancer: a case series.
AB - BACKGROUND: Intestinal endometriosis is a common benign disease among
menstruating women that affects the intestinal tract. CASE PRESENTATION: This
case report presents seven Japanese cases of intestinal endometriosis with
colorectal cancer treated by laparoscopic surgery. Five of the seven cases
reported here are women presenting with bowel obstruction due to colorectal
endometriosis with colorectal cancer. It can be confused with serious lesions
such as advanced colorectal cancer with peritoneal involvement or invasion of
adjacent organs (T4). CONCLUSIONS: Therefore, we should consider the probability
that the cause of bowel obstruction is not T4 but intestinal endometriosis. For
surgical treatment, we recommend laparoscopic surgery for colorectal resection
because of its benefits of differential diagnosis of T4, preserving fertility,
and preventing excessive surgical stress. We performed laparoscopic resection in
seven patients with intestinal endometriosis and colorectal cancer. These cases
demonstrate the difficulty of establishing a differential diagnosis of intestinal
endometriosis with colorectal cancer from T4.
PMID- 29378642
TI - Anti-beta-sheet conformation monoclonal antibody reduces tau and Abeta oligomer
pathology in an Alzheimer's disease model.
AB - BACKGROUND: Oligomeric forms of amyloid-beta (Abeta) and tau are increasing being
recognized as key toxins in the pathogenesis of Alzheimer's disease (AD).
METHODS: We developed a novel monoclonal antibody (mAb), GW-23B7, that recognizes
beta-sheet secondary structure on pathological oligomers of neurodegenerative
diseases. RESULTS: The pentameric immunoglobulin M kappa chain (IgMkappap) we
developed specifically distinguishes intra- and extracellular pathology in human
AD brains. Purified GW-23B7 showed a dissociation constant in the nanomolar range
for oligomeric Abeta and did not bind monomeric Abeta. In enzyme-linked
immunosorbent assays, it recognized oligomeric forms of both Abeta and
hyperphosphorylated tau. Aged triple-transgenic AD mice with both Abeta and tau
pathology infused intraperitoneally for 2 months showed IgMkappap in the soluble
brain homogenate, peaking at 24 h postinoculation. Treated mice exhibited
significant cognitive rescue on radial arm maze testing compared with vehicle
control-infused mice. Immunohistochemically, treatment resulted in a significant
decrease of extracellular pathology. Biochemically, treatment resulted in
significant reductions of oligomeric forms of Abeta and tau. CONCLUSIONS: These
results suggest that GW-23B7, an anti-beta-sheet conformational mAb humanized for
clinical trials, may be an effective therapeutic agent for human AD.
PMID- 29378643
TI - A Reproducibility-Based Computational Framework Identifies an Inducible, Enhanced
Antiviral State in Dendritic Cells from HIV-1 Elite Controllers.
AB - BACKGROUND: Human immunity relies on the coordinated responses of many cellular
subsets and functional states. Inter-individual variations in cellular
composition and communication could thus potentially alter host protection. Here,
we explore this hypothesis by applying single-cell RNA-sequencing to examine
viral responses among the dendritic cells (DCs) of three elite controllers (ECs)
of HIV-1 infection. RESULTS: To overcome the potentially confounding effects of
donor-to-donor variability, we present a generally applicable computational
framework for identifying reproducible patterns in gene expression across donors
who share a unifying classification. Applying it, we discover a highly functional
antiviral DC state in ECs whose fractional abundance after in vitro exposure to
HIV-1 correlates with higher CD4+ T cell counts and lower HIV-1 viral loads, and
that effectively primes polyfunctional T cell responses in vitro. By integrating
information from existing genomic databases into our reproducibility-based
analysis, we identify and validate select immunomodulators that increase the
fractional abundance of this state in primary peripheral blood mononuclear cells
from healthy individuals in vitro. CONCLUSIONS: Overall, our results demonstrate
how single-cell approaches can reveal previously unappreciated, yet important,
immune behaviors and empower rational frameworks for modulating systems-level
immune responses that may prove therapeutically and prophylactically useful.
PMID- 29378644
TI - Prevalence of and risk factors for malaria, filariasis, and intestinal parasites
as single infections or co-infections in different settlements of Gabon, Central
Africa.
AB - BACKGROUND: Malaria, filariasis, and intestinal parasitic infections (IPIs) are
common and frequently overlap in developing countries. The prevalence and
predictors of these infections were investigated in three different settlements
(rural, semi-urban, and urban) of Gabon. METHODS: During cross-sectional surveys
performed from September 2013 to June 2014, 451 individuals were interviewed. In
addition, blood and stool samples were analysed for the presence of Plasmodium,
filarial roundworm, intestinal protozoan, and helminth infections. RESULTS:
Intestinal parasitic infections (61.1%), including intestinal protozoa (56.7%)
and soil-transmitted helminths (STHs) (22.2%), predominated, whereas Plasmodium
falciparum (18.8%), Loa loa (4.7%), and Mansonella perstans (1.1%) were less
prevalent. Filariasis and STHs were mainly found in rural settlements, whereas a
higher plasmodial infection prevalence rate was observed in the periurban area.
The most common IPI was blastocystosis (48.6%), followed by ascaridiasis (13.7%),
trichuriasis (11.8%), amoebiasis (9.3%), giardiasis (4.8%), and strongyloidiasis
(3.7%). Hookworm was detected in one adult from rural Dienga. Adults had a higher
prevalence of Blastocystis hominis and STHs, whereas Giardia duodenalis was more
frequently observed among children aged below 5 years (P < 0.01). The
polyparasitism rate was 41.5%, with 7.0% Plasmodium-IPIs and 1.8% Plasmodium-STH
co-infections. The multivariate analysis showed that living in a suburban area,
belonging to the age group of 5-15 years, having none or a secondary education,
or having an open body water close to home were significant risk factors for
malaria (P <= 0.01). For STH infections, identified risk factors were drinking
untreated water and living in a rural area (P <= 0.04). No significant predictors
were identified for IPIs and malaria-IPI co-infection. CONCLUSIONS: This study
reports a high prevalence of IPIs and intestinal protozoa, but a low rate of
malaria-IPI co-infections in the study sites. Improvements in the living
conditions of the population such as adequate water supply and proper health
education and sanitation should be integrated into control strategies for
malaria, STHs, and IPIs.
PMID- 29378645
TI - Back-to-back comparison of penKID with NephroCheck(r) to predict acute kidney
injury at admission in intensive care unit: a brief report.
PMID- 29378646
TI - Detection and analysis of methicillin-resistant human-adapted sequence type 398
allows insight into community-associated methicillin-resistant Staphylococcus
aureus evolution.
AB - BACKGROUND: Severe infections with highly virulent community-associated
methicillin-resistant Staphylococcus aureus (CA-MRSA) are a global problem.
However, the molecular events defining the evolution of CA-MRSA are still poorly
understood. MRSA of sequence type (ST) 398 is known to frequently infect
livestock, while ST398 isolates infecting humans are commonly methicillin
susceptible or represent MRSA originating from livestock-associated (LA)-MRSA.
METHODS: We used whole genome sequencing of newly detected CA-MRSA ST398
isolates, in comparison to geographically matched LA-MRSA and methicillin
sensitive ST398, to determine their evolutionary history. Furthermore, we used
phenotypic analyses including animal infection models to gain insight into the
evolution of virulence in these CA-MRSA isolates. Finally, we determined
methicillin resistance and expression of the methicillin resistance-conferring
gene mecA and its penicillin-binding protein product, PBP2a, in a large series of
CA-MRSA strains of divergent STs. RESULTS: We report several cases of severe and
fatal infections due to ST398 CA-MRSA. The responsible isolates showed the
typical genetic characteristics reported for human-adapted methicillin-sensitive
ST398. Whole genome sequencing demonstrated that they evolved from human-adapted,
methicillin-susceptible clones on several different occasions. Importantly, the
isolates had not undergone consistent genetic alterations or changes in virulence
as compared to their methicillin-susceptible predecessors. Finally, we observed
dramatically and consistently lower methicillin resistance and expression of the
resistance gene mecA, as compared to hospital-associated MRSA strains, in a
diverse selection of CA-MRSA strains. CONCLUSIONS: Our study presents evidence
for the development of highly virulent human-adapted ST398 CA-MRSA isolates from
methicillin-susceptible predecessors. Notably, our investigation indicates that,
in contrast to widespread notions, the development of CA-MRSA is not necessarily
associated with the acquisition of specific virulence genes or other virulence
increasing changes. Rather, our findings emphasize the importance of the CA-MRSA
characteristic staphylococcal cassette chromosome mec types, which provide only
low-level methicillin resistance, for that process. Our findings are of
particular importance for the diagnosis of CA-MRSA, inasmuch as they indicate
that the presence of specific virulence genes cannot generally be used for that
purpose.
PMID- 29378648
TI - Modulation of mitochondrial activity in HaCaT keratinocytes by the cell
penetrating peptide Z-Gly-RGD(DPhe)-mitoparan.
AB - OBJECTIVE: Biologically active cell penetrating peptides (CPPs) are an emerging
class of therapeutic agent. The wasp venom peptide mastoparan is an established
CPP that modulates mitochondrial activity and triggers caspase-dependent
apoptosis in cancer cells, as does the mastoparan analogue mitoparan (mitP).
Mitochondrial depolarisation and activation of the caspase cascade also underpins
the action of dithranol, a topical agent for treatment of psoriasis. The effects
of a potent mitP analogue on mitochondrial activity were therefore examined to
assess its potential as a novel approach for targeting mitochondria for the
treatment of psoriasis. RESULTS: In HaCaT keratinocytes treated with the mitP
analogue Z-Gly-RGD(DPhe)-mitP for 24 h, a dose-dependent loss of mitochondrial
activity was observed using the methyl-thiazolyl-tetrazolium (MTT) assay. At 10
MUmol L-1, MTT activity was less than 30% that observed in untreated cells.
Staining with the cationic dye JC-1 suggested that Z-Gly-RGD(DPhe)-mitP also
dissipated the mitochondrial membrane potential, with a threefold increase in
mitochondrial depolarisation levels. However, caspase activity appeared to be
reduced by 24 h exposure to Z-Gly-RGD(DPhe)-mitP treatment. Furthermore, Z-Gly
RGD(DPhe)-mitP treatment had little effect on overall cell viability. Our
findings suggest Z-Gly-RGD(DPhe)-mitP promotes the loss of mitochondrial activity
but does not appear to evoke apoptosis in HaCaT keratinocytes.
PMID- 29378649
TI - Correction to: Addressing vulnerability, building resilience: community-based
adaptation to vector-borne diseases in the context of global change.
AB - CORRECTION: After publication of this article [1] it came to our attention that
the name of the author Sadie Ryan was incorrectly shown. Her correct name is
Sadie J. Ryan.
PMID- 29378647
TI - Validity of the CR-POSSUM model in surgery for colorectal cancer in Spain (CCR
CARESS study) and comparison with other models to predict operative mortality.
AB - BACKGROUND: To validate and recalibrate the CR- POSSUM model and compared its
discriminatory capacity with other European models such as POSSUM, P-POSSUM, AFC
or IRCS to predict operative mortality in surgery for colorectal cancer. METHODS:
Prospective multicenter cohort study from 22 hospitals in Spain. We included
patients undergoing planned or urgent surgery for primary invasive colorectal
cancers between June 2010 and December 2012 (N = 2749). Clinical data were
gathered through medical chart review. We validated and recalibrated the
predictive models using logistic regression techniques. To calculate the
discriminatory power of each model, we estimated the areas under the curve - AUC
(95% CI). We also assessed the calibration of the models by applying the Hosmer
Lemeshow test. RESULTS: In-hospital mortality was 1.5% and 30-day mortality,
1.7%. In the validation process, the discriminatory power of the CR-POSSUM for
predicting in-hospital mortality was 73.6%. However, in the recalibration
process, the AUCs improved slightly: the CR-POSSUM reached 75.5% (95% CI: 67.3
83.7). The discriminatory power of the CR-POSSUM for predicting 30-day mortality
was 74.2% (95% CI: 67.1-81.2) after recalibration; among the other models the
POSSUM had the greatest discriminatory power, with an AUC of 77.0% (95% CI: 68.9
85.2). The Hosmer-Lemeshow test showed good fit for all the recalibrated models.
CONCLUSION: The CR-POSSUM and the other models showed moderate capacity to
discriminate the risk of operative mortality in our context, where the actual
operative mortality is low. Nevertheless the IRCS might better predict in
hospital mortality, with fewer variables, while the CR-POSSUM could be slightly
better for predicting 30-day mortality. TRAIL REGISTRATION: Registered at:
ClinicalTrials.gov Identifier: NCT02488161.
PMID- 29378650
TI - Assessment of kallikrein 6 as a cross-sectional and longitudinal biomarker for
Alzheimer's disease.
AB - BACKGROUND: Kallikrein 6 (KLK6) is known to be an age-related protease expressed
at high levels in the central nervous system. It was previously shown to be
involved in proteolysis of extracellular proteins implicated in neurodegenerative
diseases such as Alzheimer's disease (AD), prompting validation of KLK6 as a
potential biomarker of disease. However, analyses of both plasma and
cerebrospinal fluid (CSF) levels of KLK6 in patients with AD have been
inconclusive. We present a detailed analysis of KLK6 in plasma and CSF in two
separate cohorts in a cross-sectional and a longitudinal clinical setting.
METHODS: The cross-sectional cohort included control subjects without dementia
and patients with AD, and the longitudinal cohort included patients with MCI and
patients with AD followed over a 2-year period. Plasma and CSF levels of KLK6
were quantified by use of a previously developed and validated enzyme-linked
immunosorbent assay. Statistical analyses were performed to compare KLK6 levels
between diagnostic groups and to identify potential associations between KLK6
level, age, apolipoprotein E (APOE) genotype, total apoE level and the classical
CSF AD biomarkers. RESULTS: In the cross-sectional setting, KLK6 levels in plasma
but not in CSF were significantly higher in the AD group than in control
subjects. CSF but not plasma KLK6 levels were positively correlated with age in
both the cross-sectional and longitudinal settings. In both cohorts, the CSF KLK6
levels were significantly and positively correlated with the CSF levels of core
AD biomarkers. Total plasma and CSF apoE levels were positively associated with
KLK6 in the cross-sectional study. Finally, during the 2-year monitoring period
of the longitudinal cohort, CSF KLK6 levels increased with disease progression
over time in the investigated patient groups. CONCLUSIONS: In two separate
cohorts we have confirmed the previously reported correlation between age and CSF
levels of KLK6. Increased plasma KLK6 levels in patients with AD with a more
advanced disease stage suggest KLK6 as a potential biomarker in patients with AD
with more severe dementia. Significant correlations between KLK6 levels and core
CSF AD biomarkers suggest molecular links between KLK6 and AD-related
pathological processes.
PMID- 29378651
TI - Safety, tolerability and immunogenicity of an active anti-Abeta40 vaccine
(ABvac40) in patients with Alzheimer's disease: a randomised, double-blind,
placebo-controlled, phase I trial.
AB - BACKGROUND: Immunotherapy targeting the amyloid-beta (Abeta) peptide is a
promising strategy for the treatment of Alzheimer's disease (AD); however, none
of the active or passive vaccines tested have been demonstrated to be effective
to date. We have developed the first active vaccine against the C-terminal end of
Abeta40, ABvac40, and assessed its safety and tolerability in a phase I clinical
trial. METHODS: A randomised, double-blind, placebo-controlled, parallel-group,
phase I study of ABvac40 was conducted with patients aged 50-85 years with mild
to moderate AD. Participants were entered into three separate groups according to
time of study entry and were randomly allocated to receive ABvac40 or placebo
(overall ratio 2:1). The first group received two half-doses of ABvac40 or
placebo, whereas the second and third groups received two and three full doses,
respectively. All treatments were administered subcutaneously at 4-week
intervals. Patients, carers and investigators were blind to treatment allocation
throughout the study. The primary objective was to assess the safety and
tolerability of ABvac40 by registering all adverse events (AEs). All patients who
received at least one dose of treatment were included in the safety analysis. The
secondary objective was to evaluate the immunogenicity of ABvac40 by titration of
specific anti-Abeta40 antibodies in plasma. RESULTS: Twenty-four patients were
randomly allocated: 16 patients to the ABvac40 group and 8 patients to the
placebo group. All randomised patients completed the study, therefore the
intention-to-treat and safety populations were identical. Overall, 71 AEs
affecting 18 patients were recorded: 11 (69%) in the ABvac40 group and 7 (88%) in
the placebo group (p = 0.6214). Neither incident vasogenic oedema nor sulcal
effusion (amyloid-related imaging abnormalities corresponding to vasogenic oedema
and sulcal effusions) nor microhaemorrhages (amyloid-related imaging
abnormalities corresponding to microhaemorrhages and hemosiderin deposits) were
detected throughout the study period in the ABvac40-treated patients. Eleven of
12 (~92%) individuals receiving three injections of ABvac40 developed specific
anti-Abeta40 antibodies. CONCLUSIONS: ABvac40 showed a favourable safety and
tolerability profile while eliciting a consistent and specific immune response.
An ongoing phase II clinical trial is needed to confirm these results and to
explore the clinical efficacy of ABvac40. TRIAL REGISTRATION: ClinicalTrials.gov,
NCT03113812 . Retrospectively registered on 14 April 2017.
PMID- 29378652
TI - Fair inclusion of pregnant women in clinical trials: an integrated scientific and
ethical approach.
AB - BACKGROUND: Since pregnant women are severely underrepresented in clinical
research, many take the position that the exclusion of pregnant women from
research must be justified unless there are compelling "scientific reasons" for
their exclusion. However, it is questionable whether this approach renders
research with pregnant women fair. This paper analyzes and evaluates when
research with pregnant women can be considered as fair and what constitutes
scientific reasons for exclusion. METHODS: Conceptual ethical and methodological
analysis and evaluation of fair inclusion. RESULTS: Fair inclusion of pregnant
women means (1) that pregnant women who are eligible are not excluded solely for
being pregnant and (2) that the research interests of pregnant women are
prioritized, meaning that they ought to receive substantially more attention.
Fairness does not imply that pregnant women should be included in virtually every
research project, as including only a few pregnant women in a population
consisting only of women will not help to determine the effectiveness and safety
of a treatment in pregnant women. Separate trials in pregnant women may be
preferable once we assume, or know, that effects of interventions in pregnant
women differ from the effects in other subpopulations, or when we assume, or
know, that there are no differences. In the latter case, it may be preferable to
conduct post-marketing studies or establish registries. If there is no conclusive
evidence indicating either differences or equivalence of effects between pregnant
and non-pregnant women, yet it seems unlikely that major differences or exact
equivalence exist, the inclusion of pregnant women should be sufficient.
Depending on the research question, this boils down to representativeness in
terms of the proportion of pregnant and non-pregnant women, or to oversampling
pregnant women. CONCLUSIONS: Fair inclusion of pregnant women in research implies
that separate trials in pregnant women should be promoted. Inclusion of pregnant
women has to be realized at the earliest phases of the research process. In
addition to researchers and research ethics committees, scientific advisory
councils, funders, drug regulatory agencies, pharmaceutical companies, journal
editors and others have a joint responsibility to further develop the evidence
base for drug use in pregnant women.
PMID- 29378653
TI - Poly herbal formulation with anti-elastase and anti-oxidant properties for skin
anti-aging.
AB - BACKGROUND: Skin forms an important part of human innate immune system. Wrinkles,
thinning and roughening of skin are some of the symptoms that affect the skin as
it ages. Reactive oxygen species induced oxidative stress plays a major role in
skin aging by modulating the elastase enzyme level in the skin. Extrinsic factors
that affect skin aging such as UV radiation can also cause malignant melanoma.
Here we selected four medicinal plant materials, namely, leaves of Nyctanthes
arbor-tristis, unripe and ripe Aegle marmelos fruit pulp and the terminal
meristem of Musa paradisiaca flower and investigated their anti-aging properties
and cytotoxicity in vitro individually as well as in a poly herbal formulation
containing the four plant extracts in different ratios. METHODS: The
phytochemical contents of the plant extracts were investigated for radical
scavenging activity and total reducing power. Based upon its anti-oxidant
properties, a poly herbal formulation containing leaves of Nyctanthes arbor
tristis, unripe and ripe fruit pulp of Aegle marmelos, and the terminal meristem
of Musa paradisiaca flower in the ratio 6:2:1:1 (Poly Herbal Formulation 1) and
1:1:1:1 (Poly Herbal Formulation 2), respectively were formulated. RESULT: It has
been observed that the Poly Herbal Formulation 1 was more potent than Poly Herbal
Formulation 2 due to better anti-oxidant and anti-elastase activities in NIH3T3
fibroblast cells. In addition Poly Herbal formulation 1 also had better anti
cancer activity in human malignant melanoma cells. CONCLUSION: Based on these
results these beneficial plant extracts were identified for its potential
application as an anti-aging agent in skin creams as well as an anti
proliferation compound against cancer cells.
PMID- 29378655
TI - Elusive implementation: an ethnographic study of intersectoral policymaking for
health.
AB - BACKGROUND: For more than 30 years policy action across sectors has been
celebrated as a necessary and viable way to affect the social factors impacting
on health. In particular intersectoral action on the social determinants of
health is considered necessary to address social inequalities in health. However,
despite growing support for intersectoral policymaking, implementation remains a
challenge. Critics argue that public health has remained naive about the policy
process and a better understanding is needed. Based on ethnographic data, this
paper conducts an in-depth analysis of a local process of intersectoral
policymaking in order to gain a better understanding of the challenges posed by
implementation. To help conceptualize the process, we apply the theoretical
perspective of organizational neo-institutionalism, in particular the concepts of
rationalized myth and decoupling. METHODS: On the basis of an explorative study
among ten Danish municipalities, we conducted an ethnographic study of the
development of a municipal-wide implementation strategy for the intersectoral
health policy of a medium-sized municipality. The main data sources consist of
ethnographic field notes from participant observation and interview transcripts.
RESULTS: By providing detailed contextual description, we show how an apparent
failure to move from policy to action is played out by the ongoing production of
abstract rhetoric and vague plans. We find that idealization of universal
intersectoralism, inconsistent demands, and doubts about economic outcomes
challenge the notion of implementation as moving from rhetoric to action.
CONCLUSION: We argue that the 'myth' of intersectoralism may be instrumental in
avoiding the specification of action to implement the policy, and that the policy
instead serves as a way to display and support good intentions and hereby
continue the process. On this basis we expand the discussion on implementation
challenges regarding intersectoral policymaking for health.
PMID- 29378654
TI - Exceptional in vivo catabolism of neurodegeneration-related aggregates.
AB - Neurodegenerative diseases are linked to a systemic enzyme resistance of toxic
aggregated molecules and their pathological consequences. This paper presents a
unique phenomenon that Philodina acuticornis, a bdelloid rotifer, is able to
catabolize different types of neurotoxic peptide and protein aggregates (such as
beta-amyloids /Abeta/, alpha-synuclein, and prion) without suffering any damage.
P. acuticornis is capable of using these aggregates as an exclusive energy source
(i.e., as 'food', identified in the digestive system and body) in a hermetically
isolated microdrop environment, increasing their survival. As regards Abeta1-42,
five other bdelloid rotifer species were also found to be able to perform this
phenomenon. Based on our experiments, the Abeta1-42-treated bdelloid rotifers
demonstrate significantly increased survival (e.g. mean lifespan = 51 +/- 2.71
days) compared to their untreated controls (e.g. mean lifespan = 14 +/- 2.29
days), with similar improvements in a variety of phenotypic characteristics. To
our knowledge, no other animal species have so far been reported to have a
similar capability. For all other microscopic species tested, including
monogonant rotifers and non-rotifers, the treatment with Abeta1-42 aggregates
proved to be either toxic or simply ineffective. This paper describes and proves
the existence of an unprecedented in vivo catabolic capability of neurotoxic
aggregates by bdelloid rotifers, with special focus on P. acuticornis. Our
results may provide the basis for a new preclinical perspective on therapeutic
research in human neurodegenerative diseases.
PMID- 29378656
TI - Navigation problems of ICSI or naive blastocyst can be solved with artificial
blastocyst.
AB - Embryos have evolved a remarkable capacity to find implantation site. The
impressive navigation ability of natural blastocysts may rely on highly sensitive
signals arising from embryos and specialized signal processing strategies in the
endometrium. Navigation capabilities may be compromised in ICSI embryos because
of altered biochemical signaling. The design and delivery of artificial
blastocyst (AB) carrying strong chemical signals may allow ICSI embryos to more
easily locate to and be retained in the implantation zone. ICSI embryos will
attach easily to the implantation zone after it is found by the AB. Co-transfer
of the AB together with the ICSI embryo may overcome potential difficulties in
implantation due to impaired embryo-maternal communication in cases with
implantation failure.
PMID- 29378658
TI - Who benefits from increased service utilisation? Examining the distributional
effects of payment for performance in Tanzania.
AB - BACKGROUND: Payment for performance (P4P) strategies, which provide financial
incentives to health workers and/or facilities for reaching pre-defined
performance targets, can improve healthcare utilisation and quality. P4P may also
reduce inequalities in healthcare use and access by enhancing universal access to
care, for example, through reducing the financial barriers to accessing care.
However, P4P may also enhance inequalities in healthcare if providers cherry-pick
the easier-to-reach patients to meet their performance targets. In this study, we
examine the heterogeneity of P4P effects on service utilisation across population
subgroups and its implications for inequalities in Tanzania. METHODS: We used
household data from an evaluation of a P4P programme in Tanzania. We surveyed
about 3000 households with women who delivered in the last 12 months prior to the
interview from seven intervention and four comparison districts in January 2012
and a similar number of households in 13 months later. The household data were
used to generate the population subgroups and to measure the incentivised service
utilisation outcomes. We focused on two outcomes that improved significantly
under the P4P, i.e. institutional delivery rate and the uptake of antimalarials
for pregnant women. We used a difference-in-differences linear regression model
to estimate the effect of P4P on utilisation outcomes across the different
population subgroups. RESULTS: P4P led to a significant increase in the rate of
institutional deliveries among women in poorest and in middle wealth status
households, but not among women in least poor households. However, the
differential effect was marginally greater among women in the middle wealth
households compared to women in the least poor households (p = 0.094). The effect
of P4P on institutional deliveries was also significantly higher among women in
rural districts compared to women in urban districts (p = 0.028 for differential
effect), and among uninsured women than insured women (p = 0.001 for differential
effect). The effect of P4P on the uptake of antimalarials was equally distributed
across population subgroups. CONCLUSION: P4P can enhance equitable healthcare
access and use especially when the demand-side barriers to access care such as
user fees associated with drug purchase due to stock-outs have been reduced.
PMID- 29378659
TI - Diagnostic performance of 18F-FDG PET/CT using point spread function
reconstruction on initial staging of rectal cancer: a comparison study with
conventional PET/CT and pelvic MRI.
AB - BACKGROUND: Accurate staging is crucial for treatment selection and prognosis
prediction in patients with rectal cancer. Point spread function (PSF)
reconstruction can improve spatial resolution and signal-to-noise ratio of PET
imaging. The aim of this study was to evaluate the effectiveness of 18F-FDG
PET/CT with PSF reconstruction for initial staging in rectal cancer compared with
conventional PET/CT and pelvic MRI. METHODS: A total of 59 patients with rectal
cancer underwent preoperative 18F-FDG PET/CT and pelvic MRI. The maximum
standardized uptake value (SUVmax) and lesion to background (L/B) ratio of
possible metastatic lymph nodes, and metabolic tumor volumes (MTVs) of primary
tumors were calculated. For N and T (T1-2 vs T3-4) staging, sensitivities,
specificities, positive predictive values, negative predictive values, and
accuracies were compared between conventional PET/CT [reconstructed with ordered
subset expectation maximization (OSEM)], PSF-PET/CT (reconstructed with
OSEM+PSF), and pelvic MRI. Histopathologic analysis was the reference standard.
RESULTS: For N staging, PSF-PET/CT provided higher sensitivity (78.6%) than
conventional PET/CT (64.3%), and pelvic MRI (57.1%), and all techniques showed
high specificity (PSF-PET: 95.4%, conventional PET: 96.7%, pelvic MRI: 93.5%).
SUVmax and L/B ratio were significantly higher in PSF-PET/CT than conventional
PET/CT (p < 0.001). The accuracy for T staging in PSF-PET/CT (69.4%) was not
significantly different to conventional PET/CT (73.5%) and pelvic MRI (73.5%).
MTVs of PSF and conventional PET showed a significant difference among T stages
(p < 0.001), with higher values in advanced stages. In M staging, both PSF and
conventional PET/CT diagnosed all distant metastases correctly. CONCLUSIONS: PSF
PET/CT produced images with higher lesion-to-background contrast than
conventional PET/CT, which allowed improved detection of lymph node metastasis
without compromising specificity, and showed comparable diagnostic value to MRI
in local staging. PSF-PET/CT is likely to have a great value for initial staging
in rectal cancer.
PMID- 29378657
TI - What do we measure when we measure cell-associated HIV RNA.
AB - Cell-associated (CA) HIV RNA has received much attention in recent years as a
surrogate measure of the efficiency of HIV latency reversion and because it may
provide an estimate of the viral reservoir size. This review provides an update
on some recent insights in the biology and clinical utility of this biomarker. We
discuss a number of important considerations to be taken into account when
interpreting CA HIV RNA measurements, as well as different methods to measure
this biomarker.
PMID- 29378660
TI - Clinical activity of nivolumab in patients with non-clear cell renal cell
carcinoma.
AB - BACKGROUND: Nivolumab is approved for patients with metastatic renal cell
carcinoma (mRCC) refractory to prior antiangiogenic therapy. The clinical
activity of nivolumab in patients with non-clear cell RCC subtypes remains
unknown as these patients were excluded from the original nivolumab trials.
METHODS: Patients from 6 centers in the United States who received at least one
dose of nivolumab for non-clear cell mRCC between 12/2015 and 06/2017 were
identified. A retrospective analysis including patient characteristics, objective
response rate according to RECIST v1.1 and treatment-related adverse events
(TRAEs) was undertaken. RESULTS: Forty-one patients were identified. Median age
was 58 years (33-82), 71% were male, and majority had ECOG PS 0 (40%) or 1 (47%).
Histology included 16 papillary, 14 unclassified, 5 chromophobe, 4 collecting
duct, 1 Xp11 translocation and 1 MTSCC (mucinous tubular and spindle cell
carcinoma). Among 35 patients who were evaluable for best response, 7 (20%) had
PR and 10 (29%) had SD. Responses were observed in unclassified, papillary and
collecting duct subtypes. In the entire cohort, median follow-up was 8.5 months
and median treatment duration was 3.0 months. Median PFS was 3.5 months and
median OS was not reached. Among responders, median time to best response was 5.1
months, and median duration of response was not reached as only 2 out of 7
responders had disease progression during follow-up. TRAEs of any grade were
noted in 37% and most commonly included fatigue (12%), fever (10%) and rash
(10%). Nivolumab treatments were postponed in 34% and discontinued in 15% of
patients due to intolerance. No treatment-related deaths were observed.
CONCLUSIONS: Nivolumab monotherapy demonstrated objective responses and was well
tolerated in a heterogeneous population of patients with non-clear cell mRCC. In
the absence of other data in this treatment setting, this study lends support to
the use of nivolumab for patients with metastatic non-clear cell renal cell
carcinoma.
PMID- 29378661
TI - Doctor-your septic patients have scurvy!
PMID- 29378662
TI - The effectiveness and cost-effectiveness of 3- vs. 6-monthly dispensing of
antiretroviral treatment (ART) for stable HIV patients in community ART-refill
groups in Zimbabwe: study protocol for a pragmatic, cluster-randomized trial.
AB - BACKGROUND: Sub-Saharan Africa is the world region with the greatest number of
people eligible to receive antiretroviral treatment (ART). Less frequent
dispensing of ART and community-based ART-delivery models are potential
strategies to reduce the load on overburdened healthcare facilities and reduce
the barriers for patients to access treatment. However, no large-scale trials
have been conducted investigating patient outcomes or evaluating the cost
effectiveness of extended ART-dispensing intervals within community ART-delivery
models. This trial will assess the clinical effectiveness, cost-effectiveness and
acceptability of providing ART refills on a 3 vs. a 6-monthly basis within
community ART-refill groups (CARGs) for stable patients in Zimbabwe. METHODS: In
this pragmatic, three-arm, parallel, unblinded, cluster-randomized non
inferiority trial, 30 clusters (healthcare facilities and associated CARGs) are
allocated using stratified randomization in a 1:1:1 ratio to either (1) ART
refills supplied 3-monthly from the health facility (control arm), (2) ART
refills supplied 3-monthly within CARGs, or (3) ART refills supplied 6-monthly
within CARGs. A CARG consists of 6-12 stable patients who meet in the community
to receive ART refills and who provide support to one another. Stable adult ART
patients with a baseline viral load < 1000 copies/ml will be invited to
participate (1920 participants per arm). The primary outcome is the proportion of
participants alive and retained in care 12 months after enrollment. Secondary
outcomes (measured at 12 and 24 months) are the proportions achieving virological
suppression, average provider cost per participant, provider cost per participant
retained, cost per participant retained with virological suppression, and average
patient-level costs to access treatment. Qualitative research will assess the
acceptability of extended ART-dispensing intervals within CARGs to both providers
and patients, and indicators of potential facility-level decongestion due to the
interventions will be assessed. DISCUSSION: Cost-effective health system models
that sustain high levels of patient retention are urgently needed to accommodate
the large numbers of stable ART patients in sub-Saharan Africa. This will be the
first trial to evaluate extended ART-dispensing intervals within a community
based ART distribution model, and results are intended to inform national and
regional policy regarding their potential benefits to both the healthcare system
and patients. TRIAL REGISTRATION: ClinicalTrials.gov, ID: NCT03238846 .
Registered on 27 July 2017.
PMID- 29378663
TI - Early diagnosis of tuberous sclerosis complex: a race against time. How to make
the diagnosis before seizures?
AB - BACKGROUND: Tuberous sclerosis complex (TSC) is a genetic disorder with an
incidence of 1:6000 live births and associated with the development of benign
tumors in several organs. It is also characterized by high rates of neurological
and neuropsychiatric abnormalities, including epilepsy affecting 70-90% of
patients and being one of the major risk factors of intellectual disability. The
first seizures in TSC patients appear usually between the 4th and the 6th months
of life. Recent studies have shown the beneficial role of preventative
antiepileptic treatment in TSC patients, with the possibility for improvement of
cognitive outcome. Moreover, European recommendations suggest early introduction
of Vigabatrin if ictal discharges occur on EEG recordings, with or without
clinical manifestation. The aim of this study was to define the most useful
approach to make the diagnosis of TSC before seizure onset (before age 4th
months), in order to start early EEG monitoring with possible preventative
treatment intervention. METHODS: We performed a retrospective review of children
who were suspected of having TSC due to single or multiple cardiac tumors as the
first sign of the disease. We analyzed the medical records in terms of conducted
clinical tests and TSC signs, which were observed until the end of the 4th month
of age. Subsequently, we described the different clinical scenarios and
recommendations for early diagnosis. RESULTS: 82/100 children were diagnosed with
TSC within the first 4 months of life. Apart from cardiac tumors, the most
frequently observed early TSC signs were subependymal nodules (71/100, 71%),
cortical dysplasia (66/100, 66%), and hypomelanotic macules (35/100, 35%). The
most useful clinical studies for early TSC diagnosis were brain magnetic
resonance imaging (MRI), skin examination and echocardiography. Genetic testing
was performed in 49/100 of the patients, but the results were obtained within the
first 4 months of life in only 3 children. CONCLUSIONS: Early diagnosis of TSC,
before seizure onset, is feasible and it is becoming pivotal for epilepsy
management and improvement of cognitive outcome. Early TSC diagnosis is mostly
based on clinical signs. Brain MRI, echocardiography, skin examination and
genetic testing should be performed early in every patient suspected of having
TSC.
PMID- 29378664
TI - The prevalence of human papillomavirus in pediatric tonsils: a systematic review
of the literature.
AB - BACKGROUND: HPV-related head and neck cancer rates have been increasing in recent
years, with the tonsils being the most commonly affected site. However, the
current rate of HPV infection in the pediatric population remains poorly defined.
The objective of this study was to systematically review and evaluate the
prevalence and distribution of HPV in the tonsils of pediatric patients
undergoing routine tonsillectomy. METHODS AND RESULTS: The literature was
searched using PubMed, EMBASE, Scopus, CINAHL, Cochrane Library, and ProQuest
Dissertations & Theses Global databases (inception to December 2017) by two
independent review authors. Inclusion criteria included articles which evaluated
the prevalence of HPV in a pediatric cohort without known warts or recurrent
respiratory papillomatosis, those which used tonsil biopsy specimens for
analysis, and those with six or more subjects and clear outcomes reported. Eleven
studies met the inclusion criteria. Using the Oxford Clinical Evidence-based
Medicine (OCEBM) guidelines, two reviewers appraised the level of evidence of
each study, extracted data, and resolved discrepancies by consensus. The
systematic review identified 11 articles (n = 2520). Seven studies detected HPV
in the subject population, with prevalence values ranging from 0 to 21%. The
level of evidence for all included studies was OCEBM Level 3. CONCLUSIONS: HPV
may be present in pediatric tonsillectomy specimens; however, the largest
included study demonstrated a prevalence of 0%. Future testing should be
performed using methods with high sensitivities and specificities, such as
reverse transcript real-time PCR or digital droplet PCR.
PMID- 29378665
TI - Identification of novel candidate genes for 46,XY disorders of sex development
(DSD) using a C57BL/6J-Y POS mouse model.
AB - BACKGROUND: Disorders of sex development (DSD) have an estimated frequency of
0.5% of live births encompassing a variety of urogenital anomalies ranging from
mild hypospadias to a discrepancy between sex chromosomes and external genitalia.
In order to identify the underlying genetic etiology, we had performed exome
sequencing in a subset of DSD cases with 46,XY karyotype and were able to
identify the causative genetic variant in 35% of cases. While the genetic
etiology was not ascertained in more than half of the cases, a large number of
variants of unknown clinical significance (VUS) were identified in those exomes.
METHODS: To investigate the relevance of these VUS in regards to the patient's
phenotype, we utilized a mouse model in which the presence of a Y chromosome from
the poschiavinus strain (Y POS ) on a C57BL/6J (B6) background results in XY
undervirilization and sex reversal, a phenotype characteristic to a large subset
of human 46,XY DSD cases. We assessed gene expression differences between B6-Y B6
and undervirilized B6-Y POS gonads at E11.5 and identified 515 differentially
expressed genes (308 underexpressed and 207 overexpressed in B6-Y POS males).
RESULTS: We identified 15 novel candidate genes potentially involved in 46,XY DSD
pathogenesis by filtering the list of human VUS-carrying genes provided by exome
sequencing with the list of differentially expressed genes from B6-Y POS mouse
model. Additionally, we identified that 7 of the 15 candidate genes were
significantly underexpressed in the XY gonads of mice with suppressed Sox9
expression in Sertoli cells suggesting that some of the candidate genes may be
downstream of a well-known sex determining gene, Sox9. CONCLUSION: The use of a
DSD-specific animal model improves variant interpretation by correlating human
sequence variants with transcriptome variation.
PMID- 29378666
TI - Supply factors as determinants of treatment costs: clinicians' assessments of a
given set of referrals to community mental health centers in Norway.
AB - BACKGROUND: Previous works that uses patterns of prior spending to predict future
mental health care expenses (utilization models) are mainly concerned with demand
(need) variables. In this paper, we introduce supply variables, both individual
rater variables and center variables. The aim is to assess these variables'
explanatory power, and to investigate whether not accounting for such variables
could create biased estimates for the effects of need variables. METHODS: We
employed an observational study design where the same set of referrals was
assessed by a sample of clinicians, thus creating data with a panel structure
being particularly relevant for analyzing supply factors. The referrals were
obtained from Norwegian Community Mental Health Centers (outpatient services),
and the clinicians assessed the referrals with respect to recommended treatment
costs and health status. RESULTS: Supply variables accounted for more than 10% of
the total variation and about one third of the explained variation. Two groups of
supply variables, individual rater variables and center variables (institutions)
were equally important. CONCLUSIONS: Our results confirm that supply factors are
important but ignoring such variables, when analyzing demand variables, do not
generally seem to produce biased (confounded) coefficients.
PMID- 29378667
TI - Saving Lives at Birth; development of a retrospective theory of change, impact
framework and prioritised metrics.
AB - BACKGROUND: Grand Challenges for international health and development initiatives
have received substantial funding to tackle unsolved problems; however, evidence
of their effectiveness in achieving change is lacking. A theory of change may
provide a useful tool to track progress towards desired outcomes. The Saving
Lives at Birth partnership aims to address inequities in maternal-newborn
survival through the provision of strategic investments for the development,
testing and transition-to-scale of ground-breaking prevention and treatment
approaches with the potential to leapfrog conventional healthcare approaches in
low resource settings. We aimed to develop a theory of change and impact
framework with prioritised metrics to map the initiative's contribution towards
overall goals, and to measure progress towards improved outcomes around the time
of birth. METHODS: A theory of change and impact framework was developed
retrospectively, drawing on expertise across the partnership and stakeholders.
This included a document and literature review, and wide consultation, with
feedback from stakeholders at all stages. Possible indicators were reviewed from
global maternal-newborn health-related partner initiatives, priority indicator
lists, and project indicators from current innovators. These indicators were
scored across five domains to prioritise those most relevant and feasible for
Saving Lives at Birth. These results informed the identification of the
prioritised metrics for the initiative. RESULTS: The pathway to scale through
Saving Lives at Birth is articulated through a theory of change and impact
framework, which also highlight the roles of different actors involved in the
programme. A prioritised metrics toolkit, including ten core impact indicators
and five additional process indicators, complement the theory of change. The
retrospective nature of this development enabled structured reflection of the
program mechanics, allowing for inclusion of learning from the first four rounds
of the program to inform implementation of subsequent rounds. CONCLUSIONS: While
theories of change are more traditionally developed before program
implementation, retrospective development can still be a useful exercise for
multi-round programs like Saving Lives at Birth, where outputs from the
development can be used to strengthen subsequent rounds. However, identifying a
uniform set of prioritised metrics for use across the portfolio proved more
challenging. Lessons learnt from this exercise will be relevant to the
development of pathways to change across other Grand Challenges and global health
platforms.
PMID- 29378670
TI - Engaging emergency clinicians in emergency department clinical research.
AB - OBJECTIVE: The objective of this panel was to generate recommendations to promote
the engagement of front-line emergency department (ED) clinicians in clinical and
implementation research. METHODS: Panel members conducted semi-structured
interviews with 37 Canadian adult and pediatric emergency medicine researchers to
elicit barriers and facilitators to clinician engagement in research activities,
and to glean strategies for promoting clinician engagement. RESULTS: Responses
were organized by themes, and, based on these responses, recommendations were
developed and refined in an iterative fashion by panel members. CONCLUSIONS: We
offer eight recommendations to promote front-line clinician engagement in
clinical research activities. Recommendations to promote clinician engagement
specifically address the creation of a research-friendly culture in the ED,
minimizing the burden of data collection on clinical staff through the careful
design of data collection tools and the use of research staff, and communication
between researchers and clinical staff to promote adherence to study protocols.
PMID- 29378669
TI - Canadian Pediatric Antimicrobial Stewardship Programs: Current Resources and
Implementation Characteristics.
AB - Antimicrobial stewardship programs (ASPs) became an accreditation requirement for
Canadian hospitals in 2013. Pediatric programs are in various stages of program
development and implementation, with 93% of surveyed Canadian academic pediatric
hospitals having established ASPs. The programs varied in their team composition,
implementation of stewardship strategies, and measured metrics. Infect Control
Hosp Epidemiol 2018;39:350-354.
PMID- 29378668
TI - Genome-wide analysis reveals a role for TDG in estrogen receptor-mediated
enhancer RNA transcription and 3-dimensional reorganization.
AB - BACKGROUND: The estrogen receptor (ER) is a ligand-dependant transcription factor
expressed in many breast cancers and is the target of many endocrine-based cancer
therapies. Genome-wide studies have shown that the ER binds to gene-specific
enhancer regions in response to beta-estradiol (E2) which undergo transcription
producing noncoding enhancer RNA (eRNA). While eRNAs are important for
transcriptional activation of neighboring genes, the mechanism remains poorly
understood. RESULTS: Using ChIP-Seq we generate a global profile of thymine DNA
glycosylase (TDG), an ER coactivator that plays an essential role in DNA
demethylation, in response to E2 in the MCF7 breast cancer cell line. Remarkably,
we found that in response to E2 TDG localized to enhancers which also recruit
ERalpha, RNA Pol II and other coregulators and which are marked by histone
modifications indicative of active enhancers. Importantly, depletion of TDG
inhibits E2-mediated transcription of eRNAs and transcription of ER-target genes.
Functionally, we find that TDG both sensitizes MCF7 cells to tamoxifen-mediated
cytostasis and increases migration and invasion of MCF7 cells. CONCLUSIONS: Taken
together we find that TDG plays a central role in mediating transcription at a
subset of enhancers and governs how MCF7 cells respond to both estrogenic and
anti-estrogenic compounds and may be an effective therapeutic target.
PMID- 29378671
TI - How to conduct implementation trials and multicentre studies in the emergency
department.
AB - OBJECTIVE: The objective of Panel 2b was to present an overview of and
recommendations for the conduct of implementation trials and multicentre studies
in emergency medicine. METHODS: Panel members engaged methodologists to discuss
the design and conduct of implementation and multicentre studies. We also
conducted semi-structured interviews with 37 Canadian adult and pediatric
emergency medicine researchers to elicit barriers and facilitators to conducting
these kinds of studies. RESULTS: Responses were organized by themes, and, based
on these responses, recommendations were developed and refined in an iterative
fashion by panel members. CONCLUSIONS: We offer eight recommendations to
facilitate multicentre clinical and implementation studies, along with guidance
for conducting implementation research in the emergency department.
Recommendations for multicentre studies reflect the importance of local study
investigators and champions, requirements for research infrastructure and
staffing, and the cooperation and communication between the coordinating centre
and participating sites.
PMID- 29378672
TI - Patient, Provider, and Practice Characteristics Associated with Inappropriate
Antimicrobial Prescribing in Ambulatory Practices.
AB - OBJECTIVE To reduce inappropriate antimicrobial prescribing across ambulatory
care, understanding the patient-, provider-, and practice-level characteristics
associated with antibiotic prescribing is essential. In this study, we aimed to
elucidate factors associated with inappropriate antimicrobial prescribing across
urgent care, family medicine, and pediatric and internal medicine ambulatory
practices. DESIGN, SETTING, AND PARTICIPANTS Data for this retrospective cohort
study were collected from outpatient visits for common upper respiratory
conditions that should not require antibiotics. The cohort included 448,990
visits between January 2014 and May 2016. Carolinas HealthCare System urgent
care, family medicine, internal medicine and pediatric practices were included
across 898 providers and 246 practices. METHODS Prescribing rates were reported
per 1,000 visits. Indications were defined using the International Classification
of Disease, Ninth and Tenth Revisions, Clinical Modification (ICD-9/10-CM)
criteria. In multivariable models, the risk of receiving an antibiotic
prescription was reported with adjustment for practice, provider, and patient
characteristics. RESULTS The overall prescribing rate in the study cohort was 407
per 1,000 visits (95% confidence interval [CI], 405-408). After adjustment, adult
patients seen by an advanced practice practitioner were 15% more likely to
receive an antimicrobial than those seen by a physician provider (incident risk
ratio [IRR], 1.15; 95% CI, 1.03-1.29). In the pediatric sample, older providers
were 4 times more likely to prescribe an antimicrobial than providers aged <=30
years (IRR, 4.21; 95% CI, 2.96-5.97). CONCLUSIONS Our results suggest that
patient, practice, and provider characteristics are associated with inappropriate
antimicrobial prescribing. Future research should target antibiotic stewardship
programs to specific patient and provider populations to reduce inappropriate
prescribing compared to a "one size fits all" approach. Infect Control Hosp
Epidemiol 2018;39:307-315.
PMID- 29378673
TI - Guidance on Frequency and Location of Environmental Sampling for Acinetobacter
baumannii.
AB - We assessed various locations and frequency of environmental sampling to maximize
information and maintain efficiency when sampling for Acinetobacter baumannii.
Although sampling sites in closer proximity to the patient were more likely
positive, to fully capture environmental contamination, we found value in
sampling all sites and across multiple days. Infect Control Hosp Epidemiol
2018;39:339-342.
PMID- 29378674
TI - Wiping Is Inferior to Rubbing: A Note of Caution for Hand Hygiene With Alcohol
Based Solutions.
AB - We evaluated whether hand wiping is noninferior to hand rubbing in reducing the
bacterial concentration on hands. In 20 healthy volunteers, hand wiping with or
without an alcohol-based solution was inferior to hand rubbing with an alcohol
based solution. This finding warrants a note of caution for the application of
wipes in health care. Infect Control Hosp Epidemiol 2018;39:332-335.
PMID- 29378676
TI - A case of bullous pemphigoid with IgG antibodies against LAD-1, but not BP180
NC16a domain or BP230.
PMID- 29378675
TI - Therapeutic effects of telomerase in mice with pulmonary fibrosis induced by
damage to the lungs and short telomeres.
AB - Pulmonary fibrosis is a fatal lung disease characterized by fibrotic foci and
inflammatory infiltrates. Short telomeres can impair tissue regeneration and are
found both in hereditary and sporadic cases. We show here that telomerase
expression using AAV9 vectors shows therapeutic effects in a mouse model of
pulmonary fibrosis owing to a low-dose bleomycin insult and short telomeres. AAV9
preferentially targets regenerative alveolar type II cells (ATII). AAV9-Tert
treated mice show improved lung function and lower inflammation and fibrosis at 1
3 weeks after viral treatment, and improvement or disappearance of the fibrosis
at 8 weeks after treatment. AAV9-Tert treatment leads to longer telomeres and
increased proliferation of ATII cells, as well as lower DNA damage, apoptosis,
and senescence. Transcriptome analysis of ATII cells confirms downregulation of
fibrosis and inflammation pathways. We provide a proof-of-principle that
telomerase activation may represent an effective treatment for pulmonary fibrosis
provoked or associated with short telomeres.
PMID- 29378677
TI - Pyoderma gangrenosum in the genital area: successful treatment using adalimumab.
PMID- 29378678
TI - Type D (CD8+) lymphomatoid papulosis in a patient with classic (CD4+) mycosis
fungoides.
PMID- 29378679
TI - Itraconazole: an incidental clearance of recalcitrant warts?
PMID- 29378681
TI - Improving access to eating disorder services.
PMID- 29378680
TI - Continuous glucose monitoring to evaluate glycaemic abnormalities in cystic
fibrosis.
AB - OBJECTIVE: This study aimed to determine the glycaemic profile of patients with
cystic fibrosis using a continuous glucose monitoring system (CGMS), and to
evaluate the associations of glycaemic abnormalities with sex, age, pubertal
stage, CFTR gene mutations, nutritional status, lung function, oral glucose
tolerance test, glycated haemoglobin concentrations, fasting insulin
concentrations, C peptide concentrations and exocrine pancreatic function. STUDY
DESIGN: This observational study evaluated CGMS data from 39 patients with cystic
fibrosis who were treated at a referral centre. The patients were 10-19.9 years
old, and were categorised according to whether they had normal results (27
patients) or glucose intolerance (12 patients) during the oral glucose tolerance
test. RESULTS: The maximum interstitial glucose concentration among individuals
with normal oral glucose tolerance test results was 174.9+/-65.1 mg/dL (9.7-3.61
mmol/L), compared with 170.4+/-40.9 mg/dL (9.46-2.27 mmol/L) among individuals
with glucose intolerance. The CGMS revealed that 18 of the 27 patients with
normal oral glucose tolerance test results had peak interstitial glucose
concentrations of >140 mg/dL (7.8 mmol/L), and that 4 of these individuals had
peak levels of >200 mg/dL (11.1 mmol/L). None of the analysed clinical or
laboratory characteristics predicted the occurrence of hyperglycaemic peaks on
CGMS. CONCLUSIONS: The present study revealed that CGMS could detect
hyperglycaemia among patients with cystic fibrosis and 'normal' oral glucose
tolerance test results, and that their clinical and laboratory characteristics
were not useful in discerning between patients who did and did not exhibit these
excursions.
PMID- 29378682
TI - New cancer drugs fund keeps within L340m a year budget.
PMID- 29378683
TI - Response to: 'Association between bisphosphonate use and risk of undergoing knee
replacement in osteoarthritis patients' by Chen et al.
PMID- 29378684
TI - End non-essential use of antimicrobials in livestock.
PMID- 29378685
TI - Assessment and management of oesophago-gastric cancer: summary of NICE guidance.
PMID- 29378686
TI - Homelessness and public health.
PMID- 29378687
TI - Health experts urge UK and EU to "put patients first" in Brexit talks.
PMID- 29378688
TI - From DESI to the MasSpec Pen: Ambient Ionization Mass Spectrometry for Tissue
Analysis and Intrasurgical Cancer Diagnosis.
PMID- 29378689
TI - Robust and Accurate 2-Year Performance of a Quantitative Mass Spectrometry-Based
Apolipoprotein Test in a Clinical Chemistry Laboratory.
PMID- 29378690
TI - Celgene Targets Blood Cancers with Major Buys.
AB - Celgene acquired Juno Therapeutics for $9 billion and is spending up to $7
billion on Impact Biomedicines in an effort to diversify its hematology portfolio
with chimeric antigen receptor T-cell therapies and a JAK2 inhibitor before its
best seller, lenalidomide, faces competition from generics.
PMID- 29378691
TI - Former USA Gymnastics team doctor sentenced for abusing hundreds of girl
athletes.
PMID- 29378692
TI - Association Between Hospital Volume, Processes of Care, and Outcomes in Patients
Admitted With Heart Failure: Insights From Get With The Guidelines-Heart Failure.
AB - BACKGROUND: Hospital volume is frequently used as a structural metric for
assessing quality of care, but its utility in patients admitted with acute heart
failure (HF) is not well characterized. Accordingly, we sought to determine the
relationship between admission volume, process-of-care metrics, and short- and
long-term outcomes in patients admitted with acute HF. METHODS: Patients enrolled
in the Get With The Guidelines-HF registry with linked Medicare inpatient data at
342 hospitals were assessed. Volume was assessed both as a continuous variable,
and quartiles based on the admitting hospital annual HF case volume, as well: 5
to 38 (quartile 1), 39 to 77 (quartile 2), 78 to 122 (quartile 3), 123 to 457
(quartile 4). The main outcome measures were (1) process measures at discharge
(achievement of HF achievement, quality, reporting, and composite metrics); (2)
30-day mortality and hospital readmission; and (3) 6-month mortality and hospital
readmission. Adjusted logistic and Cox proportional hazards models were used to
study these associations with hospital volume. RESULTS: A total of 125 595
patients with HF were included. Patients admitted to high-volume hospitals had a
higher burden of comorbidities. On multivariable modeling, lower-volume hospitals
were significantly less likely to be adherent to HF process measures than higher
volume hospitals. Higher hospital volume was not associated with a difference in
in-hospital (odds ratio, 0.99; 95% confidence interval [CI], 0.94-1.05; P=0.78)
or 30-day mortality (hazard ratio, 0.99; 95% CI, 0.97-1.01; P=0.26), or 30-day
readmissions (hazard ratio, 0.99; 95% CI, 0.97-1.00; P=0.10). There was a weak
association of higher volumes with lower 6-month mortality (hazard ratio, 0.98;
95% CI, 0.97-0.99; P=0.001) and lower 6-month all-cause readmissions (hazard
ratio, 0.98; 95%, CI 0.97-1.00; P=0.025). CONCLUSIONS: Our analysis of a large
contemporary prospective national quality improvement registry of older patients
with HF indicates that hospital volume as a structural metric correlates with
process measures, but not with 30-day outcomes, and only marginally with outcomes
up to 6 months of follow-up. Hospital profiling should focus on participation in
systems of care, adherence to process metrics, and risk-standardized outcomes
rather than on hospital volume itself.
PMID- 29378693
TI - Friends and family test should no longer be mandatory.
PMID- 29378694
TI - Prognostic Significance of PD-L1+ and CD8+ Immune Cells in HPV+ Oropharyngeal
Squamous Cell Carcinoma.
AB - Human papilloma virus-positive oropharyngeal squamous cell carcinoma (HPV+ OPSCC)
represents a distinct subgroup of head and neck cancers associated with clinical
outcomes that are not accurately categorized by existing tumor-node-metastasis
based staging methods. Given the significant impact of immune parameters, such as
tumor-infiltrating lymphocytes (TIL) in many cancers, we sought to determine if
immunophenotyping tumors can improve categorization of HPV+ OPSCCs for prognostic
purposes. In a cohort of 190 patients with HPV+ OPSCC, we quantified and
determined the localization of CD8+ TILs, as well as PD-L1-expressing tumor cells
(TC) and immune cells (IC). The prognostic significance of these parameters on
overall survival (OS) was evaluated, and their contribution to existing
prognostic models was determined. High CD8+ TIL abundance (>=30% on stromal or
intratumoral ICs) was seen in 61.3% patients and was associated with improved OS
[HR, 0.4; 95% confidence interval (CI), 0.2-0.9; P = 0.017]. Although the
expression of PD-L1 on TC was not prognostic, high expression of PD-L1 on >=5% of
intratumoral ICs was found in 38.5% patients and was significantly associated
with improved OS (HR, 0.37; 95% CI, 0.15-0.93; P = 0. 023). Both high
intratumoral IC PD-L1 expression and abundant CD8+ TILs in HPV+ OPSCCs identify
subgroups of patients with excellent outcomes and provide additional prognostic
information beyond existing staging systems. Cancer Immunol Res; 6(3); 1-10.
(c)2018 AACR.
PMID- 29378695
TI - How I treat type 2B von Willebrand disease.
AB - Type 2B von Willebrand disease (VWD) is an inherited bleeding disorder caused by
changes in von Willebrand factor (VWF) that enhance binding of VWF to GPIb on
platelets. Although this disorder is seemingly well defined because of this
single molecular defect, in reality type 2B VWD is a clinically heterogeneous
disorder that can be difficult to identify and manage. Diagnostic criteria
include a history of mucocutaneous bleeding, laboratory studies showing enhanced
VWF binding of platelets and/or a 2B VWD genetic variant, and a family history
consistent with autosomal dominant inheritance. Thrombocytopenia, although not
always present, is common and can be exacerbated by physiologic stressors such as
pregnancy. The mainstay of therapy for type 2B VWD is VWF replacement therapy.
Adjunct therapies useful in other types of VWD, such as antifibrinolytics, are
also used in type 2B VWD. 1-Desamino-8-d-arginine vasopressin (DDAVP) is
controversial because of exacerbation of thrombocytopenia, but is, in practice,
sometimes used for minor bleeding. Here we review the available evidence and
provide 3 clinical cases to illustrate the intricacies of diagnosing type 2B VWD
to describe the response to DDAVP and to review complexities and management
during pregnancy.
PMID- 29378696
TI - BAFF and CD4+ T cells are major survival factors for long-lived splenic plasma
cells in a B-cell-depletion context.
AB - Previous data have suggested that B-cell-depletion therapy may induce the
settlement of autoreactive long-lived plasma cells (LLPCs) in the spleen of
patients with autoimmune cytopenia. To investigate this process, we used the AID
CreERT2-EYFP mouse model to follow plasma cells (PCs) engaged in an immune
response. Multiplex polymerase chain reaction at the single-cell level revealed
that only a small fraction of splenic PCs had a long-lived signature, whereas PCs
present after anti-CD20 antibody treatment appeared more mature, similar to bone
marrow PCs. This observation suggested that, in addition to a process of
selection, a maturation induced on B-cell depletion drove PCs toward a long-lived
program. We showed that B-cell activating factor (BAFF) and CD4+ T cells play a
major role in the PC survival niche, because combining anti-CD20 with anti-BAFF
or anti-CD4 antibody greatly reduce the number of splenic PCs. Similar results
were obtained in the lupus-prone NZB/W model. These different contributions of
soluble and cellular components of the PC niche in the spleen demonstrate that
the LLPC expression profile is not cell intrinsic but largely depends on signals
provided by the splenic microenvironment, implying that interfering with these
components at the time of B-cell depletion might improve the response rate in
autoimmune cytopenia.
PMID- 29378697
TI - Alternatives to the face-to-face consultation in general practice: focused
ethnographic case study.
AB - BACKGROUND: NHS policy encourages general practices to introduce alternatives to
the face-to-face consultation, such as telephone, email, e-consultation systems,
or internet video. Most have been slow to adopt these, citing concerns about
workload. This project builds on previous research by focusing on the experiences
of patients and practitioners who have used one or more of these alternatives.
AIM: To understand how, under what conditions, for which patients, and in what
ways, alternatives to face-to-face consultations present benefits and challenges
to patients and practitioners in general practice. DESIGN AND SETTING: Focused
ethnographic case studies took place in eight UK general practices between June
2015 and March 2016. METHOD: Non-participant observation, informal conversations
with staff, and semi-structured interviews with staff and patients were
conducted. Practice documents and protocols were reviewed. Data were analysed
through charting and the 'one sheet of paper' mind-map method to identify the
line of argument in each thematic report. RESULTS: Case study practices had
different rationales for offering alternatives to the face-to-face consultation.
Beliefs varied about which patients and health issues were suitable. Co-workers
were often unaware of each other's practice; for example, practice policies for
use of e-consultations systems with patients were not known about or followed.
Patients reported benefits including convenience and access. Staff and some
patients regarded the face-to-face consultation as the ideal. CONCLUSION:
Experience of implementing alternatives to the face-to-face consultation suggests
that changes in patient access and staff workload may be both modest and gradual.
Practices planning to implement them should consider carefully their reasons for
doing so and involve the whole practice team.
PMID- 29378698
TI - Sexual orientation disclosure in health care: a systematic review.
AB - BACKGROUND: Significant health disparities between sexual minority individuals
(that is, lesbian, gay, bisexual, or transgender [LGBT]) and heterosexual
individuals have been demonstrated. AIM: To understand the barriers and
facilitators to sexual orientation (SO) disclosure experienced by LGBT adults in
healthcare settings. DESIGN AND SETTING: Mixed methods systematic review,
including qualitative, quantitative, and mixed methods papers following PRISMA
guidelines. METHOD: Study quality was assessed using the Mixed Methods Appraisal
Tool (MMAT) and a qualitative synthesis was performed. Studies were included if
their participants were aged >=18 years who either identified as LGBT, had a same
sex sexual relationship, or were attracted to a member of the same sex. RESULTS:
The review included 31 studies representing 2442 participants. Four overarching
themes were identified as barriers or facilitators to SO disclosure: the moment
of disclosure, the expected outcome of disclosure, the healthcare professional,
and the environment or setting of disclosure. The most prominent themes were the
perceived relevance of SO to care, the communication skills and language used by
healthcare professionals, and the fear of poor treatment or reaction to
disclosure. CONCLUSION: The facilitators and barriers to SO disclosure by LGBT
individuals are widespread but most were modifiable and could therefore be
targeted to improve healthcare professionals' awareness of their patients' SO.
Healthcare professionals should be aware of the broad range of factors that
influence SO disclosure and the potential disadvantageous effects of non
disclosure on care. The environment in which patients are seen should be
welcoming of different SOs as well as ensuring that healthcare professionals'
communication skills, both verbal and non-verbal, are accepting and inclusive.
PMID- 29378699
TI - Use of primary care data to predict those most vulnerable to cold weather: a case
crossover analysis.
AB - BACKGROUND: The National Institute for Health and Care Excellence (NICE)
recommends that GPs use routinely available data to identify patients most at
risk of death and ill health from living in cold homes. AIM: To investigate
whether sociodemographic characteristics, clinical factors, and house energy
efficiency characteristics could predict cold-related mortality. DESIGN AND
SETTING: A case-crossover analysis was conducted on 34 777 patients aged >=65
years from the Clinical Practice Research Datalink who died between April 2012
and March 2014. The average temperature of date of death and 3 days previously
were calculated from Met Office data. The average 3-day temperature for the 28th
day before/after date of death were calculated, and comparisons were made between
these temperatures and those experienced around the date of death. METHOD:
Conditional logistic regression was applied to estimate the odds ratio (OR) of
death associated with temperature and interactions between temperature and
sociodemographic characteristics, clinical factors, and house energy efficiency
characteristics, expressed as relative odds ratios (RORs). RESULTS: Lower 3-day
temperature was associated with higher risk of death (OR 1.011 per 1 degrees C
fall; 95% CI = 1.007 to 1.015; P<0.001). No modifying effects were observed for
sociodemographic characteristics, clinical factors, and house energy efficiency
characteristics. Analysis of winter deaths for causes typically associated with
excess winter mortality (N = 7710) showed some evidence of a weaker effect of
lower 3-day temperature for females (ROR 0.980 per 1 degrees C, 95% CI = 0.959 to
1.002, P = 0.082), and a stronger effect for patients living in northern England
(ROR 1.040 per 1 degrees C, 95% CI = 1.013 to 1.066, P = 0.002). CONCLUSION: It
is unlikely that GPs can identify older patients at highest risk of cold-related
death using routinely available data, and NICE may need to refine its guidance.
PMID- 29378700
TI - Bawa-Garba case has left profession shaken and stirred.
PMID- 29378701
TI - Niclosamide rescues microcephaly in a humanized in vivo model of Zika infection
using human induced neural stem cells.
AB - Zika virus (ZIKV) is a mosquito-transmitted flavivirus with a causative link to
microcephaly, a condition resulting in reduced cranial size and brain
abnormalities. Despite recent progress, there is a current lack of in vivo models
that permit the study of systemic virus on human neurons in a developing organism
that replicates the pathophysiology of human disease. Furthermore, no treatment
to date has been reported to reduce ZIKV-induced microcephaly. We tested the
effects of ZIKV on human induced neural stem cells (hiNSCs) in vitro and found
that infected hiNSCs secrete inflammatory cytokines, display altered
differentiation, and become apoptotic. We also utilized this in vitro system to
assess the therapeutic effects of niclosamide, an FDA-approved anthelminthic, and
found that it decreases ZIKV production, partially restores differentiation, and
prevents apoptosis in hiNSCs. We intracranially injected hiNSCs into developing
chicks, subjected them to systemic ZIKV infection via the chorioallantoic
membrane (CAM), a tissue similar in structure and function to the mammalian
placenta, and found that humanized ZIKV-infected embryos developed severe
microcephaly including smaller crania, decreased forebrain volume and enlarged
ventricles. Lastly, we utilized this humanized model to show that CAM-delivery of
niclosamide can partially rescue ZIKV-induced microcephaly and attenuate
infection of hiNSCs in vivoThis article has an associated First Person interview
with the first author of the paper.
PMID- 29378702
TI - Dnd1-mediated epigenetic control of teratoma formation in mouse.
AB - Spontaneous testicular teratoma develops from primordial germ cells (PGCs) in
embryos; however, the molecular mechanisms underlying teratoma formation are not
fully understood. Mutation of the dead-end 1 (Dnd1) gene, which encodes an RNA
binding protein, drastically enhances teratoma formation in the 129/Sv mouse
strain. To elucidate the mechanism of Dnd1 mutation-induced teratoma formation,
we focused on histone H3 lysine 27 (H3K27) trimethylation (me3), and found that
the levels of H3K27me3 and its responsible methyltransferase, enhancer of zeste
homolog 2 (Ezh2), were decreased in the teratoma-forming cells of Dnd1 mutant
embryos. We also showed that Dnd1 suppressed miR-26a-mediated inhibition of Ezh2
expression, and that Dnd1 deficiency resulted in decreased H3K27me3 of a cell
cycle regulator gene, Ccnd1 In addition, Ezh2 expression or Ccnd1 deficiency
repressed the reprogramming of PGCs into pluripotent stem cells, which mimicked
the conversion of embryonic germ cells into teratoma-forming cells. These results
revealed an epigenetic molecular linkage between Dnd1 and the suppression of
testicular teratoma formation.
PMID- 29378703
TI - Population Pharmacokinetics and Dosing Optimization of Ceftazidime in Infants.
AB - Ceftazidime, a third-generation cephalosporin, can be used for the treatment of
adults and children with infections due to susceptible bacteria. To date, the
pediatric pharmacokinetic data are limited in infants, and therefore we aimed to
evaluate the population pharmacokinetics of ceftazidime in infants and to define
the appropriate dose to optimize ceftazidime treatment. Blood samples were
collected from children treated with ceftazidime, and concentrations of the drug
were quantified by high-performance liquid chromatography with UV detection (HPLC
UV). A population pharmacokinetic analysis was performed using NONMEM software
(version 7.2.0). Fifty-one infants (age range, 0.1 to 2.0 years) were included.
Sparse pharmacokinetic samples (n = 90) were available for analysis. A one
compartment model with first-order elimination showed the best fit with the data.
A covariate analysis identified that body weight and creatinine clearance (CLCR)
were significant covariates influencing ceftazidime clearance. Monte Carlo
simulation demonstrated that the currently used dosing regimen of 50 mg/kg twice
daily was associated with a high risk of underdosing in infants. In order to
reach the target of 70% of the time that the free antimicrobial drug
concentration exceeds the MIC (fT>MIC), 25 mg/kg every 8 h (q8h) and 50 mg/kg q8h
were required for MICs of 4 and 8 mg/liter, respectively. The population
pharmacokinetic characteristics of ceftazidime were evaluated in infants. An
evidence-based dosing regimen was established based on simulation.
PMID- 29378704
TI - Impact of Vancomycin MIC on Clinical Outcomes of Patients with Methicillin
Resistant Staphylococcus aureus Bacteremia Treated with Vancomycin at an
Institution with Suppressed MIC Reporting.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) is a leading cause of
bacteremia and is associated with significant morbidity and mortality. Prior
studies evaluating the association of vancomycin MICs with clinical outcomes in
patients with MRSA bacteremia have been inconsistent. This study evaluated the
association between vancomycin MICs and 30-day in-hospital mortality rates for
patients with MRSA bacteremia. This was a retrospective cohort study of patients
with MRSA bacteremia treated with vancomycin for >=72 h from January 2013 to
August 2016. Vancomycin MICs were determined by broth microdilution via automated
susceptibility testing methods. Study groups consisted of patients with MRSA
isolates that had vancomycin MICs of <2 MUg/ml and those with vancomycin MICs of
2 MUg/ml. Covariates included demographics, severity of illness, comorbidities,
intensive-care unit (ICU) admission, infectious disease consultation, infectious
sources, and hospital onset of bacteremia. The primary outcome was 30-day in
hospital mortality. Secondary outcomes included the duration of bacteremia,
persistent bacteremia for >=7 days, recurrence within 30 days, change to
alternative antibiotic therapy, and length of hospital stay. Multivariate
logistic regression models were analyzed to control for potential confounding
variables. A total of 166 patients were included for analysis: 91 patients with
vancomycin MICs of <2 MUg/ml and 75 patients with vancomycin MICs of 2 MUg/ml. In
the multivariate logistic regression model, a vancomycin MIC of 2 MUg/ml,
compared to a MIC of <2 MUg/ml, was not significantly associated with 30-day in
hospital mortality after adjustment for confounders. Additionally, all secondary
outcomes were not statistically significantly different between study groups. In
patients with MRSA bacteremia treated with vancomycin, the vancomycin MIC was not
associated with differences in clinical outcomes.
PMID- 29378705
TI - Roles of Three Cryptococcus neoformans and Cryptococcus gattii Efflux Pump-Coding
Genes in Response to Drug Treatment.
AB - Cryptococcus neoformans and Cryptococcus gattii species complexes are the
etiologic agents of cryptococcosis. We have deciphered the roles of three ABC
transporters, Afr1, Afr2, and Mdr1, in the representative strains of the two
species, C. neoformans H99 and C. gattii R265. Deletion of AFR1 in H99 and R265
drastically reduced the levels of resistance to three xenobiotics and three
triazoles, suggesting that Afr1 is the major drug efflux pump in both strains.
Fluconazole susceptibility was not affected when AFR2 or MDR1 was deleted in both
strains. However, when these genes were deleted in combination with AFR1, a minor
additive effect in susceptibility toward several drugs was observed. Deletion of
all three genes in both strains caused further increases in susceptibility toward
fluconazole and itraconazole, suggesting that Afr2 and Mdr1 augment Afr1 function
in pumping these triazoles. Intracellular accumulation of Nile Red significantly
increased in afr1Delta mutants of both strains, but rhodamine 6G accumulation
increased only in the mdr1Delta mutant of H99. Thus, the three efflux pumps play
different roles in the two strains when exposed to different azoles and
xenobiotics. AFR1 and AFR2 expression was upregulated in H99 and R265 when
treated with fluconazole. However, MDR1 expression was upregulated only in R265
under the same conditions. We screened a library of transcription factor mutants
and identified several mutants that manifested either altered fluconazole
sensitivity or an increase in the frequency of fluconazole heteroresistance. Gene
expression analysis suggests that the three efflux pumps are regulated
independently by different transcription factors in response to fluconazole
exposure.
PMID- 29378706
TI - In Vivo Pharmacokinetics and Pharmacodynamics of APX001 against Candida spp. in a
Neutropenic Disseminated Candidiasis Mouse Model.
AB - APX001 is the prodrug of APX001A, which is a first-in-class small molecule with a
unique mechanism of action that inhibits the fungal enzyme Gwt1 in the
glycosylphosphatidylinositol (GPI) biosynthesis pathway. The goal of the present
study was to determine which pharmacokinetic/pharmacodynamic (PK/PD) index and
magnitude best correlated with efficacy in the murine disseminated candidiasis
model for Candida albicans (n = 5), C. glabrata (n = 5), and C. auris (n = 4).
MIC values ranged from 0.002 to 0.03 mg/liter for C. albicans, from 0.008 to 0.06
mg/liter for C. glabrata, and from 0.004 to 0.03 mg/liter for C. auris Plasma
APX001A pharmacokinetic measurements were performed in mice after oral
administration of 4, 16, 64, and 256 mg/kg of body weight APX001. Single-dose
pharmacokinetic studies exhibited maximum plasma concentration (Cmax) values of
0.46 to 15.6 mg/liter, area under the concentration-time curve (AUC) from time
zero to infinity (AUC0-inf) values of 0.87 to 70.0 mg . h/liter, and half-lives
of 1.40 to 2.75 h. A neutropenic murine disseminated candidiasis model was
utilized for all treatment studies, and drug dosing was by the oral route. Dose
fractionation was performed against C. albicans K1, with total doses ranging from
4 to 1,024 mg/kg/day of APX001 fractionated into regimens of dosing every 3, 6,
8, and 12 h for a 24-h treatment duration. Nonlinear regression analysis was used
to determine which PK/PD index best correlated with efficacy on the basis of the
reduction in the number of CFU/kidney at 24 h. The 24-h free-drug AUC/MIC ratio
(fAUC0-24/MIC) was the PK/PD index that best correlated with efficacy
(coefficient of determination [R2] = 0.88). Treatment studies with the remaining
strains utilized regimens of 1 to 256 mg/kg of APX001 administered every 6 h for
a 24-h duration with C. albicans and a 96-h study duration with C. glabrata and
C. auris The dose required to achieve 50% of the maximum effect (ED50) and stasis
fAUC/MIC targets were as follows: for C. albicans, 3.67 +/- 3.19 and 20.60 +/-
6.50, respectively; for C. glabrata, 0.38 +/- 0.21 and 1.31 +/- 0.27,
respectively; and for C. auris, 7.14 +/- 4.54 and 14.67 +/- 8.30, respectively.
The present studies demonstrated in vitro and in vivo APX001A and APX001 potency,
respectively, against C. albicans, C. glabrata, and C. auris. These results have
potential relevance for clinical dose selection and evaluation of susceptibility
breakpoints. The identification of a lower AUC/MIC ratio target for C. glabrata
suggests that species-specific susceptibility breakpoints should be explored.
PMID- 29378707
TI - Azithromycin Resistance in Shigella spp. in Southeast Asia.
AB - Infection by Shigella spp. is a common cause of dysentery in Southeast Asia.
Antimicrobials are thought to be beneficial for treatment; however, antimicrobial
resistance in Shigella spp. is becoming widespread. We aimed to assess the
frequency and mechanisms associated with decreased susceptibility to azithromycin
in Southeast Asian Shigella isolates and use these data to assess appropriate
susceptibility breakpoints. Shigella isolates recovered in Vietnam and Laos were
screened for susceptibility to azithromycin (15 MUg) by disc diffusion and MIC.
Phenotypic resistance was confirmed by PCR amplification of macrolide resistance
loci. We compared the genetic relationships and plasmid contents of azithromycin
resistant Shigella sonnei isolates using whole-genome sequences. From 475
available Shigella spp. isolated in Vietnam and Laos between 1994 and 2012, 6/181
S. flexneri isolates (3.3%, MIC >= 16 g/liter) and 16/294 S. sonnei isolates
(5.4%, MIC >= 32 g/liter) were phenotypically resistant to azithromycin. PCR
amplification confirmed a resistance mechanism in 22/475 (4.6%) isolates (mphA in
19 isolates and ermB in 3 isolates). The susceptibility data demonstrated the
acceptability of the S. flexneri (MIC >= 16 g/liter, zone diameter <= 15 mm) and
S. sonnei (MIC >= 32 g/liter, zone diameter <= 11 mm) breakpoints with a <3%
discrepancy. Phylogenetic analysis demonstrated that decreased susceptibility has
arisen sporadically in Vietnamese S. sonnei isolates on at least seven occasions
between 2000 and 2009 but failed to become established. While the proposed
susceptibility breakpoints may allow better recognition of resistant isolates,
additional studies are required to assess the impact on the clinical outcome. The
potential emergence of azithromycin resistance highlights the need for
alternative options for management of Shigella infections in countries where
Shigella is endemic.
PMID- 29378708
TI - A Multicenter, Randomized, Double-Blind, Phase 2 Study of the Efficacy and Safety
of Plazomicin Compared with Levofloxacin in the Treatment of Complicated Urinary
Tract Infection and Acute Pyelonephritis.
AB - Increasing antimicrobial resistance among uropathogens limits treatment options
for patients with complicated urinary tract infection (cUTI). Plazomicin, a new
aminoglycoside, has in vitro activity against multidrug-resistant
Enterobacteriaceae, including isolates resistant to currently available
aminoglycosides, as well as extended-spectrum beta-lactamase-producing and
carbapenem-resistant Enterobacteriaceae We evaluated the efficacy and safety of
plazomicin in a double-blind, comparator-controlled, phase 2 study in adults with
cUTI or acute pyelonephritis. Patients were randomized 1:1:1 to receive
intravenous plazomicin (10 or 15 mg/kg of body weight) or intravenous
levofloxacin (750 mg) once daily for 5 days. Coprimary efficacy endpoints were
microbiological eradication at the test of cure (TOC; 5 to 12 days after the last
dose) in the modified intent-to-treat (MITT) and microbiologically evaluable (ME)
populations. Overall, 145 patients were randomized to treatment. In the groups
receiving plazomicin at 10 mg/kg, plazomicin at 15 mg/kg, and levofloxacin,
microbiological eradication rates were, respectively, 50.0% (6 patients with
microbiological eradication at TOC/12 patients treated [95% confidence interval
{CI}, 21.1 to 78.9%]), 60.8% (31/51 [95% CI, 46.1 to 74.2%]), and 58.6% (17/29
[95% CI, 38.9 to 76.5%]) in the MITT population and 85.7% (6/7 [95% CI, 42.1 to
99.6%]), 88.6% (31/35 [95% CI, 73.3 to 96.8%]), and 81.0% (17/21 [95% CI, 58.1 to
94.6%]) in the ME population. In the MITT population, 66.7% (95% CI, 34.9 to
90.1%), 70.6% (95% CI, 56.2 to 82.5%), and 65.5% (95% CI, 45.7 to 82.1%) of the
patients in the three groups, respectively, were assessed by the investigator to
be clinically cured at TOC. Adverse events were reported in 31.8%, 35.1%, and
47.7% of the patients in the three groups, respectively. Serum creatinine values
were generally stable over the course of the study. No plazomicin-treated
patients with evaluable audiometry data had postbaseline sensorineural,
conductive, or mixed hearing loss. In summary, plazomicin demonstrated
microbiological and clinical success and an overall safety profile supportive of
further clinical development. (This study has been registered at
ClinicalTrials.gov under identifier NCT01096849.).
PMID- 29378709
TI - Antibiotic Susceptibility and Genotyping of Mycobacterium avium Strains That
Cause Pulmonary and Disseminated Infection.
AB - Mycobacterium avium subsp. hominissuis mainly causes disseminated infection in
immunocompromised hosts, such as individuals with human immunodeficiency virus
(HIV) infection, and pulmonary infection in immunocompetent hosts. However, many
aspects of the different types of M. avium subsp. hominissuis infection remain
unclear. We examined the antibiotic susceptibilities and genotypes of M. avium
subsp. hominissuis isolates from different hosts by performing drug
susceptibility testing using eight antibiotics (clarithromycin, rifampin,
ethambutol, streptomycin, kanamycin, amikacin, ethionamide, and levofloxacin) and
variable-number tandem-repeat (VNTR) typing analysis for 46 isolates from the
sputa of HIV-negative patients with pulmonary M. avium subsp. hominissuis disease
without previous antibiotic treatment and 30 isolates from the blood of HIV
positive patients with disseminated M. avium subsp. hominissuis disease.
Interestingly, isolates from pulmonary M. avium subsp. hominissuis disease
patients were more resistant to seven of the eight drugs, with the exception
being rifampin, than isolates from HIV-positive patients. Moreover, VNTR typing
analysis showed that the strains examined in this study were roughly classified
into three clusters, and the genetic distance from reference strain 104 for
isolates from pulmonary M. avium subsp. hominissuis disease patients was
statistically significantly different from that for isolates from HIV-positive
patients (P = 0.0018), suggesting that M. avium subsp. hominissuis strains that
cause pulmonary and disseminated disease have genetically distinct features.
Significant differences in susceptibility to seven of the eight drugs, with the
exception being ethambutol, were noted among the three clusters. Collectively,
these results suggest that an association between the type of M. avium subsp.
hominissuis infection, drug susceptibility, and the VNTR genotype and the
properties of M. avium subsp. hominissuis strains associated with the development
of pulmonary disease are involved in higher levels of antibiotic resistance.
PMID- 29378710
TI - Evaluation of a System-Specific Function To Describe the Pharmacokinetics of
Benzylpenicillin in Term Neonates Undergoing Moderate Hypothermia.
AB - The pharmacokinetic (PK) properties of intravenous (i.v.) benzylpenicillin in
term neonates undergoing moderate hypothermia after perinatal asphyxia were
evaluated, as they have been unknown until now. A system-specific modeling
approach was applied, in which our recently developed covariate model describing
developmental and temperature-induced changes in amoxicillin clearance (CL) in
the same patient study population was incorporated into a population PK model of
benzylpenicillin with a priori birthweight (BW)-based allometric scaling.
Pediatric population covariate models describing the developmental changes in
drug elimination may constitute system-specific information and may therefore be
incorporated into PK models of drugs cleared through the same pathway. The
performance of this system-specific model was compared to that of a reference
model. Furthermore, Monte-Carlo simulations were performed to evaluate the
optimal dose. The system-specific model performed as well as the reference model.
Significant correlations were found between CL and postnatal age (PNA),
gestational age (GA), body temperature (TEMP), urine output (UO; system-specific
model), and multiorgan failure (reference model). For a typical patient with a GA
of 40 weeks, BW of 3,000 g, PNA of 2 days (TEMP, 33.5 degrees C), and normal UO
(2 ml/kg/h), benzylpenicillin CL was 0.48 liter/h (interindividual variability
[IIV] of 49%) and the volume of distribution of the central compartment was 0.62
liter/kg (IIV of 53%) in the system-specific model. Based on simulations, we
advise a benzylpenicillin i.v. dose regimen of 75,000 IU/kg/day every 8 h (q8h),
150,000 IU/kg/day q8h, and 200,000 IU/kg/day q6h for patients with GAs of 36 to
37 weeks, 38 to 41 weeks, and >=42 weeks, respectively. The system-specific model
may be used for other drugs cleared through the same pathway accelerating model
development.
PMID- 29378711
TI - Population Pharmacokinetics of Cefotaxime and Dosage Recommendations in Children
with Sickle Cell Disease.
AB - The pharmacokinetic profile of most drugs is dependent on the patient's
covariates and may be influenced by the disease. Cefotaxime is frequently
prescribed in pediatric patients with sickle cell disease (SCD), characterized by
vaso-occlusive complications, chronic hemolytic anemia, and a defective
immunological function predisposing the individual to severe infection. Data on
the impact of the disease on the disposition of cefotaxime are missing. In the
present study, our aims were to determine cefotaxime pharmacokinetics when
prescribed to children with SCD for suspected or proven bacterial infection,
identify significant covariates, and perform Monte Carlo simulations to optimize
the drug dosage. Cefotaxime serum concentrations were measured in 78 pediatric
SCD patients receiving cefotaxime intravenously at a daily dose of 200 mg/kg of
body weight in three or four divided doses over 30 min. A total of 107
concentrations were available for pharmacokinetic analysis. A population
pharmacokinetic model was developed with NONMEM software and used for Monte Carlo
simulations. Cefotaxime concentrations ranged from 0.05 to 103.7 mg/liter.
Cefotaxime pharmacokinetics were best described by a one-compartment model: the
median estimated weight-normalized volume of distribution and clearance were 0.42
liter/kg (range, 0.2 to 1.1 liter/kg) and 0.38 liter/h/kg (range, 0.1 to 1.2
liter/h/kg). Cefotaxime clearance increased by 22% in patients with acute chest
syndrome. Dosing optimization, performed using EUCAST MIC susceptibility
breakpoints, showed that a dose of 100 mg/kg/6 h should be used, depending on the
patient's characteristics and clinical presentation, in order to reach a value of
the percentage of time that the drug concentration exceeded the MIC under steady
state pharmacokinetic conditions of 80% in 80% of the patients when targeting
sensitive Gram-positive cocci and Gram-negative bacilli with MICs of 1 mg/liter
or below.
PMID- 29378712
TI - A 10-Year Comparative Analysis Shows that Increasing Prevalence of Rifampin
Resistant Mycobacterium tuberculosis in China Is Associated with the Transmission
of Strains Harboring Compensatory Mutations.
AB - In this work, we conducted bacterial population profile studies to assess trends
of rifampin (RIF) resistance of Mycobacterium tuberculosis isolates collected
across China from 2005 to 2015. Totals of 273 and 269 randomly selected M.
tuberculosis isolates from 2005 and 2015, respectively, were analyzed. The rates
of RIF resistance (36.4%), isoniazid resistance (39.0%), and levofloxacin
resistance (25.7%) in 2015 were significantly higher than those in 2005 (28.2%,
30.0%, and 15.4%, respectively; P < 0.05). Genotypic data revealed 256 (95.2%)
Beijing-type isolates in 2015, a rate significantly higher than that in 2005
(86.4%) (P < 0.01). A higher proportion of mutations was identified within the
rifampin resistance-determining region (RRDR) of rpoB in isolates from 2015
(99.0%) than in 2005 isolates (85.7%, P < 0.01). In addition, a significantly
higher proportion of RIF-resistant isolates carrying compensatory mutations was
observed in 2015 (31.6%) than in 2005 (7.8%). Notably, the great majority of
these compensatory mutations (91.9%) were observed in isolates that harbored a
mutation of codon 531 of the rpoB gene. In conclusion, our data demonstrate that
resistance to RIF, isoniazid, and levofloxacin has become significantly more
prevalent during the past decade. In addition, the prevalence of the Beijing
genotype significantly increased from 2005 to 2015. Notably, a significantly
increased frequency of strains with mutations in rpoC or rpoA is observed among
those that have codon 531 mutations, which suggests that they may be compensatory
and may play a role in facilitating transmission.
PMID- 29378713
TI - Enhanced Ex Vivo Plasmodium vivax Intraerythrocytic Enrichment and Maturation for
Rapid and Sensitive Parasite Growth Assays.
AB - Plasmodium vivax chloroquine resistance has been documented in nearly every
region where this malaria-causing parasite is endemic. Unfortunately, P. vivax
resistance surveillance and drug discovery are challenging due to the low
parasitemias of patient isolates and poor parasite survival through ex vivo
maturation that reduce the sensitivity and scalability of current P. vivax
antimalarial assays. Using cryopreserved patient isolates from Brazil and fresh
patient isolates from India, we established a robust enrichment method for P.
vivax parasites. We next performed a medium screen for formulations that enhance
ex vivo survival. Finally, we optimized an isotopic metabolic labeling assay for
measuring P. vivax maturation and its sensitivity to antimalarials. A KCl Percoll
density gradient enrichment method increased parasitemias from small-volume ex
vivo isolates by an average of >40-fold. The use of Iscove's modified Dulbecco's
medium for P. vivax ex vivo culture approximately doubled the parasite survival
through maturation. Coupling these with [3H]hypoxanthine metabolic labeling
permitted sensitive and robust measurements of parasite maturation, which was
used to measure the sensitivities of Brazilian P. vivax isolates to chloroquine
and several novel antimalarials. These techniques can be applied to rapidly and
robustly assess the P. vivax isolate sensitivities to antimalarials for
resistance surveillance and drug discovery.
PMID- 29378714
TI - Pharmacokinetics of Tedizolid in an Obese Patient after Bariatric Surgery.
AB - An obese woman was treated with oral tedizolid 200 mg once daily for
pseudoarthrosis 10 years after Roux-en-Y bypass surgery. Total plasma peak
concentration was 2.12 mg/liter 3 h after intake, and area under the
concentration-time curve from 0 to 24 h (AUC0-24) was 28.3 mg/liter . h. The AUC0
24/MIC ratio for unbound concentrations and for sensitive Staphylococcus and
Streptococcus strains was >=10.8, higher than the target ratio of 3. These
results support the use of tedizolid without adjustment after bariatric surgery.
PMID- 29378715
TI - CTX-M-55-, MCR-1-, and FosA-Producing Multidrug-Resistant Escherichia coli
Infection in a Child in France.
PMID- 29378716
TI - Norepinephrine in Combination with Antibiotic Therapy Increases both the
Bacterial Replication Rate and Bactericidal Activity.
AB - We previously demonstrated that the rate and extent of an antimicrobial agent's
bactericidal effects were coupled to the bacterial replication rate, the latter
of which was modulated with the sodium chloride concentration. Herein, we
describe the results from a 24-h one-compartment in vitro infection model study
that was designed to demonstrate that an antimicrobial agent's bactericidal
effects could be amplified when it is administered with a pharmaceutical agent
that increases the bacterial replication rate. The antimicrobial and growth
promoting agents selected were levofloxacin and norepinephrine, respectively. The
challenge isolate was Escherichia coli JMI 21711R (levofloxacin MIC, 8 mg/liter).
Within the in vitro infection model, a human levofloxacin concentration-time
profile (half-life, 7 h) was simulated and the challenge isolate was subjected to
an ineffective monotherapy exposure (free-drug area under the concentration-time
curve over 24 h divided by the MIC [AUC/MIC] ratio of 6) with and without
norepinephrine as a continuous infusion (275 mg/liter). Samples were collected
from the model during the course of the study for bacterial density
determinations and drug concentration assay using liquid chromatography-tandem
mass spectrometry (LC-MS/MS). As expected, the norepinephrine and no-treatment
control arms failed immediately, followed by the levofloxacin monotherapy arm,
which failed slowly over time. The levofloxacin-epinephrine regimen resulted in a
2-log10 CFU reduction in bacterial density over the first 6 to 8 h of the study,
which was followed by regrowth of a highly levofloxacin-resistant subpopulation
(MIC, 64 mg/liter). These data demonstrate that increasing the rate of bacterial
replication with a pharmaceutical product in combination with antimicrobial
therapy represents an opportunity to increase the rate and magnitude of
bactericidal effect.
PMID- 29378717
TI - Identification of the In Vivo Pharmacokinetics and Pharmacodynamic Driver of
Iclaprim.
AB - The neutropenic murine thigh infection model was used to define the
pharmacokinetic/pharmacodynamic index linked to efficacy of iclaprim against
Staphylococcus aureus ATCC 29213 and Staphylococcus pneumoniae ATCC 10813. The 24
h area under the curve (AUC)/MIC index was most closely linked to efficacy for S.
aureus (R2, 0.65), while both the 24-h AUC/MIC and the percentage of time that
drug concentrations remain above the MIC (%T>MIC) were strongly associated with
effect (R2, 0.86 for both parameters) for S. pneumoniae.
PMID- 29378718
TI - Clofazimine for Treatment of Extensively Drug-Resistant Pulmonary Tuberculosis in
China.
AB - We performed a multicenter, prospective, randomized study to investigate the
efficacy and safety of clofazimine (CLO) for treatment of extensively drug
resistant tuberculosis (XDR-TB) in China. Forty-nine patients infected with XDR
TB were randomly assigned to either the control group or the CLO group, both of
which received 36 months of individually customized treatment. The primary
endpoint was the time to sputum culture conversion on solid medium. Clinical
outcomes of patients were evaluated at the time of treatment completion. Of the
22 patients in the experimental group, 7 (31.8%) met the treatment criterion of
"cure" and 1 (4.5%) "complete treatment," for a total of 8 (36.4%) exhibiting
successful treatment outcomes without relapse. In the control group, 6 patients
(22.2%) were cured and 6 (22.2%) completed treatment by the end of the study.
Statistical analysis revealed no significant difference in successful outcome
rates between the CLO group and the control group. The average sputum culture
conversion time for the experimental group was 19.7 months, which was not
statistically different from that for the control group (20.3 months; P = 0.57).
Of the 22 patients in the CLO group, 12 (54.5%) experienced adverse events after
starting CLO treatment. The most frequently observed adverse event was liver
damage, with 31.8% of patients (7/22 patients) in the CLO group versus 11.1%
(3/27 patients) in the control group exhibiting this adverse event. Our study
demonstrates that inclusion of CLO in background treatment regimens for XDR-TB is
of limited benefit, especially since hepatic disorders arise as major adverse
events with CLO treatment. (This study is registered with the Chinese Clinical
Trial Registry [ChiCTR, www.chictr.org.cn] under identifier ChiCTR1800014800.).
PMID- 29378719
TI - Surveillance of Omadacycline Activity Tested against Clinical Isolates from the
United States and Europe as Part of the 2016 SENTRY Antimicrobial Surveillance
Program.
AB - Omadacycline was tested against 21,000 bacterial isolates collected prospectively
from medical centers in Europe and the United States during 2016. Omadacycline
was active against Staphylococcus aureus (MIC50/MIC90, 0.12/0.25 mg/liter),
including methicillin-resistant S. aureus (MRSA); streptococci (MIC50/MIC90,
0.06/0.12 mg/liter), including Streptococcus pneumoniae, viridans group
streptococci, and beta-hemolytic streptococci; Enterobacteriaceae, including
Escherichia coli (MIC50/MIC90, 0.5/2 mg/liter); Haemophilus influenzae
(MIC50/MIC90, 1/1 mg/liter); and Moraxella catarrhalis (MIC50/MIC90, 0.25/0.25
mg/liter). Omadacycline merits further study in serious infections where
resistant pathogens may be encountered.
PMID- 29378720
TI - In Vitro Susceptibility of Clinical Staphylococcus aureus Small-Colony Variants
to beta-Lactam and Non-beta-Lactam Antibiotics.
AB - The Staphylococcus aureus small-colony variant (SCV) phenotype has been
associated with relapsing and antibiotic-refractory infections. However, little
is known about the activities of antibiotics on clinical SCVs. Here, we
demonstrated that SCVs without detectable auxotrophies were at least as
susceptible to most beta-lactam and non-beta-lactam antibiotics in vitro as their
corresponding clonally identical strains with a normal phenotype. After prolonged
incubation, a regrowth phenomenon has been observed in gradient diffusion
inhibition zones irrespective of the strains' phenotype.
PMID- 29378721
TI - Risk Factors and Outcomes of Endocarditis Due to Non-HACEK Gram-Negative Bacilli:
Data from the Prospective Multicenter Italian Endocarditis Study Cohort.
AB - The objective of this study was to investigate predisposing factors and outcomes
of infective endocarditis (IE) caused by non-HACEK Gram-negative bacilli (GNB) in
a contemporary multicenter cohort. Patients with IE due to GNB, prospectively
observed in 26 Italian centers from 2004 to 2011, were analyzed. Using a case
control design, each case was compared to three age- and sex-matched controls
with IE due to other etiologies. Logistic regression was performed to identify
risk factors for IE due to GNB. Factors associated with early and late mortality
were assessed by Cox regression analysis. The study group comprised 58 patients
with IE due to GNB. We found that Escherichia coli was the most common pathogen,
followed by Pseudomonas aeruginosa and Klebsiella pneumoniae The genitourinary
tract as a source of infection (odds ratio [OR], 13.59; 95% confidence interval
[CI], 4.63 to 39.93; P < 0.001), immunosuppression (OR, 5.16; 95% CI, 1.60 to
16.24; P = 0.006), and the presence of a cardiac implantable electronic device
(CIED) (OR, 3.57; 95% CI, 1.55 to 8.20; P = 0.003) were factors independently
associated with IE due to GNB. In-hospital mortality was 13.8%, and mortality
rose to 30.6% at 1 year. A multidrug-resistant (MDR) etiology was associated with
in-hospital mortality (hazard ratio [HR], 21.849; 95% CI, 2.672 to 178.683; P =
0.004) and 1-year mortality (HR, 4.408; 95% CI, 1.581 to 12.287; P = 0.005). We
conclude that the presence of a genitourinary focus, immunosuppressive therapy,
and an indwelling CIED are factors associated with IE due to GNB. MDR etiology is
the major determinant of in-hospital and long-term mortality.
PMID- 29378722
TI - Importance of Site of Infection and Antibiotic Selection in the Treatment of
Carbapenem-Resistant Pseudomonas aeruginosa Sepsis.
AB - In a retrospective analysis of 215 patients with carbapenem-resistant Pseudomonas
aeruginosa sepsis, we observed a significantly higher risk of mortality
associated with respiratory tract infection (risk ratio [RR], 1.20; 95%
confidence interval [CI], 1.04 to 1.39; P = 0.010) and lower risk with urinary
tract infection (RR, 0.80; 95% CI, 0.71 to 0.90; P = 0.004). Aminoglycoside
monotherapy was associated with increased mortality, even after adjusting for
confounders (adjusted RR, 1.72; 95% CI, 1.03 to 2.85; P = 0.037), consistent
across multiple sites of infection.
PMID- 29378724
TI - January 29th Question.
PMID- 29378723
TI - Emergence and Spread of kelch13 Mutations Associated with Artemisinin Resistance
in Plasmodium falciparum Parasites in 12 Thai Provinces from 2007 to 2016.
AB - Artemisinin-based combination therapy (ACT) is the most effective and widely used
treatment for uncomplicated Plasmodium falciparum malaria and is a cornerstone
for malaria control and prevention globally. Resistance to artemisinin
derivatives has been confirmed in the Greater Mekong Subregion (GMS) and
manifests as slow parasite clearance in patients and reduced ring stage
susceptibility to artemisinins in survival assays. The P. falciparumkelch13 gene
mutations associated with artemisinin-resistant parasites are now widespread in
the GMS. We genotyped 277 samples collected during an observational study from
2012 to 2016 from eight provinces in Thailand to identify P. falciparum kelch13
mutations. The results were combined with previously reported genotyping results
from Thailand to construct a map illustrating the evolution of P. falciparum
kelch13 mutations from 2007 to 2016 in that country. Different mutant alleles
were found in strains with different geographical origins. The artemisinin
resistance-conferring Y493H and R539T mutations were detected mainly in eastern
Thailand (bordering Cambodia), while P574L was found only in western Thailand and
R561H only in northwestern Thailand. The C580Y mutation was found across the
entire country and was nearing fixation along the Thai-Cambodia border. Overall,
the prevalence of artemisinin resistance mutations increased over the last 10
years across Thailand, especially along the Thai-Cambodia border. Molecular
surveillance and therapeutic efficacy monitoring should be intensified in the
region to further assess the extent and spread of artemisinin resistance.
PMID- 29378725
TI - Variability of PD-L1 expression in mastocytosis.
AB - Mastocytosis is a rare disease with heterogeneous clinical manifestations and few
effective therapies. Programmed death-1 (PD-1) and its ligands (PD-L1 and PD-L2)
protect tissues from immune-mediated damage and permit tumors to evade immune
destruction. Therapeutic antibodies against PD-1 and PD-L1 are effective in the
treatment of a variety of neoplasms. In the present study, we sought to
systematically analyze expression of PD-1 and PD-L1 in a large number of patients
with mastocytosis using immunohistochemistry and multiplex fluorescence staining.
PD-L1 showed membrane staining of neoplastic mast cells (MCs) in 77% of systemic
mastocytosis (SM) cases including 3 of 3 patients with MC leukemia, 2 of 2 with
aggressive SM, 1 of 2 with smoldering SM, 3 of 4 with indolent SM, and 9 of 12
with SM with an associated hematologic neoplasm (SM component only). Ninety-two
percent (23 of 25) of cutaneous mastocytosis (CM) cases and 1 of 2 with
myelomastocytic leukemia expressed PD-L1, with no expression found in 15
healthy/reactive marrows, 18 myelodysplastic syndromes (MDSs), 16
myeloproliferative neoplasms (MPNs), 5 MDS/MPNs, and 3 monoclonal MC activation
syndromes. Variable PD-L1 expression was observed between and within samples,
with PD-L1 staining of MCs ranging from 10% to 100% (mean, 50%). PD-1 dimly
stained 4 of 27 CM cases (15%), with no expression in SM or other neoplasms
tested; PD-1 staining of MCs ranged from 20% to 50% (mean, 27%). These results
provide support for the expression of PD-L1 in SM and CM, and PD-1 expression in
CM. These data support the exploration of agents with anti-PD-L1 activity in
patients with advanced mastocytosis.
PMID- 29378726
TI - Comparative effectiveness of direct oral anticoagulants and warfarin in patients
with cancer and atrial fibrillation.
AB - Randomized clinical trials comparing direct oral anticoagulants (DOACs) to
warfarin in cancer patients have not been performed. We evaluated the
effectiveness and associated risk of DOACs vs warfarin, as well as comparisons of
DOACs, in a large population of cancer patients with nonvalvular atrial
fibrillation (AF). Using the MarketScan databases, we identified 16 096 AF
patients (mean age, 74 years) initiating oral anticoagulant and being actively
treated for cancer between 2010 and 2014. Anticoagulant users were matched by
age, sex, enrollment date, and drug initiation date. Study end points were
identified with diagnostic codes and included ischemic stroke, severe bleeding,
other bleeding, and venous thromboembolism (VTE). Cox regression was used to
estimate associations of anticoagulants with study end points. Compared with
warfarin, rates of bleeding (hazard ratio [95% confidence interval]) were similar
in rivaroxaban (1.09 [0.79, 1.39]) and dabigatran (0.96 [0.72, 1.27]) users,
whereas apixaban users experienced lower rates (0.37 [0.17, 0.79]). Rates of
ischemic stroke did not differ among anticoagulant users. Compared with warfarin,
rate of VTE (hazard ratio [95% confidence interval]) was lower among rivaroxaban
(0.51 [0.41, 0.63]), dabigatran (0.28 [0.21, 0.38]), and apixaban (0.14 [0.07,
0.32]) users. In head-to-head comparisons among DOACs, dabigatran users had lower
rates of VTE than rivaroxaban users; apixaban users had lower rates of VTE and
severe bleeding than rivaroxaban users. In this population of patients with AF
and cancer, DOAC users experienced lower or similar rates of bleeding and stroke
compared with warfarin users, and a lower rate of incident VTE.
PMID- 29378727
TI - Prevalence and characteristics of ocular pain in non-infectious uveitis: a
quality of life study.
AB - BACKGROUND/AIM: To survey the frequency, character, severity and impact of ocular
pain on quality of life in adult patients with non-infectious uveitis (NIU).
METHODS: This patient-requested cross-sectional survey study describes the
results of three self-administered questionnaires (the National Eye Institute
Visual Function Questionnaire, the 36-Item Short Form Health Survey (SF-36) and
the McGill Pain Questionnaire Dutch Language Version) from 147 patients with NIUs
from a university-based tertiary referral centre in Utrecht. RESULTS: The mean
Visual Function Questionnaire (VFQ) Ocular Pain Score of all patients with NIU
was 72 (+/-24), which is significantly lower than an ocular disease-free
reference group (90+/-15, P<0.0001), indicating more ocular pain. This was true
for all types of NIU, regardless of the localisation: although Ocular Pain Scores
were lower in patients with anterior uveitis (AU) compared with patients with non
AU (mean 62 (+/-24) vs 74 (+/-24), P=0.04), patients with non-AU still scored
substantially lower than the reference group that had no ocular history
(P<0.0001). Patients with NIU also scored significantly lower on all other VFQ
subscales as well as on the SF-36 subscales 'Role Limitations due to physical
problems', 'Vitality', 'General health' and 'Bodily Pain' compared with controls.
The VFQ Ocular Pain subscale correlated with other quality of life subscales
(both VFQ-25 and SF-36), indicating a relationship between pain and quality of
life. CONCLUSION: This study shows that ocular pain is highly prevalent in
patients with NIU, regardless of the localisation. Furthermore, ocular pain has
an impact on quality of life.
PMID- 29378728
TI - Combined treatment of primary vitreoretinal lymphomas significantly prolongs the
time to first relapse.
AB - BACKGROUND: Vitreoretinal lymphomas belong to the family of central nervous
system (CNS) lymphomas. The optimal approach for the treatment of isolated
primary vitreoretinal lymphoma is unclear because of the lack of large
comparative clinical series. Combination of intravitreal and systemic
chemotherapy is recommended in many reports. The aim of our retrospective study
was to compare the survival rate and prognosis of patients with vitreoretinal
lymphoma with and without CNS involvement. METHODS: Twenty patients with
vitreoretinal lymphomas were observed between the years 2004and2016, 10 patients
with primary vitreoretinal lymphoma and 10 with primary CNS lymphoma. To compare
survival rates, we included 53 patients diagnosed with primary CNS lymphoma
without vitreoretinal involvement between the years 2002and2011 from our haemato
oncology department. RESULTS: The 5-year survival rate was estimated 71% in
patients with vitreoretinal lymphoma in our observation. Significantly longer 5
year overall survival (P?0.01) was observed in patients with vitreoretinal
lymphoma compared with patients with primary CNS lymphoma without vitreoretinal
involvement. Progression-free survival was almost equal in both groups of
patients with primary vitreoretinal lymphoma and primary CNS lymphoma (P=0.363).
The relapse of lymphoma was frequent (50%-60%) with the median time to first
relapse of 31 months. Combined treatment (local and systemic) in patients without
CNS involvement significantly prolonged progression-free survival in our study
(P?0.05). CONCLUSION: Combined treatment of primary vitreoretinal lymphoma
significantly delays the relapse of lymphoma compared with local therapy alone.
Intraocular involvement brings significant positive prognostic value when overall
survival is compared.
PMID- 29378729
TI - Atrial Fibrillation and Cause-Specific Risks of Pulmonary Embolism and Ischemic
Stroke.
AB - BACKGROUND: Atrial fibrillation (AF) is a well-established risk factor for
ischemic stroke (IS). Emerging evidence also indicates an association between AF
and pulmonary embolism (PE). Because IS may potentially mediate the observed risk
of PE in AF, we aimed to assess the impact of AF on the cause-specific risks of
PE and IS in a large cohort recruited from the general population. METHODS AND
RESULTS: We observed 29 842 participants from 3 surveys of the Tromso study
(inclusion in 1994-1995, 2001-2002, and 2007-2008) to the end of 2012. Incident
events of AF, IS, and PE during follow-up were recorded, and information on
potential confounders was obtained at baseline. Cox regression models, with AF as
a time-dependent variable, were used to calculate cause-specific hazard ratios
(HRs) with 95% confidence intervals (CIs) for PE and IS. There were 2067
participants diagnosed as having AF, 296 with PE and 1164 with IS, during a
median of 17.6 years of follow-up. The risks of PE (HR, 10.88; 95% CI, 6.23
18.89) and IS (HR, 6.16; 95% CI, 4.47-8.48) were substantially increased during
the first 6 months after AF diagnosis, with crude incidence rates of 18.5 per
1000 person-years for PE and 52.8 per 1000 person-years for IS. The risk
estimates remained elevated for both PE (HR, 1.72; 95% CI, 1.10-2.71) and IS (HR,
2.45; 95% CI, 2.05-2.92) throughout the study period. CONCLUSIONS: AF was
associated with increased cause-specific risks of both PE and IS. Our findings
infer that the risk of PE in AF is not explained by intermediate IS.
PMID- 29378730
TI - Evidence for Pressure-Independent Sympathetic Modulation of Central Pulse Wave
Velocity.
AB - BACKGROUND: Whether the sympathetic nervous system can directly alter central
aortic stiffness remains controversial, mainly because of the difficulty in
experimentally augmenting peripheral vasoconstrictor activity without changing
blood pressure. METHODS AND RESULTS: To address this limitation, we utilized low
level cardiopulmonary baroreflex loading and unloading shown previously to alter
sympathetic outflow without evoking parallel hemodynamic modulation. Blood
pressure and carotid-femoral aortic pulse wave velocity (cf-PWV) were measured in
32 healthy participants (24+/-2 years; women: n=15) before and during 12-minute
applications of low-level lower body negative pressure; -7 mm Hg) and lower body
positive pressure; +7 mm Hg), applied in a random order. Fibular nerve
microneurography was used to collect muscle sympathetic nerve activity (MSNA) in
a subset (n=8) to confirm peripheral sympathetic responses. During lower body
negative pressure, heart rate, blood pressure, stroke volume, cardiac output, and
total peripheral resistance were not statistically different (all P>0.05); MSNA
burst frequency (+15%; P=0.007), total MSNA (+44%; P=0.006), and cf-PWV (?+0.3+/
0.2 m/s; P<0.001) increased. In total, 28 (88%) of participants observed an
increase in cf-PWV greater than the baseline typical error of measurement. During
lower body positive pressure, heart rate, stroke volume, cardiac output, and
total peripheral resistance were not statistically different (all P>0.05), though
blood pressure increased (P<0.05) and pulse pressure decreased (P=0.01); MSNA
burst frequency (-4%; P=0.37), total MSNA (-7%; P=0.89), and cf-PWV (?0.0+/-0.2
m/s; P=0.68) were not statistically different. CONCLUSIONS: These findings
provide evidence that acute elevations in peripheral sympathetic activity can
increase central aortic PWV in young participants independent of a change in
distending or pulsatile blood pressure or heart rate.
PMID- 29378731
TI - Neuronal PirB Upregulated in Cerebral Ischemia Acts as an Attractive Theranostic
Target for Ischemic Stroke.
AB - BACKGROUND: Ischemic stroke is a complex disease with multiple etiologies and
clinical manifestations. Paired immunoglobulin-like receptor B (PirB), which is
originally thought to function exclusively in the immune system, is now also
known to be expressed by neurons. A growing number of studies indicate that PirB
can inhibit neurite outgrowth and restrict neuronal plasticity. The aim of the
study is to investigate whether PirB can be an attractive theranostic target for
ischemic stroke. METHODS AND RESULTS: First, we investigated the spatial-temporal
expression of PirB in multiple ischemic stroke models, including transient middle
cerebral artery occlusion, photothrombotic cerebral cortex ischemia, and the
neuronal oxygen glucose deprivation model. Then, anti-PirB immunoliposome
nanoprobe was developed by thin-film hydration method and investigated its
specific targeting in vitro and in vivo. Finally, soluble PirB ectodomain (sPirB)
protein delivered by polyethylene glycol-modified nanoliposome was used as a
therapeutic reagent for ischemic stroke by blocking PirB binding to its
endogenous ligands. These results showed that PirB was significantly upregulated
after cerebral ischemic injury in ischemic stroke models. Anti-PirB
immunoliposome nanoprobe was successfully developed and specifically bound to
PirB in vitro. There was accumulation of anti-PirB immunoliposome nanoprobe in
the ischemic hemisphere in vivo. Soluble PirB ectodomains remarkably improved
ischemic stroke model recovery by liposomal delivery system. CONCLUSIONS: These
data indicated that PirB was a significant element in the pathological process of
cerebral ischemia. Therefore, PirB may act as a novel theranostic target for
ischemic stroke.
PMID- 29378732
TI - Association of Hemoglobin Concentration and Its Change With Cardiovascular and
All-Cause Mortality.
AB - BACKGROUND: Anemia is thought to increase mortality risks, but the effects of
high hemoglobin concentration on survival are unclear. The effect of change in
hemoglobin concentrations on survival in the general population is also unknown.
This study aimed to examine the effect of hemoglobin concentrations and their
changes on cardiovascular and all-cause mortality risks. METHODS AND RESULTS: We
retrospectively analyzed a cohort from the NHIS-HEALS (National Health Insurance
Service-National Health Screening Cohort) database, including 170 078 men and 122
116 women without cardiovascular diseases, aged >40 years at baseline, with
hemoglobin concentrations available for both first and second health
examinations. We assessed 2 independent variables: "One-time" hemoglobin
concentrations and changes in hemoglobin from first to second examination.
Participants were followed up for a median of 8 years to determine mortality
related to myocardial infarction, stroke, all cardiovascular diseases, and all
causes. Hemoglobin concentrations showed a U- or J-shaped association with
cardiovascular and all-cause mortality after adjusting for cardiovascular risk
factors. When anemic men achieved normal hemoglobin concentrations, the all-cause
mortality risk decreased, with an adjusted hazard ratio of 0.67 (95% confidence
interval, 0.59-0.77), in comparison with those whose anemia persisted. Both
increases and decreases of hemoglobin concentration outside the normal range
elevated all-cause mortality risk (adjusted hazard ratio: 1.39 [95% confidence
interval, 1.28-1.49] and 1.10 [95% confidence interval, 1.01-1.20],
respectively), compared with persistent normal hemoglobin concentrations. The
trend was similar in women but was less significant. CONCLUSIONS: Low or high
hemoglobin concentrations were associated with elevated cardiovascular and all
cause mortality. Reaching and maintaining hemoglobin concentrations within the
normal range correlated with decreased all-cause mortality.
PMID- 29378734
TI - Myocardial Injury in Patients With Sepsis and Its Association With Long-Term
Outcome.
AB - BACKGROUND: Sepsis is frequently complicated by the release of cardiac troponin,
but the clinical significance of this myocardial injury remains unclear. We
studied the associations between troponin release during sepsis and 1-year
outcomes. METHODS AND RESULTS: We enrolled consecutive patients with sepsis in 2
Dutch intensive care units between 2011 and 2013. Subjects with a clinically
apparent cause of troponin release were excluded. High-sensitivity cardiac
troponin I (hs-cTnI) concentration in plasma was measured daily during the first
4 intensive care unit days, and multivariable Cox regression analysis was used to
model its association with 1-year mortality while adjusting for confounding. In
addition, we studied cardiovascular morbidity occurring during the first year
after hospital discharge. Among 1258 patients presenting with sepsis, 1124 (89%)
were eligible for study inclusion. Hs-cTnI concentrations were elevated in 673
(60%) subjects on day 1, and 755 (67%) ever had elevated levels in the first 4
days. Cox regression analysis revealed that high hs-cTnI concentrations were
associated with increased death rates during the first 14 days (adjusted hazard
ratio, 1.72; 95% confidence interval, 1.14-2.59 and hazard ratio, 1.70; 95%
confidence interval, 1.10-2.62 for hs-cTnI concentrations of 100-500 and >500
ng/L, respectively) but not thereafter. Furthermore, elevated hs-cTnI levels were
associated with the development of cardiovascular disease among 200 hospital
survivors who were analyzed for this end point (adjusted subdistribution hazard
ratio, 1.25; 95% confidence interval, 1.04-1.50). CONCLUSIONS: Myocardial injury
occurs in the majority of patients with sepsis and is independently associated
with early-but not late-mortality, as well as postdischarge cardiovascular
morbidity.
PMID- 29378735
TI - New onset diabetes after nivolumab treatment.
AB - The authors describe a case of a life-threatening diabetic emergency 25 days
after initiation of nivolumab (3 mg/kg) for stage 4 lung adenocarcinoma. She was
admitted to the emergency department, with hyperglycaemia-related signs and
symptoms, such as polyuria, polydipsia, weight loss, confusion, asthenia,
dehydration, hypotension and Kussmaul respiratory pattern. Her body mass index
was 21.9 kg/m2 and she did not show acanthosis nigricans. Arterial blood gas
determination revealed high anion gap metabolic acidaemia and blood tests showed
hyperglycaemia (1060 mg/dL), hyperketonaemia (beta-hydroxybutyrate: 6.6 mmol/dL),
elevated total serum osmolality (389 mOsm/kg), low serum and urinary C-peptide
and positive antiglutamic acid decarboxylase antibodies. Since nivolumab was
initiated a few days before, and due to its known immune-mediated endocrine
adverse events, we assumed the diagnosis of new onset immune-mediated type 1
diabetes mellitus. After prompt and adequate treatment of diabetic
ketoacidosis/hyperosmolar hyperglycaemic state, she was discharged improved on
multiple daily injections of insulin.
PMID- 29378736
TI - Intravascular lymphoma presenting with postural hypotension.
AB - An 84-year-old woman presented with severe postural hypotension. Further
assessment revealed weight loss, fatigue and fever at night. On examination, she
had bilateral skin lesions on the inner thighs and skin biopsy revealed
intravascular high grade B cell lymphoma. This was successfully treated with
curative chemotherapy. The cause of the postural hypotension in this case was
felt likely to be autonomic neuropathy caused by neurovascular infiltration by
intravascular lymphoma. Treatment of the lymphoma has resolved the postural
hypotension, although some symptoms of postural instability persist.
PMID- 29378733
TI - Pathological Response in a Triple-Negative Breast Cancer Cohort Treated with
Neoadjuvant Carboplatin and Docetaxel According to Lehmann's Refined
Classification.
AB - Purpose: Triple-negative breast cancer (TNBC) requires the iden- tification of
reliable predictors of response to neoadjuvant chemotherapy (NACT). For this
purpose, we aimed to evaluate the performance of the TNBCtype-4 classifier in a
cohort of patients with TNBC treated with neoadjuvant carboplatin and docetaxel
(TCb).Methods: Patients with TNBC were accrued in a nonrandomized trial of
neoadjuvant carboplatin AUC 6 and docetaxel 75 mg/m2 for six cycles. Response was
evaluated in terms of pathologic complete response (pCR, ypT0/is ypN0) and
residual cancer burden by Symmans and colleagues. Lehmann's subtyping was
performed using the TNBCtype online tool from RNAseq data, and germline
sequencing of a panel of seven DNA damage repair genes was conducted.Results:
Ninety-four out of the 121 patients enrolled in the trial had RNAseq available.
The overall pCR rate was 44.7%. Lehmann subtype distribution was 34.0% BL1, 20.2%
BL2, 23.4% M, 14.9% LAR, and 7.4% were classified as ER+. Response to NACT with
TCb was significantly associated with Lehmann subtype (P = 0.027), even in
multivariate analysis including tumor size and nodal involvement, with BL1
patients achieving the highest pCR rate (65.6%), followed by BL2 (47.4%), M
(36.4%), and LAR (21.4%). BL1 was associated with a significant younger age at
diagnosis and higher ki67 values. Among our 10 germline mutation carriers, 30%
were BL1, 40% were BL2, and 30% were M.Conclusions: TNBCtype-4 is associated with
significantly different pCR rates for the different subtypes, with BL1 and LAR
displaying the best and worse responses to NACT, respectively. Clin Cancer Res;
24(8); 1845-52. (c)2018 AACR.
PMID- 29378737
TI - Cryptosporidium diagnosed on endoscopic biopsy in a paediatric patient with
inflammatory bowel disease.
AB - Cryptosporidium, a parasitic infection commonly associated with diarrhoea, may be
difficult to differentiate from a flare in patients with inflammatory bowel
disease and can lead to unnecessary therapy and increase in morbidity and
mortality. We report the case of a paediatric patient who had substantial stool
output requiring significant fluid resuscitation and who was later diagnosed with
cryptosporidium on endoscopic biopsy. Diagnostic work up for cryptosporidium
should be strongly considered when a patient presents with a flare involving
massive stool output.
PMID- 29378738
TI - Pott's disease associated with large and multiple abscesses in a 30-year-old
migrant from Chad.
PMID- 29378744
TI - Commentary.
PMID- 29378742
TI - Restricted IgG-Kappa and Free Alpha-Heavy-Chain Bands in an Asymptomatic 62-Year
Old Man.
PMID- 29378741
TI - Chronic incomplete non-puerperal uterine inversion due to huge submucous fibroid:
diagnosis and management.
PMID- 29378747
TI - Persistent Increase in Alkaline Phosphatase.
PMID- 29378745
TI - A Newborn with Hypothermia and Hyperammonemia.
PMID- 29378746
TI - Atypical Pseudohyponatremia.
PMID- 29378739
TI - Segmental dilatation of small bowel presenting with severe anaemia: a diagnostic
puzzle.
AB - A 7-year-old boy presented to Paediatric outpatient with worsening lethargy and
tiredness. On examination he had extreme pallor. Blood investigations confirmed
severe iron deficiency anaemia. He was started on iron supplements and received
blood transfusion. However, the response to iron treatment was suboptimal, he
therefore underwent extensive workup for the cause of iron deficiency anaemia.
The barium meal showed dilated segments of ileum with two distal stenoses. The
surgical resection of the involved segment was performed with end to end
anastamosis. Histology of the resected segment was inconclusive of inflammatory
bowel disease, malignancy or vascular malformation. The child has remained well
since surgery with no further blood transfusion or iron therapy.
PMID- 29378748
TI - A Shark-Human Chimera.
PMID- 29378740
TI - Fuchs syndrome: a case of fever, mucositis and conjunctivitis.
PMID- 29378749
TI - Group Work.
AB - Science, technology, engineering, and mathematics faculty are increasingly
incorporating both formal and informal group work in their courses. Implementing
group work can be improved by an understanding of the extensive body of
educational research studies on this topic. This essay describes an online,
evidence-based teaching guide published by CBE-Life Sciences Education (LSE). The
guide provides a tour of research studies and resources related to group work
(including many articles from LSE). Instructors who are new to group work, as
well as instructors who have experienced difficulties in implementing group work,
may value the condensed summaries of key research findings. These summaries are
organized by teaching challenges, and actionable advice is provided in a
checklist for instructors. Education researchers may value the inclusion of
empirical studies, key reviews, and meta-analyses of group-work studies. In
addition to describing key features of the guide, this essay also identifies
areas in which further empirical studies are warranted.
PMID- 29378754
TI - Repurposing Metformin for Cardiovascular Disease.
PMID- 29378758
TI - Sham-Controlled Trial Questions Benefit of Stents for Stable Angina.
PMID- 29378755
TI - The Cardiac Troponin Renal Disease Diagnostic Conundrum: Past, Present, and
Future.
PMID- 29378756
TI - Lymphangiogenesis in Chronic Rejection and Coronary Allograft Vasculopathy: An
Emerging Diagnostic and Therapeutic Target?
PMID- 29378751
TI - Scientific Presenting: Using Evidence-Based Classroom Practices to Deliver
Effective Conference Presentations.
AB - Scientists and educators travel great distances, spend significant time, and
dedicate substantial financial resources to present at conferences. This
highlights the value placed on conference interactions. Despite the importance of
conferences, very little has been studied about what is learned from the
presentations and how presenters can effectively achieve their goals. This essay
identifies several challenges presenters face when giving conference
presentations and discusses how presenters can use the tenets of scientific
teaching to meet these challenges. We ask presenters the following questions: How
do you engage the audience and promote learning during a presentation? How do you
create an environment that is inclusive for all in attendance? How do you gather
feedback from the professional community that will help to further advance your
research? These questions target three broad goals that stem from the scientific
teaching framework and that we propose are of great importance at conferences:
learning, equity, and improvement. Using a backward design approach, we discuss
how the lens of scientific teaching and the use of specific active-learning
strategies can enhance presentations, improve their utility, and ensure that a
presentation is broadly accessible to all audience members.
PMID- 29378750
TI - Trust, Growth Mindset, and Student Commitment to Active Learning in a College
Science Course.
AB - There is growing consensus regarding the effectiveness of active-learning
pedagogies in college science courses. Less is known about ways that student
level factors contribute to positive outcomes in these contexts. The present
study examines students' (N = 245) trust in the instructor-defined as perceptions
of their instructor's understanding, acceptance, and care-and students' attitudes
toward learning within an anatomy and physiology course featuring active
learning. Analyses indicate that student trust of instructor and students' views
of their own intelligence are both associated with student commitment to, and
engagement in, active learning. Student-reported trust of the instructor
corresponded to final grade, while students' views of their own intelligence did
not. In an active-learning context in which students are more fully engaged in
the learning process, student trust of the instructor was an important
contributor to desired student outcomes.
PMID- 29378760
TI - Unique ECG During Sinus Rhythm in a Patient With a Postmyocardial Infarction
Sustained Ventricular Tachycardia.
PMID- 29378759
TI - Highlights From the Circulation Family of Journals.
PMID- 29378753
TI - Exercise and Hypertrophic Cardiomyopathy: Time for a Change of Heart.
PMID- 29378743
TI - Commentary.
PMID- 29378752
TI - Benefit-Cost Analysis of Undergraduate Education Programs: An Example Analysis of
the Freshman Research Initiative.
AB - Institutions and administrators regularly have to make difficult choices about
how best to invest resources to serve students. Yet economic evaluation, or the
systematic analysis of the relationship between costs and outcomes of a program
or policy, is relatively uncommon in higher education. This type of evaluation
can be an important tool for decision makers considering questions of resource
allocation. Our purpose with this essay is to describe methods for conducting one
type of economic evaluation, a benefit-cost analysis (BCA), using an example of
an existing undergraduate education program, the Freshman Research Initiative
(FRI) at the University of Texas Austin. Our aim is twofold: to demonstrate how
to apply BCA methodologies to evaluate an education program and to conduct an
economic evaluation of FRI in particular. We explain the steps of BCA, including
assessment of costs and benefits, estimation of the benefit-cost ratio, and
analysis of uncertainty. We conclude that the university's investment in FRI
generates a positive return for students in the form of increased future earning
potential.
PMID- 29378757
TI - Systemic Right Ventricle in Adults With Congenital Heart Disease: Anatomic and
Phenotypic Spectrum and Current Approach to Management.
AB - The systemic right ventricle (SRV) is commonly encountered in congenital heart
disease representing a distinctly different model in terms of its anatomic
spectrum, adaptation, clinical phenotype, and variable, but overall guarded
prognosis. The most common clinical scenarios where an SRV is encountered are
complete transposition of the great arteries with previous atrial switch repair,
congenitally corrected transposition of the great arteries, double inlet right
ventricle mostly with previous Fontan palliation, and hypoplastic left heart
syndrome palliated with the Norwood-Fontan protocol. The reasons for the guarded
prognosis of the SRV in comparison with the systemic left ventricle are
multifactorial, including distinct fibromuscular architecture, shape and
function, coronary artery supply mismatch, intrinsic abnormalities of the
tricuspid valve, intrinsic or acquired conduction abnormalities, and varied SRV
adaptation to pressure or volume overload. Management of the SRV remains an
ongoing challenge because SRV dysfunction has implications on short- and long
term outcomes for all patients irrespective of underlying cardiac morphology. SRV
dysfunction can be subclinical, underscoring the need for tertiary follow-up and
timely management of target hemodynamic lesions. Catheter interventions and
surgery have an established role in selected patients. Cardiac resynchronization
therapy is increasingly used, whereas pharmacological therapy is largely
empirical. Mechanical assist device and heart transplantation remain options in
end-stage heart failure when other management strategies have been exhausted. The
present report focuses on the SRV with its pathological subtypes,
pathophysiology, clinical features, current management strategies, and long-term
sequelae. Although our article touches on issues applicable to neonates and
children, its main focus is on adults with SRV.
PMID- 29378761
TI - Does Sport Participation Worsen the Clinical Course of Hypertrophic
Cardiomyopathy? Clinical Outcome of Hypertrophic Cardiomyopathy in Athletes.
PMID- 29378762
TI - High Prevalence of Occult Heart Failure With Preserved Ejection Fraction Among
Patients With Atrial Fibrillation and Dyspnea.
PMID- 29378763
TI - Letter by Jin-shan and Xue-bin Regarding Article, "Prevalence of Subclinical
Coronary Artery Disease in Masters Endurance Athletes With a Low Atherosclerotic
Risk Profile".
PMID- 29378764
TI - Letter by Harrell et al Regarding Article, "Prevalence of Subclinical Coronary
Artery Disease in Masters Endurance Athletes With a Low Atherosclerotic Risk
Profile".
PMID- 29378765
TI - Letter by Schmermund Regarding Article, "Prevalence of Subclinical Coronary
Artery Disease in Masters Endurance Athletes With a Low Atherosclerotic Risk
Profile".
PMID- 29378766
TI - Response by Merghani et al to Letters Regarding Article, "Prevalence of
Subclinical Coronary Artery Disease in Masters Endurance Athletes With a Low
Atherosclerotic Risk Profile".
PMID- 29378767
TI - Perilipin 5 Deletion Unmasks an Endoplasmic Reticulum Stress-Fibroblast Growth
Factor 21 Axis in Skeletal Muscle.
AB - Lipid droplets (LDs) are critical for the regulation of lipid metabolism, and
dysregulated lipid metabolism contributes to the pathogenesis of several
diseases, including type 2 diabetes. We generated mice with muscle-specific
deletion of the LD-associated protein perilipin 5 (PLIN5, Plin5MKO ) and
investigated PLIN5's role in regulating skeletal muscle lipid metabolism,
intracellular signaling, and whole-body metabolic homeostasis. High-fat feeding
induced changes in muscle lipid metabolism of Plin5MKO mice, which included
increased fatty acid oxidation and oxidative stress but, surprisingly, a
reduction in inflammation and endoplasmic reticulum (ER) stress. These muscle
specific effects were accompanied by whole-body glucose intolerance, adipose
tissue insulin resistance, and reduced circulating insulin and C-peptide levels
in Plin5MKO mice. This coincided with reduced secretion of fibroblast growth
factor 21 (FGF21) from skeletal muscle and liver, resulting in reduced
circulating FGF21. Intriguingly, muscle-secreted factors from Plin5MKO , but not
wild-type mice, reduced hepatocyte FGF21 secretion. Exogenous correction of FGF21
levels restored glycemic control and insulin secretion in Plin5MKO mice. These
results show that changes in lipid metabolism resulting from PLIN5 deletion
reduce ER stress in muscle, decrease FGF21 production by muscle and liver, and
impair glycemic control. Further, these studies highlight the importance for
muscle-liver cross talk in metabolic regulation.
PMID- 29378768
TI - Chromothripsis and ring chromosome 22: a paradigm of genomic complexity in the
Phelan-McDermid syndrome (22q13 deletion syndrome).
AB - INTRODUCTION: Phelan-McDermid syndrome (PMS) is caused by SHANK3
haploinsufficiency. Its wide phenotypic variation is attributed partly to the
type and size of 22q13 genomic lesion (deletion, unbalanced translocation, ring
chromosome), partly to additional undefined factors. We investigated a child with
severe global neurodevelopmental delay (NDD) compatible with her distal 22q13
deletion, complicated by bilateral perisylvian polymicrogyria (BPP) and
urticarial rashes, unreported in PMS. METHODS: Following the cytogenetic and
array-comparative genomic hybridization (CGH) detection of a r(22) with SHANK3
deletion and two upstream duplications, whole-genome sequencing (WGS) in blood
and whole-exome sequencing (WES) in blood and saliva were performed to highlight
potential chromothripsis/chromoanagenesis events and any possible BPP-associated
variants, even in low-level mosaicism. RESULTS: WGS confirmed the deletion and
highlighted inversion and displaced order of eight fragments, three of them
duplicated. The microhomology-mediated insertion of partial Alu-elements at one
breakpoint junction disrupted the topological associating domain joining NFAM1 to
the transcriptional coregulator TCF20. WES failed to detect BPP-associated
variants. CONCLUSIONS: Although we were unable to highlight the molecular basis
of BPP, our data suggest that SHANK3 haploinsufficiency and TCF20 misregulation,
both associated with intellectual disability, contributed to the patient's NDD,
while NFAM1 interruption likely caused her skin rashes, as previously reported.
We provide the first example of chromoanasynthesis in a constitutional ring
chromosome and reinforce the growing evidence that chromosomal rearrangements may
be more complex than estimated by conventional diagnostic approaches and affect
the phenotype by global alteration of the topological chromatin organisation
rather than simply by deletion or duplication of dosage-sensitive genes.
PMID- 29378769
TI - Neuropsychiatric and metabolic aspects of dopaminergic therapy: perspectives from
an endocrinologist and a psychiatrist.
AB - The dopaminergic treatment represents the primary treatment in prolactinomas,
which are the most common pituitary adenomas and account for about 40% of all
pituitary tumours with an annual incidence of six to ten cases per million
population. The dopaminergic treatment includes ergot and non-ergot derivatives
with high affinity for the dopamine receptors D1 or/and D2. Through the
activation of the dopaminergic pathway on pituitary lactotrophs, the dopamine
agonists inhibit the prolactin synthesis and secretion, therefore normalizing the
prolactin levels and restoring eugonadism, but they also lead to tumour
shrinkage. Treatment with dopamine agonists has been associated - apart from the
common side effects such as gastrointestinal symptoms, dizziness and hypotension
with neuropsychiatric side effects such as impulse control disorders (e.g.
pathological gambling, compulsive shopping, hypersexuality and binge eating) and
also with behavioral changes from low mood, irritability and verbal
aggressiveness up to psychotic and manic symptoms and paranoid delusions not only
in patients with prolactinomas but also in patients with Parkinson's disease and
restless leg syndrome. They usually have de novo onset after initiation of the
dopaminergic treatment and have been mainly reported in patients with Parkinson's
disease, who are being treated with higher doses of dopamine agonists. Moreover,
dopamine and prolactin seem to play an essential role in the metabolic pathway.
Patients with hyperprolactinemia tend to have increased body weight and an
altered metabolic profile with hyperinsulinemia and increased prevalence of
diabetes mellitus in comparison to healthy individuals and patients with non
functioning pituitary adenomas. Treatment with dopamine agonists in these
patients in short-term studies seems to lead to weight loss and amelioration of
the metabolic changes. Together these observations provide evidence that dopamine
and prolactin have a crucial role both in the regard and metabolic system,
findings that merit further investigation in long-term studies.
PMID- 29378770
TI - Management of Membranous Nephropathy in the PLA2R Era.
PMID- 29378771
TI - Anti-insulin-like growth factor therapy in breast cancer.
AB - Early preclinical and population data suggested a role for the type I insulin
like growth factor receptor (IGF1R) in the regulation of breast cancer growth and
survival. To target this pathway, multiple monoclonal antibodies and tyrosine
kinase inhibitors were developed and tested in clinical trials. While some of the
early clinical trials suggested a benefit for these drugs, none of the attempts
showed improved outcomes when compared to conventional therapy. This failure of
the IGF1R inhibitors was pronounced in breast cancer; multiple trials testing
IGF1R inhibition in estrogen receptor-positive breast cancer were conducted, none
showed benefit. This review will evaluate the rationale for IGF1R inhibition,
discuss results of the clinical trials and suggest a path forward.
PMID- 29378773
TI - Mindful choice of endoscopic resection for large colorectal lesions.
PMID- 29378772
TI - Circadian rhythms in mitochondrial respiration.
AB - Many physiological processes are regulated with a 24-h periodicity to anticipate
the environmental changes of daytime to nighttime and vice versa. These 24-h
regulations, commonly termed circadian rhythms, among others control the sleep
wake cycle, locomotor activity and preparation for food availability during the
active phase (daytime for humans and nighttime for nocturnal animals). Disturbing
circadian rhythms at the organ or whole-body level by social jetlag or shift
work, increases the risk to develop chronic metabolic diseases such as type 2
diabetes mellitus. The molecular basis of this risk is a topic of increasing
interest. Mitochondria are essential organelles that produce the majority of
energy in eukaryotes by converting lipids and carbohydrates into ATP through
oxidative phosphorylation. To adapt to the ever-changing environment,
mitochondria are highly dynamic in form and function and a loss of this
flexibility is linked to metabolic diseases. Interestingly, recent studies have
indicated that changes in mitochondrial morphology (i.e., fusion and fission) as
well as generation of new mitochondria are dependent on a viable circadian clock.
In addition, fission and fusion processes display diurnal changes that are
aligned to the light/darkness cycle. Besides morphological changes, mitochondrial
respiration also displays diurnal changes. Disturbing the molecular clock in
animal models leads to abrogated mitochondrial rhythmicity and altered
respiration. Moreover, mitochondrial-dependent production of reactive oxygen
species, which plays a role in cellular signaling, has also been linked to the
circadian clock. In this review, we will summarize recent advances in the study
of circadian rhythms of mitochondria and how this is linked to the molecular
circadian clock.
PMID- 29378774
TI - CD1d-mediated lipid presentation by CD11c+ cells regulates intestinal
homeostasis.
AB - Intestinal homeostasis relies on a continuous dialogue between the commensal
bacteria and the immune system. Natural killer T (NKT) cells, which recognize
CD1d-restricted microbial lipids and self-lipids, contribute to the regulation of
mucosal immunity, yet the mechanisms underlying their functions remain poorly
understood. Here, we demonstrate that NKT cells respond to intestinal lipids and
CD11c+ cells (including dendritic cells (DCs) and macrophages) are essential to
mediate lipid presentation within the gut ultimately controlling intestinal NKT
cell homeostasis and activation. Conversely, CD1d and NKT cells participate in
the control of the intestinal bacteria composition and compartmentalization, in
the regulation of the IgA repertoire and in the induction of regulatory T cells
within the gut. These changes in intestinal homeostasis require CD1d expression
on DC/macrophage populations as mice with conditional deletion of CD1d on CD11c+
cells exhibit dysbiosis and altered immune homeostasis. These results unveil the
importance of CD11c+ cells in controlling lipid-dependent immunity in the
intestinal compartment and reveal an NKT cell-DC crosstalk as a key mechanism for
the regulation of gut homeostasis.
PMID- 29378777
TI - Trends in Diabetes-Related Preventable Hospitalizations in the U.S., 2005-2014.
PMID- 29378776
TI - Excess Mortality in Patients With Type 1 Diabetes Without Albuminuria-Separating
the Contribution of Early and Late Risks.
AB - OBJECTIVE: The current study investigated whether the risk of mortality in
patients with type 1 diabetes without any signs of albuminuria is different than
in the general population and matched control subjects without diabetes. RESEARCH
DESIGN AND METHODS: We studied a nationwide, population-based Finnish register of
10,737 patients diagnosed with type 1 diabetes during 1980-2005 and followed for
10 years and 2,544 adults with long-standing diabetes drawn from the Finnish
Diabetic Nephropathy Study (FinnDiane). Mortality was compared with the general
Finnish population and 6,655 control subjects without diabetes. RESULTS: The
standardized mortality ratio (SMR) was increased during the first 10 years after
the diagnosis (2.58 [95% CI 2.07-3.18], P < 0.001). Mortality in adults with long
standing diabetes, but without albuminuria, was no different from that of the
general population (1.02 [0.84-1.22], P = 0.83). However, it was higher compared
with that of control subjects without diabetes (1.33 [1.06-1.66], P = 0.01).
Excess mortality was largely due to acute diabetes complications and ischemic
heart disease, which remained more than fourfold higher (mortality rate ratio
4.34 [2.49-7.57]) in adults with type 1 diabetes than in control subjects without
diabetes, despite the absence of albuminuria. By contrast, deaths due to alcohol
and drugs were reduced in adults with type 1 diabetes (P = 0.007), especially in
men. CONCLUSIONS: Excess mortality in type 1 diabetes is the result of its
complications. Acute complications drive an increased SMR in the first years. In
individuals who remain free of albuminuria, mortality due to ischemic heart
disease is still four times higher, and acute complications also occur.
PMID- 29378775
TI - ERAD-dependent control of the Wnt secretory factor Evi.
AB - Active regulation of protein abundance is an essential strategy to modulate
cellular signaling pathways. Within the Wnt signaling cascade, regulated
degradation of beta-catenin by the ubiquitin-proteasome system (UPS) affects the
outcome of canonical Wnt signaling. Here, we found that abundance of the Wnt
cargo receptor Evi (Wls/GPR177), which is required for Wnt protein secretion, is
also regulated by the UPS through endoplasmic reticulum (ER)-associated
degradation (ERAD). In the absence of Wnt ligands, Evi is ubiquitinated and
targeted for ERAD in a VCP-dependent manner. Ubiquitination of Evi involves the
E2-conjugating enzyme UBE2J2 and the E3-ligase CGRRF1. Furthermore, we show that
a triaging complex of Porcn and VCP determines whether Evi enters the secretory
or the ERAD pathway. In this way, ERAD-dependent control of Evi availability
impacts the scale of Wnt protein secretion by adjusting the amount of Evi to meet
the requirement of Wnt protein export. As Wnt and Evi protein levels are often
dysregulated in cancer, targeting regulatory ERAD components might be a useful
approach for therapeutic interventions.
PMID- 29378778
TI - Deficiency in T follicular regulatory cells promotes autoimmunity.
AB - T follicular regulatory (Tfr) cells are a new subset of regulatory T (T reg)
cells localized in the germinal center to limit the humoral response. Until now,
the physiological function of Tfr cells has been largely unknown. In this study,
we developed a Bcl6fl/flFoxp3Cre mouse to analyze the function of Tfr cells in
immune and autoimmune responses. These mice exhibited enhanced immunity to
influenza virus; moreover, Bcl6fl/flFoxp3Cre/Cre mice developed late-onset
spontaneous autoimmune diseases, affecting the salivary glands with lymphocyte
infiltration and antibody deposition. In a mouse experimental Sjogren's syndrome
model, ablation of Bcl6 in T reg cells greatly enhanced disease development.
Conversely, Bcl6fl/flCd4Cre mice were protected in the model. Thus, our study
indicates that Tfr cells control autoimmune diseases and can be targeted in
infectious and autoimmune disease.
PMID- 29378779
TI - Geographic epidemiology of medullary thyroid cancer families: unearthing European
ancestral heritage.
PMID- 29378780
TI - Visualizing transcription factor dynamics in living cells.
AB - The assembly of sequence-specific enhancer-binding transcription factors (TFs) at
cis-regulatory elements in the genome has long been regarded as the fundamental
mechanism driving cell type-specific gene expression. However, despite extensive
biochemical, genetic, and genomic studies in the past three decades, our
understanding of molecular mechanisms underlying enhancer-mediated gene
regulation remains incomplete. Recent advances in imaging technologies now enable
direct visualization of TF-driven regulatory events and transcriptional
activities at the single-cell, single-molecule level. The ability to observe the
remarkably dynamic behavior of individual TFs in live cells at high
spatiotemporal resolution has begun to provide novel mechanistic insights and
promises new advances in deciphering causal-functional relationships of TF
targeting, genome organization, and gene activation. In this review, we review
current transcription imaging techniques and summarize converging results from
various lines of research that may instigate a revision of models to describe key
features of eukaryotic gene regulation.
PMID- 29378783
TI - Correction: Mind the (sr)GAP - roles of Slit-Robo GAPs in neurons, brains and
beyond (doi: 10.1242/jcs.207456).
PMID- 29378781
TI - The role of lipoprotein (a) in chronic kidney disease.
AB - Lipoprotein (a) [Lp(a)] and its measurement, structure and function, the impact
of ethnicity and environmental factors, epidemiological and genetic associations
with vascular disease, and new prospects in drug development have been
extensively examined throughout this Thematic Review Series on Lp(a). Studies
suggest that the kidney has a role in Lp(a) catabolism, and that Lp(a) levels are
increased in association with kidney disease only for people with large apo(a)
isoforms. By contrast, in those patients with large protein losses, as in the
nephrotic syndrome and continuous ambulatory peritoneal dialysis, Lp(a) is
increased irrespective of apo(a) isoform size. Such acquired abnormalities can be
reversed by kidney transplantation or remission of nephrosis. In this Thematic
Review, we focus on the relationship between Lp(a), chronic kidney disease, and
risk of cardiovascular events.
PMID- 29378784
TI - Dutch practice of euthanasia and assisted suicide: a glimpse at the edges of the
practice.
PMID- 29378782
TI - Inhibition of acid sphingomyelinase disrupts LYNUS signaling and triggers
autophagy.
AB - Activation of the lysosomal ceramide-producing enzyme, acid sphingomyelinase
(ASM), by various stresses is centrally involved in cell death and has been
implicated in autophagy. We set out to investigate the role of the baseline ASM
activity in maintaining physiological functions of lysosomes, focusing on the
lysosomal nutrient-sensing complex (LYNUS), a lysosomal membrane-anchored
multiprotein complex that includes mammalian target of rapamycin (mTOR) and
transcription factor EB (TFEB). ASM inhibition with imipramine or sphingomyelin
phosphodiesterase 1 (SMPD1) siRNA in human lung cells, or by transgenic Smpd1+/-
haploinsufficiency of mouse lungs, markedly reduced mTOR- and P70-S6 kinase (Thr
389)-phosphorylation and modified TFEB in a pattern consistent with its
activation. Inhibition of baseline ASM activity significantly increased autophagy
with preserved degradative potential. Pulse labeling of sphingolipid metabolites
revealed that ASM inhibition markedly decreased sphingosine (Sph) and Sph-1
phosphate (S1P) levels at the level of ceramide hydrolysis. These findings
suggest that ASM functions to maintain physiological mTOR signaling and inhibit
autophagy and implicate Sph and/or S1P in the control of lysosomal function.
PMID- 29378785
TI - The Women's Health Initiative (WHI) Life and Longevity After Cancer (LILAC)
Study: Description and Baseline Characteristics of Participants.
AB - Background: The Women's Health Initiative (WHI) Life and Longevity After Cancer
(LILAC) study offers an important opportunity to advance cancer research by
extending the original WHI studies to examine survivorship in women diagnosed
with cancer during their participation in WHI.Methods: The goals of LILAC are to
(i) obtain cancer treatment information and long-term cancer outcomes for women
diagnosed with one of eight selected cancers (breast, endometrial, ovarian, lung,
and colorectal cancers, and melanoma, lymphoma, and leukemia); (ii) augment the
existing WHI biorepository with fixed tumor tissue from the solid tumor sites for
cancers diagnosed since 2002; and (iii) develop, refine, and validate methods to
use administrative data to capture treatment and recurrence data. Methods for
accomplishing these goals are described, as are results from the initial LILAC
participant survey.Results: A total of 9,934 WHI participants living with cancer
were eligible for LILAC participation, of which 78% (N = 7,760) agreed to
participate. Among the three most prevalent cancer types, 54% are breast cancer
survivors, 11% are melanoma survivors, and 10% are survivors of colorectal
cancer.Conclusions: In addition to describing this resource, we present pertinent
lessons that may assist other investigators interested in embedding survivorship
research into existing large epidemiologic cohorts.Impact: The LILAC resource
offers a valuable opportunity for researchers to study cancer survivorship and
issues pertinent to cancer survivors in future studies. Cancer Epidemiol
Biomarkers Prev; 27(2); 125-37. (c)2017 AACR.
PMID- 29378786
TI - What drives UK military personnel to seek mental healthcare, work strain or
something else?
AB - BACKGROUND: The numbers of UK military personnel referred to military departments
of community mental health (DCMH) have increased annually over recent years; the
reasons for such an increase are unclear. METHOD: Data for this study were
derived from 549 DCMH attendees and 3682 serving regular military personnel. DCMH
attendees completed a checklist of potential reasons for help-seeking. Cohort
members provided data on perceived mental health problems and help-seeking from
specialist mental health services. Both samples provided work strain and basic
sociodemographic data. Work strain levels were compared among cohort and DCMH
help seekers and non-help seekers using adjusted logistic regression analyses.
RESULTS: Perceiving that mental health-related stigmatisation had reduced and
being prompted to seek help by attending a health promotion event were among the
least frequent reasons for seeking help in DCMH attendees. Realising that help
was needed and being urged to seek help by one's partner, friends or family were
the most common. Working very hard and experiencing excessive work were the most
common work strain factors. Overall, the greatest levels of work strain were
found among DCMH attendees. In all subsamples, work strain was significantly
associated with experiencing a perceived mental health problem irrespective of
whether help was sought or not. CONCLUSION: Work strain was significantly
associated with experiencing a stressful, emotional, mental health or alcohol
problem and was the highest among current DCMH help seekers. Recognising that
help was required and being prompted by a significant other were the main drivers
for help-seeking among DCMH attendees.
PMID- 29378787
TI - Widespread transcriptional pausing and elongation control at enhancers.
AB - Regulation by gene-distal enhancers is critical for cell type-specific and
condition-specific patterns of gene expression. Thus, to understand the basis of
gene activity in a given cell type or tissue, we must identify the precise
locations of enhancers and functionally characterize their behaviors. Here, we
demonstrate that transcription is a nearly universal feature of enhancers in
Drosophila and mammalian cells and that nascent RNA sequencing strategies are
optimal for identification of both enhancers and superenhancers. We dissect the
mechanisms governing enhancer transcription and discover remarkable similarities
to transcription at protein-coding genes. We show that RNA polymerase II (RNAPII)
undergoes regulated pausing and release at enhancers. However, as compared with
mRNA genes, RNAPII at enhancers is less stable and more prone to early
termination. Furthermore, we found that the level of histone H3 Lys4 (H3K4)
methylation at enhancers corresponds to transcriptional activity such that highly
active enhancers display H3K4 trimethylation rather than the H3K4 monomethylation
considered a hallmark of enhancers. Finally, our work provides insights into the
unique characteristics of superenhancers, which stimulate high-level gene
expression through rapid pause release; interestingly, this property renders
associated genes resistant to the loss of factors that stabilize paused RNAPII.
PMID- 29378788
TI - The degree of enhancer or promoter activity is reflected by the levels and
directionality of eRNA transcription.
AB - Gene expression is regulated by promoters, which initiate transcription, and
enhancers, which control their temporal and spatial activity. However, the
discovery that mammalian enhancers also initiate transcription questions the
inherent differences between enhancers and promoters. Here, we investigate the
transcriptional properties of enhancers during Drosophila embryogenesis using
characterized developmental enhancers. We show that while the timing of enhancer
transcription is generally correlated with enhancer activity, the levels and
directionality of transcription are highly varied among active enhancers. To
assess how this impacts function, we developed a dual transgenic assay to
simultaneously measure enhancer and promoter activities from a single element in
the same embryo. Extensive transgenic analysis revealed a relationship between
the direction of endogenous transcription and the ability to function as an
enhancer or promoter in vivo, although enhancer RNA (eRNA) production and
activity are not always strictly coupled. Some enhancers (mainly bidirectional)
can act as weak promoters, producing overlapping spatio-temporal expression.
Conversely, bidirectional promoters often act as strong enhancers, while
unidirectional promoters generally cannot. The balance between enhancer and
promoter activity is generally reflected in the levels and directionality of eRNA
transcription and is likely an inherent sequence property of the elements
themselves.
PMID- 29378789
TI - Teenage exercise is associated with earlier symptom onset in dysferlinopathy: a
retrospective cohort study.
PMID- 29378790
TI - Features of GBA-associated Parkinson's disease at presentation in the UK Tracking
Parkinson's study.
AB - OBJECTIVES: To examine the influence of the glucocerebrosidase (GBA) mutation
carrier state on age at onset of Parkinson's disease (PD), the motor phenotype
and cognitive function at baseline assessment in a large cohort of UK patients.
We also analysed the prevalence of mood and behavioural problems that may
confound the assessment of cognitive function. METHODS: We prospectively
recruited patients with PD in the Tracking Parkinson's study. We fully sequenced
the GBA gene in all recently diagnosed patients (<=3.5 years). We examined
cognitive (Montreal Cognitive Assessment) and motor (Movement Disorder Society
Unified Parkinson's Disease Rating Scale part 3) function at a baseline
assessment, at an average of 1.3 years after diagnosis. We used logistic
regression to determine predictors of PD with mild cognitive impairment and PD
with dementia. RESULTS: We studied 1893 patients with PD: 48 (2.5%) were
heterozygous carriers for known Gaucher's disease (GD) causing pathogenic
mutations; 117 (6.2%) had non-synonymous variants, previously associated with PD,
and 28 (1.5%) patients carried variants of unknown significance in the GBA gene.
L444P was the most common pathogenic GBA mutation. Patients with pathogenic GBA
mutations were on average 5 years younger at disease onset compared with non
carriers (P=0.02). PD patients with GD-causing mutations did not have an
increased family risk of PD. Patients with GBA mutations were more likely to
present with the postural instability gait difficulty phenotype compared with non
carriers (P=0.02). Patients carrying pathogenic mutations in GBA had more
advanced Hoehn and Yahr stage after adjustment for age and disease duration
compared with non-carriers (P=0.005). There were no differences in cognitive
function between GBA mutation carriers and non-carriers at this early disease
stage. CONCLUSIONS: Our study confirms the influence of GBA mutations on the age
of onset, disease severity and motor phenotype in patients with PD. Cognition did
not differ between GBA mutation carriers and non-carriers at baseline, implying
that cognitive impairment/dementia, reported in other studies at a later disease
stage, is not present in recently diagnosed cases. This offers an important
window of opportunity for potential disease-modifying therapy that may protect
against the development of dementia in GBA-PD. CLINICAL TRIAL REGISTRATION:
NCT02881099; Results.
PMID- 29378791
TI - Pneumococcal Phenotype and Interaction with Nontypeable Haemophilus influenzae as
Determinants of Otitis Media Progression.
AB - All-cause otitis media (OM) incidence has declined in numerous settings following
introduction of pneumococcal conjugate vaccines (PCVs) despite increases in
carriage of nonvaccine pneumococcal serotypes escaping immune pressure. To
understand the basis for the declining incidence, we assessed the intrinsic
capacity of pneumococcal serotypes to cause OM independently and in polymicrobial
infections involving nontypeable Haemophilus influenzae (NTHi) using samples
obtained from middle ear fluid and nasopharyngeal cultures before PCV7/13
rollout. Data included samples from OM episodes (11,811) submitted for cultures
during a 10-year prospective study in southern Israel and nasopharyngeal samples
(1,588) from unvaccinated asymptomatic children in the same population. We
compared data representing pneumococcal serotype diversity across carriage and
disease isolates with and without NTHi coisolation. We also measured associations
between the pneumococcal phenotype and the rate of progression from colonization
to OM in the presence and absence of NTHi. Whereas pneumococcal serotype
diversity was lower in single-species OM than in single-species colonization,
levels of serotype diversity did not differ significantly between colonization
and OM in mixed-species episodes. Serotypes differed roughly 100-fold in
progression rates, and those differences were attenuated in polymicrobial
episodes. Vaccine serotype pneumococci had higher rates of progression than
nonvaccine serotypes. While serotype invasiveness was a weak predictor of the OM
progression rate, efficient capsular metabolic properties-traditionally thought
to serve as an advantage in colonization-predicted an enhanced rate of
progression to complex OM. The lower capacity of nonvaccine serotypes to cause OM
may partially account for reductions in all-cause OM incidence despite serotype
replacement in carriage following rollout of PCVs.
PMID- 29378792
TI - Brucella abortus senses the intracellular environment through the two-component
system BvrR/BvrS allowing the adaptation to its replicative niche.
AB - Brucella abortus is a facultative extracellular-intracellular pathogen belonging
a group of alpha-Proteobacteria that establishes close interactions with animal
cells. This bacterium enters host cells in a membrane bound compartment, avoiding
the lysosomal route and reaching the endoplasmic reticulum through the action of
the Type IV secretion system, VirB. In this work we demonstrate that the two
component system BvrR/BvrS senses the intracellular environment to mount the
transcriptional response required for intracellular life adaptation. By combining
a method to purify intracellularly extracted bacteria with a strategy that allows
direct determination of BvrR phosphorylation we showed that upon entrance to host
cells, the regulatory protein BvrR was activated (BvrR-P) by phosphorylation at
aspartate 58. This activation takes place in response to intracellular cues found
in early compartments, such as low pH and nutrient deprivation. Furthermore, BvrR
activation was followed by an increase in the expression of VjbR and VirB. The in
vitro activation of this BvrR-P/VjbR/VirB virulence circuit rescued B. abortus
from the inhibition of intracellular replication induced by bafilomycin treatment
of cells, demonstrating the relevance of this mechanism for the intracellular
bacterial survival and replication. Altogether, our results indicate that B.
abortus senses the transition from the extracellular to the intracellular milieu
through BvrR/BvrS allowing the bacterium to transit safely to its replicative
niche. These results serve as a working model for understanding the role of this
family of two-component systems in the adaptation to intracellular life of alpha
Proteobacteria.
PMID- 29378793
TI - Role of the inducible adhesin, CpAls7, in binding of Candida parapsilosis to
extracellular matrix under fluid shear.
AB - The yeast, Candida parapsilosis, is an increasingly common cause of systemic
fungal infections among the immune compromised, including premature infants.
Adhesion to host surfaces is an important step in pathogenesis, but this process
has not been extensively studied in this organism. A microfluidics assay was
developed to test the ability of C. parapsilosis to adhere to immobilized host
extracellular matrix proteins under physiologic fluid shear conditions. Growth in
mammalian tissue culture media at 37 degrees C for 3-6 hours led to induction of
an adhesive phenotype at shear forces of 1-5 dynes/cm2 in some isolates of C.
parapsilosis Glutamic acid, proline and calcium appeared to be the minimally
necessary requirements for increased adhesion in these assays. To determine
whether genes homologous to the ALS gene family of C. albicans were important for
the adhesive phenotype, expression of 5 homologous C. parapsilosis genes were
quantified using qPCR under conditions leading to increased adhesion.
CPAR2_404800 (CpALS7) and CPAR2_404780 showed increased expression compared to
control yeast. The extent of adhesion was variable among different isolates, and
linear regression identified expression of CpALS7 but not CPAR2_404780 to have a
strong positive correlation with adhesion. A homozygous CpALS7 deletion strain
was deficient in adhesion, whereas expression of CpALS7 in S. cerevisiae resulted
in increased adhesion. Together, these data provide strong evidence that CpAls7
aids in the adherence of C. parapsilosis to extracellular matrix under shear
forces and support its previously reported role in virulence.
PMID- 29378794
TI - Purification of Intracellular Bacterial Communities during Experimental Urinary
Tract Infection Reveals an Abundant and Viable Bacterial Reservoir.
AB - Urinary tract infections (UTIs) are a major infection of humans, particularly
affecting women. Recurrent UTIs can cause significant discomfort and expose
patients to high levels of antibiotic use, which in turn contributes to the
development of higher antibiotic resistance rates. Most UTIs are caused by
uropathogenic Escherichia coli, which is able to form intracellular collections
(termed intracellular bacterial communities [IBCs]) within the epithelial cells
lining the bladder lumen. IBCs are seen in both infected mice and humans and are
a potential cause of recurrent UTI. Genetic and molecular studies of IBCs have
been hampered both by the low number of bacteria in IBCs relative to the number
extracellular bacteria and by population bottlenecks that occur during IBC
formation. We now report the development of a simple and rapid technique for
isolating pure IBCs from experimentally infected mice. We verified the
specificity and purity of the isolated IBCs via microscopy, gene expression, and
culture-based methods. Our results further demonstrated that our isolation
technique practically enables specific molecular studies of IBCs. In the first
such direct measurement, we determined that a single epithelial cell containing
an early IBC typically contains 103 viable bacteria. Our isolation technique
complements recent progress in low-input, single-cell genomics to enable future
genomic studies of the formation of IBCs and their activation pathways during
recurrent UTI, which may lead to novel strategies to eliminate them from the
bladder.
PMID- 29378795
TI - Small GTPase Immunity-Associated Proteins Mediate Resistance to Toxoplasma gondii
Infection in Lewis Rat.
AB - Rats vary in their susceptibilities to Toxoplasma gondii infection depending on
the rat strain. Compared to the T. gondii-susceptible Brown Norway (BN) rat, the
Lewis (LEW) rat is extremely resistant to T. gondii Thus, these two rat strains
are ideal models for elucidating host mechanisms that are important for host
resistance to T. gondii infection. Therefore, in our efforts to unravel molecular
factors directing the protective early innate immune response in the LEW rat, we
performed RNA sequencing analysis of the LEW versus BN rat with or without T.
gondii infection. We identified three candidate small GTPase immunity-associated
proteins (GIMAPs) that were upregulated (false discovery rate, 0.05) in the LEW
rat in response to T. gondii infection. Subsequently, we engineered T. gondii
susceptible NR8383 rat macrophage cells for overexpression of LEW rat-derived
candidate GIMAP 4, 5, and 6. By immunofluorescence analysis we observed that
GIMAP 4, 5, and 6 in T. gondii-infected NR8383 cells each colocalized with GRA5,
a parasite parasitophorous vacuole membrane (PVM) marker protein, suggesting
their translocation to the PVM. Interestingly, overexpression of each candidate
GIMAP in T. gondii-infected NR8383 cells induced translocation of LAMP1, a
lysosome marker protein, to the T. gondii surface membrane. Importantly,
overexpression of GIMAP 4, 5, or 6 individually inhibited intracellular T. gondii
growth, with GIMAP 4 having the highest inhibitory effect. Together, our findings
indicate that upregulation of GIMAP 4, 5, and 6 contributes to the robust
refractoriness of the LEW rat to T. gondii through induction of lysosomal fusion
to the otherwise nonfusogenic PVM.
PMID- 29378796
TI - Bacterial Periplasmic Oxidoreductases Control the Activity of Oxidized Human
Antimicrobial beta-Defensin 1.
AB - The antimicrobial peptide human beta-defensin 1 (hBD1) is continuously produced
by epithelial cells in many tissues. Compared to other defensins, hBD1 has only
minor antibiotic activity in its native state. After reduction of its disulfide
bridges, however, it becomes a potent antimicrobial agent against bacteria, while
the oxidized native form (hBD1ox) shows specific activity against Gram-negative
bacteria. We show that the killing mechanism of hBD1ox depends on aerobic growth
conditions and bacterial enzymes. We analyzed the different activities of hBD1
using mutants of Escherichia coli lacking one or more specific proteins of their
outer membrane, cytosol, or redox systems. We discovered that DsbA and DsbB are
essential for the antimicrobial activity of hBD1ox but not for that of reduced
hBD1 (hBD1red). Furthermore, our results strongly suggest that hBD1ox uses outer
membrane protein FepA to penetrate the bacterial periplasm space. In contrast,
other bacterial proteins in the outer membrane and cytosol did not modify the
antimicrobial activity. Using immunogold labeling, we identified the localization
of hBD1ox in the periplasmic space and partly in the outer membrane of E. coli
However, in resistant mutants lacking DsbA and DsbB, hBD1ox was detected mainly
in the bacterial cytosol. In summary, we discovered that hBD1ox could use FepA to
enter the periplasmic space, where its activity depends on presence of DsbA and
DsbB. HBD1ox concentrates in the periplasm in Gram-negative bacteria, which
finally leads to bleb formation and death of the bacteria. Thus, the bacterial
redox system plays an essential role in mechanisms of resistance against host
derived peptides such as hBD1.
PMID- 29378797
TI - Clinical Outcomes of Submicroscopic Infections and Correlates of Protection of
VAR2CSA Antibodies in a Longitudinal Study of Pregnant Women in Colombia.
AB - Malaria in pregnancy can cause serious adverse outcomes for the mother and the
fetus. However, little is known about the effects of submicroscopic infections
(SMIs) in pregnancy, particularly in areas where Plasmodium falciparum and
Plasmodium vivax cocirculate. A cohort of 187 pregnant women living in Puerto
Libertador in northwest Colombia was followed longitudinally from recruitment to
delivery. Malaria was diagnosed by microscopy, reverse transcription-quantitative
PCR (RT-qPCR), and placental histopathology. Gestational age, hemoglobin
concentration, VAR2CSA-specific IgG levels, and adhesion-blocking antibodies were
measured during pregnancy. Statistical analyses were performed to evaluate the
impact of SMIs on birth weight and other delivery outcomes. Twenty-five percent
of women (45/180) were positive for SMIs during pregnancy. Forty-seven percent of
infections (21/45) were caused by P. falciparum, 33% were caused by P. vivax, and
20% were caused by mixed Plasmodium spp. Mixed infections of P. falciparum and P.
vivax were associated with lower gestational age at delivery (P = 0.0033), while
other outcomes were normal. Over 60% of women had antibodies to VAR2CSA, and
there was no difference in antibody levels between those with and without SMIs.
The anti-adhesion function of these antibodies was associated with protection
from SMI-related anemia at delivery (P = 0.0086). SMIs occur frequently during
pregnancy, and while mixed infections of both P. falciparum and P. vivax were not
associated with a decrease in birth weight, they were associated with significant
risk of preterm birth. We propose that the lack of adverse delivery outcomes is
due to functional VAR2CSA antibodies that can protect pregnant women from SMI
related anemia.
PMID- 29378800
TI - Growth, Change...and Gratitude!
PMID- 29378798
TI - Streptococcus pneumoniae PspC Subgroup Prevalence in Invasive Disease and
Differences in Contribution to Complement Evasion.
AB - The pneumococcal capsular serotype is an important determinant of complement
resistance and invasive disease potential, but other virulence factors have also
been found to contribute. Pneumococcal surface protein C (PspC), a highly
variable virulence protein that binds complement factor H to evade C3
opsonization, is divided into two subgroups: choline-bound subgroup I and LPxTG
anchored subgroup II. The prevalence of different PspC subgroups in invasive
pneumococcal disease (IPD) and functional differences in complement evasion are
unknown. The prevalence of PspC subgroups in IPD isolates was determined in a
collection of 349 sequenced strains of Streptococcus pneumoniae isolated from
adult patients. pspC deletion mutants and isogenic pspC switch mutants were
constructed to study differences in factor H binding and complement evasion in
relation to capsule thickness. Subgroup I pspC was far more prevalent in IPD
isolates than subgroup II pspC The presence of capsule was associated with a
greater ability of bound factor H to reduce complement opsonization. Pneumococcal
subgroup I PspC bound significantly more factor H and showed more effective
complement evasion than subgroup II PspC in isogenic encapsulated pneumococci. We
conclude that variation in the PspC subgroups, independent of capsule serotypes,
affects pneumococcal factor H binding and its ability to evade complement
deposition.
PMID- 29378799
TI - A Vaginal Tract Signal Detected by the Group B Streptococcus SaeRS System Elicits
Transcriptomic Changes and Enhances Murine Colonization.
AB - Streptococcus agalactiae (group B streptococcus [GBS]) can colonize the human
vaginal tract, leading to both superficial and serious infections in adults and
neonates. To study bacterial colonization of the reproductive tract in a
mammalian system, we employed a murine vaginal carriage model. Using
transcriptome sequencing (RNA-Seq), the transcriptome of GBS growing in vivo
during vaginal carriage was determined. Over one-quarter of the genes in GBS were
found to be differentially regulated during in vivo colonization compared to
laboratory cultures. A two-component system (TCS) homologous to the
staphylococcal virulence regulator SaeRS was identified as being upregulated in
vivo One of the SaeRS targets, pbsP, a proposed GBS vaccine candidate, is shown
to be important for colonization of the vaginal tract. A component of vaginal
lavage fluid acts as a signal to turn on pbsP expression via SaeRS. These data
demonstrate the ability to quantify RNA expression directly from the murine
vaginal tract and identify novel genes involved in vaginal colonization by GBS.
They also provide more information about the regulation of an important virulence
and colonization factor of GBS, pbsP, by the TCS SaeRS.
PMID- 29378801
TI - Impact of Operator Positioning on Musculoskeletal Disorders and Work Habits Among
Mississippi Dental Hygienists.
AB - Purpose: The purpose of this study was to assess impact of operator positioning
on the development of musculoskeletal disorders (MSDs) and workforce issues among
practicing dental hygienists in the state of Mississippi.Methods: The sample
consisted of all dental hygienists (n=1,553) licensed in the state of
Mississippi. A modified 47 item, online version of the Standardized Nordic
Questionnaire was used to document the following: types of MSDs, practice
history, operator positioning, ergonomic work habits and the impact of MSDs on
workforce issues. Descriptive statistics were used to analyze practice history
and work habits. Chi-square analysis examined the relationship between operator
positioning and MSDs as well as the relationship between the onset of MSDs and
their impact on patient workload, work hours, time off from work, and ability to
practice clinical dental hygiene. Survival analyses were used to test the onset
of MSDs in relationship to operator positioning.Results: The survey yielded a 22%
(n=338) response rate. There was no significant difference in the prevalence of
MSDs between those sitting in front of the patient as compared to those sitting
behind the patient (PL) (chi2 (1) = 1.67, p=0.196), although respondents sitting
behind the patient reported developing their MSDs earlier (chi2 (1) = 3.92,
p=0.048). Of the participants who had practiced 15 or more years, 85% reported
developing MSDs. However, only 13% reported ever having to modify their patient
load. Sixteen percent reported reducing work hours and 21% reported taking time
off from work due to MSDs.Conclusions: Regardless of the operator position used,
the majority of practicing dental hygienists surveyed developed MSDs earlier than
has been previously reported in the literature. Workforce related issues were not
shown to have a negative impact on this study population.
PMID- 29378802
TI - Assessing the Use of Loupes and Lights in Dental Hygiene Educational Programs.
AB - Purpose: The purpose of this study was to explore the use of loupes and lights in
dental hygiene programs, to assess why they are being used, and to evaluate at
what point in time they are introduced to students within the curriculum.Methods:
A 20 question survey was developed and pilot tested. The survey was disseminated
electronically to 335 dental hygiene program directors in the United States.
Frequency distributions were analyzed to provide an overview of the data and
Fisher's Exact Test was used to investigate differences between
technical/community college programs and university-based programs.Results: Out
of the 335 electronic surveys, 143 were completed for a response rate of 47%.
Prevention of musculoskeletal disorders, ergonomics, and enhanced vision for
instrumentation remain the top three advantages of using loupes. Ninety-six
percent of respondents indicated students use loupes and over 50% of faculty use
loupes. Fifty-seven percent of dental hygiene programs encourage students to
purchase loupes with a light. Fifty percent of students pay $601-$900 for loupes
and 47% pay $300-$600 for a light.Conclusion: Student and faculty use of loupes
and lights are increasing in educational programs. Future research should focus
on the longitudinal impact of using loupes/lights, the prevention of
musculoskeletal disorders, and an investigation of the continued use of loupes in
a professional setting post-graduation.
PMID- 29378803
TI - Oral Manifestations of Menopause: An Interprofessional Intervention for Dental
Hygiene and Physician Assistant Students.
AB - Purpose: Interprofessional education (IPE) is a means of fostering integration
and collaboration between health care professions. The purpose of this study was
to evaluate the effect of an IPE educational module on dental hygiene (DH) and
physician assistants (PA) students' knowledge of the oral manifestations of
menopause and overall confidence in treating these conditions.Methods: A
convenience sample of DH and PA students was used for this mixed-method study.
Quantitative data was collected with pre- and post-tests using a modified
Readiness for Interprofessional Learning Survey (RIPLS) and a principle
investigator (PI)-designed knowledge of menopause test, to determine the
students' attitudes and learning levels. Students participated in a one-time
workshop that included an educational presentation on the oral manifestations of
menopause and a case study exercise using a pseudo-standardized patient. Students
from both disciplines, worked in preselected groups to create a patient care plan
addressing the oral manifestations of menopause. Qualitative data was collected
from student comments.Results: Study results indicate an increase in
participants' knowledge of the oral manifestations of menopause (p<0.05). Results
also suggest improved attitudes toward interprofessional teamwork and
collaboration (p<0.05), positive professional identity (p<0.05), roles and
responsibilities (p<0.05) for IPEC core competencies RR1, RR2, RR3, RR4,
interprofessional communication (p<0.05) for IPEC core competencies CC3, CC4, CC
6. Qualitative data from interprofessional care plan formulation and debriefing
demonstrated facilitation of gained confidence in applying new skills related to
the oral manifestations of menopause.Conclusion: Patients experiencing menopause
are susceptible to oral manifestations. Implementation of an IPE intervention
demonstrated correlation between an IPE experience and participants' knowledge,
attitudes and confidence. Preparing students to meet the needs of menopausal
women may ultimately decrease oral discomfort and improve overall quality of
life.
PMID- 29378804
TI - Hookah Smoking: Assessing College Students' Behaviors, Attitudes, and Knowledge.
AB - Purpose: The objective of this study was to assess college students' behaviors,
attitudes, and knowledge regarding hookah smoking.Methods: A convenience sample
of 200 students from various majors, including allied health and nursing
students, was used to conduct this study at a university located in the
Midwestern United States. Respondents were asked about any past, current, and
future hookah smoking behaviors. Likert-scale questions were used to assess
attitudes regarding hookah smoking. Respondents were also asked ten questions
regarding the history of and health effects of hookah smoking. A knowledge score
was calculated based on the number of questions answered correctly. Survey data
were analyzed using independent sample t-tests and a one-way ANOVA test at a
significance level of p<0.05.Results: A total of 200 out of 204 surveys were
returned, yielding an overall response rate of 98%. Sixty-eight percent of
respondents reported having participated in hookah smoking, with time of first
time use ranging from 14 to 21 years of age. About one third of the respondents
(32%) reported participating in hookah smoking in the previous 30 days. The
majority (68%)of respondents estimated that they would not participate in hookah
smoking in five years time. Over half of the respondents reported that it is
socially acceptable to participate in hookah smoking and 43% reported that hookah
smoking has relaxation benefits. The overall mean knowledge score regarding the
health effects of hookah usage was 4.4 questions correct out of 10. There was a
significant difference (p=.038) in the mean knowledge scores between hookah users
(4.70) and non-users (3.81). When comparing the knowledge of allied health and
nursing majors to all other majors, the allied health and nursing group scored
significantly higher (p=.017) than the non-allied health and nursing majors, with
mean scores of 4.80 and 3.81, respectively. Thirty-nine percent of the
respondents were unaware that hookah tobacco and related smoke can cause oral
cancer.Conclusion: Based on the high rates of college student use and the low
knowledge scores, this study supports need for more education about hookah
smoking and its health consequences.
PMID- 29378805
TI - Patients' Willingness to Participate in Rapid HIV Testing: A pilot study in three
New York City dental hygiene clinics.
AB - Purpose: One in eight people living with an HIV infection in the United States is
unaware of their status. Rapid HIV testing (RHT) is an easily used and accepted
screening tool that has been introduced in a limited number of clinical settings.
The purpose of this study was to investigate patient acceptability, certainty of
their decision, and willingness to pay for screening if RHT was offered in
university-based dental hygiene clinics.Methods: A cross-sectional survey was
administered to 426 patients at three dental hygiene clinics in New York City
over a period of four months. The survey questionnaire was based on the
decisional conflict scale measuring personal perceptions; with zero indicating
extremely high conflict to four indicating no conflict. Patients were assessed
for their acceptance of RHT, provider preference for administration of the test
and their willingness to pay for RHT.Results: Over half (72.2%) indicated
acceptance of HIV testing in a dental hygiene clinic setting; with 85.3% choosing
oral RHT, 4.9% fingerstick RHT, and 8.8% venipuncture. Respondents were amenable
to testing when offered by dental hygienists (71.7%) and dentists (72.4%). Over
30% indicated their willingness to receive HIV testing in the dental setting when
offered at no additional cost. The mean decisional conflict score was 3.42/4.0
indicating no decisional conflict.Conclusions: Patients are willing to undergo
oral RHT when offered as a service and provided by dental hygienists in the
dental setting. Patients appear to be aware of the benefits and risks associated
with RHT. Further research is needed to evaluate the public health benefits and
logistical challenges facing the delivery of RHT within in the dental setting.
PMID- 29378806
TI - The Value of Interprofessional Education: Assessing the Attitudes of Dental
Hygiene Administrators and Faculty.
AB - Purpose: The purpose of this study was to assess the attitudes of dental hygiene
administrators and faculty members about the value of interprofessional education
(IPE) within dental hygiene curricula and to determine whether administrator and
faculty perspectives were associated with their professional role, gender,
experience, knowledge about IPE, and their use of collaborative teaching
strategies.Methods: A 34-question survey was used to evaluate dental hygiene
administrators' and faculty knowledge, attitudes, and practices related to IPE.
Electronic surveys were distributed to 224 program directors and faculty members
of all entry-level dental hygiene programs located within the Northeast region of
the United States. Responses were tabulated and analyzed using Statistical
Package for the Social Sciences 23. Descriptive statistics, the Spearman's rho
correlation coefficient, and ordinal regression analyses were used to report on
each survey item.Results: The response rate was 41% (n=91). Overall, respondents
viewed interprofessional pedagogy in high regard. Administrators and faculty
agreed that IPE would enhance views towards other professions, benefit patients,
and assist students in becoming effective members of health care teams. Although
the basis for these perspectives was distributed, most (36%) were attributed to
being in favor of working with other professionals. Significant correlations were
found between respondent attitudes and their understanding and use of
collaborative education strategies. Knowledge levels ranged from limited (38%) to
adequate (58%). Approximately half of respondents (48%) reported that they are in
the very initial stages of incorporating IPE into the curriculum.Conclusion: The
majority of the dental hygiene administrators and faculty members surveyed see
the value and significance of using IPE to effectively prepare students to enter
a collaborative workforce. These perspectives demonstrate that dental hygiene is
on the appropriate path for incorporating interprofessional strategies into
program curricula.
PMID- 29378807
TI - Compensation and Position Characteristics of Dental Hygiene Program Directors.
AB - Purpose: The purpose of this study was to collect information about dental
hygiene program directors (PD) in order to create a comprehensive position
profile for the profession and add to the current literature regarding employment
trends and compensation in dental hygiene education. Information gained through
this study addresses a gap in the literature and could be utilized by current and
future educators considering a dental hygiene program director role or for
recruitment purposes.Methods: An electronic survey, consisting of 38 items
addressing areas including job characteristics, requirements, compensation, and
anticipated retirement, was sent to PDs of all the Commission on Dental
Accreditation (CODA) accredited dental hygiene programs in the United States
(n=314). Descriptive and inferential statistics were used to analyze the data.
ANOVA analyses were used to determine whether significant differences existed
regarding salary and compensation, contact hours, total working hours, contract
characteristics, and job expectations.Results: Directors from 122 programs
responded yielding a response rate of 39%. Seventy-one percent of respondents
were aged 50-59 years and 46% reported having held the program director position
for 3 years or less. Thirty-five percent of participants plan to retire from
their program director position in the next five years. In regards to
compensation, 47% of respondents indicated making between $60,000 and $79,999 and
3% reported earning less than $40,000 while 4% indicated salaries over $100,000.
Total number of teaching years and highest degree held demonstrated a positive
impact on adjusted monthly salary. PDs employed in university settings were
significantly more likely to have ongoing requirements for scholarly activity;
those employed in settings associated with a dental school had a longer average
contract length than directors in other institutions. Potential dental hygiene
PDs should expect an average workweek of 40-50 hours, with the majority of the
time spent on administrative duties. Additional responsibilities include
teaching, scholarly activity, and committee work.Conclusion: A position profile
detailing the range of employment expectations for dental hygiene PDs has been
created and can serve as a guide to inform and recruit potential program
directors.
PMID- 29378809
TI - Mosaic Analysis in Drosophila.
AB - Since the founding of Drosophila genetics by Thomas Hunt Morgan and his
colleagues over 100 years ago, the experimental induction of mosaicism has
featured prominently in its recognition as an unsurpassed genetic model organism.
The use of genetic mosaics has facilitated the discovery of a wide variety of
developmental processes, identified specific cell lineages, allowed the study of
recessive embryonic lethal mutations, and demonstrated the existence of cell
competition. Here, we discuss how genetic mosaicism in Drosophila became an
invaluable research tool that revolutionized developmental biology. We describe
the prevailing methods used to produce mosaic animals, and highlight advantages
and disadvantages of each genetic system. We cover methods ranging from simple
"twin-spot" analysis to more sophisticated systems of multicolor labeling.
PMID- 29378812
TI - Heartbeat: Transcatheter procedures for secondary mitral regurgitation?
PMID- 29378813
TI - Does antibiotic prophylaxis really prevent streptococci infective endocarditis?
PMID- 29378810
TI - Repressive Chromatin in Caenorhabditis elegans: Establishment, Composition, and
Function.
AB - Chromatin is organized and compacted in the nucleus through the association of
histones and other proteins, which together control genomic activity. Two broad
types of chromatin can be distinguished: euchromatin, which is generally
transcriptionally active, and heterochromatin, which is repressed. Here we
examine the current state of our understanding of repressed chromatin in
Caenorhabditis elegans, focusing on roles of histone modifications associated
with repression, such as methylation of histone H3 lysine 9 (H3K9me2/3) or the
Polycomb Repressive Complex 2 (MES-2/3/6)-deposited modification H3K27me3, and on
proteins that recognize these modifications. Proteins involved in chromatin
repression are important for development, and have demonstrated roles in nuclear
organization, repetitive element silencing, genome integrity, and the regulation
of euchromatin. Additionally, chromatin factors participate in repression with
small RNA pathways. Recent findings shed light on heterochromatin function and
regulation in C. elegans, and should inform our understanding of repressed
chromatin in other animals.
PMID- 29378815
TI - Distinct physiological, biochemical and morphometric adjustments in the malaria
vectors Anopheles gambiae and A. coluzzii as means to survive dry season
conditions in Burkina Faso.
AB - Aestivation and dispersive migration are the two strategies evoked in the
literature to explain the way in which malaria vectors Anopheles coluzzii and A.
gambiae survive the harsh climatic conditions of the dry season in sub-Saharan
Africa. However, the physiological mechanisms regulating these two strategies are
unknown. In the present study, mosquito species were exposed to controlled
environmental conditions mimicking the rainy and dry seasons of south western
Burkina Faso. Survival strategies were studied through morphometric (wing
length), ecophysiological (respiratory gas exchanges), biochemical (cuticular
hydrocarbons composition) and molecular (AKH mRNA expression levels) parameters,
variations of which are usually considered to be hallmarks of aestivation and
dispersion mechanisms in various insects. Our results showed that
ecophysiological and morphometric adjustments are made in both species to prevent
water losses during the dry season. However, the usual metabolic rate
modifications expected as signatures of aestivation and migration were not
observed, highlighting specific and original physiological mechanisms sustaining
survival in malaria mosquitoes during the dry season. Differences in epicuticular
hydrocarbon composition and AKH levels of expression were found between the
permanent and temporary A. coluzzii populations, illustrating the great
phenotypic plasticity of this mosquito species. Altogether, our work underlines
the diverse and complex pattern of changes occurring in the two mosquito species
and at the population level to cope with the dry season and highlights potential
targets of future control tools.
PMID- 29378814
TI - Modeling signaling-dependent pluripotency with Boolean logic to predict cell fate
transitions.
AB - Pluripotent stem cells (PSCs) exist in multiple stable states, each with specific
cellular properties and molecular signatures. The mechanisms that maintain
pluripotency, or that cause its destabilization to initiate development, are
complex and incompletely understood. We have developed a model to predict
stabilized PSC gene regulatory network (GRN) states in response to input signals.
Our strategy used random asynchronous Boolean simulations (R-ABS) to simulate
single-cell fate transitions and strongly connected components (SCCs) strategy to
represent population heterogeneity. This framework was applied to a reverse
engineered and curated core GRN for mouse embryonic stem cells (mESCs) and used
to simulate cellular responses to combinations of five signaling pathways. Our
simulations predicted experimentally verified cell population compositions and
input signal combinations controlling specific cell fate transitions. Extending
the model to PSC differentiation, we predicted a combination of signaling
activators and inhibitors that efficiently and robustly generated a Cdx2+Oct4-
cells from naive mESCs. Overall, this platform provides new strategies to
simulate cell fate transitions and the heterogeneity that typically occurs during
development and differentiation.
PMID- 29378816
TI - Pheromones modulate responsiveness to a noxious stimulus in honey bees.
AB - Pheromones are chemical substances released into the environment by an
individual, which trigger stereotyped behaviors and/or physiological processes in
individuals of the same species. Yet, a novel hypothesis has suggested that
pheromones not only elicit innate responses but also contribute to behavioral
plasticity by affecting the subjective evaluation of appetitive or aversive
stimuli. To test this hypothesis, we exposed bees to three pheromonal components
whose valence was either negative (i.e. associated with aversive events:
isopentyl acetate and 2-heptanone) or positive (i.e. associated with appetitive
events: geraniol). We then determined the effect of this exposure on the
subjective evaluation of aversive stimuli by quantifying responsiveness to a
series of increasing electric shock voltages before and after exposure. Two
experiments were conducted varying the time lapse between shock series (15 min in
experiment 1, and 24 h in experiment 2). In experiment 1, we observed a general
decrease of shock responsiveness caused by fatigue, due to the short lapse of
time between the two series of shocks. This decrease could only be counteracted
by isopentyl acetate. The enhancing effect of isopentyl acetate on shock
responsiveness was also found in experiment 2. Conversely, geraniol decreased
aversive responsiveness in this experiment; 2-heptanone did not affect aversive
responsiveness in any experiment. Overall, our results demonstrate that certain
pheromones modulate the salience of aversive stimuli according to their valence.
In this way, they would affect the motivation to engage in aversive responses,
thus acting as modulators of behavioral plasticity.
PMID- 29378817
TI - Reduced thermal tolerance during salinity acclimation in brook trout (Salvelinus
fontinalis) can be rescued by prior treatment with cortisol.
AB - The aims of this study were to assess whether thermal tolerance of brook trout
(Salvelinus fontinalis) is affected during seawater (SW) acclimation and to
investigate the role of cortisol in osmoregulation and thermal tolerance during
SW acclimation. Freshwater (FW)-acclimated brook trout at 18 degrees C (Tacc)
were exposed to SW for 16 days, whilst maintaining a FW control. Fish were
examined for critical thermal maximum (CTmax) 0 (before), 2, 5 and 16 days after
SW exposure, and sampled at Tacc and CTmax for analysis of plasma cortisol,
glucose and Cl-, gill Na+/K+-ATPase (NKA) activity and heat shock protein 70
(HSP70) abundance, and white muscle water content. At 2 days in SW, CTmax was
significantly reduced (from 31 to 26 degrees C), and then recovered by 16 days.
This transient decrease in thermal tolerance coincided with a transient increase
in plasma Cl- and decrease in muscle moisture content. Salinity itself had no
effect on gill HSP70 abundance compared with the large and immediate effects of
high temperature exposure during CTmax testing. To examine the role of cortisol
in osmoregulation, brook trout were administered a cortisol implant (5 and 25 MUg
g-1 CORT) prior to SW exposure. Both CORT doses significantly increased their
capacity to maintain plasma Cl- during SW acclimation. Treatment with the 25 MUg
g-1 CORT dose was shown to significantly improve CTmax after 2 days in SW, and
CTmax was associated with plasma Cl- and muscle moisture content. These findings
indicate that brook trout are sensitive to temperature during SW acclimation and
that thermal tolerance is associated with ion and water balance during SW
acclimation.
PMID- 29378808
TI - Protecting and Diversifying the Germline.
AB - Gametogenesis represents the most dramatic cellular differentiation pathways in
both female and male flies. At the genome level, meiosis ensures that diploid
germ cells become haploid gametes. At the epigenome level, extensive changes are
required to turn on and shut off gene expression in a precise spatiotemporally
controlled manner. Research applying conventional molecular genetics and cell
biology, in combination with rapidly advancing genomic tools have helped us to
investigate (1) how germ cells maintain lineage specificity throughout their
adult reproductive lifetime; (2) what molecular mechanisms ensure proper
oogenesis and spermatogenesis, as well as protect genome integrity of the
germline; (3) how signaling pathways contribute to germline-soma communication;
and (4) if such communication is important. In this chapter, we highlight recent
discoveries that have improved our understanding of these questions. On the other
hand, restarting a new life cycle upon fertilization is a unique challenge faced
by gametes, raising questions that involve intergenerational and
transgenerational epigenetic inheritance. Therefore, we also discuss new
developments that link changes during gametogenesis to early embryonic
development-a rapidly growing field that promises to bring more understanding to
some fundamental questions regarding metazoan development.
PMID- 29378818
TI - Carbonic anhydrase expression in the branchial ionocytes of rainbow trout.
AB - Rainbow trout (Oncorhynchus mykiss) exposed to acid-base challenges activate
branchial mechanisms for the excretion of acid-base equivalents. Current models
of branchial acid-base excretion in freshwater rainbow trout propose two main
ionocyte types: the peanut lectin agglutinin-positive (PNA+) mitochondrion-rich
cell or ionocyte is believed to secrete HCO3- in exchange for Cl-, whereas H+
secretion is thought to occur across PNA- ionocytes in exchange for Na+ Both HCO3
and H+ are supplied by intracellular hydration of CO2 catalysed by cytosolic
carbonic anhydrase (CAc). Immunohistochemical approaches revealed that under
control conditions, CAc was detectable in 92.3+/-1.0% (N=11) of PNA- ionocytes,
and the abundance of PNA- ionocytes increased in response to systemic acidosis
elicited by 72 h exposure to water of low pH (nominally pH 4.5), hypercapnia (1%
CO2, nominally 7.6 Torr) or hyperoxia (achieved by gassing water with pure O2),
as did the abundance of PNA- ionocytes that exhibited immunofluorescence for CAc.
However, just 4.3+/-0.6% (N=11) of PNA+ ionocytes expressed detectable CAc under
control conditions. Marked increases in the abundance of CAc-positive PNA+
ionocytes were detected following exposure of trout to a base load via recovery
from hypercapnia or base infusion (72 h infusion with 140 mmol l-1 NaHCO3). The
percentage of CAc-positive PNA+ ionocytes also was increased in trout treated
with cortisol (10 mg kg-1 hydrocortisone 21-hemisuccinate daily for 7 days).
These results suggest that regulation of CA within PNA+ ionocytes and/or the
abundance of CAc-positive PNA+ ionocytes plays a role in activating base
secretion in response to systemic alkalosis.
PMID- 29378819
TI - Maize Transposable Elements Ac/Ds as Insertion Mutagenesis Tools in Candida
albicans.
AB - In nonmodel systems, genetic research is often limited by the lack of techniques
for the generation and identification of gene mutations. One approach to overcome
this bottleneck is the application of transposons for gene tagging. We have
established a two-element transposon tagging system, based on the transposable
elements Activator (Ac)/Dissociation (Ds) from maize, for in vivo insertion
mutagenesis in the fungal human pathogen Candida albicans A nonautonomous Ds
transposon carrying a selectable marker was constructed into the ADE2 promoter on
chromosome 3 and a codon usage-adapted Ac transposase gene was inserted into the
neutral NEUT5L locus on chromosome 5. In C. albicans cells expressing the
transposase, the Ds element efficiently excised and reintegrated elsewhere in the
genome, which makes the Ac/Ds transposons promising tools for saturating
insertion mutagenesis in clinical strains of C. albicans.
PMID- 29378820
TI - Genetic Loci Controlling Carotenoid Biosynthesis in Diverse Tropical Maize Lines.
AB - The discovery and use of genetic markers associated with carotenoid levels can
help to exploit the genetic potential of maize for provitamin A accumulation more
effectively. Provitamin A carotenoids are classes of carotenoids that are
precursors of vitamin A, an essential micronutrient in humans. Vitamin A
deficiency is a global public health problem affecting millions of people,
especially in developing countries. Maize is one of the most important staple
crops targeted for provitamin A biofortification to help alleviate vitamin A
deficiency in developing countries. A genome-wide association study (GWAS) of
maize endosperm carotenoids was conducted using a panel of 130 diverse yellow
maize tropical inbred lines genotyped with Genotyping by Sequencing (GBS) SNP
markers. Numerous significant association signals co-localizing with the known
carotenoid biosynthesis genes crtRB1, lcyE and ZEP1 were identified. The GWAS
confirmed previously reported large effects of the two major carotenoid
biosynthesis genes lcyE and crtRB1 In addition, significant novel associations
were detected for several transcription factors (e.g., RING zinc finger domain
and HLH DNA-binding domain super family proteins) that may be involved in
regulation of carotenoid biosynthesis in maize. When the GWAS was re-conducted by
including the major effects of lcyE and crtRB1 genes as covariates, a SNP in a
gene coding for an auxin response factor 20 transcription factor was identified
which displayed an association with beta-carotene and provitamin A levels. Our
study provides a foundation for design and implementation of genomics-assisted
selection strategies for provitamin A maize breeding in tropical regions, and
advances efforts toward identification of additional genes (and allelic variants)
involved in the regulation of carotenoid biosynthesis in plants.
PMID- 29378823
TI - Tracing the destiny of mesenchymal stem cells from embryo to adult bone marrow
and white adipose tissue via Pdgfralpha expression.
AB - Mesenchymal stem cells (MSCs) are somatic stem cells that can be derived from
adult bone marrow (BM) and white adipose tissue (WAT), and that display
multipotency and self-renewal capacity. Although MSCs are essential for tissue
formation and have already been used in clinical therapy, the origins and markers
of these cells remain unknown. In this study, we first investigated the
developmental process of MSCs in mouse embryos using the gene encoding platelet
derived growth factor receptor alpha (Pdgfra) as a marker. We then traced cells
expressing Pdgfra and other genes (brachyury, Sox1 and Pmx1) in various mutant
mouse embryos until the adult stage. This tracing of MSC origins and destinies
indicates that embryonic MSCs emerge in waves and that almost all adult BM MSCs
and WAT MSCs originate from mesoderm and embryonic Pdgfralpha-positive cells.
Furthermore, we demonstrate that adult Pdgfralpha-positive cells are involved in
some pathological conditions.
PMID- 29378822
TI - Whole-Genome Sequence Accuracy Is Improved by Replication in a Population of
Mutagenized Sorghum.
AB - The accurate detection of induced mutations is critical for both forward and
reverse genetics studies. Experimental chemical mutagenesis induces relatively
few single base changes per individual. In a complex eukaryotic genome, false
positive detection of mutations can occur at or above this mutagenesis rate. We
demonstrate here, using a population of ethyl methanesulfonate (EMS)-treated
Sorghum bicolor BTx623 individuals, that using replication to detect false
positive-induced variants in next-generation sequencing (NGS) data permits higher
throughput variant detection with greater accuracy. We used a lower sequence
coverage depth (average of 7*) from 586 independently mutagenized individuals and
detected 5,399,493 homozygous single nucleotide polymorphisms (SNPs). Of these,
76% originated from only 57,872 genomic positions prone to false positive variant
calling. These positions are characterized by high copy number paralogs where the
error-prone SNP positions are at copies containing a variant at the SNP position.
The ability of short stretches of homology to generate these error-prone
positions suggests that incompletely assembled or poorly mapped repeated
sequences are one driver of these error-prone positions. Removal of these false
positives left 1,275,872 homozygous and 477,531 heterozygous EMS-induced SNPs,
which, congruent with the mutagenic mechanism of EMS, were >98% G:C to A:T
transitions. Through this analysis, we generated a collection of sequence indexed
mutants of sorghum. This collection contains 4035 high-impact homozygous
mutations in 3637 genes and 56,514 homozygous missense mutations in 23,227 genes.
Each line contains, on average, 2177 annotated homozygous SNPs per genome,
including seven likely gene knockouts and 96 missense mutations. The number of
mutations in a transcript was linearly correlated with the transcript length and
also the G+C count, but not with the GC/AT ratio. Analysis of the detected
mutagenized positions identified CG-rich patches, and flanking sequences strongly
influenced EMS-induced mutation rates. This method for detecting false positive
induced mutations is generally applicable to any organism, is independent of the
choice of in silico variant-calling algorithm, and is most valuable when the true
mutation rate is likely to be low, such as in laboratory-induced mutations or
somatic mutation detection in medicine.
PMID- 29378825
TI - Hunting the origins of Huntington's with human stem cells.
PMID- 29378824
TI - Chromosomal instability during neurogenesis in Huntington's disease.
AB - Huntington's disease (HD) is a fatal neurodegenerative disease caused by
expansion of CAG repeats in the Huntingtin gene (HTT). Neither its pathogenic
mechanisms nor the normal functions of HTT are well understood. To model HD in
humans, we engineered a genetic allelic series of isogenic human embryonic stem
cell (hESC) lines with graded increases in CAG repeat length. Neural
differentiation of these lines unveiled a novel developmental HD phenotype: the
appearance of giant multinucleated telencephalic neurons at an abundance directly
proportional to CAG repeat length, generated by a chromosomal instability and
failed cytokinesis over multiple rounds of DNA replication. We conclude that
disrupted neurogenesis during development is an important, unrecognized aspect of
HD pathogenesis. To address the function of normal HTT protein we generated HTT+/
and HTT-/- lines. Surprisingly, the same phenotype emerged in HTT-/- but not
HTT+/- lines. We conclude that HD is a developmental disorder characterized by
chromosomal instability that impairs neurogenesis, and that HD represents a
genetic dominant-negative loss of function, contrary to the prevalent gain-of
toxic-function hypothesis. The consequences of developmental alterations should
be considered as a new target for HD therapies.
PMID- 29378826
TI - Novel markers in the human placenta.
PMID- 29378821
TI - Anterior Pituitary Transcriptome Suggests Differences in ACTH Release in Tame and
Aggressive Foxes.
AB - Domesticated species exhibit a suite of behavioral, endocrinological, and
morphological changes referred to as "domestication syndrome." These changes may
include a reduction in reactivity of the hypothalamic-pituitary-adrenal (HPA)
axis and specifically reduced adrenocorticotropic hormone release from the
anterior pituitary. To investigate the biological mechanisms targeted during
domestication, we investigated gene expression in the pituitaries of
experimentally domesticated foxes (Vulpes vulpes). RNA was sequenced from the
anterior pituitary of six foxes selectively bred for tameness ("tame foxes") and
six foxes selectively bred for aggression ("aggressive foxes"). Expression,
splicing, and network differences identified between the two lines indicated the
importance of genes related to regulation of exocytosis, specifically mediated by
cAMP, organization of pseudopodia, and cell motility. These findings provide new
insights into biological mechanisms that may have been targeted when these lines
of foxes were selected for behavior and suggest new directions for research into
HPA axis regulation and the biological underpinnings of domestication.
PMID- 29378828
TI - Import of TAT-Conjugated Propionyl Coenzyme A Carboxylase Using Models of
Propionic Acidemia.
AB - Propionic acidemia is caused by a deficiency of the enzyme propionyl coenzyme A
carboxylase (PCC) located in the mitochondrial matrix. Cell-penetrating peptides,
including transactivator of transcription (TAT), offer a potential to deliver a
cargo into the mitochondrion. Here, we investigated the delivery of an
alpha6beta6 PCC enzyme into mitochondria using the HIV TAT peptide at several
levels: into isolated mitochondria, in patient fibroblast cells, and in a mouse
model. Results from Western blots and enzyme activity assays confirmed the import
of TAT-PCC into mitochondria, as well as into patient fibroblasts, where the
colocalization of imported TAT-PCC and mitochondria was also confirmed by
confocal fluorescence microscopy. Furthermore, a single-dose intraperitoneal
injection into PCC-deficient mice decreased the
propionylcarnitine/acetylcarnitine (C3/C2) ratio toward the normal level. These
results show that a cell-penetrating peptide can deliver active multimeric enzyme
into mitochondria in vitro, in situ, and in vivo and push the size limit of
intracellular delivery achieved so far. Our results are promising for other
mitochondrion-specific deficiencies.
PMID- 29378827
TI - Cilium Length and Intraflagellar Transport Regulation by Kinases PKG-1 and GCK-2
in Caenorhabditis elegans Sensory Neurons.
AB - To understand how ciliopathies such as polycystic kidney disease or Bardet-Biedl
syndrome develop, we need to understand the basic molecular mechanisms underlying
cilium development. Cilium growth depends on the presence of functional
intraflagellar transport (IFT) machinery, and we hypothesized that various
kinases and phosphatases might be involved in this regulatory process. A
candidate screen revealed two kinases, PKG-1 (a cGMP-dependent protein kinase)
and GCK-2 (a mitogen-activated protein kinase kinase kinase kinase 3 [MAP4K3]
kinase involved in mTOR signaling), significantly affecting dye filling,
chemotaxis, cilium morphology, and IFT component distribution. PKG-1 and GCK-2
show similar expression patterns in Caenorhabditis elegans cilia and colocalize
with investigated IFT machinery components. In pkg-1 mutants, a high level of
accumulation of kinesin-2 OSM-3 in distal segments was observed in conjunction
with an overall reduction of anterograde and retrograde IFT particle A transport,
likely as a function of reduced tubulin acetylation. In contrast, in gck-2
mutants, both kinesin-2 motility and IFT particle A motility were significantly
elevated in the middle segments, in conjunction with increased tubulin
acetylation, possibly the cause of longer cilium growth. Observed effects in
mutants can be also seen in manipulating upstream and downstream effectors of the
respective cGMP and mTOR pathways. Importantly, transmission electron microscopy
(TEM) analysis revealed no structural changes in cilia of pkg-1 and gck-2
mutants.
PMID- 29378829
TI - Regulation of Cholesterol Sulfotransferase SULT2B1b by Hepatocyte Nuclear Factor
4alpha Constitutes a Negative Feedback Control of Hepatic Gluconeogenesis.
AB - The cholesterol sulfotransferase SULT2B1b converts cholesterol to cholesterol
sulfate (CS). We previously reported that SULT2B1b inhibits hepatic
gluconeogenesis by antagonizing the gluconeogenic activity of hepatocyte nuclear
factor 4alpha (HNF4alpha). In this study, we showed that the SULT2B1b gene is a
transcriptional target of HNF4alpha, which led to our hypothesis that the
induction of SULT2B1b by HNF4alpha represents a negative feedback to limit the
gluconeogenic activity of HNF4alpha. Indeed, downregulation of Sult2B1b enhanced
the gluconeogenic activity of HNF4alpha, which may have been accounted for by the
increased acetylation of HNF4alpha as a result of decreased expression of the
HNF4alpha deacetylase sirtuin 1 (Sirt1). The expression of Sult2B1b was also
induced by HNF4alpha upon fasting, and the Sult2B1b null (Sult2B1b-/-) mice
showed increased gluconeogenic gene expression and an elevated fasting glucose
level, suggesting that SULT2B1b also plays a restrictive role in HNF4alpha
mediated fasting-responsive gluconeogenesis. We also developed thiocholesterol, a
hydrolysis-resistant derivative of CS, which showed superior activity to that of
the native CS in inhibiting gluconeogenesis and improving insulin sensitivity in
high-fat-diet-induced diabetic mice. We conclude that the HNF4alpha-SULT2B1b-CS
axis represents a key endogenous mechanism to prevent uncontrolled
gluconeogenesis. Thiocholesterol may be used as a therapeutic agent to manage
hyperglycemia.
PMID- 29378830
TI - 53BP1 Mediates ATR-Chk1 Signaling and Protects Replication Forks under Conditions
of Replication Stress.
AB - Complete replication of the genome is an essential prerequisite for normal cell
division, but a variety of factors can block the replisome, triggering
replication stress and potentially causing mutation or cell death. The cellular
response to replication stress involves recruitment of proteins to stabilize the
replication fork and transmit a stress signal to pause the cell cycle and allow
fork restart. We find that the ubiquitously expressed DNA damage response factor
53BP1 is required for the normal response to replication stress. Using primary,
ex vivo B cells, we showed that a population of 53BP1-/- cells in early S phase
is hypersensitive to short-term exposure to three different agents that induce
replication stress. 53BP1 localizes to a subset of replication forks following
induced replication stress, and an absence of 53BP1 leads to defective ATR-Chk1
p53 signaling and caspase 3-mediated cell death. Nascent replicated DNA
additionally undergoes degradation in 53BP1-/- cells. These results show that
53BP1 plays an important role in protecting replication forks during the cellular
response to replication stress, in addition to the previously characterized role
of 53BP1 in DNA double-strand break repair.
PMID- 29378831
TI - Cation-Independent Mannose 6-Phosphate Receptor Deficiency Enhances beta-Cell
Susceptibility to Palmitate.
AB - Palmitate attenuates insulin secretion and reduces the viability of insulin
producing cells. Previous studies identified the aberrant palmitoylation or
mispalmitoylation of proteins as one mechanism by which palmitate causes beta
cell damage. In this report, we identify a role for lysosomal protein degradation
as a mechanism by which beta cells defend themselves against excess palmitate.
The cation-independent mannose 6-phosphate receptor (CI-MPR) is responsible for
the trafficking of mannose 6-phosphate-tagged proteins to lysosomes via Golgi
sorting and from extracellular locations through endocytosis. RINm5F cells, which
are highly sensitive to palmitate, lack CI-MPR. The reconstitution of CI-MPR
expression attenuates the induction of endoplasmic reticulum (ER) stress and the
toxic effects of palmitate on RINm5F cell viability. INS832/13 cells express CI
MPR and are resistant to the palmitate-mediated loss of cell viability. The
reduction of CI-MPR expression increases the sensitivity of INS832/13 cells to
the toxic effects of palmitate treatment. The inhibition of lysosomal acid
hydrolase activity by weak base treatment of islets under glucolipotoxic
conditions causes islet degeneration that is prevented by the inhibition of
protein palmitoylation. These findings indicate that defects in lysosomal
function lead to the enhanced sensitivity of insulin-producing cells to palmitate
and support a role for normal lysosomal function in the protection of beta cells
from excess palmitate.
PMID- 29378832
TI - Membrane Trafficking Protein CDP138 Regulates Fat Browning and Insulin
Sensitivity through Controlling Catecholamine Release.
AB - CDP138 is a calcium- and lipid-binding protein that is involved in membrane
trafficking. Here we report mice without CDP138 develop obesity under normal chow
diet (NCD) or high-fat diet (HFD) conditions. CDP138-/- mice have lower energy
expenditure, oxygen consumption and body temperature in comparison with wild-type
(WT) mice. CDP138 is exclusively expressed in adrenal medulla and is co-localized
with tyrosine hydroxylase (TH), a marker of sympathetic nervous terminals, in the
inguinal fat. In comparison with WT controls, CDP138-/- mice had altered
catecholamine levels in the circulation, adrenal grand, and inguinal fat.
Adrenergic signaling on cyclic adenosine monophosphate (cAMP) formation and
hormone sensitive lipase (HSL) phosphorylation induced by cold challenge, but not
by an exogenous beta3 adrenoceptor against CL316243, were decreased in adipose
tissues of CDP138-/- mice. Cold-induced beige fat browning, fatty acid oxidation,
thermogenesis, and related gene expression were reduced in CDP138-/- mice. CDP138
/- mice are also prone to HFD-induced insulin resistance assessed by Akt
phosphorylation and glucose transport in skeletal muscles. Our data indicates
that CDP138 is a regulator of stress response and plays a significant role in
adipose tissue browning, energy balance, and insulin sensitivity through
regulating catecholamine secretion from the sympathetic nervous terminals and
adrenal gland.
PMID- 29378834
TI - Building a plant cell wall at a glance.
AB - Plant cells are surrounded by a strong polysaccharide-rich cell wall that aids in
determining the overall form, growth and development of the plant body. Indeed,
the unique shapes of the 40-odd cell types in plants are determined by their
walls, as removal of the cell wall results in spherical protoplasts that are
amorphic. Hence, assembly and remodeling of the wall is essential in plant
development. Most plant cell walls are composed of a framework of cellulose
microfibrils that are cross-linked to each other by heteropolysaccharides. The
cell walls are highly dynamic and adapt to the changing requirements of the plant
during growth. However, despite the importance of plant cell walls for plant
growth and for applications that we use in our daily life such as food, feed and
fuel, comparatively little is known about how they are synthesized and modified.
In this Cell Science at a Glance article and accompanying poster, we aim to
illustrate the underpinning cell biology of the synthesis of wall carbohydrates,
and their incorporation into the wall, in the model plant Arabidopsis.
PMID- 29378835
TI - Chemical signaling for pollen tube guidance at a glance.
AB - Pollen tube guidance is a unique navigating system that is required for the
successful sexual reproduction of plants. As plant sperm cells are non-motile and
egg cells are embedded deep inside the female tissues, a pollen tube delivers the
two sperm cells that it contains by growing towards the ovule, in which the egg
cell resides. Pollen tube growth towards the ovule is precisely controlled and
divided into two stages, preovular and ovular guidance. In this Cell Science at a
Glance article and accompanying poster, we provide a comprehensive overview of
pollen tube guidance and highlight some of the attractant peptides used during
ovular guidance. We further discuss the precise one-to-one guidance system that
exists in multi-ovular plants. The pollen tube-blocking system, which is mediated
by male-female crosstalk communication, to avoid attraction of multiple pollen
tubes, is also reviewed.
PMID- 29378833
TI - MafB Is Critical for Glucagon Production and Secretion in Mouse Pancreatic alpha
Cells In Vivo.
AB - The MafB transcription factor is expressed in pancreatic alpha and beta cells
during development but becomes exclusive to alpha cells in adult rodents. Mafb
null (Mafb-/- ) mice were reported to have reduced alpha- and beta-cell numbers
throughout embryonic development. To further analyze the postnatal function of
MafB in the pancreas, we generated endocrine cell-specific (MafbDeltaEndo ) and
tamoxifen-dependent (MafbDeltaTAM ) Mafb knockout mice. MafbDeltaEndo mice
exhibited reduced populations of insulin-positive (insulin+) and glucagon+ cells
at postnatal day 0, but the insulin+ cell population recovered by 8 weeks of age.
In contrast, the Arx+ glucagon+ cell fraction and glucagon expression remained
decreased even in adulthood. MafbDeltaTAM mice, with Mafb deleted after pancreas
maturation, also demonstrated diminished glucagon+ cells and glucagon content
without affecting beta cells. A decreased Arx+ glucagon+ cell population in
MafbDeltaEndo mice was compensated for by an increased Arx+ pancreatic
polypeptide+ cell population. Furthermore, gene expression analyses from both
MafbDeltaEndo and MafbDeltaTAM islets revealed that MafB is a key regulator of
glucagon expression in alpha cells. Finally, both mutants failed to respond to
arginine, likely due to impaired arginine transporter gene expression and
glucagon production ability. Taken together, our findings reveal that MafB is
critical for the functional maintenance of mouse alpha cells in vivo, including
glucagon production and secretion, as well as in development.
PMID- 29378836
TI - Plant cell surface receptor-mediated signaling - a common theme amid diversity.
AB - Sessile plants employ a diverse array of plasma membrane-bound receptors to
perceive endogenous and exogenous signals for regulation of plant growth,
development and immunity. These cell surface receptors include receptor-like
kinases (RLKs) and receptor-like proteins (RLPs) that harbor different
extracellular domains for perception of distinct ligands. Several RLK and RLP
signaling pathways converge at the somatic embryogenesis receptor kinases
(SERKs), which function as shared co-receptors. A repertoire of receptor-like
cytoplasmic kinases (RLCKs) associate with the receptor complexes to relay
intracellular signaling. Downstream of the receptor complexes, mitogen-activated
protein kinase (MAPK) cascades are among the key signaling modules at which the
signals converge, and these cascades regulate diverse cellular and physiological
responses through phosphorylation of different downstream substrates. In this
Review, we summarize the emerging common theme that underlies cell surface
receptor-mediated signaling pathways in Arabidopsisthaliana: the dynamic
association of RLKs and RLPs with specific co-receptors and RLCKs for signal
transduction. We further discuss how signaling specificities are maintained
through modules at which signals converge, with a focus on SERK-mediated receptor
signaling.
PMID- 29378837
TI - Actin-mediated movement of chloroplasts.
AB - Plants are sessile and require diverse strategies to adapt to fluctuations in the
surrounding light conditions. Consequently, the photorelocation movement of
chloroplasts is essential to prevent damages that are induced by intense light
(avoidance response) and to ensure efficient photosynthetic activities under weak
light conditions (accumulation response). The mechanisms that underlie
chloroplast movements have been revealed through analysis of the behavior of
individual chloroplasts and it has been found that these organelles can move in
any direction without turning. This implies that any part of the chloroplast
periphery can function as the leading or trailing edge during movement. This
ability is mediated by a special structure, which consists of short actin
filaments that are polymerized at the leading edge of moving chloroplasts and are
specifically localized in the space between the chloroplast and the plasma
membrane, and is called chloroplast-actin. In addition, several of the genes that
encode proteins that are involved in chloroplast-actin polymerization or
maintenance have been identified. In this Review, we discuss the mechanisms that
regulate chloroplast movements through polymerization of the chloroplast-actin
and propose a model for actin-driven chloroplast photorelocation movement.
PMID- 29378841
TI - Have plant cells been forgotten?
PMID- 29378843
TI - Interview with the Guest Editor - Jenny Russinova.
AB - Jenny Russinova graduated in biotechnology from the University of Sofia,
Bulgaria, and subsequently obtained her PhD at De Montfort University, Leicester,
UK, where she worked on gene expression in plant embryogenesis. Jenny then moved
to the Netherlands to do her post-doctoral studies at Wageningen University,
Wageningen, in the laboratory of Sacco de Vries. There, she worked on cellular
regulation, mechanisms and localization of plant receptor-like kinases. In 2006,
she started her own research group at the Vlaams Instituut voor Biotechnologie
(VIB) at Ghent University, Belgium, focusing on brassinosteroid signaling
regulation in plants. Jenny is the Guest Editor for the 2018 plant cell biology
Special Issue in Journal of Cell Science.
PMID- 29378844
TI - Protein arginine methyltransferase 7-mediated microRNA-221 repression maintains
Oct4, Nanog, and Sox2 levels in mouse embryonic stem cells.
AB - The stemness maintenance of embryonic stem cells (ESCs) requires pluripotency
transcription factors, including Oct4, Nanog, and Sox2. We have previously
reported that protein arginine methyltransferase 7 (PRMT7), an epigenetic
modifier, is an essential pluripotency factor that maintains the stemness of
mouse ESCs, at least in part, by down-regulating the expression of the anti
stemness microRNA (miRNA) miR-24-2. To gain greater insight into the molecular
basis underlying PRMT7-mediated maintenance of mouse ESC stemness, we searched
for new PRMT7-down-regulated anti-stemness miRNAs. Here, we show that miR-221
gene-encoded miR-221-3p and miR-221-5p are anti-stemness miRNAs whose expression
levels in mouse ESCs are directly repressed by PRMT7. Notably, both miR-221-3p
and miR-221-5p targeted the 3' untranslated regions of mRNA transcripts of the
major pluripotency factors Oct4, Nanog, and Sox2 to antagonize mouse ESC
stemness. Moreover, miR-221-5p silenced also the expression of its own
transcriptional repressor PRMT7. Transfection of miR-221-3p and miR-221-5p mimics
induced spontaneous differentiation of mouse ESCs. CRISPR-mediated deletion of
the miR-221 gene, as well as specific antisense inhibitors of miR-221-3p and miR
221-5p, inhibited the spontaneous differentiation of PRMT7-depleted mouse ESCs.
Taken together, these findings reveal that the PRMT7-mediated repression of miR
221-3p and miR-221-5p expression plays a critical role in maintaining mouse ESC
stemness. Our results also establish miR-221-3p and miR-221-5p as anti-stemness
miRNAs that target Oct4, Nanog, and Sox2 mRNAs in mouse ESCs.
PMID- 29378845
TI - Estrogen receptor alpha protects pancreatic beta-cells from apoptosis by
preserving mitochondrial function and suppressing endoplasmic reticulum stress.
AB - Estrogen receptor alpha (ERalpha) action plays an important role in pancreatic
beta-cell function and survival; thus, it is considered a potential therapeutic
target for the treatment of type 2 diabetes in women. However, the mechanisms
underlying the protective effects of ERalpha remain unclear. Because ERalpha
regulates mitochondrial metabolism in other cell types, we hypothesized that
ERalpha may act to preserve insulin secretion and promote beta-cell survival by
regulating mitochondrial-endoplasmic reticulum (EndoRetic) function. We tested
this hypothesis using pancreatic islet-specific ERalpha knockout (PERalphaKO)
mice and Min6 beta-cells in culture with Esr1 knockdown (KD). We found that Esr1
KD promoted reactive oxygen species production that associated with reduced
fission/fusion dynamics and impaired mitophagy. Electron microscopy showed
mitochondrial enlargement and a pro-fusion phenotype. Mitochondrial cristae and
endoplasmic reticulum were dilated in Esr1-KD compared with ERalpha replete Min6
beta-cells. Increased expression of Oma1 and Chop was paralleled by increased
oxygen consumption and apoptosis susceptibility in ERalpha-KD cells. In contrast,
ERalpha overexpression and ligand activation reduced both Chop and Oma1
expression, likely by ERalpha binding to consensus estrogen-response element
sites in the Oma1 and Chop promoters. Together, our findings suggest that ERalpha
promotes beta-cell survival and insulin secretion through maintenance of
mitochondrial fission/fusion-mitophagy dynamics and EndoRetic function, in part
by Oma1 and Chop repression.
PMID- 29378846
TI - Single-cell nanobiopsy reveals compartmentalization of mRNAs within neuronal
cells.
AB - In highly polarized cells such as neurons, compartmentalization of mRNA and of
local protein synthesis enables remarkably fast, precise, and local responses to
external stimuli. These responses are highly important for neuron growth cone
guidance, synapse formation, and regeneration following injury. Because an
altered spatial distribution of mRNA can result in mental retardation or
neurodegenerative diseases, subcellular transcriptome analysis of neurons could
be a useful tool for studying these conditions, but current techniques, such as
in situ hybridization, bulk microarray, and RNA-Seq, impose tradeoffs between
spatial resolution and multiplexing. To obtain a comprehensive analysis of the
cell body versus neurite transcriptome from the same neuron, we have recently
developed a label-free, single-cell nanobiopsy platform based on scanning ion
conductance microscopy that uses electrowetting within a quartz nanopipette to
extract cellular material from living cells with minimal disruption of the
cellular membrane and milieu. In this study, we used this platform to collect
samples from the cell bodies and neurites of human neurons and analyzed the mRNA
pool with multiplex RNA sequencing. The minute volume of a nanobiopsy sample
allowed us to extract samples from several locations in the same cell and to map
the various mRNA species to specific subcellular locations. In addition to
previously identified transcripts, we discovered new sets of mRNAs localizing to
neurites, including nuclear genes such as Eomes and Hmgb3 In summary, our single
neuron nanobiopsy analysis provides opportunities to improve our understanding of
intracellular mRNA transport and local protein composition in neuronal growth,
connectivity, and function.
PMID- 29378847
TI - Nudt19 is a renal CoA diphosphohydrolase with biochemical and regulatory
properties that are distinct from the hepatic Nudt7 isoform.
AB - CoA is the major acyl carrier in mammals and a key cofactor in energy metabolism.
Dynamic regulation of CoA in different tissues and organs supports metabolic
flexibility. Two mammalian Nudix hydrolases, Nudt19 and Nudt7, degrade CoA in
vitro Nudt19 and Nudt7 possess conserved Nudix and CoA signature sequences and
specifically hydrolyze the diphosphate bond of free CoA and acyl-CoAs to form
3',5'-ADP and 4'-(acyl)phosphopantetheine. Limited information is available on
these enzymes, but the relatively high abundance of Nudt19 and Nudt7 mRNA in the
kidney and liver, respectively, suggests that they play specific roles in the
regulation of CoA levels in these organs. Here, we analyzed Nudt19-/- mice and
found that deletion of Nudt19 elevates kidney CoA levels in mice fed ad libitum,
indicating that Nudt19 contributes to the regulation of CoA in vivo Unlike what
was observed for the regulation of Nudt7 in the liver, Nudt19 transcript and
protein levels in the kidney did not differ between fed and fasted states.
Instead, we identified chenodeoxycholic acid as a specific Nudt19 inhibitor that
competed with CoA for Nudt19 binding but did not bind to Nudt7. Exchange of the
Nudix and CoA signature motifs between the two isoforms dramatically decreased
their kcat Furthermore, substitutions of conserved residues within these motifs
identified amino acids playing different roles in CoA binding and hydrolysis in
Nudt19 and Nudt7. Our results reveal that the kidney and liver each possesses a
distinct peroxisomal CoA diphosphohydrolase.
PMID- 29378848
TI - A major isoform of the E3 ubiquitin ligase March-I in antigen-presenting cells
has regulatory sequences within its gene.
AB - Regulation of major histocompatibility complex class II (MHC-II) expression is
important not only to maintain a diverse pool of MHC-II-peptide complexes but
also to prevent development of autoimmunity. The membrane-associated RING-CH
(March) E3 ubiquitin ligase March-I regulates ubiquitination and turnover of MHC
II-peptide complexes in resting dendritic cells (DCs) and B cells. However,
activation of either cell type terminates March-I expression, thereby stabilizing
MHC-II-peptide complexes. Despite March-I's important role in the biology of
antigen-presenting cells (APCs), how expression of March-I mRNA is regulated
remains unknown. We now show that both DCs and B cells possess a distinct isoform
of March-I whose expression is regulated by a promoter located within the March-I
gene. Using March-I promoter fragments to drive expression of GFP, we also
identified a core promoter for expression of March-I in DCs and B cells, but not
in fibroblasts, kidney cells, or epithelial cells, that contains regulatory
regions that down-regulate March-I expression upon activation of DCs. Curiously,
we found downstream sequence elements, present in the first coding exon of March
I in APCs, that confer regulation of March-I expression in activated APCs. In
summary, our study identifies regulatory regions of the March-I gene that confer
APC-specific expression and activation-induced modulation of March-I expression
in DCs and B cells.
PMID- 29378849
TI - Drosophila melanogaster Guk-holder interacts with the Scribbled PDZ1 domain and
regulates epithelial development with Scribbled and Discs Large.
AB - Epithelial cell polarity is controlled by components of the Scribble polarity
module, and its regulation is critical for tissue architecture and cell
proliferation and migration. In Drosophila melanogaster, the adaptor protein Guk
holder (Gukh) binds to the Scribbled (Scrib) and Discs Large (Dlg) components of
the Scribble polarity module and plays an important role in the formation of
neuromuscular junctions. However, Gukh's role in epithelial tissue formation and
the molecular basis for the Scrib-Gukh interaction remain to be defined. We now
show using isothermal titration calorimetry that the Scrib PDZ1 domain is the
major site for an interaction with Gukh. Furthermore, we defined the structural
basis of this interaction by determining the crystal structure of the Scrib PDZ1
Gukh complex. The C-terminal PDZ-binding motif of Gukh is located in the
canonical ligand-binding groove of Scrib PDZ1 and utilizes an unusually extensive
network of hydrogen bonds and ionic interactions to enable binding to PDZ1 with
high affinity. We next examined the role of Gukh along with those of Scrib and
Dlg in Drosophila epithelial tissues and found that Gukh is expressed in larval
wing and eye-epithelial tissues and co-localizes with Scrib and Dlg at the apical
cell cortex. Importantly, we show that Gukh functions with Scrib and Dlg in the
development of Drosophila epithelial tissues, with depletion of Gukh enhancing
the eye- and wing-tissue defects caused by Scrib or Dlg depletion. Overall, our
findings reveal that Scrib's PDZ1 domain functions in the interaction with Gukh
and that the Scrib-Gukh interaction has a key role in epithelial tissue
development in Drosophila.
PMID- 29378850
TI - A statistical model for improved membrane protein expression using sequence
derived features.
AB - The heterologous expression of integral membrane proteins (IMPs) remains a major
bottleneck in the characterization of this important protein class. IMP
expression levels are currently unpredictable, which renders the pursuit of IMPs
for structural and biophysical characterization challenging and inefficient.
Experimental evidence demonstrates that changes within the nucleotide or amino
acid sequence for a given IMP can dramatically affect expression levels, yet
these observations have not resulted in generalizable approaches to improve
expression levels. Here, we develop a data-driven statistical predictor named
IMProve that, using only sequence information, increases the likelihood of
selecting an IMP that expresses in Escherichia coli The IMProve model, trained on
experimental data, combines a set of sequence-derived features resulting in an
IMProve score, where higher values have a higher probability of success. The
model is rigorously validated against a variety of independent data sets that
contain a wide range of experimental outcomes from various IMP expression trials.
The results demonstrate that use of the model can more than double the number of
successfully expressed targets at any experimental scale. IMProve can immediately
be used to identify favorable targets for characterization. Most notably, IMProve
demonstrates for the first time that IMP expression levels can be predicted
directly from sequence.
PMID- 29378852
TI - Socioeconomic Status and Hypertension Control in Sub-Saharan Africa: The
Multination EIGHT Study (Evaluation of Hypertension in Sub-Saharan Africa).
AB - Systemic hypertension is a rapidly growing epidemic in Africa. The role of
socioeconomic status on blood pressure control has not been well studied in this
part of the world. We, therefore, aimed to quantify the association of
socioeconomic status both at the individual and at the country level with blood
pressure control in Sub-Saharan Africa. We conducted a cross-sectional survey in
urban clinics of 12 countries, both low income and middle income, in Sub-Saharan
Africa. Standardized blood pressure measures were made among the hypertensive
patients attending the clinics. Blood pressure control was defined as blood
pressure <140/90 mm Hg, and hypertension grades were defined according to the
European Society of Cardiology guidelines. A total of 2198 hypertensive patients
(58.4+/-11.8 years; 39.9% men) were included. Uncontrolled hypertension was
present in 1692 patients (77.4%), including 1044 (47.7%) with >=grade 2
hypertension. The proportion of uncontrolled hypertension progressively increased
with decreasing level of patient individual wealth, respectively, 72.8%, 79.3%,
and 81.8% (P for trend, <0.01). Stratified analysis shows that these differences
of uncontrolled hypertension according to individual wealth index were observed
in low-income countries (P for trend, 0.03) and not in middle-income countries (P
for trend, 0.26). In low-income countries, the odds of uncontrolled hypertension
increased 1.37-fold (odds ratio, 1.37 [0.99-1.90]) and 1.88-fold (odds ratio,
1.88 [1.10-3.21]) in patients with middle and low individual wealth as compared
with high individual wealth. Similarly, the grade of hypertension increased
progressively with decreasing level of individual patient wealth (P for trend,
<0.01). Strategies for hypertension control in Sub-Saharan Africa should
especially focus on people in the lowest individual wealth groups who also reside
in low-income countries.
PMID- 29378851
TI - Phosphorylation of protein kinase A (PKA) regulatory subunit RIalpha by protein
kinase G (PKG) primes PKA for catalytic activity in cells.
AB - cAMP-dependent protein kinase (PKAc) is a pivotal signaling protein in eukaryotic
cells. PKAc has two well-characterized regulatory subunit proteins, RI and RII
(each having alpha and beta isoforms), which keep the PKAc catalytic subunit in a
catalytically inactive state until activation by cAMP. Previous reports showed
that the RIalpha regulatory subunit is phosphorylated by cGMP-dependent protein
kinase (PKG) in vitro, whereupon phosphorylated RIalpha no longer inhibits PKAc
at normal (1:1) stoichiometric ratios. However, the significance of this
phosphorylation as a mechanism for activating type I PKA holoenzymes has not been
fully explored, especially in cellular systems. In this study, we further
examined the potential of RIalpha phosphorylation to regulate physiologically
relevant "desensitization" of PKAc activity. First, the serine 101 site of
RIalpha was validated as a target of PKGIalpha phosphorylation both in vitro and
in cells. Analysis of a phosphomimetic substitution in RIalpha (S101E) showed
that modification of this site increases PKAc activity in vitro and in cells,
even without cAMP stimulation. Numerous techniques were used to show that
although Ser101 variants of RIalpha can bind PKAc, the modified linker region of
the S101E mutant has a significantly reduced affinity for the PKAc active site.
These findings suggest that RIalpha phosphorylation may be a novel mechanism to
circumvent the requirement of cAMP stimulus to activate type I PKA in cells. We
have thus proposed a model to explain how PKG phosphorylation of RIalpha creates
a "sensitized intermediate" state that is in effect primed to trigger PKAc
activity.
PMID- 29378853
TI - Left Ventricular Hypertrophy and Remodeling and Risk of Cognitive Impairment and
Dementia: MESA (Multi-Ethnic Study of Atherosclerosis).
AB - Limited information exists on the longitudinal association between the left
ventricular (LV) structure and function and future cognitive impairment and
dementia in a large population without clinically recognized cardiovascular
disease at baseline. The aim of the present study was to investigate the
association between cardiac structure and function and risk of dementia and
cognitive impairment in the MESA (Multi-Ethnic Study of Atherosclerosis) cohort.
Measures of LV structure and function were determined using magnetic resonance
imaging at baseline in 4999 participants free of clinically diagnosed
cardiovascular disease and dementia. Probable incident clinical dementia was
ascertained from hospitalization discharge records. Cognitive function was
evaluated using tests addressing global cognitive function, processing speed, and
memory. Associations of measures of LV structure and function with the incidence
of clinically diagnosed dementia and cognitive performance were evaluated using
Cox proportional hazard regression models adjusted for demographics,
cardiovascular risk factors, and cardiovascular events. During a median follow-up
of 12 years, 130 probable incident dementia cases were documented. Higher LV mass
index (hazard ratio, 1.01; 95% confidence interval, 1.00-1.02) and LV mass-to
volume ratio (hazard ratio, 2.37; 95% confidence interval, 1.25-4.43) were
independently associated with incident dementia and impaired cognitive function.
Measures of LV function were not associated with risk of dementia or cognitive
impairment. In conclusion, in a multiethnic cohort of participants without
clinically detected cardiovascular disease and dementia at baseline, LV
hypertrophy and concentric remodeling were independently associated with incident
dementia and cognitive impairment.
PMID- 29378854
TI - Dysregulated Expression of RPS4Y1 (Ribosomal Protein S4, Y-Linked 1) Impairs
STAT3 (Signal Transducer and Activator of Transcription 3) Signaling to Suppress
Trophoblast Cell Migration and Invasion in Preeclampsia.
AB - Normal placentation and a successful pregnancy depend on appropriate trophoblast
cell migration and invasion. Inadequate trophoblast invasion and impaired spiral
artery remodeling may lead to pregnancy-related disorders, such as preeclampsia.
RPS4Y1 (ribosomal protein S4, Y-linked 1) is a member of the S4E family of
ribosomal proteins. In this study, we found that RPS4Y1 levels were upregulated
in placental samples collected from preeclamptic patients, when compared with the
normotensive pregnant women. In vitro, inhibition of RPS4Y1 induced trophoblast
cell invasion, promoted placental explant outgrowth, and increased STAT3 (signal
transducer and activator of transcription 3) phosphorylation along with elevated
expression of N-cadherin and vimentin. Conversely, overexpression of RPS4Y1
results in reduced trophoblast cell invasion and decreased STAT3 phosphorylation.
In addition, the suppression of RPS4Y1 promotes trophoblast cell invasion, which
could be abolished by the STAT3 knockdown. Meanwhile, we observed reductions of
STAT3 phosphorylation expression in preeclampsia patients. Collectively, these
results demonstrate that the level of RPS4Y1 expression may be associated with
preeclampsia by affecting trophoblast cell migration and invasion via the
STAT3/epithelial-mesenchymal transition pathway.
PMID- 29378855
TI - Parameters of Left Ventricular Mass and Dementia: Moving the Literature Forward.
PMID- 29378856
TI - Under Pressure: A New Role for CD11c+ Myeloid Cells in Hypertension.
PMID- 29378857
TI - Myeloid CD11c+ Antigen-Presenting Cells Ablation Prevents Hypertension in
Response to Angiotensin II Plus High-Salt Diet.
AB - Increasing evidence shows that antigen-presenting cells (APCs) are involved in
the development of inflammation associated to hypertension. However, the
potential role of APCs in the modulation of renal sodium transport has not been
addressed. We hypothesized that APCs participate in renal sodium transport and,
thus, development of high blood pressure in response to angiotensin II plus a
high-salt diet. Using transgenic mice that allow the ablation of CD11chigh APCs,
we studied renal sodium transport, the intrarenal renin-angiotensin system
components, blood pressure, and cardiac/renal tissue damage in response to
angiotensin II plus a high-salt diet. Strikingly, we found that APCs are required
for the development of hypertension and that the ablation/restitution of APCs
produces rapid changes in the blood pressure in mice with angiotensin II plus a
high-salt diet. Moreover, APCs were necessary for the induction of intrarenal
renin-angiotensin system components and affected the modulation of natriuresis
and tubular sodium transporters. Consistent with the prevention of hypertension,
the ablation of APCs also prevented cardiac hypertrophy and the induction of
several indicators of renal and cardiac damage. Thus, our findings indicate a
prominent role of APCs as modulators of blood pressure by mechanisms including
renal sodium handling, with kinetics that suggest the involvement of tubular cell
functions in addition to the modulation of inflammation and adaptive immune
response.
PMID- 29378858
TI - Plasma Cell Depletion Attenuates Hypertension in an Experimental Model of
Autoimmune Disease.
AB - Numerous studies show a direct relation between circulating autoantibodies,
characteristic of systemic autoimmune disorders, and primary hypertension in
humans. Whether these autoantibodies mechanistically contribute to the
development of hypertension remains unclear. Systemic lupus erythematosus (SLE)
is a chronic autoimmune disorder characterized by aberrant immunoglobulin
production, notably pathogenic autoantibodies, and is associated with prevalent
hypertension, renal injury, and cardiovascular disease. Because plasma cells
produce the majority of serum immunoglobulins and are the primary source of
autoantibodies in SLE, we hypothesized that plasma cell depletion using the
proteasome inhibitor bortezomib would lower autoantibody production and attenuate
hypertension. Thirty-week-old female SLE (NZBWF1) and control (NZW [New Zealand
White]) mice were injected IV with vehicle (0.9% saline) or bortezomib (0.75
mg/kg) twice weekly for 4 weeks. Bortezomib treatment significantly lowered the
percentage of bone marrow plasma cells in SLE mice. Total plasma IgG and anti
dsDNA IgG levels were higher in SLE mice compared with control mice but were
lowered by bortezomib treatment. Mean arterial pressure (mm Hg) measured in
conscious mice by carotid artery catheter was higher in SLE mice than in control
mice, but mean arterial pressure was significantly lower in bortezomib-treated
SLE mice. Bortezomib also attenuated renal injury, as assessed by albuminuria and
glomerulosclerosis, and reduced glomerular immunoglobulin deposition and B and T
lymphocytes infiltration into the kidneys. Taken together, these data show that
the production of autoantibodies by plasma cells mechanistically contributes to
autoimmune-associated hypertension and suggests a potential role for patients
with primary hypertension who have increased circulating immunoglobulins.
PMID- 29378859
TI - High prevalence of latent tuberculosis and bloodborne virus infection in a
homeless population.
AB - INTRODUCTION: Urban homeless populations in the UK have been shown to have high
rates of active tuberculosis, but less is known about the prevalence of latent
tuberculosis infection (LTBI). This study aimed to estimate the prevalence of
LTBI among individuals using homeless hostels in London. METHODS: We performed a
cross-sectional survey with outcome follow-up in homeless hostels in London. Our
primary outcome was prevalence of LTBI. Recruitment for the study took place
between May 2011 and June 2013. To estimate an LTBI prevalence of 10% with 95%
CIs between 8% and 13%, we required 500 participants. RESULTS: 491/804 (61.1%)
individuals agreed to be screened. The prevalence of LTBI was 16.5% (81/491; 95%
CI 13.2 to 19.8). In UK-born individuals, a history of incarceration was
associated with increased risk of LTBI (OR 3.49; 95% CI 1.10 to 11.04; P=0.018)
after adjusting for age, length of time spent homeless and illicit drug use. Of
the three subjects who met English treatment guidelines for LTBI at the time of
the study, none engaged with services after referral for treatment. Prevalence of
past hepatitis B infection was 10.4% (51/489; 95% CI 7.7 to 13.1), and 59.5%
(291/489; 95% CI 55.1 to 63.9) of individuals were non-immune. Prevalence of
current hepatitis C infection was 10.4% (51/489; 95% CI 7.8 to 13.1).
CONCLUSIONS: This study demonstrates the high prevalence of LTBI in homeless
people in London and the associated poor engagement with care. There is a large
unmet need for LTBI and hepatitis C infection treatment, and hepatitis B
vaccination, in this group.
PMID- 29378860
TI - Dorsal Raphe Serotonin Neurons Mediate CO2-Induced Arousal from Sleep.
AB - Arousal from sleep in response to CO2 is a critical protective phenomenon.
Dysregulation of CO2-induced arousal contributes to morbidity and mortality from
prevalent diseases, such as obstructive sleep apnea and sudden infant death
syndrome. Despite the critical nature of this protective reflex, the precise
mechanism for CO2-induced arousal is unknown. Because CO2 is a major regulator of
breathing, prevailing theories suggest that activation of respiratory chemo- and
mechano-sensors is required for CO2-induced arousal. However, populations of
neurons that are not involved in the regulation of breathing are also
chemosensitive. Among these are serotonin (5-HT) neurons in the dorsal raphe
nucleus (DRN) that comprise a component of the ascending arousal system. We
hypothesized that direct stimulation of these neurons with CO2 could cause
arousal from sleep independently of enhancing breathing. Dialysis of CO2-rich
acidified solution into DRN, but not medullary raphe responsible for modulating
breathing, caused arousal from sleep. Arousal was lost in mice with a genetic
absence of 5-HT neurons, and with acute pharmacological or optogenetic
inactivation of DRN 5-HT neurons. Here we demonstrate that CO2 can cause arousal
from sleep directly, without requiring enhancement of breathing, and that
chemosensitive 5-HT neurons in the DRN critically mediate this arousal. Better
understanding mechanisms underlying this protective reflex may lead to
interventions to reduce disease-associated morbidity and mortality.SIGNIFICANCE
STATEMENT Although CO2-induced arousal is critical to a number of diseases, the
specific mechanism is not well understood. We previously demonstrated that
serotonin (5-HT) neurons are important for CO2-induced arousal, as mice without 5
HT neurons do not arouse to CO2 Many have interpreted this to mean that medullary
5-HT neurons that regulate breathing are important in this arousal mechanism.
Here we found that direct application of CO2-rich aCSF to the dorsal raphe
nucleus, but not the medullary raphe, causes arousal from sleep, and that this
arousal was lost with genetic ablation or acute inhibition of 5-HT neurons. We
propose that 5-HT neurons in the dorsal raphe nucleus can be activated directly
by CO2 to cause arousal independently of respiratory activation.
PMID- 29378861
TI - Progranulin Gene Therapy Improves Lysosomal Dysfunction and Microglial Pathology
Associated with Frontotemporal Dementia and Neuronal Ceroid Lipofuscinosis.
AB - Loss-of-function mutations in progranulin, a lysosomal glycoprotein, cause
neurodegenerative disease. Progranulin haploinsufficiency causes frontotemporal
dementia (FTD) and complete progranulin deficiency causes CLN11 neuronal ceroid
lipofuscinosis (NCL). Progranulin replacement is a rational therapeutic strategy
for these disorders, but there are critical unresolved mechanistic questions
about a progranulin gene therapy approach, including its potential to reverse
existing pathology. Here, we address these issues using an AAV vector (AAV-Grn)
to deliver progranulin in Grn-/- mice (both male and female), which model aspects
of NCL and FTD pathology, developing lysosomal dysfunction, lipofuscinosis, and
microgliosis. We first tested whether AAV-Grn could improve preexisting
pathology. Even with treatment after onset of pathology, AAV-Grn reduced
lipofuscinosis in several brain regions of Grn-/- mice. AAV-Grn also reduced
microgliosis in brain regions distant from the injection site. AAV-expressed
progranulin was only detected in neurons, not in microglia, indicating that the
microglial activation in progranulin deficiency can be improved by targeting
neurons and thus may be driven at least in part by neuronal dysfunction. Even
areas with sparse transduction and almost undetectable progranulin showed
improvement, indicating that low-level replacement may be sufficiently effective.
The beneficial effects of AAV-Grn did not require progranulin binding to
sortilin. Finally, we tested whether AAV-Grn improved lysosomal function. AAV
derived progranulin was delivered to the lysosome, ameliorated the accumulation
of LAMP-1 in Grn-/- mice, and corrected abnormal cathepsin D activity. These data
shed light on progranulin biology and support progranulin-boosting therapies for
NCL and FTD due to GRN mutations.SIGNIFICANCE STATEMENT Heterozygous loss-of
function progranulin (GRN) mutations cause frontotemporal dementia (FTD) and
homozygous mutations cause neuronal ceroid lipofuscinosis (NCL). Here, we address
several mechanistic questions about the potential of progranulin gene therapy for
these disorders. GRN mutation carriers with NCL or FTD exhibit lipofuscinosis and
Grn-/- mouse models develop a similar pathology. AAV-mediated progranulin
delivery reduced lipofuscinosis in Grn-/- mice even after the onset of pathology.
AAV delivered progranulin only to neurons, not microglia, but improved
microgliosis in several brain regions, indicating cross talk between neuronal and
microglial pathology. Its beneficial effects were sortilin independent. AAV
derived progranulin was delivered to lysosomes and corrected lysosomal
abnormalities. These data provide in vivo support for the efficacy of progranulin
boosting therapies for FTD and NCL.
PMID- 29378862
TI - A Collaborator's Reputation Can Bias Decisions and Anxiety under Uncertainty.
AB - Informational social influence theory posits that under conditions of
uncertainty, we are inclined to look to others for advice. This leaves us
remarkably vulnerable to being influenced by others' opinions or advice. Rational
agents, however, do not blindly seek and act on arbitrary information, but often
consider the quality of its source before committing to a course of action. Here,
we ask the question of whether a collaborator's reputation can increase their
social influence and, in turn, bias perception and anxiety under changing levels
of uncertainty. Human male and female participants were asked to provide
estimations of dot direction using the random dot motion (RDM) perceptual
discrimination task and were paired with transient collaborators of high or low
reputation whom provided their own estimations. The RDM varied in degrees of
uncertainty and joint performance accuracy was linked to risk of an electric
shock. Despite providing identical information, we show that collaborating with a
high reputation compared with a low reputation partner, led to significantly more
conformity during the RDM task for uncertain perceptual decisions. Consequently,
high reputation partners decreased the subjects' anxiety during the anticipatory
shock periods. fMRI data showed that parametric changes in conformity resulted in
increased activity in the ventromedial PFC, whereas dissent was associated with
increased in activity in the dorsal anterior cingulate cortex (dACC).
Furthermore, the dACC and insula, regions involved in anticipatory pain, were
significantly more active when collaborating with a low reputation partner. These
results suggest that information about reputation can influence both cognitive
and affective processes and in turn alter the neural circuits that underlie
decision-making and emotion.SIGNIFICANCE STATEMENT Humans look to others for
advice when making decisions under uncertainty. Rational agents, however, do not
blindly seek information, but often consider the quality of its source before
committing to a course of action. Here, we ask the question of whether a
collaborators' reputation can increase social influence and in turn bias
perception and anxiety in the context of perceptual uncertainty. We show that
when subjects are partnered with collaborators with a high reputation, this leads
to increased conformity during uncertain perceptual decision-making and reduces
anxiety when joint performance accuracy leads to an electric shock. Furthermore,
our results show that information about reputation alters the neural circuits
that underlie decision-making and emotion.
PMID- 29378864
TI - The Axon Initial Segment: An Updated Viewpoint.
AB - At the base of axons sits a unique compartment called the axon initial segment
(AIS). The AIS generates and shapes the action potential before it is propagated
along the axon. Neuronal excitability thus depends crucially on the AIS
composition and position, and these adapt to developmental and physiological
conditions. The AIS also demarcates the boundary between the somatodendritic and
axonal compartments. Recent studies have brought insights into the molecular
architecture of the AIS and how it regulates protein trafficking. This Viewpoints
article summarizes current knowledge about the AIS and highlights future
challenges in understanding this key actor of neuronal physiology.
PMID- 29378863
TI - Notch Suppression Collaborates with Ascl1 and Lin28 to Unleash a Regenerative
Response in Fish Retina, But Not in Mice.
AB - Muller glial (MG) cells in the zebrafish retina respond to injury by acquiring
retinal stem-cell characteristics. Thousands of gene expression changes are
associated with this event. Key among these changes is the induction of Ascl1a
and Lin28a, two reprogramming factors whose expression is necessary for retina
regeneration. Whether these factors are sufficient to drive MG proliferation and
subsequent neuronal-fate specification remains unknown. To test this, we
conditionally expressed Ascl1a and Lin28a in the uninjured retina of male and
female fish. We found that together, their forced expression only stimulates
sparse MG proliferation. However, in combination with Notch signaling inhibition,
widespread MG proliferation and neuron regeneration ensued. Remarkably, Ascl1 and
Lin28a expression in the retina of male and female mice also stimulated sparse MG
proliferation, although this was not enhanced when combined with inhibitors of
Notch signaling. Lineage tracing in both fish and mice suggested that the
proliferating MG generated multipotent progenitors; however, this process was
much more efficient in fish than mice. Overall, our studies suggest that the
overexpression of Ascl1a and Lin28a in zebrafish, in combination with inhibition
of Notch signaling, can phenocopy the effects of retinal injury in Muller glia.
Interestingly, Ascl1 and Lin28a seem to have similar effects in fish and mice,
whereas Notch signaling may differ. Understanding the different consequences of
Notch signaling inhibition in fish and mice, may suggest additional strategies
for enhancing retina regeneration in mammals.SIGNIFICANCE STATEMENT Mechanisms
underlying retina regeneration in fish may suggest strategies for stimulating
this process in mammals. Here we report that forced expression of Ascl1 and
Lin28a can stimulate sparse MG proliferation in fish and mice; however, only in
fish does Notch signaling inhibition collaborate with Ascl1a and Lin28a to
stimulate widespread MG proliferation in the uninjured retina. Discerning
differences in Notch signaling between fish and mice MG may reveal strategies for
stimulating retina regeneration in mammals.
PMID- 29378866
TI - Chronic stress alters adrenal clock function in a sexually dimorphic manner.
AB - Glucocorticoid production is gated at the molecular level by the circadian clock
in the adrenal gland. Stress influences daily rhythms in behavior and physiology,
but it remains unclear how stress affects the function of the adrenal clock
itself. Here, we examine the influence of stress on adrenal clock function by
tracking PERIOD2::LUCIFERASE (PER2::LUC) rhythms in vitro Relative to non
stressed controls, adrenals from stressed mice displayed marked changes in
PER2::LUC rhythms. Interestingly, the effect of stress on adrenal rhythms varied
by sex and the type of stress experienced in vivo To investigate the basis of sex
differences in the adrenal response to stress, we next stimulated male and female
adrenals in vitro with adrenocorticotropic hormone (ACTH). ACTH shifted phase and
increased amplitude of adrenal PER2::LUC rhythms. Both phase and amplitude
responses were larger in female adrenals than in male adrenals, an observation
consistent with previously described sex differences in the physiological
response to stress. Lastly, we reversed the sex difference in adrenal clock
function using stress and sex hormone manipulations to test its role in driving
adrenal responses to ACTH. We find that adrenal responsiveness to ACTH is
inversely proportional to the amplitude of adrenal PER2::LUC rhythms. This
suggests that larger ACTH responses from female adrenals may be driven by their
lower amplitude molecular rhythms. Collectively, these results indicate a
reciprocal relationship between stress and the adrenal clock, with stress
influencing adrenal clock function and the state of the adrenal clock gating the
response to stress in a sexually dimorphic manner.
PMID- 29378865
TI - Saliency Detection as a Reactive Process: Unexpected Sensory Events Evoke
Corticomuscular Coupling.
AB - Survival in a fast-changing environment requires animals not only to detect
unexpected sensory events, but also to react. In humans, these salient sensory
events generate large electrocortical responses, which have been traditionally
interpreted within the sensory domain. Here we describe a basic physiological
mechanism coupling saliency-related cortical responses with motor output. In four
experiments conducted on 70 healthy participants, we show that salient substartle
sensory stimuli modulate isometric force exertion by human participants, and that
this modulation is tightly coupled with electrocortical activity elicited by the
same stimuli. We obtained four main results. First, the force modulation follows
a complex triphasic pattern consisting of alternating decreases and increases of
force, time-locked to stimulus onset. Second, this modulation occurs regardless
of the sensory modality of the eliciting stimulus. Third, the magnitude of the
force modulation is predicted by the amplitude of the electrocortical activity
elicited by the same stimuli. Fourth, both neural and motor effects are not
reflexive but depend on contextual factors. Together, these results indicate that
sudden environmental stimuli have an immediate effect on motor processing,
through a tight corticomuscular coupling. These observations suggest that
saliency detection is not merely perceptive but reactive, preparing the animal
for subsequent appropriate actions.SIGNIFICANCE STATEMENT Salient events
occurring in the environment, regardless of their modalities, elicit large
electrical brain responses, dominated by a widespread "vertex" negative-positive
potential. This response is the largest synchronization of neural activity that
can be recorded from a healthy human being. Current interpretations assume that
this vertex potential reflects sensory processes. Contrary to this general
assumption, we show that the vertex potential is strongly coupled with a
modulation of muscular activity that follows the same pattern. Both the vertex
potential and its motor effects are not reflexive but strongly depend on
contextual factors. These results reconceptualize the significance of these
evoked electrocortical responses, suggesting that saliency detection is not
merely perceptive but reactive, preparing the animal for subsequent appropriate
actions.
PMID- 29378867
TI - IAPP and type 1 diabetes: implications for immunity, metabolism and islet
transplants.
AB - Islet amyloid polypeptide (IAPP), the main component of islet amyloid in type 2
diabetes and islet transplants, is now recognized as a contributor to beta cell
dysfunction. Increasingly, evidence warrants its investigation in type 1 diabetes
owing to both its immunomodulatory and metabolic actions. Autoreactive T cells to
IAPP-derived epitopes have been described in humans, suggesting that IAPP is an
islet autoantigen in type 1 diabetes. In addition, although aggregates of IAPP
have not been implicated in type 1 diabetes, they are potent pro-inflammatory
stimuli to innate immune cells, and thus, could influence autoimmunity. IAPP
aggregates also occur rapidly in transplanted islets and likely contribute to
islet transplant failure in type 1 diabetes through sterile inflammation. In
addition, since type 1 diabetes is a disease of both insulin and IAPP deficiency,
clinical trials have examined the potential benefits of IAPP replacement in type
1 diabetes with the injectable IAPP analogue, pramlintide. Pramlintide limits
postprandial hyperglycemia by delaying gastric emptying and suppressing
hyperglucagonemia, underlining the possible role of IAPP in postprandial glucose
metabolism. Here, we review IAPP in the context of type 1 diabetes: from its
potential involvement in type 1 diabetes pathogenesis, through its role in
glucose metabolism and use of IAPP analogues as therapeutics, to its potential
role in clinical islet transplant failure and considerations in this regard for
future beta cell replacement strategies.
PMID- 29378868
TI - Care for people with hepatitis C in provincial and territorial prisons.
PMID- 29378869
TI - Intergenerational abortion tendency between mothers and teenage daughters: a
population-based cohort study.
AB - BACKGROUND: A teenage woman's sexual health practices may be influenced by her
mother's experience. We evaluated whether there is an intergenerational tendency
for induced abortion between mothers and their teenage daughters. METHODS: We
conducted a retrospective population-based cohort study involving daughters born
in Ontario between 1992 and 1999. We evaluated the daughters' data for induced
abortions between age 12 years and their 20th birthday. We assessed each mother's
history of induced abortion for the period from 4 years before her daughter's
birth to 12 years after (i.e., when her daughter turned 12 years of age). We used
Cox proportional hazard models to estimate a daughter's risk of having an induced
abortion in relation to the mother's history of the same procedure. We adjusted
hazard ratios (HRs) for maternal age and world region of origin, mental or
physical health problems in the daughter, mother- daughter cohabitation,
neighbourhood-level rate of teen induced abortion, rural or urban residence, and
income quintile. RESULTS: A total of 431 623 daughters were included in the
analysis. The cumulative probability of teen induced abortion was 10.1% (95%
confidence interval [CI] 9.8%-10.4%) among daughters whose mother had an induced
abortion, and 4.2% (95% CI 4.1%-4.3%) among daughters whose mother had no induced
abortion, for an adjusted HR of 1.94 (95% CI 1.86-2.01). The adjusted HR of a
teenaged daughter having an induced abortion in relation to number of maternal
induced abortions was 1.77 (95% CI 1.69-1.85) with 1 maternal abortion, 2.04 (95%
CI 1.91-2.18) with 2 maternal abortions, 2.39 (95% CI 2.19-2.62) with 3 maternal
abortions and 2.54 (95% CI 2.33-2.77) with 4 or more maternal abortions, relative
to none. INTERPRETATION: We found that the risk of teen induced abortion was
higher among daughters whose mother had had an induced abortion. Future research
should explore the mechanisms for intergenerational induced abortion.
PMID- 29378871
TI - Dog bites.
PMID- 29378872
TI - Eye complications from self-injury in a child.
PMID- 29378870
TI - Diagnosis and management of congenital diaphragmatic hernia: a clinical practice
guideline.
PMID- 29378873
TI - What to do about the Canadian residency matching process?
PMID- 29378875
TI - AI opens new frontier for suicide prevention.
PMID- 29378874
TI - Unmatched Canadian medical graduates.
PMID- 29378876
TI - Surgical fires: nightmarish "never events" persist.
PMID- 29378877
TI - Disrespect within medicine for family doctors affects medical students and
patients.
PMID- 29378878
TI - Newborns exposed to opioids need mothers more than NICU, say pediatricians.
PMID- 29378879
TI - The utility of transcriptomics in fish conservation.
AB - There is growing recognition of the need to understand the mechanisms underlying
organismal resilience (i.e. tolerance, acclimatization) to environmental change
to support the conservation management of sensitive and economically important
species. Here, we discuss how functional genomics can be used in conservation
biology to provide a cellular-level understanding of organismal responses to
environmental conditions. In particular, the integration of transcriptomics with
physiological and ecological research is increasingly playing an important role
in identifying functional physiological thresholds predictive of compensatory
responses and detrimental outcomes, transforming the way we can study issues in
conservation biology. Notably, with technological advances in RNA sequencing,
transcriptome-wide approaches can now be applied to species where no prior
genomic sequence information is available to develop species-specific tools and
investigate sublethal impacts that can contribute to population declines over
generations and undermine prospects for long-term conservation success. Here, we
examine the use of transcriptomics as a means of determining organismal responses
to environmental stressors and use key study examples of conservation concern in
fishes to highlight the added value of transcriptome-wide data to the
identification of functional response pathways. Finally, we discuss the gaps
between the core science and policy frameworks and how thresholds identified
through transcriptomic evaluations provide evidence that can be more readily used
by resource managers.
PMID- 29378880
TI - Flexibility of feeding movements in pigs: effects of changes in food toughness
and stiffness on the timing of jaw movements.
AB - In mammals, chewing movements can be modified, or flexible, in response to
changes in food properties. Variability between and within food in the temporal
characteristics of chewing movements can impact chewing frequency and
rhythmicity, which in turn may affect food breakdown, energy expenditure and
tooth wear. Here, we compared total chewing cycle duration and intra-cycle phase
durations in pigs chewing on three foods varying in toughness and stiffness:
apples (low toughness, low stiffness), carrots (high toughness, low stiffness),
and almonds (high toughness, high stiffness). We also determined whether within
food variability in timing parameters is modified in response to changes in food
properties. X-ray Reconstruction Of Moving Morphology (XROMM) demonstrates that
the timing of jaw movements are flexible in response to changes in food
properties. Within each food, pigs also exhibited flexibility in their ability to
vary cycle parameters. The timing of jaw movements during processing of high
toughness foods is more variable, potentially decreasing chewing rhythmicity. In
contrast, low-toughness foods result in jaw movements that are more stereotyped
in their timing parameters. In addition, the duration of tooth-food-tooth contact
is more variable during the processing of low-stiffness foods compared with tough
or stiff foods. Increased toughness is suggested to alter the timing of the
movements impacting food fracture whereas increased stiffness may require a more
cautious control of jaw movements. This study emphasizes that flexibility in
biological movements in response to changes in conditions may not only be
observed in timing but also in the variability of their timing within each
condition.
PMID- 29378881
TI - Ammonia excretion in aquatic invertebrates: new insights and questions.
AB - Invertebrates employ a variety of ammonia excretion strategies to facilitate
their survival in diverse aquatic environments, including freshwater, seawater
and the water film surrounding soil particles. Various environmental properties
set innate challenges for an organism's ammonia excretory capacity. These include
the availability of NaCl and the respective ion-permeability of the organism's
transport epithelia, and the buffering capacity of their immediate surrounding
medium. To this end, some transporters seem to be conserved in the excretory
process. This includes the Na+/K+(NH4+)-ATPase (NKA), the NH3/CO2 dual gas
channel Rhesus (Rh)-proteins and novel ammonia transporters (AMTs), which have
been identified in several invertebrates but appear to be absent from
vertebrates. In addition, recent evidence strongly suggests that the
hyperpolarization-activated cyclic nucleotide-gated K+ channel (HCN) plays a
significant role in ammonia excretion and is highly conserved throughout the
animal kingdom. Furthermore, microtubule-dependent vesicular excretion pathways
have been found in marine and soil-dwelling species, where, unlike freshwater
systems, acid-trapping of excreted ammonia is difficult or absent owing to the
high environmental buffering capacity of the surroundings. Finally, although
ammonia is known to be a toxic nitrogenous waste product, certain marine species
readily maintain potentially toxic hemolymph ammonia as a sort of ammonia
homeostasis, which suggests that ammonia is involved in physiological processes
and does not exist simply for excretion. Such findings are discussed within this
Commentary and are hypothesized to be involved in acid-base regulation. We also
describe excretory organs and processes that are dependent on environmental
constraints and indicate gaps in the current knowledge in these topics.
PMID- 29378882
TI - Bacteriophages of the Urinary Microbiome.
AB - Bacterial viruses (bacteriophages) play a significant role in microbial community
dynamics. Within the human gastrointestinal tract, for instance, associations
among bacteriophages (phages), microbiota stability, and human health have been
discovered. In contrast to the gastrointestinal tract, the phages associated with
the urinary microbiota are largely unknown. Preliminary metagenomic surveys of
the urinary virome indicate a rich diversity of novel lytic phage sequences at an
abundance far outnumbering that of eukaryotic viruses. These surveys, however,
exclude the lysogenic phages residing within the bacteria of the bladder. To
characterize this phage population, we examined 181 genomes representative of the
phylogenetic diversity of bacterial species within the female urinary microbiota
and found 457 phage sequences, 226 of which were predicted with high confidence.
Phages were prevalent within the bladder bacteria: 86% of the genomes examined
contained at least one phage sequence. Most of these phages are novel, exhibiting
no discernible sequence homology to sequences in public data repositories. The
presence of phages with substantial sequence similarity within the microbiota of
different women supports the existence of a core community of phages within the
bladder. Furthermore, the observed variation between the phage populations of
women with and without overactive bladder symptoms suggests that phages may
contribute to urinary health. To complement our bioinformatic analyses, viable
phages were cultivated from the bacterial isolates for characterization; a novel
coliphage was isolated, which is obligately lytic in the laboratory strain
Escherichia coli C. Sequencing of bacterial genomes facilitates a comprehensive
cataloguing of the urinary virome and reveals phage-host interactions.IMPORTANCE
Bacteriophages are abundant within the human body. However, while some niches
have been well surveyed, the phage population within the urinary microbiome is
largely unknown. Our study is the first survey of the lysogenic phage population
within the urinary microbiota. Most notably, the abundance of prophage exceeds
that of the bacteria. Furthermore, many of the prophage sequences identified
exhibited no recognizable sequence homology to sequences in data repositories.
This suggests a rich diversity of uncharacterized phage species present in the
bladder. Additionally, we observed a variation in the abundances of phages
between bacteria isolated from asymptomatic "healthy" individuals and those with
urinary symptoms, thus suggesting that, like phages within the gut, phages within
the bladder may contribute to urinary health.
PMID- 29378883
TI - Endopeptidase PepO Regulates the SpeB Cysteine Protease and Is Essential for the
Virulence of Invasive M1T1 Streptococcus pyogenes.
AB - Streptococcus pyogenes (group A Streptococcus [GAS]) causes a wide range of human
infections. The pathogenesis of GAS infections is dependent on the temporal
expression of numerous secreted and surface-associated virulence factors that
interact with host proteins. Streptococcal pyrogenic exotoxin B (SpeB) is one of
the most extensively studied toxins produced by GAS, and the coordinate growth
phase-dependent regulation of speB expression is linked to disease severity
phenotypes. Here, we identified the endopeptidase PepO as a novel growth phase
dependent regulator of SpeB in the invasive GAS M1 serotype strain 5448. By using
transcriptomics followed by quantitative reverse transcriptase PCR and Western
blot analyses, we demonstrate through targeted mutagenesis that PepO influences
growth phase-dependent induction of speB gene expression. Compared to wild-type
and complemented mutant strains, we demonstrate that the 5448DeltapepO mutant
strain is more susceptible to killing by human neutrophils and is attenuated in
virulence in a murine model of invasive GAS infection. Our results expand the
complex regulatory network that is operating in GAS to control SpeB production
and suggest that PepO is a virulence requirement during GAS M1T1 strain 5448
infections.IMPORTANCE Despite the continuing susceptibility of S. pyogenes to
penicillin, this bacterial pathogen remains a leading infectious cause of global
morbidity and mortality. A particular subclone of the M1 serotype (M1T1) has
persisted globally for decades as the most frequently isolated serotype from
patients with invasive and noninvasive diseases in Western countries. One of the
key GAS pathogenicity factors is the potent broad-spectrum cysteine protease
SpeB. Although there has been extensive research interest on the regulatory
mechanisms that control speB gene expression, its genetic regulation is not fully
understood. Here, we identify the endopeptidase PepO as a new regulator of speB
gene expression in the globally disseminated M1T1 clone and as being essential
for virulence.
PMID- 29378885
TI - Selective Utilization of Benzimidazolyl-Norcobamides as Cofactors by the
Tetrachloroethene Reductive Dehalogenase of Sulfurospirillum multivorans.
AB - The organohalide-respiring bacterium Sulfurospirillum multivorans produces a
unique cobamide, namely, norpseudo-B12, which serves as cofactor of the
tetrachloroethene (PCE) reductive dehalogenase (PceA). As previously reported, a
replacement of the adeninyl moiety, the lower base of the cofactor, by
exogenously applied 5,6-dimethylbenzimidazole led to inactive PceA. To explore
the general effect of benzimidazoles on the PCE metabolism, the susceptibility of
the organism for guided biosynthesis of various singly substituted benzimidazolyl
norcobamides was investigated, and their use as cofactor by PceA was analyzed.
Exogenously applied 5-methylbenzimidazole (5-MeBza), 5-hydroxybenzimidazole (5
OHBza), and 5-methoxybenzimidazole (5-OMeBza) were found to be efficiently
incorporated as lower bases into norcobamides (NCbas). Structural analysis of the
NCbas by nuclear magnetic resonance spectroscopy uncovered a regioselectivity in
the utilization of these precursors for NCba biosynthesis. When 5-MeBza was
added, a mixture of 5-MeBza-norcobamide and 6-MeBza-norcobamide was formed, and
the PceA enzyme activity was affected. In the presence of 5-OHBza, almost
exclusively 6-OHBza-norcobamide was produced, while in the presence of 5-OMeBza,
predominantly 5-OMeBza-norcobamide was detected. Both NCbas were incorporated
into PceA, and no negative effect on the PceA activity was observed. In crystal
structures of PceA, both NCbas were bound in the base-off mode with the 6-OHBza
and 5-OMeBza lower bases accommodated by the same solvent-exposed hydrophilic
pocket that harbors the adenine as the lower base of authentic norpseudo-B12 In
this study, a selective production of different norcobamide isomers containing
singly substituted benzimidazoles as lower bases is shown, and unique structural
insights into their utilization as cofactors by a cobamide-containing enzyme are
provided.IMPORTANCE Guided biosynthesis of norcobamides containing singly
substituted benzimidazoles as lower bases by the organohalide-respiring
epsilonproteobacterium Sulfurospirillum multivorans is reported. An unprecedented
specificity in the formation of norcobamide isomers containing hydroxylated or
methoxylated benzimidazoles was observed that implicated a strict
regioselectivity of the norcobamide biosynthesis in the organism. In contrast to
5,6-dimethylbenzimidazolyl-norcobamide, the incorporation of singly substituted
benzimidazolyl-norcobamides as a cofactor into the tetrachloroethene reductive
dehalogenase was not impaired. The enzyme was found to be functional with
different isomers and not limited to the use of adeninyl-norcobamide. Structural
analysis of the enzyme equipped with either adeninyl- or benzimidazolyl
norcobamide cofactors visualized for the first time structurally different
cobamides bound in base-off conformation to the cofactor-binding site of a
cobamide-containing enzyme.
PMID- 29378884
TI - Distinct Regulatory Role of Carbon Catabolite Protein A (CcpA) in Oral
Streptococcal spxB Expression.
AB - Pyruvate oxidase (SpxB)-dependent H2O2 production is under the control of carbon
catabolite protein A (CcpA) in the oral species Streptococcus sanguinis and
Streptococcus gordonii Interestingly, both species react differently to the
presence of the preferred carbohydrate source glucose. S. gordonii CcpA-dependent
regulation of spxB follows classical carbon catabolite repression. Conversely,
spxB expression in S. sanguinis is not influenced by glucose but is repressed by
CcpA. Here, we constructed strains expressing the heterologous versions of CcpA
or the spxB promoter region to learn if the distinct regulation of spxB
expression is transferable from S. gordonii to S. sanguinis and vice versa. While
cross-species binding of CcpA to the spxB promoter is conserved in vitro, we were
unable to swap the species-specific regulation. This suggests that a regulatory
mechanism upstream of CcpA most likely is responsible for the observed difference
in spxB expression. Moreover, the overall ecological significance of differential
spxB regulation in the presence of various glucose concentrations was tested with
additional oral streptococcus isolates and demonstrated that carbohydrate
dependent and carbohydrate-independent mechanisms exist to control expression of
spxB in the oral biofilm. Overall, our data demonstrate the unexpected finding
that metabolic pathways between two closely related oral streptococcal species
can be regulated differently despite an exceptionally high DNA sequence
identity.IMPORTANCE Polymicrobial diseases are the result of interactions among
the residential microbes, which can lead to a dysbiotic community. Streptococcus
sanguinis and Streptococcus gordonii are considered commensal species that are
present in the healthy dental biofilm. Both species are able to produce
significant amounts of H2O2 via the enzymatic action of the pyruvate oxidase
SpxB. H2O2 is able to inhibit species associated with oral diseases. SpxB and its
gene-regulatory elements present in both species are highly conserved.
Nonetheless, a differential response to the presence of glucose was observed.
Here, we investigate the mechanisms that lead to this differential response.
Detailed knowledge of the regulatory mechanisms will aid in a better
understanding of oral disease development and how to prevent dysbiosis.
PMID- 29378886
TI - HilE Regulates HilD by Blocking DNA Binding in Salmonella enterica Serovar
Typhimurium.
AB - The Salmonella type three secretion system (T3SS), encoded in the Salmonella
pathogenicity island 1 (SPI1) locus, mediates the invasion of the host intestinal
epithelium. SPI1 expression is dependent upon three AraC-like regulators: HilD,
HilC, and RtsA. These regulators act in a complex feed-forward loop to activate
each other and hilA, which encodes the activator of the T3SS structural genes.
HilD has been shown to be the major integration point of most signals known to
activate the expression of the SPI1 T3SS, acting as a switch to control induction
of the system. HilE is a negative regulator that acts upon HilD. Here we provide
genetic and biochemical data showing that HilE specifically binds to HilD but not
to HilC or RtsA. This protein-protein interaction blocks the ability of HilD to
bind DNA as shown by both an in vivo reporter system and an in vitro gel shift
assay. HilE does not affect HilD dimerization, nor does it control the stability
of the HilD protein. We also investigated the role of HilE during the infection
of mice using competition assays. Although deletion of hilE does not confer a
phenotype, the hilE mutation does suppress the invasion defect conferred by loss
of FliZ, which acts as a positive signal controlling HilD protein activity.
Together, these data suggest that HilE functions to restrict low-level HilD
activity, preventing premature activation of SPI1 until positive inputs reach a
threshold required to fully induce the system.IMPORTANCESalmonella is a leading
cause of gastrointestinal and systemic disease throughout the world. The SPI1
T3SS is required for Salmonella to induce inflammatory diarrhea and to gain
access to underlying tissue. A complex regulatory network controls expression of
SPI1 in response to numerous physiological inputs. Most of these signals impinge
primarily on HilD translation or activity. The system is triggered when HilD
activity crosses a threshold that allows efficient activation of its own
promoter. This threshold is set by HilE, which binds to HilD to prevent the
inevitable minor fluctuations in HilD activity from inappropriately activating
the system. The circuit also serves as a paradigm for systems that must integrate
numerous environmental parameters to control regulatory output.
PMID- 29378888
TI - Shining the Light on Cyclic di-GMP Dark Matter.
AB - Bacterial cyclic di-GMP signaling networks often consist of dozens of components,
and the majority of these components have no observable function. Dahlstrom et
al. (J. Bacteriol. 200:e00703-17, 2018, https://doi.org/10.1128/JB.00703-17)
explored the function of every component of the Pseudomonas fluorescens cyclic di
GMP network under 188 different growth conditions and identified activities for
80% of the network. They further demonstrated that multiple mechanisms function
in tandem to control the activity of the network in different environments.
PMID- 29378887
TI - Survival of Anaerobic Fe2+ Stress Requires the ClpXP Protease.
AB - Shewanella oneidensis strain MR-1 is a versatile bacterium capable of respiring
extracellular, insoluble ferric oxide minerals under anaerobic conditions. The
respiration of iron minerals results in the production of soluble ferrous ions,
which at high concentrations are toxic to living organisms. It is not fully
understood how Fe2+ is toxic to cells anaerobically, nor is it fully understood
how S. oneidensis is able to resist high levels of Fe2+ Here we describe the
results of a transposon mutant screen and subsequent deletion of the genes clpX
and clpP in S. oneidensis, which demonstrate that the protease ClpXP is required
for anaerobic Fe2+ resistance. Many cellular processes are known to be regulated
by ClpXP, including entry into stationary phase, envelope stress response, and
turnover of stalled ribosomes. However, none of these processes appears to be
responsible for mediating anaerobic Fe2+ resistance in S. oneidensis Protein
trapping studies were performed to identify ClpXP targets in S. oneidensis under
Fe2+ stress, implicating a wide variety of protein targets. Escherichia coli
strains lacking clpX or clpP also display increased sensitivity to Fe2+
anaerobically, indicating Fe2+ resistance may be a conserved role for the ClpXP
protease system. Hypotheses regarding the potential role(s) of ClpXP during
periods of high Fe2+ are discussed. We speculate that metal-containing proteins
are misfolded under conditions of high Fe2+ and that the ClpXP protease system is
necessary for their turnover.IMPORTANCE Prior to the evolution of cyanobacteria
and oxygenic photosynthesis, life arose and flourished in iron-rich oceans.
Today, aqueous iron-rich environments are less common, constrained to low-pH
conditions and anaerobic systems such as stratified lakes and seas, digestive
tracts, subsurface environments, and sediments. The latter two ecosystems often
favor dissimilatory metal reduction, a process that produces soluble Fe2+ from
iron oxide minerals. Dissimilatory metal-reducing bacteria must therefore have
mechanisms to tolerate anaerobic Fe2+ stress, and studying resistance in these
organisms may help elucidate the basis of toxicity. Shewanella oneidensis is a
model dissimilatory metal-reducing bacterium isolated from metal-rich sediments.
Here we demonstrate a role for ClpXP, a protease system widely conserved in
bacteria, in anaerobic Fe2+ resistance in both S. oneidensis and Escherichia
coli.
PMID- 29378889
TI - PhoPR Positively Regulates whiB3 Expression in Response to Low pH in Pathogenic
Mycobacteria.
AB - During infection, Mycobacterium tuberculosis colonizes macrophages or necrotic
granulomas, in which low pH is one of the major challenges. The PhoPR two
component regulatory system and the cytosolic redox sensor WhiB3 both play
important roles in the response to low pH by M. tuberculosis However, whether
close association exists between PhoPR and WhiB3 remains unclear. In this study,
the positive regulation of whiB3 by PhoPR in mycobacteria was characterized. We
observed that the expression patterns of the whiB3 gene under acidic conditions
are different among mycobacterial species, suggesting that the regulation of
whiB3 differs among mycobacteria. A sequence analysis of the whiB3 promoters
(whiB3p) from M. tuberculosis and two closely related species, namely, M. marinum
and M. smegmatis, showed that the whiB3p regions from M. tuberculosis and M.
marinum contain a new type of PhoP box that is absent in the M. smegmatiswhiB3p
Direct binding of PhoP to whiB3p from M. tuberculosis and M. marinum but not that
from M. smegmatis was validated by in vitro protein-DNA binding assays. The
direct activation of whiB3 by PhoPR under acidic conditions was further verified
by reverse transcription-quantitative PCR (qRT-PCR) analysis in M. marinum
Moreover, mutating the residues important for the phosphorylation pathway of
PhoPR in M. marinum abolished the activation of whiB3 expression by PhoPR under
acidic conditions, suggesting that low pH triggers the phosphorylation of PhoPR,
which in turn activates the transcription of whiB3 Since the PhoP box was only
identified in whiB3p of pathogenic mycobacteria, we suggest that the PhoPR-whiB3
regulatory pathway may have evolved to facilitate mycobacterial
infection.IMPORTANCE The low pH in macrophages is an important barrier for
infection by microbes. The PhoPR two-component regulatory system is required for
the response to low pH and plays a role in redox homeostasis in Mycobacterium
tuberculosis WhiB3, a cytosolic redox-sensing transcriptional regulator, is also
involved in these processes. However, there is no direct evidence to demonstrate
the regulation of WhiB3 by PhoPR. In this study, we found that PhoPR directly
activates whiB3 expression in response to low pH. An atypical PhoP box in the
whiB3 promoters has been identified and is only found in pathogenic mycobacteria,
which suggests that the PhoPR-whiB3 regulatory pathway may facilitate
mycobacterial infection. This study provides novel information for further
characterization of the PhoPR regulon.
PMID- 29378890
TI - The Conserved DNA Binding Protein WhiA Influences Chromosome Segregation in
Bacillus subtilis.
AB - The DNA binding protein WhiA is conserved in Gram-positive bacteria and is
present in the genetically simple cell wall-lacking mycoplasmas. The protein
shows homology to eukaryotic homing endonucleases but lacks nuclease activity.
WhiA was first characterized in streptomycetes, where it regulates the expression
of key differentiation genes, including the cell division gene ftsZ, which is
essential for sporulation. For Bacillus subtilis, it was shown that WhiA is
essential when certain cell division genes are deleted. However, in B. subtilis,
WhiA is not required for sporulation, and it does not seem to function as a
transcription factor, despite its DNA binding activity. The exact function of B.
subtilis WhiA remains elusive. We noticed that whiA mutants show an increased
space between their nucleoids, and here, we describe the results of fluorescence
microscopy, genetic, and transcriptional experiments to further investigate this
phenomenon. It appeared that the deletion of whiA is synthetic lethal when either
the DNA replication and segregation regulator ParB or the DNA replication
inhibitor YabA is absent. However, WhiA does not seem to affect replication
initiation. We found that a DeltawhiA mutant is highly sensitive for DNA-damaging
agents. Further tests revealed that the deletion of parAB induces the SOS
response, including the cell division inhibitor YneA. When yneA was inactivated,
the viability of the synthetic lethal DeltawhiA DeltaparAB mutant was restored.
However, the nucleoid segregation phenotype remained. These findings underline
the importance of WhiA for cell division and indicate that the protein also plays
a role in DNA segregation.IMPORTANCE The conserved WhiA protein family can be
found in most Gram-positive bacteria, including the genetically simple cell wall
lacking mycoplasmas, and these proteins play a role in cell division. WhiA has
some homology with eukaryotic homing endonucleases but lacks nuclease activity.
Because of its DNA binding activity, it is assumed that the protein functions as
a transcription factor, but this is not the case in the model system B. subtilis
The function of this protein in B. subtilis remains unclear. We noticed that a
whiA mutant has a mild chromosome segregation defect. Further studies of this
phenomenon provided new support for a functional role of WhiA in cell division
and indicated that the protein is required for normal chromosome segregation.
PMID- 29378891
TI - Nutritional Regulation of the Sae Two-Component System by CodY in Staphylococcus
aureus.
AB - Staphylococcus aureus subverts innate defenses during infection in part by
killing host immune cells to exacerbate disease. This human pathogen intercepts
host cues and activates a transcriptional response via the S. aureus exoprotein
expression (SaeR/SaeS [SaeR/S]) two-component system to secrete virulence factors
critical for pathogenesis. We recently showed that the transcriptional repressor
CodY adjusts nuclease (nuc) gene expression via SaeR/S, but the mechanism
remained unknown. Here, we identified two CodY binding motifs upstream of the sae
P1 promoter, which suggested direct regulation by this global regulator. We show
that CodY shares a binding site with the positive activator SaeR and that
alleviating direct CodY repression at this site is sufficient to abrogate
stochastic expression, suggesting that CodY represses sae expression by blocking
SaeR binding. Epistasis experiments support a model that CodY also controls sae
indirectly through Agr and Rot-mediated repression of the sae P1 promoter. We
also demonstrate that CodY repression of sae restrains production of secreted
cytotoxins that kill human neutrophils. We conclude that CodY plays a previously
unrecognized role in controlling virulence gene expression via SaeR/S and suggest
a mechanism by which CodY acts as a master regulator of pathogenesis by tying
nutrient availability to virulence gene expression.IMPORTANCE Bacterial
mechanisms that mediate the switch from a commensal to pathogenic lifestyle are
among the biggest unanswered questions in infectious disease research. Since the
expression of most virulence genes is often correlated with nutrient depletion,
this implies that virulence is a response to the lack of nourishment in host
tissues and that pathogens like S. aureus produce virulence factors in order to
gain access to nutrients in the host. Here, we show that specific nutrient
depletion signals appear to be funneled to the SaeR/S system through the global
regulator CodY. Our findings reveal a strategy by which S. aureus delays the
production of immune evasion and immune-cell-killing proteins until key nutrients
are depleted.
PMID- 29378892
TI - CpxR-Dependent Thermoregulation of Serratia marcescens PrtA Metalloprotease
Expression and Its Contribution to Bacterial Biofilm Formation.
AB - PrtA is the major secreted metalloprotease of Serratia marcescens Previous
reports implicate PrtA in the pathogenic capacity of this bacterium. PrtA is also
clinically used as a potent analgesic and anti-inflammatory drug, and its
catalytic properties attract industrial interest. Comparatively, there is scarce
knowledge about the mechanisms that physiologically govern PrtA expression in
Serratia In this work, we demonstrate that PrtA production is derepressed when
the bacterial growth temperature decreases from 37 degrees C to 30 degrees C. We
show that this thermoregulation occurs at the transcriptional level. We
determined that upstream of prtA, there is a conserved motif that is directly
recognized by the CpxR transcriptional regulator. This feature is found along
Serratia strains irrespective of their isolation source, suggesting an
evolutionary conservation of CpxR-dependent regulation of PrtA expression. We
found that in S. marcescens, the CpxAR system is more active at 37 degrees C than
at 30 degrees C. In good agreement with these results, in a cpxR mutant
background, prtA is derepressed at 37 degrees C, while overexpression of the NlpE
lipoprotein, a well-known CpxAR-inducing condition, inhibits PrtA expression,
suggesting that the levels of the activated form of CpxR are increased at 37
degrees C over those at 30 degrees C. In addition, we establish that PrtA is
involved in the ability of S. marcescens to develop biofilm. In accordance, CpxR
influences the biofilm phenotype only when bacteria are grown at 37 degrees C. In
sum, our findings shed light on regulatory mechanisms that fine-tune PrtA
expression and reveal a novel role for PrtA in the lifestyle of S.
marcescensIMPORTANCE We demonstrate that S. marcescens metalloprotease PrtA
expression is transcriptionally thermoregulated. While strongly activated below
30 degrees C, its expression is downregulated at 37 degrees C. We found that in
S. marcescens, the CpxAR signal transduction system, which responds to envelope
stress and bacterial surface adhesion, is activated at 37 degrees C and able to
downregulate PrtA expression by direct interaction of CpxR with a binding motif
located upstream of the prtA gene. Moreover, we reveal that PrtA expression
favors the ability of S. marcescens to develop biofilm, irrespective of the
bacterial growth temperature. In this context, thermoregulation along with a
highly conserved CpxR-dependent modulation mechanism gives clues about the
relevance of PrtA as a factor implicated in the persistence of S. marcescens on
abiotic surfaces and in bacterial host colonization capacity.
PMID- 29378893
TI - Regulation of the CRISPR-associated genes by Rv2837c (CnpB) via an Orn-like
activity in TB complex mycobacteria.
AB - Clustered regularly interspaced short palindromic repeats (CRISPR) and the CRISPR
associated proteins (Cas) provide an adaptive immunity to bacteria and archaea
against specific DNA invaders. Mycobacterium tuberculosis (Mtb) encodes a Type
III CRISPR-Cas system, which has not been experimentally explored. In this study,
we found that the CRISPR-Cas systems of both Mtb and M. bovis BCG were highly
upregulated by deletion of Rv2837c (cnpB), which encodes a multifunctional
protein that hydrolyzes cyclic di-AMP (c-di-AMP), cyclic di-GMP (c-di-GMP), and
nanoRNAs (short oligonucleotides of five residues or shorter in length). By using
genetic and biochemical approaches, we demonstrated that the CnpB-controlled
transcriptional regulation of the CRISPR-Cas system is mediated by an Orn-like
activity, rather than hydrolyzing the cyclic di-nucleotides. Additionally, our
results revealed that tuberculosis (TB) complex mycobacteria are functional in
processing CRISPR RNAs (crRNAs), which are also more abundant in DeltacnpB
compared to the parent strain. The elevated crRNA levels in DeltacnpB can be
partially reduced by expressing E. coli orn Our findings provide new insight into
transcriptional regulation of bacterial CRISPR-Cas systems.Importance Clustered
regularly interspaced short palindromic repeats (CRISPR) and the CRISPR
associated proteins (Cas) provide an adaptive immunity against specific DNA
invaders. Mycobacterium tuberculosis (Mtb) encodes a Type III CRISPR-Cas system,
which has not been experimentally explored. In this study, we first demonstrated
that the CRISPR-Cas systems in tuberculosis (TB) complex mycobacteria are
functional in processing CRISPR RNAs (crRNAs). We also showed that Rv2837c (CnpB)
controls the expression of the CRISPR-Cas systems in TB complex mycobacteria
through an oligoribonuclease (Orn)-like activity, which is very likely mediated
by nanoRNA. Since little is known about regulation of CRISPR-Cas systems, our
findings provide new insight into transcriptional regulation of bacterial CRISPR
Cas systems.
PMID- 29378895
TI - Correction for Takano et al., "Vital Role of the Calpain-Calpastatin System for
Placental-Integrity-Dependent Embryonic Survival".
PMID- 29378894
TI - Characterization of a Unique Outer Membrane Protein Required for Oxidative Stress
Resistance and Virulence of Francisella tularensis.
AB - Francisella tularensis, the causative agent of tularemia, lacks typical bacterial
virulence factors and toxins but still exhibits extreme virulence. The bacterial
multidrug efflux systems consist of an inner membrane, a transmembrane membrane
fusion protein, and an outer membrane (OM) component that form a contiguous
channel for the secretion of a multitude of bacterial products. Francisella
contains three orthologs of the OM proteins; two of these, termed TolC and FtlC,
are important for tularemia pathogenesis. The third OM protein, SilC, is
homologous to the silver cation efflux protein of other bacterial pathogens. The
silC gene (FTL_0686) is located on an operon encoding an Emr-type multidrug
efflux pump of F. tularensis The role of SilC in tularemia pathogenesis is not
known. In this study, we investigated the role of SilC in secretion and virulence
of F. tularensis by generating a silC gene deletion (DeltasilC) mutant and its
transcomplemented strain. Our results demonstrate that the DeltasilC mutant
exhibits increased sensitivity to antibiotics, oxidants, silver, diminished
intramacrophage growth, and attenuated virulence in mice compared to wild-type F.
tularensis However, the secretion of antioxidant enzymes of F. tularensis is not
impaired in the DeltasilC mutant. The virulence of the DeltasilC mutant is
restored in NADPH oxidase-deficient mice, indicating that SilC resists oxidative
stress in vivo Collectively, this study demonstrates that the OM component SilC
serves a specialized role in virulence of F. tularensis by conferring resistance
against oxidative stress and silver.IMPORTANCEFrancisella tularensis, the
causative agent of a fatal human disease known as tularemia, is a category A
select agent and a potential bioterror agent. The virulence mechanisms of
Francisella are not completely understood. This study investigated the role of a
unique outer membrane protein, SilC, of a multidrug efflux pump in the virulence
of F. tularensis This is the first report demonstrating that the OM component
SilC plays an important role in efflux of silver and contributes to the virulence
of F. tularensis primarily by providing resistance against oxidative stress.
Characterization of these unique virulence mechanisms will provide an
understanding of the pathogenesis of tularemia and identification of potential
targets for the development of effective therapeutics and prophylactics for
protection from this lethal disease.
PMID- 29378897
TI - Screening Children at Risk for Retinoblastoma.
PMID- 29378896
TI - Erratum for Liu et al., "Increasing the Unneddylated Cullin1 Portion Rescues the
csn Phenotypes by Stabilizing Adaptor Modules To Drive SCF Assembly".
PMID- 29378899
TI - Injury and Mortality Among Children Identified as at High Risk of Maltreatment.
AB - OBJECTIVES: To determine if children identified by a predictive risk model as at
"high risk" of maltreatment are also at elevated risk of injury and mortality in
early childhood. METHODS: We built a model that predicted a child's risk of a
substantiated finding of maltreatment by child protective services for children
born in New Zealand in 2010. We assigned risk scores to the 2011 birth cohort,
and flagged children as "very high risk" if they were in the top 10% of the score
distribution for maltreatment. We also set a less conservative threshold for
defining "high risk" and examined children in the top 20%. We then compared the
incidence of injury and mortality rates between very high-risk and high-risk
children and the remainder of the birth cohort. RESULTS: Children flagged at both
10% and 20% risk thresholds had much higher postneonatal mortality rates than
other children (4.8 times and 4.2 times greater, respectively), as well as a
greater relative risk of hospitalization (2 times higher and 1.8 times higher,
respectively). CONCLUSIONS: Models that predict risk of maltreatment as defined
by child protective services substantiation also identify children who are at
heightened risk of injury and mortality outcomes. If deployed at birth, these
models could help medical providers identify children in families who would
benefit from more intensive supports.
PMID- 29378900
TI - If We Had a Crystal Ball, Would We Use It?
PMID- 29378901
TI - Gut as an emerging organ for the treatment of diabetes: focus on mechanism of
action of bariatric and endoscopic interventions.
AB - Increasing worldwide prevalence of type 2 diabetes mellitus and its accompanying
pathologies such as obesity, arterial hypertension and dyslipidemia represents
one of the most important challenges of current medicine. Despite intensive
efforts, high percentage of patients with type 2 diabetes does not achieve
treatment goals and struggle with increasing body weight and poor glucose
control. While novel classes of antidiabetic medications such as incretin-based
therapies and gliflozins have some favorable characteristics compared to older
antidiabetics, the only therapeutic option shown to substantially modify the
progression of diabetes or to achieve its remission is bariatric surgery. Its
efficacy in the treatment of diabetes is well established, but the exact
underlying modes of action are still only partially described. They include
restriction of food amount, enhanced passage of chymus into distal part of small
intestine with subsequent modification of gastrointestinal hormones and bile
acids secretion, neural mechanisms, changes in gut microbiota and many other
possible mechanisms underscoring the importance of the gut in the regulation of
glucose metabolism. In addition to bariatric surgery, less-invasive endoscopic
methods based on the principles of bariatric surgery were introduced and showed
promising results. This review highlights the role of the intestine in the
regulation of glucose homeostasis focusing on the mechanisms of action of
bariatric and especially endoscopic methods of the treatment of diabetes. A
better understanding of these mechanisms may lead to less invasive endoscopic
treatments of diabetes and obesity that may complement and widen current
therapeutic options.
PMID- 29378903
TI - Factors associated with partner notification of STIs in men who have sex with men
on PrEP in France: a cross-sectional substudy of the ANRS-IPERGAY trial.
AB - OBJECTIVES: Partner notification (PN) is a useful public health approach to
enhance targeted testing of people at high risk of HIV and other STIs, and
subsequent linkage to care for those diagnosed. In France, no specific PN
guidelines exist and information about current practices is scarce. We used the
ANRS-IPERGAY PrEP trial to investigate PN in HIV-negative men who have sex with
men (MSM) reporting a bacterial STI. METHODS: This substudy included 275
participants who completed a specific online PN questionnaire during the open
label extension study of the ANRS-Intervention Preventive de l'Exposition aux
Risques avec et pour les Gays (IPERGAY) trial. Variables used as proxies of at
risk practices were defined using data collected at the previous follow-up visit
about participants' most recent sexual encounter and preventive behaviours. chi2
or Fisher's exact test helped select variables eligible for multiple logistic
models. RESULTS: Of the 275 participants, 250 reported at least one previous STI.
Among the latter, 172 (68.8%) had informed their partner(s) of their most recent
STI. Of these, 138 (80.2%) and 83 (48.3%) had notified their casual and main
partners, respectively. Participants were less likely to notify their main
partner when their most recent sexual encounter involved unsafe anal sex with a
casual partner (adjusted OR (aOR) (95% CI) 0.18 (0.06 to 0.54), P=0.02). Older
participants were less likely to inform casual partners (aOR (95% CI) 0.44 (0.21
to 0.94), P=0.03), while those practising chemsex during their most recent sexual
encounter were more likely to inform their casual partners (aOR (95% CI) 2.56
(1.07 to 6.09), P=0.03). CONCLUSION: Unsafe sexual encounters with people other
than main partners and street drugs use were two sociobehavioural factors
identified, respectively, as a barrier to main PN and a motivator for casual PN,
in a sample of high-risk MSM. These results provide an insight into current PN
practices regarding STI in France and might inform future decisions about how to
define feasible and acceptable PN programmes.
PMID- 29378902
TI - Asymptomatic lymphogranuloma venereum among Nigerian men who have sex with men.
AB - OBJECTIVES: Recent outbreaks of anorectal lymphogranuloma venereum (LGV) among
men who have sex with men (MSM) have been characterised by proctocolitis
requiring extended antibiotic treatment compared with infections caused by other
serovars of Chlamydia trachomatis (CT). We describe the prevalence and clinical
features of LGV among Nigerian MSM diagnosed with anorectal CT. METHODS: MSM were
recruited for this observational cohort in Lagos, Nigeria, using respondent
driven sampling and screened for HIV and bacterial STIs every three months for up
to 18 months. Nucleic acid amplification tests for CT were performed on rectal
swab specimens. Prevalent and incident cases of anorectal CT underwent additional
testing to identify LGV using novel real-time PCR assays specific for the L
serovars of CT. RESULTS: From April 2014 to July 2016, 420 MSM underwent testing
for rectal STIs, of whom 66 (15.7%) had prevalent anorectal CT. Among those
without prevalent disease, 68 developed incident infections during 208 person
years of follow-up. Of 134 prevalent and incident cases of anorectal CT, 7 (5.2%)
were identified as LGV. None of the seven participants with LGV reported any
symptoms. Two of the participants with LGV were simultaneously coinfected with
rectal gonorrhoea. HIV coinfection was common among participants with both LGV
(n=5, 71%) and non-LGV (n=98, 77%) serovars of CT (P=0.66). CONCLUSIONS:
Anorectal LGV was uncommon but present among Nigerian MSM in this study.
Consistent screening for L-serovars of CT, or presumptive treatment for LGV in
cases with a high suspicion for this diagnosis, could potentially improve patient
outcomes and decrease transmission.
PMID- 29378904
TI - How can we increase offer rates of human papillomavirus (HPV) vaccination among
men who have sex with men (MSM) in routine sexual health clinics?
PMID- 29378905
TI - Overt Increase of Oxidative Stress and DNA Damage in Murine and Human Colitis and
Colitis-Associated Neoplasia.
AB - Patients with inflammatory bowel disease (IBD) have a higher risk of developing
colitis-associated-cancer (CAC); however, the underlying processes of disease
progression are not completely understood. Here, the molecular processes of
inflammation-driven colon carcinogenesis were investigated using IL10-deficient
mice (IL10 KO). IL10 KO mice were euthanized after development of colitis and
dysplasia. IHC was performed for markers of colitis-induced DNA damage (CIDD):
oxidative DNA lesions (8-oxoG), double-strand breaks (DSB; gammaH2AX). and DSB
repair. MSI, LOH (Trp53, Apc), and global methylation (CIMP) were assessed on
microdissected tissue. Comet assay for DNA damage, immunofluorescence, and
immunoblotting were performed on intestinal organoids from wild-type (WT) and
IL10 KO mice. Sequential biopsies and surgical specimens from IBD and CAC
patients were used for IHC analysis. Severity of inflammation correlated with
number of dysplasia. 8-oxoG and gammaH2AX-positive cells were significantly
increased in inflamed and dysplastic areas along with activation of DSB repair.
The amount of positively stained cells strongly correlated with degree of
inflammation (8-oxoG: R = 0.923; gammaH2AX: R = 0.858). Neither CIMP, MSI nor LOH
was observed. Enhanced DSBs in IL10 KO organoids were confirmed by comet assay
and increased expression of gammaH2AX. Human clinical specimens exhibited
significantly higher gammaH2AX and 8-oxoG in IBD, dysplasia, and CAC compared
with normal mucosa. These data indicate that inflammation-driven colon
carcinogenesis in IL10 KO mice and IBD patients is associated with oxidative DNA
damage and overt presence of DSB. Mol Cancer Res; 16(4); 634-42. (c)2018 AACR.
PMID- 29378906
TI - Association of USP10 with G3BP2 Inhibits p53 Signaling and Contributes to Poor
Outcome in Prostate Cancer.
AB - Ubiquitin-specific protease 10 (USP10) is known to deubiquitylate its target
proteins, mainly to enhance their stabilities. USP10 maintains p53 protein levels
and controls epigenetic changes induced by the androgen receptor (AR). GTPase
activating protein-binding protein 2 (G3BP2), an androgen-responsive gene, is
known as the main component of stress granules (SG) that interacts with USP10 in
SGs. This study explores the roles of USP10 in prostate cancer progression in
p53, G3BP2, and AR signaling. Using chromatin immunoprecipitation (ChIP) and
sequence analysis, it was found that USP10 is transcriptionally induced with AR
recruitment to an intronic region. Furthermore, USP10 regulates androgen-mediated
signaling and cell growth. USP10 maintained G3BP2 protein stability by reducing
polyubiquitylation. G3BP2-dependent growth activation and p53 nuclear export that
reduced p53 signaling were repressed by USP10 knockdown. Clinically, USP10 was
expressed primarily in the cytoplasm of prostate cancer tissues. High levels of
USP10 expression were strongly correlated with high levels of AR, G3BP2, and p53
in the cytoplasm. High expression of USP10 was significantly associated with poor
prognosis of patients with prostate cancer. Taken together, USP10 has a
repressive effect on p53 signaling for cell growth by regulating G3BP2
expression. These findings highlight an important oncogenic aspect of USP10
through its modulation of the p53-G3BP2 complex and AR signaling in prostate
cancer.Implications: These findings elucidate the oncogenic role of USP10 in
prostate cancer through an increase in G3BP2 protein that inhibits p53 activity,
in addition to the promotion of AR signaling. Mol Cancer Res; 16(5); 846-56.
(c)2018 AACR.
PMID- 29378908
TI - The Transcription Factor AP4 Promotes Oncogenic Phenotypes and Cisplatin
Resistance by Regulating LAPTM4B Expression.
AB - Lysosomal-associated protein transmembrane-4 beta (LAPTM4B) is a novel oncogene,
whose overexpression is involved in cancer occurrence and progression. However,
the mechanism of LAPTM4B transcriptional regulation remains unclear. In this
study, the results of transcription factor (TF) profiling plate arrays indicated
that AP4 was a potential transcription factor regulating LAPTM4B expression.
LAPTM4B was positively correlated with AP4 and they were both associated with
poor overall and disease-free survival. Luciferase and electrophoretic mobility
shift assay assays confirmed that AP4 directly bound to the polymorphism region
of LAPTM4B promoter and modulated its transcription. Functionally, AP4 promoted
cell proliferation, migration, invasion, and assisted drug resistance in part
through upregulation of LAPTM4B. Taken together, these findings identify LAPTM4B
as a direct AP4 target gene and the interaction of AP4 and LAPTM4B plays an
important role in breast cancer progression.Implications: This study demonstrates
that AP4 promotes cell growth, migration, invasion, and cisplatin resistance
through upregulation of LAPTM4B expression, thus representing an attractive
therapeutic target for breast cancer. Mol Cancer Res; 16(5); 857-68. (c)2018
AACR.
PMID- 29378909
TI - Intracranial germinoma causing cerebral haemiatrophy and hypopituitarism.
AB - A young woman presented with primary amenorrhoea, progressive haemiparesis,
visual disturbance, dementia and focal motor seizures. Investigations showed
hypopituitarism, unilateral cerebral atrophy and inflamed cerebrospinal fluid. A
trans-sphenoidal biopsy gave a unifying diagnosis of a pituitary germinoma.
PMID- 29378907
TI - Mitotically-Associated lncRNA (MANCR) Affects Genomic Stability and Cell Division
in Aggressive Breast Cancer.
AB - Aggressive breast cancer is difficult to treat as it is unresponsive to many
hormone-based therapies; therefore, it is imperative to identify novel,
targetable regulators of progression. Long non-coding RNAs (lncRNA) are important
regulators in breast cancer and have great potential as therapeutic targets;
however, little is known about how the majority of lncRNAs function within breast
cancer. This study characterizes a novel lncRNA, MANCR (mitotically-associated
long noncoding RNA; LINC00704), which is upregulated in breast cancer patient
specimens and cells. Depletion of MANCR in triple-negative breast cancer cells
significantly decreases cell proliferation and viability, with concomitant
increases in DNA damage. Transcriptome analysis, based on RNA sequencing,
following MANCR knockdown reveals significant differences in the expression of
>2,000 transcripts, and gene set enrichment analysis identifies changes in
multiple categories related to cell-cycle regulation. Furthermore, MANCR
expression is highest in mitotic cells by both RT-qPCR and RNA in situ
hybridization. Consistent with a role in cell-cycle regulation, MANCR-depleted
cells have a lower mitotic index and higher incidences of defective cytokinesis
and cell death. Taken together, these data reveal a role for the novel lncRNA,
MANCR, in genomic stability of aggressive breast cancer, and identify it as a
potential therapeutic target.Implications: The novel lncRNA, MANCR (LINC00704),
is upregulated in breast cancer and is functionally linked with cell
proliferation, viability, and genomic stability. Mol Cancer Res; 16(4); 587-98.
(c)2018 AACR.
PMID- 29378912
TI - Endoplasmic Reticulum-Bound Transcription Factor CREBH Stimulates RANKL-Induced
Osteoclastogenesis.
AB - Endoplasmic reticulum (ER) stress is triggered by various metabolic factors, such
as cholesterol and proinflammatory cytokines. Recent studies have revealed that
ER stress is closely related to skeletal disorders, such as osteoporosis.
However, the precise mechanism by which ER stress regulates osteoclast
differentiation has not been elucidated. In this study, we identified an ER-bound
transcription factor, cAMP response element-binding protein H (CREBH), as a
downstream effector of ER stress during RANKL-induced osteoclast differentiation.
RANKL induced mild ER stress and the simultaneous accumulation of active nuclear
CREBH (CREBH-N) in the nucleus during osteoclastogenesis. Overexpression of CREBH
N in osteoclast precursors enhanced RANKL-induced osteoclast formation through
NFATc1 upregulation. Inhibiting ER stress using a specific inhibitor attenuated
the expression of osteoclast-related genes and CREBH activation. In addition,
inhibition of reactive oxygen species using N-acetylcysteine attenuated ER
stress, expression of osteoclast-specific marker genes, and RANKL-induced CREBH
activation. Furthermore, inhibition of ER stress and CREBH signaling pathways
using an ER stress-specific inhibitor or CREBH small interfering RNAs prevented
RANKL-induced bone destruction in vivo. Taken together, our results suggest that
reactive oxygen species/ER stress signaling-dependent CREBH activation plays an
important role in RANKL-induced osteoclastogenesis. Therefore, inactivation of ER
stress and CREBH signaling pathways may represent a new treatment strategy for
osteoporosis.
PMID- 29378910
TI - Mucosal-Associated Invariant T Cells Augment Immunopathology and Gastritis in
Chronic Helicobacter pylori Infection.
AB - Mucosal-associated invariant T (MAIT) cells produce inflammatory cytokines and
cytotoxic granzymes in response to by-products of microbial riboflavin synthesis.
Although MAIT cells are protective against some pathogens, we reasoned that they
might contribute to pathology in chronic bacterial infection. We observed MAIT
cells in proximity to Helicobacter pylori bacteria in human gastric tissue, and
so, using MR1-tetramers, we examined whether MAIT cells contribute to chronic
gastritis in a mouse H. pylori SS1 infection model. Following infection, MAIT
cells accumulated to high numbers in the gastric mucosa of wild-type C57BL/6
mice, and this was even more pronounced in MAIT TCR transgenic mice or in C57BL/6
mice where MAIT cells were preprimed by Ag exposure or prior infection. Gastric
MAIT cells possessed an effector memory Tc1/Tc17 phenotype, and were associated
with accelerated gastritis characterized by augmented recruitment of neutrophils,
macrophages, dendritic cells, eosinophils, and non-MAIT T cells and by marked
gastric atrophy. Similarly treated MR1-/- mice, which lack MAIT cells, showed
significantly less gastric pathology. Thus, we demonstrate the pathogenic
potential of MAIT cells in Helicobacter-associated immunopathology, with
implications for other chronic bacterial infections.
PMID- 29378913
TI - DDIT4 and Associated lncDDIT4 Modulate Th17 Differentiation through the
DDIT4/TSC/mTOR Pathway.
AB - Inflammation that complicates many autoimmune diseases, such as multiple
sclerosis (MS), has been correlated to abnormal differentiation of Th17 cells.
However, the reasons that promote Th17 cell-driven autoimmunity are yet to be
discovered. In this study, we sought evidence that DNA-damage-inducible
transcript 4 (DDIT4) and its associated long noncoding RNA DDIT4 (lncDDIT4)
inhibit Th17 cell differentiation. We recruited 36 patients. Six MS patients and
five healthy volunteers (controls) contributed PBMCs as material for microarray
analysis. Microarray assays of lncDDIT4 and DDIT4 RNA expression identified
outstanding differences between MS and control subjects, which were verified with
real-time quantitative PCR. We then interrupted the expression of lncDDIT4 and
DDIT4 mRNA in MS patients' naive CD4+ T cells and observed the resulting changes
in Th17 cells. The expression of lncDDIT4 and DDIT4 mRNA were higher both in
PBMCs and CD4+ T cells of MS patients than in healthy controls. DDIT4 (2.79-fold
upregulation) was then recognized as a candidate for the cis-regulated target of
lncDDIT4 (4.32-fold upregulation). Isolation of naive CD4+ T cells revealed
enhanced levels of lncDDIT4 and DDIT4 after stimulated with Th17-inducing
cytokines, but not after Th1, Th2, or T regulatory cell induction. Overexpression
of lncDDIT4 in naive CD4+ T cells inhibited IL-17 transcription through increased
DDIT4 expression and decreased activation of the DDIT4/mTOR pathway.
Consistently, silencing lncDDIT4 in naive CD4+ T cells enhanced Th17
differentiation through increased activation of the DDIT4/mTOR pathway. However,
these results vanished when DDIT4 was silenced. This outcome suggests that
lncDDIT4 regulates Th17 cell differentiation by directly targeting DDIT4.
PMID- 29378911
TI - Massively Parallel Sequencing of Peritoneal and Splenic B Cell Repertoires
Highlights Unique Properties of B-1 Cell Antibodies.
AB - B-1 cells are a unique subset of B cells that are positively selected for
expressing autoreactive BCRs. We isolated RNA from peritoneal (B-1a, B-1b, B-2)
and splenic (B-1a, marginal zone, follicular) B cells from C57BL/6 mice and used
5'-RACE to amplify the IgH V region using massively parallel sequencing. By
analyzing 379,000 functional transcripts, we demonstrate that B-1a cells use a
distinct and restricted repertoire. All B-1 cell subsets, especially peritoneal B
1a cells, had a high proportion of sequences without N additions, suggesting
predominantly prenatal development. Their transcripts differed markedly and
uniquely contained VH11 and VH12 genes, which were rearranged only with a
restricted selection of D and J genes, unlike other V genes. Compared to
peritoneal B-1a, the peritoneal B-1b repertoire was larger, had little overlap
with B-1a, and most sequences contained N additions. Similarly, the splenic B-1a
repertoire differed from peritoneal B-1a sequences, having more unique sequences
and more frequent N additions, suggesting influx of B-1a cells into the spleen
from nonperitoneal sites. Two CDR3s, previously described as Abs to bromelain
treated RBCs, comprised 43% of peritoneal B-1a sequences. We show that a single
chain variable fragment designed after the most prevalent B-1a sequence bound
oxidation-specific epitopes such as the phosphocholine of oxidized phospholipids.
In summary, we provide the IgH V region library of six murine B cell subsets,
including, to our knowledge for the first time, a comparison between B-1a and B
1b cells, and we highlight qualities of B-1 cell Abs that indicate unique
selection processes.
PMID- 29378914
TI - Alveolar Macrophages Drive Hepatocellular Carcinoma Lung Metastasis by Generating
Leukotriene B4.
AB - Macrophages in lungs can be classified into two subpopulations, alveolar
macrophages (AMs) and interstitial macrophages (IMs), which reside in the
alveolar and interstitial spaces, respectively. Accumulating evidence indicates
the involvement of IMs in lung metastasis, but the roles of AMs in lung
metastasis still remain elusive. An i.v. injection of a mouse hepatocellular
carcinoma (HCC) cell line, BNL, caused lung metastasis foci with infiltration of
AMs and IMs. Comprehensive determination of arachidonic acid metabolite levels
revealed increases in leukotrienes and PGs in lungs in this metastasis model. A 5
lipoxygenase (LOX) inhibitor but not a cyclooxygenase inhibitor reduced the
numbers of metastatic foci, particularly those of a larger size. A major 5-LOX
metabolite, LTB4, augmented in vitro cell proliferation of human HCC cell lines
as well as BNL cells. Moreover, in this lung metastasis course, AMs exhibited
higher expression levels of the 5-LOX and LTB4 than IMs. Consistently, 5-LOX
expressing AMs increased in the lungs of human HCC patients with lung metastasis,
compared with those without lung metastasis. Furthermore, intratracheal
clodronate liposome injection selectively depleted AMs but not IMs, together with
reduced LTB4 content and metastatic foci numbers in this lung metastasis process.
Finally, IMs in mouse metastatic foci produced CCL2, thereby recruiting blood
borne, CCR2-expressing AMs into lungs. Thus, AMs can be recruited under the
guidance of IM-derived CCL2 into metastatic lungs and can eventually contribute
to the progression of lung metastasis by providing a potent arachidonic acid
derived tumor growth promoting mediator, LTB4.
PMID- 29378916
TI - Correction: Medical research and audit skills training for undergraduates: an
international analysis and student-focused needs assessment.
PMID- 29378915
TI - Cutting Edge: Nqo1 Regulates Irritant Contact Hypersensitivity against Croton Oil
through Maintenance of Dendritic Epidermal T Cells.
AB - Irritant contact dermatitis (ICD) is associated with local release of
inflammatory mediators such as reactive oxygen species and regulated by various
antioxidative enzymes and antioxidants. Although Nqo1 is involved in
antioxidative reactions and detoxification, its role in ICD remains unknown. Nqo1
deficient mice exhibited augmented ear swelling accompanied by neutrophil
infiltration in the croton oil-induced mouse ICD model. In the skin of Nqo1
deficient mice, Vgamma5Vdelta1+ dendritic epidermal T cells (DETCs), which are
known to suppress ICD, were severely reduced. As the transfer of DETCs into Nqo1
deficient mice reversed an increased ICD response, loss of DETCs could account
for the increased ICD. DETCs from Nqo1-deficient mice were sensitive to oxidative
stress-induced cell death in vitro, and antioxidant NAC treatment in the ears of
these mice rescued the number of DETCs and produced a normal ICD response. Taken
together, the current results demonstrate that antioxidative enzyme Nqo1
regulates ICD through DETC maintenance.
PMID- 29378917
TI - Sexual harassment of women in medicine: a problem for men to address.
PMID- 29378919
TI - Hemorrhagic intraspinal paragonimiasis.
PMID- 29378920
TI - Resident & Fellow Rounds.
PMID- 29378918
TI - TMEM55a localizes to macrophage phagosomes to downregulate phagocytosis.
AB - TMEM55a (also known as PIP4P2) is an enzyme that dephosphorylates the
phosphatidylinositol (PtdIns) PtdIns(4,5)P2 to form PtdIns(5)P in vitro However,
the in vivo conversion of the polyphosphoinositide into PtdIns(5)P by the
phosphatase has not yet been demonstrated, and the role of TMEM55a remains poorly
understood. Here, we found that mouse macrophages (Raw264.7) deficient in TMEM55a
showed an increased engulfment of large particles without affecting the
phagocytosis of Escherichia coli Transfection of a bacterial phosphatase with
similar substrate specificity to TMEM55a, namely IpgD, into Raw264.7 cells
inhibited the engulfment of IgG-erythrocytes in a manner dependent on its
phosphatase activity. In contrast, cells transfected with PIP4K2a, which
catalyzes PtdIns(4,5)P2 production from PtdIns(5)P, increased phagocytosis.
Fluorescent TMEM55a transfected into Raw264.7 cells was found to mostly localize
to the phagosome. The accumulation of PtdIns(4,5)P2, PtdIns(3,4,5)P3 and F-actin
on the phagocytic cup was increased in TMEM55a-deficient cells, as monitored by
live-cell imaging. Phagosomal PtdIns(5)P was decreased in the knockdown cells,
but the augmentation of phagocytosis in these cells was unaffected by the
exogenous addition of PtdIns(5)P. Taken together, these results suggest that
TMEM55a negatively regulates the phagocytosis of large particles by reducing
phagosomal PtdIns(4,5)P2 accumulation during cup formation.
PMID- 29378921
TI - Mystery Case: A 48-year-old woman with bizarre behavior, neurologic symptoms, and
progressive decline.
PMID- 29378922
TI - Editors' note: Teaching NeuroImages: Amlodipine-responsive trigeminal neuralgia:
An alibi for vascular compression theory.
PMID- 29378923
TI - Reader response: Teaching NeuroImages: Amlodipine-responsive trigeminal
neuralgia: An alibi for vascular compression theory.
PMID- 29378924
TI - Author response: Teaching NeuroImages: Amlodipine-responsive trigeminal
neuralgia: An alibi for vascular compression theory.
PMID- 29378926
TI - Editors' note: Burnout, career satisfaction, and well-being among US neurology
residents and fellows in 2016.
PMID- 29378925
TI - Author response: Burnout, career satisfaction, and well-being among US neurology
residents and fellows in 2016.
PMID- 29378927
TI - Reader response: Burnout, career satisfaction, and well-being among US neurology
residents and fellows in 2016.
PMID- 29378928
TI - The Pediatric Stroke Outcome Measure: A predictor of outcome following arterial
ischemic stroke.
AB - OBJECTIVE: To evaluate the relationship between neurologic outcome at 1 month
following diagnosis of pediatric arterial ischemic stroke (AIS) and motor and
adaptive behavior outcomes at 12 months. METHODS: This prospective longitudinal
observational cohort study recruited children from a single tertiary children's
hospital diagnosed with first AIS between December 2007 and November 2013.
Neurologic impairment was evaluated at 4 time points using the Pediatric Stroke
Outcome Measure (PSOM) or Recovery and Recurrence Questionnaire following
diagnosis of AIS (acute, 1, 6, and 12 months). Motor function and adaptive
behavior were assessed at 12 months using standardized measures. Children were
grouped for analysis, according to age at diagnosis (neonates vs preschool vs
school-aged). The relationship between neurologic impairment and 12-month
functional outcomes were examined. RESULTS: Sixty-four children were recruited
(27 neonates, 19 preschool-aged, and 18 school-aged). Presence of impairment on
the PSOM at 1 month was associated with lower 12-month fine motor z scores (p =
0.004), gross motor z scores (p = 0.001), and adaptive behavior standard scores
(p = 0.004). One-month PSOM impairment score was more predictive than age group
or lesion size of 12-month motor and adaptive behavior outcome. CONCLUSIONS: The
PSOM has value as a predictive tool when used at 1 month after first AIS
diagnosed acutely in relation to motor and adaptive behavior, with variation
according to age group.
PMID- 29378929
TI - Clinical Reasoning: A 66-year-old woman with seizures and progressive right-sided
weakness.
PMID- 29378930
TI - Teaching NeuroImages: Congenital membrane causing unilateral hydrocephalus.
PMID- 29378931
TI - Teaching NeuroImages: Atrophy in epileptic encephalopathy.
PMID- 29378932
TI - Black patients missing out on stroke treatment.
PMID- 29378933
TI - On the complex dynamics of savanna landscapes.
AB - Simple mathematical models can exhibit rich and complex behaviors. Prototypical
examples of these drawn from biology and other disciplines have provided insights
that extend well beyond the situations that inspired them. Here, we explore a set
of simple, yet realistic, models for savanna-forest vegetation dynamics based on
minimal ecological assumptions. These models are aimed at understanding how
vegetation interacts with both climate (a primary global determinant of
vegetation structure) and feedbacks with chronic disturbances from fire. The
model includes three plant functional types-grasses, savanna trees, and forest
trees. Grass and (when they allow grass to persist in their subcanopy) savanna
trees promote the spread of fires, which in turn, demographically limit trees.
The model exhibits a spectacular range of behaviors. In addition to bistability,
analysis reveals (i) that diverse cyclic behaviors (including limit and homo- and
heteroclinic cycles) occur for broad ranges of parameter space, (ii) that large
shifts in landscape structure can result from endogenous dynamics and not just
from external drivers or from noise, and (iii) that introducing noise into this
system induces resonant and inverse resonant phenomena, some of which have never
been previously observed in ecological models. Ecologically, these results raise
questions about how to evaluate complicated dynamics with data. Mathematically,
they lead to classes of behaviors that are likely to occur in other models with
similar structure.
PMID- 29378934
TI - Wireless optoelectronic photometers for monitoring neuronal dynamics in the deep
brain.
AB - Capabilities for recording neural activity in behaving mammals have greatly
expanded our understanding of brain function. Some of the most sophisticated
approaches use light delivered by an implanted fiber-optic cable to optically
excite genetically encoded calcium indicators and to record the resulting changes
in fluorescence. Physical constraints induced by the cables and the bulk, size,
and weight of the associated fixtures complicate studies on natural behaviors,
including social interactions and movements in environments that include
obstacles, housings, and other complex features. Here, we introduce a wireless,
injectable fluorescence photometer that integrates a miniaturized light source
and a photodetector on a flexible, needle-shaped polymer support, suitable for
injection into the deep brain at sites of interest. The ultrathin geometry and
compliant mechanics of these probes allow minimally invasive implantation and
stable chronic operation. In vivo studies in freely moving animals demonstrate
that this technology allows high-fidelity recording of calcium fluorescence in
the deep brain, with measurement characteristics that match or exceed those
associated with fiber photometry systems. The resulting capabilities in optical
recordings of neuronal dynamics in untethered, freely moving animals have
potential for widespread applications in neuroscience research.
PMID- 29378935
TI - RNA force field with accuracy comparable to state-of-the-art protein force
fields.
AB - Molecular dynamics (MD) simulation has become a powerful tool for characterizing
at an atomic level of detail the conformational changes undergone by proteins.
The application of such simulations to RNA structures, however, has proven more
challenging, due in large part to the fact that the physical models ("force
fields") available for MD simulations of RNA molecules are substantially less
accurate in many respects than those currently available for proteins. Here, we
introduce an extensive revision of a widely used RNA force field in which the
parameters have been modified, based on quantum mechanical calculations and
existing experimental information, to more accurately reflect the fundamental
forces that stabilize RNA structures. We evaluate these revised parameters
through long-timescale MD simulations of a set of RNA molecules that covers a
wide range of structural complexity, including single-stranded RNAs, RNA
duplexes, RNA hairpins, and riboswitches. The structural and thermodynamic
properties measured in these simulations exhibited dramatically improved
agreement with experimentally determined values. Based on the comparisons we
performed, this RNA force field appears to achieve a level of accuracy comparable
to that of state-of-the-art protein force fields, thus significantly advancing
the utility of MD simulation as a tool for elucidating the structural dynamics
and function of RNA molecules and RNA-containing biological assemblies.
PMID- 29378936
TI - Child first language and adult second language are both tied to general-purpose
learning systems.
AB - Do the mechanisms underlying language in fact serve general-purpose functions
that preexist this uniquely human capacity? To address this contentious and
empirically challenging issue, we systematically tested the predictions of a well
studied neurocognitive theory of language motivated by evolutionary principles.
Multiple metaanalyses were performed to examine predicted links between language
and two general-purpose learning systems, declarative and procedural memory. The
results tied lexical abilities to learning only in declarative memory, while
grammar was linked to learning in both systems in both child first language and
adult second language, in specific ways. In second language learners, grammar was
associated with only declarative memory at lower language experience, but with
only procedural memory at higher experience. The findings yielded large effect
sizes and held consistently across languages, language families, linguistic
structures, and tasks, underscoring their reliability and validity. The results,
which met the predicted pattern, provide comprehensive evidence that language is
tied to general-purpose systems both in children acquiring their native language
and adults learning an additional language. Crucially, if language learning
relies on these systems, then our extensive knowledge of the systems from animal
and human studies may also apply to this domain, leading to predictions that
might be unwarranted in the more circumscribed study of language. Thus, by
demonstrating a role for these systems in language, the findings simultaneously
lay a foundation for potentially important advances in the study of this critical
domain.
PMID- 29378937
TI - High integrin alphaVbeta6 affinity reached by hybrid domain deletion slows ligand
binding on-rate.
AB - The role of the hybrid domain in integrin affinity regulation is unknown, as is
whether the kinetics of ligand binding is modulated by integrin affinity state.
Here, we compare cell surface and soluble integrin alphaVbeta6 truncation mutants
for ligand-binding affinity, kinetics, and thermodynamics. Removal of the
integrin transmembrane/cytoplasmic domains or lower legs has little effect on
alphaVbeta6 affinity, in contrast to beta1 integrins. In integrin opening,
rearrangement at the interface between the betaI and hybrid domains is linked to
remodeling at the ligand-binding site at the opposite end of the betaI domain,
which greatly increases in affinity in the open conformation. The larger size of
the betaI-hybrid interface in the closed state suggests that the hybrid domain
stabilizes closing. In agreement, deletion of the hybrid domain raised affinity
by 50-fold. Surface plasmon resonance and isothermal titration calorimetry gave
similar results and the latter revealed tradeoffs between enthalpy and entropy
not apparent from affinity. At extremely high affinity reached in Mn2+ with
hybrid domain truncation, alphaVbeta6 on-rate for both pro-TGF-beta1 and
fibronectin declined. The results suggest that the open conformation of
alphaVbeta6 has lower on-rate than the closed conformation, correlate with
constriction of the ligand-binding pocket in open alphaVbeta6 structures, and
suggest that the extended-closed conformation is kinetically selected for ligand
binding. Subsequent transition to the extended-open conformation is stabilized by
its much higher affinity for ligand and would also be stabilized by force exerted
across ligand-bound integrins by the actin cytoskeleton.
PMID- 29378938
TI - Insecurity, polio vaccination rates, and polio incidence in northwest Pakistan.
AB - Pakistan is one of three countries in which endemic transmission of poliovirus
has never been stopped. Insecurity is often cited but poorly studied as a barrier
to eradicating polio. We analyzed routinely collected health data from 32
districts of northwest Pakistan and constructed an index of insecurity based on
journalistic reports of the monthly number of deaths and injuries resulting from
conflict-related security incidents. The primary outcomes were the monthly
incidence of paralytic polio cases within each district between 2007 and 2014 and
the polio vaccination percentage from 666 district-level vaccination campaigns
between 2007 and 2009, targeting ~5.7 million children. Multilevel Poisson
regression controlling for time and district fixed effects was used to model the
association between insecurity, vaccinator access, vaccination rates, and polio
incidence. The number of children inaccessible to vaccinators was 19.7% greater
(95% CI: 19.2-20.2%), and vaccination rates were 5.3% lower (95% CI: 5.2-5.3%) in
"high-insecurity" campaigns compared with "secure" campaigns. The unadjusted mean
vaccination rate was 96.3% (SD = 8.6) in secure campaigns and 88.3% (SD = 19.2)
in high-insecurity campaigns. Polio incidence was 73.0% greater (95% CI: 30-131%)
during high-insecurity months (unadjusted mean = 0.13 cases per million people,
SD = 0.71) compared with secure months (unadjusted mean = 1.23 cases per million
people, SD = 4.28). Thus, insecurity was associated with reduced vaccinator
access, reduced polio vaccination, and increased polio incidence in northwest
Pakistan. These findings demonstrate that insecurity is an important obstacle to
global polio eradication.
PMID- 29378939
TI - Range dynamics of mountain plants decrease with elevation.
AB - Many studies report that mountain plant species are shifting upward in elevation.
However, the majority of these reports focus on shifts of upper limits. Here, we
expand the focus and simultaneously analyze changes of both range limits, optima,
and abundances of 183 mountain plant species. We therefore resurveyed 1,576
vegetation plots first recorded before 1970 in the European Alps. We found that
both range limits and optima shifted upward in elevation, but the most pronounced
trend was a mean increase in species abundance. Despite huge species-specific
variation, range dynamics showed a consistent trend along the elevational
gradient: Both range limits and optima shifted upslope faster the lower they were
situated historically, and species' abundance increased more for species from
lower elevations. Traits affecting the species' dispersal and persistence
capacity were not related to their range dynamics. Using indicator values to
stratify species by their thermal and nutrient demands revealed that elevational
ranges of thermophilic species tended to expand, while those of cold-adapted
species tended to contract. Abundance increases were strongest for nutriphilous
species. These results suggest that recent climate warming interacted with
airborne nitrogen deposition in driving the observed dynamics. So far, the
majority of species appear as "winners" of recent changes, yet "losers" are
overrepresented among high-elevation, cold-adapted species with low nutrient
demands. In the decades to come, high-alpine species may hence face the double
pressure of climatic changes and novel, superior competitors that move up faster
than they themselves can escape to even higher elevations.
PMID- 29378940
TI - Alarm calls evoke a visual search image of a predator in birds.
AB - One of the core features of human speech is that words cause listeners to
retrieve corresponding visual mental images. However, whether vocalizations
similarly evoke mental images in animal communication systems is surprisingly
unknown. Japanese tits (Parus minor) produce specific alarm calls when and only
when encountering a predatory snake. Here, I show that simply hearing these calls
causes tits to become more visually perceptive to objects resembling snakes.
During playback of snake-specific alarm calls, tits approach a wooden stick being
moved in a snake-like fashion. However, tits do not respond to the same stick
when hearing other call types or if the stick's movement is dissimilar to that of
a snake. Thus, before detecting a real snake, tits retrieve its visual image from
snake-specific alarm calls and use this to search out snakes. This study provides
evidence for a call-evoked visual search image in a nonhuman animal, offering a
paradigm to explore the cognitive basis for animal vocal communication in the
wild.
PMID- 29378941
TI - In vivo wireless photonic photodynamic therapy.
AB - An emerging class of targeted therapy relies on light as a spatially and
temporally precise stimulus. Photodynamic therapy (PDT) is a clinical example in
which optical illumination selectively activates light-sensitive drugs, termed
photosensitizers, destroying malignant cells without the side effects associated
with systemic treatments such as chemotherapy. Effective clinical application of
PDT and other light-based therapies, however, is hindered by challenges in light
delivery across biological tissue, which is optically opaque. To target deep
regions, current clinical PDT uses optical fibers, but their incompatibility with
chronic implantation allows only a single dose of light to be delivered per
surgery. Here we report a wireless photonic approach to PDT using a miniaturized
(30 mg, 15 mm3) implantable device and wireless powering system for light
delivery. We demonstrate the therapeutic efficacy of this approach by activating
photosensitizers (chlorin e6) through thick (>3 cm) tissues inaccessible by
direct illumination, and by delivering multiple controlled doses of light to
suppress tumor growth in vivo in animal cancer models. This versatility in light
delivery overcomes key clinical limitations in PDT, and may afford further
opportunities for light-based therapies.
PMID- 29378942
TI - Male-specific IL-33 expression regulates sex-dimorphic EAE susceptibility.
AB - The cellular and molecular basis of sex-dimorphic autoimmune diseases, such as
the CNS demyelinating disease multiple sclerosis (MS), remains unclear. Our
studies in the SJL mouse model of MS, experimental autoimmune encephalomyelitis
(EAE), reveal that sex-determined differences in Il33 expression by innate immune
cells in response to myelin peptide immunization regulate EAE susceptibility. IL
33 is selectively induced in PLP139-151-immunized males and activates type 2
innate lymphoid cells (ILC2s), cells that promote and sustain a nonpathogenic Th2
myelin-specific response. Without this attenuating IL-33 response, females
generate an encephalitogenic Th17-dominant response, which can be reversed by IL
33 treatment. Mast cells are one source of IL-33 and we provide evidence that
testosterone directly induces Il33 gene expression and also exerts effects on the
potential for Il33 gene expression during mast cell development. Thus, in
contrast to their pathogenic role in allergy, we propose a sex-specific role for
both mast cells and ILC2s as attenuators of the pathogenic Th response in CNS
inflammatory disease.
PMID- 29378944
TI - High-resolution structure prediction of beta-barrel membrane proteins.
AB - [Formula: see text]-Barrel membrane proteins ([Formula: see text]MPs) play
important roles, but knowledge of their structures is limited. We have developed
a method to predict their 3D structures. We predict strand registers and
construct transmembrane (TM) domains of [Formula: see text]MPs accurately,
including proteins for which no prediction has been attempted before. Our method
also accurately predicts structures from protein families with a limited number
of sequences and proteins with novel folds. An average main-chain rmsd of 3.48 A
is achieved between predicted and experimentally resolved structures of TM
domains, which is a significant improvement ([Formula: see text]3 A) over a
recent study. For [Formula: see text]MPs with NMR structures, the deviation
between predictions and experimentally solved structures is similar to the
difference among the NMR structures, indicating excellent prediction accuracy.
Moreover, we can now accurately model the extended [Formula: see text]-barrels
and loops in non-TM domains, increasing the overall coverage of structure
prediction by [Formula: see text]%. Our method is general and can be applied to
genome-wide structural prediction of [Formula: see text]MPs.
PMID- 29378943
TI - E-cigarette smoke damages DNA and reduces repair activity in mouse lung, heart,
and bladder as well as in human lung and bladder cells.
AB - E-cigarette smoke delivers stimulant nicotine as aerosol without tobacco or the
burning process. It contains neither carcinogenic incomplete combustion
byproducts nor tobacco nitrosamines, the nicotine nitrosation products. E
cigarettes are promoted as safe and have gained significant popularity. In this
study, instead of detecting nitrosamines, we directly measured DNA damage induced
by nitrosamines in different organs of E-cigarette smoke-exposed mice. We found
mutagenic O6-methyldeoxyguanosines and gamma-hydroxy-1,N2 -propano
deoxyguanosines in the lung, bladder, and heart. DNA-repair activity and repair
proteins XPC and OGG1/2 are significantly reduced in the lung. We found that
nicotine and its metabolite, nicotine-derived nitrosamine ketone, can induce the
same effects and enhance mutational susceptibility and tumorigenic transformation
of cultured human bronchial epithelial and urothelial cells. These results
indicate that nicotine nitrosation occurs in vivo in mice and that E-cigarette
smoke is carcinogenic to the murine lung and bladder and harmful to the murine
heart. It is therefore possible that E-cigarette smoke may contribute to lung and
bladder cancer, as well as heart disease, in humans.
PMID- 29378945
TI - Adaptation of commensal proliferating Escherichia coli to the intestinal tract of
young children with cystic fibrosis.
AB - The mature human gut microbiota is established during the first years of life,
and altered intestinal microbiomes have been associated with several human health
disorders. Escherichia coli usually represents less than 1% of the human
intestinal microbiome, whereas in cystic fibrosis (CF), greater than 50% relative
abundance is common and correlates with intestinal inflammation and fecal fat
malabsorption. Despite the proliferation of E. coli and other Proteobacteria in
conditions involving chronic gastrointestinal tract inflammation, little is known
about adaptation of specific characteristics associated with microbiota clonal
expansion. We show that E. coli isolated from fecal samples of young children
with CF has adapted to growth on glycerol, a major component of fecal fat. E.
coli isolates from different CF patients demonstrate an increased growth rate in
the presence of glycerol compared with E. coli from healthy controls, and
unrelated CF E. coli strains have independently acquired this growth trait.
Furthermore, CF and control E. coli isolates have differential gene expression
when grown in minimal media with glycerol as the sole carbon source. While CF
isolates display a growth-promoting transcriptional profile, control isolates
engage stress and stationary-phase programs, which likely results in slower
growth rates. Our results indicate that there is selection of unique
characteristics within the microbiome of individuals with CF, which could
contribute to individual disease outcomes.
PMID- 29378946
TI - Method to generate highly stable D-amino acid analogs of bioactive helical
peptides using a mirror image of the entire PDB.
AB - Biologics are a rapidly growing class of therapeutics with many advantages over
traditional small molecule drugs. A major obstacle to their development is that
proteins and peptides are easily destroyed by proteases and, thus, typically have
prohibitively short half-lives in human gut, plasma, and cells. One of the most
effective ways to prevent degradation is to engineer analogs from dextrorotary
(D)-amino acids, with up to 105-fold improvements in potency reported. We here
propose a general peptide-engineering platform that overcomes limitations of
previous methods. By creating a mirror image of every structure in the Protein
Data Bank (PDB), we generate a database of ~2.8 million D-peptides. To obtain a D
analog of a given peptide, we search the (D)-PDB for similar configurations of
its critical-"hotspot"-residues. As a proof of concept, we apply our method to
two peptides that are Food and Drug Administration approved as therapeutics for
diabetes and osteoporosis, respectively. We obtain D-analogs that activate the
GLP1 and PTH1 receptors with the same efficacy as their natural counterparts and
show greatly increased half-life.
PMID- 29378947
TI - Superresolution imaging of individual replication forks reveals unexpected
prodrug resistance mechanism.
AB - Many drugs require extensive metabolism en route to their targets. High
resolution visualization of prodrug metabolism should therefore utilize analogs
containing a small modification that does not interfere with its metabolism or
mode of action. In addition to serving as mechanistic probes, such analogs
provide candidates for theranostics when applied in both therapeutic and
diagnostic modalities. Here a traceable mimic of the widely used anticancer
prodrug cytarabine (ara-C) was generated by converting a single hydroxyl group to
azide, giving "AzC." This compound exhibited the same biological profile as ara-C
in cell cultures and zebrafish larvae. Using azide-alkyne "click" reactions, we
uncovered an apparent contradiction: drug-resistant cells incorporated relatively
large quantities of AzC into their genomes and entered S-phase arrest, whereas
drug-sensitive cells incorporated only small quantities of AzC. Fluorescence
microscopy was used to elucidate structural features associated with drug
resistance by characterizing the architectures of stalled DNA replication foci
containing AzC, EdU, gammaH2AX, and proliferating cell nuclear antigen (PCNA).
Three-color superresolution imaging revealed replication foci containing one,
two, or three partially resolved replication forks. Upon removing AzC from the
media, resumption of DNA synthesis and completion of the cell cycle occurred
before complete removal of AzC from genomes in vitro and in vivo. These results
revealed an important mechanism for the low toxicity of ara-C toward normal
tissues and drug-resistant cancer cells, where its efficient incorporation into
DNA gives rise to highly stable, stalled replication forks that limit further
incorporation of the drug, yet allow for the resumption of DNA synthesis and
cellular division following treatment.
PMID- 29378949
TI - Field migration rates of tidal meanders recapitulate fluvial morphodynamics.
AB - The majority of tidal channels display marked meandering features. Despite their
importance in oil-reservoir formation and tidal landscape morphology, questions
remain on whether tidal-meander dynamics could be understood in terms of fluvial
processes and theory. Key differences suggest otherwise, like the periodic
reversal of landscape-forming tidal flows and the widely accepted empirical
notion that tidal meanders are stable landscape features, in stark contrast with
their migrating fluvial counterparts. On the contrary, here we show that, once
properly normalized, observed migration rates of tidal and fluvial meanders are
remarkably similar. Key to normalization is the role of tidal channel width that
responds to the strong spatial gradients of landscape-forming flow rates and
tidal prisms. We find that migration dynamics of tidal meanders agree with
nonlinear theories for river meander evolution. Our results challenge the
conventional view of tidal channels as stable landscape features and suggest that
meandering tidal channels recapitulate many fluvial counterparts owing to large
gradients of tidal prisms across meander wavelengths.
PMID- 29378948
TI - LncRNA IDH1-AS1 links the functions of c-Myc and HIF1alpha via IDH1 to regulate
the Warburg effect.
AB - The oncoprotein c-Myc plays an important role in regulating glycolysis under
normoxia; yet, in cancer cells, HIF1alpha, which is essential for driving
glycolysis under hypoxia, is often up-regulated even in the presence of oxygen.
The relationship between these two major regulators of the Warburg effect remains
to be fully defined. Here we demonstrate that regulation of a long noncoding RNA
(lncRNA), named IDH1-AS1, enables c-Myc to collaborate with HIF1alpha in
activating the Warburg effect under normoxia. c-Myc transcriptionally repressed
IDH1-AS1, which, upon expression, promoted homodimerization of IDH1 and thus
enhanced its enzymatic activity. This resulted in increased alpha-KG and
decreased ROS production and subsequent HIF1alpha down-regulation, leading to
attenuation of glycolysis. Hence, c-Myc repression of IDH1-AS1 promotes
activation of the Warburg effect by HIF1alpha. As such, IDH1-AS1 overexpression
inhibited cell proliferation, whereas silencing of IDH1-AS1 promoted cell
proliferation and cancer xenograft growth. Restoring IDH1-AS1 expression may
therefore represent a potential metabolic approach for cancer treatment.
PMID- 29378950
TI - K63 ubiquitylation triggers proteasomal degradation by seeding branched ubiquitin
chains.
AB - Different polyubiquitin chain linkages direct substrates toward distinct cellular
pathways. K63-linked ubiquitylation is known to regulate proteasome-independent
events such as signal transduction, but its function in the context of
heterogeneous ubiquitin chains remains unclear. Here, we report that K63
ubiquitylation plays a critical role in proteasome-mediated substrate degradation
by serving as a "seed" for K48/K63 branched ubiquitin chains. Quantitative
analysis revealed that K48/K63 branched linkages preferentially associate with
proteasomes in cells. We found that ITCH-dependent K63 ubiquitylation of the
proapoptotic regulator TXNIP triggered subsequent assembly of K48/K63 branched
chains by recruiting ubiquitin-interacting ligases such as UBR5, leading to TXNIP
degradation. These results reveal a role for K63 chains as a substrate-specific
mark for proteasomal degradation involved in regulating cell fate. Our findings
provide insight into how cellular interpretation of the ubiquitin code is altered
by combinations of ubiquitin linkages.
PMID- 29378951
TI - Holo-lipocalin-2-derived siderophores increase mitochondrial ROS and impair
oxidative phosphorylation in rat cardiomyocytes.
AB - Lipocalin-2 (Lcn2), a critical component of the innate immune response which
binds siderophores and limits bacterial iron acquisition, can elicit spillover
adverse proinflammatory effects. Here we show that holo-Lcn2 (Lcn2-siderophore
iron, 1:3:1) increases mitochondrial reactive oxygen species (ROS) generation and
attenuates mitochondrial oxidative phosphorylation in adult rat primary
cardiomyocytes in a manner blocked by N-acetyl-cysteine or the mitochondria
specific antioxidant SkQ1. We further demonstrate using siderophores 2,3-DHBA
(2,3-dihydroxybenzoic acid) and 2,5-DHBA that increased ROS and reduction in
oxidative phosphorylation are direct effects of the siderophore component of holo
Lcn2 and not due to apo-Lcn2 alone. Extracellular apo-Lcn2 enhanced the potency
of 2,3-DHBA and 2,5-DHBA to increase ROS production and decrease mitochondrial
respiratory capacity, whereas intracellular apo-Lcn2 attenuated these effects.
These actions of holo-Lcn2 required an intact plasma membrane and were decreased
by inhibition of endocytosis. The hearts, but not serum, of Lcn2 knockout (LKO)
mice contained lower levels of 2,5-DHBA compared with wild-type hearts.
Furthermore, LKO mice were protected from ischemia/reperfusion-induced cardiac
mitochondrial dysfunction. Our study identifies the siderophore moiety of holo
Lcn2 as a regulator of cardiomyocyte mitochondrial bioenergetics.
PMID- 29378953
TI - Disease-causing mutation in alpha-actinin-4 promotes podocyte detachment through
maladaptation to periodic stretch.
AB - alpha-Actinin-4 (ACTN4) bundles and cross-links actin filaments to confer
mechanical resilience to the reconstituted actin network. How this resilience is
built and dynamically regulated in the podocyte, and the cause of its failure in
ACTN4 mutation-associated focal segmental glomerulosclerosis (FSGS), remains
poorly defined. Using primary podocytes isolated from wild-type (WT) and FSGS
causing point mutant Actn4 knockin mice, we report responses to periodic stretch.
While WT cells largely maintained their F-actin cytoskeleton and contraction,
mutant cells developed extensive and irrecoverable reductions in these same
properties. This difference was attributable to both actin material changes and a
more spatially correlated intracellular stress in mutant cells. When stretched
cells were further challenged using a cell adhesion assay, mutant cells were more
likely to detach. Together, these data suggest a mechanism for mutant podocyte
dysfunction and loss in FSGS-it is a direct consequence of mechanical responses
of a cytoskeleton that is brittle.
PMID- 29378954
TI - Automated monitoring of behavior reveals bursty interaction patterns and rapid
spreading dynamics in honeybee social networks.
AB - Social networks mediate the spread of information and disease. The dynamics of
spreading depends, among other factors, on the distribution of times between
successive contacts in the network. Heavy-tailed (bursty) time distributions are
characteristic of human communication networks, including face-to-face contacts
and electronic communication via mobile phone calls, email, and internet
communities. Burstiness has been cited as a possible cause for slow spreading in
these networks relative to a randomized reference network. However, it is not
known whether burstiness is an epiphenomenon of human-specific patterns of
communication. Moreover, theory predicts that fast, bursty communication networks
should also exist. Here, we present a high-throughput technology for automated
monitoring of social interactions of individual honeybees and the analysis of a
rich and detailed dataset consisting of more than 1.2 million interactions in
five honeybee colonies. We find that bees, like humans, also interact in bursts
but that spreading is significantly faster than in a randomized reference network
and remains so even after an experimental demographic perturbation. Thus, while
burstiness may be an intrinsic property of social interactions, it does not
always inhibit spreading in real-world communication networks. We anticipate that
these results will inform future models of large-scale social organization and
information and disease transmission, and may impact health management of
threatened honeybee populations.
PMID- 29378952
TI - OLT1177, a beta-sulfonyl nitrile compound, safe in humans, inhibits the NLRP3
inflammasome and reverses the metabolic cost of inflammation.
AB - Activation of the NLRP3 inflammasome induces maturation of IL-1beta and IL-18,
both validated targets for treating acute and chronic inflammatory diseases.
Here, we demonstrate that OLT1177, an orally active beta-sulfonyl nitrile
molecule, inhibits activation of the NLRP3 inflammasome. In vitro, nanomolar
concentrations of OLT1177 reduced IL-1beta and IL-18 release following canonical
and noncanonical NLRP3 inflammasome activation. The molecule showed no effect on
the NLRC4 and AIM2 inflammasomes, suggesting specificity for NLRP3. In LPS
stimulated human blood-derived macrophages, OLT1177 decreased IL-1beta levels by
60% and IL-18 by 70% at concentrations 100-fold lower in vitro than plasma
concentrations safely reached in humans. OLT1177 also reduced IL-1beta release
and caspase-1 activity in freshly obtained human blood neutrophils. In monocytes
isolated from patients with cryopyrin-associated periodic syndrome (CAPS),
OLT1177 inhibited LPS-induced IL-1beta release by 84% and 36%.
Immunoprecipitation and FRET analysis demonstrated that OLT1177 prevented NLRP3
ASC, as well as NLRP3-caspase-1 interaction, thus inhibiting NLRP3 inflammasome
oligomerization. In a cell-free assay, OLT1177 reduced ATPase activity of
recombinant NLRP3, suggesting direct targeting of NLRP3. Mechanistically, OLT1177
did not affect potassium efflux, gene expression, or synthesis of the IL-1beta
precursor. Steady-state levels of phosphorylated NF-kappaB and IkB kinase were
significantly lowered in spleen cells from OLT1177-treated mice. We observed
reduced IL-1beta content in tissue homogenates, limited oxidative stress, and
increased muscle oxidative metabolism in OLT1177-treated mice challenged with
LPS. Healthy humans receiving 1,000 mg of OLT1177 daily for 8 d exhibited neither
adverse effects nor biochemical or hematological changes.
PMID- 29378955
TI - Neuronal control of astrocytic respiration through a variant of the Crabtree
effect.
AB - Aerobic glycolysis is a phenomenon that in the long term contributes to synaptic
formation and growth, is reduced by normal aging, and correlates with amyloid
beta deposition. Aerobic glycolysis starts within seconds of neural activity and
it is not obvious why energetic efficiency should be compromised precisely when
energy demand is highest. Using genetically encoded FRET nanosensors and real
time oxygen measurements in culture and in hippocampal slices, we show here that
astrocytes respond to physiological extracellular K+ with an acute rise in
cytosolic ATP and a parallel inhibition of oxygen consumption, explained by
glycolytic stimulation via the Na+-bicarbonate cotransporter NBCe1. This control
of mitochondrial respiration via glycolysis modulation is reminiscent of a
phenomenon previously described in proliferating cells, known as the Crabtree
effect. Fast brain aerobic glycolysis may be interpreted as a strategy whereby
neurons manipulate neighboring astrocytes to obtain oxygen, thus maximizing
information processing.
PMID- 29378956
TI - ATR-Chk1 activation mitigates replication stress caused by mismatch repair
dependent processing of DNA damage.
AB - The mismatch repair pathway (MMR) is essential for removing DNA polymerase
errors, thereby maintaining genomic stability. Loss of MMR function increases
mutation frequency and is associated with tumorigenesis. However, how MMR is
executed at active DNA replication forks is unclear. This has important
implications for understanding how MMR repairs O6-methylguanine/thymidine (MeG/T)
mismatches created upon exposure to DNA alkylating agents. If MeG/T lesion
recognition by MMR initiates mismatch excision, the reinsertion of a mismatched
thymidine during resynthesis could initiate futile repair cycles. One consequence
of futile repair cycles might be a disruption of overall DNA replication in the
affected cell. Herein, we show that in MMR-proficient HeLa cancer cells,
treatment with a DNA alkylating agent slows S phase progression, yet cells still
progress into the next cell cycle. In the first S phase following treatment, they
activate ataxia telangiectasia and Rad3-related (ATR)-Checkpoint Kinase 1 (Chk1)
signaling, which limits DNA damage, while inhibition of ATR kinase activity
accelerates DNA damage accumulation and sensitivity to the DNA alkylating agent.
We also observed that exposure of human embryonic stem cells to alkylation damage
severely compromised DNA replication in a MMR-dependent manner. These cells fail
to activate the ATR-Chk1 signaling axis, which may limit their ability to handle
replication stress. Accordingly, they accumulate double-strand breaks and undergo
immediate apoptosis. Our findings implicate the MMR-directed response to
alkylation damage as a replication stress inducer, suggesting that repeated MMR
processing of mismatches may occur that can disrupt S phase progression.
PMID- 29378957
TI - AtCAP2 is crucial for lytic vacuole biogenesis during germination by positively
regulating vacuolar protein trafficking.
AB - Protein trafficking is a fundamental mechanism of subcellular organization and
contributes to organellar biogenesis. AtCAP2 is an Arabidopsis homolog of the
Mesembryanthemum crystallinum calcium-dependent protein kinase 1 adaptor protein
2 (McCAP2), a member of the syntaxin superfamily. Here, we show that AtCAP2 plays
an important role in the conversion to the lytic vacuole (LV) during early plant
development. The AtCAP2 loss-of-function mutant atcap2-1 displayed delays in
protein storage vacuole (PSV) protein degradation, PSV fusion, LV acidification,
and biosynthesis of several vacuolar proteins during germination. At the mature
stage, atcap2-1 plants accumulated vacuolar proteins in the prevacuolar
compartment (PVC) instead of the LV. In wild-type plants, AtCAP2 localizes to the
PVC as a peripheral membrane protein and in the PVC compartment recruits
glyceraldehyde-3-phosphate dehydrogenase C2 (GAPC2) to the PVC. We propose that
AtCAP2 contributes to LV biogenesis during early plant development by supporting
the trafficking of specific proteins involved in the PSV-to-LV transition and LV
acidification during early stages of plant development.
PMID- 29378958
TI - TRPV1 channels and the progesterone receptor Sig-1R interact to regulate pain.
AB - The Transient Receptor Potential Vanilloid 1 (TRPV1) ion channel is expressed in
nociceptors where, when activated by chemical or thermal stimuli, it functions as
an important transducer of painful and itch-related stimuli. Although the
interaction of TRPV1 with proteins that regulate its function has been previously
explored, their modulation by chaperones has not been elucidated, as is the case
for other mammalian TRP channels. Here we show that TRPV1 physically interacts
with the Sigma 1 Receptor (Sig-1R), a chaperone that binds progesterone, an
antagonist of Sig-1R and an important neurosteroid associated to the modulation
of pain. Antagonism of Sig-1R by progesterone results in the down-regulation of
TRPV1 expression in the plasma membrane of sensory neurons and, consequently, a
decrease in capsaicin-induced nociceptive responses. This is observed both in
males treated with a synthetic antagonist of Sig-1R and in pregnant females where
progesterone levels are elevated. This constitutes a previously undescribed
mechanism by which TRPV1-dependent nociception and pain can be regulated.
PMID- 29378959
TI - Effects of rapamycin on growth hormone receptor knockout mice.
AB - It is well documented that inhibition of mTORC1 (defined by Raptor), a complex of
mechanistic target of rapamycin (mTOR), extends life span, but less is known
about the mechanisms by which mTORC2 (defined by Rictor) impacts longevity. Here,
rapamycin (an inhibitor of mTOR) was used in GHR-KO (growth hormone receptor
knockout) mice, which have suppressed mTORC1 and up-regulated mTORC2 signaling,
to determine the effect of concurrently decreased mTORC1 and mTORC2 signaling on
life span. We found that rapamycin extended life span in control normal (N) mice,
whereas it had the opposite effect in GHR-KO mice. In the rapamycin-treated GHR
KO mice, mTORC2 signaling was reduced without further inhibition of mTORC1 in the
liver, muscle, and s.c. fat. Glucose and lipid homeostasis were impaired, and old
GHR-KO mice treated with rapamycin lost functional immune cells and had increased
inflammation. In GHR-KO MEF cells, knockdown of Rictor, but not Raptor, decreased
mTORC2 signaling. We conclude that drastic reduction of mTORC2 plays important
roles in impaired longevity in GHR-KO mice via disruption of whole-body
homeostasis.
PMID- 29378960
TI - Lysosomal enzyme tripeptidyl peptidase 1 destabilizes fibrillar Abeta by multiple
endoproteolytic cleavages within the beta-sheet domain.
AB - Accumulation of amyloid-beta (Abeta), which is associated with Alzheimer's
disease, can be caused by excess production or insufficient clearance. Because of
its beta-sheet structure, fibrillar Abeta is resistant to proteolysis, which
would contribute to slow degradation of Abeta plaques in vivo. Fibrillar Abeta
can be internalized by microglia, which are the scavenger cells of the brain, but
the fibrils are degraded only slowly in microglial lysosomes. Cathepsin B is a
lysosomal protease that has been shown to proteolyze fibrillar Abeta. Tripeptidyl
peptidase 1 (TPP1), a lysosomal serine protease, possesses endopeptidase activity
and has been shown to cleave peptides between hydrophobic residues. Herein, we
demonstrate that TPP1 is able to proteolyze fibrillar Abeta efficiently. Mass
spectrometry analysis of peptides released from fibrillar Abeta digested with
TPP1 reveals several endoproteolytic cleavages including some within beta-sheet
regions that are important for fibril formation. Using molecular dynamics
simulations, we demonstrate that these cleavages destabilize fibrillar beta-sheet
structure. The demonstration that TPP1 can degrade fibrillar forms of Abeta
provides insight into the turnover of fibrillar Abeta and may lead to new
therapeutic methods to increase degradation of Abeta plaques.
PMID- 29378961
TI - Myopic (HD-PTP, PTPN23) selectively regulates synaptic neuropeptide release.
AB - Neurotransmission is mediated by synaptic exocytosis of neuropeptide-containing
dense-core vesicles (DCVs) and small-molecule transmitter-containing small
synaptic vesicles (SSVs). Exocytosis of both vesicle types depends on Ca2+ and
shared secretory proteins. Here, we show that increasing or decreasing expression
of Myopic (mop, HD-PTP, PTPN23), a Bro1 domain-containing pseudophosphatase
implicated in neuronal development and neuropeptide gene expression, increases
synaptic neuropeptide stores at the Drosophila neuromuscular junction (NMJ). This
occurs without altering DCV content or transport, but synaptic DCV number and age
are increased. The effect on synaptic neuropeptide stores is accounted for by
inhibition of activity-induced Ca2+-dependent neuropeptide release. cAMP-evoked
Ca2+-independent synaptic neuropeptide release also requires optimal Myopic
expression, showing that Myopic affects the DCV secretory machinery shared by
cAMP and Ca2+ pathways. Presynaptic Myopic is abundant at early endosomes, but
interaction with the endosomal sorting complex required for transport III (ESCRT
III) protein (CHMP4/Shrub) that mediates Myopic's effect on neuron pruning is not
required for control of neuropeptide release. Remarkably, in contrast to the
effect on DCVs, Myopic does not affect release from SSVs. Therefore, Myopic
selectively regulates synaptic DCV exocytosis that mediates peptidergic
transmission at the NMJ.
PMID- 29378963
TI - Sirt4 is a mitochondrial regulator of metabolism and lifespan in Drosophila
melanogaster.
AB - Sirtuins are an evolutionarily conserved family of NAD+-dependent deacylases that
control metabolism, stress response, genomic stability, and longevity. Here, we
show the sole mitochondrial sirtuin in Drosophila melanogaster, Sirt4, regulates
energy homeostasis and longevity. Sirt4 knockout flies have a short lifespan,
with increased sensitivity to starvation and decreased fertility and activity. In
contrast, flies overexpressing Sirt4 either ubiquitously or specifically in the
fat body are long-lived. Despite rapid starvation, Sirt4 knockout flies
paradoxically maintain elevated levels of energy reserves, including lipids,
glycogen, and trehalose, while fasting, suggesting an inability to properly
catabolize stored energy. Metabolomic analysis indicates several specific
pathways are affected in Sirt4 knockout flies, including glycolysis, branched
chain amino acid metabolism, and impaired catabolism of fatty acids with chain
length C18 or greater. Together, these phenotypes point to a role for Sirt4 in
mediating the organismal response to fasting, and ensuring metabolic homeostasis
and longevity.
PMID- 29378962
TI - Disruption of the anaphase-promoting complex confers resistance to TTK inhibitors
in triple-negative breast cancer.
AB - TTK protein kinase (TTK), also known as Monopolar spindle 1 (MPS1), is a key
regulator of the spindle assembly checkpoint (SAC), which functions to maintain
genomic integrity. TTK has emerged as a promising therapeutic target in human
cancers, including triple-negative breast cancer (TNBC). Several TTK inhibitors
(TTKis) are being evaluated in clinical trials, and an understanding of the
mechanisms mediating TTKi sensitivity and resistance could inform the successful
development of this class of agents. We evaluated the cellular effects of the
potent clinical TTKi CFI-402257 in TNBC models. CFI-402257 induced apoptosis and
potentiated aneuploidy in TNBC lines by accelerating progression through mitosis
and inducing mitotic segregation errors. We used genome-wide CRISPR/Cas9 screens
in multiple TNBC cell lines to identify mechanisms of resistance to CFI-402257.
Our functional genomic screens identified members of the anaphase-promoting
complex/cyclosome (APC/C) complex, which promotes mitotic progression following
inactivation of the SAC. Several screen candidates were validated to confer
resistance to CFI-402257 and other TTKis using CRISPR/Cas9 and siRNA methods.
These findings extend the observation that impairment of the APC/C enables cells
to tolerate genomic instability caused by SAC inactivation, and support the
notion that a measure of APC/C function could predict the response to TTK
inhibition. Indeed, an APC/C gene expression signature is significantly
associated with CFI-402257 response in breast and lung adenocarcinoma cell line
panels. This expression signature, along with somatic alterations in genes
involved in mitotic progression, represent potential biomarkers that could be
evaluated in ongoing clinical trials of CFI-402257 or other TTKis.
PMID- 29378964
TI - Stimulus generalization as a mechanism for learning to trust.
AB - How do humans learn to trust unfamiliar others? Decisions in the absence of
direct knowledge rely on our ability to generalize from past experiences and are
often shaped by the degree of similarity between prior experience and novel
situations. Here, we leverage a stimulus generalization framework to examine how
perceptual similarity between known individuals and unfamiliar strangers shapes
social learning. In a behavioral study, subjects play an iterative trust game
with three partners who exhibit highly trustworthy, somewhat trustworthy, or
highly untrustworthy behavior. After learning who can be trusted, subjects select
new partners for a second game. Unbeknownst to subjects, each potential new
partner was parametrically morphed with one of the three original players.
Results reveal that subjects prefer to play with strangers who implicitly
resemble the original player they previously learned was trustworthy and avoid
playing with strangers resembling the untrustworthy player. These decisions to
trust or distrust strangers formed a generalization gradient that converged
toward baseline as perceptual similarity to the original player diminished. In a
second imaging experiment we replicate these behavioral gradients and leverage
multivariate pattern similarity analyses to reveal that a tuning profile of
activation patterns in the amygdala selectively captures increasing perceptions
of untrustworthiness. We additionally observe that within the caudate adaptive
choices to trust rely on neural activation patterns similar to those elicited
when learning about unrelated, but perceptually familiar, individuals. Together,
these findings suggest an associative learning mechanism efficiently deploys
moral information encoded from past experiences to guide future choice.
PMID- 29378965
TI - Disruption of the ciliary GTPase Arl13b suppresses Sonic hedgehog overactivation
and inhibits medulloblastoma formation.
AB - Medulloblastoma (MB) is the most common malignant pediatric brain tumor, and
overactivation of the Sonic Hedgehog (Shh) signaling pathway, which requires the
primary cilium, causes 30% of MBs. Current treatments have known negative side
effects or resistance mechanisms, so new treatments are necessary. Shh signaling
mutations, like those that remove Patched1 (Ptch1) or activate Smoothened (Smo),
cause tumors dependent on the presence of cilia. Genetic ablation of cilia
prevents these tumors by removing Gli activator, but cilia are a poor therapeutic
target since they support many biological processes. A more appropriate strategy
would be to identify a protein that functionally disentangles Gli activation and
ciliogenesis. Our mechanistic understanding of the ciliary GTPase Arl13b predicts
that it could be such a target. Arl13b mutants retain short cilia, and loss of
Arl13b results in ligand-independent, constitutive, low-level pathway activation
but prevents maximal signaling without disrupting Gli repressor. Here, we show
that deletion of Arl13b reduced Shh signaling levels in the presence of oncogenic
SmoA1, suggesting Arl13b acts downstream of known tumor resistance mechanisms.
Knockdown of ARL13B in human MB cell lines and in primary mouse MB cell culture
decreased proliferation. Importantly, loss of Arl13b in a Ptch1-deleted mouse
model of MB inhibited tumor formation. Postnatal depletion of Arl13b does not
lead to any overt phenotypes in the epidermis, liver, or cerebellum. Thus, our in
vivo and in vitro studies demonstrate that disruption of Arl13b inhibits cilia
dependent oncogenic Shh overactivation.
PMID- 29378966
TI - Economic value of ecological information in ecosystem-based natural resource
management depends on exploitation history.
AB - Ecosystem approaches to natural resource management are seen as a way to provide
better outcomes for ecosystems and for people, yet the nature and strength of
interactions among ecosystem components is usually unknown. Here we characterize
the economic benefits of ecological knowledge through a simple model of fisheries
that target a predator (piscivore) and its prey. We solve for the management
(harvest) trajectory that maximizes net present value (NPV) for different
ecological interactions and initial conditions that represent different levels of
exploitation history. Optimal management trajectories generally approached
similar harvest levels, but the pathways toward those levels varied considerably
by ecological scenario. Application of the wrong harvest trajectory, which would
happen if one type of ecological interaction were assumed but in fact another
were occurring, generally led to only modest reductions in NPV. However, the
risks were not equal across fleets: risks of incurring large losses of NPV and
missing management targets were much higher in the fishery targeting piscivores,
especially when piscivores were heavily depleted. Our findings suggest that the
ecosystem approach might provide the greatest benefits when used to identify
system states where management performs poorly with imperfect knowledge of system
linkages so that management strategies can be adopted to avoid those states.
PMID- 29378967
TI - Neutrophils recruited through high endothelial venules of the lymph nodes via
PNAd intercept disseminating Staphylococcus aureus.
AB - Staphylococcus aureus is a skin- and respiratory tract-colonizing bacterium and
is the leading cause of community-acquired skin infections. Dissemination of
these bacteria into systemic circulation causes bacteremia, which has a high
mortality rate. Therefore, understanding the immunologic barriers that prevent
dissemination is critical to developing novel treatments. In this study, we
demonstrate that an S. aureus breach across skin leads to some migration of the
pathogen to the draining lymph node, but no further. While subcapsular sinus
(SCS) macrophage in lymph nodes were important in detaining S. aureus, a rapid
complement-dependent neutrophil recruitment (independent of the SCS macrophage)
via high endothelial venules (HEVs) resulted in high numbers of neutrophils that
intercepted the bacteria in the lymph nodes. Peripheral Node Addressin together
with its two ligands, L-selectin and platelet P-selectin, are critical for
recruiting neutrophils via the HEVs. Almost no neutrophils entered the lymph
nodes via lymphatics. Neutrophils actively phagocytosed S. aureus and helped
sterilize the lymph nodes and prevent dissemination to blood and other organs.
PMID- 29378969
TI - Ocean acidification affects coral growth by reducing skeletal density.
AB - Ocean acidification (OA) is considered an important threat to coral reef
ecosystems, because it reduces the availability of carbonate ions that reef
building corals need to produce their skeletons. However, while theory predicts
that coral calcification rates decline as carbonate ion concentrations decrease,
this prediction is not consistently borne out in laboratory manipulation
experiments or in studies of corals inhabiting naturally low-pH reefs today. The
skeletal growth of corals consists of two distinct processes: extension (upward
growth) and densification (lateral thickening). Here, we show that skeletal
density is directly sensitive to changes in seawater carbonate ion concentration
and thus, to OA, whereas extension is not. We present a numerical model of
Porites skeletal growth that links skeletal density with the external seawater
environment via its influence on the chemistry of coral calcifying fluid. We
validate the model using existing coral skeletal datasets from six Porites
species collected across five reef sites and use this framework to project the
impact of 21st century OA on Porites skeletal density across the global tropics.
Our model predicts that OA alone will drive up to 20.3 +/- 5.4% decline in the
skeletal density of reef-building Porites corals.
PMID- 29378971
TI - Triangular cyclic rotaxanes: Size, fluctuations, and switching properties.
AB - We examine one of the simplest cyclic rotaxanes-a molecule made from three rods
with variable length between 0 and L. This [3]rotaxane, unlike a traditional
molecule, shows significant size and shape fluctuations. We quantify these using
a number of different measures. In particular, we show that the average angles
are [Formula: see text], and [Formula: see text] and the most populated lengths
lie at [Formula: see text], and [Formula: see text] The triangles are usually
obtuse. We discuss the area allowed within the triangle for inclusion compounds.
Inspired by the linear rotaxane switches, we also consider the statistical
mechanics of switching when stations with attractive interactions promote small
cycle areas.
PMID- 29378968
TI - Hippocampal expression of a virus-derived protein impairs memory in mice.
AB - The analysis of the biology of neurotropic viruses, notably of their interference
with cellular signaling, provides a useful tool to get further insight into the
role of specific pathways in the control of behavioral functions. Here, we
exploited the natural property of a viral protein identified as a major effector
of behavioral disorders during infection. We used the phosphoprotein (P) of Borna
disease virus, which acts as a decoy substrate for protein kinase C (PKC) when
expressed in neurons and disrupts synaptic plasticity. By a lentiviral-based
strategy, we directed the singled-out expression of P in the dentate gyrus of the
hippocampus and we examined its impact on mouse behavior. Mice expressing the P
protein displayed increased anxiety and impaired long-term memory in contextual
and spatial memory tasks. Interestingly, these effects were dependent on P
protein phosphorylation by PKC, as expression of a mutant form of P devoid of its
PKC phosphorylation sites had no effect on these behaviors. We also revealed
features of behavioral impairment induced by P protein expression but that were
independent of its phosphorylation by PKC. Altogether, our findings provide
insight into the behavioral correlates of viral infection, as well as into the
impact of virus-mediated alterations of the PKC pathway on behavioral functions.
PMID- 29378972
TI - Impact of the Use of Intravascular Imaging on Patients Who Underwent Orbital
Atherectomy.
AB - OBJECTIVES: We assessed the impact of intravascular ultrasound (IVUS)/optical
coherence tomography (OCT) on outcomes of patients who underwent orbital
atherectomy. BACKGROUND: Intravascular imaging provides enhanced lesion
morphology assessment and optimization of percutaneous coronary intervention
(PCI) outcomes. Severe coronary artery calcification increases the complexity of
PCI and is associated with worse clinical outcomes. Orbital atherectomy modifies
calcified plaque, facilitating stent delivery and optimizing stent expansion. The
impact of IVUS/OCT on clinical outcomes after orbital atherectomy is unknown.
METHODS: Of the 458 consecutive real-world patients in our retrospective
multicenter registry, a total of 138 patients (30.1%) underwent orbital
atherectomy with IVUS/OCT. The primary safety endpoint was the rate of 30-day
major adverse cardiac and cerebrovascular events, comprised of death, myocardial
infarction (MI), target-vessel revascularization (TVR), and stroke. RESULTS: The
IVUS/OCT group and no-imaging group had similar rates of the primary endpoint
(1.5% vs 2.5%; P=.48) as well as death (1.5% vs 1.3%; P=.86), MI (1.5% vs 0.9%;
P=.63), TVR (0% vs 0%; P=NS), and stroke (0% vs 0.3%; P=.51). The 30-day stent
thrombosis rates were low in both groups (0.7% vs 0.9%; P=.82). Emergent coronary
artery bypass graft surgery was uncommonly performed in both groups (0.0% vs
0.9%; P=.25). CONCLUSION: Orbital atherectomy guided by intravascular imaging is
feasible and safe. A large prospective randomized trial is needed to determine
the clinical benefit of IVUS/OCT during PCI with orbital atherectomy.
PMID- 29378970
TI - Landau-Ginzburg theory of cortex dynamics: Scale-free avalanches emerge at the
edge of synchronization.
AB - Understanding the origin, nature, and functional significance of complex patterns
of neural activity, as recorded by diverse electrophysiological and neuroimaging
techniques, is a central challenge in neuroscience. Such patterns include
collective oscillations emerging out of neural synchronization as well as highly
heterogeneous outbursts of activity interspersed by periods of quiescence, called
"neuronal avalanches." Much debate has been generated about the possible scale
invariance or criticality of such avalanches and its relevance for brain
function. Aimed at shedding light onto this, here we analyze the large-scale
collective properties of the cortex by using a mesoscopic approach following the
principle of parsimony of Landau-Ginzburg. Our model is similar to that of Wilson
Cowan for neural dynamics but crucially, includes stochasticity and space;
synaptic plasticity and inhibition are considered as possible regulatory
mechanisms. Detailed analyses uncover a phase diagram including down-state,
synchronous, asynchronous, and up-state phases and reveal that empirical findings
for neuronal avalanches are consistently reproduced by tuning our model to the
edge of synchronization. This reveals that the putative criticality of cortical
dynamics does not correspond to a quiescent-to-active phase transition as usually
assumed in theoretical approaches but to a synchronization phase transition, at
which incipient oscillations and scale-free avalanches coexist. Furthermore, our
model also accounts for up and down states as they occur (e.g., during deep
sleep). This approach constitutes a framework to rationalize the possible
collective phases and phase transitions of cortical networks in simple terms,
thus helping to shed light on basic aspects of brain functioning from a very
broad perspective.
PMID- 29378973
TI - Multimodality Imaging and Percutaneous Closure of a Large Left Main Coronary
Artery to Superior Vena Cava Fistula.
AB - Images illustrate 3D reconstruction CT showing fistula arising from the left main
coronary artery draining into the superior vena cava. Additional angiographic
images show the fistula before and after percutaneous closure with Amplatzer
Vascular plugs.
PMID- 29378974
TI - Coronary Artery Straightening Causing Acute Severe Mitral Regurgitation.
AB - A 79-year-old woman had an atretic LIMA to LAD but without significant LAD
stenosis, patent SVG to OM1, patent SVG to RCA, and severe tandem lesions in a
very tortuous LCX for which she underwent PCI. Placement of a BMW coronary
guidewire into the LCX resulted in the straightening of the vessel.
PMID- 29378975
TI - Unusual Case of Three Total Occlusions.
AB - This case highlights the value of extensive coronary collaterals in maintaining
myocardial viability in severe coronary artery disease, and the role of cardiac
MRI in guiding revascularization decisions.
PMID- 29378976
TI - Thromboembolic Occlusion of the Left Coronary Artery During Transcatheter Aortic
Valve Implantation.
AB - Non-cerebral thromboembolic complications of transcatheter aortic valve
implantation are rare, but life threatening. We report a 62-year-old woman with
aortic stenosis who qualified for TAVI due to obesity and developed hypotension
and bradycardia as a result of thromboembolic occlusion of the LCA.
PMID- 29378977
TI - Real-time classification of auditory sentences using evoked cortical activity in
humans.
AB - OBJECTIVE: Recent research has characterized the anatomical and functional basis
of speech perception in the human auditory cortex. These advances have made it
possible to decode speech information from activity in brain regions like the
superior temporal gyrus, but no published work has demonstrated this ability in
real-time, which is necessary for neuroprosthetic brain-computer interfaces.
APPROACH: Here, we introduce a real-time neural speech recognition (rtNSR)
software package, which was used to classify spoken input from high-resolution
electrocorticography signals in real-time. We tested the system with two human
subjects implanted with electrode arrays over the lateral brain surface. Subjects
listened to multiple repetitions of ten sentences, and rtNSR classified what was
heard in real-time from neural activity patterns using direct sentence-level and
HMM-based phoneme-level classification schemes. MAIN RESULTS: We observed single
trial sentence classification accuracies of [Formula: see text] or higher for
each subject with less than 7 minutes of training data, demonstrating the ability
of rtNSR to use cortical recordings to perform accurate real-time speech decoding
in a limited vocabulary setting. SIGNIFICANCE: Further development and testing of
the package with different speech paradigms could influence the design of future
speech neuroprosthetic applications.
PMID- 29378978
TI - Fluorescence properties of Yb3+ - Er3+ co-doped
phosphate glasses containing silver nanoparticles.
AB - Er 3+ - Yb 3+ co-doped phosphate glasses, also
containing silver nitrate (SN), were fabricated. Transmission electron microscopy
(TEM) and X-ray photoelectron spectroscopy (XPS) analyses were used to evidence
the nucleation and presence of silver nanoparticles (SNP).
The basic
parameters of the glasses were inspected by means of absorption and fluorescence
spectra and fluorescence lifetimes under "indirect" excitation at 916 nm (in-band
of Yb3+) and at 406 nm (in-band of surface plasmon resonance
given by the presence of SNP). The spectra as well as estimates for the basic
parameters defining the lasing/amplifying potential of these glasses were studied
in function of SN concentration. The experimental results indicate that by
increasing the SN content an enhancement of Er3+ /
Yb3+ fluorescence is produced.
PMID- 29378979
TI - The translucency effect of different colored resin cements used with zirconia
core and titanium abutments.
AB - PURPOSE: The purpose of this study was to evaluate the effect of the different
color of resin cements and zirconia cores on the translucency parameter (TP) of
the restoration that simulates the implant-supported fixed prosthesis using
titanium base on the bottom. MATERIALS AND METHODS: Zirconia core plates (Zr
Zahn) were prepared in A2 shades (n = 11). Resin cement plates (3M ESPE) were
prepared in A2, A1, translucent (TR) shades (n = 11). The initial color
measurements and were measured on zirconia core plates, and resin cements plates
using a spectrophotometer. Then, the resin cement plates were placed below the
zirconia core plates, and the second measurements were done. The final
measurements were done after placing the titanium discs in the bottom. The data
were analyzed with two-way analysis of variance and Tukey honestly significant
differences tests (alpha = 0.05). RESULTS: The highest TP values were recorded
for A1-colored resin specimens and the lowest for zirconia core plates in the
first measurement (P < 0.05). The addition of zirconia core decreased the TP
values in all tested resin cement groups (P < 0.05). The highest TP value was
recorded for A1-colored resin cement with zirconia core plates and the lowest for
A2 and TR with zirconia core plates after second measurements (P < 0.05). The
addition of titanium decreased the TP of the zirconia core plate and resin cement
combination (P < 0.05). CONCLUSIONS: The addition of a zirconia core under the
resin cement dramatically reduced the TP values, and the presence of a titanium
layer decreased the TP value and caused a darker appearance.
PMID- 29378980
TI - The prevalence of osteoporosis among antenatal clinic attendees in a rural
mission hospital in South-East Nigeria.
AB - INTRODUCTION: Osteoporosis is a global public health problem characterized by
reduction of bone mineral density (BMD). This study aimed to assess the
prevalence of osteoporosis among antenatal clinic attendees in a rural
Southeastern hospital. MATERIAL AND METHODS: This was a cross-sectional study of
booking Antenatal Clinic Attendees at Mile 4 Catholic Hospital, Abakaliki,
between October 2014 and February 2015. The study participants were selected
through systematic random sampling. The BMD of the right calcaneal bone of the
participants was measured using the OsteoPro, a Quantitative ultrasound scan.
RESULTS: A total of 327 eligible women participated in the study. The average age
of the participants was 29 +/- 4.5 years. The average parity was 2 +/- 1.6
childbirths. The mean T-score was -1.19 +/- 4.9. Osteoporosis and osteopenia were
recorded in 119 women (36.4%) and 56 women (17.1%), respectively, whereas 152
(46.5%) were within normal range. History of regular exercise by the respondents
is statistically significant on the reduction of osteopenia and osteoporosis (P
<= 0.05). The history of ever use of calcium supplementation by the study
participants in the index pregnancy did not have any significant effect on the
reduction of osteoporosis. CONCLUSION: There is a high prevalence of osteoporosis
among pregnant women in Southeast Nigeria. This may be due to the predominant
poor adherence and low dose of calcium supplementation among pregnant women in
this environment. Therefore, there is an urgent need for sensitization on this
public health problem.
PMID- 29378981
TI - Evaluation of antimicrobial and thermal effects of diode laser on root canal
dentin.
AB - OBJECTIVES: The aim of this study was to evaluate the antimicrobial effects of
diode laser and temperature rise on the root surface during application.
MATERIALS AND METHODS: Thirty-six teeth were chemomechanically prepared and
irrigated with 2.5% sodium hypochlorite and 17% ethylenediaminetetraacetic acid,
and then autoclaved and incubated with a suspension of Enterococcus faecalis. The
specimens were randomly divided into three groups (n = 12): Group 1, irradiated
by diode laser at 1.2 W; Group 2, irradiated by diode laser at 2 W; and Group 3,
irradiated by diode laser at 3 W. The grown bacteria were counted and the mean
numbers of the each test tube were determined. The temperature was measured on
the external apical third of the root during laser application. The mean values
of results for each group were compared using one-way analysis of variance and
Tukey test. RESULTS: No significant difference was obtained among the test groups
in terms of the colony counts (P > 0.05). According to the temperature changes,
there was a significant difference between groups (P < 0.05). Temperature rises
were 16.79 degrees C, 10.20 degrees C, and 6.25 degrees C in Group 3, Group 2,
and Group 1, respectively. CONCLUSION: Diode laser irradiation with 1.2 W
demonstrated comparable performance with 2 W and 3 W power sets for elimination
of E. faecalis from root canal with less temperature rise.
PMID- 29378982
TI - An evaluation of effects of platelet-rich-fibrin on postoperative morbidities
after lower third molar surgery.
AB - OBJECTIVES: The aim of the present study was to assess whether the use of
platelet-rich fibrin (PRF) decreased the pain, swelling, and trismus levels of
postoperative third molar surgery. MATERIALS AND METHODS: In a double-blinded,
split-mouth randomized study, thirty patients (6 male/24 female, mean age 20.32
years) with bilateral symmetric impacted third molars were enrolled in this study
to receive surgery. The PRF mass was randomly placed in one of the extraction
sockets, whereas the other socket was left without treatment. The outcome
variables were pain, maximum mouth opening (trismus), swelling (edema), and the
presence of dry socket which were measured using a 10-point visual analog scale,
manual calipers, and 3dMD facial imaging system which was used for the 1st time
in the third molar surgery. RESULTS: Statistical analyses revealed that there
were no significant differences between the control and study groups regarding
postoperative pain, swelling, and trismus (P > 0.05). CONCLUSION: The results of
this study suggest that PRF was not observed to have a positive effect on
postoperative discomfort, so even though, PRF is presumed to have positive
effects on healing and recovery processes.
PMID- 29378983
TI - Time interval to initiation of contraceptive methods following childbirth in a
low-resource setting.
AB - OBJECTIVES: The objectives of the study were to determine factors affecting the
interval between a woman's last childbirth and the initiation of contraception.
MATERIALS AND METHODS: This was a retrospective study. Family planning clinic
records of the Barau Dikko Teaching Hospital Kaduna from January 2000 to March
2014 were retrieved. Information was collected on demographics, reproductive, and
contraceptive history. Data were analyzed using the SPSS version 15 software, and
missing responses were excluded. Chi-square was used as a test of association
with significance level established at P = 0.05. RESULTS: A total of 5992
client's cards were retrieved. All were female and married. Majority were aged 25
34 years (53.1%), had completed secondary education (56%) and were Muslims
(52.3%). Only 4979 cards (83.1%) had correct data on intervals and 22.1% of these
clients initiated contraception within 6 months of their last childbirth.
Education, religion, source of information, number of living children, desire to
have more children, previous use of contraception, and type of contraception
chosen were significantly associated with intervals for initiating contraception
after last childbirth (P < 0.05) while the presence of complications in the last
delivery was not (P > 0.05). CONCLUSIONS: Majority of women initiate
contraception late after childbirth in this setting. Increased awareness on
immediate/early postpartum contraception is required. Further qualitative studies
will help to explore findings of this study.
PMID- 29378984
TI - Risk factors for diabetes mellitus among adult residents of a rural District in
Southern Nigeria: Implications for prevention and control.
AB - INTRODUCTION: Diabetes Mellitus is a non-communicable disease that affects people
worldwide and poses major public health and socioeconomic challenges. METHODS:
This was a descriptive cross-sectional community based survey carried out in
Abua, a rural district located in the Niger Delta region of Nigeria among 462
adults recruited through multi-stage sampling. Data was collected using the
structured WHO STEPS instrument for Chronic Disease Risk Factor Surveillance. The
questionnaire included questions that assessed socio-demographic characteristics,
diabetic risk factors, anthropometric measures, and biochemical parameters.
Fasting blood glucose and blood pressure was measured using the WHO
recommendations. RESULTS: Equal number of males and females aged between 18 and
82 years were recruited. Mean age of 40.4614.36 years and median age of 38.5
years. The prevalence of diabetes mellitus was 37 (8.0%), of which 28 (6.1%) were
previously diagnosed while 9 (1.9%) were newly diagnosed. Alcohol intake (AOR =
10.69; 95% CI = 2.60-43.87; P = 0.001) physical activity (AOR = 4.78; 95% CI =
1.16-19.65; P = 0.03), diastolic blood pressure (AOR= 32.67; 95% CI = 3.68
289.65; P = 0.002), age and family history of DM showed significant independent
association (OR 1.09, 95% CI: 0.000, P < 0.001, OR 0.072, 95% CI: 0.014-0.380, P
= 0.007) with diabetes mellitus. CONCLUSION: Study findings underscore the need
for diabetes prevention and control activities that address the four major risk
factors identified by WHO. These interventions will positively impact prevalence
of diabetes and other NCDs. Intervention strategies should not only target urban
populations but also focus on education and health promotion among rural
populations in a bid to forestall rising prevalence of diabetes.
PMID- 29378985
TI - Evaluation of accessory mental foramina morphology with cone-beam computed
tomography.
AB - BACKGROUND: Accessory mental foramen (AMF) is the extra mental foramen (MF)
located in the mandible. The recognition of AMF is important to avoid
complications during surgical procedures involving MF and cheeks. AIM: This study
aimed to determine the prevalence, localization, and size of AMF by using cone
beam computed tomography (CBCT). MATERIALS AND METHODS: CBCT images of 645
patients over 13-14 years (male 281; female 364) were retrospectively evaluated.
The CBCT images were obtained using Promax 3D(r) (Planmeca, Helsinki, Finland)
device with automated exposure parameters varying depending on the cases.
Statistical analysis was performed using descriptive statistics, chi-square test
and t-test. RESULTS: AMF was detected in 75 (11.6%) patients. There was no
significant difference between the presence of AMF and gender (P = 0.57, P >
0.05). Majority of the cases (n = 67, 88.8%) consisted of single AMF, while
double AMF was observed in 6 (10%) and triple AMF in 2 (1.2%) patients. The most
common location of AMF with respect to MF was posterio-inferior (n = 57, 67.1%),
and AMF placed at the root line of first molar tooth in 45 cases (52.9%).
CONCLUSION: AMF can be seen in the mandible one in every ten patients being
single in most cases. Considering the high prevalence and present morphological
features, AMF should be detected by CBCT before surgical operations, particularly
in implant planning for the prevention of possible complications.
PMID- 29378986
TI - Evaluation of apically extruded debris and irrigant using different file systems.
AB - OBJECTIVE: The aim of this study was to evaluate the amount of apically extruded
debris and irrigant during cleaning and shaping with different file systems.
MATERIALS AND METHODS: Seventy-five extracted mandibular premolar and canine
teeth were randomly divided into five groups (n = 15). The root canals were
instrumented by using Mtwo (VDW, Munich, Germany), Twisted File (TF; Sybron Endo,
Orange, California, USA), Reciproc (VDW), Self-Adjusting File (SAF; Re Dent-Nova,
Ra'anana, Israel) systems, and K-type stainless steel hand file (Mani Inc.,
Tochigi, Japan). Apically extruded debris was collected and dried in preweighed
Eppendorf tubes. Extruded irrigant was collected from the cannula by using a
plastic insulin syringe. After drying, the amount of apically extruded debris was
established by subtracting the initial weight from the final weight of the tubes.
After performing the Kolmogorov-Smirnov test, data were analyzed by Kruskall
Wallis test at a significance level of P value less than 0.05. RESULTS: There
were no statistically significant differences between the file systems in terms
of debris and irrigant extrusion. Mtwo group produced the highest mean debris
extrusion value and the TF group produced the less compared with the other files.
The least mean irrigant extrusion value was associated with the SAF group,
whereas the highest mean irrigant extrusion value was associated with the
Reciproc group. CONCLUSION: Under the conditions of the study, all file systems
were associated with apical debris and irrigant extrusion.
PMID- 29378987
TI - Incidence of postoperative residual paralysis in a nigerian teaching hospital.
AB - BACKGROUND: Postoperative residual paralysis (PORP) is a known risk factor after
general anesthesia (GA) for critical respiratory events and increased
postoperative morbidity. PORP is defined as a train-of-four ratio (TOFR) of <0.9
using acceleromyography (AMG). TOFR <0.9 has been associated with increased risk
of aspiration, obstruction of the upper airway and an impaired hypoxic
ventilatory response. AIM: The aim of this study was to determine the incidence
of PORP, associated factors related with its occurrence and critical respiratory
events in the postanesthesia recovery room (PAR) at our institution. METHODOLOGY:
Forty-one adult patients were scheduled for elective surgeries requiring GA with
the use of at least 1 dose of a nondepolarizing neuromuscular blocking drug
(NMBD). An independent anesthetist quantitatively measured TOFR of recruited
patients postoperatively in the recovery room using the TOF-watch SX
acceleromyograph (Organon Teknika) 5 min after arrival. RESULTS: The incidence of
PORP was 75.6% (n = 31), with severe PORP (TOFR <0.7) seen in 41.5% (n = 17) of
patients. Median time to full recovery in the PAR was 33 min (range 5-164 min).
There was no statistical difference in the incidence of PORP related to the
choice of NMBD (P = 0.186) or duration of surgery (P = 0.175). No respiratory
complications or events were observed in patients with residual blockade.
CONCLUSION: The incidence of PORP is quite high and undetected in our
environment. Quantitative monitoring for residual paralysis is advocated as part
of routine monitoring with the use of NMBDs for improved patient safety.
PMID- 29378988
TI - Dentin permeability of carious primary teeth.
AB - BACKGROUND: Many in vitro studies have used dentine permeability to evaluate the
efficacy of various restorative and preventative procedures. The easiest way to
evaluate dentine permeability is to calculate its hydraulic conductance (Lp)
using fluid filtration methods. Research has examined electronic hydraulic
conductance measurement methods that give more precise and reliable results for
the permeability of dentine than the classical method. To our knowledge, no study
has examined the dentine permeability of carious primary teeth. AIM: This in
vitro study determined the dentine permeability of carious primary molars
precisely with a new electronic hydraulic conductance measurement system and
compared them with healthy primary molars. DESIGN: The study examined 60 carious
and noncarious primary second molars with no restorations, fractures, or cracks
at different root resorption stages. RESULTS: The results showed that the dentine
permeability increased with the severity of caries in primary teeth. CONCLUSION:
The dentine permeability of carious primary teeth was higher than that of
noncarious primary teeth. Therefore, treatment of primary teeth should be
performed more quickly.
PMID- 29378989
TI - Investigation of In vitro Mineral forming bacterial isolates from supragingival
calculus.
AB - AIM: Although it is known that bacterial mechanisms are involved in dental
calculus formation, which is a predisposing factor in periodontal diseases, there
have been few studies of such associations, and therefore, information available
is limited. The purpose of this study was to isolate and identify aerobic
bacteria responsible for direct calcification from supragingival calculus
samples. MATERIALS AND METHODS: The study was conducted using supragingival
calculus samples from patients with periodontal disease, which was required as
part of conventional treatment. Isolations were performed by sampling the
supragingival calculus with buffer and inoculating the samples on media on which
crystallization could be observed. The 16S recombinant DNA of the obtained pure
cultures was then amplified and sequenced. RESULTS: A few bacterial species that
have not previously been associated with mineralization or identified on
bacterial plaque or calculus were detected. The bacteria that caused
mineralization an aerobic environment are identified as Neisseria flava,
Aggregatibacter segnis, Streptococcus tigurinus, and Morococcus cerebrosus.
CONCLUSION: These findings proved that bacteria potentially play a role in the
etiopathology of supragingival calculus. The association between the effects of
the identified bacteria on periodontal diseases and calculus formation requires
further studies.
PMID- 29378990
TI - Prevalence and correlates of complementary and alternative medicine use among
cancer patients in usmanu danfodiyo university teaching hospital, Sokoto,
Nigeria.
AB - BACKGROUND: The rate of complementary and alternative medicine (CAM) use among
cancer patients is on the increase worldwide. This is due to the innate urge
among humans to try new and alternative ways of medicine, especially where
conventional medicine failed to provide satisfactory solution such as in sickle
cell disease and cancer. OBJECTIVE: To assess the prevalence and correlates of
CAM use among cancer patients in Usmanu Danfodiyo University Teaching Hospital
(UDUTH), Sokoto, Nigeria. MATERIALS AND METHODS: A cross-sectional study was
conducted among 240 cancer patients selected by systematic sampling technique
from July to September 2016. Data were collected using a semi-structured
standardized questionnaire. RESULTS: The mean age of the study participants was
45 +/- 13.7 years. Majority, 159 (66.3%) of the 240 respondents, were CAM users,
with the most common methods being prayer (30.8%) and herbal therapy (28.3%).
Majority of CAM users (64.2%) did not derive any benefit from CAM use, but rather
reported adverse effects such as nausea and vomiting (52.5%) and diarrhea
(44.2%). Physicians were unaware of CAM use in most cases (87.4%), and this was
majorly attributed to the physicians not asking them about CAM use. Male sex and
absence of comorbidities were the predictors of CAM use identified. CONCLUSION:
The prevalence of CAM use is high among cancer patients in UDUTH, Sokoto,
Nigeria, but the physicians were largely unaware of CAM use due to communication
gap. These findings underscore the need for physicians to consistently ask their
patients on CAM use, while government should enact laws regulating CAM use in
Nigeria.
PMID- 29378991
TI - Postoperative analgesia using bupivacaine wound infiltration with intravenous
tramadol or dexamethasone following obstetric spinal anaesthesia.
AB - CONTEXT: Effective management of postcesarean section (CS) pain is important for
the well-being of mother and child; even in limited-resource areas, there are
drug options which can be explored to achieve this. AIM: This study aimed to
compare the analgesic effects of a combination of bupivacaine wound infiltration
with either intravenous (IV) dexamethasone or tramadol after CS. SETTING AND
DESIGN: This study was a randomized, double-blind, comparative study in a
tertiary hospital. Clearance obtained from the Institution's Ethics and Research
Committee. METHODS: One hundred and twenty American Society of Anesthesiologists
I or II pregnant women scheduled for CS under spinal anesthesia were recruited
after giving consent. At the end of skin closure, all the patients received 20 ml
of 0.1% plain bupivacaine for wound infiltration and IV dexamethasone 8 mg (Group
BD) or tramadol 100 mg (Group BT). Outcome measures were time to first analgesic
request, visual analog scale (VAS) scores, side effects, and patients'
satisfaction. RESULTS: Time to first analgesic request was 3.2 +/- 1.87 and 3.3
+/- 2.01 h for BD and BT groups, respectively (P = 0.778). VAS scores for the
first 2 h were lower in the bupivacaine/tramadol group compared to
bupivacaine/dexamethasone group; the differences were statistically significant
at 30 and 60 min (P = 0.027 and 0.008), respectively. Ninety percent versus 93%
of the patients in BD and BT groups, respectively, expressed good to excellent
satisfaction with pain relief. CONCLUSION: Combination of bupivacaine wound
infiltration and IV tramadol provided better quality pain relief.
PMID- 29378992
TI - Learning transurethral resection of the prostate: A comparison of the weight of
resected specimen to the weight of enucleated specimen in open prostatectomy.
AB - BACKGROUND: Minimally invasive procedures in the surgical management of benign
prostate enlargement (BPE) are of limited use in the resource-poor settings due
to nonavailability of the requisite facilities and skills. It has been observed
that teaching uroendoscopy inclusive of transurethral resection of the prostate
(TURP) can be challenging in the resource-poor settings where the traditional
master-apprentice (Halstedian) approach has remained the prevalent training
technique. PATIENTS AND METHODS: We aimed in this retrospective study to assess
completeness of resection in TURP by comparing the proportion of prostate tissue
resected to the proportion enucleated in open retropubic prostatectomy (ORP). We
included all BPE patients on urethral catheter managed in the first 18 months
after Halstedian training in TURP. The analysis was done using SPSS(r) 20 and
VassarStats(r) online software. RESULTS: Twenty patients' files for TURP and
twenty-eight patients' files for ORP met the inclusion criteria. Patients in the
2 treatment arms were similar in age (P = 0.22), body mass index (P = 0.45),
proportion of prostate tissue extirpated (P = 0.38), and International Prostate
Symptom Score 12-month postprocedure (P = 0.06). However, larger prostates were
treated with ORP (P < 0.0005). The correlation of the weight of resected specimen
to preoperative prostate volume (PV) (r = 0.78; P < 0.001) was similar to that of
enucleated specimen to preoperative PV (r = 0.89; P < 0.001). Similarly, the
proportion of extirpated specimen correlated positively with the preoperative PVs
for both TURP (r = 0.23; P = 0.33) and ORP (r = 0.292; P = 0.13), with no
evidence of any difference between the 2 correlation values (P = 0.84).
CONCLUSION: With appropriate patient selection, especially as a newly trained
Surgeon, resections in TURP are as complete as enucleations in ORP.
PMID- 29378993
TI - Heat-cured acrylic resin versus light-activated resin: a patient, professional
and technician-based evaluation of mandibular implant-supported overdentures.
AB - CONTEXT: Although light-activated resins (Eclipse) have been reported to possess
superior physical and mechanical properties compared with the heat-cured acrylic
resins (Lucitone-199), a few studies have compared overdentures with a locator
attachment constructed from heat-cured acrylic resins with those constructed from
light-activated resins. AIMS: This clinical study was designed to compare the
performance of a mandibular implant-supported overdenture constructed from a heat
cured acrylic resin (Lucitone-199) with that of an overdenture constructed from a
light-activated resin (Eclipse). MATERIALS AND METHODS: Ten participants received
two identical mandibular implant-retained overdentures (Lucitone-199 and Eclipse)
opposing one maxillary denture in a random order. Each mandibular overdenture was
delivered and worn for 6 months, and two weeks of rest was advised between wears
to minimize any carryover effects. Three questionnaires were devised. The first
questionnaire (patient evaluation) focused on evaluating different aspects of the
denture and overall satisfaction. The second questionnaire (professional dentist
evaluation) was based on a clinical evaluation of soft tissues, complications,
and the applied technique. The third questionnaire (technician evaluation)
involved ranking the different manufacturing steps of the denture and overall
preferences. The obtained data was statistically analyzed using an independent
sample t-test and the Wilcoxon rank-sum test. RESULTS: The clinician and
technician preferred the Eclipse dentures because of their technical aspects,
whereas the patients preferred the Lucitone-199 dentures for their aesthetic
properties. CONCLUSIONS: Implant-supported overdentures constructed from a heat
cured acrylic resin showed superior aesthetics and had a better odor compared
with those constructed from a light-cured resin.
PMID- 29378994
TI - Evaluation and comparison of mineral trioxide aggregate and biodentine in primary
tooth pulpotomy: Clinical and radiographic study.
AB - OBJECTIVES: Pulpotomy is the common therapy for cariously exposed pulps in
symptom-free primary molar teeth. For many years, researchers have searched for
an ideal material that allows regeneration of the residual pulp. The purpose of
this study was to evaluate the efficacy of mineral trioxide aggregate (MTA),
Biodentine as a pulpotomy medicament in primary teeth, both clinically and
radiographically. MATERIALS AND METHODS: A total of 25 children (50 human primary
molar teeth) aged between 5 and 9 years were selected in this randomized clinical
study. The patients were randomly assigned to receive the pulpotomy medicaments
in either [Group 1]: MTA or [Group 2]: Biodentine. All pulpotomized teeth were
restored with stainless steel crowns and evaluated clinically and radiologically
at 1, 3, 6 and 12 months. Statistical analysis using Mann-Whitney U test and
Fisher's exact test, and chi-square test was performed to determine the
significant differences between the groups. RESULTS: Clinical and radiological
success rates were 96 and 80% in Group 1 and 96 and 60% in Group 2, respectively.
There were no significant differences between the groups (P > 0.05). The
radiographic success rates decreased in the controls, but there were no
significant differences. CONCLUSION: Biodentine showed similar clinical and
radiographic results as MTA in the 12-month evaluation and can be safely used as
a pulpotomy medicament.
PMID- 29378995
TI - Evaluation of vertical marginal adaptation of provisional crowns by digital
microscope.
AB - OBJECTIVE: To investigate and compare the degree of vertical marginal discrepancy
of four provisional crown materials by digital microscope. MATERIALS AND METHODS:
A total of 100 provisional crowns were fabricated on standardized resin dies by
direct technique of provisional fabrication, using four different provisional
materials (n = 25): Provisionals Fabricated by Systemp(r) c and b II, ivoclar
vivadent, Schaan, Liechtenstein (group A); ProtempTM Plus, 3M ESPE, Neuss,
Germany (group B); Success CD, PROMEDICA, Neumunster, Germany (group C); and
Trim(r) Plus, Bosworth Company, Illinois, USA (group D). The provisional crowns
were finished, tried, and locked with a customized device under 15N of vertical
axial force. The vertical marginal discrepancy between the crown margin and the
resin die was measured in micrometers using digital microscope (KH-7700, Hirox
USA, Inc., NJ, USA) at mid of buccal, lingual, mesial, and distal margin areas by
a trained technician. RESULTS: The mean values obtained for each group were as
follows: group A = 129.10 +/- 41.64, group B = 123.36 +/- 40.94, group C = 89.67
+/- 25.34, and group D = 107.24 +/- 38.32. Assessment by post hoc Tukey's test at
5% significance level showed a statistically significant difference (P < 0.05)
between group C and group D with the other groups. Results of one-way ANOVA
showed a statistically nonsignificant difference (P > 0.05) between the means of
the four areas of measurement for each group. CONCLUSION: Marginal discrepancy of
the group C (Success CD) was the lowest among the provisionals tested. The mean
vertical marginal gap values for the materials tested were found to be with in
the clinically acceptable range (<130 MUm).
PMID- 29378996
TI - Cardiac Troponin T and creatine kinase MB fraction levels among patients with
acute ischemic stroke in Nigeria.
AB - BACKGROUND: Stroke has been a global burden, with increasing morbidity and
mortality. Serum cardiac troponin t (cTnT) and creatine kinase (CK-MB) fraction
are reported to be elevated in patients admitted with acute ischaemic stroke and
high level of these biomarkers indicated more severe stroke and neurologic
deficit in some of the patients. OBJECTIVE: To evaluate the serum levels cardiac
troponin t (cTnT) and creatine kinase MB fraction (CK-MB) in patients with acute
ischaemic stroke and relate the analytes to severity of stroke. METHOD: Patients
with clinical diagnosis of ischaemic stroke diagnosed, confirmed by brain
Computerized Tomography scan and equal number of apparently healthy age and sex
matched were recruited. Serum cardiac troponin t (cTnT) and creatine kinase MB
fraction (CK-MB) were analysed using ELISA method and Stroke severity was
determined using National Institute of Health Stroke Score (NIHSS). RESULTS: Mean
serum cardiac troponin t (cTnT) and creatine kinase MB fraction (CK-MB) in stroke
patients were found to be higher than age sex matched control (p<0.05). NIHS
Score of 12.2 +/- 5.43 and 9.78 +/- 3.97 were observed in Patients with elevated
and normal cTnT respectively (p=0.009) while NIHS Score were similar in patients
with elevated and normal CK-MB (p = 0.772). CONCLUSION: The mean values of serum
cTnT and CK-MB were higher in acute ischaemic stroke patients compared to
controls. Serum cardiac Troponin t level may be a significant biomarker of the
severity of stroke.
PMID- 29378997
TI - Ultrasound guided percutaneous nephrostomy: Experience at ahmadu bello university
teaching hospital, Zaria.
AB - BACKGROUND: Obstructive uropathy is a common problem in urologic practice;
temporary relief of obstruction in the upper tract poses a significant challenge.
Ultrasound-guided percutaneous nephrostomy (PCN) is an option for upper tract
drainage; compared to fluoroscopic guidance, it is readily available, affordable,
and not associated with radiation exposure. We present our experience with
ultrasound-guided PCN. PATIENTS AND METHODS: We studied all patients who had
ultrasound-guided PCN in our center between January 2013 and January 2017.
Information obtained included the patients' demographics, clinical details,
primary pathology, indications, outcome, and complications within 30 days.
Relevant data were extracted and analyzed using descriptive statistics. RESULTS:
A total number of 35 PCNs were performed in 26 patients within the period of
study. The median age was 44.5 years. There were 17 females and 9 males. About
88.2% of the females had ureteric obstruction from advanced carcinoma of the
cervix while the predominant cause of obstruction in the males was advanced
carcinoma of the bladder. Kidney access under ultrasound guidance required well
dilated collecting systems for success and ease of puncture. The most common
complication was hematuria, which resolved within 24-48 h in all patients
uneventfully. CONCLUSION: PCN is an important and common procedure for temporary
relief of upper urinary tract obstruction. While fluoroscopic guidance provides
superior image guidance, ultrasound guidance is comparatively reliable, albeit
with a longer learning curve. Adequate training, careful patients selection, and
patience are key to success.
PMID- 29378998
TI - The efficacy of hyaluronic acid in postextraction sockets of impacted third
molars: A pilot study.
AB - OBJECTIVE: This study aims to evaluate the effectiveness of local hyaluronic acid
(HA) administration to surgically remove impacted third molar sockets and measure
pain, swelling, and trismus. MATERIALS AND METHODS: The study included a total of
25 healthy patients aged 18-29 years with asymptomatic bilaterally impacted lower
third molars. All cases have been performed under local anesthesia. In the study
group, 0.8% HA (Gengigel(r)) was applied in the postextraction sockets of the
right third molars and in the control group nothing was applied to the extraction
sockets of the left third molars. Postoperative pain, trismus, and swelling were
evaluated on the 1st, 3rd, and 7th postoperative days. RESULTS: No difference was
determined between groups in facial swelling and maximum mouth opening. However,
the amount of pain significantly reduced in HA groups according to visual analog
scale (P = 0.001). CONCLUSION: The results of this study showed that HA can
produce an analgesic action in postextraction sockets after surgical removal of
impacted teeth and therefore it has a clinical benefit to reduce usage of
nonsteroidal anti-inflammatory drugs after dentoalveolar surgery.
PMID- 29378999
TI - Normative and subjective need for orthodontic treatment within different age
groups in a population in Turkey.
AB - OBJECTIVE: To evaluate and compare the normative and subjective need for
orthodontic treatment within different age groups in Turkey. METHODS: One
thousand and sixteen patients from seven different demographic regions of Turkey
(Marmara, Black Sea, East Anatolia, Southeastern Anatolia, Mediterranean, Aegean,
and Central Anatolia Region) (mean age +/- SD: 12.80 +/- 3.57 years) were
randomly selected and divided into six age groups (7-8,9-10,11-12,13-14,15-16,
and 17-18 year-olds) and categorized according to the dental health component
(DHC) of the index for orthodontic treatment need (IOTN). Additionally, the
patients were asked to indicate the photograph that was most similar to their own
dentition from the 10-point scale of the aesthetic component of IOTN. RESULTS:
The DHC of IOTN was not significantly different between the six age groups (P >
0.05). However, no/slight need (aesthetic component 1-4) for orthodontic
treatment according to AC of IOTN was significantly higher in 13-14,15-16, and 17
18 age groups than 7-8, 9-10, and 11-12 age groups (P < 0.05). No sex differences
were found in both DHC and aesthetic component of IOTN between age groups (P >
0.05). CONCLUSION: The normative need distribution was homogeneous within all the
age groups according to DHC. However, the subjective need for orthodontic
treatment was higher in the younger age groups.
PMID- 29379000
TI - Eyelash extension use among female students in a Tertiary Institution in Nigeria:
A study of kaduna polytechnic, Kaduna.
AB - BACKGROUND: Eyelash extensions involve the attachment of synthetic eyelashes made
of chemical fibers or other materials onto natural eyelashes. It has become
common practice among Nigerian women for various reasons. Aim/Objectives: The aim
is to assess eyelash extension use among students of a higher institution in
Nigeria, the reasons for its use and related eye complications. MATERIALS AND
METHODS: This is a cross-sectional descriptive study using structured self
administered questionnaire. Stratified sampling technique was used. The key items
in the questionnaire were sociodemographic variables, knowledge and use of
eyelashes extension, reasons for the use of eyelashes extension and eye
symptoms/complications experienced during such use. A total of 310questionnaires
were completely and correctly filled. The results were analyzed using SPSS
version 23.0 statistical package. RESULTS: There were 310 respondents; the age
range was 16-52 with a mean of 23.0 years +/- 4.8. The prevalence of eyelash use
was 38.7%. Beauty was the most common reason for lash extension 56.1% (n = 174).
Others were curiosity, peer pressure, and replacement therapy. The most common
complication was itching 45.8% (n = 142). Others were redness, pain, heavy
eyelids, loss of lashes, casting of shadow in vision, tearing, burning sensation,
foreign body sensation, and boils (stye) on the eyelid. CONCLUSION: Eyelash
extension use is popular among young female students of higher institutions
commonly for esthetic reasons (often because they desire to become more
beautiful). Majority of them experience one ocular symptom or the other.
Attention should, therefore, be paid to the potential health risk of the
procedure and its use should be made much safer for our women.
PMID- 29379001
TI - Influence of blood contamination during multimode adhesive application on the
microtensile bond strength to dentin.
AB - OBJECTIVES: The present study evaluated the effects of blood contamination
performed at different steps of bonding on the microtensile bond strength (MUTBS)
of multimode adhesives to dentin when using the self-etch approach. MATERIALS AND
METHODS: Seventy-five molars were randomly assigned to three adhesive groups
comprising 25 specimens each: two multimode adhesives [Single Bond Universal
(SBU) and All-Bond Universal (ABU)] and a conventional one-step self-etch
adhesive [Clearfil S3 Bond Plus (CSBP)]. Each group was subdivided as follows:
(1) uncontaminated (control): bonding application/light curing as a positive
control; (2) contamination-1 (cont-1): bonding application/light curing/blood
contamination/dry as a negative control; (3) contamination-2 (cont-2): bonding
application/light curing/blood contamination/rinse/dry; (4) contamination-3 (cont
3): bonding application/blood contamination/dry/bonding re-application/light
curing; and (5) contamination-4 (cont-4): bonding application/blood
contamination/rinse/dry/bonding re-application/light curing. Dentin specimens
were prepared for MUTBS testing after the composite resin application. Data were
analyzed with two-way ANOVA and post-hoc tests (alpha = 0.05). RESULTS: MUTBS
values were similar in cont-3 groups, and ABU/cont-4 and corresponding control
groups, but were significantly lower in the other groups than in their control
groups (P < 0.05). Cont-1 groups showed the lowest MUTBS values (P < 0.05).
CONCLUSIONS: Neither decontamination method prevented the decrease in MUTBS when
contamination occurred after light curing. Drying the blood contaminants and
reapplying the adhesive may regain the dentin adhesion when contamination occurs
before light curing. Alternatively, rinsing and drying contaminants followed by
adhesive re-application may be effective depending on adhesive type.
PMID- 29379002
TI - Bilateral simultaneous macular infarction with spontaneous visual recovery in
genotype ss hemoglobinopathy patient.
AB - To report the rare and dramatic event of bilateral macular infarction in a sickle
cell hemoglobinopathy (SS genotype) patient, resulting in bilateral severe
reduction in visual acuity. Without any intervention, the patient's vision
gradually improved over the follow-up period. Central visual field defects
however persisted. A 21-year-old male Nigerian, presented with a 1-week history
of bilateral sudden painless loss of vision. His symptom was associated with
fever, feeling of heaviness in the chest and head, and a dizzy spell. Visual
acuity was reduced to 20/200 in both eyes and near acuity was; right eye: N24,
left eye: N36. Funduscopy showed a pale, milky white, thickened retinal patch
superotemporal to the fovea in both eyes. Fluorescein Angiograph: revealed
features consistent with occlusion of the parafoveal terminal arterioles in both
eyes. Although he did not receive any ocular treatment, and exchange blood
transfusion was not done, he regained near-normal visual acuity in both eyes over
a 17-month follow-up period, central visual field defects persisted in both eyes.
Visual recovery in this patient demonstrates that macular function could improve
over time following macular ischemia, without any treatment. Patients and caring
physicians should be aware of this possibility.
PMID- 29379003
TI - Ligneous periodontitis in a child with plasminogen deficiency.
AB - Ligneous periodontitis (LP), a rare periodontal disease, is seen secondary to
plasminogen deficiency and fibrin deposition. It is characterized by nodular
gingival enlargements and progressive destructive membranous periodontal disease.
It generally ends with the early loss of teeth. Defective fibrinolysis and
abnormal wound healing is the main pathogenesis of this rare disease and should
be considered different from other mucosal systemic disorders. In this case
report, we describe the management of ligneous periodontitis and ligneous
conjunctivitis developing secondary to plasminogen deficiency in a 6-year-old
girl.
PMID- 29379004
TI - Pneumomediastinum and Pneumothorax Associated with Herpes Simplex Virus (HSV)
Pneumonia.
AB - BACKGROUND Pneumonia is one of the most common causes of death from infectious
disease in the United States (US). Although most cases of community-acquired
pneumonia (CAP) are secondary to bacterial infection, up to one-third of cases
are secondary to viral infection, most commonly due to rhinovirus and influenza
virus. Pneumonia due to herpes simplex virus (HSV) is rare, and there is limited
knowledge of the pathogenesis and clinical complications. This report is of a
fatal case of HSV pneumonia associated with bilateral pneumothorax and
pneumomediastinum. CASE REPORT A 36-year-old homeless male Hispanic patient, who
was a chronic smoker, with a history of intravenous drug abuse and a medical
history of chronic hepatitis C virus (HCV) and human immunodeficiency virus (HIV)
infection, not on highly active antiretroviral therapy (HAART), was admitted to
hospital as an emergency with a seven-day history of productive purulent cough.
The patient was admitted to the medical intensive care unit (MICU) with a
diagnosis of CAP, with intubation and mechanical ventilation. Broncho-alveolar
lavage (BAL) was performed and was positive for HSV. The patient developed
bilateral pneumothorax with pneumomediastinum, which was fatal, despite
aggressive clinical management. CONCLUSIONS Pneumonia due to HSV infection is
uncommon but has a high mortality. Although HSV pneumonia has been described in
immunocompromised patients, further studies are required to determine the
pathogenesis, early detection, identification of patients who are at risk and to
determine the most effective approaches to prophylaxis and treatment for HSV
pneumonia.
PMID- 29379005
TI - Association of Single-Nucleotide Polymorphisms of C-Reactive Protein Gene with
Susceptibility to Infantile Sepsis in Southern China.
AB - BACKGROUND C-reactive protein (CRP) is an important biomarker of sepsis. Several
single-nucleotide polymorphisms (SNPs) in the CRP gene can determine plasma CRP
levels and are risk factors in many diseases, such as cancer, arteritis, and
diabetes. However, it is unknown whether polymorphisms in CRP are associated with
susceptibility to and outcome of infantile sepsis. We explored the effect of
these SNPs on CRP response in infantile sepsis, and compared genetic data on
patients with sepsis. MATERIAL AND METHODS A total of 49 infants with sepsis and
20 healthy infants were enrolled during hospitalization, and 3 SNPs in the CRP
gene region (rs1205, rs2808530, and rs3091244) were genotyped and then analyzed
for associations with CRP levels and sepsis. RESULTS The CRP means concentration
results showed that mean CRP concentration was different in the 4 groups
(healthy, sepsis, severe sepsis, and septic shock) and was positively correlated
with the severity of infantile sepsis. There was also a difference in CRP SNP
rs1205 between infants with septic shock and healthy infants, and between infants
with septic shock and infants with sepsis. No differences were observed in SNP
rs2808630 and SNP rs3091244. CONCLUSIONS Our study suggests that rs1205 genetic
variability in the CRP gene determines the CRP levels in sepsis of different
severities, while SNP rs3091244 and SNP rs2808630 are not associated with sepsis.
However, the results of the present study on SNP rs1205, rs3091244, and rs2808630
in the CRP gene should be interpreted with caution due to limited sample size and
sample heterogeneity. Large-scale, well-designed studies are needed to validate
our findings.
PMID- 29379006
TI - Long-Term Outcomes of Ante-Situm Resection and Auto-Transplantation in
Conventionally Unresectable Hepatocellular Carcinoma: A Single-Center Experience.
AB - BACKGROUND Ante-situm resection and auto-transplantation (ante-situm for short)
provides a more aggressive approach to conventionally unresectable hepatocellular
carcinoma (HCC). We described the long-term outcomes of patients with HCCs who
underwent this technique. MATERIAL AND METHODS Between October 2005 and December
2016, we performed 23 ante-situm liver resections. We evaluated postoperative
complications, 90-day mortality, recurrence, and long-term survival rates, and
reviewed the literature on this topic. RESULTS Five types of complications
associated with six patients were observed.: 1) primary nonfunctioning liver,
thus receiving a liver transplantation; 2) initial poor liver function with
recovery two weeks after treatment; 3) diagnoses of portal vein tumor thrombosis,
biliary fistula, and small-for-size syndrome, respectively. The median follow-up
was 3.6 years; 12 out of 23 patients were alive at the end of the study. One
patient who had hepatic recurrence was lost to follow-up after three months. One
patient died of multiple organ dysfunction syndrome after the operation, nine
patients died due to hepatic recurrence and/or extrahepatic metastasis of HCC.
The one-year, three-year, five-year, and 10-year survival rates were 65.2%,
56.5%, 50.9%, and 20.3%, respectively. The one-year, three-year, five-year, and
10-year recurrence rates were 60.9%, 50.7%, 50.7%, and 50.7%, respectively. The
chi-square test revealed the patients with recurrence after ante-situm technique
were more likely to have poor prognosis (mortality of patients with recurrence
versus no-recurrence: 88.9% versus 14.3%, p<0.05) and a strong association was
evidenced by Cramer's V statistic (Cramer's V=0.734). CONCLUSIONS Ante-situm
procedure showed benefits in select patients with HCCs who had contraindications
for conventional resection operations. In our case series, the ante-situm
technique resulted in lower mortality compared to other ex-vivo hepatic resection
techniques reported in the literature and similar long-term efficacy compared to
cases of HCCs suitable for conventional resections. HCCs recurrence was a major
risk factor associated with the survival rate of ante-situm technique.
PMID- 29379007
TI - Dual catalysis for enantioselective convergent synthesis of enantiopure vicinal
amino alcohols.
AB - Enantiopure vicinal amino alcohols and derivatives are essential structural
motifs in natural products and pharmaceutically active molecules, and serve as
main chiral sources in asymmetric synthesis. Currently known asymmetric catalytic
protocols for this class of compounds are still rare and often suffer from
limited scope of substrates, relatively low regio- or stereoselectivities, thus
prompting the development of more effective methodologies. Herein we report a
dual catalytic strategy for the convergent enantioselective synthesis of vicinal
amino alcohols. The method features a radical-type Zimmerman-Traxler transition
state formed from a rare earth metal with a nitrone and an aromatic ketyl radical
in the presence of chiral N,N'-dioxide ligands. In addition to high level of
enantio- and diastereoselectivities, our synthetic protocol affords advantages of
simple operation, mild conditions, high-yielding, and a broad scope of
substrates. Furthermore, this protocol has been successfully applied to the
concise synthesis of pharmaceutically valuable compounds (e.g., ephedrine and
selegiline).
PMID- 29379008
TI - An artificial nociceptor based on a diffusive memristor.
AB - A nociceptor is a critical and special receptor of a sensory neuron that is able
to detect noxious stimulus and provide a rapid warning to the central nervous
system to start the motor response in the human body and humanoid robotics. It
differs from other common sensory receptors with its key features and functions,
including the "no adaptation" and "sensitization" phenomena. In this study, we
propose and experimentally demonstrate an artificial nociceptor based on a
diffusive memristor with critical dynamics for the first time. Using this
artificial nociceptor, we further built an artificial sensory alarm system to
experimentally demonstrate the feasibility and simplicity of integrating such
novel artificial nociceptor devices in artificial intelligence systems, such as
humanoid robots.
PMID- 29379009
TI - The SAM domain of mouse SAMHD1 is critical for its activation and regulation.
AB - Human SAMHD1 (hSAMHD1) is a retroviral restriction factor that blocks HIV-1
infection by depleting the cellular nucleotides required for viral reverse
transcription. SAMHD1 is allosterically activated by nucleotides that induce
assembly of the active tetramer. Although the catalytic core of hSAMHD1 has been
studied extensively, previous structures have not captured the regulatory SAM
domain. Here we report the crystal structure of full-length SAMHD1 by capturing
mouse SAMHD1 (mSAMHD1) structures in three different nucleotide bound states.
Although mSAMHD1 and hSAMHD1 are highly similar in sequence and function, we find
that mSAMHD1 possesses a more complex nucleotide-induced activation process,
highlighting the regulatory role of the SAM domain. Our results provide insights
into the regulation of SAMHD1 activity, thereby facilitating the improvement of
HIV mouse models and the development of new therapies for certain cancers and
autoimmune diseases.
PMID- 29379010
TI - Isoliquiritigenin blunts osteoarthritis by inhibition of bone resorption and
angiogenesis in subchondral bone.
AB - Isoliquiritigenin (ISL), a natural flavonoid extracted from licorice, has been
demonstrated to exert attenuation of osteoclastogenesis and anti-angiogenesis
activity in a wide variety of cells. Here, we first evaluated the effects of ISL
on pathogenesis of osteoarthritis in a mouse model of OA. The data showed that
ISL blunted progression of OA and lowered the Osteoarthritis Research Society
International (OARSI)-Modified Making Score and protected the articular
cartilage. The thickness of calcified cartilage zone was significantly decreased
in ISL-treated ACLT mice compared with vehicle group. ISL increased expression
level of lubricin and decreased collagen X (Col X), matrix metalloproteinase-13
(MMP-13). Moreover, ISL reduced aberrant active subchondral bone remodelling,
including lowered trabecular pattern factor (Tb.pf) and increased bone
volume/tissue volume (BV/TV, %) and thickness of subchondral bone plate (SBP)
compared with vehicle-treated group. The results of immunostaining further
revealed that ISL directly reduced RANKL-RANK-TRAF6 singling pathway induced
osteoclastogenesis, prevented abnormal bone formation through indirect inhibition
of TGF-beta release. Additionally, ISL exerts anti-angiogenesis effects in
subchondral bone through direct suppression of MMP-2. These results indicated
that ISL attenuates progression of OA by inhibition of bone resorption and
angiogenesis in subchondral bone, indicating that this may be a potential
preventive therapy for OA.
PMID- 29379012
TI - Antimicrobial activity of apple cider vinegar against Escherichia coli,
Staphylococcus aureus and Candida albicans; downregulating cytokine and microbial
protein expression.
AB - The global escalation in antibiotic resistance cases means alternative
antimicrobials are essential. The aim of this study was to investigate the
antimicrobial capacity of apple cider vinegar (ACV) against E. coli, S. aureus
and C. albicans. The minimum dilution of ACV required for growth inhibition
varied for each microbial species. For C. albicans, a 1/2 ACV had the strongest
effect, S. aureus, a 1/25 dilution ACV was required, whereas for E-coli cultures,
a 1/50 ACV dilution was required (p < 0.05). Monocyte co-culture with microbes
alongside ACV resulted in dose dependent downregulation of inflammatory cytokines
(TNFalpha, IL-6). Results are expressed as percentage decreases in cytokine
secretion comparing ACV treated with non-ACV treated monocytes cultured with E
coli (TNFalpha, 99.2%; IL-6, 98%), S. aureus (TNFalpha, 90%; IL-6, 83%) and C.
albicans (TNFalpha, 83.3%; IL-6, 90.1%) respectively. Proteomic analyses of
microbes demonstrated that ACV impaired cell integrity, organelles and protein
expression. ACV treatment resulted in an absence in expression of DNA starvation
protein, citrate synthase, isocitrate and malate dehydrogenases in E-coli;
chaperone protein DNak and ftsz in S. aureus and pyruvate kinase, 6
phosphogluconate dehydrogenase, fructose bisphosphate were among the enzymes
absent in C.albican cultures. The results demonstrate ACV has multiple
antimicrobial potential with clinical therapeutic implications.
PMID- 29379011
TI - Streamlined ex vivo and in vivo genome editing in mouse embryos using recombinant
adeno-associated viruses.
AB - Recent advances using CRISPR-Cas9 approaches have dramatically enhanced the ease
for genetic manipulation in rodents. Notwithstanding, the methods to deliver
nucleic acids into pre-implantation embryos have hardly changed since the
original description of mouse transgenesis more than 30 years ago. Here we report
a novel strategy to generate genetically modified mice by transduction of CRISPR
Cas9 components into pre-implantation mouse embryos via recombinant adeno
associated viruses (rAAVs). Using this approach, we efficiently generated a
variety of targeted mutations in explanted embryos, including indel events
produced by non-homologous end joining and tailored mutations using homology
directed repair. We also achieved gene modification in vivo by direct delivery of
rAAV particles into the oviduct of pregnant females. Our approach greatly
simplifies the generation of genetically modified mice and, more importantly,
opens the door for streamlined gene editing in other mammalian species.
PMID- 29379013
TI - Effects of Distal Mutations on the Structure, Dynamics and Catalysis of Human
Monoacylglycerol Lipase.
AB - An understanding of how conformational dynamics modulates function and catalysis
of human monoacylglycerol lipase (hMGL), an important pharmaceutical target, can
facilitate the development of novel ligands with potential therapeutic value.
Here, we report the discovery and characterization of an allosteric, regulatory
hMGL site comprised of residues Trp-289 and Leu-232 that reside over 18 A away
from the catalytic triad. These residues were identified as critical mediators of
long-range communication and as important contributors to the integrity of the
hMGL structure. Nonconservative replacements of Trp-289 or Leu-232 triggered
concerted motions of structurally distinct regions with a significant
conformational shift toward inactive states and dramatic loss in catalytic
efficiency of the enzyme. Using a multimethod approach, we show that the
dynamically relevant Trp-289 and Leu-232 residues serve as communication hubs
within an allosteric protein network that controls signal propagation to the
active site, and thus, regulates active-inactive interconversion of hMGL. Our
findings provide new insights into the mechanism of allosteric regulation of
lipase activity, in general, and may provide alternative drug design
possibilities.
PMID- 29379014
TI - Long-term graft function following autologous hematopoietic cell transplantation
and the impact of preemptive plerixafor in predicted poor mobilizers.
PMID- 29379015
TI - Membrane bending occurs at all stages of clathrin-coat assembly and defines
endocytic dynamics.
AB - Clathrin-mediated endocytosis (CME) internalizes plasma membrane by reshaping
small regions of the cell surface into spherical vesicles. The key mechanistic
question of how coat assembly produces membrane curvature has been studied with
molecular and cellular structural biology approaches, without direct
visualization of the process in living cells; resulting in two competing models
for membrane bending. Here we use polarized total internal reflection
fluorescence microscopy (pol-TIRF) combined with electron, atomic force, and
super-resolution optical microscopy to measure membrane curvature during CME.
Surprisingly, coat assembly accommodates membrane bending concurrent with or
after the assembly of the clathrin lattice. Once curvature began, CME proceeded
to scission with robust timing. Four color pol-TIRF showed that CALM accumulated
at high levels during membrane bending, implicating its auxiliary role in
curvature generation. We conclude that clathrin-coat assembly is versatile and
that multiple membrane-bending trajectories likely reflect the energetics of coat
assembly relative to competing forces.
PMID- 29379016
TI - Large magneto-thermopower in MnGe with topological spin texture.
AB - Quantum states characterized by nontrivial topology produce interesting
electrodynamics and versatile electronic functionalities. One source for such
remarkable phenomena is emergent electromagnetic field, which is the outcome of
interplay between topological spin structures with scalar spin chirality and
conduction electrons. However, it has scarcely been exploited for emergent
function related to heat-electricity conversion. Here we report an unusually
enhanced thermopower by application of magnetic field in MnGe hosting topological
spin textures. By considering all conceivable origins through quantitative
investigations of electronic structures and properties, a possible origin of
large magneto-thermopower is assigned to the strong energy dependence of charge
transport lifetime caused by unconventional carrier scattering via the dynamics
of emergent magnetic field. Furthermore, high-magnetic-field measurements
corroborate the presence of residual magnetic fluctuations even in the nominally
ferromagnetic region, leading to a subsisting behavior of field-enhanced
thermopower. The present finding may pave a way for thermoelectric function of
topological magnets.
PMID- 29379018
TI - Anderson localization of a one-dimensional quantum walker.
AB - We study the evolution of a system performing a one-dimensional quantum walk in
the presence of static phase disorder. The same model also describes the
propagation of classical light pulses in photonic mesh lattices. We study the
interplay between the coupling (i.e. the bias of the "quantum coin") and
disorder. We provide an exact analytical expression for the localization length
for two limiting cases of strong and weak phase disorder. In all the cases of
interest we supply numerical simulations for participation ratio, Lyapunov
exponent and the return probability as functions of the coupling parameter.
PMID- 29379017
TI - Hotspots of dendritic spine turnover facilitate clustered spine addition and
learning and memory.
AB - Modeling studies suggest that clustered structural plasticity of dendritic spines
is an efficient mechanism of information storage in cortical circuits. However,
why new clustered spines occur in specific locations and how their formation
relates to learning and memory (L&M) remain unclear. Using in vivo two-photon
microscopy, we track spine dynamics in retrosplenial cortex before, during, and
after two forms of episodic-like learning and find that spine turnover before
learning predicts future L&M performance, as well as the localization and rates
of spine clustering. Consistent with the idea that these measures are causally
related, a genetic manipulation that enhances spine turnover also enhances both
L&M and spine clustering. Biophysically inspired modeling suggests turnover
increases clustering, network sparsity, and memory capacity. These results
support a hotspot model where spine turnover is the driver for localization of
clustered spine formation, which serves to modulate network function, thus
influencing storage capacity and L&M.
PMID- 29379019
TI - One-stage technique for sagittal split ramus osteotomy combined with mandibular
angle ostectomy.
AB - Bilateral sagittal split ramus osteotomy (BSSRO) is commonly used to correct
mandibular prognathism or retrognathism. Patients with mandibular prognathism or
retrognathism may also present with a prominent mandibular angle. In this paper,
we share our experience on BSSRO with mandibular angle resection. Eleven patients
who were treated from July 2014 to December 2016 were included in this study. The
mandibular angle was resected through the medial side of the mandible after
BSSRO. The mandibular angle measurements of the patients changed significantly
after surgery (p < 0.05). Unanticipated fractures and mandibular hematoma did not
occur. Therefore, BSSRO combined with mandibular angle ostectomy through the
medial side of the mandible can be used to safely and effectively correct facial
deformity.
PMID- 29379020
TI - Molecular basis for the specific and multivariant recognitions of RNA substrates
by human hnRNP A2/B1.
AB - Human hnRNP A2/B1 is an RNA-binding protein that plays important roles in many
biological processes, including maturation, transport, and metabolism of mRNA,
and gene regulation of long noncoding RNAs. hnRNP A2/B1 was reported to control
the microRNAs sorting to exosomes and promote primary microRNA processing as a
potential m6A "reader." hnRNP A2/B1 contains two RNA recognition motifs that
provide sequence-specific recognition of RNA substrates. Here, we determine
crystal structures of tandem RRM domains of hnRNP A2/B1 in complex with various
RNA substrates, elucidating specific recognitions of AGG and UAG motifs by RRM1
and RRM2 domains, respectively. Further structural and biochemical results
demonstrate multivariant binding modes for sequence-diversified RNA substrates,
supporting a RNA matchmaker mechanism in hnRNP A2/B1 function. Moreover, our
studies in combination with bioinformatic analysis suggest that hnRNP A2/B1 may
mediate effects of m6A through a "m6A switch" mechanism, instead of acting as a
direct "reader" of m6A modification.
PMID- 29379021
TI - Dual-band Circular Polarizer Based on Simultaneous Anisotropy and Chirality in
Planar Metamaterial.
AB - Metamaterial of dual-square array is proposed to design a dual-band circular
polarizer. The novel design of asymmetric unit cell and layout of duplicate
arrays significantly enhances the coupling between electric and magnetic fields.
Simulation and measurement results show that the polarizer presents wide angle
circular dichroism and circular birefringence. Moreover, the polarization
conversion of the proposed metamaterial changes with frequency, incident angle,
and polarization of incident waves. The fundamental mechanism behind is concluded
to be the angle-dependent chirality and dispersion of our novel design.
PMID- 29379022
TI - Fused electron deficient semiconducting polymers for air stable electron
transport.
AB - Conventional semiconducting polymer synthesis typically involves transition metal
mediated coupling reactions that link aromatic units with single bonds along the
backbone. Rotation around these bonds contributes to conformational and energetic
disorder and therefore potentially limits charge delocalisation, whereas the use
of transition metals presents difficulties for sustainability and application in
biological environments. Here we show that a simple aldol condensation reaction
can prepare polymers where double bonds lock-in a rigid backbone conformation,
thus eliminating free rotation along the conjugated backbone. This polymerisation
route requires neither organometallic monomers nor transition metal catalysts and
offers a reliable design strategy to facilitate delocalisation of frontier
molecular orbitals, elimination of energetic disorder arising from rotational
torsion and allowing closer interchain electronic coupling. These characteristics
are desirable for high charge carrier mobilities. Our polymers with a high
electron affinity display long wavelength NIR absorption with air stable electron
transport in solution processed organic thin film transistors.
PMID- 29379023
TI - Competition between electron pairing and phase coherence in superconducting
interfaces.
AB - In LaAlO3/SrTiO3 heterostructures, a gate tunable superconducting electron gas is
confined in a quantum well at the interface between two insulating oxides.
Remarkably, the gas coexists with both magnetism and strong Rashba spin-orbit
coupling. However, both the origin of superconductivity and the nature of the
transition to the normal state over the whole doping range remain elusive. Here
we use resonant microwave transport to extract the superfluid stiffness and the
superconducting gap energy of the LaAlO3/SrTiO3 interface as a function of
carrier density. We show that the superconducting phase diagram of this system is
controlled by the competition between electron pairing and phase coherence. The
analysis of the superfluid density reveals that only a very small fraction of the
electrons condenses into the superconducting state. We propose that this
corresponds to the weak filling of high-energy dxz/dyz bands in the quantum well,
more apt to host superconductivity.
PMID- 29379024
TI - Isolated proton bunch acceleration by a petawatt laser pulse.
AB - Often, the interpretation of experiments concerning the manipulation of the
energy distribution of laser-accelerated ion bunches is complicated by the
multitude of competing dynamic processes simultaneously contributing to recorded
ion signals. Here we demonstrate experimentally the acceleration of a clean
proton bunch. This was achieved with a microscopic and three-dimensionally
confined near critical density plasma, which evolves from a 1 um diameter plastic
sphere, which is levitated and positioned with micrometer precision in the focus
of a Petawatt laser pulse. The emitted proton bunch is reproducibly observed with
central energies between 20 and 40 MeV and narrow energy spread (down to 25%)
showing almost no low-energetic background. Together with three-dimensional
particle-in-cell simulations we track the complete acceleration process,
evidencing the transition from organized acceleration to Coulomb repulsion. This
reveals limitations of current high power lasers and viable paths to optimize
laser-driven ion sources.
PMID- 29379025
TI - Shape evolution of ooids: a geometric model.
AB - Striking shapes in nature have been documented to result from chemical
precipitation - such as terraced hot springs and stromatolites - which often
proceeds via surface-normal growth. Another studied class of objects is those
whose shape evolves by physical abrasion - the primary example being river and
beach pebbles - which results in shape-dependent surface erosion. While shapes
may evolve in a self-similar manner, in neither growth nor erosion can a surface
remain invariant. Here we investigate a rare and beautiful geophysical problem
that combines both of these processes; the shape evolution of carbonate particles
known as ooids. We hypothesize that mineral precipitation, and erosion due to
wave-current transport, compete to give rise to novel and invariant geometric
forms. We show that a planar (2D) mathematical model built on this premise
predicts time-invariant (equilibrium) shapes that result from a balance between
precipitation and abrasion. These model results produce nontrivial shapes that
are consistent with mature ooids found in nature.
PMID- 29379026
TI - Adhesive Leaf Created by a Corona Discharge.
AB - Here, we report a new concept of both the adhesive manner and material, named
"adhesive leaf (AL)," based on the leaf of the plant Heteropanax fragrans. The
treatment of the corona discharge on the leaf surface can cause the nano
/microdestruction of the leaf epidermis, resulting in an outward release of sap.
The glucose-containing sap provided the AL with a unique ability to stick to
various substrates such as steel, polypropylene, and glass. Moreover, we reveal
that the AL adhesion strength depends on the AL size, as well as the corona
discharge intensity. Conventional adhesives, such as glue and bond, lose their
adhesive property and leave dirty residues upon the removal of the attached
material. Unlike the conventional methods, the AL is advantageous as it can be
repeatedly attached and detached thoroughly until the sap liquid is exhausted;
its adhesive ability is maintained for at least three weeks at room temperature.
Our findings shed light on a new concept of a biodegradable adhesive material
that is created by a simple surface treatment.
PMID- 29379027
TI - Nitrogen Fertilization Elevated Spatial Heterogeneity of Soil Microbial Biomass
Carbon and Nitrogen in Switchgrass and Gamagrass Croplands.
AB - The effects of intensive nitrogen (N) fertilizations on spatial distributions of
soil microbes in bioenergy croplands remain unknown. To quantify N fertilization
effect on spatial heterogeneity of soil microbial biomass carbon (MBC) and N
(MBN), we sampled top mineral horizon soils (0-15 cm) using a spatially explicit
design within two 15-m2 plots under three fertilization treatments in two
bioenergy croplands in a three-year long fertilization experiment in Middle
Tennessee, USA. The three fertilization treatments were no N input (NN), low N
input (LN: 84 kg N ha-1 in urea) and high N input (HN: 168 kg N ha-1 in urea).
The two crops were switchgrass (SG: Panicum virgatum L.) and gamagrass (GG:
Tripsacum dactyloides L.). Results showed that N fertilizations little altered
central tendencies of microbial variables but relative to LN, HN significantly
increased MBC and MBC:MBN (GG only). HN possessed the greatest within-plot
variances except for MBN (GG only). Spatial patterns were generally evident under
HN and LN plots and much less so under NN plots. Substantially contrasting
spatial variations were also identified between croplands (GG > SG) and among
variables (MBN, MBC:MBN > MBC). This study demonstrated that spatial
heterogeneity is elevated in microbial biomass of fertilized soils likely by
uneven fertilizer application in bioenergy crops.
PMID- 29379028
TI - An evolutionary NS1 mutation enhances Zika virus evasion of host interferon
induction.
AB - Virus-host interactions determine an infection outcome. The Asian lineage of Zika
virus (ZIKV), responsible for the recent epidemics, has fixed a mutation in the
NS1 gene after 2012 that enhances mosquito infection. Here we report that the
same mutation confers NS1 to inhibit interferon-beta induction. This mutation
enables NS1 binding to TBK1 and reduces TBK1 phosphorylation. Engineering the
mutation into a pre-epidemic ZIKV strain debilitates the virus for interferon
beta induction; reversing the mutation in an epidemic ZIKV strain invigorates the
virus for interferon-beta induction; these mutational effects are lost in IRF3
knockout cells. Additionally, ZIKV NS2A, NS2B, NS4A, NS4B, and NS5 can also
suppress interferon-beta production through targeting distinct components of the
RIG-I pathway; however, for these proteins, no antagonistic difference is
observed among various ZIKV strains. Our results support the mechanism that ZIKV
has accumulated mutation(s) that increases the ability to evade immune response
and potentiates infection and epidemics.
PMID- 29379029
TI - Molecular engineering of antibodies for site-specific covalent conjugation using
CRISPR/Cas9.
AB - Site-specific modification of antibodies has become a critical aspect in the
development of next-generation immunoconjugates meeting criteria of clinically
acceptable homogeneity, reproducibility, efficacy, ease of manufacturability, and
cost-effectiveness. Using CRISPR/Cas9 genomic editing, we developed a simple and
novel approach to produce site-specifically modified antibodies. A sortase tag
was genetically incorporated into the C-terminal end of the third immunoglobulin
heavy chain constant region (CH3) within a hybridoma cell line to manufacture
antibodies capable of site-specific conjugation. This enabled an effective
enzymatic site-controlled conjugation of fluorescent and radioactive cargoes to a
genetically tagged mAb without impairment of antigen binding activity. After
injection in mice, these immunoconjugates showed almost doubled specific
targeting in the lung vs. chemically conjugated maternal mAb, and concomitant
reduction in uptake in the liver and spleen. The approach outlined in this work
provides a facile method for the development of more homogeneous, reproducible,
effective, and scalable antibody conjugates for use as therapeutic and diagnostic
tools.
PMID- 29379030
TI - SPK1-transfected UCMSC has better therapeutic activity than UCMSC in the
treatment of experimental autoimmune encephalomyelitis model of Multiple
sclerosis.
AB - Multiple Sclerosis (MS), is a chronic inflammatory autoimmune disorder of the
central nervous system that leads to chronic demyelination with axonal damage and
neuronal loss. Mesenchymal stem cells (MSCs) represent a promising therapeutic
approach for MS. In the current study, we investigated the effects of MSCs
derived from the human umbilical cord (UCMSC) transfected by sphingosine kinase 1
(SPK1) gene. All the results showed that transplantation of UCMSCs gene modified
by SPK1 (UCMSC-SPK1) dramatically reduce the severity of neurological deficits of
the experimental autoimmune encephalomyelitis (EAE) mice, paralleling by
reductions in demyelination, axonal loss, and astrogliosis. UCMSC-SPK1
transplantation also could inhibit the development of natural killer (NK)
responses in the spleen of EAE mice, and increase the ratio of CD4+ CD25+ FoxP3+
(Treg) T cells. Furthermore, we described that a shift in the cytokine response
from Th1/Th17 to Th2 was an underlying mechanism that suppressed CNS
autoimmunity. UCMSCs transfected by SPK1 gene potentially offer a novel mode for
the treatment of MS, and the specific mechanism of SPK1 in treating MS/EAE.
PMID- 29379031
TI - Microbial fuel cell assisted band gap narrowed TiO2 for visible light-induced
photocatalytic activities and power generation.
AB - This paper reports a simple, biogenic and green approach to obtain narrow band
gap and visible light-active TiO2 nanoparticles. Commercial white TiO2 (w-TiO2)
was treated in the cathode chamber of a Microbial Fuel Cell (MFC), which produced
modified light gray TiO2 (g-TiO2) nanoparticles. The DRS, PL, XRD, EPR, HR-TEM,
and XPS were performed to understand the band gap decline of g-TiO2. The optical
study revealed a significant decrease in the band gap of the g-TiO2 (E g = 2.80
eV) compared to the w-TiO2 (E g = 3.10 eV). The XPS revealed variations in the
surface states, composition, Ti4+ to Ti3+ ratio, and oxygen vacancies in the g
TiO2. The Ti3+ and oxygen vacancy-induced enhanced visible light photocatalytic
activity of g-TiO2 was confirmed by degrading different model dyes. The enhanced
photoelectrochemical response under visible light irradiation further supported
the improved performance of the g-TiO2 owing to a decrease in the electron
transfer resistance and an increase in charge transfer rate. During the TiO2
treatment process, electricity generation in MFC was also observed, which was
~0.3979 V corresponding to a power density of 70.39 mW/m2. This study confirms
narrow band gap TiO2 can be easily obtained and used effectively as
photocatalysts and photoelectrode material.
PMID- 29379032
TI - Time Course of Cultural Differences in Spatial Frequency Use for Face
Identification.
AB - Several previous studies of eye movements have put forward that, during face
recognition, Easterners spread their attention across a greater part of their
visual field than Westerners. Recently, we found that culture's effect on the
perception of faces reaches mechanisms deeper than eye movements, therefore
affecting the very nature of information sampled by the visual system: that is,
Westerners globally rely more than Easterners on fine-grained visual information
(i.e. high spatial frequencies; SFs), whereas Easterners rely more on coarse
grained visual information (i.e. low SFs). These findings suggest that culture
influences basic visual processes; however, the temporal onset and dynamics of
these culture-specific perceptual differences are still unknown. Here, we
investigate the time course of SF use in Western Caucasian (Canadian) and East
Asian (Chinese) observers during a face identification task. Firstly, our results
confirm that Easterners use relatively lower SFs than Westerners, while the
latter use relatively higher SFs. More importantly, our results indicate that
these differences arise as early as 34 ms after stimulus onset, and remain stable
through time. Our research supports the hypothesis that Westerners and Easterners
initially rely on different types of visual information during face processing.
PMID- 29379033
TI - Mechanisms driving the antibacterial and antibiofilm properties of Hp1404 and its
analogue peptides against multidrug-resistant Pseudomonas aeruginosa.
AB - Hp1404, identified from the venom of the scorpion Heterometrus petersii, displays
antimicrobial activity with cytotoxicity. Several synthetic peptides were
designed based on the parent peptide Hp1404 to reduce cytotoxicity and improve
activity (deletion of glycine and phenylalanine, substitution with leucine and
lysine). The analogue peptides generated comprised 12 amino acids and displayed
amphipathic alpha-helical structures, with higher hydrophobic moments and net
positive charge than those of the Hp1404. The analogues showed less hemolytic and
toxic effects toward mammalian cells than the Hp1404, especially Hp1404-T1e,
which exhibited particularly potent antibacterial and antibiofilm activities
against multidrug-resistant Pseudomonas aeruginosa (MRPA) strains. The analogue
peptide Hp1404-T1e was more stable against salt and trypsin than the Hp1404.
Hp1404's mechanism of action involves binding to lipopolysaccharide (LPS),
thereby killing bacteria through membrane disruption. Hp1404-T1e kills bacteria
more rapidly than Hp1404 and not only seems to bind more strongly to LPS but may
also be able to enter bacterial cells and interact with their DNA. Additionally,
Hp1404-T1e can effectively kill bacteria in vivo. The results of this study
indicate that Hp1404-T1e not only displays antimicrobial activity, but is also
functional in physiological conditions, confirming its potential use as an
effective therapeutic agent against MRPA.
PMID- 29379034
TI - 3',4'-dihydroxyflavonol ameliorates endoplasmic reticulum stress-induced
apoptosis and endothelial dysfunction in mice.
AB - Endoplasmic reticulum (ER) stress has been implicated in the development of
hypertension 3 through the induction of endothelial impairment. As 3',4'
dihydroxyflavonol (DiOHF) 4 reduces vascular injury caused by
ischaemia/reperfusion or diabetes, and flavonols have been demonstrated to
attenuate ER stress, we investigated whether DiOHF can protect mice from ER
stress-induced endothelial dysfunction. Male C57BLK/6 J mice were injected with
tunicamycin to induce ER stress in the presence or absence of either DiOHF or
tauroursodeoxycholic acid (TUDCA), an inhibitor of ER stress. Tunicamycin
elevated blood pressure and impaired endothelium-dependent relaxation. Moreover,
in aortae there was evidence of ER stress, oxidative stress and reduced NO
production. This was coincident with increased NOX2 expression and reduced
phosphorylation of endothelial nitric oxide synthase (eNOS) on Ser1176.
Importantly, the effects of tunicamycin were significantly ameliorated by DiOHF
or TUDCA. DiOHF also inhibited tunicamycin-induced ER stress and apoptosis in
cultured human endothelial cells (HUVEC). These results provide evidence that ER
stress is likely an important initiator of endothelial dysfunction through the
induction of oxidative stress and a reduction in NO synthesis and that DiOHF
directly protects against ER stress- induced injury. DiOHF may be useful to
prevent ER and oxidative stress to preserve endothelial function, for example in
hypertension.
PMID- 29379035
TI - Distinctive expression of T cell guiding molecules in human autoimmune lymph node
stromal cells upon TLR3 triggering.
AB - Infections are implicated in autoimmunity. Autoantibodies are produced in
lymphoid tissue where lymph node stromal cells (LNSCs) regulate lymphocyte
function. Infections can alter the interaction between LNSCs and lymphocytes
resulting in defective immune responses. In rheumatoid arthritis (RA)
autoantibody production precedes clinical disease allowing identification of at
risk individuals. We investigated the ability of human LNSCs derived from RA, RA
risk and healthy individuals to sense and respond to pathogens. Human LNSCs
cultured directly from freshly collected lymph node biopsies expressed TLR1-9
with exception of TLR7. In all donors TLR3 triggering induced expression of ISGs,
IL-6 and adhesion molecules like VCAM-1 and ICAM-1. Strikingly, T cell guiding
chemokines CCL19 and IL-8 as well as the antiviral gene MxA were less induced
upon TLR3 triggering in autoimmune LNSCs. This observed decrease, found already
in LNSCs of RA-risk individuals, may lead to incorrect positioning of lymphocytes
and aberrant immune responses during viral infections.
PMID- 29379036
TI - Optophysiological Characterisation of Inner Retina Responses with High-Resolution
Optical Coherence Tomography.
AB - Low coherence laser interferometry has revolutionised quantitative biomedical
imaging of optically transparent structures at cellular resolutions. We report
the first optical recording of neuronal excitation at cellular resolution in the
inner retina by quantifying optically recorded stimulus-evoked responses from the
retinal ganglion cell layer and comparing them with an electrophysiological
standard. We imaged anaesthetised paralysed tree shrews, gated image acquisition,
and used numerical filters to eliminate noise arising from retinal movements
during respiratory and cardiac cycles. We observed increases in contrast
variability in the retinal ganglion cell layer and nerve fibre layer with flash
stimuli and gratings. Regions of interest were subdivided into three-dimensional
patches (up to 5-15 MUm in diameter) based on response similarity. We hypothesise
that these patches correspond to individual cells, or segments of blood vessels
within the inner retina. We observed a close correlation between the patch
optical responses and mean electrical activity of the visual neurons in afferent
pathway. While our data suggest that optical imaging of retinal activity is
possible with high resolution OCT, the technical challenges are not trivial.
PMID- 29379037
TI - Detection of time-, frequency- and direction-resolved communication within brain
networks.
AB - Electroencephalography (EEG) records fast-changing neuronal signalling and
communication and thus can offer a deep understanding of cognitive processes.
However, traditional data analyses which employ the Fast-Fourier Transform (FFT)
have been of limited use as they do not allow time- and frequency-resolved
tracking of brain activity and detection of directional connectivity. Here, we
applied advanced qEEG tools using autoregressive (AR) modelling, alongside
traditional approaches, to murine data sets from common research scenarios: (a)
the effect of age on resting EEG; (b) drug actions on non-rapid eye movement
(NREM) sleep EEG (pharmaco-EEG); and (c) dynamic EEG profiles during correct vs
incorrect spontaneous alternation responses in the Y-maze. AR analyses of short
data strips reliably detected age- and drug-induced spectral EEG changes, while
renormalized partial directed coherence (rPDC) reported direction- and time
resolved connectivity dynamics in mice. Our approach allows for the first time
inference of behaviour- and stage-dependent data in a time- and frequency
resolved manner, and offers insights into brain networks that underlie working
memory processing beyond what can be achieved with traditional methods.
PMID- 29379038
TI - Cardiac shock wave therapy promotes arteriogenesis of coronary micrangium, and
ILK is involved in the biomechanical effects by proteomic analysis.
AB - Cardiac Shock Wave Therapy (CSWT) improves myocardial perfusion and ameliorates
cardiac remodeling after acute myocardial infarction (AMI), but the precise
mechanisms remain obscure. Herein, we have applied CSWT to a rat model of AMI to
demonstrate the arteriogenesis of coronary micrangium and protein expression
changes in ischemic myocardium after CSWT. Four weeks after CSWT, the fraction
shortening of rats was improved greatly and the cardiomyocyte apoptosis index was
significantly lower than the AMI group (P < 0.05). Besides, the fibrotic area was
markedly decreased in the CSWT group. In the infarction border zone, the
thickness of smooth muscle layer was expanded apparently after CSWT. Label-free
quantitative proteomic analysis and bioinformatics analysis revealed that the
differentially expressed proteins were largely enriched in the focal adhesion
signaling pathway. And integrin linked kinase (ILK) may be a key factor
contributed to arteriogenesis of coronary micrangium during CSWT. In conclusion,
non-invasive cardiac shock wave could promote arteriogenesis of coronary
micrangium and alleviate myocardial apoptosis and fibrosis after AMI.
Furthermore, focal adhesion signaling pathway may have a central role in the
related signal network and ILK was closely related to the arteriogenesis of
coronary micrangium during CSWT.
PMID- 29379039
TI - Structure and hydrogen bonding at the limits of liquid water stability.
AB - Liquid water exhibits unconventional behaviour across its wide range of stability
- from its unusually high liquid-vapour critical point down to its melting point
and below where it reaches a density maximum and exhibits negative thermal
expansion allowing ice to float. Understanding the molecular underpinnings of
these anomalies presents a challenge motivating the study of water for well over
a century. Here we examine the molecular structure of liquid water across its
range of stability, from mild supercooling to the negative pressure and high
temperature regimes. We use a recently-developed, electronically-responsive model
of water, constructed from gas-phase molecular properties and incorporating many
body, long-range interactions to all orders; as a result the model has been shown
to have high transferability from ice to the supercritical regime. We report a
link between the anomalous thermal expansion of water and the behaviour of its
second coordination shell and an anomaly in hydrogen bonding, which persists
throughout liquid water's range of stability - from the high temperature limit of
liquid water to its supercooled regime.
PMID- 29379040
TI - MRI of the cervical spinal cord predicts respiratory dysfunction in ALS.
AB - For patients with amyotrophic lateral sclerosis (ALS), the primary therapeutic
goal is to minimize morbidity. Non-invasive ventilation improves survival. We aim
to assess whether Magnetic Resonance Imaging (MRI) of the cervical spinal cord
predicts the progression of respiratory disorders in ALS. Brain and spinal MRI
was repeatedly performed in the SOD1G86R mouse model, in 40 patients and in
healthy controls. Atrophy, iron overload, white matter diffusivity and neuronal
loss were assessed. In Superoxide Dismutase-1 (SOD1) mice, iron accumulation
appeared in the cervical spinal cord at symptom onset but disappeared with
disease progression (after the onset of atrophy). In ALS patients, the volumes of
the motor cortex and the medulla oblongata were already abnormally low at the
time of diagnosis. Baseline diffusivity in the internal capsule was predictive of
functional handicap. The decrease in cervical spinal cord volume from diagnosis
to 3 months was predictive of the change in slow vital capacity at 12 months. MRI
revealed marked abnormalities at the time of ALS diagnosis. Early atrophy of the
cervical spinal cord may predict the progression of respiratory disorders, and so
may be of value in patient care and as a primary endpoint in pilot
neuroprotection studies.
PMID- 29379041
TI - A Two-Stage Whole-Genome Gene Expression Association Study of Young-Onset
Hypertension in Han Chinese Population of Taiwan.
AB - Hypertension is an important public health problem in the world. Since the
intermediate position of the gene expression between genotype and phenotype makes
it suitable to link genotype to phenotype, we carried out a two-stage whole
genome gene expression association study to find differentially expressed genes
and pathways for hypertension. In the first stage, 126 cases and 149 controls
were used to find out the differentially expressed genes. In the second stage, an
independent set of samples (127 cases and 150 controls) was used to validate the
results. Additionally, we conducted a gene set enrichment analysis (GSEA) to
search for differentially affected pathways. A total of nine genes were
implicated in the first stage (Bonferroni-corrected p-value < 0.05). Among these
genes, ZRANB1, FAM110A, PREP, ANKRD9 and LAMB2 were also differentially expressed
in an existing database of hypertensive mouse model (GSE19817). A total of 16
pathways were identified by the GSEA. ZRANB1 and six pathways identified are
related to TNF-alpha. Three pathways are related to interleukin, one to metabolic
syndrome, and one to Hedgehog signaling. Identification of these genes and
pathways suggest the importance of 1. inflammation, 2. visceral fat metabolism,
and 3. adipocytes and osteocytes homeostasis in hypertension mechanisms and
complications.
PMID- 29379042
TI - Maturation of Pain Empathy from Child to Adult Shifts from Single to Multiple
Neural Rhythms to Support Interoceptive Representations.
AB - While empathy to the pain of conspecific is evolutionary-ancient and is observed
in rodents and in primates, it also integrates higher-order affective
representations. Yet, it is unclear whether human empathy for pain is inborn or
matures during development and what neural processes underpin its maturation.
Using magnetoencephalography, we monitored the brain response of children,
adolescents, and adults (n = 209) to others' pain, testing the shift from
childhood to adult functioning. Results indicate that children's vicarious
empathy for pain operates via rudimentary sensory predictions involving alpha
oscillations in somatosensory cortex, while adults' response recruits advanced
mechanisms of updating sensory predictions and activating affective empathy in
viceromotor cortex via higher-level representations involving beta- and gamma
band activity. Our findings suggest that full-blown empathy to others' pain
emerges only in adulthood and involves a shift from sensory self-based to
interoceptive other-focused mechanisms that support human altruism, maintain self
other differentiation, modulate feedback to monitor other's state, and activate a
plan of action to alleviate other's suffering.
PMID- 29379043
TI - Early immune anergy towards recall antigens and mitogens in patients at onset of
septic shock.
AB - The pathology of sepsis is typically characterized by an infection and excessive
initial inflammation including a cytokine storm, followed by a state of immune
suppression or paralysis. This classical view of a two peak kinetic immune
response is currently controversially discussed. This study was a sub-study of
the randomized clinical Trial SISPCT registered with www.clinicaltrials.gov
(NCT00832039, Registration date: 29/01/2009). Blood samples from 76 patients with
severe sepsis and septic shock were incubated for 48 h at 37 degrees C in vitro
with bacterial or fungal recall-antigens or specific mitogen antigens within 24
hours of sepsis onset. Recall-antigen stimulation led to a severe dampening of
normal cytokine release. This immunologic anergy was similarly observed after
mitogen stimulation. Moreover, patients under hydrocortisone therapy or with
lowered arterial oxygen tension had further reductions in cytokine levels upon B-
and T-cell mitogen stimulation. This investigation reveals an early onset of
immunoparalysis during sepsis. This immune incompetence in mounting an adequate
response to further infections includes previously sensitized pathogens, as seen
with recall-antigens. Also, the immune-suppressive role of hydrocortisone and low
PaO2 is highlighted. Aside from early broad-spectrum antimicrobial therapy, our
findings reinforce the need for maximal immunological support and protection
against further infections at the onset of sepsis.
PMID- 29379044
TI - Coral-like Co3O4 Decorated N-doped Carbon Particles as active Materials for
Oxygen Reduction Reaction and Supercapacitor.
AB - Coral reef has a unique dendritic structure with large specific surface area,
rich pore structure, so that it can be attached to a large number of
zooxanthellae for gas exchange. Coral reef ecosystems are also known as
underwater rainforests. Inspired by this biological structure, we designed and
fabricated coral-like Co3O4 decorated N-doped carbon particles (Co3O4/N-CP). The
obtained Co3O4/N-CP-900 catalyst shows efficient ORR electrocatalytic
performances in an alkaline medium with a positive onset and half-wave potentials
of 0.97 and 0.90 V (vs. RHE), as well as a high diffusion-limited current density
(5.50 mA cm-2) comparable to that of a Pt/C catalyst (5.15 mA cm-2). It also
displays better stability and methanol tolerance than commercial Pt/C. In
addition, the Co3O4/N-CP-900 electrode has a high specific capacitance of 316.2 F
g-1 in 6 M KOH, as well as good rate capabilities and excellent cycle
performance. These results are due to large surface area, narrow pore size
distribution, high density electrochemical energy conversion and storage activity
centers. This method presented here offers an effective path for the development
of high performance multi-functional carbon-based materials for ORR and
supercapacitor applications.
PMID- 29379045
TI - A facile synthesis of porous graphene for efficient water and wastewater
treatment.
AB - The use of two-dimensional graphene-based materials in water treatment has
recently gained significant attention due to their unique electronic and thermal
mobility, high surface area, high mechanical strength, excellent corrosion
resistance and tunable surface chemistry. However, the relatively expensive, poor
hydrophobicity, low adsorption capacity and recyclability, and complex post
treatment of the most pristine graphene frameworks limit their practical
application. Here, we report a facile scalable method to produce highly porous
graphene from reduced graphene oxide via thermal treatment without addition of
any catalyst or use of any template. Comparing to conventional graphene
counterparts, as-prepared porous graphene nanosheets showed evident improvement
in hydrophobicity, adsorption capacity, and recyclability, making them ideal
candidate materials for water treatment. Superhydrophobic and superoleophilic
porous graphene prepared in this work has been demonstrated as effective
absorbents for a broad range of ions, oils and organic solvents, exhibiting high
selectivity, good recyclability, and excellent absorption capacities > 90%. The
synthesis method of porous graphene reported in this paper is easy to implement,
low cost and scalable. These attributes could contribute towards efficient and
cost-effective water purification and pollution reduction.
PMID- 29379046
TI - Human mate-choice copying is domain-general social learning.
AB - Women appear to copy other women's preferences for men's faces. This 'mate-choice
copying' is often taken as evidence of psychological adaptations for processing
social information related to mate choice, for which facial information is
assumed to be particularly salient. No experiment, however, has directly
investigated whether women preferentially copy each other's face preferences more
than other preferences. Further, because prior experimental studies used
artificial social information, the effect of real social information on
attractiveness preferences is unknown. We collected attractiveness ratings of
pictures of men's faces, men's hands, and abstract art given by heterosexual
women, before and after they saw genuine social information gathered in real time
from their peers. Ratings of faces were influenced by social information, but no
more or less than were images of hands and abstract art. Our results suggest that
evidence for domain-specific social learning mechanisms in humans is weaker than
previously suggested.
PMID- 29379048
TI - An MCEM Framework for Drug Safety Signal Detection and Combination from
Heterogeneous Real World Evidence.
AB - Delayed drug safety insights can impact patients, pharmaceutical companies, and
the whole society. Post-market drug safety surveillance plays a critical role in
providing drug safety insights, where real world evidence such as spontaneous
reporting systems (SRS) and a series of disproportional analysis serve as a
cornerstone of proactive and predictive drug safety surveillance. However, they
still face several challenges including concomitant drugs confounders, rare
adverse drug reaction (ADR) detection, data bias, and the under-reporting issue.
In this paper, we are developing a new framework that detects improved drug
safety signals from multiple data sources via Monte Carlo Expectation
Maximization (MCEM) and signal combination. In MCEM procedure, we propose a new
sampling approach to generate more accurate SRS signals for each ADR through
iteratively down-weighting their associations with irrelevant drugs in case
reports. While in signal combination step, we adopt Bayesian hierarchical model
and propose a new summary statistic such that SRS signals can be combined with
signals derived from other observational health data allowing for related signals
to borrow statistical support with adjustment of data reliability. They combined
effectively alleviate the concomitant confounders, data bias, rare ADR and under
reporting issues. Experimental results demonstrated the effectiveness and
usefulness of the proposed framework.
PMID- 29379047
TI - Inferences on specificity recognition at the Malus*domestica gametophytic self
incompatibility system.
AB - In Malus * domestica (Rosaceae) the product of each SFBB gene (the pollen
component of the gametophytic self-incompatibility (GSI) system) of a S-haplotype
(the combination of pistil and pollen genes that are linked) interacts with a sub
set of non-self S-RNases (the pistil component), but not with the self S-RNase.
To understand how the Malus GSI system works, we identified 24 SFBB genes
expressed in anthers, and determined their gene sequence in nine M. domestica
cultivars. Expression of these SFBBs was not detected in the petal, sepal,
filament, receptacle, style, stigma, ovary or young leaf. For all SFBBs (except
SFBB15), identical sequences were obtained only in cultivars having the same S
RNase. Linkage with a particular S-RNase was further established using the
progeny of three crosses. Such data is needed to understand how other genes not
involved in GSI are affected by the S-locus region. To classify SFBBs
specificity, the amino acids under positive selection obtained when performing
intra-haplotypic analyses were used. Using this information and the previously
identified S-RNase positively selected amino acid sites, inferences are made on
the S-RNase amino acid properties (hydrophobicity, aromatic, aliphatic, polarity,
and size), at these positions, that are critical features for GSI specificity
determination.
PMID- 29379049
TI - Foxj1 expressing ependymal cells do not contribute new cells to sites of injury
or stroke in the mouse forebrain.
AB - The stem cell source of neural and glial progenitors in the periventricular
regions of the adult forebrain has remained uncertain and controversial. Using a
cell specific genetic approach we rule out Foxj1+ ependymal cells as stem cells
participating in neurogenesis and gliogenesis in response to acute injury or
stroke in the mouse forebrain. Non stem- and progenitor-like responses of Foxj1+
ependymal cells to injury and stroke remain to be defined and investigated.
PMID- 29379050
TI - Reconstruction of cysteine biosynthesis using engineered cysteine-free enzymes.
AB - Amino acid biosynthesis pathways observed in nature typically require enzymes
that are made with the amino acids they produce. For example, Escherichia coli
produces cysteine from serine via two enzymes that contain cysteine: serine
acetyltransferase (CysE) and O-acetylserine sulfhydrylase (CysK/CysM). To solve
this chicken-and-egg problem, we substituted alternate amino acids in CysE, CysK
and CysM for cysteine and methionine, which are the only two sulfur-containing
proteinogenic amino acids. Using a cysteine-dependent auxotrophic E. coli strain,
CysE function was rescued by cysteine-free and methionine-deficient enzymes, and
CysM function was rescued by cysteine-free enzymes. CysK function, however, was
not rescued in either case. Enzymatic assays showed that the enzymes responsible
for rescuing the function in CysE and CysM also retained their activities in
vitro. Additionally, substitution of the two highly conserved methionines in CysM
decreased but did not eliminate overall activity. Engineering amino acid
biosynthetic enzymes to lack the so-produced amino acids can provide insights
into, and perhaps eventually fully recapitulate via a synthetic approach, the
biogenesis of biotic amino acids.
PMID- 29379051
TI - Global ubiquitination analysis reveals extensive modification and proteasomal
degradation of cowpox virus proteins, but preservation of viral cores.
AB - The emergence of Variola virus-like viruses by natural evolution of zoonotic
Orthopoxviruses, like Cowpox virus (CPXV), is a global health threat. The
proteasome is essential for poxvirus replication, making the viral components
interacting with the ubiquitin-proteasome system attractive antiviral targets. We
show that proteasome inhibition impairs CPXV replication by prevention of
uncoating, suggesting that uncoating is mediated by proteasomal degradation of
viral core proteins. Although Orthopoxvirus particles contain considerable
amounts of ubiquitin, distinct modification sites are largely unknown. Therefore,
for the first time, we analyzed globally ubiquitination sites in CPXV mature
virion proteins using LC-MS/MS. Identification of 137 conserved sites in 54 viral
proteins among five CPXV strains revealed extensive ubiquitination of structural
core proteins. Moreover, since virions contained primarily K48-linked
polyubiquitin, we hypothesized that core proteins are modified accordingly.
However, quantitative analysis of ubiquitinated CPXV proteins early in infection
showed no proteasomal degradation of core proteins. Instead, our data indicate
that the recently suggested proteasomal regulation of the uncoating factor E5 is
a prerequisite for uncoating. Expanding our understanding of poxvirus uncoating
and elucidating a multitude of novel ubiquitination sites in poxvirus proteins,
the present study verifies the major biological significance of ubiquitin in
poxvirus infection.
PMID- 29379052
TI - Dopamine genes are linked to Extraversion and Neuroticism personality traits, but
only in demanding climates.
AB - Cross-national differences in personality have long been recognized in the
behavioural sciences. However, the origins of such differences are debated.
Building on reinforcement sensitivity theories and gene-by-environment
interactions, we predict that personality trait phenotypes linked to dopaminergic
brain functions (centrally involved in reward processing) diverge most strongly
in climatically stressful environments, due to shifts in perceived rewards vs
risks. Individuals from populations with a highly efficient dopamine system are
biased towards behavioural approach traits (Extraversion and Emotional Stability)
due to higher perceived reward values, whereas individuals from populations with
a less efficient dopaminergic system are biased towards risk avoidance. In
temperate climates, we predict smaller phenotypic differences due to overall
weakened reward and risk ratios. We calculated a population-level index of
dopamine functioning using 9 commonly investigated genetic polymorphisms encoding
dopamine transporters and receptors, derived from a meta-analysis with data from
805 independent samples involving 127,685 participants across 73 societies or
territories. We found strong support for the dopamine gene by climatic stress
interaction: Population genetic differences in dopamine predicted personality
traits at the population level in demanding climates, but not in temperate, less
demanding climates, even when controlling for known correlates of personality
including wealth and parasite stress.
PMID- 29379053
TI - A Low-Cost Palmtop High-Speed Capillary Electrophoresis Bioanalyzer with Laser
Induced Fluorescence Detection.
AB - In this work, we developed a miniaturized palmtop high-speed capillary
electrophoresis (CE) system integrating whole modules, including picoliter-scale
sample injection, short capillary-based fast CE, high-voltage power supply,
orthogonal laser induced fluorescence (LIF) detection, battery, system control,
on-line data acquisition, processing, storage, and display modules. A strategy of
minimalist miniaturization combining minimal system design and low-cost system
construction was adopted to achieve the instrument miniaturization with extremely
low cost, which is differing from the current microfabrication strategy used in
most reported miniaturized CE systems. With such a strategy, the total size of
the bioanalyzer was minimized to 90 * 75 * 77 mm (length * width * height) and
the instrument cost was reduced to ca. $500, which demonstrated the smallest and
lowest-cost CE instrument with LIF detection in so far reported systems. The
present bioanalyzer also exhibited comparable analytical performances to
previously-reported high-speed CE systems. A limit of detection of 1.02 nM sodium
fluorescein was obtained. Fast separations were achieved for multiple types of
samples as amino acids, amino acid enantiomers, DNA fragments, and proteins with
high efficiency. We applied this instrument in colorectal cancer diagnosis for
detecting KRAS mutation status by polymerase chain reaction-restriction fragment
length polymorphism (PCR-RFLP) method.
PMID- 29379054
TI - Aging extension and modifications of lipid metabolism in the monogonont rotifer
Brachionus koreanus under chronic caloric restriction.
AB - To examine the interrelationship of aging extension and modification of lipid
metabolism under chronic caloric restriction (CCR; reduced concentration of the
green algae Tetraselmis suecica) in the monogonont rotifer Brachionus koreanus,
we assessed life cycle parameters, fatty acid composition, and expression of
sirtuin and genes related to lipid metabolism. B. koreanus in the 5% T. suecica
group showed an increased life span but decreased reproduction. Based on this
finding, we chose 5% T. suecica for further experiments and compared the data
with those for 100% T. suecica. Upregulation of sirtuin gene expression was
observed under CCR. In addition, despite the reduction in the amount of total
fatty acid (FA) and the area of triacylglycerol, increases in the ratios of
saturated fatty acid and monounsaturated fatty acid (MUFA) to total FA in 5%
exposed B. koreanus were observed. Furthermore, mRNA expression analysis
confirmed that CCR promoted the synthesis of MUFA through Delta9 desaturase.
Moreover, expression of the docosahexaenoic acid (DHA) synthesizing gene Delta4
desaturase was also upregulated, together with DHA content. These data suggest
that CCR modified protein acetylation and lipid metabolism, leading to a decrease
in reproduction and consequently resulting in life span extension.
PMID- 29379055
TI - Generalized statistical mechanics of cosmic rays: Application to positron
electron spectral indices.
AB - Cosmic ray energy spectra exhibit power law distributions over many orders of
magnitude that are very well described by the predictions of q-generalized
statistical mechanics, based on a q-generalized Hagedorn theory for transverse
momentum spectra and hard QCD scattering processes. QCD at largest center of mass
energies predicts the entropic index to be [Formula: see text]. Here we show that
the escort duality of the nonextensive thermodynamic formalism predicts an energy
split of effective temperature given by Delta [Formula: see text] MeV, where T H
is the Hagedorn temperature. We carefully analyse the measured data of the AMS-02
collaboration and provide evidence that the predicted temperature split is indeed
observed, leading to a different energy dependence of the e+ and e- spectral
indices. We also observe a distinguished energy scale E* ~ 50 GeV where the e+
and e- spectral indices differ the most. Linear combinations of the escort and
non-escort q-generalized canonical distributions yield excellent agreement with
the measured AMS-02 data in the entire energy range.
PMID- 29379056
TI - Role of Particle Entanglement in the Violation of Bell Inequalities.
AB - Entanglement between two separate systems is a necessary resource to violate a
Bell inequality in a test of local realism. We demonstrate that to overcome the
Bell bound, this correlation must be accompanied by the entanglement between the
constituent particles. This happens whenever a super-selection rule prohibits
coherences between states with different total number of particles and thus
imposes a constraint on feasible local operations in each sub-system. We show
that the necessary entanglement between the particles might solely result from
their indistinguishability. We also give an example of both mode and particle
entangled pure state, which does not violate any Bell inequality. Our result
reveals a fundamental relation between the non-locality and the particle
entanglement.
PMID- 29379057
TI - STM patterned nanowire measurements using photolithographically defined implants
in Si(100).
AB - Using photolithographically defined implant wires for electrical connections, we
demonstrate measurement of a scanning tunneling microscope (STM) patterned
nanoscale electronic device on Si(100). By eliminating onerous alignment and
complex lithography techniques, this approach is accessible to researchers in
smaller efforts who may not have access to tools like electron beam lithography.
Electrical contact to the nanodevices is achieved by implanting patterned,
degenerately doped wires in the substrate using photolithography and commercial
low energy ion implantation. We bring several isolated, implanted wires to within
the STM scanner's field of view where the STM can detect and smoothly draw
contiguous patterns that directly overlap with implant lines for electrical
connections. This overlapping provides a two-dimensional (2D) overlap interface
with the 2D electron system, in contrast to many state-of-the-art methods that
rely on contacting an exposed edge. After the STM pattern is phosphine dosed and
overgrown with silicon, photolithography is then used again to align (~ 160 MUm)2
aluminum contact pads onto (~ 200 MUm)2 implanted areas at the ends of the wires.
We present detailed results that optimize the spacing of neighboring wires while
maintaining electrical isolation after heating to > 1200 degrees C, a step
required for in situ Si surface preparation.
PMID- 29379058
TI - The functional and structural alterations of the striatum in chronic spontaneous
urticaria.
AB - The brain has long been known to be the regulation center of itch, but the
neuropathology of chronic itch, such as chronic spontaneous urticaria (CSU),
remains unclear. Thus, we aimed to explore the brain areas involved in the
pathophysiology of CSU in hopes that our results may provide valuable insights
into the treatment of chronic itch conditions. 40 CSU patients and 40 healthy
controls (HCs) were recruited. Urticaria activity scores 7 (UAS7) were collected
to evaluate patient's clinical symptoms. Amplitude of low frequency fluctuations
(ALFF), voxel-based morphometry (VBM), and seed-based resting-state functional
connectivity (rs-FC) analysis were used to assess brain activity and related
plasticity. Compared with HCs, CSU patients exhibited 1) higher ALFF values in
the right ventral striatum / putamen, which were positively associated with
clinical symptoms as measured by UAS7; 2) gray matter volume (GMV) increase in
the right ventral striatum and putamen; and 3) decreased rs-FC between the right
ventral striatum and the right occipital cortex and between the right putamen and
the left precentral gyrus. Using multiple-modality brain imaging tools, we
demonstrated the dysfunction of the striatum in CSU. Our results may provide
valuable insights into the neuropathology and development of chronic itch.
PMID- 29379059
TI - Deletion of MCP-1 Impedes Pathogenesis of Acid Ceramidase Deficiency.
AB - Farber Disease (FD) is an ultra-rare Lysosomal Storage Disorder caused by
deficient acid ceramidase (ACDase) activity. Patients with ACDase deficiency
manifest a spectrum of symptoms including formation of nodules, painful joints,
and a hoarse voice. Classic FD patients will develop histiocytes in organs and
die in childhood. Monocyte chemotactic protein (MCP-1; CCL2) is significantly
elevated in both FD patients and a mouse model we previously generated. Here, to
further study MCP-1 in FD, we created an ACDase;MCP-1 double mutant mouse. We
show that deletion of MCP-1 reduced leukocytosis, delayed weight loss, and
improved lifespan. Reduced inflammation and fibrosis were observed in livers from
double mutant animals. Bronchial alveolar lavage fluid analyses revealed a
reduction in cellular infiltrates and protein accumulation. Furthermore, reduced
sphingolipid accumulation was observed in the lung and liver but not in the
brain. The neurological and hematopoietic defects observed in FD mice were
maintained. A compensatory cytokine response was found in the double mutants,
however, that may contribute to continued signs of inflammation and injury. Taken
together, targeting a reduction of MCP-1 opens the door to a better understanding
of the mechanistic consequences of ceramide accumulation and may even delay the
progression of FD in some organ systems.
PMID- 29379060
TI - Automatic Knee Osteoarthritis Diagnosis from Plain Radiographs: A Deep Learning
Based Approach.
AB - Knee osteoarthritis (OA) is the most common musculoskeletal disorder. OA
diagnosis is currently conducted by assessing symptoms and evaluating plain
radiographs, but this process suffers from subjectivity. In this study, we
present a new transparent computer-aided diagnosis method based on the Deep
Siamese Convolutional Neural Network to automatically score knee OA severity
according to the Kellgren-Lawrence grading scale. We trained our method using the
data solely from the Multicenter Osteoarthritis Study and validated it on
randomly selected 3,000 subjects (5,960 knees) from Osteoarthritis Initiative
dataset. Our method yielded a quadratic Kappa coefficient of 0.83 and average
multiclass accuracy of 66.71% compared to the annotations given by a committee of
clinical experts. Here, we also report a radiological OA diagnosis area under the
ROC curve of 0.93. Besides this, we present attention maps highlighting the
radiological features affecting the network decision. Such information makes the
decision process transparent for the practitioner, which builds better trust
toward automatic methods. We believe that our model is useful for clinical
decision making and for OA research; therefore, we openly release our training
codes and the data set created in this study.
PMID- 29379061
TI - Using DNA origami nanorulers as traceable distance measurement standards and
nanoscopic benchmark structures.
AB - In recent years, DNA origami nanorulers for superresolution (SR) fluorescence
microscopy have been developed from fundamental proof-of-principle experiments to
commercially available test structures. The self-assembled nanostructures allow
placing a defined number of fluorescent dye molecules in defined geometries in
the nanometer range. Besides the unprecedented control over matter on the
nanoscale, robust DNA origami nanorulers are reproducibly obtained in high
yields. The distances between their fluorescent marks can be easily analysed
yielding intermark distance histograms from many identical structures. Thus, DNA
origami nanorulers have become excellent reference and training structures for
superresolution microscopy. In this work, we go one step further and develop a
calibration process for the measured distances between the fluorescent marks on
DNA origami nanorulers. The superresolution technique DNA-PAINT is used to
achieve nanometrological traceability of nanoruler distances following the guide
to the expression of uncertainty in measurement (GUM). We further show two
examples how these nanorulers are used to evaluate the performance of TIRF
microscopes that are capable of single-molecule localization microscopy (SMLM).
PMID- 29379063
TI - Polycomb group protein Suz12 is regulated by a novel miRNA-like small RNA.
AB - Human mesenchymal stem/stromal cells (hMSCs) provide support for cancer
progression, partly through their secretome that includes extracellular vesicles
(EVs). Based on deep-sequencing of small RNA from EVs of MSCs, we now report the
characterization of novel small RNA, named n-miR-G665, which exhibits typical
properties of miRNAs. n-miR-G665 sequence is conserved and expressed in most cell
types. Knockdown studies using anti-agomirs and shRNA studies demonstrated that n
miR-G665 plays an important role in cell proliferation. Functional assays to
reveal the targets of n-miR-G665 showed that polycomb protein Suz12 is regulated
by n-miR-G665, which in turn regulates the expression of n-miR-G665 through
feedback loop mechanism. These data shed light on a previously unknown novel
feedback regulatory mechanism for controlling Suz12 expression regulated by
previously not described miRNA, which may highlight a new therapeutic approach to
control the polycomb repressor complex 2 activity in cancers.
PMID- 29379062
TI - Spatiotemporal patterning of EpCAM is important for murine embryonic endo- and
mesodermal differentiation.
AB - Epithelial cell adhesion molecule EpCAM is expressed in pluripotent embryonic
stem cells (ESC) in vitro, but is repressed in differentiated cells, except
epithelia and carcinomas. Molecular functions of EpCAM, possibly imposing such
repression, were primarily studied in malignant cells and might not apply to non
pathologic differentiation. Here, we comprehensively describe timing and
rationale for EpCAM regulation in early murine gastrulation and ESC
differentiation using single cell RNA-sequencing datasets, in vivo and in vitro
models including CRISPR-Cas9-engineered ESC-mutants. We demonstrate expression of
EpCAM in inner cell mass, epiblast, primitive/visceral endoderm, and strict
repression in the most primitive, nascent Flk1+ mesoderm progenitors at E7.0.
Selective expression of EpCAM was confirmed at mid-gestation and perinatal
stages. The rationale for strict patterning was studied in ESC differentiation.
Gain/loss-of-function demonstrated supportive functions of EpCAM in achieving
full pluripotency and guided endodermal differentiation, but repressive functions
in mesodermal differentiation as exemplified with cardiomyocyte formation. We
further identified embryonic Ras (ERas) as novel EpCAM interactor of EpCAM and an
EpCAM/ERas/AKT axis that is instrumental in differentiation regulation. Hence,
spatiotemporal patterning of EpCAM at the onset of gastrulation, resulting in
early segregation of interdependent EpCAM+ endodermal and EpCAM-/vimentin+
mesodermal clusters represents a novel regulatory feature during ESC
differentiation.
PMID- 29379064
TI - Dimerization: a structural feature for the protection of hepatitis E virus capsid
protein against trypsinization.
AB - Orally-transmitted viruses have evolved in a way to resist the extreme conditions
of the host's gastrointestinal environment, especially the proteolysis of their
structural proteins. However, the mechanisms allowing these viruses to survive
these harsh conditions remain unclear. Hepatitis E virus (HEV) is an orally
transmitted human pathogen. Its capsid protein contains three domains S, P1 and
P2. The latter forms a homodimer protruding from the virus shell, making it the
most exposed part. By combining biochemical and computational methods, we found
the trypsin digestion sites to be highly conserved among the HEV strains.
Furthermore, the constructs of the HEV capsid protein that contain an extended P2
domain were digested within the extensions leaving the P2 domain intact. The
trypsinization seems to occur in three possible double cleavages at R451-R619,
R460-R619 or R460-R631.The dimerization disrupts the trypsin action at three main
sites in the P2 domain R542, K544 and K554. These sites are very exposed in the
monomeric P2 domain constructs which makes the monomeric forms very susceptible
to trypsin action. Therefore, we believe that dimerization is a structural
feature that has been selected by the evolutionary forces to render the HEV
capsid protein resistant to the host's proteases; an evolutionary feature that
could be common to some other (if not all) orally-transmitted viruses.
PMID- 29379065
TI - Preferential amplification of a human mitochondrial DNA deletion in vitro and in
vivo.
AB - We generated induced pluripotent stem cells (iPSCs) from patient fibroblasts to
yield cell lines containing varying degrees of heteroplasmy for a m.13514 A > G
mtDNA point mutation (2 lines) and for a ~6 kb single, large scale mtDNA deletion
(3 lines). Long term culture of the iPSCs containing a single, large-scale mtDNA
deletion showed consistent increase in mtDNA deletion levels with time. Higher
levels of mtDNA heteroplasmy correlated with increased respiratory deficiency. To
determine what changes occurred in deletion level during differentiation,
teratomas comprising all three embryonic germ layers were generated from low
(20%) and intermediate heteroplasmy (55%) mtDNA deletion clones. Regardless of
whether iPSCs harbouring low or intermediate mtDNA heteroplasmy were used, the
final levels of heteroplasmy in all teratoma germ layers increased to a similar
high level (>60%). Thus, during human stem cell division, cells not only tolerate
high mtDNA deletion loads but seem to preferentially replicate deleted mtDNA
genomes. This has implications for the involvement of mtDNA deletions in both
disease and ageing.
PMID- 29379066
TI - Design, Bioactivity and structure-activity of 3-Arylpropionate Derivatives as
Potential High-Efficient Acaricides against Psoroptes Cuniculi.
AB - A series of 3-aryl propionic esters and their analogues were designed and
evaluated for acaricidal activity in vitro against Psoroptes cuniculi, a mange
mite. The structure-activity relationship (SAR) was also discussed. The results
showed that 6 compounds possessed the excellent activity (LC50 = 0.17-0.24 mM,
LT50 = 1.5-2.9 h), superior to ivermectin (LC50 = 0.28 mM, LT50 = 8.9 h) (P <
0.05), a standard drug. Furthermore, 7 compounds showed the good activity (LC50 =
0.25-0.37 mM, LT50 < 3.9 h), slightly lower or close to that of ivermectin. One
compound displayed super-fast acaricidal property, far superior to ivermectin.
SAR analysis found that the ester group is vital for the activity and the small
steric hindrance adjacent to the ester group is advantageous for the high
activity. The
2000 genes differentially-expressed over 24 hours, with specific metabolic and
signalling pathways enriched at different times. We explored this coordination
using a knowledge-based hierarchical-clustering approach to generate a temporal
transcriptional cascade and identify key transcription factors responding to
oxidative stress. This response shared many similarities with changes observed in
distinct insulin resistance models. However, an anti-oxidant reversed insulin
resistance phenotypically but not transcriptionally, implying that the
transcriptional response to oxidative stress is insufficient for insulin
resistance. This suggests that the primary site by which oxidative stress impairs
insulin action occurs post-transcriptionally, warranting a multi-level 'trans
omic' approach when studying time-resolved responses to cellular perturbations.
PMID- 29379071
TI - L. plantarum WCFS1 enhances Treg frequencies by activating DCs even in absence of
sampling of bacteria in the Peyer Patches.
AB - Probiotics such as L. plantarum WCFS1 can modulate immune responses in healthy
subjects but how this occurs is still largely unknown. Immune-sampling in the
Peyer Patches has been suggested to be one of the mechanisms. Here we studied the
systemic and intestinal immune effects in combination with a trafficking study
through the intestine of a well-established immunomodulating probiotic, i.e. L.
plantarum WCFS1. We demonstrate that not more than 2-3 bacteria were sampled and
in many animals not any bacterium could be found in the PP. Despite this, L.
plantarum was associated with a strong increase in infiltration of regulatory
CD103+ DCs and generation of regulatory T cells in the spleen. Also, a reduced
splenic T helper cell cytokine response was observed after ex vivo restimulation.
L. plantarum enhanced Treg cells and attenuated the T helper 2 response in
healthy mice. We demonstrate that, in healthy mice, immune sampling is a rare
phenomenon and not required for immunomodulation. Also in absence of any sampling
immune activation was found illustrating that host-microbe interaction on the
Peyer Patches was enough to induce immunomodulation of DCs and T-cells.
PMID- 29379072
TI - Manipulation of Pro-Sociality and Rule-Following with Non-invasive Brain
Stimulation.
AB - Decisions are often governed by rules on adequate social behaviour. Recent
research suggests that the right lateral prefrontal cortex (rLPFC) is involved in
the implementation of internal fairness rules (norms), by controlling the impulse
to act selfishly. A drawback of these studies is that the assumed norms and
impulses have to be deduced from behaviour and that norm-following and pro
sociality are indistinguishable. Here, we directly confronted participants with a
rule that demanded to make advantageous or disadvantageous monetary allocations
for themselves or another person. To disentangle its functional role in rule
following and pro-sociality, we divergently manipulated the rLPFC by applying
cathodal or anodal transcranial direct current stimulation (tDCS). Cathodal tDCS
increased participants' rule-following, even of rules that demanded to lose money
or hurt another person financially. In contrast, anodal tDCS led participants to
specifically violate more often those rules that were at odds with what
participants chose freely. Brain stimulation over the rLPFC thus did not simply
increase or decrease selfishness. Instead, by disentangling rule-following and
pro-sociality, our results point to a broader role of the rLPFC in integrating
the costs and benefits of rules in order to align decisions with internal goals,
ultimately enabling to flexibly adapt social behaviour.
PMID- 29379073
TI - Growth kinetics of Cu6Sn5 intermetallic compound in Cu-liquid Sn interfacial
reaction enhanced by electric current.
AB - In this paper, electric currents with the densities of 1.0 * 102 A/cm2 and 2.0 *
102 A/cm2 were imposed to the Cu-liquid Sn interfacial reaction at 260 degrees C
and 300 degrees C with the bonding times from 15 min to 960 min. Unlike the
symmetrical growth following a cubic root dependence on time during reflowing,
the Cu6Sn5 growth enhanced by solid-liquid electromigration followed a linear
relationship with time. The elevated electric current density and reaction
temperature could greatly accelerate the growth of Cu6Sn5, and could induce the
formation of cellular structures on the surfaces because of the constitutional
supercooling effect. A growth kinetics model of Cu6Sn5 based on Cu concentration
gradient was presented, in which the dissolution of cathode was proved to be the
controlling step. This model indicates that higher current density, higher
temperature and larger joint width were in favor of the dissolution of Cu.
Finally, the shear strengths of joints consisted of different intermetallic
compound microstructures were evaluated. The results showed that the Cu6Sn5-based
joint could achieve comparable shear strength with Sn-based joint.
PMID- 29379074
TI - Multiplane and Spectrally-Resolved Single Molecule Localization Microscopy with
Industrial Grade CMOS cameras.
AB - This work explores the use of industrial grade CMOS cameras for single molecule
localization microscopy (SMLM). We show that industrial grade CMOS cameras
approach the performance of scientific grade CMOS cameras at a fraction of the
cost. This makes it more economically feasible to construct high-performance
imaging systems with multiple cameras that are capable of a diversity of
applications. In particular we demonstrate the use of industrial CMOS cameras for
biplane, multiplane and spectrally resolved SMLM. We also provide open-source
software for simultaneous control of multiple CMOS cameras and for the reduction
of the movies that are acquired to super-resolution images.
PMID- 29379075
TI - Atypical changes in DRG neuron excitability and complex pain phenotype associated
with a Nav1.7 mutation that massively hyperpolarizes activation.
AB - Sodium channel Nav1.7 plays a central role in pain-signaling: gain-of-function
Nav1.7 mutations usually cause severe pain and loss-of-function mutations produce
insensitivity to pain. The Nav1.7 I234T gain-of-function mutation, however, is
linked to a dual clinical presentation of episodic pain, together with absence of
pain following fractures, and corneal anesthesia. How a Nav1.7 mutation that
produces gain-of-function at the channel level causes clinical loss-of-function
has remained enigmatic. We show by current-clamp that expression of I234T in
dorsal root ganglion (DRG) neurons produces a range of membrane depolarizations
including a massive shift to >-40 mV that reduces excitability in a small number
of neurons. Dynamic-clamp permitted us to mimic the heterozygous condition via
replacement of 50% endogenous wild-type Nav1.7 channels by I234T, and confirmed
that the I234T conductance could drastically depolarize DRG neurons, resulting in
loss of excitability. We conclude that attenuation of pain sensation by I234T is
caused by massively depolarized membrane potential of some DRG neurons which is
partly due to enhanced overlap between activation and fast-inactivation,
impairing their ability to fire. Our results demonstrate how a Nav1.7 mutation
that produces channel gain-of-function can contribute to a dual clinical
presentation that includes loss of pain sensation at the clinical level.
PMID- 29379076
TI - Core-shell magnetoelectric nanorobot - A remotely controlled probe for targeted
cell manipulation.
AB - We have developed a remotely controlled dynamic process of manipulating targeted
biological live cells using fabricated core-shell nanocomposites, which comprises
of single crystalline ferromagnetic cores (CoFe2O4) coated with crystalline
ferroelectric thin film shells (BaTiO3). We demonstrate them as a unique family
of inorganic magnetoelectric nanorobots (MENRs), controlled remotely by applied
a.c. or d.c. magnetic fields, to perform cell targeting, permeation, and
transport. Under a.c. magnetic field excitation (50 Oe, 60 Hz), the MENR acts as
a localized electric periodic pulse generator and can permeate a series of
misaligned cells, while aligning them to an equipotential mono-array by inducing
inter-cellular signaling. Under a.c. magnetic field (40 Oe, 30 Hz) excitation,
MENRs can be dynamically driven to a targeted cell, avoiding untargeted cells in
the path, irrespective of cell density. D.C. magnetic field (-50 Oe) excitation
causes the MENRs to act as thrust generator and exerts motion in a group of
cells.
PMID- 29379077
TI - Immunomodulatory capacity of the serotonin receptor 5-HT2B in a subset of human
dendritic cells.
AB - Serotonin is a monoamine neurotransmitter that signals through a wide array of
receptors (5-HT1-7) many of which are also involved in immune processes.
Dendritic cells (DCs) are crucial players in immune defense by bridging innate
and adaptive immune responses via their vast repertoire of pattern recognition
receptors and antigen-presenting capability. Although serotonin is known to
influence immunity at many levels, cell type-specific expression and function of
its receptors remains poorly understood. Here we aimed to study 5-HT1-7
expression and function in CD1a- and CD1a+ human monocyte-derived DCs (moDCs). We
found that the 5-HT2B receptor-subtype is solely expressed by the inflammatory
CD1a+ moDC subset. Specific 5-HT2B activation potently inhibited TLR2, TLR3, and
TLR7/8-induced proinflammatory cytokine and chemokine (TNF-alpha, IL-6, IL-8, IP
10, IL-12) but not type I interferon-beta responses. 5-HT2B agonism also
interfered with the polarization of CD1a+ moDC-primed CD4+ T cells towards
inflammatory Th1 and Th17 effector lymphocytes. Here we report the subset
specific expression and immunomodulatory function of 5-HT2B in human moDCs. Our
results expand the biological role of 5-HT2B which may act not only as a
neurotransmitter receptor, but also as an important modulator of both innate and
adaptive immune responses.
PMID- 29379079
TI - A novel PAX7 10-bp indel variant modulates promoter activity, gene expression and
contributes to different phenotypes of Chinese cattle.
AB - Paired box 7 (PAX7) gene regulates the conversion of muscle satellite cells into
myogenic cells and participates in multi-step processes in myogenesis. Expression
levels of PAX7 are decisive for its regulatory function. Previous reports
revealed that PAX7 were responsible for the developmental traits of muscle. The
relationship of the PAX7 promoter variants and livestock phenotypic traits has
not been fully elucidated. We detected a novel 10-bp insertion/deletion (indel)
polymorphism in the bovine PAX7 promoter and revealed that the indel altered the
binding of the transcriptional factor ZNF219. Luciferase reporter assay showed
that deletion-deletion (Del-Del) genotype of the PAX7 gene showed 2.79-fold
higher promoter activity than the insertion-insertion (Ins-Ins) genotype (P <
0.05), and ZNF219 overexpression significantly diminished the luciferase activity
in Ins-Ins groups. Moreover, the expression of PAX7 and its down-stream genes
were detected in fetal skeletal muscle of cattle with different PAX7 genotypes,
where the Del-Del genotype also displayed high expression levels. Statistical
association analysis demonstrated that this indel had significant effects on
early growth traits in cattle. These findings provide a complete overview of the
function of the PAX7 10-bp variant, which may have potential as a genetic marker
for marker-assisted selection in improving economically significant traits of
cattle.
PMID- 29379080
TI - Bridging the connection between effective viscosity and electrical conductivity
through water content in the upper mantle.
AB - Upper mantle viscosity plays a key role in understanding plate tectonics and is
usually extrapolated from laboratory-based creep measurements of upper mantle
conditions or constrained by modeling geodetic and post-seismic observations. At
present, an effective method to obtain a high-resolution viscosity structure is
still lacking. Recently, a promising estimation of effective viscosity was
obtained from a transform derived from the results of magnetotelluric imaging.
Here, we build a relationship between effective viscosity and electrical
conductivity in the upper mantle using water content. The contribution of water
content to the effective viscosity is isolated in a flow law with reference to
relatively dry conditions in the upper mantle. The proposed transform is robust
and has been verified by application to data synthesized from an intraoceanic
subduction zone model. We then apply the method to transform an electrical
conductivity cross-section across the Yangtze block and the North China Craton.
The results show that the effective viscosity structure coincides well with that
estimated from other independent datasets at depths of 40 to 80 km but differs
slightly at depths of 100 to 200 km. We briefly discussed the potentials and
associated problems for application.
PMID- 29379078
TI - Control of primary metabolism by a virulence regulatory network promotes
robustness in a plant pathogen.
AB - Robustness is a key system-level property of living organisms to maintain their
functions while tolerating perturbations. We investigate here how a regulatory
network controlling multiple virulence factors impacts phenotypic robustness of a
bacterial plant pathogen. We reconstruct a cell-scale model of Ralstonia
solanacearum connecting a genome-scale metabolic network, a virulence
macromolecule network, and a virulence regulatory network, which includes 63
regulatory components. We develop in silico methods to quantify phenotypic
robustness under a broad set of conditions in high-throughput simulation
analyses. This approach reveals that the virulence regulatory network exerts a
control of the primary metabolism to promote robustness upon infection. The
virulence regulatory network plugs into the primary metabolism mainly through the
control of genes likely acquired via horizontal gene transfer, which results in a
functional overlay with ancestral genes. These results support the view that
robustness may be a selected trait that promotes pathogenic fitness upon
infection.
PMID- 29379081
TI - Ordered states in the Kitaev-Heisenberg model: From 1D chains to 2D honeycomb.
AB - We study the ground state of the 1D Kitaev-Heisenberg (KH) model using the
density-matrix renormalization group and Lanczos exact diagonalization methods.
We obtain a rich ground-state phase diagram as a function of the ratio between
Heisenberg (J = cosphi) and Kitaev (K = sinphi) interactions. Depending on the
ratio, the system exhibits four long-range ordered states: ferromagnetic-z,
ferromagnetic-xy, staggered-xy, Neel-z, and two liquid states: Tomonaga-Luttinger
liquid and spiral-xy. The two Kitaev points [Formula: see text] and [Formula: see
text] are singular. The phi-dependent phase diagram is similar to that for the 2D
honeycomb-lattice KH model. Remarkably, all the ordered states of the honeycomb
lattice KH model can be interpreted in terms of the coupled KH chains. We also
discuss the magnetic structure of the K-intercalated RuCl3, a potential Kitaev
material, in the framework of the 1D KH model. Furthermore, we demonstrate that
the low-lying excitations of the 1D KH Hamiltonian can be explained within the
combination of the known six-vertex model and spin-wave theory.
PMID- 29379082
TI - Supplement of Betaine into Embryo Culture Medium Can Rescue Injury Effect of
Ethanol on Mouse Embryo Development.
AB - Mammal embryos can be impaired by mother's excessive ethanol uptake, which
induces a higher level of reactive oxygen species (ROS) and interferes in one
carbon unit metabolism. Here, our analysis by in vitro culture system reveals
immediate effect of ethanol in medium on mouse embryo development presents
concentration dependent. A preimplantation embryo culture using medium contained
1% ethanol could impact greatly early embryos development, and harmful effect of
ethanol on preimplantation embryos would last during the whole development period
including of reducing ratio of blastocyst formation and implantation, and
deteriorating postimplantation development. Supplement of 50 MUg/ml betaine into
culture medium can effectively reduce the level of ROS caused by ethanol in
embryo cells and rescue embryo development at each stage damaged by ethanol, but
supplement of glycine can't rescue embryo development as does betaine. Results of
5-methylcytosine immunodetection indicate that supplement of betaine into medium
can reduce the rising global level of genome DNA methylation in blastocyst cells
caused by 1% ethanol, but glycine can't play the same impact. The current
findings demonstrate that betaine can effectively rescue development of embryos
harmed by ethanol, and possibly by restoring global level of genome DNA
methylation in blastocysts.
PMID- 29379083
TI - Arms race of temporal partitioning between carnivorous and herbivorous mammals.
AB - Reciprocal coevolutionary changes in predation and anti-predator behaviours have
long been hypothesized, but evolutionary-scale evidence is rare. Here, we
reconstructed the evolutionary-scale changes in the diel activity patterns of a
predator-prey system (carnivorous and herbivorous mammals) based on a molecular
phyloecological approach, providing evidence of long-term antagonistic
coevolutionary changes in their diel activities. Our molecular reconstruction of
diel activity patterns, which is supported by morphological evidence,
consistently showed that carnivorous mammals were subjected to a shift from
diurnality to nocturnality, while herbivorous mammals experienced a shift from
nocturnality to diurnality during their evolutionary histories. A shift in the
diel activity of the herbivores as a result of carnivore avoidance is
hypothesized based on molecular, morphological and behavioural evidence, and our
results suggest an evolutionary-scale arms race of diel activity shifts between
carnivorous and herbivorous mammals.
PMID- 29379084
TI - Alternative use of Bacillus subtilis spores: protection against environmental
oxidative stress in human normal keratinocytes.
AB - Inorganic trivalent arsenic is a major environmental pollutant and exposure to
human results in many pathologies, including keratosis and carcinoma. Here, we
analyzed the effects of B. subtilis spores on human normal keratinocytes in the
presence of sodium arsenite oxidative stress. Pre-treatment of cells with spores
before inducing oxidative stress was able to keep normal levels of intracellular
ROS, GSH and lipid peroxidation, as well as to inhibit the activation of the MAPK
cascade. Moreover, spores showed a positive effect on cell proliferation,
probably due to their binding on the cell surface and the activation of
intracellular catalases. We found that spores exert their protective effect by
the nuclear translocation of Nrf-2, involved in the activation of stress response
genes. This, in turn, resulted in a protective effect against sodium arsenite
stress injury, as oxidative stress markers were reported to physiological levels
when cells were stressed before incubating them with spores. Therefore, B.
subtilis spores can be considered as a new agent to counteract oxidative stress
on normal human keratinocytes.
PMID- 29379085
TI - Efficient strategy for introducing large and multiple changes in plasmid DNA.
AB - While the QuikChange site-directed mutagenesis method and its later modifications
are extremely useful and simple, they suffer from several drawbacks. Here, we
propose a new method, named LFEAP mutagenesis (Ligation of Fragment Ends After
PCR) for creating various mutations in plasmid by leveraging three existing
concepts: inverse PCR, single primer PCR, and sticky-end assembly. The first
inverse PCR on the target plasmid yielded linearized DNA fragments with mutagenic
ends, and a second single primer PCR resulted in complementary single-stranded
DNA fragments with the addition of overhangs at the 5' end of each strand. The
resulting single strands were then annealed to produce double-stranded DNA with
free 5' single-stranded DNA tails. These products with compatible sticky ends
were efficiently assembled into a circular, mutagenized plasmid. With this
strategy, multiple simultaneous changes (up to 15) and mutations in large
plasmids (up to 50 kb) were achieved with high efficiency and fidelity. LFEAP
mutagenesis is a versatile method that offers significant advantages for
introducing large and multiple changes in plasmid DNA.
PMID- 29379086
TI - Early modulation of intra-cortical inhibition during the observation of action
mistakes.
AB - Errors while performing an action are fundamental for learning. During
interaction others' errors must be monitored and taken into account to allow
joint action coordination and imitation learning. This monitoring relies on an
action observation network (AON) mainly based on parietofrontal recurrent
circuits. Although different studies suggest that inappropriate actions may
rapidly be inhibited during execution, little is known about the modulation of
the AON when an action misstep is shown. Here we used single and paired pulse
transcranial magnetic stimulation to assess corticospinal excitability,
intracortical facilitation and intracortical inhibition at different time
intervals (120, 180, 240 ms) after the visual presentation of a motor execution
error. Results show a specific and early (120 ms) decrease of intracortical
inhibition likely because of a significant mismatch between the observed
erroneous action and observer's expectations. Indeed, as proposed by the top-down
predictive framework, the motor system may be involved in the generation of these
error signals and our data show that this mechanism could rely on the early
decrease of intracortical inhibition within the corticomotor system.
PMID- 29379087
TI - Active sites of copper-complex catalytic materials for electrochemical carbon
dioxide reduction.
AB - Restructuring-induced catalytic activity is an intriguing phenomenon of
fundamental importance to rational design of high-performance catalyst materials.
We study three copper-complex materials for electrocatalytic carbon dioxide
reduction. Among them, the copper(II) phthalocyanine exhibits by far the highest
activity for yielding methane with a Faradaic efficiency of 66% and a partial
current density of 13 mA cm-2 at the potential of - 1.06 V versus the reversible
hydrogen electrode. Utilizing in-situ and operando X-ray absorption spectroscopy,
we find that under the working conditions copper(II) phthalocyanine undergoes
reversible structural and oxidation state changes to form ~ 2 nm metallic copper
clusters, which catalyzes the carbon dioxide-to-methane conversion. Density
functional calculations rationalize the restructuring behavior and attribute the
reversibility to the strong divalent metal ion-ligand coordination in the
copper(II) phthalocyanine molecular structure and the small size of the generated
copper clusters under the reaction conditions.
PMID- 29379088
TI - The inflammatory effects of TNF-alpha and complement component 3 on coagulation.
AB - Tissue necrosis factor-alpha (TNF-alpha) and complement component 3 (C3) are two
well-known pro-inflammatory molecules. When TNF-alpha is upregulated, it
contributes to changes in coagulation and causes C3 induction. They both interact
with receptors on platelets and erythrocytes (RBCs). Here, we look at the
individual effects of C3 and TNF-alpha, by adding low levels of the molecules to
whole blood and platelet poor plasma. We used thromboelastography, wide-field
microscopy and scanning electron microscopy to study blood clot formation, as
well as structural changes to RBCs and platelets. Clot formation was
significantly different from the naive sample for both the molecules.
Furthermore, TNF-alpha exposure to whole blood resulted in platelet clumping and
activation and we noted spontaneous plasma protein dense matted deposits. C3
exposure did not cause platelet aggregation, and only slight pseudopodia
formation was noted. Therefore, although C3 presence has an important function to
cause TNF-alpha release, it does not necessarily by itself cause platelet
activation or RBC damage at these low concentrations. We conclude by suggesting
that our laboratory results can be translated into clinical practice by
incorporating C3 and TNF-alpha measurements into broad spectrum analysis assays,
like multiplex technology, as a step closer to a patient-orientated, precision
medicine approach.
PMID- 29379090
TI - GO FEAT: a rapid web-based functional annotation tool for genomic and
transcriptomic data.
AB - Downstream analysis of genomic and transcriptomic sequence data is often executed
by functional annotation that can be performed by various bioinformatics tools
and biological databases. However, a full fast integrated tool is not available
for such analysis. Besides, the current available software is not able to produce
analytic lists of annotations and graphs to help users in evaluating the output
results. Therefore, we present the Gene Ontology Functional Enrichment Annotation
Tool (GO FEAT), a free web platform for functional annotation and enrichment of
genomic and transcriptomic data based on sequence homology search. The analysis
can be customized and visualized as per users' needs and specifications. GO FEAT
is freely available at http://computationalbiology.ufpa.br/gofeat/ and its source
code is hosted at https://github.com/fabriciopa/gofeat .
PMID- 29379089
TI - The alpha7-nicotinic receptor contributes to gp120-induced neurotoxicity:
implications in HIV-associated neurocognitive disorders.
AB - Currently, there are no specific therapies to treat HIV-1 associated
neurocognitive disorders (HAND). The HIV-1 envelope, gp120, induces
neuropathological changes similar to those in HAND patients; furthermore, it
triggers an upregulation of the alpha7-nicotinic acetylcholine receptor (alpha7
nAChR), facilitating intracellular calcium overload and neuronal cell death.
Using a gp120IIIB-transgenic mouse (gp120-tgm) model, we demonstrate that alpha7
nAChRs are upregulated on striatal neurons. Activation of alpha7-nAChRs leads to
an increase in both intracellular calcium and percentage of apoptotic cells,
which can be abrogated by antagonizing the receptor, suggesting a role for alpha7
nAChRs in gp120-induced neurotoxicity. Moreover, we demonstrate for the first
time that gp120-tgm have learning deficiencies on a striatum-dependent behavioral
task. They also show locomotor deficiencies, which improved with alpha7-nAChR
antagonists, further supporting a role for this receptor in gp120-induced
neurotoxicity. Together, these results uncover a new mechanism through which
gp120-induced modulation of alpha7-nAChRs in the striatum can contribute to HAND
development.
PMID- 29379091
TI - Pisa Syndrome in Parkinson's Disease: Pathogenic Roles of Verticality Perception
Deficits.
AB - We elucidated whether verticality misperception is associated with the generation
of Pisa syndrome (PS) in patients with Parkinson's disease (PD). To examine the
heterogenous influence of verticality perception, we also identified the
characteristics distinguishing between PD patients with PS who tilted toward the
deviation of perceived verticality and those who did not. Subjective visual
vertical (SVV) testing was performed in 54 PD patients with PS and 36 without PS
to measure verticality perception. Other potential risk factors for PS were
evaluated by assessing the asymmetry of motor symptoms, EMG activities of
paraspinal muscles, bithermal caloric tests, back pain history, and Berg Balance
Scale. Abnormal SVV (odds ratio (OR) 18.40, p = 0.006), postural imbalance (OR
0.71, p = 0.046), and unilateral EMG hyperactivity of paraspinal muscles (OR
39.62, p = 0.027) were independent contributors to PS. In subgroup analysis, EMG
hyperactivity of paraspinal muscles contralateral to the leaning side and
postural imbalance were associated with PD patients with PS who tilted toward the
SVV deviation, whereas back pain was more frequent in those who did not.
Verticality misperception is a potent risk factor for PS in PD and contributes
differentially to PS depending on the congruence between its direction and PS
direction, indicating distinct pathogenic roles.
PMID- 29379092
TI - Malignancy dominated with rheumatic manifestations: A retrospective single-center
analysis.
AB - Paraneoplastic rheumatic syndromes comprise a heterogeneous group of disorders
characterized by typical rheumatic manifestations but without direct invasion by
the tumor or metastases. The clinical features and malignancy-associated risk
factors of 21 patients with paraneoplastic rheumatic syndromes, including 11 men
and 10 women with a mean age of 56.3 +/- 13.1 years, were characterized by a
retrospective review. All patients were diagnosed with malignancy within 2 years
of rheumatism diagnosis. Patients suffering from solid malignancies accounted for
the majority (62%); hematological malignancies were observed in the remainder.
Arthritis (48%), lymph node enlargement (38%), skin rash (38%), weight loss
(29%), fever/chills (24%), fatigue (24%), muscle soreness (24%) and smoking
history (29%) were common findings. Except for 8 patients (38%) who tested
positive for anti-nuclear antibody (ANA) and 9 positive for rheumatoid factor
(RF), all patients tested negative for anti-extractable nuclear antigen (ENA)
antibodies. Rheumatic disorders with a typical clinical presentation in older
patients and nonspecific systemic features should alert clinicians to search for
an occult malignancy. Patients with rheumatic disease must be closely followed to
screen for malignancies, particularly within 2 years of rheumatism diagnosis.
PMID- 29379094
TI - Current distribution across type II superconducting films: a new vortex-free
critical state.
AB - The current distribution across the thickness of a current-carrying rectangular
film in the Meissner state was established long ago by the London brothers. The
distribution across the width is more complicated but was later shown to be
highly non-uniform, diverging at the edges. Accordingly, the standard view for
type II superconductors is that vortices enter at the edges and, with increasing
current, are driven inwards until they self-annihilate at the centre, causing
dissipation. This condition is presumed to define the critical current. However
we have shown that, under self-field (no external field), the transport critical
current is a London surface current where the surface current density equals the
critical field divided by lambda, across the entire width. The critical current
distribution must therefore be uniform. Here we report studies of the current and
field distribution across commercial YBa2Cu3 O7 conductors and confirm the
accepted non-uniform distribution at low current but demonstrate a radical
crossover to a uniform distribution at critical current. This crossover ends
discontinuously at a singularity and calculations quantitatively confirm these
results in detail. The onset of self-field dissipation is, unexpectedly,
thermodynamic in character and the implied vortex-free critical state seems to
require new physics.
PMID- 29379093
TI - MCPIP1-induced autophagy mediates ischemia/reperfusion injury in endothelial
cells via HMGB1 and CaSR.
AB - Monocyte chemotactic protein-1-induced protein 1 (MCPIP1) plays a important role
in ischemia/reperfusion (I/R) injury. Autophagy is involved in activating
endothelial cells in response to I/R. However, researchers have not clearly
determined whether MCPIP1 mediates I/R injury in endothelial cells via autophagy,
and its downstream mechanism remains unclear. Western blotting analyses and
immunocytochemistry were applied to detect protein levels were detected in
HUVECs. An in vitro scratch assay was used to detect cell migration. Cells were
transfected with siRNAs to knockdown MCPIP1 and high mobility group box 1 (HMGB1)
expression. The pharmacological activator of autophagy rapamycin and the specific
calcium-sensing receptor (CaSR) inhibitor NPS-2143 were used to confirm the roles
of autophagy and CaSR in I/R injury. I/R induced HMGB1 and CaSR expression, which
subsequently upreguated the migration and apoptosis of HUVECs and coincided with
the increase of autophagy. HMGB1 was involved in cell migration, whereas CaSR
specifically participated in I/R-induced HUVEC apoptosis. Based on these
findings, I/R-induced MCPIP1 expression regulates the migration and apoptosis of
HUVECs via HMGB1 and CaSR, respectively, suggesting a new therapeutic targetof
I/R injury.
PMID- 29379095
TI - The motilin agonist erythromycin increases hunger by modulating homeostatic and
hedonic brain circuits in healthy women: a randomized, placebo-controlled study.
AB - The motilin agonist, erythromycin, induces gastric phase III of the migrating
motor complex, which in turn generates hunger peaks. To identify the brain
mechanisms underlying these orexigenic effects, 14 healthy women participated in
a randomized, placebo-controlled crossover study. Functional magnetic resonance
brain images were acquired for 50 minutes interprandially. Intravenous infusion
of erythromycin (40 mg) or saline started 10 minutes after the start of scanning.
Blood samples (for glucose and hormone levels) and hunger ratings were collected
at fixed timepoints. Thirteen volunteers completed the study, without any adverse
events. Brain regions involved in homeostatic and hedonic control of appetite and
food intake responded to erythromycin, including pregenual anterior cingulate
cortex, anterior insula cortex, orbitofrontal cortex, amygdala, caudate, pallidum
and putamen bilaterally, right accumbens, hypothalamus, and midbrain.
Octanoylated ghrelin levels decreased, whereas both glucose and insulin increased
after erythromycin. Hunger were higher after erythromycin, and these differences
covaried with the brain response in most of the abovementioned regions. The
motilin agonist erythromycin increases hunger by modulating neurocircuitry
related to homeostatic and hedonic control of appetite and feeding. These results
confirm recent behavioural findings identifying motilin as a key orexigenic
hormone in humans, and identify the brain mechanisms underlying its effect.
PMID- 29379097
TI - Multi-wavelength emission from a single InGaN/GaN nanorod analyzed by
cathodoluminescence hyperspectral imaging.
AB - Multiple luminescence peaks emitted by a single InGaN/GaN quantum-well(QW)
nanorod, extending from the blue to the red, were analysed by a combination of
electron microscope based imaging techniques. Utilizing the capability of
cathodoluminescence hyperspectral imaging it was possible to investigate spatial
variations in the luminescence properties on a nanoscale. The high optical
quality of a single GaN nanorod was demonstrated, evidenced by a narrow band-edge
peak and the absence of any luminescence associated with the yellow defect band.
Additionally two spatially confined broad luminescence bands were observed,
consisting of multiple peaks ranging from 395 nm to 480 nm and 490 nm to 650 nm.
The lower energy band originates from broad c-plane QWs located at the apex of
the nanorod and the higher energy band from the semipolar QWs on the pyramidal
nanorod tip. Comparing the experimentally observed peak positions with peak
positions obtained from plane wave modelling and 3D finite difference time
domain(FDTD) modelling shows modulation of the nanorod luminescence by cavity
modes. By studying the influence of these modes we demonstrate that this can be
exploited as an additional parameter in engineering the emission profile of LEDs.
PMID- 29379096
TI - The antidepressant fluoxetine acts on energy balance and leptin sensitivity via
BDNF.
AB - Leptin and Brain Derived Neurotrophic Factor (BDNF) pathways are critical players
in body weight homeostasis. Noninvasive treatments like environmental stimulation
are able to increase response to leptin and induce BDNF expression in the brain.
Emerging evidences point to the antidepressant selective serotonin reuptake
inhibitor Fluoxetine (FLX) as a drug with effects similar to environmental
stimulation. FLX is known to impact on body weight, with mechanisms yet to be
elucidated. We herein asked whether FLX affects energy balance, the leptin system
and BDNF function. Adult lean male mice chronically treated with FLX showed
reduced weight gain, higher energy expenditure, increased sensitivity to acute
leptin, increased hypothalamic BDNF expression, associated to changes in white
adipose tissue expression typical of "brownization". In the Ntrk2tm1Ddg/J model,
carrying a mutation in the BDNF receptor Tyrosine kinase B (TrkB), these effects
are partially or totally reversed. Wild type obese mice treated with FLX showed
reduced weight gain, increased energy output, and differently from untreated
obese mice, a preserved acute response to leptin in terms of activation of the
intracellular leptin transducer STAT3. In conclusion, FLX impacts on energy
balance and induces leptin sensitivity and an intact TrkB function is required
for these effects to take place.
PMID- 29379098
TI - DNA structure at the plasmid origin-of-transfer indicates its potential transfer
range.
AB - Horizontal gene transfer via plasmid conjugation enables antimicrobial resistance
(AMR) to spread among bacteria and is a major health concern. The range of
potential transfer hosts of a particular conjugative plasmid is characterised by
its mobility (MOB) group, which is currently determined based on the amino acid
sequence of the plasmid-encoded relaxase. To facilitate prediction of plasmid MOB
groups, we have developed a bioinformatic procedure based on analysis of the
origin-of-transfer (oriT), a merely 230 bp long non-coding plasmid DNA region
that is the enzymatic substrate for the relaxase. By computationally interpreting
conformational and physicochemical properties of the oriT region, which
facilitate relaxase-oriT recognition and initiation of nicking, MOB groups can be
resolved with over 99% accuracy. We have shown that oriT structural properties
are highly conserved and can be used to discriminate among MOB groups more
efficiently than the oriT nucleotide sequence. The procedure for prediction of
MOB groups and potential transfer range of plasmids was implemented using
published data and is available at http://dnatools.eu/MOB/plasmid.html .
PMID- 29379099
TI - The molecular mechanism of cell cycle arrest in the Bursa of Fabricius in chick
exposed to Aflatoxin B 1.
AB - Aflatoxin B1 shows potent hepatotoxic, carcinogenic, genotoxic, immunotoxic
potential in humans and many species of animals. The aim of this study was to
clarify the underlying mechanism of G0G1 phase and G2M phase arrest of cell cycle
in the bursa of Fabricius in broilers exposed to dietary AFB1. 144 one-day-old
healthy Cobb broilers were randomly divided into two groups and fed on control
diet and 0.6 mg.Kg-1 AFB1 diet for 3 weeks. Histological observation showed that
AFB1 induced the increase of nuclear debris and vacuoles in lymphoid follicle of
BF. Results of flow cytometry studies showed that bursal cells arrested in G2M
phase at 7 days of age and blocked in G0G1 phase at 14 and 21 days of age
following exposure to AFB1. The qRT-PCR analysis indicated that cell cycle
arrested in G2M phase via ATM-Chk2-cdc25-cyclin B/cdc2 pathway, and blocked in
G0G1 phase through ATM-Chk2-cdc25-cyclin D/CDK6 pathway and ATM-Chk2-p21-cyclin
D/CDK6 route. In a word, our results provided new insights that AFB1 diet induced
G2M and G0G1 phase blockage of BF cells in different periods, and different
pathways were activated in different arrested cell cycle phase.
PMID- 29379100
TI - Social rank-associated stress vulnerability predisposes individuals to cocaine
attraction.
AB - Studies of personality have suggested that dissimilarities in ability to cope
with stressful situations results in differing tendency to develop addictive
behaviors. The present study used selectively bred stress-resilient, socially
dominant (Dom) and stress-vulnerable, socially-submissive (Sub) mice to
investigate the interaction between environmental stress and inbred
predisposition to develop addictive behavior to cocaine. In a Conditioned Place
Preference (CPP) paradigm using cocaine, Sub mice displayed an aversion to drug,
whereas Dom mice displayed drug attraction. Following a 4-week regimen of Chronic
Mild Stress (CMS), Sub mice in CPP displayed a marked increase (>400%) in cocaine
attraction, whereas Dom mice did not differ in attraction from their non-stressed
state. Examination of hippocampal gene expression revealed in Sub mice, exposure
to external stimuli, stress or cocaine, increased CRH expression (>100%), which
was evoked in Dom mice only by cocaine exposure. Further, stress-induced
decreases in DRD1 (>60%) and DRD2 (>50%) expression in Sub mice differed markedly
from a complete lack of change in Dom mice. From our findings, we propose that
social stratification dictates vulnerability to stress-induced attraction that
may lead to addiction via differential regulation of hippocampal response to
dopaminergic input, which in turn may influence differing tendency to develop
addictive behaviors.
PMID- 29379101
TI - Extra-Mediterranean glacial refuges in barred and common grass snakes (Natrix
helvetica, N. natrix).
AB - Extra-Mediterranean glacial refugia of thermophilic biota, in particular in
northern latitudes, are controversial. In the present study we provide genetic
evidence for extra-Mediterranean refugia in two species of grass snake. The
refuge of a widely distributed western European lineage of the barred grass snake
(Natrix helvetica) was most likely located in southern France, outside the
classical refuges in the southern European peninsulas. One genetic lineage of the
common grass snake (N. natrix), distributed in Scandinavia, Central Europe and
the Balkan Peninsula, had two distinct glacial refuges. We show that one was
located in the southern Balkan Peninsula. However, Central Europe and Scandinavia
were not colonized from there, but from a second refuge in Central Europe. This
refuge was located in between the northern ice sheet and the Alpine glaciers of
the last glaciation and most likely in a permafrost region. Another co
distributed genetic lineage of N. natrix, now massively hybridizing with the
aforementioned lineage, survived the last glaciation in a structured refuge in
the southern Balkan Peninsula, according to the idea of 'refugia-within-refugia'.
It reached Central Europe only very recently. This study reports for the first
time the glacial survival of a thermophilic egg-laying reptile species in Central
Europe.
PMID- 29379102
TI - Multimodal imaging of buried optic nerve head drusen.
PMID- 29379103
TI - Are we ready for genetic testing for primary open-angle glaucoma?
AB - Following a dramatic reduction in the cost of genotyping technology in recent
years, there have been significant advances in the understanding of the genetic
basis of glaucoma. Glaucoma patients represent around a quarter of all outpatient
activity in the UK hospital eye service and are a huge burden for the National
Health Service. A potential benefit of genetic testing is personalised glaucoma
management, allowing direction of our limited healthcare resources to the
glaucoma patients who most need it. Our review aims to summarise recent
discoveries in the field of glaucoma genetics and to discuss their potential
clinical utility. While genome-wide association studies have now identified over
ten genes associated with primary open-angle glaucoma (POAG), individually,
variants in these genes are not predictive of POAG in populations. There are data
suggesting some of these POAG variants are associated with conversion from ocular
hypertension to POAG and visual field progression among POAG patients. However,
these studies have not been replicated yet and such genetic testing is not
currently justified in clinical care. In contrast, genetic testing for inherited
early-onset disease in relatives of POAG patients with a known genetic mutation
is of clear benefit; this can support either regular review to commence early
treatment when the disease develops, or discharge from ophthalmology services of
relatives who do not carry the mutation. Genetic testing for POAG at a population
level is not currently justified.
PMID- 29379104
TI - Slit lamps and lenses: a potential source of nosocomial infections?
AB - PURPOSE: The aim of the study was to evaluate the bacterial contamination level
of contact surfaces on slit lamps and the grip areas of lenses. METHODS: Within
unannounced audits, two regions of the slit lamps (headrest and joystick),
indirect ophthalmoscopy devices, and ultrasound probes were obtained with rayon
tipped swab. Non-contact lenses used for indirect fundoscopy were pressed on
RODAC (Replicate Organism Detection and Counting) plates. One hundred and eighty
one surfaces were sampled. The total number of colony-forming units was assessed
and bacterial species were identified. Spa-typing and antimicrobial
susceptibility testing were performed from Staphylococcus aureus isolates.
RESULTS: Among the total bacterial isolates from ophthalmological equipment
(lenses: 51 of 78, slit lamps: 43 of 88, ophthalmoscopy helmets: 3 of 8,
ultrasound probes: 2 of 7), coagulase-negative staphylococci (CNS) was most
frequently found, followed by Micrococcus spp. (lenses vs. slit lamps: P < 0.001
and P = 0.01, respectively). The bacterial contamination of lenses (76%) was
significantly higher than that of slit lamps (54%) (P < 0.003). A significantly
higher contamination with CNS was observed on lenses from residents vs. from
consultants (78% vs. 35%, P = 0.01). A total of seven different spa-types of S.
aureus were isolated. No correlation was found between S. aureus contamination of
different ophthalmological equipments (Spearman's rank correlation coefficient,
rho = 0.04, P = 0.75). Methicillin-resistant S. aureus was not detected.
CONCLUSION: Bacterial species of the normal skin flora were isolated from the
ophthalmological equipment. The bacterial contamination of the portable devices
was significantly higher than that of slit lamps. Therefore, proper hygiene of
the mobile instruments should be monitored in order to prevent transmission of
bacteria in residents and consultants.
PMID- 29379105
TI - Developmental Maturation and Alpha-1 Adrenergic Receptors-Mediated Gene
Expression Changes in Ovine Middle Cerebral Arteries.
AB - The Alpha Adrenergic Signaling Pathway is one of the chief regulators of
cerebrovascular tone and cerebral blood flow (CBF), mediating its effects in the
arteries through alpha1-adrenergic receptors (Alpha1AR). In the ovine middle
cerebral artery (MCA), with development from a fetus to an adult, others and we
have shown that Alpha1AR play a key role in contractile responses, vascular
development, remodeling, and angiogenesis. Importantly, Alpha1AR play a
significant role in CBF autoregulation, which is incompletely developed in a
premature fetus as compared to a near-term fetus. However, the mechanistic
pathways are not completely known. Thus, we tested the hypothesis that as a
function of maturation and in response to Alpha1AR stimulation there is a
differential gene expression in the ovine MCA. We conducted microarray analysis
on transcripts from MCAs of premature fetuses (96-day), near-term fetuses (145
day), newborn lambs, and non-pregnant adult sheep (2-year) following stimulation
of Alpha1AR with phenylephrine (a specific agonist). We observed several genes
which belonged to pro-inflammatory and vascular development/angiogenesis pathway
significantly altered in all of the four age groups. We also observed age
specific changes in gene expression-mediated by Alpha1AR stimulation in the
different developmental age groups. These findings imply complex regulatory
mechanisms of cerebrovascular development.
PMID- 29379106
TI - Three-dimensional label-free imaging and analysis of Pinus pollen grains using
optical diffraction tomography.
AB - The structure of pollen grains is related to the reproductive function of the
plants. Here, three-dimensional (3D) refractive index maps were obtained for
individual conifer pollen grains using optical diffraction tomography (ODT). The
3D morphological features of pollen grains from pine trees were investigated
using measured refractive index maps, in which distinct substructures were
clearly distinguished and analyzed. Morphological and physiochemical parameters
of the pollen grains were quantified from the obtained refractive index (RI) maps
and used to quantitatively study the interspecific differences of pollen grains
from different strains. Our results demonstrate that ODT can assess the structure
of pollen grains. This label-free and rapid 3D imaging approach may provide a new
platform for understanding the physiology of pollen grains.
PMID- 29379107
TI - Optical breakdown of solids by few-cycle laser pulses.
AB - We show that a broadly accepted criterion of laser-induced breakdown in solids,
defining the laser-breakdown threshold in terms of the laser fluence or laser
intensity needed to generate a certain fraction of the critical electron density
rc within the laser pulse, fails in the case of high-intensity few-cycle laser
pulses. Such laser pulses can give rise to subcycle oscillations of electron
density rho with peak rho values well above rhoc even when the total energy of
the laser pulse is too low to induce a laser damage of material. The central idea
of our approach is that, instead of the rho = rho c ratio, the laser-breakdown
threshold connects to the total laser energy coupled to the electron subsystem
and subsequently transferred to the crystal lattice. With this approach, as we
show in this work, predictions of the physical model start to converge to the
available experimental data.
PMID- 29379108
TI - Graphene-catalyzed formation of C=N bonds via cleavage of C-C and N-O bonds in
ethanol and nitrate under room temperature.
AB - The cleavage of carbon-carbon bonds and the formation of carbon-nitrogen bonds
play crucial roles in chemical synthesis. However, these reactions usually
proceed at high temperature and involve multiple steps. Herein, we report an
unusual and novel reaction catalyzed by graphene. The C-C bond in ethanol and the
N-O bond in nitrate can be broken under room temperature, accompanied by the
formation of the C=N bond. We demonstrate these reactions and elucidate their
mechanisms by verifying that the product is silver cyanide which was formed when
mixing a solution of silver nitrate and ethanol with graphene dispersion in
ethanol at room temperature. The pivotal reason for the reaction is the formation
of the precipitated silver cyanide. In a broader context, this discovery opens a
significant new path for the breakage of the C-C bond in ethanol and the
synthesis of nitriles under mild conditions. Also, the graphene was first
reported as a catalyst for the room-temperature reaction.
PMID- 29379109
TI - In-situ liquid cell transmission electron microscopy investigation on oriented
attachment of gold nanoparticles.
AB - Inside a liquid solution, oriented attachment (OA) is now recognized to be as
important a pathway to crystal growth as other, more conventional growth
mechanisms. However, the driving force that controls the occurrence of OA is
still poorly understood. Here, using in-situ liquid cell transmission electron
microscopy, we demonstrate the ligand-controlled OA of citrate-stabilized gold
nanoparticles at atomic resolution. Our data reveal that particle pairs rotate
randomly at a separation distance greater than twice the layer thickness of
adsorbed ligands. In contrast, when the particles get closer, their ligands
overlap and guide the rotation into a directional mode until they share a common
{111} orientation, when a sudden contact occurs accompanied by the simultaneous
expulsion of the ligands on this surface. First-principle calculations confirm
that the lower ligand binding energy on {111} surfaces is the intrinsic reason
for the preferential attachment at this facet, rather than on other low-index
facets.
PMID- 29379110
TI - Transaminase levels reflect disease severity in children ventilated for
respiratory syncytial virus (RSV) bronchiolitis.
AB - Bronchiolitis, often caused by respiratory syncytial virus (RSV), is the
commonest cause of hospitalisation in infancy. Serum transaminases are sometimes
raised in children with bronchiolitis. We tested the hypothesis that raised
transaminases are associated with increased disease severity in children
ventilated for bronchiolitis. Prospective observational cohort study of
mechanically ventilated children with community-acquired RSV bronchiolitis.
Alanine transaminase (ALT) and aspartate transaminase (AST) levels were measured
daily. Children with normal transaminases were compared with those with elevated
levels. Over 11 consecutive winters, 556 children with RSV bronchiolitis were
mechanically ventilated - 226 had comorbidities and therefore excluded; 313 of
remaining 330 were under 2 years age; 305 had early transaminase measurements.
57/305 (19%) had elevated transaminase (AST and/or ALT) levels. For the first
time we show that duration of ventilation and length of admission were both
significantly longer, and paediatric index of mortality and C-reactive protein
higher, in those with elevated AST levels on admission (but not those with
elevated ALT levels). Furthermore, transaminase elevations were transient,
generally having normalised by seven days following admission. RSV bronchiolitis
was more severe in children with early elevated AST levels and could be used
early in the illness as a predictor for disease severity.
PMID- 29379111
TI - New Antimicrobial Potential and Structural Properties of PAFB: A Cationic,
Cysteine-Rich Protein from Penicillium chrysogenum Q176.
AB - Small, cysteine-rich and cationic proteins with antimicrobial activity are
produced by diverse organisms of all kingdoms and represent promising molecules
for drug development. The ancestor of all industrial penicillin producing
strains, the ascomycete Penicillium chryosgenum Q176, secretes the extensively
studied antifungal protein PAF. However, the genome of this strain harbours at
least two more genes that code for other small, cysteine-rich and cationic
proteins with potential antifungal activity. In this study, we characterized the
pafB gene product that shows high similarity to PgAFP from P. chrysogenum R42C.
Although abundant and timely regulated pafB gene transcripts were detected, we
could not identify PAFB in the culture broth of P. chrysogenum Q176. Therefore,
we applied a P. chrysogenum-based expression system to produce sufficient amounts
of recombinant PAFB to address unanswered questions concerning the structure and
antimicrobial function. Nuclear magnetic resonance (NMR)-based analyses revealed
a compact beta-folded structure, comprising five beta-strands connected by four
solvent exposed and flexible loops and an "abcabc" disulphide bond pattern. We
identified PAFB as an inhibitor of growth of human pathogenic moulds and yeasts.
Furthermore, we document for the first time an anti-viral activity for two
members of the small, cysteine-rich and cationic protein group from ascomycetes.
PMID- 29379112
TI - Downregulation of glutamic acid decarboxylase in Drosophila TDP-43-null brains
provokes paralysis by affecting the organization of the neuromuscular synapses.
AB - Amyotrophic lateral sclerosis is a progressive neurodegenerative disease that
affects the motor system, comprised of motoneurons and associated glia.
Accordingly, neuronal or glial defects in TDP-43 function provoke paralysis due
to the degeneration of the neuromuscular synapses in Drosophila. To identify the
responsible molecules and mechanisms, we performed a genome wide proteomic
analysis to determine differences in protein expression between wild-type and TDP
43-minus fly heads. The data established that mutant insects presented reduced
levels of the enzyme glutamic acid decarboxylase (Gad1) and increased
concentrations of extracellular glutamate. Genetic rescue of Gad1 activity in
neurons or glia was sufficient to recuperate flies locomotion, synaptic
organization and glutamate levels. Analogous recovery was obtained by treating
TDP-43-null flies with glutamate receptor antagonists demonstrating that Gad1
promotes synapses formation and prevents excitotoxicity. Similar suppression of
TDP-43 provoked the downregulation of GAD67, the Gad1 homolog protein in human
neuroblastoma cell lines and analogous modifications were observed in iPSC
derived motoneurons from patients carrying mutations in TDP-43, uncovering
conserved pathological mechanisms behind the disease.
PMID- 29379113
TI - A regional assessment of cumulative impact mapping on Mediterranean coralligenous
outcrops.
AB - In the last decade, the 'Cumulative Pressure and Impact Assessment' (CPIA)
approach emerged as a tool to map expected impacts on marine ecosystems. However,
CPIA assumes a linear response of ecosystems to increasing level of cumulative
pressure weighting sensitivity to different anthropogenic pressures through
expert judgement. We applied CPIA to Mediterranean coralligenous outcrops over
1000 km of the Italian coastline. Extensive field surveys were conducted to
assess the actual condition of coralligenous assemblages at varying levels of
human pressure. As pressure increased, a clear shift from bioconstructors to turf
dominated assemblages was found. The linear model originally assumed for CPIA did
not fit the actual relationship between expected cumulative impact versus
assemblage degradation. A log-log model, instead, best fitted the data and
predicted a different map of cumulative impact in the study area able to
appreciate the whole range of impact scenarios. Hence, the relative importance of
different drivers in explaining the observed pattern of degradation was not
aligned with weights from the expert opinion. Such findings stress the need for
more incisive efforts to collect empirical evidence on ecosystem-specific
responses to human pressure in order to refine CPIA predictions.
PMID- 29379114
TI - Comparison of focused cognitive training and portable "brain-games" on functional
outcomes for vocational rehabilitation participants.
AB - Cognitive remediation performed in a cognitive laboratory was compared with a
sham control using portable brain games to study effects on vocational,
neurocognitive, and functional outcomes for participants with psychotic disorders
in vocational rehabilitation (VR). Seventy-seven participants (61% schizophrenia,
39% other psychosis) in transitional (45.5%) or supported employment (54.5%) were
randomly assigned to 6 months of portable cognitive-games (CG) or cognitive
remediation (CR) plus a weekly goal-setting group, and evaluated during training,
post-training and at 12 months. Overall rates of employment did not differ
significantly at 12-month follow-up; however, VR + CG attained employment more
rapidly during training. A significant time by condition interaction favored VR +
CR on Quality of Life Total Score and Instrumental Functioning over 12 months.
Neurocognitive outcomes favored VR + CR, particularly on attention. Training
hours related significantly to neurocognitive improvement regardless of
condition. No differences were found in training adherence despite portability
for VR + CG. Results indicate that VR + CR had significantly greater effect than
VR + CG on neurocognition and community functioning, but not on employment
outcome. Job attainment rates during the training period revealed a potential
advantage for portable training raising new questions concerning how cognitive
remediation can be most effectively integrated with VR.
PMID- 29379115
TI - Chemotherapy drugs cyclophosphamide, cisplatin and doxorubicin induce germ cell
loss in an in vitro model of the prepubertal testis.
AB - Long term survival rates for childhood cancers is steadily increasing, however
cancer survivors can experience fertility problems as a consequence of
chemotherapy treatment. This is particularly problematic for young boys, for whom
no fertility preservation treatment is yet established. Here, we have determined
the effects on prepubertal mouse testis of three commonly used chemotherapy
drugs; cyclophosphamide (using its active metabolite phosphoramide mustard),
cisplatin and doxorubicin, exposing testicular fragments to a clinically relevant
range of concentrations in vitro. All three drugs induced a specific and highly
significant loss of germ cells, including spermatogonial stem cells. In contrast,
there was no significant effect on somatic cells, for either Sertoli or
interstitial cells. Time course analysis of cleaved Caspase-3 expression showed a
significant increase in apoptosis eight hours prior to a detectable decrease in
germ cell numbers following exposure to phosphoramide mustard or cisplatin,
although this pattern was not seen following doxorubicin-exposure. Moreover,
analysis of DNA damage at 16 h showed increased gammaH2AX expression in response
to all three drugs. Overall, results show that cisplatin, doxorubicin and
cyclophosphamide all specifically induce loss of germ cells, including of
spermatogonial stem cells, in the prepubertal mouse testis at concentrations
relevant to human therapeutic exposures.
PMID- 29379117
TI - Medial preoptic circuit induces hunting-like actions to target objects and prey.
AB - As animals forage, they must obtain useful targets by orchestrating appropriate
actions that range from searching to chasing, biting and carrying. Here, we
reveal that neurons positive for the alpha subunit of Ca2+/calmodulin-dependent
kinase II (CaMKIIalpha) in the medial preoptic area (MPA) that send projections
to the ventral periaqueductal gray (vPAG) mediate these target-directed actions
in mice. During photostimulation of the MPA-vPAG circuit, mice vigorously engaged
with 3D objects and chased moving objects. When exposed to a cricket, they hunted
down the prey and bit it to kill. By applying a head-mounted object control with
timely photostimulation of the MPA-vPAG circuit, we found that MPA-vPAG circuit
induced actions occurred only when the target was detected within the binocular
visual field. Using this device, we successfully guided mice to navigate
specified routes. Our study explains how the brain yields a strong motivation to
acquire a target object along the continuum of hunting behavior.
PMID- 29379116
TI - Insular cortex mediates approach and avoidance responses to social affective
stimuli.
AB - Social animals detect the affective states of conspecifics and utilize this
information to orchestrate social interactions. In a social affective preference
text in which experimental adult male rats could interact with either naive or
stressed conspecifics, the experimental rats either approached or avoided the
stressed conspecific, depending upon the age of the conspecific. Specifically,
experimental rats approached stressed juveniles but avoided stressed adults.
Inhibition of insular cortex, which is implicated in social cognition, and
blockade of insular oxytocin receptors disrupted the social affective behaviors.
Oxytocin application increased intrinsic excitability and synaptic efficacy in
acute insular cortex slices, and insular oxytocin administration recapitulated
the behaviors observed toward stressed conspecifics. Network analysis of c-Fos
immunoreactivity in 29 regions identified functional connectivity between insular
cortex, prefrontal cortex, amygdala and the social decision-making network. These
results implicate insular cortex as a key component in the circuit underlying age
dependent social responses to stressed conspecifics.
PMID- 29379118
TI - Regulation of Kv2.1 channel inactivation by phosphatidylinositol 4,5
bisphosphate.
AB - Phosphatidylinositol 4,5-bisphosphate (PIP2) is a membrane phospholipid that
regulates the function of multiple ion channels, including some members of the
voltage-gated potassium (Kv) channel superfamily. The PIP2 sensitivity of Kv
channels is well established for all five members of the Kv7 family and for Kv1.2
channels; however, regulation of other Kv channels by PIP2 remains unclear. Here,
we investigate the effects of PIP2 on Kv2.1 channels by applying exogenous PIP2
to the cytoplasmic face of excised membrane patches, activating muscarinic
receptors (M1R), or depleting endogenous PIP2 using a rapamycin-translocated 5
phosphatase (FKBP-Inp54p). Exogenous PIP2 rescued Kv2.1 channels from rundown and
partially prevented the shift in the voltage-dependence of inactivation observed
in inside-out patch recordings. Native PIP2 depletion by the recruitment of FKBP
Insp54P or M1R activation in whole-cell experiments, induced a shift in the
voltage-dependence of inactivation, an acceleration of the closed-state
inactivation, and a delayed recovery of channels from inactivation. No
significant effects were observed on the activation mechanism by any of these
treatments. Our data can be modeled by a 13-state allosteric model that takes
into account that PIP2 depletion facilitates inactivation of Kv2.1. We propose
that PIP2 regulates Kv2.1 channels by interfering with the inactivation
mechanism.
PMID- 29379119
TI - The immunology of hepatocellular carcinoma.
AB - In contrast to most other malignancies, hepatocellular carcinoma (HCC), which
accounts for approximately 90% of primary liver cancers, arises almost
exclusively in the setting of chronic inflammation. Irrespective of etiology, a
typical sequence of chronic necroinflammation, compensatory liver regeneration,
induction of liver fibrosis and subsequent cirrhosis often precedes
hepatocarcinogenesis. The liver is a central immunomodulator that ensures organ
and systemic protection while maintaining immunotolerance. Deregulation of this
tightly controlled liver immunological network is a hallmark of chronic liver
disease and HCC. Notably, immunotherapies have raised hope for the successful
treatment of advanced HCC. Here we summarize the roles of specific immune cell
subsets in chronic liver disease, with a focus on non-alcoholic steatohepatitis
and HCC. We review new advances in immunotherapeutic approaches for the treatment
of HCC and discuss the challenges posed by the immunotolerant hepatic environment
and the dual roles of adaptive and innate immune cells in HCC.
PMID- 29379120
TI - Structural and functional characterization of shaft, anchor, and tip proteins of
the Mfa1 fimbria from the periodontal pathogen Porphyromonas gingivalis.
AB - Very little is known about how fimbriae of Bacteroidetes bacteria are assembled.
To shed more light on this process, we solved the crystal structures of the shaft
protein Mfa1, the regulatory protein Mfa2, and the tip protein Mfa3 from the
periodontal pathogen Porphyromonas gingivalis. Together these build up part of
the Mfa1 fimbria and represent three of the five proteins, Mfa1-5, encoded by the
mfa1 gene cluster. Mfa1, Mfa2 and Mfa3 have the same overall fold i.e., two beta
sandwich domains. Upon polymerization, the first beta-strand of the shaft or tip
protein is removed by indigenous proteases. Although the resulting void is
expected to be filled by a donor-strand from another fimbrial protein, the
mechanism by which it does so is still not established. In contrast, the first
beta-strand in Mfa2, the anchoring protein, is firmly attached by a disulphide
bond and is not cleaved. Based on the structural information, we created multiple
mutations in P. gingivalis and analysed their effect on fimbrial polymerization
and assembly in vivo. Collectively, these data suggest an important role for the
C-terminal tail of Mfa1, but not of Mfa3, affecting both polymerization and
maturation of downstream fimbrial proteins.
PMID- 29379121
TI - A new method using Raman spectroscopy for in vivo targeted brain cancer tissue
biopsy.
AB - Modern cancer diagnosis requires histological, molecular, and genomic tumor
analyses. Tumor sampling is often achieved using a targeted needle biopsy
approach. Targeting errors and cancer heterogeneity causing inaccurate sampling
are important limitations of this blind technique leading to non-diagnostic or
poor quality samples, and the need for repeated biopsies pose elevated patient
risk. An optical technology that can analyze the molecular nature of the tissue
prior to harvesting could improve cancer targeting and mitigate patient risk.
Here we report on the design, development, and validation of an in situ
intraoperative, label-free, cancer detection system based on high wavenumber
Raman spectroscopy. This optical detection device was engineered into a
commercially available biopsy system allowing tumor analysis prior to tissue
harvesting without disrupting workflow. Using a dual validation approach we show
that high wavenumber Raman spectroscopy can detect human dense cancer with >60%
cancer cells in situ during surgery with a sensitivity and specificity of 80% and
90%, respectively. We also demonstrate for the first time the use of this system
in a swine brain biopsy model. These studies set the stage for the clinical
translation of this optical molecular imaging method for high yield and safe
targeted biopsy.
PMID- 29379122
TI - Rheumatoid arthritis patient antibodies highly recognize IL-2 in the immune
response pathway involving IRF5 and EBV antigens.
AB - Rheumatoid arthritis (RA) is a chronic autoimmune disease characterized by a
progressive joint damage due to largely unknown environmental factors acting in
concert with risk alleles conferring genetic susceptibility. A major role has
been attributed to viral infections that include past contacts with Epstein-Barr
virus (EBV) and, more recently, to non-protein coding sequences of human
endogenous retrovirus K (HERV-K) integrated in the human genome. Molecular
mimicry between viral and self proteins is supposed to cause the loss of immune
tolerance in predisposed hosts. There are evidences that anti-IL-2 antibodies
(Abs) are present in subjects affected by autoimmune diseases and may be
responsible for alterations in regulatory T cell responses. In this study, we
evaluated the levels of Abs against IL-2, viral epitopes and interferon
regulatory factor 5 (IRF5) in 140 RA patients and 137 healthy controls (HCs). Ab
reactivity reached the highest levels for IRF5, EBV and IL-2 (56%, 44% and 39%,
respectively) in RA with significantly lower values among HCs (7-9%, p < 0.0001),
which suggests a possible cross-reaction between IRF5/EBV homologous antigens and
shifts in T cell balance disrupted by anti-IL-2 Abs.
PMID- 29379123
TI - A scalable approach to the computation of invariant measures for high-dimensional
Markovian systems.
AB - The Markovian invariant measure is a central concept in many disciplines.
Conventional numerical techniques for data-driven computation of invariant
measures rely on estimation and further numerical processing of a transition
matrix. Here we show how the quality of data-driven estimation of a transition
matrix crucially depends on the validity of the statistical independence
assumption for transition probabilities. Moreover, the cost of the invariant
measure computation in general scales cubically with the dimension - and is
usually unfeasible for realistic high-dimensional systems. We introduce a method
relaxing the independence assumption of transition probabilities that scales
quadratically in situations with latent variables. Applications of the method are
illustrated on the Lorenz-63 system and for the molecular dynamics (MD)
simulation data of the alpha-synuclein protein. We demonstrate how the
conventional methodologies do not provide good estimates of the invariant measure
based upon the available alpha-synuclein MD data. Applying the introduced
approach to these MD data we detect two robust meta-stable states of alpha
synuclein and a linear transition between them, involving transient formation of
secondary structure, qualitatively consistent with previous purely experimental
reports.
PMID- 29379124
TI - Bacillus licheniformis normalize the ileum microbiota of chickens infected with
necrotic enteritis.
AB - Necrotic enteritis (NE) is a severe intestinal disease, which can change gut
microbiota and result in a high cost for the poultry industry worldwide. However,
little is known regarding how the gut microbiota of NE chicken ileum are changed
by Bacillus licheniformis. This study was conducted to investigate how ileum
microbiota structure was changed by B. licheniformis in broiler chickens
challenged with Clostridium perfringens-induced NE through Illumina MiSeq
sequencing. The broilers were randomly separated into four groups: the negative
control group (NC), the positive control group (PC), the fishmeal and coccidia
group (FC), and the PC group supplied with feed containing B. licheniformis (BL).
Compared to the PC and FC, alpha diversity, beta diversity, and the bacterial
taxa of the ileum microbiota were more similar in BL and NC. Some genera, which
were related to the NE control, became insignificant in BL with NC, such as
Lactobacillus, Lactococcus, Bacteroides, Ruminococcus and Helicobacter. The
PICRUSt analysis revealed that a tumour suppressor gene, p53, which was
negatively correlated with Helicobacter, was enriched in the BL group. Our
findings showed that the ileum microbiota disorder caused by NE in chickens was
normalized by dietary B. licheniformis supplementation.
PMID- 29379125
TI - Novel insights into the mechanism of well-ordered assembly of bacterial flagellar
proteins in Salmonella.
AB - The FliI ATPase of the flagellar type III protein export apparatus forms the
FliH2FliI complex along with its regulator FliH. The FliH2FliI complex is
postulated to bring export substrates from the cytoplasm to the docking platform
made of FlhA and FlhB although not essential for flagellar protein export. Here,
to clarify the role of the FliH2FliI complex in flagellar assembly, we analysed
the effect of FliH and FliI deletion on flagellar protein export and assembly.
The hook length was not controlled properly in the ?fliH-fliI flhB(P28T) mutant
compared to wild-type cells, whose hook length is controlled to about 55 nm
within 10% error. The FlhA(F459A) mutation increased the export level of the hook
protein FlgE and the ruler protein FliK by about 10-fold and 3-fold,
respectively, and improved the hook length control in the absence of FliH and
FliI. However, the ?fliH-fliI flhB(P28T) flhA(F459A) mutant did not produce
flagellar filaments efficiently, and a large amount of flagellin monomers were
leaked out into the culture media. Neither the hook length control nor flagellin
leakage was affected by the FlhB(P28T) and FlhA(F459A) mutations. We will discuss
a hierarchical protein export mechanism of the bacterial flagellum.
PMID- 29379126
TI - Edaphic characterization and plant zonation in the Qaidam Basin, Tibetan Plateau.
AB - This paper presents a study of edaphic characteristics and their relationship
with plant distribution in the Qaidam Basin, Tibetan Plateau, and establishes a
distribution model for plants in sandy gravel Gobi to dry salt lake areas. All of
the communities in the study area were dominated by plants with strong saline
alkaline tolerance. In this area, salts appeared to migrate to the surface; the
surface soil was striped, and the salt distribution varied from sandy gravel Gobi
to dry salt lake areas. The salt composition mainly consisted of NaCl in the
surface crust. In the subsurface layers, the salt composition was dominated by
Ca2+, Cl- and SO42-. The type of vegetation at the study site can be divided into
two categories: salt-tolerant vegetation and weakly salt-tolerant vegetation. The
salt-tolerant vegetation is influenced by Na+, Cl-, and the salinity. The soil of
these vegetation communities had a higher salt and Na+ concentration and a lower
Ca2+ and K+ concentration. The weakly salt-tolerant vegetation is mainly affected
by the Ca2+/Na+ and K+/Na+ ratios. Based on the above results, a vegetation
distribution model for saline lakes on the inland plateau was established.
PMID- 29379128
TI - Injection locking at 2f of spin torque oscillators under influence of thermal
noise.
AB - Integration of Spin Torque Nano-Oscillators STNO's in conventional microwave
circuits means that the devices have to meet certain specifications. One of the
most important criteria is the phase noise, being the key parameter to evaluate
the performance and define possible applications. Phase locking several
oscillators together has been suggested as a possible means to decrease phase
noise and consequently, the linewidth. In this work we present experiments,
numerical simulations and an analytic model to describe the effects of thermal
noise in the injection locking of a tunnel junction based STNO. The analytics
show the relation of the intrinsic parameters of the STNO with the phase noise
level, opening the path to tailor the spectral characteristics by the magnetic
configuration. Experiments and simulations demonstrate that in the in-plane
magnetized structure, while the frequency is locked, much higher reference
currents are needed to reduce the noise by phase locking. Moreover, our analysis
shows that it is possible to control the phase noise by the reference microwave
current (IRF) and that it can be further reduced by increasing the bias current
(IDC) of the oscillator, keeping the reference current in feasible limits for
applications.
PMID- 29379127
TI - PlanHab Study: Consequences of combined normobaric hypoxia and bed rest on
adenosine kinetics.
AB - Adenosine plays a role in the energy supply of cells and provokes differential,
hormone-like functions in circulating cells and various tissues. Its release is
importantly regulated by oxygen tension. This renders adenosine and its kinetics
interesting to investigate in humans subjected to low oxygen conditions.
Especially for space exploration scenarios, hypoxic conditions - together with
reduced gravity - represent two foreseen living conditions when planning manned
long-duration space missions or planetary habitats. The PlanHab study
investigated microgravity through inactivity in bed rest and normobaric hypoxia
to examine their independent or combined effect on adenosine and its kinetics.
Healthy male subjects (n = 14) completed three 21-day interventions: hypoxic bed
rest (HBR); hypoxic ambulatory confinement (HAMB); normoxic bed rest (NBR). The
interventions were separated by 4 months. Our hypothesis of a hypoxia-triggered
increase in adenosine was confirmed in HAMB but unexpectedly also in NBR.
However, the highest adenosine levels were noted following HBR. Furthermore, the
percentage of hemolysis was elevated in HBR whereas endothelial integrity markers
stayed low in all three interventions. In summary, these data suggest that
neocytolysis accounts for these effects while we could reduce evidence for
microcirculatory changes.
PMID- 29379129
TI - Neural correlates underlying change in state self-esteem.
AB - State self-esteem, the momentary feeling of self-worth, functions as a sociometer
involved in maintenance of interpersonal relations. How others' appraisal is
subjectively interpreted to change state self-esteem is unknown, and the neural
underpinnings of this process remain to be elucidated. We hypothesized that
changes in state self-esteem are represented by the mentalizing network, which is
modulated by interactions with regions involved in the subjective interpretation
of others' appraisal. To test this hypothesis, we conducted task-based and
resting-state fMRI. Participants were repeatedly presented with their
reputations, and then rated their pleasantness and reported their state self
esteem. To evaluate the individual sensitivity of the change in state self-esteem
based on pleasantness (i.e., the subjective interpretation of reputation), we
calculated evaluation sensitivity as the rate of change in state self-esteem per
unit pleasantness. Evaluation sensitivity varied across participants, and was
positively correlated with precuneus activity evoked by reputation rating.
Resting-state fMRI revealed that evaluation sensitivity was positively correlated
with functional connectivity of the precuneus with areas activated by negative
reputation, but negatively correlated with areas activated by positive
reputation. Thus, the precuneus, as the part of the mentalizing system, serves as
a gateway for translating the subjective interpretation of reputation into state
self-esteem.
PMID- 29379130
TI - DUSP5 is methylated in CIMP-high colorectal cancer but is not a major regulator
of intestinal cell proliferation and tumorigenesis.
AB - The ERK signalling pathway regulates key cell fate decisions in the intestinal
epithelium and is frequently dysregulated in colorectal cancers (CRCs).
Variations in the dynamics of ERK activation can induce different biological
outcomes and are regulated by multiple mechanisms, including activation of
negative feedback loops involving transcriptional induction of dual-specificity
phosphatases (DUSPs). We have found that the nuclear ERK-selective phosphatase
DUSP5 is downregulated in colorectal tumours and cell lines, as previously
observed in gastric and prostate cancer. The DUSP5 promoter is methylated in a
subset of CRC cell lines and primary tumours, particularly those with a CpG
island methylator phenotype (CIMP). However, this epigenetic change alone could
not account for reduced DUSP5 expression in CRC cells. Functionally, DUSP5
depletion failed to alter ERK signalling or proliferation in CRC cell lines, and
its transgenic overexpression in the mouse intestine had minimal impact on normal
intestinal homeostasis or tumour development. Our results suggest that DUSP5
plays a limited role in regulating ERK signalling associated with the growth of
colorectal tumours, but that methylation the DUSP5 gene promoter can serve as an
additional means of identifying CIMP-high colorectal cancers.
PMID- 29379131
TI - Diversity Modification and Structure-Activity Relationships of Two Natural
Products 1beta-hydroxy Alantolactone and Ivangustin as Potent Cytotoxic Agents.
AB - Sesquiterpene lactones (STLs) are a class of plant secondary metabolites widely
found in nature with potent antitumor activities. In this work, two isolated STLs
1beta-hydroxy alantolactone (1) and ivangustin (2) were derivatized through
diversity-oriented strategy, and in vitro cytotoxic activity assessments were
conducted against six cell lines including HeLa, PC-3, HEp-2, HepG2, CHO and
HUVEC. The cytotoxic structure-activity relationship showed that the double bond
between C5 and C6 was beneficial to improve activity; C1-OH oxidized derivatives
showed a slight stronger activity, comparable to the positive drug etoposide (VP
16). Yet, C1-OH esterified derivatives decreased the potency which were different
from those of 1-O-acetylbritannilactone (ABL) reported previously by us, and C13
methylene reductive and spiro derivatives resulted in almost complete ablation of
cytotoxic activity. Mechanistic basis of cytotoxicity of the representative
compound 1i was assayed to relate with apoptosis and cell cycle arrest.
Furthermore, 1i inhibited TNF-alpha-induced canonical NF-kappaB signaling in PC-3
cells. Molecular modeling studies exhibited additional hydrogen bond interaction
between 1i and the residue Lys37 of p65, indicating that 1i could form covalent
protein adducts with Cys38 on p65.
PMID- 29379132
TI - MRI/Fluorescence bimodal amplification system for cellular GSH detection and
tumor cell imaging based on manganese dioxide nanosheet.
AB - Here, we report a novel magnetic resonance imaging (MRI)/fluorescence bimodal
amplification platform for the detection of glutathione (GSH) on the basis of
redoxable manganese dioxide (MnO2) nanosheets, which can be readily applied as a
DNA nanocarrier, fluorescence quencher, and intracellular GSH-activated MRI
contrast agent. The binding of aptamers that absorbed on the MnO2 nanosheets to
their target can facilitating the endocytosis of target-nanoprobes. Once
endocytosed, the MnO2 nanosheets can react with cellular GSH, resulting in the
disintegration of nanosheets to generate plenty of Mn2+ ions for MRI and releases
the primers which were adsorbed on the MnO2 nanosheets. Then the rolling circle
amplification (RCA) reaction was initiated to amplify the fluorescence signal. In
addition, after treatment with GSH, the MnO2 nanosheets were reduced and then
most of the fluorescence was recovered. Therefore, this MnO2 nanoprobe exhibits
excellent selectivity, suggesting a potential detection platform for analyzing
the glutathione level in cells.
PMID- 29379133
TI - Critical aggregation concentration for the formation of early Amyloid-beta (1-42)
oligomers.
AB - The oligomers formed during the early steps of amyloid aggregation are thought to
be responsible for the neurotoxic damage associated with Alzheimer's disease. It
is therefore of great interest to characterize this early aggregation process and
the aggregates formed, especially for the most significant peptide in amyloid
fibrils, Amyloid-beta(1-42) (Abeta42). For this purpose, we directly monitored
the changes in size and concentration of initially monomeric Abeta42 samples,
using Fluorescence Correlation Spectroscopy. We found that Abeta42 undergoes
aggregation only when the amount of amyloid monomers exceeds the critical
aggregation concentration (cac) of about 90 nM. This spontaneous, cooperative
process resembles surfactants self-assembly and yields stable micelle-like
oligomers whose size (~50 monomers, R h ~ 7-11 nm) and elongated shape are
independent of incubation time and peptide concentration. These findings reveal
essential features of in vitro amyloid aggregation, which may illuminate the
complex in vivo process.
PMID- 29379134
TI - Plant genetics: Parasites plant microRNAs in the host.
PMID- 29379135
TI - Cloud computing for genomic data analysis and collaboration.
AB - Next-generation sequencing has made major strides in the past decade. Studies
based on large sequencing data sets are growing in number, and public archives
for raw sequencing data have been doubling in size every 18 months. Leveraging
these data requires researchers to use large-scale computational resources. Cloud
computing, a model whereby users rent computers and storage from large data
centres, is a solution that is gaining traction in genomics research. Here, we
describe how cloud computing is used in genomics for research and large-scale
collaborations, and argue that its elasticity, reproducibility and privacy
features make it ideally suited for the large-scale reanalysis of publicly
available archived data, including privacy-protected data.
PMID- 29379136
TI - A novel mouse model carrying a human cytoplasmic dynein mutation shows motor
behavior deficits consistent with Charcot-Marie-Tooth type 2O disease.
AB - Charcot-Marie-Tooth disease (CMT) is a peripheral neuromuscular disorder in which
axonal degeneration causes progressive loss of motor and sensory nerve function.
The loss of motor nerve function leads to distal muscle weakness and atrophy,
resulting in gait problems and difficulties with walking, running, and balance. A
mutation in the cytoplasmic dynein heavy chain (DHC) gene was discovered to cause
an autosomal dominant form of the disease designated Charcot-Marie-Tooth type 2 O
disease (CMT2O) in 2011. The mutation is a single amino acid change of histidine
into arginine at amino acid 306 (H306R) in DHC. In order to understand the onset
and progression of CMT2, we generated a knock-in mouse carrying the corresponding
CMT2O mutation (H304R/+). We examined H304R/+ mouse cohorts in a 12-month
longitudinal study of grip strength, tail suspension, and rotarod assays. H304R/+
mice displayed distal muscle weakness and loss of motor coordination phenotypes
consistent with those of individuals with CMT2. Analysis of the gastrocnemius of
H304R/+ male mice showed prominent defects in neuromuscular junction (NMJ)
morphology including reduced size, branching, and complexity. Based on these
results, the H304R/+ mouse will be an important model for uncovering functions of
dynein in complex organisms, especially related to CMT onset and progression.
PMID- 29379137
TI - Aerobic Exercise for a Duration of 90 min or Longer Per Week may Reduce the
Atherogenic Index of Plasma.
AB - The correlation between the weekly duration of aerobic exercise and atherogenic
index of plasma (AIP) is still unknown. A cross-sectional study was conducted
involving 27,827 middle-aged Chinese men who had health examinations in our
hospital. The correlation between the duration of moderate-intensity aerobic
exercise and AIP was determined. The mean AIP levels were 0.1166 +/- 0.34475,
0.1167 +/- 0.32637, and 0.0765 +/- 0.32872 in the non-exercise (PA1), occasional
exercise (PA2), and frequent exercise groups (PA3), respectively. Significantly
higher AIP levels were observed in the PA1 and PA2 groups than the PA3 group,
while no significant difference existed between the PA1 and PA2 groups. Physical
activity significantly reduced the AIP after adjustment for age, body mass index,
diastolic blood pressure, and fasting blood glucose and uric acid levels. In
addition, the percentage of the population at high risk for atherosclerosis (AIP
>= 0.21) was significantly lower in the PA3 group than the PA1 and PA2 groups.
Moderate-intensity aerobic exercise at a weekly duration of 90 min or longer is
associated with the reduction of AIP among middle-aged men in southeastern China.
PMID- 29379138
TI - Aryl hydrocarbon receptor signaling modulates antiviral immune responses: ligand
metabolism rather than chemical source is the stronger predictor of outcome.
AB - The aryl hydrocarbon receptor (AHR) offers a compelling target to modulate the
immune system. AHR agonists alter adaptive immune responses, but the consequences
differ across studies. We report here the comparison of four agents representing
different sources of AHR ligands in mice infected with influenza A virus (IAV):
TCDD, prototype exogenous AHR agonist; PCB126, pollutant with documented human
exposure; ITE, novel pharmaceutical; and FICZ, degradation product of tryptophan.
All four compounds diminished virus-specific IgM levels and increased the
proportion of regulatory T cells. TCDD, PCB126 and ITE, but not FICZ, reduced
virus-specific IgG levels and CD8+ T cell responses. Similarly, ITE, PCB126, and
TCDD reduced Th1 and Tfh cells, whereas FICZ increased their frequency. In Cyp1a1
deficient mice, all compounds, including FICZ, reduced the response to IAV.
Conditional Ahr knockout mice revealed that all four compounds require AHR within
hematopoietic cells. Thus, differences in the immune response to IAV likely
reflect variances in quality, magnitude, and duration of AHR signaling. This
indicates that binding affinity and metabolism may be stronger predictors of
immune effects than a compound's source of origin, and that harnessing AHR will
require finding a balance between dampening immune-mediated pathologies and
maintaining sufficient host defenses against infection.
PMID- 29379139
TI - Local control of intracellular microtubule dynamics by EB1 photodissociation.
AB - End-binding proteins (EBs) are adaptors that recruit functionally diverse
microtubule plus-end-tracking proteins (+TIPs) to growing microtubule plus ends.
To test with high spatial and temporal accuracy how, when and where +TIP
complexes contribute to dynamic cell biology, we developed a photo-inactivated
EB1 variant (pi-EB1) by inserting a blue-light-sensitive protein-protein
interaction module between the microtubule-binding and +TIP-binding domains of
EB1. pi-EB1 replaces endogenous EB1 function in the absence of blue light. By
contrast, blue-light-mediated pi-EB1 photodissociation results in rapid +TIP
complex disassembly, and acutely and reversibly attenuates microtubule growth
independent of microtubule end association of the microtubule polymerase CKAP5
(also known as ch-TOG and XMAP215). Local pi-EB1 photodissociation allows
subcellular control of microtubule dynamics at the second and micrometre scale,
and elicits aversive turning of migrating cancer cells. Importantly, light
mediated domain splitting can serve as a template to optically control other
intracellular protein activities.
PMID- 29379140
TI - Operation of a P300-based brain-computer interface in patients with Duchenne
muscular dystrophy.
AB - A brain-computer interface (BCI) or brain-machine interface is a technology that
enables the control of a computer and other external devices using signals from
the brain. This technology has been tested in paralysed patients, such as those
with cervical spinal cord injuries or amyotrophic lateral sclerosis, but it has
not been tested systematically in Duchenne muscular dystrophy (DMD), which is a
severe type of muscular dystrophy due to the loss of dystrophin and is often
accompanied by progressive muscle weakness and wasting. Here, we investigated the
efficacy of a P300-based BCI for patients with DMD. Eight bedridden patients with
DMD and eight age- and gender-matched able-bodied controls were instructed to
input hiragana characters. We used a region-based, two-step P300-based BCI with
green/blue flicker stimuli. EEG data were recorded, and a linear discriminant
analysis distinguished the target from other non-targets. The mean online
accuracy of inputted characters (accuracy for the two-step procedure) was 71.6%
for patients with DMD and 80.6% for controls, with no significant difference
between the patients and controls. The P300-based BCI was operated successfully
by individuals with DMD in an advanced stage and these findings suggest that this
technology may be beneficial for patients with this disease.
PMID- 29379141
TI - Communication between the leaflets of asymmetric membranes revealed from coarse
grain molecular dynamics simulations.
AB - We use coarse-grain molecular simulations to investigate the structural and
dynamics differences between an asymmetric and a symmetrical membrane, both
containing beta barrel transmembrane proteins. We find in where the dynamics of
the two leaflets differ greatly, the slowest leaflet dominates the structural
effects and importance of protein-lipid interactions.
PMID- 29379142
TI - Erythrocyte folate, serum vitamin B12, and hearing loss in the 2003-2004 National
Health And Nutrition Examination Survey (NHANES).
AB - BACKGROUND/OBJECTIVES: Studies based on food frequency questionnaires suggest
that folate and vitamin B12 intake could protect against hearing loss. We
investigated whether erythrocyte folate and serum vitamin B12 levels are
independently associated with hearing loss in humans. SUBJECTS/METHODS:
Participants in the 2003-2004 US National Health and Nutrition Examination Survey
who had data on hearing, folate, and vitamin B12 levels were included. Pure-tone
average (PTA) at 0.5, 1.0, 2.0, and 4.0 kHz was computed for each ear. We used
weighted logistic regression to estimate odds ratios (ORs) and 95% confidence
intervals (CIs) for the relation between quartiles of folate and vitamin B12, and
hearing loss (present if PTA > 25 dB in either ear and absent if PTA <= 25 dB in
both ears). RESULTS: Participants (n = 1149) were 20-69 (mean 42) years old and
16.4% had hearing loss in at least one ear. Our data suggest a U-shaped
relationship between folate and hearing loss. Compared to the 1st quartile, the
ORs (95% CIs) for hearing loss were 0.87 (0.49-1.53), 0.70 (0.49-1.00), and 1.08
(0.61-1.94) for the 2nd, 3rd, and 4th quartile of erythrocyte folate in analyses
adjusted for age, sex, vitamin B12, smoking, alcohol use, body mass index,
race/ethnicity, exposure to noise, income, and education. Although we observed
inverse associations between vitamin B12 and hearing loss, the associations were
not statistically significant (P > 0.05). CONCLUSIONS: Our data show a U-shaped
relationship between erythrocyte folate levels and hearing loss, suggesting a
need to evaluate whether optimizing blood folate levels could prevent hearing
loss.
PMID- 29379143
TI - Effect of wine on carotid atherosclerosis in type 2 diabetes: a 2-year randomized
controlled trial.
AB - BACKGROUND/OBJECTIVES: The progression of carotid-plaque volume in patients with
type 2 diabetes is common. Previous observational studies showed an association
between moderate alcohol and reduced risk of coronary disease. We examined
whether consuming moderate wine affects the progression of carotid
atherosclerosis. SUBJECTS/METHODS: In the CASCADE (CArdiovaSCulAr Diabetes and
Ethanol), a 2-year randomized controlled trial, we randomized abstainers with
type 2 diabetes were to drink 150 ml of either red wine, white wine, or water,
provided for 2 years. In addition, groups were guided to maintain a Mediterranean
diet. We followed 2-year changes in carotid total plaque volume (carotid-TPV) and
carotid vessel wall volume (carotid-VWV), using three-dimensional ultrasound.
RESULTS: Carotid images were available from 174 of the 224 CASCADE participants
(67% men; age = 59 yr; HbA1C = 6.8%). Forty-five percent had detectable plaque at
baseline. After 2 years, no significant progression in carotid-TPV was observed
(water, -1.4 (17.0) mm3, CI (-2.7, 5.5), white-wine, -1.2 (16.9) mm3, CI (-3.8,
6.2), red wine, -1.3 (17.6) mm3, CI (-3.4, 6.0; p = 0.9 between groups)). In post
hoc analysis, we divided the 78 participants with detectable baseline carotid
plaque into tertiles. Those with the higher baseline plaque burden, whom were
assigned to drink wine, reduced their plaque volume significantly after 2 years,
as compared to baseline. Two-year reductions in Apo(B)/Apo(A) ratio(s) were
independently associated with regression in carotid-TPV (beta = 0.4; p < 0.001).
Two-year decreases in systolic blood pressure were independently associated with
regression in carotid-VWV (beta = 0.2; p = 0.005). CONCLUSIONS: No progression in
carotid-TPV was observed. In subgroup analyses, those with the greatest plaque
burden assigned to drink wine may have had a small regression of plaque burden.
PMID- 29379144
TI - Carbohydrate and fiber intake and the risk of premenstrual syndrome.
AB - BACKGROUND/OBJECTIVES: Women with premenstrual syndrome (PMS) are encouraged to
reduce sugar and increase fiber intake to reduce symptoms. However, research
supporting these recommendations is limited, and their role in PMS development is
unclear. This study examines the relation between carbohydrate and fiber intake
and the risk of PMS nested within the prospective Nurses' Health Study II cohort.
SUBJECTS/METHODS: Carbohydrate and fiber intake were assessed at baseline and
three additional times during follow up by food frequency questionnaire. Incident
cases of PMS were identified by self-reported PMS diagnosis during 14 years of
follow up and validated by supplemental questionnaire (n = 1234). Women were
classified as controls if they did not report PMS diagnosis during follow up and
confirmed minimal or no premenstrual symptoms (n = 2426). We estimated relative
risks (RR) and 95% confidence intervals (CI) using multivariable logistic
regression. RESULTS: Total carbohydrate intake 2-4 years before reference year
was not associated with PMS development (RR quintile 5 versus 1 = 0.99; 95% CI =
0.74-1.33). Intakes of specific carbohydrates or fibers were not associated with
PMS development, except maltose. Adjusting for body mass index, smoking, and
other factors, women with the highest maltose intake (median = 3.0 g/day) had a
RR of 1.45 (95% CI = 1.11-1.88) compared to those with the lowest intake (median
= 1.2 g/day). CONCLUSIONS: Overall, carbohydrate and fiber consumption was not
associated with risk of PMS. As this is the first study to suggest that maltose
may be associated with PMS development, further replication is needed.
PMID- 29379145
TI - Just the tip of the iceberg: difficulties in assessing and managing extreme
obesity in routine clinical care.
AB - As obesity prevalence increases worldwide, healthcare professionals are often
faced with challenging cases associated with massive obesity. A 33-year-old woman
(weight 342 kg, body mass index = 100 kg/m2) presented with respiratory failure,
limb edema and ascites. Abdominal CT scan became feasible after initial weight
loss and showed a large pelvic mass in contact with the left ovary. The surgical
removal performed despite a high-risk profile led to the diagnosis of a giant
mucinous borderline tumor whose growth was due to delayed care and responsible
for multiple severe complications: sepsis, anemia, esophagitis, constipation,
anorexia due to mechanical compression, undernutrition, sarcopenia and lower limb
edema contributing to a severe disability. After 7 months under specialized care,
her weight decreased to 180 kg, complications were treated and disability
improved. This case, showing that, despite barriers, a multidisciplinary approach
makes quality of care possible even in massively obese patients, is an
educational example to reduce stigma.
PMID- 29379146
TI - Antenna network.
PMID- 29379148
TI - Mixing genomes alters nuclear architecture.
PMID- 29379147
TI - Adapt to plants.
PMID- 29379149
TI - Evolutionary dynamics of 3D genome architecture following polyploidization in
cotton.
AB - The formation of polyploids significantly increases the complexity of
transcriptional regulation, which is expected to be reflected in sophisticated
higher-order chromatin structures. However, knowledge of three-dimensional (3D)
genome structure and its dynamics during polyploidization remains poor. Here, we
characterize 3D genome architectures for diploid and tetraploid cotton, and find
the existence of A/B compartments and topologically associated domains (TADs). By
comparing each subgenome in tetraploids with its extant diploid progenitor, we
find that genome allopolyploidization has contributed to the switching of A/B
compartments and the reorganization of TADs in both subgenomes. We also show that
the formation of TAD boundaries during polyploidization preferentially occurs in
open chromatin, coinciding with the deposition of active chromatin modification.
Furthermore, analysis of inter-subgenomic chromatin interactions has revealed the
spatial proximity of homoeologous genes, possibly associated with their
coordinated expression. This study advances our understanding of chromatin
organization in plants and sheds new light on the relationship between 3D genome
evolution and transcriptional regulation.
PMID- 29379150
TI - RNA-directed DNA methylation involves co-transcriptional small-RNA-guided slicing
of polymerase V transcripts in Arabidopsis.
AB - Small RNAs regulate chromatin modifications such as DNA methylation and gene
silencing across eukaryotic genomes. In plants, RNA-directed DNA methylation
(RdDM) requires 24-nucleotide small interfering RNAs (siRNAs) that bind to
ARGONAUTE 4 (AGO4) and target genomic regions for silencing. RdDM also requires
non-coding RNAs transcribed by RNA polymerase V (Pol V) that probably serve as
scaffolds for binding of AGO4-siRNA complexes. Here, we used a modified global
nuclear run-on protocol followed by deep sequencing to capture Pol V nascent
transcripts genome-wide. We uncovered unique characteristics of Pol V RNAs,
including a uracil (U) common at position 10. This uracil was complementary to
the 5' adenine found in many AGO4-bound 24-nucleotide siRNAs and was eliminated
in a siRNA-deficient mutant as well as in the ago4/6/9 triple mutant, suggesting
that the +10 U signature is due to siRNA-mediated co-transcriptional slicing of
Pol V transcripts. Expression of wild-type AGO4 in ago4/6/9 mutants was able to
restore slicing of Pol V transcripts, but a catalytically inactive AGO4 mutant
did not correct the slicing defect. We also found that Pol V transcript slicing
required SUPPRESSOR OF TY INSERTION 5-LIKE (SPT5L), an elongation factor whose
function is not well understood. These results highlight the importance of Pol V
transcript slicing in RNA-mediated transcriptional gene silencing, which is a
conserved process in many eukaryotes.
PMID- 29379151
TI - Dynamic thylakoid stacking regulates the balance between linear and cyclic
photosynthetic electron transfer.
AB - Upon transition of plants from darkness to light the initiation of photosynthetic
linear electron transfer (LET) from H2O to NADP+ precedes the activation of CO2
fixation, creating a lag period where cyclic electron transfer (CET) around
photosystem I (PSI) has an important protective role. CET generates DeltapH
without net reduced NADPH formation, preventing overreduction of PSI via
regulation of the cytochrome b 6 f (cytb 6 f) complex and protecting PSII from
overexcitation by inducing non-photochemical quenching. The dark-to-light
transition also provokes increased phosphorylation of light-harvesting complex II
(LHCII). However, the relationship between LHCII phosphorylation and regulation
of the LET/CET balance is not understood. Here, we show that the dark-to-light
changes in LHCII phosphorylation profoundly alter thylakoid membrane architecture
and the macromolecular organization of the photosynthetic complexes, without
significantly affecting the antenna size of either photosystem. The grana
diameter and number of membrane layers per grana are decreased in the light while
the number of grana per chloroplast is increased, creating a larger contact area
between grana and stromal lamellae. We show that these changes in thylakoid
stacking regulate the balance between LET and CET pathways. Smaller grana promote
more efficient LET by reducing the diffusion distance for the mobile electron
carriers plastoquinone and plastocyanin, whereas larger grana enhance the
partition of the granal and stromal lamellae plastoquinone pools, enhancing the
efficiency of CET and thus photoprotection by non-photochemical quenching.
PMID- 29379152
TI - The goat grass genome's role in wheat improvement.
PMID- 29379154
TI - A Gneato nuclear genome.
PMID- 29379153
TI - Enabling the water-to-land transition.
PMID- 29379156
TI - UVR8 interacts with WRKY36 to regulate HY5 transcription and hypocotyl elongation
in Arabidopsis.
AB - UV RESISTANCE LOCUS 8 (UVR8) is an ultraviolet-B (UVB) radiation photoreceptor
that mediates light responses in plants. How plant UVR8 acts in response to UVB
light is not well understood. Here, we report the identification and
characterization of the Arabidopsis WRKY DNA-BINDING PROTEIN 36 (WRKY36) protein.
WRKY36 interacts with UVR8 in yeast and Arabidopsis cells and it promotes
hypocotyl elongation by inhibiting HY5 transcription. Inhibition of hypocotyl
elongation under UVB requires the inhibition of WRKY36. WRKY36 binds to the W-box
motif of the HY5 promoter to inhibit its transcription, while nuclear localized
UVR8 directly interacts with WRKY36 to inhibit WRKY36-DNA binding both in vitro
and in vivo, leading to the release of inhibition of HY5 transcription. These
results indicate that WRKY36 is a negative regulator of HY5 and that UVB
represses WRKY36 via UVR8 to promote the transcription of HY5 and
photomorphogenesis. The UVR8-WRKY36 interaction in the nucleus represents a novel
mechanism of early UVR8 signal transduction in Arabidopsis.
PMID- 29379155
TI - A genome for gnetophytes and early evolution of seed plants.
AB - Gnetophytes are an enigmatic gymnosperm lineage comprising three genera, Gnetum,
Welwitschia and Ephedra, which are morphologically distinct from all other seed
plants. Their distinctiveness has triggered much debate as to their origin,
evolution and phylogenetic placement among seed plants. To increase our
understanding of the evolution of gnetophytes, and their relation to other seed
plants, we report here a high-quality draft genome sequence for Gnetum montanum,
the first for any gnetophyte. By using a novel genome assembly strategy to deal
with high levels of heterozygosity, we assembled >4 Gb of sequence encoding
27,491 protein-coding genes. Comparative analysis of the G. montanum genome with
other gymnosperm genomes unveiled some remarkable and distinctive genomic
features, such as a diverse assemblage of retrotransposons with evidence for
elevated frequencies of elimination rather than accumulation, considerable
differences in intron architecture, including both length distribution and
proportions of (retro) transposon elements, and distinctive patterns of
proliferation of functional protein domains. Furthermore, a few gene families
showed Gnetum-specific copy number expansions (for example, cellulose synthase)
or contractions (for example, Late Embryogenesis Abundant protein), which could
be connected with Gnetum's distinctive morphological innovations associated with
their adaptation to warm, mesic environments. Overall, the G. montanum genome
enables a better resolution of ancestral genomic features within seed plants, and
the identification of genomic characters that distinguish Gnetum from other
gymnosperms.
PMID- 29379157
TI - Plant behaviour from human imprints and the cultivation of wild cereals in
Holocene Sahara.
AB - The human selection of food plants cannot always have been aimed exclusively at
isolating the traits typical of domesticated species today. Each phase of global
change must have obliged plants and humans to cope with and develop innovative
adaptive strategies. Hundreds of thousands of wild cereal seeds from the Holocene
'green Sahara' tell a story of cultural trajectories and environmental
instability revealing that a complex suite of weediness traits were preferred by
both hunter-gatherers and pastoralists. The archaeobotanical record of the
Takarkori rockshelter in southwest Libya covering four millennia of human
occupation in the central Sahara gives us a unique insight into long-term plant
manipulation and cultivation without domestication. The success of a number of
millets was rooted in their invasive-opportunistic behaviour, rewarded during
their coexistence with people in Africa. These wild plants were selected for
features that were precious in the past but pernicious for agriculture today.
Reconnecting past practices with modern farming strategies can help us to seek
out the best resources for the future.
PMID- 29379159
TI - Precision therapeutics in the NICU: why are we missing the mark?
PMID- 29379158
TI - Stress during pregnancy and gestational weight gain.
AB - OBJECTIVE: To evaluate the association between prenatal stress and gestational
weight gain (GWG). STUDY DESIGN: This was an analysis of women recruited between
2013-2015 from four sites in the US. We tested associations between responses at
32-35 weeks to the Life Experiences Survey (LES), a 37-item measure of events and
perceived stress, and GWG categories. Bivariable comparisons and logistic
regression were used to estimate the association between the total LES score and
the odds of achieving adequate GWG. RESULT: Among the 725 women, those with
adequate GWG had lower median LES scores (5) compared to women with inadequate
(7) and excessive (7) GWG, p = 0.02. After adjusting for age, initial BMI,
income, education, marital status and gestational diabetes, lower LES scores
(multiples of the median) were associated with adequate GWG (aOR 0.81, 95% CI
0.67-0.98). CONCLUSION: Lower reported stress, as measured by the LES, was
associated with a greater chance of women achieving adequate GWG. This
relationship highlights the potential for interventions directed toward
psychosocial support to have salutary effects upon GWG.
PMID- 29379160
TI - The impact of altitude on screening for critical congenital heart disease.
AB - OBJECTIVES: The objectives were to determine the frequency with which pulse
oximetry identifies critical congenital heart defects in asymptomatic full-term
and late preterm newborns using the AAP expert panel algorithm in a variety of
different hospital settings and to evaluate the impact of altitude on the rate of
positive screens. METHODS: We conducted a prospective clinical study of
implementation of a newborn pulse oximetry screening for congenital heart disease
in 34 independent hospitals. Infants were eligible for enrollment if their
gestational age was 35-44 weeks. RESULTS: Of the 34 sites which enrolled infants
into our study, 24 were located at or below 2000 feet; 5 were located between
4700 and 6000 feet and 5 were located above 6000 feet in altitude. We screened
6109 infants; 65 (1.1%) had a positive screen. There were no differences in
median gestational age, birth weight, mode of delivery or race/ethnicity for
infants with a positive screen compared to infants with a negative screen.
Infants with positive screens were more often male and more often born at sites
located at high altitudes. The frequency of a positive screen increased from 0.2%
for infants born at sites at or less than 2000 feet to 6% for sites located above
6000 feet. We stopped enrollment at the site located at 8163 feet after enrolling
65 infants because 23 (35%) were positive. CONCLUSIONS: Screening infants for
critical cardiac defects at altitude is complicated by the increased false
positive screens.
PMID- 29379161
TI - The impact of first trimester fasting glucose level on adverse perinatal outcome.
AB - OBJECTIVE: To evaluate the impact of first trimester fasting glucose (FTFG) level
on perinatal outcome. STUDY DESIGN: A retrospective cohort study of singleton
deliveries. Maternal and neonatal outcome were compared between two groups-women
with FTFG < 95 mg/dl and FTFG >= 95 mg/dl. Women with pre-gestational diabetes
were excluded. RESULTS: Five thousand and thirty women met inclusion criteria. Of
whom, 4644 (92.3%) had FTFG < 95 mg/dl and 386 (7.7%) had FTFG >= 95 mg/dl. Women
with FTFG >= 95 mg/dl had higher rates of gestational hypertension (2.33 vs.
0.7%) and gestational diabetes (9.07 vs. 2.86%), p < 0.05 for both. Moreover,
they had higher rates of cesarean delivery and arrest of descent, p < 0.05.
Composite diabetes outcome was significantly higher among women with FTFG >= 95
mg/dl (8 vs. 3%, p = 0.002). After adjusting for potential confounders, composite
diabetes outcome (aOR = 1.942 95% CI 1.265-2.981, p = 0.002) and gestational
hypertension (aOR = 2.827 95% CI 1.295-6.175, p = 0.009) remained significantly
higher in the FTFG >= 95 mg/dl group. CONCLUSION: FTFG >= 95 mg/dl is an
independent risk factor for adverse perinatal outcome including gestational
hypertension and diabetes-related complications.
PMID- 29379162
TI - PAX8 activates a p53-p21-dependent pro-proliferative effect in high grade serous
ovarian carcinoma.
AB - High grade serous carcinoma (HGSC) is the most common subtype of ovarian cancer
and it is now widely accepted that this disease often originates from the
fallopian tube epithelium. PAX8 is a fallopian tube lineage marker with an
essential role in embryonal female genital tract development. In the adult
fallopian tube, PAX8 is expressed in the fallopian tube secretory epithelial cell
(FTSEC) and its expression is maintained through the process of FTSEC
transformation to HGSC. We now report that PAX8 has a pro-proliferative and anti
apoptotic role in HGSC. The tumor suppressor gene TP53 is mutated in close to
100% of HGSC; in the majority of cases, these are missense mutations that endow
the mutant p53 protein with potential gain of function (GOF) oncogenic
activities. We show that PAX8 positively regulates the expression of TP53 in HGSC
and the pro-proliferative role of PAX8 is mediated by the GOF activity of mutant
p53. Surprisingly, mutant p53 transcriptionally activates the expression of p21,
which localizes to the cytoplasm of HGSC cells where it plays a non-canonical,
pro-proliferative role. Together, our findings illustrate how TP53 mutations in
HGSC subvert a normal regulatory pathway into a driver of tumor progression.
PMID- 29379163
TI - c-Src activity is differentially required by cancer cell motility modes.
AB - Cancer cell migration requires that cells respond and adapt to their
surroundings. In the absence of extracellular matrix cues, cancer cells will
undergo a mesenchymal to ameboid transition, whereas a highly confining space
will trigger a switch to "leader bleb-based" migration. To identify oncogenic
signaling pathways mediating these transitions, we undertook a targeted screen
using clinically useful inhibitors. Elevated Src activity was found to change
actin and focal adhesion dynamics, whereas inhibiting Src triggered focal
adhesion disassembly and blebbing. On non-adherent substrates and in collagen
matrices, amoeboid-like, blebbing cells having high Src activity formed
protrusions of the plasma membrane. To evaluate the role of Src in confined
cells, we use a novel approach that places cells under a slab of
polydimethylsiloxane (PDMS), which is held at a defined height. Using this
method, we find that leader bleb-based migration is resistant to Src inhibition.
High Src activity was found to markedly change the architecture of cortical
actomyosin, reduce cell mechanical properties, and the percentage of cells that
undergo leader bleb-based migration. Thus, Src is a signal transducer that can
potently influence transitions between migration modes with implications for the
rational development of metastasis inhibitors.
PMID- 29379164
TI - TRIM25 enhances cell growth and cell survival by modulating p53 signals via
interaction with G3BP2 in prostate cancer.
AB - Prostate cancer growth is promoted by the gene regulatory action of androgen
receptor (AR) and its downstream signals. The aberrant dysfunction of tumor
suppressor p53 has an important role in the prognosis of cancer. We previously
found that androgen treatments translocate p53 to the cytoplasm. The mechanism of
this translocation depends on sumoylation of p53 by complex of SUMO E3 ligase
RanBP2 with androgen-induced GTPase-activating protein-binding protein 2 (G3BP2).
Here, we identified tripartite motif-containing protein 25 (TRIM25)/estrogen
responsive finger protein (Efp) as a novel interacting partner of G3BP2 protein
complex. Then, we demonstrated that TRIM25 knockdown resulted in p53 downstream
activation for cell cycle inhibition and apoptosis induction in LNCaP and 22Rv1
cells. In contrast, overexpression of TRIM25 promoted prostate cancer cell
proliferation and inhibited apoptosis by docetaxel treatment in LNCaP cells. We
observed that p53 activity was reduced by mechanism of G3BP2-mediated nuclear
export in TRIM25-overexpressing prostate cancer cells. We also found TRIM25 is
important for G3BP2/RanBP2-mediated p53 modification. Clinically, we newly
demonstrated that TRIM25 is a prognostic factor for prostate cancer patients.
Expression of TRIM25 is significantly associated with cytoplasmic p53 expression
and G3BP2. Moreover, TRIM25 knockdown results in reduced tumor growth and
increased p53 activity in the mouse xenograft model of prostate cancer. Thus, our
findings show that overexpression of TRIM25 promoted prostate cancer cell
proliferation and cell survival by modulating p53 nuclear export mechanism with
G3BP2 interaction.
PMID- 29379167
TI - High-dose methylprednisolone for the treatment of sinusoidal obstruction syndrome
in adults.
PMID- 29379166
TI - Aggressive serous epithelial ovarian cancer is potentially propagated by
EpCAM+CD45+ phenotype.
AB - Epithelial ovarian carcinoma (EOC) patients often acquire resistance against
common chemotherapeutic drugs like paclitaxel and cisplatin. The mechanism
responsible for the same is ambiguous. We have identified a putative drug
resistant tumour cell phenotype (EpCAM+CD45+) in the ascitic fluid of EOC
patients, which appears to originate from the primary tumour. These cells
represent the major tumour burden and are more drug resistant compared to EpCAM+
tumour cells due to the over-expression of SIRT1, ABCA1 and BCL2 genes. We have
found that the entire EpCAM+CD45+ population is highly invasive with signature
mesenchymal gene expression and also consists of subpopulations of ovarian cancer
stem cells (CD133+ and CD117+CD44+). Additionally, we demonstrate that the
EpCAM+CD45+ tumour cells over-express major histocompatibility complex class I
antigen, which enable them to evade the natural killer cell-mediated immune
surveillance. Preliminary evidence obtained in OVCAR-5 cells suggests that
exosomes, secreted by non-tumour cells of the ascitic fluid, play an important
role in rendering drug resistance and invasive properties to the cancer cells.
Identification of such aggressive tumour cells and deciphering their origin is
important for designing better drug targets for EOC.
PMID- 29379165
TI - MUC1-C activates polycomb repressive complexes and downregulates tumor suppressor
genes in human cancer cells.
AB - The PRC2 and PRC1 complexes are aberrantly expressed in human cancers and have
been linked to decreases in patient survival. MUC1-C is an oncoprotein that is
also overexpressed in diverse human cancers and is associated with a poor
prognosis. Recent studies have supported a previously unreported function for
MUC1-C in activating PRC2 and PRC1 in cancer cells. In the regulation of PRC2,
MUC1-C (i) drives transcription of the EZH2 gene, (ii) binds directly to EZH2,
and (iii) enhances occupancy of EZH2 on target gene promoters with an increase in
H3K27 trimethylation. Regarding PRC1, which is recruited to PRC2 sites in the
hierarchical model, MUC1-C induces BMI1 transcription, forms a complex with BMI1,
and promotes H2A ubiquitylation. MUC1-C thereby contributes to the integration of
PRC2 and PRC1-mediated repression of tumor suppressor genes, such as CDH1,
CDKN2A, PTEN and BRCA1. Like PRC2 and PRC1, MUC1-C is associated with the
epithelial-mesenchymal transition (EMT) program, cancer stem cell (CSC) state,
and acquisition of anticancer drug resistance. In concert with these
observations, targeting MUC1-C downregulates EZH2 and BMI1, inhibits EMT and the
CSC state, and reverses drug resistance. These findings emphasize the
significance of MUC1-C as a therapeutic target for inhibiting aberrant PRC
function and reprogramming the epigenome in human cancers.
PMID- 29379169
TI - Impact of MICA and NKG2D polymorphisms in HLA-fully matched related and unrelated
hematopoietic stem cell transplantation.
PMID- 29379168
TI - Use of Defibrotide to help prevent post-transplant endothelial injury in a
genetically predisposed infant with metachromatic leukodystrophy undergoing
hematopoietic stem cell gene therapy.
PMID- 29379170
TI - Changes to work status and household income of long-term allogeneic blood and
marrow transplant survivors in New South Wales, Australia.
PMID- 29379171
TI - Effective treatment of steroid and therapy-refractory acute graft-versus-host
disease with a novel mesenchymal stromal cell product (MSC-FFM).
AB - The inability to generate mesenchymal stromal cells (MSCs) of consistent potency
likely is responsible for inconsistent clinical outcomes of patients with aGvHD
receiving MSC products. We developed a novel MSC manufacturing protocol
characterized by high in vitro potency and near-identity of individual doses,
referred to as "MSC-Frankfurt am Main (MSC-FFM)". Herein, we report outcomes of
the 69 patients who have received MSC-FFM. These were 51 children and 18 adults
with refractory aGvHD grade II (4%), III (36%) or IV (59%). Patients were
refractory either to frontline therapy (steroids) (29%) or to steroids and 1-5
additional lines of immunosuppressants (71%) were given infusions in four weekly
intervals. The day 28 overall response rate was 83%; at the last follow-up, 61%
and 25% of patients were in complete or partial remission. The median follow-up
was 8.1 months. Six-month estimate for cumulative incidence of non-relapse
mortality was 27% (range, 16-38); leukemia relapse mortality was 2% (range, 0-5).
This was associated with a superior six-month overall survival (OS) probability
rate of 71% (range, 61-83), compared to the outcome of patients not treated with
MSC-FFM. This novel product was effective in children and adults, suggesting that
MSC-FFM represents a promising therapy for steroid refractory aGvHD.
PMID- 29379172
TI - Solution structure and elevator mechanism of the membrane electron transporter
CcdA.
AB - Membrane oxidoreductase CcdA plays a central role in supplying reducing
equivalents from the bacterial cytoplasm to the envelope. It transports electrons
across the membrane using a single pair of cysteines by a mechanism that has not
yet been elucidated. Here we report an NMR structure of the Thermus thermophilus
CcdA (TtCcdA) in an oxidized and outward-facing state. CcdA consists of two
inverted structural repeats of three transmembrane helices (2 * 3-TM). We
computationally modeled and experimentally validated an inward-facing state,
which suggests that CcdA uses an elevator-type movement to shuttle the reactive
cysteines across the membrane. CcdA belongs to the LysE superfamily, and thus its
structure may be relevant to other LysE clan transporters. Structure comparisons
of CcdA, semiSWEET, Pnu, and major facilitator superfamily (MFS) transporters
provide insights into membrane transporter architecture and mechanism.
PMID- 29379173
TI - Cryo-EM structures of PRC2 simultaneously engaged with two functionally distinct
nucleosomes.
AB - Epigenetic regulation is mediated by protein complexes that couple recognition of
chromatin marks to activity or recruitment of chromatin-modifying enzymes.
Polycomb repressive complex 2 (PRC2), a gene silencer that methylates lysine 27
of histone H3, is stimulated upon recognition of its own catalytic product and
has been shown to be more active on dinucleosomes than H3 tails or single
nucleosomes. These properties probably facilitate local H3K27me2/3 spreading,
causing heterochromatin formation and gene repression. Here, cryo-EM
reconstructions of human PRC2 bound to bifunctional dinucleosomes show how a
single PRC2, via interactions with nucleosomal DNA, positions the H3 tails of the
activating and substrate nucleosome to interact with the EED subunit and the SET
domain of EZH2, respectively. We show how the geometry of the PRC2-DNA
interactions allows PRC2 to accommodate varying lengths of the linker DNA between
nucleosomes. Our structures illustrate how an epigenetic regulator engages with a
complex chromatin substrate.
PMID- 29379174
TI - Structural basis for recognition of diverse antidepressants by the human
serotonin transporter.
AB - Selective serotonin reuptake inhibitors are clinically prescribed antidepressants
that act by increasing the local concentrations of neurotransmitters at synapses
and in extracellular spaces via blockade of the serotonin transporter. Here we
report X-ray structures of engineered thermostable variants of the human
serotonin transporter bound to the antidepressants sertraline, fluvoxamine, and
paroxetine. The drugs prevent serotonin binding by occupying the central
substrate-binding site and stabilizing the transporter in an outward-open
conformation. These structures explain how residues within the central site
orchestrate binding of chemically diverse inhibitors and mediate transporter drug
selectivity.
PMID- 29379176
TI - Inorganic carbon addition stimulates snow algae primary productivity.
AB - Earth has experienced glacial/interglacial oscillations accompanied by changes in
atmospheric CO2 throughout much of its history. Today over 15 million square
kilometers of Earth's land surface is covered in ice including glaciers, ice
caps, and ice sheets. Glaciers are teeming with life and supraglacial snow and
ice surfaces are often darkened by the presence of photoautotrophic snow algae,
resulting in accelerated melt due to lowered albedo. Few studies report the
productivity of snow algal communities and the parameters which constrain their
growth on supraglacial surfaces-key factors for quantifying biologically induced
albedo effects (bio-albedo). We demonstrate that snow algae primary productivity
is stimulated by the addition of inorganic carbon. Our results indicate a
positive feedback between increasing CO2 and snow algal primary productivity,
underscoring the need for robust climate models of past and present
glacial/interglacial oscillations to include feedbacks between supraglacial
primary productivity, albedo, and atmospheric CO2.
PMID- 29379175
TI - The ring-shaped hexameric helicases that function at DNA replication forks.
AB - DNA replication requires separation of genomic duplex DNA strands, an operation
that is performed by a hexameric ring-shaped helicase in all domains of life. The
structures and chemomechanical actions of these fascinating machines are coming
into sharper focus. Although there is no evolutionary relationship between the
hexameric helicases of bacteria and those of archaea and eukaryotes, they share
many fundamental features. Here we review recent studies of these two groups of
hexameric helicases and the unexpected distinctions they have also unveiled.
PMID- 29379177
TI - Climate change promotes parasitism in a coral symbiosis.
AB - Coastal oceans are increasingly eutrophic, warm and acidic through the addition
of anthropogenic nitrogen and carbon, respectively. Among the most sensitive taxa
to these changes are scleractinian corals, which engineer the most biodiverse
ecosystems on Earth. Corals' sensitivity is a consequence of their evolutionary
investment in symbiosis with the dinoflagellate alga, Symbiodinium. Together, the
coral holobiont has dominated oligotrophic tropical marine habitats. However,
warming destabilizes this association and reduces coral fitness. It has been
theorized that, when reefs become warm and eutrophic, mutualistic Symbiodinium
sequester more resources for their own growth, thus parasitizing their hosts of
nutrition. Here, we tested the hypothesis that sub-bleaching temperature and
excess nitrogen promotes symbiont parasitism by measuring respiration (costs) and
the assimilation and translocation of both carbon (energy) and nitrogen (growth;
both benefits) within Orbicella faveolata hosting one of two Symbiodinium
phylotypes using a dual stable isotope tracer incubation at ambient (26 degrees
C) and sub-bleaching (31 degrees C) temperatures under elevated nitrate. Warming
to 31 degrees C reduced holobiont net primary productivity (NPP) by 60% due to
increased respiration which decreased host %carbon by 15% with no apparent cost
to the symbiont. Concurrently, Symbiodinium carbon and nitrogen assimilation
increased by 14 and 32%, respectively while increasing their mitotic index by
15%, whereas hosts did not gain a proportional increase in translocated
photosynthates. We conclude that the disparity in benefits and costs to both
partners is evidence of symbiont parasitism in the coral symbiosis and has major
implications for the resilience of coral reefs under threat of global change.
PMID- 29379178
TI - Deposition rates of viruses and bacteria above the atmospheric boundary layer.
AB - Aerosolization of soil-dust and organic aggregates in sea spray facilitates the
long-range transport of bacteria, and likely viruses across the free atmosphere.
Although long-distance transport occurs, there are many uncertainties associated
with their deposition rates. Here, we demonstrate that even in pristine
environments, above the atmospheric boundary layer, the downward flux of viruses
ranged from 0.26 * 109 to >7 * 109 m-2 per day. These deposition rates were 9-461
times greater than the rates for bacteria, which ranged from 0.3 * 107 to >8 *
107 m-2 per day. The highest relative deposition rates for viruses were
associated with atmospheric transport from marine rather than terrestrial
sources. Deposition rates of bacteria were significantly higher during rain
events and Saharan dust intrusions, whereas, rainfall did not significantly
influence virus deposition. Virus deposition rates were positively correlated
with organic aerosols <0.7 MUm, whereas, bacteria were primarily associated with
organic aerosols >0.7 MUm, implying that viruses could have longer residence
times in the atmosphere and, consequently, will be dispersed further. These
results provide an explanation for enigmatic observations that viruses with very
high genetic identity can be found in very distant and different environments.
PMID- 29379179
TI - Energy limitation of cyanophage development: implications for marine carbon
cycling.
AB - Marine cyanobacteria are responsible for ~25% of the fixed carbon that enters the
ocean biosphere. It is thought that abundant co-occurring viruses play an
important role in regulating population dynamics of cyanobacteria and thus the
cycling of carbon in the oceans. Despite this, little is known about how viral
infections 'play-out' in the environment, particularly whether infections are
resource or energy limited. Photoautotrophic organisms represent an ideal model
to test this since available energy is modulated by the incoming light intensity
through photophosphorylation. Therefore, we exploited phototrophy of the
environmentally relevant marine cyanobacterium Synechococcus and monitored growth
of a cyanobacterial virus (cyanophage). We found that light intensity has a
marked effect on cyanophage infection dynamics, but that this is not manifest by
a change in DNA synthesis. Instead, cyanophage development appears energy limited
for the synthesis of proteins required during late infection. We posit that
acquisition of auxiliary metabolic genes (AMGs) involved in light-dependent
photosynthetic reactions acts to overcome this limitation. We show that
cyanophages actively modulate expression of these AMGs in response to light
intensity and provide evidence that such regulation may be facilitated by a novel
mechanism involving light-dependent splicing of a group I intron in a
photosynthetic AMG. Altogether, our data offers a mechanistic link between
diurnal changes in irradiance and observed community level responses in
metabolism, i.e., through an irradiance-dependent, viral-induced release of
dissolved organic matter (DOM).
PMID- 29379180
TI - Exploration of the role of the virulence factor ElrA during Enterococcus faecalis
cell infection.
AB - Enterococcus faecalis, an organism generally not pathogenic for healthy humans,
has the potential to cause disease in susceptible hosts. While it seems to be
equipped to interact with and circumvent host immune defense, most of the
molecular and cellular mechanisms underlying the enterococcal infectious process
remain elusive. Here, we investigated the role of the Enterococcal Leucine Rich
protein A (ElrA), an internalin-like protein of E. faecalis also known as a
virulence factor. ElrA was previously shown to prevent adhesion to macrophages.
We show that ElrA does not inhibit the basic phagocytic process, but is able to
prevent sensing and migration of macrophages toward E. faecalis. Presence or
absence of FHL2, a eukaryotic partner of ElrA, does not affect the ElrA-dependent
mechanism preventing macrophage migration. However, we highlight a partial
contribution of FHL2 in ElrA-mediated virulence in vivo. Our results indicate
that ElrA plays at least a dual role of which anti-phagocytic activity may
contribute to dissemination of extracellular E. faecalis during infection.
PMID- 29379182
TI - History and environment shape species pools and community diversity in European
beech forests.
AB - A central hypothesis of ecology states that regional diversity influences local
diversity through species-pool effects. Species pools are supposedly shaped by
large-scale factors and then filtered into ecological communities, but
understanding these processes requires the analysis of large datasets across
several regions. Here, we use a framework of community assembly at a continental
scale to test the relative influence of historical and environmental drivers, in
combination with regional or local species pools, on community species richness
and community completeness. Using 42,173 vegetation plots sampled across European
beech forests, we found that large-scale factors largely accounted for species
pool sizes. At the regional scale, main predictors reflected historical
contingencies related to post-glacial dispersal routes, whereas at the local
scale, the influence of environmental filters was predominant. Proximity to
Quaternary refugia and high precipitation were the main factors supporting
community species richness, especially among beech forest specialist plants.
Models for community completeness indicate the influence of large-scale factors,
further suggesting community saturation as a result of dispersal limitation or
biotic interactions. Our results empirically demonstrate how historical factors
complement environmental gradients to provide a better understanding of
biodiversity patterns across multiple regions.
PMID- 29379181
TI - Formulation, evaluation and bioactive potential of Xylaria primorskensis
terpenoid nanoparticles from its major compound xylaranic acid.
AB - In recent years, fungi have been shown to produce a plethora of new bioactive
secondary metabolites of interest, as new lead structures for medicinal and other
pharmacological applications. The present investigation was carried out to study
the pharmacological properties of a potent and major bioactive compound:
xylaranic acid, which was obtained from Xylaria primorskensis (X. primorskensis)
terpenoids in terms of antibacterial activity, antioxidant potential against DPPH
& H2O2 radicals and anticancer activity against human lung cancer cells. Due to
terpenoid nature, low water solubility and wretched bioavailability, its
pharmacological use is limited. To overcome these drawbacks, a novel xylaranic
acid silver nanoparticle system (AgNPs) is developed. In addition to improving
its solubility and bioavailability, other advantageous pharmacological properties
has been evaluated. Furthermore, enhanced anticancer activity of xylaranic acid
and its AgNPs due to induced apoptosis were also confirmed by determining the
expression levels of apoptosis regulatory genes p53, bcl-2 and caspase-3 via qRT
PCR method. This is the first study developing the novel xylaranic acid silver
nanoparticle system and enlightening its therapeutic significance with its
improved physico-chemical properties and augmented bioactive potential.
PMID- 29379183
TI - New Egyptian sauropod reveals Late Cretaceous dinosaur dispersal between Europe
and Africa.
AB - Prominent hypotheses advanced over the past two decades have sought to
characterize the Late Cretaceous continental vertebrate palaeobiogeography of
Gondwanan landmasses, but have proved difficult to test because terrestrial
vertebrates from the final ~30 million years of the Mesozoic are extremely rare
and fragmentary on continental Africa (including the then-conjoined Arabian
Peninsula but excluding the island of Madagascar). Here we describe a new
titanosaurian sauropod dinosaur, Mansourasaurus shahinae gen. et sp. nov., from
the Upper Cretaceous (Campanian) Quseir Formation of the Dakhla Oasis of the
Egyptian Western Desert. Represented by an associated partial skeleton that
includes cranial elements, Mansourasaurus is the most completely preserved land
living vertebrate from the post-Cenomanian Cretaceous (~94-66 million years ago)
of the African continent. Phylogenetic analyses demonstrate that Mansourasaurus
is nested within a clade of penecontemporaneous titanosaurians from southern
Europe and eastern Asia, thereby providing the first unambiguous evidence for a
post-Cenomanian Cretaceous continental vertebrate clade that inhabited both
Africa and Europe. The close relationship of Mansourasaurus to coeval Eurasian
titanosaurians indicates that terrestrial vertebrate dispersal occurred between
Eurasia and northern Africa after the tectonic separation of the latter from
South America ~100 million years ago. These findings counter hypotheses that
dinosaur faunas of the African mainland were completely isolated during the post
Cenomanian Cretaceous.
PMID- 29379184
TI - Fossils, phylogenies and the evolving climate niche.
PMID- 29379185
TI - The impact of endothermy on the climatic niche evolution and the distribution of
vertebrate diversity.
AB - Understanding the mechanisms by which the abiotic and biotic requirements of
species, or ecological niches, change over time is a central issue in
evolutionary biology. Niche evolution is poorly understood at both the
macroecological and macroevolutionary scales, as niches can shift over short
periods of time but appear to change more slowly over longer timescales. Although
reconstructing past niches has always been a major concern for palaeontologists
and evolutionary biologists, only a few recent studies have successfully
determined the factors that affect niche evolution. Here, we compare the
evolution of climatic niches in four main groups of terrestrial vertebrates using
a modelling approach integrating both palaeontological and neontological data,
and large-scale datasets that contain information on the current distributions,
phylogenetic relationships and fossil records for a total of 11,465 species. By
reconstructing historical shifts in geographical ranges and climatic niches, we
show that niche shifts are significantly faster in endotherms (birds and mammals)
than in ectotherms (squamates and amphibians). We further demonstrate that the
diversity patterns of the four clades are directly affected by the rate of niche
evolution, with fewer latitudinal shifts in ectotherms.
PMID- 29379186
TI - Redundant regulation.
PMID- 29379188
TI - Innate immunity: Sensing bacterial messages.
PMID- 29379189
TI - Cancer immunotherapy in 2017: The breakthrough of the microbiota.
PMID- 29379187
TI - Dynamic evolution of regulatory element ensembles in primate CD4+ T cells.
AB - How evolutionary changes at enhancers affect the transcription of target genes
remains an important open question. Previous comparative studies of gene
expression have largely measured the abundance of messenger RNA, which is
affected by post-transcriptional regulatory processes, hence limiting inferences
about the mechanisms underlying expression differences. Here, we directly
measured nascent transcription in primate species, allowing us to separate
transcription from post-transcriptional regulation. We used precision run-on and
sequencing to map RNA polymerases in resting and activated CD4+ T cells in
multiple human, chimpanzee and rhesus macaque individuals, with rodents as
outgroups. We observed general conservation in coding and non-coding
transcription, punctuated by numerous differences between species, particularly
at distal enhancers and non-coding RNAs. Genes regulated by larger numbers of
enhancers are more frequently transcribed at evolutionarily stable levels,
despite reduced conservation at individual enhancers. Adaptive nucleotide
substitutions are associated with lineage-specific transcription and at one
locus, SGPP2, we predict and experimentally validate that multiple substitutions
contribute to human-specific transcription. Collectively, our findings suggest a
pervasive role for evolutionary compensation across ensembles of enhancers that
jointly regulate target genes.
PMID- 29379190
TI - Tumour immunology: Natural killer cells spy greedy tumours.
PMID- 29379191
TI - Genetic cluster of fragile X syndrome in a Colombian district.
AB - BACKGROUND: Fragile X syndrome (FXS) is the most common cause of inherited
intellectual disabilities and autism. The reported prevalence of the full
mutation (FM) gene FMR1 in the general population is 0.2-0.4 per 1000 males and
0.125-0.4 per 1000 females. Population screening for FMR1 expanded alleles has
been performed in newborns and in an adult population. However, it has never been
carried out in an entire town. Ricaurte is a Colombian district with 1186
habitants, with a high prevalence of FXS, which was first described by
cytogenetic techniques in 1999. METHODS: Using a PCR-based approach, screening
for FXS was performed on blood spot samples obtained from 926 (502 males and 424
females) inhabitants from Ricaurte, accounting for 78% of total population.
RESULTS: A high prevalence of carriers of the expanded allele was observed in all
FXS mutation categories. Using the Bayesian methods the carrier frequency of FM
was 48.2 (95% Credibility Region CR: 36.3-61.5) per 1000 males and 20.5 (95%
CR:13.5-28.6) per 1000 females; the frequency of premutation carrier was 14.1
(95% RC: 8.0-21.7) per 1000 males (95% RC: 8.0-21.7 per 1000 males) and 35.9 (95%
RC: 26.5-46.2) per 1000 for females (95% RC: 26.5-46.2 per 1000 females), and
gray zone carrier was 13.4 (95% RC: 7.4-20.7) per 1000 males (95% RC: 7.4-20.7
per 1000 males) and 42.2 (95% RC: 32.2-53.8) per 1000 for females (95% RC: 32.2
53.8 per 1000 females). Differences in carrier frequencies were observed for
premutation and FM alleles between natives and non-natives. CONCLUSIONS: This
study shows that in Ricaurte the carrier frequencies of FMR1 expanded alleles
(premutations and FMs) are higher than those reported in the literature,
suggesting that Ricaurte constitutes a genetic cluster of FXS.
PMID- 29379192
TI - Genetic variation in populations from central Argentina based on mitochondrial
and Y chromosome DNA evidence.
AB - We present new data and analysis on the genetic variation of contemporary
inhabitants of central Argentina, including a total of 812 unrelated individuals
from 20 populations. Our goal was to bring new elements for understanding micro
evolutionary and historical processes that generated the genetic diversity of the
region, using molecular markers of uniparental inheritance (mitochondrial DNA and
Y chromosome). Almost 76% of the individuals show mitochondrial lineages of
American origin. The Native American haplogroups predominate in all surveyed
localities, except in one. The larger presence of Eurasian maternal lineages were
observed in the plains (Pampas) of the southeast, whereas the African lineages
are more frequent in northern Cordoba. On the other hand, the analysis of 258
male samples reveals that 92% of them present Eurasian paternal lineages, 7%
carry Native American haplogroups, and only 1% of the males show African
lineages. The maternal lineages have high genetic diversity homogeneously
distributed throughout central Argentina, probably as result of a recent common
origin and sustained gene flow. Migratory events that occurred in colonial and
recent times should have contributed to hiding any traces of differentiation that
might have existed in the past. The analysis of paternal lineages showed also
homogeneous distribution of the variation together with a drastic reduction of
the native male population.
PMID- 29379193
TI - The inclusion of genomic data in the 2015 revision of Japan's Protection of
Personal Information Act: protection of wider range of genomic data as our next
challenge.
PMID- 29379194
TI - Psychosocial impact on mothers receiving expanded newborn screening results.
AB - Expanded newborn screening (NBS) for genetic disorders has improved diagnosis of
numerous treatable diseases, positively impacting children's health outcomes.
However, research about the psychological impact of expanded NBS on families,
especially mothers, has been mixed. Our study examined associations between
maternal experiences of expanded NBS and subsequent psychosocial functioning and
parenting stress in mothers whose infants received either true negative (TN),
true positive (TP) or false positive (FP) results after a 4- to 6-month period.
The Parenting Stress Index and the Depression, Anxiety and Stress Scale were used
to assess symptoms of anxiety, stress and depression in 3 sets of mothers, whose
infants received TN (n = 31), TP (n = 8) or FP (n = 18) results. Multivariate
analyses of variance (MANOVA) results revealed no significant differences among
these three groups of mothers regarding overall anxiety, stress and depression.
However, FP mothers experienced lower levels of stress related to their own
health compared to TN group. Two potential trends were also identified; results
suggested TN mothers might experience higher levels of isolation than mothers in
the TP group and that FP mothers might report higher stress levels in relation to
spousal relationships compared to the TN group. FP mothers seemed to report
similar or better levels of psychosocial functioning than TN mothers. Our
findings are encouraging with respect to impacts of NBS on maternal well-being.
We also identify key areas for improvement (parental education) and research
(isolation and spousal relationships).
PMID- 29379195
TI - Knowledge, experiences and attitudes concerning genetics among retinoblastoma
survivors and parents.
AB - Clinical genetic services are increasingly providing a more nuanced understanding
of genetic disease diagnostics and future risk for patients. Effectively
conveying genetic information is essential for patients to make informed
decisions. This is especially important for survivors of heritable cancers such
as retinoblastoma (childhood eye cancer), where survivors who carry a germline
mutation in the RB1 gene are at increased risk of second cancers in adulthood,
and of passing on the disease risk to future offspring. We conducted focus groups
with adult survivors of retinoblastoma and parents of children with
retinoblastoma, to uncover their knowledge of, experiences with and attitudes
about retinoblastoma genetics and related impacts of the cancer. Results revealed
that participants understood that retinoblastoma was a genetic disease, but often
misunderstood the implications of genetics on cancer phenotype and risk.
Experiences with genetic testing and counseling were generally positive, however,
participants reported challenges in accessing genetic information and
psychosocial support. Participants suggested more educational resources, peer-to
peer counseling, and psychosocial support would enhance uptake of important
genetic information. The results of the study will inform patient-oriented
approaches to deliver comprehensive genetic healthcare.
PMID- 29379197
TI - BRD4 interacts with NIPBL and BRD4 is mutated in a Cornelia de Lange-like
syndrome.
AB - We found that the clinical phenotype associated with BRD4 haploinsufficiency
overlapped with that of Cornelia de Lange syndrome (CdLS), which is most often
caused by mutation of NIPBL. More typical CdLS was observed with a de novo BRD4
missense variant, which retained the ability to coimmunoprecipitate with NIPBL,
but bound poorly to acetylated histones. BRD4 and NIPBL displayed correlated
binding at super-enhancers and appeared to co-regulate developmental gene
expression.
PMID- 29379196
TI - Genome-wide association study of Hirschsprung disease detects a novel low
frequency variant at the RET locus.
AB - Hirschsprung disease (HSCR) is a congenital disorder with a population incidence
of ~1/5000 live births, defined by an absence of enteric ganglia along variable
lengths of the colon. HSCR genome-wide association studies (GWAS) have found
common associated variants at RET, SEMA3, and NRG1, but they still fail to
explain all of its heritability. To enhance gene discovery, we performed a GWAS
of 170 cases identified from the Danish nationwide pathology registry with 4717
controls, based on 6.2 million variants imputed from the haplotype reference
consortium panel. We found a novel low-frequency variant (rs144432435), which,
when conditioning on the lead RET single-nucleotide polymorphism (SNP), was of
genome-wide significance in the discovery analysis. This conditional association
signal was replicated in a Swedish HSCR cohort with discovery plus replication
meta-analysis conditional odds ratio of 6.6 (P = 7.7 * 10-10; 322 cases and 4893
controls). The conditional signal was, however, not replicated in two HSCR
cohorts from USA and Finland, leading to the hypothesis that rs144432435 tags a
rare haplotype present in Denmark and Sweden. Using the genome-wide complex trait
analysis method, we estimated the SNP heritability of HSCR to be 88%, close to
estimates based on classical family studies. Moreover, by using Lasso (least
absolute shrinkage and selection operator) regression we were able to construct a
genetic HSCR predictor with a area under the receiver operator characteristics
curve of 76% in an independent validation set. In conclusion, we combined the
largest collection of sporadic Hirschsprung cases to date (586 cases) to further
elucidate HSCR's genetic architecture.
PMID- 29379198
TI - Exome-wide analyses identify low-frequency variant in CYP26B1 and additional
coding variants associated with esophageal squamous cell carcinoma.
AB - Genome-wide association studies have identified common variants associated with
risk of esophageal squamous cell carcinoma (ESCC). However, these common variants
cannot explain all heritability of ESCC. Here we report an exome-wide
interrogation of 3,714 individuals with ESCC and 3,880 controls for low-frequency
susceptibility loci, with two independent replication samples comprising 7,002
cases and 8,757 controls. We found six new susceptibility loci in CCHCR1, TCN2,
TNXB, LTA, CYP26B1 and FASN (P = 7.77 * 10-24 to P = 1.49 * 10-11), and three low
frequency variants had relatively high effect size (odds ratio > 1.5).
Individuals with the rs138478634-GA genotype had significantly lower levels of
serum all-trans retinoic acid, an anticancer nutrient, than those with the
rs138478634-GG genotype (P = 0.0004), most likely due to an enhanced capacity of
variant CYP26B1 to catabolize this agent. These findings emphasize the important
role of rare coding variants in the development of ESCC.
PMID- 29379199
TI - Enhancer invasion shapes MYCN-dependent transcriptional amplification in
neuroblastoma.
AB - Amplification of the locus encoding the oncogenic transcription factor MYCN is a
defining feature of high-risk neuroblastoma. Here we present the first dynamic
chromatin and transcriptional landscape of MYCN perturbation in neuroblastoma. At
oncogenic levels, MYCN associates with E-box binding motifs in an affinity
dependent manner, binding to strong canonical E-boxes at promoters and invading
abundant weaker non-canonical E-boxes clustered at enhancers. Loss of MYCN leads
to a global reduction in transcription, which is most pronounced at MYCN target
genes with the greatest enhancer occupancy. These highly occupied MYCN target
genes show tissue-specific expression and are linked to poor patient survival.
The activity of genes with MYCN-occupied enhancers is dependent on the tissue
specific transcription factor TWIST1, which co-occupies enhancers with MYCN and
is required for MYCN-dependent proliferation. These data implicate tissue
specific enhancers in defining often highly tumor-specific 'MYC target gene
signatures' and identify disruption of the MYCN enhancer regulatory axis as a
promising therapeutic strategy in neuroblastoma.
PMID- 29379200
TI - Shared genetic effects on chromatin and gene expression indicate a role for
enhancer priming in immune response.
AB - Regulatory variants are often context specific, modulating gene expression in a
subset of possible cellular states. Although these genetic effects can play
important roles in disease, the molecular mechanisms underlying context
specificity are poorly understood. Here, we identified shared quantitative trait
loci (QTLs) for chromatin accessibility and gene expression in human macrophages
exposed to IFNgamma, Salmonella and IFNgamma plus Salmonella. We observed that
~60% of stimulus-specific expression QTLs with a detectable effect on chromatin
altered the chromatin accessibility in naive cells, thus suggesting that they
perturb enhancer priming. Such variants probably influence binding of cell-type
specific transcription factors, such as PU.1, which can then indirectly alter the
binding of stimulus-specific transcription factors, such as NF-kappaB or STAT2.
Thus, although chromatin accessibility assays are powerful for fine-mapping
causal regulatory variants, detecting their downstream effects on gene expression
will be challenging, requiring profiling of large numbers of stimulated cellular
states and time points.
PMID- 29379202
TI - Imaging the rainbow.
PMID- 29379201
TI - Morphable 3D mesostructures and microelectronic devices by multistable buckling
mechanics.
AB - Three-dimensional (3D) structures capable of reversible transformations in their
geometrical layouts have important applications across a broad range of areas.
Most morphable 3D systems rely on concepts inspired by origami/kirigami or
techniques of 3D printing with responsive materials. The development of schemes
that can simultaneously apply across a wide range of size scales and with classes
of advanced materials found in state-of-the-art microsystem technologies remains
challenging. Here, we introduce a set of concepts for morphable 3D mesostructures
in diverse materials and fully formed planar devices spanning length scales from
micrometres to millimetres. The approaches rely on elastomer platforms deformed
in different time sequences to elastically alter the 3D geometries of supported
mesostructures via nonlinear mechanical buckling. Over 20 examples have been
experimentally and theoretically investigated, including mesostructures that can
be reshaped between different geometries as well as those that can morph into
three or more distinct states. An adaptive radiofrequency circuit and a
concealable electromagnetic device provide examples of functionally
reconfigurable microelectronic devices.
PMID- 29379203
TI - Electrical detection of single magnetic skyrmions in metallic multilayers at room
temperature.
AB - Magnetic skyrmions are topologically protected whirling spin textures that can be
stabilized in magnetic materials by an asymmetric exchange interaction between
neighbouring spins that imposes a fixed chirality. Their small size, together
with the robustness against external perturbations, make magnetic skyrmions
potential storage bits in a novel generation of memory and logic devices. To this
aim, their contribution to the electrical transport properties of a device must
be characterized-however, the existing demonstrations are limited to low
temperatures and mainly in magnetic materials with a B20 crystal structure. Here
we combine concomitant magnetic force microscopy and Hall resistivity
measurements to demonstrate the electrical detection of sub-100 nm skyrmions in a
multilayered thin film at room temperature. Furthermore, we detect and analyse
the Hall signal of a single skyrmion, which indicates that it arises from the
anomalous Hall effect with a negligible contribution from the topological Hall
effect.
PMID- 29379204
TI - A broadband achromatic metalens in the visible.
AB - Metalenses consist of an array of optical nanoantennas on a surface capable of
manipulating the properties of an incoming light wavefront. Various flat optical
components, such as polarizers, optical imaging encoders, tunable phase
modulators and a retroreflector, have been demonstrated using a metalens design.
An open issue, especially problematic for colour imaging and display
applications, is the correction of chromatic aberration, an intrinsic effect
originating from the specific resonance and limited working bandwidth of each
nanoantenna. As a result, no metalens has demonstrated full-colour imaging in the
visible wavelength. Here, we show a design and fabrication that consists of GaN
based integrated-resonant unit elements to achieve an achromatic metalens
operating in the entire visible region in transmission mode. The focal length of
our metalenses remains unchanged as the incident wavelength is varied from 400 to
660 nm, demonstrating complete elimination of chromatic aberration at about 49%
bandwidth of the central working wavelength. The average efficiency of a metalens
with a numerical aperture of 0.106 is about 40% over the whole visible spectrum.
We also show some examples of full-colour imaging based on this design.
PMID- 29379205
TI - A modular platform for targeted RNAi therapeutics.
AB - Previous studies have identified relevant genes and signalling pathways that are
hampered in human disorders as potential candidates for therapeutics. Developing
nucleic acid-based tools to manipulate gene expression, such as short interfering
RNAs1-3 (siRNAs), opens up opportunities for personalized medicine. Yet, although
major progress has been made in developing siRNA targeted delivery carriers,
mainly by utilizing monoclonal antibodies (mAbs) for targeting4-8, their clinical
translation has not occurred. This is in part because of the massive development
and production requirements and the high batch-to-batch variability of current
technologies, which rely on chemical conjugation. Here we present a self
assembled modular platform that enables the construction of a theoretically
unlimited repertoire of siRNA targeted carriers. The self-assembly of the
platform is based on a membrane-anchored lipoprotein that is incorporated into
siRNA-loaded lipid nanoparticles that interact with the antibody crystallizable
fragment (Fc) domain. We show that a simple switch of eight different mAbs
redirects the specific uptake of siRNAs by diverse leukocyte subsets in vivo. The
therapeutic potential of the platform is demonstrated in an inflammatory bowel
disease model by targeting colon macrophages to reduce inflammatory symptoms, and
in a Mantle Cell Lymphoma xenograft model by targeting cancer cells to induce
cell death and improve survival. This modular delivery platform represents a
milestone in the development of precision medicine.
PMID- 29379206
TI - Publisher Correction: An adaptive supramolecular hydrogel comprising self-sorting
double nanofibre networks.
AB - In the version of this Article originally published online, in Fig. 4b, in the
lower-right image, the value of r was incorrect; it should have read 'r = 0.72'.
This has now been corrected in all versions of the Article.
PMID- 29379207
TI - Structural basis for neutralization of Japanese encephalitis virus by two potent
therapeutic antibodies.
AB - Japanese encephalitis virus (JEV), closely related to dengue, Zika, yellow fever
and West Nile viruses, remains neglected and not well characterized 1 . JEV is
the leading causative agent of encephalitis, and is responsible for thousands of
deaths each year in Asia. Humoral immunity is essential for protecting against
flavivirus infections and passive immunization has been demonstrated to be
effective in curing disease2,3. Here, we demonstrate that JEV-specific monoclonal
antibodies, 2F2 and 2H4, block attachment of the virus to its receptor and also
prevent fusion of the virus. Neutralization of JEV by these antibodies is
exceptionally potent and confers clear therapeutic benefit in mouse models. A
single 20 MUg dose of these antibodies resulted in 100% survival and complete
clearance of JEV from the brains of mice. The 4.7 A and 4.6 A resolution cryo
electron microscopy structures of JEV-2F2-Fab and JEV-2H4-Fab complexes, together
with the crystal structure of 2H4 Fab and our recent near-atomic structure of JEV
4 , unveil the nature and location of epitopes targeted by the antibodies. Both
2F2 and 2H4 Fabs bind quaternary epitopes that span across three adjacent
envelope proteins. Our results provide a structural and molecular basis for the
application of 2F2 and 2H4 to treat JEV infection.
PMID- 29379208
TI - Differential depth distribution of microbial function and putative symbionts
through sediment-hosted aquifers in the deep terrestrial subsurface.
AB - An enormous diversity of previously unknown bacteria and archaea has been
discovered recently, yet their functional capacities and distributions in the
terrestrial subsurface remain uncertain. Here, we continually sampled a CO2
driven geyser (Colorado Plateau, Utah, USA) over its 5-day eruption cycle to test
the hypothesis that stratified, sandstone-hosted aquifers sampled over three
phases of the eruption cycle have microbial communities that differ both in
membership and function. Genome-resolved metagenomics, single-cell genomics and
geochemical analyses confirmed this hypothesis and linked microorganisms to
groundwater compositions from different depths. Autotrophic Candidatus
"Altiarchaeum sp." and phylogenetically deep-branching nanoarchaea dominate the
deepest groundwater. A nanoarchaeon with limited metabolic capacity is inferred
to be a potential symbiont of the Ca. "Altiarchaeum". Candidate Phyla Radiation
bacteria are also present in the deepest groundwater and they are relatively
abundant in water from intermediate depths. During the recovery phase of the
geyser, microaerophilic Fe- and S-oxidizers have high in situ genome replication
rates. Autotrophic Sulfurimonas sustained by aerobic sulfide oxidation and with
the capacity for N2 fixation dominate the shallow aquifer. Overall, 104 different
phylum-level lineages are present in water from these subsurface environments,
with uncultivated archaea and bacteria partitioned to the deeper subsurface.
PMID- 29379209
TI - Spontaneous CRISPR loci generation in vivo by non-canonical spacer integration.
AB - The adaptation phase of CRISPR-Cas immunity depends on the precise integration of
short segments of foreign DNA (spacers) into a specific genomic location within
the CRISPR locus by the Cas1-Cas2 integration complex. Although off-target spacer
integration outside of canonical CRISPR arrays has been described in vitro, no
evidence of non-specific integration activity has been found in vivo. Here, we
show that non-canonical off-target integrations can occur within bacterial
chromosomes at locations that resemble the native CRISPR locus by characterizing
hundreds of off-target integration locations within Escherichia coli. Considering
whether such promiscuous Cas1-Cas2 activity could have an evolutionary role
through the genesis of neo-CRISPR loci, we combed existing CRISPR databases and
available genomes for evidence of off-target integration activity. This search
uncovered several putative instances of naturally occurring off-target spacer
integration events within the genomes of Yersinia pestis and Sulfolobus
islandicus. These results are important in understanding alternative routes to
CRISPR array genesis and evolution, as well as in the use of spacer acquisition
in technological applications.
PMID- 29379210
TI - AXL promotes Zika virus infection in astrocytes by antagonizing type I interferon
signalling.
AB - Zika virus (ZIKV) is associated with neonatal microcephaly and Guillain-Barre
syndrome1,2. While progress has been made in understanding the causal link
between ZIKV infection and microcephaly3-9, the life cycle and pathogenesis of
ZIKV are less well understood. In particular, there are conflicting reports on
the role of AXL, a TAM family kinase receptor that was initially described as the
entry receptor for ZIKV10-22. Here, we show that while genetic ablation of AXL
protected primary human astrocytes and astrocytoma cell lines from ZIKV
infection, AXL knockout did not block the entry of ZIKV. We found, instead, that
the presence of AXL attenuated the ZIKV-induced activation of type I interferon
(IFN) signalling genes, including several type I IFNs and IFN-stimulating genes.
Knocking out type I IFN receptor alpha chain (IFNAR1) restored the vulnerability
of AXL knockout astrocytes to ZIKV infection. Further experiments suggested that
AXL regulates the expression of SOCS1, a known type I IFN signalling suppressor,
in a STAT1/STAT2-dependent manner. Collectively, our results demonstrate that AXL
is unlikely to function as an entry receptor for ZIKV and may instead promote
ZIKV infection in human astrocytes by antagonizing type I IFN signalling.
PMID- 29379211
TI - Passive immunotherapy of viral infections: 'super-antibodies' enter the fray.
AB - Antibodies have been used for more than 100 years in the therapy of infectious
diseases, but a new generation of highly potent and/or broadly cross-reactive
human monoclonal antibodies (sometimes referred to as 'super-antibodies') offers
new opportunities for intervention. The isolation of these antibodies, most of
which are rarely induced in human infections, has primarily been achieved by
large-scale screening for suitable donors and new single B cell approaches to
human monoclonal antibody generation. Engineering the antibodies to improve half
life and effector functions has further augmented their in vivo activity in some
cases. Super-antibodies offer promise for the prophylaxis and therapy of
infections with a range of viruses, including those that are highly antigenically
variable and those that are newly emerging or that have pandemic potential. The
next few years will be decisive in the realization of the promise of super
antibodies.
PMID- 29379214
TI - Bacterial pathogenesis: Don't stress and repair the damage.
PMID- 29379217
TI - Marine Microbiology: Climate change boosts cyanobacteria.
PMID- 29379216
TI - Genome watch: Keeping tally in the microbiome.
AB - This month's Genome Watch highlights how the development of new approaches for
quantifying the human microbiome may pave the way for a better understanding of
microbial shifts in the context of human health and disease.
PMID- 29379213
TI - Epigenetic control of CD8+ T cell differentiation.
AB - Upon stimulation, small numbers of naive CD8+ T cells proliferate and
differentiate into a variety of memory and effector cell types. CD8+ T cells can
persist for years and kill tumour cells and virally infected cells. The
functional and phenotypic changes that occur during CD8+ T cell differentiation
are well characterized, but the epigenetic states that underlie these changes are
incompletely understood. Here, we review the epigenetic processes that direct
CD8+ T cell differentiation and function. We focus on epigenetic modification of
DNA and associated histones at genes and their regulatory elements. We also
describe structural changes in chromatin organization that affect gene
expression. Finally, we examine the translational potential of epigenetic
interventions to improve CD8+ T cell function in individuals with chronic
infections and cancer.
PMID- 29379212
TI - NF-kappaB, inflammation, immunity and cancer: coming of age.
AB - Fourteen years have passed since nuclear factor-kappaB (NF-kappaB) was first
shown to serve as a molecular lynchpin that links persistent infections and
chronic inflammation to increased cancer risk. The young field of inflammation
and cancer has now come of age, and inflammation has been recognized by the broad
cancer research community as a hallmark and cause of cancer. Here, we discuss how
the initial discovery of a role for NF-kappaB in linking inflammation and cancer
led to an improved understanding of tumour-elicited inflammation and its effects
on anticancer immunity.
PMID- 29379215
TI - Rhizobia: from saprophytes to endosymbionts.
AB - Rhizobia are some of the best-studied plant microbiota. These oligotrophic
Alphaproteobacteria or Betaproteobacteria form symbioses with their legume hosts.
Rhizobia must exist in soil and compete with other members of the microbiota
before infecting legumes and forming N2-fixing bacteroids. These dramatic
lifestyle and developmental changes are underpinned by large genomes and even
more complex pan-genomes, which encompass the whole population and are subject to
rapid genetic exchange. The ability to respond to plant signals and
chemoattractants and to colonize nutrient-rich roots are crucial for the
competitive success of these bacteria. The availability of a large body of
genomic, physiological, biochemical and ecological studies makes rhizobia unique
models for investigating community interactions and plant colonization.
PMID- 29379218
TI - Ecological Networks and Neighborhood Social Organization.
AB - Drawing on the social disorganization tradition and the social ecological
perspective of Jane Jacobs, the authors hypothesize that neighborhoods composed
of residents who intersect in space more frequently as a result of routine
activities will exhibit higher levels of collective efficacy, intergenerational
closure, and social network interaction and exchange. They develop this approach
employing the concept of ecological networks-two-mode networks that indirectly
link residents through spatial overlap in routine activities. Using data from the
Los Angeles Family and Neighborhood Survey, they find evidence that econetwork
extensity (the average proportion of households in the neighborhood to which a
given household is tied through any location) and intensity (the degree to which
household dyads are characterized by ties through multiple locations) are
positively related to changes in social organization between 2000-2001 and 2006
2008. These findings demonstrate the relevance of econetwork characteristics
heretofore neglected in research on urban neighborhoods-for consequential
dimensions of neighborhood social organization.
PMID- 29379219
TI - Sensitivity of Gravity Wave Fluxes to Interannual Variations in Tropical
Convection and Zonal Wind.
AB - Using an idealized model framework with high-frequency tropical latent heating
variability derived from global satellite observations of precipitation and
clouds, the authors examine the properties and effects of gravity waves in the
lower stratosphere, contrasting conditions in an El Nino year and a La Nina year.
The model generates a broad spectrum of tropical waves including planetary-scale
waves through mesoscale gravity waves. The authors compare modeled monthly mean
regional variations in wind and temperature with reanalyses and validate the
modeled gravity waves using satellite- and balloon-based estimates of gravity
wave momentum flux. Some interesting changes in the gravity spectrum of momentum
flux are found in the model, which are discussed in terms of the interannual
variations in clouds, precipitation, and large-scale winds. While regional
variations in clouds, precipitation, and winds are dramatic, the mean gravity
wave zonal momentum fluxes entering the stratosphere differ by only 11%. The
modeled intermittency in gravity wave momentum flux is shown to be very realistic
compared to observations, and the largest-amplitude waves are related to
significant gravity wave drag forces in the lowermost stratosphere. This strong
intermittency is generally absent or weak in climate models because of
deficiencies in parameterizations of gravity wave intermittency. These results
suggest a way forward to improve model representations of the lowermost
stratospheric quasi-biennial oscillation winds and teleconnections.
PMID- 29379220
TI - Tracking Health Inequalities from High School to Midlife.
AB - Educational gradients in health status, morbidity, and mortality are well
established, but which aspects of schooling produce those gradients is only
partially understood. We draw on newly available data from the midlife follow-up
of the High School and Beyond sophomore cohort to analyze the relationship
between students' level of coursework in high school and their long-term health
outcomes. We additionally evaluate the mediating roles of skill development,
postsecondary attendance and degree attainment, and occupational characteristics.
We find that students who took a medium- to high-level course of study in high
school have better self-reported health and physical functioning in midlife, even
net of family background, adolescent health, baseline skills, and school
characteristics. The association partially operates through pathways into
postsecondary education. Our findings have implications for both educational
policy and research on the educational gradient in health.
PMID- 29379221
TI - Act-Frequency Signatures of the Big Five.
AB - The traditional focus of work on personality and behavior has tended toward
"major outcomes" such as health or antisocial behavior, or small sets of
behaviors observable over short periods in laboratories or in convenience
samples. In a community sample, we examined a wide set (400) of mundane,
incidental or "every day" behavioral acts, the frequencies of which were reported
over the past year. Using an exploratory methodology similar to genomic
approaches (relying on the False Discovery Rate) revealed 26 prototypical acts
for Intellect, 24 acts for Extraversion, 13 for Emotional Stability, nine for
Conscientiousness, and six for Agreeableness. Many links were consistent with
general intuition-for instance, low Conscientiousness with work and
procrastination. Some of the most robust associations, however, were for acts too
specific for a priori hypothesis. For instance, Extraversion was strongly
associated with telling dirty jokes, Intellect with "loung[ing] around [the]
house without clothes on", and Agreeableness with singing in the shower.
Frequency categories for these acts changed with markedly non-linearity across
Big Five Z-scores. Findings may help ground trait scores in emblematic acts, and
enrich understanding of mundane or common behavioral signatures of the Big Five.
PMID- 29379222
TI - Heparanase Overexpresses in Keratoconic Cornea and Tears Depending on the
Pathologic Grade.
AB - Background: Keratoconus has classically been defined as a noninflammatory
disorder, although recent studies show elevated levels of inflammatory markers
suggesting that keratoconus could be, at least in part, an inflammatory
condition. Heparanase upregulation has been described in multiple inflammatory
disorders. In this article, we study the differential expression of heparanase in
cornea and tears from keratoconus patients and healthy controls. Methods: A
transcriptomic approach was used employing quantitative polymerase chain reaction
to analyze the expression of heparanase and heparanase 2 in stromal and
epithelial corneal cells. The protein expression was analyzed by
immunohistochemistry in corneal sections. Enzymatic activity in tears was
measured using [3H]-labeled heparan sulfate as substrate. Results: Heparanase
transcription was detected in stromal and epithelial cells and appeared
upregulated in keratoconus. Overexpression of the enzyme was also detected by
immunohistochemistry. Corneal expression of heparanase 2 was detected in some
cases. Heparanase catalytic activity was found in tears and displayed a positive
correlation with the degree of keratoconus. Conclusions: Heparanase overexpresses
in keratoconic corneas, possibly reinforcing the inflammatory condition of the
pathology. The presence of heparanase activity in tears allows us to propose its
use as a biomarker for the diagnosis of the disorder.
PMID- 29379223
TI - Prognostic Association of Circulating Neutrophil Count with No-Reflow in Patients
with ST-Segment Elevation Myocardial Infarction following Successful Primary
Percutaneous Intervention.
AB - Objective: The aim of the present study was to investigate the predictive value
of neutrophil count for no-reflow in patients with ST-segment elevation
myocardial infarction (STEMI) who underwent successful primary percutaneous
intervention (PCI). Methods: We conducted a retrospective study of 361 patients
diagnosed with acute STEMI between 2011 and 2015. All patients underwent
successful PCI within 12 h from the onset of symptoms. Angiographic no-reflow was
diagnosed based on a post-PCI thrombolysis in myocardial infarction flow grade <=
2 without mechanical obstruction. According to a neutrophil count cut-off
determined by receiver operating characteristic curve analysis, patients were
divided into two groups: group A (neutrophil count < 9.14 * 109/L) and group B
(neutrophil count >= 9.14 * 109/L). Results: Compared to patients in the normal
reflow group, patients with no-reflow had higher neutrophil counts (P < 0.05).
The incidence rate of no-reflow in group A (18, 9.3%) was significantly lower
than that in group B (38). Multivariate logistic regression analysis revealed
that a neutrophil count >= 9.14 * 109/L was independently predictive for no
reflow (odds ratio = 4.474, 95% confidence interval: 1.610-12.433, P = 0.004)
after adjusting for potential confounders. Conclusions: A circulating neutrophil
count >= 9.14 * 109/L is independently associated with no-reflow in patients with
acute STEMI following primary PCI.
PMID- 29379224
TI - Implicit learning of structure occurs in parallel with lexically-mediated
syntactic priming effects in sentence comprehension.
AB - The aim of this study was to determine whether cumulative structural priming
effects and trial-to-trial lexically-mediated priming effects are produced by the
same mechanism in comprehension. Participants took part in a five-session eye
tracking study where they read reduced-relative prime-target pairs with the same
initial verb. Half of the verbs in these sentences were repeated across the five
sessions and half were novel to each session. Total fixation times on the
syntactically challenging parts of prime sentences decreased across sessions,
suggesting participants implicitly learned the structure. Additional priming was
also observed at the critical regions of the target sentences, and the magnitude
of this effect did not change over the five sessions. These finding suggests long
lived adaptation to structure and short-lived lexically-mediated priming effects
are caused by separate mechanisms in comprehension. A dual mechanism account of
syntactic priming effects can best reconcile these results.
PMID- 29379225
TI - Hylleraas-Configuration Interaction study of the 1S ground state of the negative
Li ion.
AB - In a previous work Sims and Hagstrom [J. Chem. Phys. 140, 224312 (2014)] reported
Hylleraas-Configuration Interaction (Hy-CI) method variational calculations for
the neutral atom and positive ion 1S ground states of the beryllium isoelectronic
sequence. The Li- ion, nominally the first member of this series, has a decidedly
different electronic structure. This paper reports the results of a large,
comparable calculation for the Li- ground state to explore how well the Hy-CI
method can represent the more diffuse L shell of Li- which is representative of
the Be(2sns) excited states as well. The best non-relativistic energy obtained
was -7.500 776 596 hartree, indicating that 10 - 20 nh accuracy is attainable in
Hy-CI and that convergence of the r12r34 double cusp is fast and that this
correlation type can be accurately represented within the Hy-CI model.
PMID- 29379226
TI - Pet Dogs: Does their presence influence preadolescents' emotional responses to a
social stressor?
AB - Despite interest in human-animal interaction, few studies have tested whether the
presence of a dog facilitates children's emotional responding. Preadolescents (n
= 99) were randomly assigned to complete the Trier Social Stress Test either with
or without their pet dog. Children rated their positive and negative affect, and
high frequency heart rate variability (HF-HRV) was assessed throughout the
session. Children reported higher positive affect when they completed the task
with their pet dog, although there were no differences for negative affect or HF
HRV. Children who had more physical contact with their dog at baseline reported
higher positive affect. The findings suggest contact with pets is associated with
enhanced positive affect.
PMID- 29379229
TI - Redefining radiology senior residency - Can we provide an alternative to "The
Consultant Job".
PMID- 29379227
TI - Gene Expression, Oxidative Stress, and Senescence of Primary Coronary Endothelial
Cells Exposed to Postprandial Serum of Healthy Adult and Elderly Volunteers after
Oven-Cooked Meat Meals.
AB - Epidemiological studies have linked high consumption of meat with major age
related diseases including cardiovascular diseases. Abnormal postprandial
increases in plasma lipids after a meat meal have been hypothesized among the
pathogenetic mechanisms. However, it is still unknown if the postprandial serum
derived after a normal meat meal is able to affect endothelial function, and if
the type of meat and the age of the donors are critical factors. Here, we show
the effects of postprandial sera derived from healthy adults and elderly
volunteers who consumed meat meals on human coronary artery endothelial cell
(HCAEC) oxidative stress, gene expression, DNA damage, and cellular senescence.
We observed that a single exposure to postprandial serum induces a slight
increase in ROS that is associated with modulation of gene expression pathways
related to oxidative stress response and metabolism. The postprandial-induced
increase in ROS is not associated with a measurable DNA oxidative damage.
However, repeated exposure to postprandial serum induces an acceleration of
cellular senescence. Taking into account the deleterious role of cellular
senescence in age-related vascular diseases, the results suggest a new mechanism
by which excessive meat consumption and time spent in postprandial state may
affect health status during aging.
PMID- 29379230
TI - Dilemma of diagnosing thoracic sarcoidosis in tuberculosis endemic regions: An
imaging-based approach. Part 1.
AB - Sarcoidosis is a multi-systemic disorder of unknown etiology, although commonly
believed to be immune-mediated. Histologically, it is characterized by
noncaseating granuloma which contrasts against the caseating granuloma seen in
tuberculosis (TB), an infectious disease that closely mimics sarcoidosis, both
clinically as well as radiologically. In TB-endemic regions, the overlapping
clinico-radiological manifestations create significant diagnostic dilemma,
especially since the management options are markedly different in the two
entities. Part 1 of this review aims to summarize the clinical, laboratory, and
imaging features of sarcoidosis, encompassing both typical and atypical
manifestations, in an attempt to distinguish between the two disease entities.
PMID- 29379228
TI - Small Intestinal Bacterial Overgrowth Affects the Responsiveness to Colchicine in
Familial Mediterranean Fever.
AB - Objective: Familial Mediterranean fever (FMF) is an autosomal recessive disease
due to a MEFV gene mutation. Since Helicobacter pylori infection has been
described to increase the severity and frequency of FMF attacks, we evaluate if
overgrowth of small intestinal bacterial (SIBO), associated with a release of
bacterial products, can affect the response to colchicine in FMF patients poorly
responsive to colchicine. Methods: We revised our Periodic Fever Centre database
to detect FMF patients who were poorly responsive to colchicine, without a well
defined cause of drug resistance. They were evaluated for SIBO presence, then
treated with decontamination therapy. Results: Among 223 FMF patients, 49
subjects show colchicine resistance, and no other known causes of colchicine
unresponsiveness has been found in 25 patients. All 25 patients underwent glucose
breath test; 20 (80%) of them were positive, thus affected by SIBO. After a
successful decontamination treatment, 11 patients (55%) did not show FMF attacks
during the following three months (p < 0.01), while 9 of them revealed a
significant reduction of the number of attacks compared to three months before (p
< 0.01). Conclusion: The SIBO eradication improves laboratory and clinical
features of FMF patients. Thus, patients with unresponsiveness to colchicine
treatment should be investigated for SIBO.
PMID- 29379231
TI - Dilemma of diagnosing thoracic sarcoidosis in tuberculosis-endemic regions: An
imaging-based approach. Part 2.
AB - The second part of the review discusses the role of different existing imaging
modalities in the evaluation of thoracic sarcoidosis, including chest radiograph,
computed tomography, magnetic resonance imaging, endobronchial ultrasound, and
positron emission tomography. While summarizing the advantages and pitfalls of
each imaging modality, the authors propose imaging recommendations and an
algorithm to be followed in the evaluation of clinically suspected case of
sarcoidosis in tuberculosis-endemic regions.
PMID- 29379232
TI - Appraisal of radiation dose with 64-slice computed tomography perfusion in lung
cancer patients with special reference to SSDE: An initial experience in a
tertiary care hospital.
AB - Context: Computed tomography perfusion (CTP) is an important functional tool for
lung cancer. It is expected to deliver high radiation dose, making its accurate
estimation important. Size-specific dose estimate (SSDE) is a new dose metric,
which includes the scanner output as well as the patient size. Aims: To determine
radiation dose [CT dose index (CTDIvol), dose length product (DLP), effective
dose (ED), and SSDE] for CTP in lung cancer and the correlation of CTDIvol, DLP,
and SSDE with effective diameter and SSDE with weight, body mass index (BMI), and
the scan length. Settings and Design: Cross-sectional study in the Department of
Radio-diagnosis from October 2015 to March 2016. Patients and Methods: Due
ethical approval and informed consent was taken. Thirty consecutive adult
patients of lung cancer undergoing CTP study were included; various radiation
dose parameters were determined and presented as mean +/- SD. Statistical
Analysis Used: Paired Student's t-test and Pearson correlation using Statistical
Package for the Social Sciences, Version 16. Results: Mean radiation dose was
CTDIvol = 270.138 +/- 1.627 mGy, DLP = 681 +/- 53.496 mGy.cm, ED = 12.501 +/-
0.923 mSv, SSDE = 388.90 +/- 81.27 mGy. The CTDIvol and DLP had significant
positive correlation (r = 0.556, P = 0.000 and r = 0.522, P = 0.003,
respectively) with effective diameter. SSDE had strong negative correlation (r =
0.997, P = 0.000) with effective diameter, significant negative correlation with
the BMI (r = -0.889; P = 0.000) and weight (r = -0.910, P = 0.000) of patients.
Scan length was not significantly correlated in SSDE (r = -0.012, P = 0.951).
Conclusions: Smaller sized patients had greater SSDE.
PMID- 29379233
TI - Radiological spectrum of anthracofibrosis: A series of 40 patients with computed
tomography, bronchoscopy, and biopsy.
AB - Introduction: Anthracofibrosis is a lesser known clinical entity. Patients
present with chronic symptoms of cough and breathlessness with a history of
biofuel/wood fire smoke exposure. There are distinct computed tomography (CT)
imaging features of anthracofibrosis that can differentiate it from more common
conditions such as tuberculosis (TB) and bronchogenic carcinoma. Findings include
multifocal noncontiguous stenosis of bronchial tree, calcified enlarged
mediastinal or hilar nodes, and secondary lung parenchymal changes. However, in
TB, bronchostenosis usually involves a single lobar bronchus in a contiguous
manner with trachea and/or major bronchi also being affected. In this study, we
highlight the imaging characteristics of anthracofibrosis. Context: The CT
findings of anthracofibrosis closely mimic TB and bronchogenic carcinoma, hence
we highlight the key imaging features of anthracofibrosis. Aims and Objectives:
To identify and describe the CT imaging features of anthracofibrosis and
correlate it with bronchoscopic findings. Setting and Design: Retrospective
study. Materials and Methods: Retrospectively, 40 patients were selected who were
diagnosed with anthracofibrosis on bronchoscopy and biopsy. However, CT scan
records of only 14 patients were available for review. Two radiologists reviewed
the scans independently. Results: Most common CT finding was multisegmental
noncontiguous bronchostenosis seen in 93% patients mostly involving the right
middle lobe. 85% of the cases showed lymph node enlargement involving hilar,
peribronchial, and mediastinal nodes. The nodes were calcified in 91.7% of the
cases, with 58% showing pressure effect on adjacent bronchi due to nodal
enlargement. The next common findings were peribronchial cuffing and bronchial
obstruction seen in 57 and 28% of the cases, respectively. Conclusion: The key
imaging features of anthracofibrosis on CT are multifocal involvement of bronchi
with smooth peribronchial thickening and enlarged calcified lymph nodes.
PMID- 29379235
TI - Levoatrial cardinal vein with normal left ventricle: A forgotten cause of
pulmonary arterial hypertension.
AB - Levoatrial cardinal vein (LACV) is anomalous connection between left atrium or
pulmonary veins and systemic veins such as innominate vein or superior vena cava.
This persistence of splanchnic circulation occurs when there is left-sided
obstructive cardiac lesions such as hypoplastic left heart or mitral atresia. In
this report we present three cases of LACV with well-developed left heart,
without any obstructive lesions. All our cases presented with pulmonary arterial
hypertension (PAH) and had associated intracardiac shunt such as
ventricular/atrial septal defect and supracardiac partial anomalous pulmonary
venous connection. Apart from the above shunts, LACV contributed to PAH in these
cases. It is important to detect and report LACV as this may require surgical
correction along with other defects. If LACV goes undetected during imaging
workup, it may cause persistent PAH postoperatively.
PMID- 29379234
TI - Cardiac magnetic resonance techniques: Our experience on wide bore 3 tesla
magnetic resonance system.
AB - Cardiovascular magnetic resonance (CMR) has become a widely adapted imaging
modality in the diagnosis and management of patients with cardiovascular
diseases. It provides unparalleled data of cardiac function and myocardial
morphology. Majority of CMR imaging is currently being performed on 1.5 Tesla (T)
MR systems. Over the last many years, the cardiac imaging protocols have been
standardized and optimized in the 1.5T systems. 3T MR systems are now being used
more and more in small and large institutions in our country due to their proven
advantages in the field of neuro, body, and musculoskeletal imaging. Cardiac
imaging on 3T system can be a double-edged sword. On one hand, it may provide
nondiagnostic images due to significant artifacts, and on the other hand, it may
complete the examination in quick time and provide excellent quality images. It
is therefore important for the user to be aware of the potential pitfalls of CMR
in 3T systems and also the necessary steps to avoid them. In this study, we
discuss various challenges and advantages of performing CMR in a 3T system. We
also present potential technical solutions to improve the image quality.
PMID- 29379236
TI - Spinal dysraphism illustrated; Embroyology revisited.
AB - Spinal cord development occurs through three consecutive periods of gastrulation,
primary nerulation and secondary neurulation. Aberration in these stages causes
abnormalities of the spine and spinal cord, collectively referred as spinal
dysraphism. They can be broadly classified as anomalies of gastrulation
(disorders of notochord formation and of integration); anomalies of primary
neurulation (premature dysjunction and nondysjunction); combined anomalies of
gastrulation and primary neurulation and anomalies of secondary neurulation.
Correlation with clinical and embryological data and common imaging findings
provides an organized approach in their diagnosis.
PMID- 29379237
TI - Intracranial translucency as a sonographic marker for detecting open spina bifida
at 11-13+6 weeks scan: Our experience.
AB - Aims and Objectives: The fourth ventricle, seen as intracranial translucency (IT)
at 11-13+6 weeks, has been reported to be obliterated in cases of open spina
bifida (OSB). Our aim was to assess its role in detecting OSB at 11-13+6 weeks.
Materials and Methods: This prospective study was conducted at foetal medicine
unit from January 2014 to June 2015. All women who underwent both first and mid
trimester scan in our unit were included in the study. IT was categorized as
normal, obliterated or not clear. Spine was examined during both scans. Results:
Totally, 341 cases were included in the study. IT was found to be obliterated in
four cases and not clear in one case. There was demonstrable OSB at 11-13+6 weeks
in two cases, at 15-16 weeks in two cases and at 24 weeks in one case. In the
remaining 336 cases with normal IT, spine was found to be normal at target scan.
Conclusion: Mid-sagittal view of face that is routinely used to measure nuchal
translucency (NT) can also be used to detect OSB. It is feasible to integrate IT
into the routine 11-13+6 weeks scan.
PMID- 29379238
TI - Emergent presentation of Langerhans cell histiocytosis in a pediatric patient:
Acute cerebellar involvement causing obstructive hydrocephalus requiring
posterior fossa decompression.
AB - Langerhans cell histiocytosis (LCH) is a disorder of the monocyte-macrophage
system that can be unifocal or systemic. Here, we present a pediatric case who
initially presented with osseous LCH but again presented 6 years later emergently
with cerebellar symptoms, cerebellar mass and obstructive hydrocephalus. Patient
underwent biopsy of the cerebellum which was path proven intracranial LCH.
PMID- 29379239
TI - A rare case of OEIS complex - newer approach to diagnosis of exstrophy bladder by
color doppler and its differentiation from simple omphalocele.
AB - The objective of this article is to present a new approach to diagnose and
differentiate similar ventral masses by color Doppler. Two cases of ventral
masses, a rare case of OEIS complex (Omphalocele-exstrophy-imperforate anus
spinal defects) with unusual presentation of exstrophy bladder and another of
simple omphalocele, were studied by color Doppler for diagnosis and
differentiation between the nature of similar masses. Ventral mass with absent
bladder, normal kidneys, and normal amniotic fluid index raised the suspicion of
exstrophy bladder. Color Doppler depicting altered intrafetal course of umbilical
arteries and umbilical arteries coursing along the sides of ventral mass
substantiated the diagnosis. The spatial relation between umbilical artery and
aorta (which has no mention in the current literature) in sagittal view has been
identified as an acute angle in a normal fetus and coined as "K angle"
arbitrarily by the author. Color Doppler reveals altered (widened) "K angle" in
exstrophy bladder compared to normal fetuses. Other combined anomalies pointed to
the diagnosis of OEIS complex. The second case of simple omphalocele depicts
normal intrafetal course of umbilical arteries and normal acute umbilical artery
aorta angle (K angle) on color Doppler. Color Doppler aids the early diagnosis of
ventral defects. New method by umbilical artery-aorta angle (K angle) assessment
on color Doppler helps differentiate exstrophy bladder from omphalocele.
PMID- 29379240
TI - Imaging review of cerebrospinal fluid leaks.
AB - Cerebrospinal fluid (CSF) leak occurs due to a defect in the dura and skull base.
Trauma remains the most common cause of CSF leak; however, a significant number
of cases are iatrogenic, and result from a complication of functional endoscopic
sinus surgery (FESS). Early diagnosis of CSF leak is of paramount importance to
prevent life-threatening complications such as brain abscess and meningitis.
Imaging plays a crucial role in the detection and characterization of CSF leaks.
Three-dimensional, isotropic, high resolution computed tomography (HRCT)
accurately detects the site and size of the bony defect. CT cisternography,
though invasive, helps accurately identify the site of CSF leak, especially in
the presence of multiple bony defects. Magnetic resonance imaging (MRI)
accurately detects CSF leaks and associated complications such as the
encephaloceles and meningoceles. In this review, we emphasize the importance and
usefulness of 3D T2 DRIVE MR cisternography in localizing CSF leaks. This
sequence has the advantages of effective bone and fat suppression, decreased
artefacts, faster acquisition times, three-dimensional capability, y and high
spatial resolution in addition to providing very bright signal from the CSF.
PMID- 29379241
TI - Comparison of fractional anisotropy and apparent diffusion coefficient among
hypoxic ischemic encephalopathy stages 1, 2, and 3 and with nonasphyxiated
newborns in 18 areas of brain.
AB - Purpose: To determine the area and extent of injury in hypoxic encephalopathy
stages by diffusion tensor imaging (DTI) using parameters apparent diffusion
coefficient (ADC) and fractional anisotropy (FA) values and their comparison with
controls without any evidence of asphyxia. To correlate the outcome of hypoxia
severity clinically and significant changes on DTI parameter. Materials and
Methods: DTI was done in 50 cases at median age of 12 and 20 controls at median
age of 7 days. FA and apparent diffusion coefficient (ADC) were measured in
several regions of interest (ROI). Continuous variables were analyzed using
Student's t-test. Categorical variables were compared by Fisher's exact test.
Comparison among multiple groups was done using analysis of variance (ANOVA) and
post hoc Bonferroni test. Results: Abnormalities were more easily and accurately
determined in ROI with the help of FA and ADC values. When compared with controls
FA values were significantly decreased and ADC values were significantly
increased in cases, in ROI including both right and left side of thalamus, basal
ganglia, posterior limb of internal capsule, cerebral peduncle, corticospinal
tracts, frontal, parietal, temporal, occipital with P value < 0.05. The extent of
injury was maximum in stage-III. There was no significant difference among males
and females. Conclusion: Compared to conventional magnetic resonance imaging
(MRI), the evaluation of FA and ADC values using DTI can determine the extent and
severity of injury in hypoxic encephalopathy. It can be used for early
determination of brain injury in these patients.
PMID- 29379242
TI - Diffusion tensor imaging metrics in cystic intracranial mass lesions.
AB - Background and Purpose: Conventional MR does not always differentiate various
cystic lesions of brain. Our purpose was to explore the utility of DTI in
characterization & differentiation of intra cranial cystic mass lesions.
Materials and Methods: DTI was done with a clinical 1.5 Tesla system in 62
patients presenting with intra cranial cystic lesions. Parameter maps of the DTI
metrics MD, FA, GA, RA, Geometric tensors (CL,CP,CS) were calculated & quantified
using regions of interest. Cystic lesions were grouped based on etiology and
management. Statistical analysis was performed to test the significance of
difference in DTI metrics in differentiation of various groups of cystic lesions
of brain. Results: Mann-Whitney U Test was done to analyse the usefulness of
various DTI metrics in differentiating the intracranial cysts. Epidermoid cysts
showed highest FA, RA, Cl & Cp due to the preferential diffusion of water through
the well structured orientation of keratin filaments & flakes within it.
Neurocysticercosis showed higher FA, next to epidermoid. Abscesses showed lowest
MD. Arachanoid cyst, giant cistern magna, choroid fissure cyst, choroid plexus
cyst, ependymal & neuroglial cysts showed higher MD & lower FA, implicating no
preferential directional diffusivity. Conclusion: DTI does prove useful in
characterization and differentiation of intracranial cystic mass lesions. This
study implicates the need for inclusion of DTI in the routine protocol of imaging
cystic intracranial mass lesions.
PMID- 29379243
TI - Evaluation of parenchymal thyroid diseases with multiparametric ultrasonography.
AB - Aim: Differential diagnosis of parenchymal thyroid diseases by gray-scale
ultrasound is quite difficult for a radiologist as the findings are very similar
to each other. In this study we aimed to assess some quantitative spectral
Doppler parameters, resistivity index (RI), acceleration time (AT), and
quantitative elastography [shear wave velocity (SWV)] together to show their
reliability for differential diagnosis of parenchymal thyroid diseases. Materials
and Methods: We retrospectively reviewed findings of 227 patients (179 females,
48 males) that underwent spectral Doppler ultrasound and acoustic radiation force
impulse between October 2013 and March 2016. Ages of the patients were between 18
and 74 years (39.52 +/- 12.67). Based on clinical and laboratory findings,
patients were divided into five groups (N: Normal, EH: Early Hashimoto, H: Late
Hashimoto, M: Nodular Thyroid Disease, HM: Hashimoto + Nodular Thyroid Disease).
Detailed statistical analyses were done on parameters such as age, gender, volume
information, and RI, AT (ms), SWV (m/s). Results: No significant effect of gender
or volume on the differentiation of disease pattern (Chi-square test: P = 0.306,
Kruskal-Wallis test: P = 0.290) was found in this study. RI (0.41 +/- 0.06) and
SWV values (1.19 +/- 0.18 m/s) were the lowest. AT values (>55 ms) were the
highest in EH group (area under the curve: 0.913). Existence of H decreased RI
and SWV values, while it extended AT in a different thyroid disease. Conclusion:
Thyroid parenchymal diseases could be classified and differentiated from each
other by measuring RI, AT, and SWV values quantitatively. So, in suspicious
cases, these parameters could be a reliable asset for differential diagnosis.
PMID- 29379245
TI - Role of transperineal ultrasound in infective and inflammatory disorders.
AB - Aims: To evaluate the role of transperineal ultrasound as screening tool in
infective and inflammatory diseases of perianal region. Materials and Methods:
Initially, clinical examination of the perineal region of patients (pts) for
perianal external opening of tracts, swelling and tenderness is done. The
perineal USG was performed using 2 -5 MHz sector probe and 7 -13 MHz linear probe
on GE logiq P 5 ultrasound machine. Internal opening of any fistulous tract were
described with clockwise position and tracts were traced upto their external
opening and perifocal area were screened for any ramification. The Park et al.
classification was used for classifying the tracts. Results: Out of 492 pts, 60
pts were normal, 257 pts had fistula, 114 pts had sinuses, 44 pts had only
abscess without fistula or sinus and 17 pts had other pathologies with 95%
sensitivity (Sn), 100% specificity (Sp) and Positive Predictive Value (PPV) and
95% Negative Predictive Value (NPV) for fistula and 94% Sn, 97% Sp, 89% PPV and
98% NPV for active sinuses and 98% Sp, 96% ppv and 100% NPV and Sn for abscesses.
Conclusions: Transperineal Ultrasound should be performed as first line imaging
modality for suspicion of low perianal fistula with high sensitivity,
specificity, and negative predictive value at much lower cost and less time as
compared to perineal MRI.
PMID- 29379244
TI - Review of imaging in post-laparoscopy cholecystectomy complications.
AB - Laparoscopic cholecystectomy is now considered the procedure of choice for
uncomplicated symptomatic gallstone disease worldwide. Various biliary, vascular,
gastrointestinal, neurological and local complications may be seen on imaging
post surgery. Knowledge of these entities and imaging appearances is
indispensable for the radiologist in today's era. We emphasize on the list of
potential complications and imaging appearances of this surgical procedure.
PMID- 29379246
TI - Endovascular uterine artery interventions.
AB - Percutaneous vascular embolization plays an important role in the management of
various gynecologic and obstetric abnormalities. Transcatheter embolization is a
minimally invasive alternative procedure to surgery with reduced morbidity and
mortality, and preserves the patient's future fertility potential. The clinical
indications for transcatheter embolization are much broader and include many
benign gynecologic conditions, such as fibroid, adenomyosis, and arteriovenous
malformations (AVMs), as well as intractable bleeding due to inoperable advanced
stage malignancies. The most well-known and well-studied indication is uterine
fibroid embolization. Uterine artery embolization (UAE) may be performed to
prevent or treat bleeding associated with various obstetric conditions, including
postpartum hemorrhage (PPH), placental implantation abnormality, and ectopic
pregnancy. Embolization of the uterine artery or the internal iliac artery also
may be performed to control pelvic bleeding due to coagulopathy or iatrogenic
injury. This article discusses these gynecologic and obstetric indications for
transcatheter embolization and reviews procedural techniques and outcomes.
PMID- 29379247
TI - Radiofrequency ablation of surface v/s intraparenchymal hepatocellular carcinoma
in cirrhotic patients.
AB - Objective: To retrospectively evaluate the safety and technical efficacy of
percutaneous radiofrequency ablation (RFA) of surface hepatocellular carcinoma
(HCC) in comparison to intraparenchymal HCC in cirrhotic patients. Materials and
Methods: Surface lesions were defined as tumours located or reaching within 1cm
of liver capsule including exophytic lesions. Seventy-four surface HCC including
21 exophytic in 58 patients (surface group) and 60 intraparenchymal HCC in 54
patients (intraparenchymal group) measuring up to 4 cm in maximum extent
underwent percutaneous [ultrasound (US) or computed tomography-guided (CT
guided)] RFA. The response to the treatment was assessed by contrast enhanced
CT/magnetic resonance imaging (MRI) done at 1, 3, 6, 9, and 12 months of RFA and
thereafter every 4-6 months. In case of features suggesting residual disease, a
repeat RFA was performed. The technical success after single-session RFA,
complications and disease recurrence rates were calculated and compared between
two groups. Results: Technical success achieved after first session of RFA in
surface HCC was 95% (70/74) and intraparenchymal HCC was 97% (58/60). Hundred
percent secondary success rate was achieved in both groups after second repeat
RFA in residual lesion. No major difference in complication and local recurrence
rate in both group on follow-up in surface HCC and intraparenchymal HCC. No case
of needle track, peritoneal seeding, and treatment mortality was found.
Conclusions: The complication rate and efficacy of RFA for surface and exophytic
HCC's were comparable to that of intraparenchymal HCC. Hence surface and
exophytic lesions should not be considered a contraindication for RFA in
cirrhotic patients.
PMID- 29379248
TI - Abdominal aorta aneurysm with hostile neck: Early outcomes in outside instruction
for use in patients using the treovance(r) stent graft.
AB - Purpose: The efficacy and safety of endovascular aneurysm repair (EVAR), in
patients outside instruction for use (IFU), is very challenging and widely
debated. The aim of this study was to evaluate the placement of the Treovance(r)
abdominal aorta stent-graft in patients with hostile proximal necks considered
outside IFU. Materials and Methods: Between May 2013 and August 2014, 5 patients
with outside IFU underwent EVAR with the Treovance(r) stent-graft. Technical and
clinical successes were evaluated. All 5 patients underwent clinical and imaging
follow-up. Results: Technical and clinical successes were achieved in all 5
patients without adjunctive endovascular procedures or surgical conversion.
During the mean follow-up of 21 months, no type I/III endoleaks, stent-graft
migration nor kinking/occlusion were observed. In all 5 patients, a reduction of
the proximal neck angle was observed. Conclusion: In our small series of selected
outside IFU patients, EVAR with the Treovance(r) stent-graft was technically
feasible and safe, with satisfactory short-term follow-up results, when performed
by experienced operators. Long-term follow-up will be necessary to confirm the
durability of our preliminary promising results.
PMID- 29379250
TI - Informed consent in diagnostic radiology practice: Where do we stand?
AB - We review the evolution of the concept of informed consent from a radiology
standpoint, the current international guidelines on the need for obtaining
consent in diagnostic radiology practice, and the current Indian scenario,
focusing on both practical and medicolegal aspects. We discuss the concept of
patient information sheet with signature, a potential way forward benefiting both
patients and radiologists.
PMID- 29379249
TI - Is there a clinical usefulness for radiolabeled somatostatin analogues beyond the
consolidated role in NETs?
AB - The somatostatin (SS) receptor scintigraphy (SRS), using octreotide radiolabelled
with 111In (Ocreoscan(c), OCT), is a consolidated diagnostic procedure in
patients with neuroendocrine tumors (NET) because of an increased expression of
somatostatin receptors (SS-R) on neoplastic cells. Uptake of SS analogues (SSA)
can also be due to SS-R expression on nonmalignant cells when activated as
lymphocytes, macrophages, fibroblasts, vascular cells. Because of this uptake,
clinical indications can be found either in neoplasms not overexpressing SS-R, as
nonsmall cell lung cancer, and in active benign diseases. Nevertheless, clinical
application of SRS has not found clinical relevance yet. In this paper, we
discuss the nononcologic fields of clinical interest in which SRS could play a
clinical role such as diagnosis, prognosis, and therapy of benign and chronic
diseases such as sarcoidosis, histiocytosis, rheumatoid arthritis, idiopathic
pulmonary fibrosis, and Graves' ophthalmopathy.
PMID- 29379251
TI - Transcatheter embolization of a cystic artery pseudoaneurysm in a cirrhotic
patient with perforated acute cholecystitis.
PMID- 29379252
TI - Ground reaction vector re-adjustment-the secret of success in treatment of medial
compartment knee osteoarthritis by novel high fibular osteotomy.
AB - High fibular osteotomy has been preliminarily proved to be an effective treatment
of knee osteoarthritis by excising a segment of bone at the proximal part of
fibula. This imaginative procedure is clinical validated by its instant and
explicit knee pain resorption and eventually deformity correction. The rationale
of this treatment is named non-uniform settlement of the tibial plateau and used
to elucidate the cause of knee joint degeneration, but cannot illuminate the
reason of prompt postoperative pain resorption faithfully. To assist in better
understanding of this therapeutic method and raising alert to possible unexpected
complications, we proposed a new theory to elucidate the pain relief mechanism.
PMID- 29379253
TI - Templating in uncemented THA. On accuracy and postoperative leg length
discrepancy.
AB - Purpose: This study examines the accuracy of digital templating in uncemented
total hip arthroplasty (THA), i.e., whether the templated components where
actually inserted during surgery. The surgical outcome was evaluated on the basis
of limb length equality. Methods: We retrospectively examined digital x-rays of
41 patients scheduled for uncemented THA. These were templated using templating
software. The template was compared to the surgical choice of implant registered
in the patients' journal. Postoperative x-rays were evaluated for limb length
equality. The data underwent statistical analysis to assess accuracy. Results:
The acetabular component was templated accurately in 7.3%, while 41% was within
+/- 1 component size difference, and 73% was within +/-2 size differences. The
femoral stem was templated accurately in 34%, while 76% was within +/- 1
component size difference, and 90% was within +/-2 size differences. The neck
length was templated accurately in 29%, while 88% was within +/-1 component size
difference, and 100% was within +/-2 size differences.Fifty four percent of
patients experienced radiologic equalization within +/- 5 mm, and 85% within 10
mm. Fifteen percent had leg length discrepancy of more than 10 mm
postoperatively. There was no systematic tendency to overestimate or
underestimate leg length peroperatively. Conclusions: We find that the accuracy
of digital templating in uncemented THA is acceptable for the femoral stem, but
somewhat inferior for the acetabular shell and poor for neck length. Templating
is a useful tool in preoperative planning, but cannot be regarded as a blueprint
for the operative choice.
PMID- 29379254
TI - Inadvertent hypothermia in hip and knee total joint arthroplasty.
AB - Background: This clinical study aims to establish rates of inadvertent
hypothermia (IH) in both primary and revision total hip/knee arthroplasty
(THA/TKA and rTHA/rTHA). We postulate differences exist between demographic,
surgical and anesthetic variables and outcomes for IH and normothermic patients.
Methods: We conducted a single centre, retrospective study of 2431 total joint
arthroplasty (TJA) patients having undergone THA (n = 1096), TKA (n = 1083), rTHA
(n = 165) and rTKA (n = 87) from March 2013 to December 2016. Outcomes include
length of stay (LOS), 31-day complication rates for thrombotic events and
infection and 31-day readmission rates (RR). Results: Overall rates of IH were
11.7%; with cohort analysis demonstrating rates of 13.2%, 11.2%, 8.3% and 3.9% in
THA, TKA, rTHA and rTKA respectively. Patients with body mass index (BMI)<29
kg/m2 and undergoing THA were at risk of IH. For all TJA, no difference was
observed in 31-day complications (1.6% vs. 2.8%, p = 0.19), 31-day RR (3.3% vs.
4.5%, p = 0.50) or LOS (4.6 +/- 2.9 vs. 5.1 +/- 4.5, p = 0.11). IH was associated
with higher RR for haematoma in TKA (2.9% vs. 0.4%, p = 0.021) and higher deep
infection rates in rTHA (20% vs 0%, p = 0.006). Conclusion: Our study
demonstrates a 3.9% to 13.2% rate of IH in TJA, with lower BMI, THA and primary
cases as risk factors. We recommend protective steps are taken to maintain
patient normothermia in these groups.
PMID- 29379255
TI - Current trends in small molecule discovery targeting key cellular signaling
events towards the combined management of diabetes and obesity.
AB - Non-insulin dependent diabetes mellitus, also known as Type 2 diabetes is a
polygenic disorder leading to abnormalities in the carbohydrate and lipid
metabolism. The major contributors in the pathophysiology of type 2 diabetes
(T2D) include resistance to insulin action, beta cell dysfunction, an abnormality
in glucose metabolism and storage, visceral obesity and to some extent
inflammation and oxidative stress. Insulin resistance, along with a defect in
insulin secretion by the pancreatic beta cells is instrumental towards
progression to hyperglycemia. Increased incidence of obesity is also a major
contributing factor in the escalating rates of type 2 diabetes. Drug discovery
efforts are therefore crucially dependent on identifying individual molecular
targets and validating their relevance to human disease. The current review
discusses bioactive compounds from medicinal plants offering enhanced therapeutic
potential for the combined patho-physiology of diabetes and obesity. We have
demonstrated that 3beta-taraxerol a pentacyclic triterpenoid (14-taraxeren-3-ol)
isolated from the ethyl acetate extract of Mangifera indica, chlorogenic acid
isolated from the methanol extract of Cichorium intybus, methyl tetracosanoate
from the methanol extract of Costus pictus and vitalboside A derived from
methanolic extract of Syzygium cumini exhibited significant effects on insulin
stimulated glucose uptake causing insulin sensitizing effects on 3T3L1 adipocytes
(an in vitro model mimicking adipocytes). Whereas, (3beta)-stigmast-5-en-3-ol
isolated from Adathoda vasica and Aloe emodin isolated from Cassia fistula showed
significant insulin mimetic effects favoring glucose uptake in L6 myotubes (an in
vitro model mimicking skeletal muscle cells). These extracts and molecules showed
glucose uptake through activation of PI3K, an important insulin signaling
intermediate. Interestingly, cinnamic acid isolated from the hydro-alcohol
extract of Cinnamomum cassia was found to activate glucose transport in L6
myotubes through the involvement of GLUT4 via the PI3K-independent pathway.
However, the activation of glucose storage was effective in the presence of 3beta
taraxerol and aloe emodin though inhibition of GSK3beta activity. Therefore, the
mechanism of improvement of glucose and lipid metabolism exhibited by the small
molecules isolated from our lab is discussed. However, Obesity is a major risk
factor for type-2 diabetes leading to destruction of insulin receptors causing
insulin resistance. Identification of compounds with dual activity (anti-diabetic
and antiadipogenic activity) is of current interest. The protein tyrosine
phosphatase 1B (PTP1B) is an important negative regulator of the insulin and
leptin-signaling pathway is of significance in target definition and discovery.
PMID- 29379256
TI - Antifreeze proteins.
AB - The antifreeze protein (AFP) activity is explained using two models. The first
model is using ice binding and the second is using antiice structuralization of
water molecules. The description of AFP function using anti-ice structuralization
of water molecules is less explored. Therefore, it is of interest to explain AFP
function using this model. Protein folding is often described using models where
hydrophobic residues move away from water getting buried and hydrophilic residues
are exposed to the surface. Thus, the 3D Gauss function stretched on the protein
molecule describes the hydrophobicity distribution in a protein molecule. Small
antifreeze proteins (less than 150 residues) are often represented by structures
with hydrophobic core. Large antifreeze proteins (above 200 residues) contain
solenoid (modular repeats). The hydrophobic field of solenoid show different
distribution with linear propagation of the bands of different hydrophobicity
level having high and low hydrophobicity that is propagated parallel to the long
axis of solenoid. This specific ordering of hydrophobicity implies water
molecules ordering different from ice. We illustrate this phenomenon using two
antifreeze proteins to describe the hypothesis.
PMID- 29379257
TI - Current trends for customized biomedical software tools.
AB - In the past, biomedical scientists were solely dependent on expensive commercial
software packages for various applications. However, the advent of user-friendly
programming languages and open source platforms has revolutionized the
development of simple and efficient customized software tools for solving
specific biomedical problems. Many of these tools are designed and developed by
biomedical scientists independently or with the support of computer experts and
often made freely available for the benefit of scientific community. The current
trends for customized biomedical software tools are highlighted in this short
review.
PMID- 29379258
TI - Current views and challenges on clinical cholera.
AB - Cholera, an acute diarrheal infection has become a major global threat. Vibrio
cholerae the causative agent of cholera has been responsible for six previous
pandemics since 1817 that spanned four continents and Australia with the seventh
pandemic ongoing since 1961. Two serogroups of V. cholerae O1 and O139 have the
ability to secrete the enterotoxin with potential to cause epidemics. The prior
six pandemics were caused by the classical biotype of the O1 serogroup. However,
the emergence of the El Tor biotype and subsequent variants of El Tor with
classical traits are the main isolates in the seventh pandemic. Cholera outbreaks
have increased among vulnerable communities affected by war, earthquakes,
conflicts and famines. Annually, 2.9 million cases of cholera occur globally in
69 endemic countries with 95,000 deaths. Early detection followed by prompt fluid
and electrolyte replacement can reduce the case fatality ratio significantly.
Improvements in water systems, sanitation and hygiene have effectively eliminated
the transmission of cholera in high-income countries and reduced transmission in
some developing nations. However, an estimated 1.8 billion are still at risk for
cholera due to lack of potable water, inadequate sanitation and hygiene.
Interventions focusing on hygiene in conjunction with proper disposal and
treatment of sewage and provision of safe drinking water are likely to be
effective in preventing the recurrence of cholera. Lastly, the use of current
oral vaccines in endemic settings in combination with WASH interventions may be
an effective approach to prevent and reduce the spread of cholera infection.
PMID- 29379259
TI - Artificial Intelligence and Virology - quo vadis.
AB - Artificial Intelligence (AI), robotics, co-robotics (cobots), quantum computers
(QC), include surges of scientific endeavor to produce machines (mechanical and
software) among numerous types and constructions that are accelerating progress
to defeat infectious diseases. There is a plethora of additional applications and
uses of these methodologies and technologies for the understanding of biomedicine
through bioinformation discovery. Therefore, we briefly outline the use of such
techniques in virology.
PMID- 29379260
TI - Telenursing: Bioinformation Cornerstone in Healthcare for the 21st Century.
AB - Bioinformation is at the very core of 21st-century healthcare. Telehealth
consists of the range of healthcare-related services delivered through
bioinformation-aided telecommunications across health-related disciplines,
including nursing. Whereas it is clear that bedside patient-centered nursing can
never be replaced, recent developments in bioinformation-aided telenursing will
undoubtedly contribute to improving healthcare effectiveness and efficacy.
Current trends show that as telenursing becomes increasingly timely and critical,
healthcare professionals adopt new and improved evidence-based practices as a
standard for patient care worldwide.
PMID- 29379261
TI - Recent trends in antimicrobial peptide prediction using machine learning
techniques.
AB - The importance to develop effective alternatives to known antibiotics due to
increased microbial resistance is gaining momentum in recent years. Therefore, it
is of interest to predict, design and computationally model Antimicrobial
Peptides (AMPs). AMPs are oligopeptides with varying size (from 5 to over100
residues) having key role in innate immunity. Thus, the potential exploitation of
AMPs as novel therapeutic agents is evident. They act by causing cell death
either by disrupting the microbial membrane by inhibiting extracellular polymer
synthesis or by altering intra cellular polymer functions. AMPs have broad
spectrum activity and act as first line of defense against all types of
microorganisms including viruses, bacteria, parasites, fungi and as well as
cancer (uncontrolled celldivision) progression. Large-scale identification and
extraction of AMPs is often non-trivial, expensive and time consuming. Hence,
there is a need to develop models to predict AMPs as therapeutics. We document
recent trends and advancement in the prediction of AMP.
PMID- 29379262
TI - Computer aided epitope design as a peptide vaccine component against Lassa virus.
AB - Lassa virus (LASV) is an arena virus causing hemorrhagic fever and it is endemic
in several regions of West Africa. The disease-causing virus records high
mortality rate in endemic regions due to lack of appropriate treatment and
prevention strategies. Therefore, it is of interest to design and develop viable
vaccine components against the virus. We used the Lassa virus envelope glyco
proteins as a vaccine target to identify linear peptides as potential epitopes
with immunogenic properties by computer aided epitope prediction tools. We report
a T-cell epitope 'LLGTFTWTL' and a B-cell epitope 'AELKCFGNTAVAKCNE' with
predicted potential immunogenicity for further in vivo and in vitro
consideration.
PMID- 29379263
TI - Characterization of a hypothetical protein YVRE from Bacillus subtilis indicates
its key role as glucono-lactonase in pentose phosphate pathway and glucose
metabolism.
AB - Hypothetical proteins are functionally uncharacterized proteins with assigned
function using sequence annotation tools. Almost half of the coding regions of
several genomes are hypothetical proteins. Therefore, it is of our interest to
characterize a hypothetical protein YVRE from the model system Bacillus subtilis
using known data. YVRE is assigned the function as a glucono-lactonase using
prediction and phylogenetic analysis. A molecular dynamics simulated homology
model of YVRE (with calcium) using human senescence marker protein 30 /SMP30 (PDB
ID: 3G4E) as template is reported for functional inference. It is observed that
the protein possesses bivalent metal binding domain. Molecular docking studies
with the substrate glucono-delta-lactone show YVRE binding with the substrate.
This data was further validated using cloning and sub-cloning in pUC57 and
pET22b+ respectively, followed by expression and purification using nickel
affinity chromatography. The activity of YVRE using the substrate glucono-delta
lactone was calculated. The results show the function of YVRE as a
gluconolactonase, with higher preference to zinc than calcium or magnesium. Thus,
YVRE is shown to play key role in three metabolic pathways namely, pentose
phosphate pathway, ascorbate and aldarate metabolism, and caprolactam
degradation.
PMID- 29379264
TI - Population Based Image Imputation.
AB - We present an algorithm for creating high resolution anatomically plausible
images consistent with acquired clinical brain MRI scans with large inter-slice
spacing. Although large databases of clinical images contain a wealth of
information, medical acquisition constraints result in sparse scans that miss
much of the anatomy. These characteristics often render computational analysis
impractical as standard processing algorithms tend to fail when applied to such
images. Highly specialized or application-specific algorithms that explicitly
handle sparse slice spacing do not generalize well across problem domains. In
contrast, our goal is to enable application of existing algorithms that were
originally developed for high resolution research scans to significantly
undersampled scans. We introduce a model that captures fine-scale anatomical
similarity across subjects in clinical image collections and use it to fill in
the missing data in scans with large slice spacing. Our experimental results
demonstrate that the proposed method outperforms current upsampling methods and
promises to facilitate subsequent analysis not previously possible with scans of
this quality.
PMID- 29379265
TI - DNA Computing Systems Activated by Electrochemically-triggered DNA Release from a
Polymer-brush-modified Electrode Array.
AB - An array of four independently wired indium tin oxide (ITO) electrodes was used
for electrochemically stimulated DNA release and activation of DNA-based
Identity, AND and XOR logic gates. Single-stranded DNA molecules were loaded on
the mixed poly(N,N-di-methylaminoethyl methacrylate) (PDMAEMA)/poly-(methacrylic
acid) (PMAA) brush covalently attached to the ITO electrodes. The DNA deposition
was performed at pH 5.0 when the polymer brush is positively charged due to
protonation of tertiary amino groups in PDMAE-MA, thus resulting in electrostatic
attraction of the negatively charged DNA. By applying electrolysis at -1.0 V(vs.
Ag/AgCl reference) electrochemical oxygen reduction resulted in the consumption
of hydrogen ions and local pH increase near the electrode surface. The process
resulted in recharging the polymer brush to the negative state due to
dissociation of carboxylic groups of PMAA, thus repulsing the negatively charged
DNA and releasing it from the electrode surface. The DNA release was performed in
various combinations from different electrodes in the array assembly. The
released DNA operated as input signals for activation of the Boolean logic gates.
The developed system represents a step forward in DNA computing, combining for
the first time DNA chemical processes with electronic input signals.
PMID- 29379266
TI - Surgically induced astigmatism after phacoemulsification by temporal clear
corneal and superior clear corneal approach: a comparison.
AB - Introduction: Cataract surgery has undergone various advances since it was
evolved from ancient couching to the modern phacoemulsification cataract surgery.
Surgically induced astigmatism (SIA) remains one of the most common
complications. The introduction of sutureless clear corneal incision has gained
increasing popularity worldwide because it offers several advantages over the
traditional sutured limbal incision and scleral tunnel. A clear corneal incision
has the benefit of being bloodless and having an easy approach, but SIA is still
a concern. Purpose: In this study, we evaluated the SIA in clear corneal
incisions with temporal approach and superior approach phacoemulsification.
Comparisons between the two incisions were done using keratometric readings of
preoperative and postoperative refractive status. Methodology: It was a hospital
based prospective interventional comparative randomized control trial of 261
patients conducted in a rural-based tertiary care center from September 2012 to
August 2014. The visual acuity and detailed anterior segment and posterior
segment examinations were done and the cataract was graded according to Lens
Opacification Classification System II. Patients were divided for
phacoemulsification into two groups, group A and group B, who underwent temporal
and superior clear corneal approach, respectively. The patients were followed up
on day 1, 7, 30, and 90 postoperatively. The parameters recorded were uncorrected
visual acuity, best-corrected visual acuity, slit lamp examination, and
keratometry. The mean difference of SIA between 30th and 90th day was
statistically evaluated using paired t-test, and all the analyses were performed
using SPSS 18.0 (SPSS Inc.) software. Results: The mean postoperative SIA in
group A was 0.998 D on the 30th day, which reduced to 0.768 D after 90 days, and
in group B the SIA after 30 days was 1.651 D, whereas it reduced to 1.293 D after
90 days. Conclusion: Temporal clear corneal incision is evidently better than
superior clear corneal incision as far as SIA is concerned.
PMID- 29379267
TI - Goldmann tonometry tear film error and partial correction with a shaped
applanation surface.
AB - Purpose: The aim of the study was to quantify the isolated tear film adhesion
error in a Goldmann applanation tonometer (GAT) prism and in a correcting
applanation tonometry surface (CATS) prism. Methods: The separation force of a
tonometer prism adhered by a tear film to a simulated cornea was measured to
quantify an isolated tear film adhesion force. Acrylic hemispheres (7.8 mm
radius) used as corneas were lathed over the apical 3.06 mm diameter to simulate
full applanation contact with the prism surface for both GAT and CATS prisms.
Tear film separation measurements were completed with both an artificial tear and
fluorescein solutions as a fluid bridge. The applanation mire thicknesses were
measured and correlated with the tear film separation measurements. Human cadaver
eyes were used to validate simulated cornea tear film separation measurement
differences between the GAT and CATS prisms. Results: The CATS prism tear film
adhesion error (2.74+/-0.21 mmHg) was significantly less than the GAT prism
(4.57+/-0.18 mmHg, p<0.001). Tear film adhesion error was independent of
applanation mire thickness (R2=0.09, p=0.04). Fluorescein produces more tear film
error than artificial tears (+0.51+/-0.04 mmHg; p<0.001). Cadaver eye validation
indicated the CATS prism's tear film adhesion error (1.40+/-0.51 mmHg) was
significantly less than that of the GAT prism (3.30+/-0.38 mmHg; p=0.002).
Conclusion: Measured GAT tear film adhesion error is more than previously
predicted. A CATS prism significantly reduced tear film adhesion error by41%.
Fluorescein solution increases the tear film adhesion compared to artificial
tears, while mire thickness has a negligible effect.
PMID- 29379268
TI - Glaucoma related retinal oximetry: a technology update.
AB - There are two long-standing theories about the pathogenesis of glaucoma -
barotrauma and the effect of vascular hypoxia. Currently, it is still unknown
whether diminished blood flow is the cause or result of glaucomatous atrophy of
ganglion cells and the optic nerve. Though many other imaging techniques used to
directly assess ocular blood flow have been well studied, they are limited by
their inability to directly assess metabolism in the ocular tissues or measure
the oxygen carrying capacity in the vessels. Retinal oximetry is a relatively
novel, noninvasive imaging technique that reliably measures oxygen saturation
levels in the retinal vessels, offering surrogate markers for the metabolic
demands of the eye. The clinical significance of these measurements has not been
well established. Thus, this review gives an overview of ocular imaging and
current retinal oximetry techniques, while contextualizing the important oximetry
studies that have investigated the vascular theory behind glaucoma.
PMID- 29379269
TI - Physiologic anisocoria under various lighting conditions.
AB - Purpose: To evaluate the measurement of anisocoria in a group of ocular healthy
subjects using a standardized protocol in scotopic, mesopic, and photopic
lighting conditions, and determine the optimal threshold of difference in pupil
diameter in determining physiologic anisocoria. Methods: Right and left pupil
diameters of 126 ocular healthy subjects with a mean age 30.5+/-7.8 years (40
males and 86 females) were measured sequentially under photopic conditions using
a monocular infrared pupillometer. A sub-group of 51 individuals had right and
left pupil measurements performed under three additional lighting conditions,
allowing for a 2-minute recovery between measurements. A white light emitting
diode (LED) in the eyecup of the pupillometer produced three controlled light
settings: scotopic (0 lux), low mesopic (0.3 lux), and high mesopic (3 lux). The
criterion for anisocoria was defined as >=0.4 mm difference in pupil diameter
between the eyes. Results: In the 126 subjects tested, 23.8% (n=30) exhibited
anisocoria in photopic conditions. In the sub-group measured under three
additional light settings, 43.1% (n=22) exhibited anisocoria in scotopic
conditions, 43.1% (n=22) in low mesopic conditions, and 47.1% (n=24) in high
mesopic conditions. Approximately 73% of subjects exhibited anisocoria in at
least one light setting, while only approximately 8% had anisocoria in every
light setting. When the criterion for anisocoria was shifted to >=0.2 mm or >=0.6
mm, the prevalence of anisocoria shifted significantly. Using a higher cutoff of
>=0.6 mm effectively reduced the number of healthy individuals who exhibit
anisocoria in every light setting to almost zero. Conclusion: Based on our data,
anisocoria is more prevalent under varied lighting conditions. To ensure the
anisocoria is due to physiologic reasons, one should ensure that it is present
under all lighting conditions to avoid excessive false positives.
PMID- 29379270
TI - Satisfaction and convenience of using terpenoid-impregnated eyelid wipes and
teaching method in people without blepharitis.
AB - Purpose: Demodex infestations cause blepharitis and are difficult to treat.
Recently, a new type of eyelid wipes with terpenoids has been found effective. We
aim to evaluate patient satisfaction after short-term use and compare two
teaching modalities on the techniques of use. Patients and methods: Eligible
participants were taught to use eyelid wipes (Cliradex(r)) by either live or
online video demonstration based on random allocation. Participants used the
wipes twice daily for a week. All participants had prior evaluation of
socioeconomic status, dry eye symptoms, and meibomian gland features. After 1
week, competence of use was assessed by participants showing their technique to
the investigator, and a questionnaire on comfort, ease, and convenience of use
was administered. Higher scores indicate greater satisfaction, and these levels
are compared among the two teaching modalities using chi square. Results: A total
of 50 participants were recruited, with a mean age of 42+/-16 years, and 88% of
the participants were females. Overall, median comfort level was 4.0 (range: 1
6), ease level was 5.0 (3-6), and convenience level was 5.0 (2-6). Median
stinging was 2.0 (1-4), which corresponded to some but mild stinging. The median
competence level was 4.0 (2-4), which corresponded to excellent competence. These
satisfactory levels (ease, comfort, and convenience) experienced were not
significantly associated with different socioeconomic indicators, that is,
housing type, income, highest education level, and were not different between
teaching methods (p>0.05). Conclusion: Short-term use of Cliradex eyelid wipes
seems to be acceptable to most people. The teaching instructions before using
these wipes were equally effective - whether live or online video demonstration
was used.
PMID- 29379271
TI - Sinomenine reduces neuronal cell apoptosis in mice after traumatic brain injury
via its effect on mitochondrial pathway.
AB - Background: Sinomenine (SIN) has been shown to have protective effects against
brain damage following traumatic brain injury (TBI). However, the mechanisms and
its role in these effects remain unclear. This study was conducted to investigate
the potential mechanisms of the protective effects of SIN. Methods: The weight
drop model of TBI in Institute of Cancer Research (ICR) mice were treated with
SIN or a vehicle via intraperitoneal administration 30 min after TBI. All mice
were euthanized 24 h after TBI and after neurological scoring, a series of tests
were performed, including brain water content and neuronal cell death in the
cerebral cortex. Results: The level of cytochrome c (Cyt c), malondialdehyde
(MDA), glutathione peroxidase (GPx) and superoxide dismutase 1 (SOD) were
restored to some degree following the SIN treatment. The SIN treatment
significantly decreased caspase-3 expression and reduced the number of positive
cells by terminal deoxynucleotidyl transferase-mediated dUTP nick end-labeling
(TUNEL) assay and improved the survival of neuronal cells. Additionally, the
pretreatment levels of MDA were restored, while Bax translocation to mitochondria
and Cyt c release into the cytosol were reduced by the SIN treatment. Conclusion:
SIN protected neuronal cells by protecting them against apoptosis via mechanisms
that involve the mitochondria following TBI.
PMID- 29379272
TI - Does knowledge on diabetes management influence glycemic control? A nationwide
study in patients with type 1 diabetes in Brazil.
AB - Objective: The purpose of this study is to establish demographic and clinical
data associated with the knowledge on diabetes management and its influence on
glycemic control in patients with type 1 diabetes. Methods: This was a
retrospective, observational, multicenter study conducted with 1,760 patients
between August 2011 and August 2014 in 10 cities of Brazil. Results: Overall,
1,190 (67.6%) patients knew what glycated hemoglobin (HbA1c) means. These
patients were older, had longer disease duration, longer follow-up in each
center, reported lower frequency of self-reported hypoglycemia, and were more
frequently Caucasians and at glycemic goal. Multivariate analysis showed that
knowledge on what HbA1c means was related to more years of school attendance,
self-reported ethnicity (Caucasians), severe hypoglycemia, economic status,
follow-up time in each center, and participation on diabetes educational
programs. Good glycemic control was related to older age, more years of school
attendance, higher frequency of daily self-monitoring of blood glucose, higher
adherence to diet, and knowledge on what HbA1c means. Conclusion: Patients with a
knowledge on what HbA1c means had a better chance of reaching an adequate
glycemic control that was not found in the majority of our patients. Diabetes
care teams should rethink the approaches to patients and change them to more
proactive schedules, reinforcing education, patients' skills, and empowerment to
have positive attitudes toward reaching and maintaining a better glycemic
control. Finally, the glucocentric approach to diabetes management should be
changed to actions that include patients' psychosocial aspects aiming to reduce
the stress of living with diabetes, improving glycemic control, and avoiding
adverse outcomes.
PMID- 29379273
TI - Quantifying the treatment goals of people recently diagnosed with schizophrenia
using best-worst scaling.
AB - Objective: This study seeks to quantify the treatment goals of people recently
diagnosed with schizophrenia and explore their impact on treatment plan. Methods:
People aged 18-35 years with a confirmed diagnosis of schizophrenia within the
past 5 years were surveyed in the UK, Germany, and Italy. Treatment goals were
assessed via a validated best-worst scaling instrument, where participants
evaluated subsets of 13 possible treatment goals identified using a balanced
incomplete block design. Participants identified the most and least important
goals within each task. Data were also collected on current treatment and
preference for daily oral versus long-acting injectable (LAI) treatment.
Hierarchical Bayes was used to identify preference weights for the goals, and
latent class analysis was used to identify segments of people with similar goals.
The segments were compared with the current treatment and preference for oral
versus LAI treatment. Results: Across 100 participants, the average age was 26
years, 75% were male and 50% were diagnosed within 2 years ago. Overall,
preferences were most favorable for reduced disease symptoms, think clearly,
reduced hospitalizations, reduced anxiety, and take care of self. A total of 61%
preferred oral medication and 39% LAI. Two groups were identified with different
treatment goals; 50% of participants emphasized clinical goals, including reduced
disease symptoms (preference weight =19.7%), reduced hospitalizations (15.5%),
and reduced anxiety (10.5%). The other 50% emphasized functional goals, including
improved relationships with family/friends (11.4%), increased interest in work
(10.6%), experiencing a fuller range of emotions (8.4%), and ability to socialize
(7.5%). Those emphasizing functional goals were more likely to be on LAI (44%
versus 26%; p=0.059) and preferred LAI (46% versus 32%; p=0.151). Conclusions:
People with recent-onset schizophrenia may focus more on clinical goals or
functional goals, a discussion of which may help facilitate patient engagement.
PMID- 29379274
TI - Correlates of preferences for autonomy in long-term care: results of a population
based survey among older individuals in Germany.
AB - Purpose: Thus far, there is little evidence concerning the factors associated
with preferences for autonomy in long-term care. Therefore, the aim of the
present study was to investigate the correlates of preferences for autonomy in
long-term care among older individuals in Germany. Methods: Data were gathered
from a population-based survey of the German population aged >=65 years in 2015
(N=1,006). Results: Multiple logistic regressions revealed that preferences for
freedom of choice for foods were positively associated with living with partner
or spouse (OR: 1.5 [1.0-2.2]), being born in Germany (OR: 1.9 [1.1-3.3]), and
lower self-rated health (OR: 1.3 [1.1-1.6]). Preferences for freedom in choosing
bedtime and sleep duration were positively associated with lower age (OR: 1.1
[1.0-1.1]) and having children (OR: 2.2 [1.0-4.9]). Preferences for customized
living space were positively associated with being female (OR: 2.5 [1.4-4.5]) and
being born in Germany (OR: 3.7 [1.9-7.1]). Neither preferences for decent and
sanitary housing nor preferences for shared decision-making were associated with
any of the independent variables. Conclusion: Various independent variables were
associated with preferences for autonomy in long-term care. This suggests that
preferences for care-related autonomy are complex. Knowing these might help
refine long-term care health services.
PMID- 29379275
TI - Real-life experience of quality of life, treatment satisfaction, and adherence in
patients receiving oral anticoagulants for atrial fibrillation.
AB - Introduction: Direct oral anticoagulants (DOACs) have shown noninferiority to
vitamin K antagonists (VKA) in stroke prevention in patients with atrial
fibrillation. DOAC treatment may be less demanding than VKA, improving quality of
life. To date, there have been no studies of the real-life experience of
outpatients receiving anticoagulation therapy for atrial fibrillation in France.
Methods: An observational descriptive real-life epidemiological study used three
validated questionnaires (EQ-5D, PACT-Q2, and MMAS-8 French Translation) to
assess quality of life, treatment satisfaction, and adherence, respectively, in
200 patients managed on an outpatient basis for atrial fibrillation who were
receiving anticoagulation therapy by VKA or DOAC for at least 3 months. Patients
were distributed between four groups: primary VKA (P-VKA), switch from VKA to
DOAC (S-DOAC), primary DOAC (P-DOAC), and switch from DOAC to VKA (S-VKA).
Results: Two hundred patients responded to the questionnaires: 89, 50, 52, and 9
in the P-VKA, S-DOAC, P-DOAC and S-VKA groups, respectively. Only the first three
groups were compared statistically, because of the small size of the S-VKA group.
Quality of life and satisfaction were good in all three groups, with no
significant difference in quality of life but significantly greater satisfaction
with respect to the "convenience" and "satisfaction" dimensions for DOACs (S-DOAC
and P-DOAC groups versus P-VKA group; p<0.001, for both dimensions). Adherence
did not significantly differ between groups. Conclusion: The experience of
patients under oral anticoagulation therapy for atrial fibrillation managed on an
outpatient basis was good, with comparable quality of life under DOACs and VKA,
and significantly greater satisfaction under DOACs, without impact on adherence.
Taking account of patient preference in "shared decision-making" for the choice
of type of anticoagulant could improve the patients' experience of treatment.
PMID- 29379276
TI - Psychological, interpersonal, and clinical factors predicting time spent on
physical activity among Mexican patients with hypertension.
AB - Background: It is widely known that physical activity is the key to the optimal
management and clinical control of hypertension. Purpose: This research was
conducted to identify factors that can predict the time spent on physical
activity among Mexican adults with hypertension. Methods: This cross-sectional
study was conducted among 182 Mexican patients with hypertension, who completed a
set of self-administered questionnaires related to personality, social support,
and medical adherence and health care behaviors, body mass index, and time since
the disease diagnosis. Several path analyses were performed in order to test the
predictors of the study behavior. Results: Lower tolerance to frustration, more
tolerance to ambiguity, more effective social support, and less time since the
disease diagnosis predicted more time spent on physical activity, accounting for
13.3% of the total variance. The final model shows a good fit to the sample data
(pBS =0.235, chi2/gl =1.519, Joreskog and Sorbom's Goodness of Fit Index =0.987,
adjusted modality =0.962, Bollen's Incremental Fit Index =0.981, Bentler-Bonett
Normed Fit Index =0.946, standardized root mean square residual =0.053).
Conclusion: The performance of physical activity in patients with hypertension
depends on a complex set of interactions between personal, interpersonal, and
clinical variables. Understanding how these factors interact might enhance the
design of interdisciplinary intervention programs so that quality of life of
patients with hypertension improves and they might be able to manage and control
their disease well.
PMID- 29379277
TI - Sources and directions of social support and life satisfaction among solitary
Chinese older adults in Hong Kong: the mediating role of sense of loneliness.
AB - Based on survey data collected from 151 community-dwelling solitary Chinese older
adults in Hong Kong, the present study used path analysis to examine the
mediating role of sense of loneliness in the relationship between different
sources and directions of social support and life satisfaction. The results
showed that sense of loneliness mediated the effects of support from families,
friends, and support for others on life satisfaction. In addition, a formal
source of social support was not associated with life satisfaction among solitary
older adults, although those with a more secure financial status had greater
overall life satisfaction. These findings highlight the importance of enhancing
awareness among social and health care service providers about the negative
effects of insufficient social support on older adults' sense of loneliness and
life satisfaction. Family and friendship networks should be expanded for solitary
older adults.
PMID- 29379278
TI - The effects of injecting intra-articular platelet-rich plasma or prolotherapy on
pain score and function in knee osteoarthritis.
AB - Introduction: Osteoarthritis (OA) is a chronic joint disease that usually occurs
in older people and leads to pain and disabilities. OA treatment ranges from drug
therapy to surgery. Drug and rehabilitation therapy are preferred over surgery,
and, especially, there is a tendency toward compounds causing regenerative
changes in the knee joint. In the present study, the effects of platelet-rich
plasma (PRP) injection and prolotherapy (PRL) were examined on the level of pain
and function of the knee joint in patients with OA. Methodology: After fulfilling
the inclusion criteria and signing the informed consent form, 42 patients with
knee OA were scheduled for intra-articular injection in the present randomized,
double-blind, clinical trial. Following admission to the operating pain room, the
condition of the patient's knee was evaluated first via the Western Ontario and
McMaster Universities Osteoarthritis Index (WOMAC) and, then, ultrasound-guided
knee injection was done. Accordingly, patients in the PRP therapy group received
7 mL PRP solution and those in the PRL group received 7 mL 25% dextrose. Using
the WOMAC, levels of pain and knee function were evaluated and recorded for each
patient immediately prior to the first injection as well as at 1 month
(immediately prior to the second injection), 2 months (a month after the second
injection), and 6 months later. Data collected were analyzed using the SPSS v.20.
Results: During the first and second months, a rapid decrease in the overall
WOMAC score was observed in both groups. The overall WOMAC score increased at the
sixth month, but was lower than the overall WOMAC score in the first month.
Statistical analysis indicated that the overall WOMAC score significantly
decreased in both groups of patients over 6 months. Conclusion: Results of the
present study suggested a significant decrease in the overall WOMAC score of
patients who undergo either PRP therapy or PRL. This positive change in the
overall WOMAC score led to an improvement in the quality of life of patients with
knee OA shortly after the first injection. PRP injection is more effective than
PRL in the treatment of knee OA.
PMID- 29379279
TI - Sex-related differences in effect-site concentration of remifentanil for
preventing anesthetic emergence cough in elderly patients.
AB - Purpose: Cough on anesthetic emergence should be prevented considering its
dangerous complications. Target-controlled infusion (TCI) of remifentanil can
reduce emergence cough effectively, and sex-related differences in effect-site
concentration (Ce) of remifentanil have been evaluated in young patients. In this
study, we determined the Ce of remifentanil for preventing emergence cough
following extubation in male and female elderly patients and evaluated the sex
related difference. Patients and methods: Twenty-three male and 22 female elderly
patients aged between 60 and 75 years were enrolled. Anesthesia was maintained
with sevoflurane and remifentanil TCI. The Ce of remifentanil for preventing
emergence cough was determined for each sex using isotonic regression method with
a bootstrapping approach, following Dixon's up-and-down method. Results: The Ce
of remifentanil for preventing emergence cough in 50% (EC50) and 95% (EC95) of
the population was significantly lower in females than in males. Isotonic
regression revealed the EC50 (83% confidence interval [CI]) of remifentanil was
1.67 (1.55-1.83) ng/mL in females and 2.60 (2.29-2.91) ng/mL in males. The EC95
(95% CI) of remifentanil was 2.30 (2.02-2.62) ng/mL in females and 3.41 (3.27
3.58) ng/mL in males. Dixon's up-and-down method indicated that the mean EC50 in
females was lower than in males (1.56+/-0.26 ng/mL vs 2.56+/-0.37 ng/mL,
P<0.001). Conclusion: The remifentanil requirement for preventing emergence cough
was lower in female than in male elderly patients, indicative of sex-related
differences in Ce of remifentanil. Sex should be considered when using
remifentanil TCI for preventing emergence cough in elderly patients.
PMID- 29379280
TI - Airway reactivity to mannitol is similarly increased in chronic cigarette and
water pipe smokers.
AB - Background: In contrast to cigarette smoking, the association between water pipe
smoking and airway hyperresponsiveness remains widely unexplored. Methods: A
bronchoprovocation challenge with mannitol was performed in young adults
recruited at the University of Basel, Switzerland. Subjects were categorized as
acute water pipe smokers (single episode of water pipe smoking, no or <0.5 pack
years cigarette smoking); chronic water pipe smokers (weekly for >=4 weeks, no or
<0.5 pack-years cigarette smoking); cigarette smokers (no water pipe smokers);
and never-smokers (no cigarette or water pipe smokers). Primary outcomes were
airway reactivity as measured by the response-to-dose ratio (RDR) and airway
responsiveness measured by the provocation dose to cause a 15% fall in forced
expiratory volume in 1s (FEV1; PD15). Results: Seventy-four subjects with a mean
age of 22.5+/-2.5 years and FEV1 % predicted 90.1%+/-8.6% were included.
Subgroups were matched in terms of age, gender, and spirometry results. RDR in
chronic water pipe smokers and cigarette smokers was similar (0.013%/mg [0.010
0.015] vs 0.023%/mg [0.011-0.051], respectively; p=0.12) but significantly higher
than in never-smokers (0.007%/mg [0.005-0.010], p<0.01). Neither a history of
asthma (p=0.88) nor a positive skin prick test (p=0.69) was associated with
increased airway reactivity to the mannitol challenge test. PD15 differed
significantly between cigarette smokers and never-smokers (155 mg [115-395] vs
315 mg [155-475], respectively; p=0.04). Conclusion: Weekly water pipe smoking
may increase airway reactivity to a similar extent as cigarette smoking.
PMID- 29379281
TI - 2017 Global Initiative for Chronic Obstructive Lung Disease reclassifies half of
COPD subjects to lower risk group.
AB - Background: Unlike the 2014 guidelines, the 2017 Global Initiative for Chronic
Obstructive Lung Disease (GOLD) guidelines have removed lung function from the
risk assessment algorithm of patients with COPD. The aim of this investigation
was to analyze the proportion of subjects who would change to a lower risk group
when applying GOLD2017 and determine if they exhibit different characteristics in
terms of inflammation, symptoms and comorbidity compared to the subjects who
would remain in a high-risk group. Subjects and methods: A total of 571 subjects
with physician-diagnosed and spirometry-verified COPD were included in the
present study. The data consisted of measurements of lung function, inflammatory
markers, together with questionnaires that covered comorbidities, COPD symptoms
and medication. Results: From group C, 53% of the subjects would be reclassified
to the lower risk group A, and from group D, 47% of the subjects would be
reclassified to the lower risk group B when using GOLD2017 instead of GOLD2014.
Compared to the subjects who would remain in group D, those who would change to
group B were more often men (56% vs 72%); of an older age, mean (SD), 71 (8)
years vs 68 (7) years; had more primary care contact (54% vs 33%); had lower
levels of blood neutrophils, geometrical mean (95% CI), 5.3 (5.0, 5.7) vs 4.6
(4.3, 4.9); reported less anxiety/depression (20% vs 34%); experienced less
asthma (29% vs 46%) and had fewer symptoms according to the COPD assessment test,
16 (5) vs 21 (7). All p-values were <0.05. Conclusion: The removal of spirometry
from risk assessment in GOLD2017 would lead to the reclassification of
approximately half of the subjects in the risk groups C and D to the lower risk
groups A and B. There are differences in age, gender, health care contacts,
inflammation, comorbidity and symptom burden among those changing from group D to
group B. The effects of reclassification and changes in eventual treatment for
disease control and symptom burden need further investigation.
PMID- 29379282
TI - Religious coping and religiosity in patients with COPD following pulmonary
rehabilitation.
AB - Background: Religious coping (RC) is defined as the use of behavioral and
cognitive techniques in stressful life events in a multidimensional construct
with positive and negative effects on outcomes, while religiosity is considered a
use of individual beliefs, values, practices, and rituals related to faith. There
is no evidence for the effects of pulmonary rehabilitation (PR) in RC and
religiosity in patients with COPD. The aims of this study were 1) to compare RC
and religiosity in patients with COPD following PR and 2) to investigate
associations between changes in RC, religiosity and exercise capacity, quality of
life (QoL), anxiety, depression, and dyspnea. Methods: Seventy-four patients were
enrolled in this study including 38 patients in the PR group and 36 patients in
the control group. PR protocol was composed of a 12-week (three sessions per
week, 60 min per day) outpatient comprehensive program, and the control group was
composed of patients in a waiting list for admission to PR program. RC,
religiosity, exercise capacity, QoL, anxiety, depression, and dyspnea were
measured before and after the study protocol. Results: Positive religious coping
and organizational religious activities increased (p=0.01; p<0.001,
respectively), while negative religious coping decreased (p=0.03) after 12 weeks
in the PR group (p<0.001). Significant associations were observed between changes
in RC, organizational religiosity with exercise capacity, and QoL following PR.
No differences were found in the control group. Conclusion: PR improves RC and
organizational religiosity in patients with COPD, and these improvements are
related to increases in exercise capacity and QoL.
PMID- 29379283
TI - Nano-graphene oxide composite for in vivo imaging.
AB - Introduction: Positron emission tomography (PET) tracers has the potential to
revolutionize cancer imaging and diagnosis. PET tracers offer non-invasive
quantitative imaging in biotechnology and biomedical applications, but it
requires radioisotopes as radioactive imaging tracers or radiopharmaceuticals.
Method: This paper reports the synthesis of 18F-nGO-PEG by covalently
functionalizing PEG with nano-graphene oxide, and its excellent stability in
physiological solutions. Using a green synthesis route, nGO is then
functionalized with a biocompatible PEG polymer to acquire high stability in PBS
and DMEM. Results and discussion: The radiochemical safety of 18F-nGO-PEG was
measured by a reactive oxygen species and cell viability test. The
biodistribution of 18F-nGO-PEG could be observed easily by PET, which suggested
the significantly high sensitivity tumor uptake of 18F-nGO-PEG and in a tumor
bearing CT-26 mouse compared to the control. 18F-nGO-PEG was applied successfully
as an efficient radiotracer or drug agent in vivo using PET imaging. This article
is expected to assist many researchers in the fabrication of 18F-labeled graphene
based bio-conjugates with high reproducibility for applications in the
biomedicine field.
PMID- 29379284
TI - Antimicrobial activity of silver nanoparticles encapsulated in poly-N
isopropylacrylamide-based polymeric nanoparticles.
AB - In this study, we analyzed the antimicrobial activities of poly-N
isopropylacrylamide (pNIPAM)-based polymeric nanoparticles encapsulating silver
nanoparticles (AgNPs). Three sizes of AgNP-encapsulating pNIPAM- and pNIPAM-NH2
based polymeric nanoparticles were fabricated. Highly stable and uniformly
distributed AgNPs were encapsulated within polymeric nanoparticles via in situ
reduction of AgNO3 using NaBH4 as the reducing agent. The formation and
distribution of AgNPs was confirmed by UV-visible spectroscopy, transmission
electron microscopy, and inductively coupled plasma optical emission
spectrometry, respectively. Both polymeric nanoparticles showed significant
bacteriostatic activities against Gram-negative (Escherichia coli) and Gram
positive (Staphylococcus aureus) bacteria depending on the nanoparticle size and
amount of AgNO3 used during fabrication.
PMID- 29379285
TI - Imaging and kinetics of the bimolecular complex formed by the tumor suppressor
p53 with ubiquitin ligase COP1 as studied by atomic force microscopy and surface
plasmon resonance.
AB - p53 plays an important role in the safeguard of the genome but it is frequently
downregulated mainly by E3 ubiquitin ligases among which COP1 plays an important
role. The overexpression of COP1 has been reported to occur in several tumors and
may be indicative of its overall oncogenic effect, which in turn might be
originated by a direct interaction of COP1 with p53. Such an interaction may
constitute a rewarding target for anticancer drug design strategies; therefore, a
deeper understanding of its underlying molecular mechanism and kinetics is
needed. The formation of a single p53-COP1 bimolecular complex was visualized by
atomic force microscopy imaging on a mica substrate. The kinetic characterization
of the complex, performed by atomic force spectroscopy and surface plasmon
resonance, provided a KD value of ~10-8 M and a relative long lifetime in the
order of minutes, both at the single-molecule level and in bulk solution. The
surprisingly high affinity value and low dissociation rate of the p53-COP1
bimolecular complex, which is even stronger than the p53-MDM2 complex, should be
considered a benchmark for designing, development and optimization of suitable
drugs able to antagonize the complex formation with the aim of preventing the
inhibitory effect of COP1 on the p53 oncosuppressive function.
PMID- 29379286
TI - The depressive-like behaviors of chronic unpredictable mild stress-treated mice,
ameliorated by Tibetan medicine Zuotai: involvement in the hypothalamic-pituitary
adrenal (HPA) axis pathway.
AB - Background: Zuotai, a famous Tibetan medicinal mixture containing metacinnabar,
is traditionally used for the purpose of tranquilizing minds and soothing nerves.
However, it still lacks substantial experimental data for it to be approved for
use. Aim: This study was designed to assess the effects of Zuotai on depressive
like symptoms in a chronic unpredictable mild stress (CUMS) mouse model, and to
explore its potential mechanism, particularly the hypothalamic-pituitary-adrenal
(HPA) axis pathway. Materials and methods: First, Kunming mice were exposed to
the CUMS procedure and simultaneously administered Zuotai or imipramine (positive
control) by gavage continuously for 6 weeks. Then, depressive-like behaviors of
mice in each group were tested with the sucrose preference test, forced swimming
test, tail suspension test, and open field test. Meanwhile, the three key
neuroendocrine hormones (corticotropin releasing hormone, adrenocorticotropic
hormone and corticosterone) in HPA axis pathway, and the level of the emotion
related monoamine neurotransmitters (5-hydroxytryptamine and norepinephrine) were
measured using enzyme-linked immunosorbent assay. Furthermore, total mercury in
the hypothalamus and hippocampus were determined using an automatic, direct
mercury analyzer. Results: Zuotai or imipramine significantly increased the body
weight and the sucrose preference ratio in sucrose preference test, and
dramatically improved motor activity in forced swimming test, tail suspension
test, and open field test in CUMS mice. Zuotai or imipramine remarkably decreased
levels of corticotropin-releasing hormone, adrenocorticotropic hormone, and
corticosterone in the HPA axis, and increased levels of 5-hydroxytryptamine and
norepinephrine in the serum in CUMS mice. However, a small amount of mercury was
deposited in the hypothalamus and hippocampus in Zuotai-treated mice, which may
pose a potential risk to the central nervous system. Conclusion: Zuotai has a
strong ability to ameliorate depressive-like behaviors in CUMS-treated mice
through inhibition of the HPA axis and upregulation of monoamine
neurotransmitters. These findings provide new insight into the pharmacological
effect of Zuotai on depression.
PMID- 29379287
TI - The association between hippocampal subfield volumes and education in cognitively
normal older adults and amnestic mild cognitive impairment patients.
AB - Objective: Previous research has indicated that there are potential associations
between education and total hippocampal volume in the trajectory of Alzheimer's
disease (AD). However, the correlation between education and hippocampal subfield
volumes in the progression of AD has yet to be understood. This study examined
the relationship between education, which is a standard proxy for cognitive
reserve, and hippocampal subfield volumes in healthy and amnestic mild cognitive
impairment (aMCI) groups. Subjects and methods: Thirty-eight subjects with aMCI
and 39 healthy control subjects underwent 3 T magnetic resonance imaging, and
hippocampal subfield volumes were measured by automated segmentation. Multiple
linear regression analysis was used to determine the association between
education and hippocampal subfield volumes. Results: Education had a significant
negative correlation with the left parasubiculum, presubiculum, and subiculum
volumes in the aMCI group. In addition, multiple subfield volumes including left
parasubiculum, left/right presubiculum, left cornus ammonis (CA)3, and left CA4
showed a significant correlation with the neuropsychological test scores in the
control group and aMCI group. Conclusion: These findings contribute to a better
understanding of the association between education, hippocampal subfield volumes,
and amnestic cognitive functions in the early phase of AD.
PMID- 29379288
TI - A meta-analysis of data associating DRD4 gene polymorphisms with schizophrenia.
AB - To explore the association between DRD4 polymorphisms and schizophrenia risk, a
meta-analysis was carried out with 41 case-control articles. Specifically, we
included 28 articles (5,735 cases and 5,278 controls) that pertained to the 48 bp
variable number tandem repeat (VNTR) polymorphism, nine articles (1,517 cases and
1,746 controls) that corresponded to the 12 bp tandem repeat (TR), six articles
(1,912 cases and 1,836 controls) that addressed the 120 bp TR, 10 articles (2,927
cases and 2,938 controls) that entailed the -521 C>T polymorphism, six articles
(1,735 cases and 1,724 controls) that pertained to the -616 C>G polymorphism, and
four articles (1,191 cases and 1,215 controls) that involved the -376 C>T
polymorphism. Pooled analysis, subgroup analysis, and sensitivity analysis were
performed, and the data were visualized by means of forest and funnel plots.
Results of pooled analysis indicated that the -521 CC variant (Pz=0.009, odds
ratio [OR] =1.218, 95% confidence interval [CI] =1.050-1.413) and genotype L/L
(ie, long allele) of the 120 bp TR were risk factors of schizophrenia (Pz=0.004,
OR =1.275, 95% CI =1.081-1.504). The 48 bp VNTR, the 12 bp TR, the -616 C>G
polymorphism, and the -376 C>T polymorphism were not associated with
schizophrenia. Additional research is warranted to explore the association
between polymorphisms of DRD4 and schizophrenia risk.
PMID- 29379289
TI - The current status of culturally adapted mental health interventions: a practice
focused review of meta-analyses.
AB - In recent years, there has been a steadily increasing recognition of the need to
improve the cultural competence of services and cultural adaptation of
interventions so that every individual can benefit from evidence-based care.
There have been attempts at culturally adapting evidence-based interventions for
mental health problems, and a few meta-analyses have been published in this area.
This is, however, a much debated subject. Furthermore, there is a lack of a
comprehensive review of meta-analyses and literature reviews that provide
guidance to policy makers and clinicians. This review summarizes the current meta
analysis literature on culturally adapted interventions for mental health
disorders to provide a succinct account of the current state of knowledge in this
area, limitations, and guidance for the future research.
PMID- 29379290
TI - Diagnostic challenges in multiple system atrophy.
AB - Multiple system atrophy is a progressive neurodegenerative disorder that is
characterized by autonomic failure, cerebellar ataxia and parkinsonism syndrome
in various combinations. In spite of the presence of well-established clinical
criteria for multiple system atrophy, ante-mortem diagnosis is difficult. In our
case report, we present a 78-year-old female patient who presented with early
progressive aphasia and severe autonomic dysfunction. Two years after appearance
of the first symptoms, she fulfilled all the major criteria for probable multiple
system atrophy with rapid progression. In addition, brain magnetic resonance
imaging and fluorodeoxyglucose-positron emission tomography findings were more
typical for progressive supranuclear palsy. Clinically differentiating multiple
system atrophy from progressive supranuclear palsy and other similar
neurodegenerative disorders may be challenging in all stages of the disease,
especially with atypical disease presentation.
PMID- 29379291
TI - Low level of knowledge regarding diagnosis and treatment among inpatients with
schizophrenia in Shanghai.
AB - Objective: The study was designed to measure the level of knowledge of the
diagnosis of illness and its treatment among patients with schizophrenia in
China, and to examine the association between the capacity to provide informed
consent and participation in treatment. Participants and methods: A cross
sectional study was conducted at three clinical inpatient sites in Shanghai,
China, during 2015. Patients' knowledge of the illness, as well as the knowledge
of the patients' families and psychiatrists, was determined. Logistic regression
was used to determine the factors associated with patients' knowledge of
schizophrenia. Results: Out of 109 enrolled schizophrenic inpatients (mean age
42.46+/-1.29 years), 60.6% were aware of their diagnosis and 67.0% knew details
of their treatment plan. The group with unimpaired capacity for giving informed
consent had a greater knowledge of their diagnosis (chi2=5.002, p=0.038) and of
their treatment plan (chi2=11.196, p<0.01) in comparison with patients who were
regarded to be impaired. Using logistic regression analysis, it was found that
patients' capacity to give informed consent to treatment was associated with the
level of knowledge surrounding the diagnosis (odds ratio =3.230, p<0.05) and the
level of knowledge of treatment (odds ratio =4.962, p<0.01). Conclusion: The
level of knowledge reported by inpatients with schizophrenia was low with respect
to the diagnosis of schizophrenia and of the treatment associated with this
illness. An association between patients' capacities for giving informed consent
and knowledge of their illness was confirmed in the present study. The results
suggest that, in clinical practice, the informed consent process should be
strengthened to protect the interests of patients with schizophrenia.
PMID- 29379292
TI - Adherence to riluzole in patients with amyotrophic lateral sclerosis: an
observational study.
AB - Objective: Riluzole is the first drug approved to treat amyotrophic lateral
sclerosis (ALS). Recently, an oral suspension (OS) of riluzole was made
available. Thus, the aim of our study was to evaluate the adherence to 2
formulations of riluzole in patients with ALS. Patients and methods: We enrolled
45 consecutive patients with ALS. At disease diagnosis, riluzole was prescribed
in 2 different formulations depending on the severity of dysphagia (27/45
patients received tablets and 18/45 patients received OS). Side effects (SEs) and
treatment adherence were investigated using a clinical questionnaire including
the (c)Morisky 8-item Medication Adherence Questionnaire. Results: Gastroenteric
complaints were the most frequent SEs (58% in the tablet group and 48% in the OS
group), followed by those at the nervous system (29% and 40%, respectively). No
serious SEs related to treatment were reported. The rate of adherence to riluzole
was independent of the formulation of the drug and consistent with other
medications assumed for comorbidities (p=0.004). In the tablet group, low
adherence was caused by SEs in 55.6% and by dysphagia in 44.4% of patients. In
the OS group, SEs caused low adherence in 75% of patients. Independently of the
drug formulation, patients with high or medium adherence to riluzole had a higher
progression rate (p=0.002 and p=0.009, respectively) and a shorter time to
generalization (TTG; p=0.01), compared to those with low adherence. Conclusion:
Gastroenteric symptoms were the most frequent SE related to tablet as well as OS.
The rate of adherence was independent of the formulation of riluzole and the
number of medications assumed for comorbidities, and it was consistent with the
severity of the disease. The low adherence was caused by dysphagia and SEs in the
tablet group, whereas it was caused prevalently by SEs in the OS group.
PMID- 29379294
TI - Exploring the effect of chidamide on blastic plasmacytoid dendritic cell
neoplasm: a case report and literature review.
AB - Blastic plasmacytoid dendritic cell neoplasm (BPDCN) is a rare and aggressive
hematopoietic malignancy mainly affecting elderly patients. It is highly
responsive to chemotherapy, but the median event-free survival is very short and
has a high rate of relapse even after performing allogeneic stem cell
transplantation; thus, the discovery of novel agents for the treatment of BPDCN
is urgent. Chidamide is a new oral isotype-selective histone deacetylase
inhibitor (HDACi). It is proved to exert a well-characterized anticancer property
in a wide range of hematological malignancies, especially lymphoma. Here, we
report a 41-year-old man who used oral chidamide 30 mg twice per week for
maintenance therapy after receiving complete remission. For the first time in
this field, we had explored the efficiency of chidamide in the treatment of BPDCN
and tried to give more choices to the therapy of this disease.
PMID- 29379293
TI - Efficacy and safety profile of paliperidone palmitate injections in the
management of patients with schizophrenia: an evidence-based review.
AB - The course of schizophrenia is characterized by multiple relapses, incomplete
remission of symptoms, enduring cognitive deficits, and social and occupational
functional impairments. Nonadherence to antipsychotic medication is a major
determinant of this poor outcome. Long-acting injectable antipsychotics were
developed specifically to address the nonadherence problem and are increasingly
considered as an early treatment option, in an attempt to prevent accruing
morbidity. This review focuses on paliperidone palmitate, the long-acting
injectable (LAI) formulation of paliperidone. After considering the pharmacology
of paliperidone palmitate, we review the randomized controlled trials, as well as
pertinent observational, pragmatic studies for paliperidone once-monthly
injections in schizophrenia. Finally, we review the recently introduced 3-monthly
formulation of paliperidone palmitate. Taken together, the studies indicate that
paliperidone palmitate (PP) has good efficacy compared with placebo and
comparable with other antipsychotics including risperidone. The tolerability
profile of PP is similar to that of risperidone, with the most important side
effects being prolactin elevation, weight gain, and extrapyramidal symptoms.
Advantages of PP include the extensive research database and clinical experience
with paliperidone and its parent compound risperidone, the availability of
different LAI formulations (once-monthly, 3-monthly, and perhaps even longer
acting formulations in future), and the novel dose initiation procedure that
provides rapid onset of action without the need for oral antipsychotic
supplementation.
PMID- 29379295
TI - Validation of Open-Heart Intraoperative Risk score to predict a prolonged
intensive care unit stay for adult patients undergoing cardiac surgery with
cardiopulmonary bypass.
AB - Background: A prolonged stay in an intensive care unit (ICU) after cardiac
surgery with cardiopulmonary bypass (CPB) increases the cost of care as well as
morbidity and mortality. Several predictive models aim at identifying patients at
risk of prolonged ICU stay after cardiac surgery with CPB, but almost all of them
involve a preoperative assessment for proper resource management, while one - the
Open-Heart Intraoperative Risk (OHIR) score - focuses on intra-operative
manipulatable risk factors for improving anesthetic care and patient outcome.
Objective: We aimed to revalidate the OHIR score in a different context.
Materials and methods: The ability of the OHIR score to predict a prolonged ICU
stay was assessed in 123 adults undergoing cardiac surgery (both coronary bypass
graft and valvular surgery) with CPB at two tertiary university hospitals between
January 2013 and December 2014. The criteria for a prolonged ICU stay matched a
previous study (ie, a stay longer than the median). Results: The area under the
receiver operating characteristic curve of the OHIR score to predict a prolonged
ICU stay was 0.95 (95% confidence interval 0.90-1.00). The respective
sensitivity, specificity, positive predictive value, and accuracy of an OHIR
score of >=3 to discriminate a prolonged ICU stay was 93.10%, 98.46%, 98.18%, and
95.9%. Conclusion: The OHIR score is highly predictive of a prolonged ICU stay
among intraopera-tive patients undergoing cardiac surgery with CPB. The OHIR
comprises of six risk factors, five of which are manipulatable intraoperatively.
The OHIR can be used to identify patients at risk as well as to improve the
outcome of those patients.
PMID- 29379296
TI - Initial peri- and postoperative antibiotic treatment of infected nonunions:
results from 212 consecutive patients after mean follow-up of 34 months.
AB - Purpose: Infected nonunions of the long bones belong to the most feared
complications in the field of orthopedic and trauma surgery. Optimal antibiotic
therapy should start early with the first revision surgery. Therefore, the aim of
this study was to evaluate our peri- and postoperative antibiotic regime in
context with the microbial spectrum and antibiotic resistances of patients with
infected nonunions and to assess the possible impact on healing rates. Methods:
We included all patients with first revision surgery during 2010-2015 due to
nonunion of long bones with a clinical history of infection treated with radical
debridement, local application of a gentamicin-impregnated bone cement, and
systemic cefuroxime. Mean follow-up was 34.2 months. Data collection was
performed retrospectively using a computerized databank with information about
microbial species from intraoperatively acquired tissue samples and respective
antibiograms. Bone fusion rates were evaluated based on findings of the latest X
rays and computed tomography scans. Results: Two hundred and twelve patients with
nonunion and history of infection were selected; 171 patients had positive
intraoperative microbial evidence of infection. Bacterial testing was mostly
positive in fractures of the tibia (47.4%) and the femur (27.5%). Coagulase
negative Staphylococcus spp. were the most frequently detected (44.4%) followed
by mixed infections (18.7%) and Staphylococcus aureus (10.5%). Antibiograms
revealed that 62.6% of our cases were cefuroxime sensitive; 87.7% were gentamicin
sensitive. Only 10.5% showed resistance to both cefuroxime and gentamicin. There
was no statistically significant difference of fusion rates between patients with
different microbial species or different antibiograms. Conclusion: Our data
suggest that besides the high variety of different detected species, initial
antibiotic treatment with a combination of systemic cefuroxime and local
gentamicin-loaded bone cement is effective and in almost 90% the later determined
microbial infection was sensitive to this treatment. Therefore, we recommend
initial treatment according to this algorithm until specific antibiograms are
available from intraoperatively acquired tissue samples.
PMID- 29379297
TI - Predictors of surgical outcomes after in situ ulnar nerve decompression for
cubital tunnel syndrome.
AB - Background: In the treatment of cubital tunnel syndrome (CuTS), in situ ulnar
nerve decompression is commonly used. This study aims to investigate predictive
factors for poor recovery and ulnar nerve instability following this procedure.
Methods: We enrolled 235 patients who underwent in situ ulnar nerve decompression
for the treatment of CuTS from January 2010 to December 2014. All patients
underwent >2 years' follow-up. The primary outcome was postoperative recovery,
which was assessed by Messina's criteria, and the secondary outcome was
postoperative ulnar nerve instability. Potential risk factors were collected from
demographic data and electrodiagnostic test, which included age, gender, body
mass index, history of tobacco or alcohol use, history of major medical
comorbidities, disease duration, preoperative severity, motor conduction
velocity, and sensory conduction velocity. Results: A total of 208 patients
(88.5%) had satisfactory outcomes, while the other 27 patients (11.5%) had not.
There were 25 patients (10.6%) showing postoperative ulnar nerve instability
during follow-up. The multivariate analysis showed that only severe preoperative
symptom (odds ratio [OR], 3.06; 95% confidence interval [CI], 2.16-4.32) was
associated with unsatisfactory postoperative outcomes in patients with CuTS
(P<0.001). In the model investigating independent factors associated with
postoperative ulnar nerve instability, we found that young age (OR, 2.41; 95% CI,
1.63-3.58) was associated with the incidence of postoperative ulnar nerve
instability (P<0.001). Conclusion: We found that severe preoperative symptom was
associated with unsatisfactory postoperative outcomes, and young age was a risk
factor for the incidence of postoperative ulnar nerve instability. Patients with
these risk factors should be informed of the possibility of worse surgical
outcomes.
PMID- 29379298
TI - Raised serum uric acid is associated with higher bone mineral density in a cross
sectional study of a healthy Indian population.
AB - Purpose: Oxidative stress has been implicated as a fundamental mechanism in the
decline of bone mass. Reactive oxygen species are reported to suppress osteoblast
generation and differentiation and enhance osteoclast development and activity.
Increasing evidence suggests favorable effect of serum uric acid (UA) on bone
metabolism due to its antioxidant properties. Therefore, we investigated the
association between serum UA levels and bone mineral density (BMD) in healthy
adult Indian subjects. Materials and methods: We reviewed the medical records of
524 subjects who had undergone preventive health check-ups in a tertiary care
hospital that included UA and BMD measurements at femur neck, total femur, and
lumbar spine. Subjects concomitantly taking drugs or having a medical condition
that would affect the bone metabolism or UA levels were excluded. Results: The
final analysis included 310 subjects (mean age: 47.2+/-12.2 years; females:
43.5%; males: 56.5%). Study population was categorized into two groups based on
the group median value for UA (ie, 5.4 mg/dL). BMD was significantly higher at
all skeletal sites in subjects with UA >5.4 mg/dL compared to subjects with UA
<=5.4 mg/dL (p<0.001). On correlation analysis, UA was positively associated with
BMD at all skeletal sites (r=0.211-0.277; p<0.05). The correlation remained
significant after controlling for age (p<0.05) and lifestyle factors (smoking,
alcohol use, physical activity, and diet; p<0.05) independently. UA significantly
(p<0.001) accounted for 4.5%-7.7% of the variance in BMD (r2=0.045-0.077) in
unadjusted model and 1.6%-3.2% of the variance (p<0.05) when adjusted for age and
body mass index combined at lumbar spine and right femur neck, respectively.
Conclusion: We conclude that raised UA levels are associated with higher BMD at
all skeletal sites and UA may have a protective role in bone metabolism owing to
its antioxidant effect.
PMID- 29379299
TI - Cancer stem cells, the ultimate targets in cancer therapy.
PMID- 29379300
TI - The effects of naloxone on human breast cancer progression: in vitro and in vivo
studies on MDA.MB231 cells.
AB - Background: Naloxone is viewed as a specific competitive opioid antagonist acting
at the level of opioid receptors (MU, delta, and kappa) with blended agonist
adversary or agonist action. The role of naloxone in tumor cell growth has been
poorly studied in human cancer cell lines. Materials and methods: In the present
study, we report findings from in vitro and in vivo experiments performed to
evaluate the effects of naloxone on human breast cancer cell growth and
progression. In vitro assays were conducted on estrogen receptor-negative human
breast carcinoma cells, MDA.MB231, treated with naloxone at different
concentrations (10-100 MUM). In vivo experiments were performed on a mouse model
of human triple-negative breast cancer generated by using MDA.MB231 injected
subcutaneously in mice. Naloxone was daily intraperitoneally injected in mice at
0.357 mg/kg for 2 weeks and at 0.714 mg/kg for the next 2 weeks. Microvessels
formation was detected by fluorescein isothiocyanate-dextran (100 MUL) injected
into the tail vein of mice and confirmed by immunohistochemistry with CD31 on
mice tumor sections. Results: In vitro tests showed that the cell proliferation
of MDA.MB231 was inhibited by naloxone in a dose-dependent manner, whereas the
cell death was increased. In vivo studies demonstrated that tumors of mice
treated with naloxone were significantly smaller than those observed in the
control groups, as long as naloxone was administered. Finally, naloxone was not
able to impair the microvessel formation in tumors of treated mice. Conclusion:
Our data showed, for the first time, that naloxone reduced breast cancer
progression without affecting angiogenesis.
PMID- 29379301
TI - Autophagy suppresses proliferation of HepG2 cells via inhibiting glypican
3/wnt/beta-catenin signaling.
AB - Introduction: Autophagy plays an important role in the growth and survival of
hepatocellular carcinoma (HCC) cells through several target proteins or signaling
pathways. Glypican-3 (GPC3) is a new reliable HCC marker, which is involved in
tumor growth in HCC, primarily mediated by wnt/beta-catenin signaling. Objective:
The present study aimed to identify the role of autophagy in the proliferation of
HepG2 cells through GPC3/wnt/beta-catenin signaling. Results and discussion:
Results demonstrated that induction of autophagy by nutrition starvation and
rapamycin treatment led to the downregulation of GPC3 expression in HepG2 cells,
accompanied by the decreased expression of wnt downstream target genes (beta
catenin, c-myc and cyclin D1). On the other hand, inhibition of autophagy by 3
methyl adenine (3-MA) could rescue rapamycin-directed downregulation of GPC3 and
wnt/beta-catenin target genes and augment the proliferation of HepG2 cells.
Furthermore, interference of GPC3 by siRNA suppressed wnt/beta-catenin signaling
and attenuated 3-MA stimulation of HepG2 cell proliferation. More interestingly,
the mRNA of GPC3 remained unchanged when the protein levels of GPC3 were
decreased by autophagy activation, suggesting that induction of autophagy may
accelerate the degradation of GPC3. Conclusion: These results suggest that
autophagy suppresses proliferation of HepG2 cells partially by inhibition of
GPC3/wnt/beta-catenin signaling.
PMID- 29379302
TI - RACKI induces chemotherapy resistance in esophageal carcinoma by upregulating the
PI3K/AKT pathway and Bcl-2 expression.
AB - Introduction: Accumulating evidence indicates that RACK1 is involved in the
progression of tumors. We aimed to evaluate the function of RACK1 in esophageal
squamous cell carcinoma (ESCC) and its role in the mechanism of chemotherapy
resistance. Materials and methods: Transfected ESCC cell lines with plasmids
expressed shRACK1 or open reading frame (ORF) targeting RACK1 and established
stable cell lines. We then examined the effects of RACK1 on cell proliferation
and chemotherapy resistance in ESCC cell lines, and the expression of AKT, pAKT,
ERK1/2, Bcl-2, and Bim was introduced to further detect the association between
RACK1 and chemotherapy resistance. Results: The proliferation ability of ESCC
cells was improved in the overexpression RACK1 groups (P<0.001) and decreased in
the transfected shRACK1 groups (P<0.001) compared with the control ones.
Meanwhile, upregulation of RACK1 significantly suppressed cisplatin-induced
apoptosis in Eca109 and EC9706 cells, while downregulation of RACK1 promoted the
sensitivity compared to the control group (Eca109: P<0.001 for shRACK1, P<0.01
for shNC, and P<0.001 for overexpression group; EC9706: P<0.001 for shRACK1,
P<0.001 for shNC, and P<0.05 for overexpression group). Furthermore, we found
that RACK1 could activate the PI3K/AKT pathway and increase the expression level
of Bcl-2 in ESCC, which leads to the enhancement of chemoresistance in ESCC.
Conclusion: RACK1 promotes proliferation and chemotherapy resistance in ESCC by
activating the PI3K/AKT pathway and upregulating the Bcl-2 expression.
PMID- 29379303
TI - Transcriptional profiling of NCI/ADR-RES cells unveils a complex network of
signaling pathways and molecular mechanisms of drug resistance.
AB - Background: Ovarian cancer has the highest mortality rate among all the
gynecological cancers. This is mostly due to the resistance of ovarian cancer to
current chemotherapy regimens. Therefore, it is of crucial importance to identify
the molecular mechanisms associated with chemoresistance. Methods: NCI/ADR-RES is
a multidrug-resistant cell line that is a model for the study of drug resistance
in ovarian cancer. We carried out a microarray-derived transcriptional profiling
analysis of NCI/ADR-RES to identify differentially expressed genes relative to
its parental OVCAR-8. Results: Gene-expression profiling has allowed the
identification of genes and pathways that may be important for the development of
drug resistance in ovarian cancer. The NCI/ADR-RES cell line has differential
expression of genes involved in drug extrusion, inactivation, and efficacy, as
well as genes involved in the architectural and functional reorganization of the
extracellular matrix. These genes are controlled through different signaling
pathways, including MAPK-Akt, Wnt, and Notch. Conclusion: Our findings highlight
the importance of using orthogonal therapies that target completely independent
pathways to overcome mechanisms of resistance to both classical chemotherapeutic
agents and molecularly targeted drugs.
PMID- 29379304
TI - miR-99a-5p acts as tumor suppressor via targeting to mTOR and enhances RAD001
induced apoptosis in human urinary bladder urothelial carcinoma cells.
AB - Introduction: miR-99a-5p, known to play an important role in mammalian target of
rapamycin (mTOR) regulation, is downregulated in human bladder cancer. The study
aimed to investigate the anticancer activity of miR-99a-5p and the possible
mechanism associated with mTOR in bladder cancer cells. Materials and methods:
Vectors expressing miR-99a-5p were transfected into human urinary bladder
urothelial carcinoma (5637 and T24) cells. The level of miR-99a-5p was monitored
by microRNA (miRNA) quantitative polymerase chain reaction (QPCR). Luciferase
reporter assays were performed to verify the direct binding of miR-99a-5p to mTOR
transcripts. The mTOR transcripts and protein levels were measured by QPCR and
Western blot, respectively. Cell viability of miR-99a-5p-transfected cells was
detected by tetrazolium salt (WST-1). Inhibition of mTOR complex 1 (mTORC1) and
mTOR complex 2 (mTORC2) signaling was detected by the phosphorylation of mTOR and
AKT using Western blot. The ability of miR-99a-5p to enhance RAD001-induced
apoptosis was determined as the expression of cleaved caspase 3 and levels of DNA
fragmentation. Results: Transfection of miR-99a-5p-expressing vector elevated the
expression level of miR-99a-5p up to sixfold compared to vector-only controls.
The results from luciferase assay verified that miR-99a-5p directly binds to the
predicted sequence in the 3' untranslated region (3'-UTR) of mTOR. The levels of
mTOR RNA and protein were decreased in miR-99a-5p-transfected cells. Dual
inhibition of mTORC1 and mTORC2 by miR-99a-5p was confirmed by the decreased
phosphorylation of mTOR (at Ser2448 and Ser2481), phospho-rpS6 and phospho-4EBP1.
The phosphorylation of AKT was significantly inhibited in miR-99a-5p-transfected
cells upon RAD001 treatment. Enforced expression of miR-99a-5p potentiated RAD001
induced apoptosis in these cells. Conclusion: This is the first study showing
that miR-99a-5p markedly inhibits the growth of bladder cancer cells via dual
inhibition of mTORC1 and mTORC2. Our data demonstrated that forced expression of
miR-99a-5p inhibits the feedback of AKT survival pathway and enhances the
induction of apoptosis in RAD001-treated bladder cancer cells.
PMID- 29379305
TI - A support vector machine and a random forest classifier indicates a 15-miRNA set
related to osteosarcoma recurrence.
AB - Background: Osteosarcoma, which originates in the mesenchymal tissue, is the
prevalent primary solid malignancy of the bone. It is of great importance to
explore the mechanisms of metastasis and recurrence, which are two primary
reasons accounting for the high death rate in osteosarcoma. Data and methods:
Three miRNA expression profiles related to osteosarcoma were downloaded from GEO
DataSets. Differentially expressed miRNAs (DEmiRs) were screened using MetaDE.ES
of the MetaDE package. A support vector machine (SVM) classifier was constructed
using optimal miRNAs, and its prediction efficiency for recurrence was detected
in independent datasets. Finally, a co-expression network was constructed based
on the DEmiRs and their target genes. Results: In total, 78 significantly DEmiRs
were screened. The SVM classifier constructed by 15 miRNAs could accurately
classify 58 samples in 65 samples (89.2%) in the GSE39040 database, which was
validated in another two databases, GSE39052 (84.62%, 22/26) and GSE79181 (91.3%,
21/23). Cox regression showed that four miRNAs, including hsa-miR-10b, hsa-miR
1227, hsa-miR-146b-3p, and hsa-miR-873, significantly correlated with tumor
recurrence time. There were 137, 147, 145, and 77 target genes of the above four
miRNAs, respectively, which were assigned to 17 gene ontology functionally
annotated terms and 14 Kyoto Encyclopedia of Genes and Genomes pathways. Among
them, the "Osteoclast differentiation" pathway contained a total of seven target
genes and was analyzed further. Conclusion: The 15-miRNAs-based SVM classifier
provides a potential useful tool to predict the recurrence of osteosarcoma. Our
results suggest the possible mechanisms of osteosarcoma metastasis and recurrence
and provide fresh DEmiRs as potential biomarkers or therapeutic targets for
osteosarcoma.
PMID- 29379306
TI - Retrospective analysis of relationships among the dose regimen, trough
concentration, efficacy, and safety of teicoplanin in Chinese patients with
moderate-severe Gram-positive infections.
AB - Objectives: Teicoplanin, an antibiotic, has poor clinical efficacy when using the
current drug label's recommended regimen, which is approved by the China Food and
Drug Administration. This study explores the appropriate loading and maintenance
doses of teicoplanin and evaluates the therapeutic target of teicoplanin trough
concentration (minimum concentration [Cmin]). Subjects and methods: All patients
treated with teicoplanin from February 2015 to August 2016 at Zhengzhou Central
Hospital were screened for enrollment. A total of 113 subjects were included and
then divided into four groups: A (received three to six doses at a loading dose
of 400 mg at 12-hour intervals, followed by maintenance dosing of 400 mg/day), B
(received three doses at a loading dose of 400 mg at 12-hour intervals, followed
by maintenance dosing of 400 mg/day), C (received two doses at a loading dose of
400 mg at 12-hour intervals, followed by maintenance dosing of 200 mg/day), and D
(received one to three doses at a loading dose of 400 mg at 12-hour intervals,
followed by maintenance dosing of 200 mg/day). Cmin values of teicoplanin were
detected with high-performance liquid chromatography on day 4, 30 minutes before
maintenance-dose administration. Teicoplanin Cmin, efficacy, and safety were
compared among the four groups. Results: Mean Cmin differed significantly among
the four groups (A, 18.11+/-6.37 mg/L; B, 15.91+/-4.94 mg/L; C, 17.06+/-5.66
mg/L; D, 11.97+/-3.76 mg/L) (P<0.001), with creatinine clearance of 89.62 (53.72
162.48), 49.66 (40.69-59.64), 27.17 (9.7-39.45), and 96.6 (17.63-394.73) mL/min,
respectively. The ratio of loading dose for 3 days to creatinine clearance and
serum Cmin were significantly correlated (R=0.59, P<0.001). The correlation
between the estimated probability of success and teicoplanin Cmin was assessed
using binary logistic regression (OR 2.049, P<0.001). Hepatotoxicity- and
nephrotoxicity-incidence rates did not significantly differ among the four groups
(P=0.859 and P=0.949, respectively). Conclusion: A loading dose of 400 mg at 12
hour intervals three to six times is needed to achieve the early target range (15
20 mg/L) and improve the clinical efficacy rate for normal-renal-function
patients. It is urgently necessary to amend the drug label for the recommended
regimen.
PMID- 29379307
TI - Performance of computed tomography versus chest radiography in patients with
pulmonary tuberculosis with and without diabetes at a tertiary hospital in
Riyadh, Saudi Arabia.
AB - Background: Prior research suggests that diabetes mellitus (DM) is associated
with increasing risk for developing cavitary lung disease in patients with
pulmonary tuberculosis (TB). Additionally, chest computed tomography (CT) scan
may be more sensitive than chest X-ray in detecting cavitary disease in such
patients. The aim of this study was to compare the performance of chest CT to
chest X-ray in detecting cavitary lung disease and to compare the frequency of
cavities between TB patients with DM and without DM. Patients and methods: We
conducted a retrospective cohort study at King Fahad Medical City, Riyadh, Saudi
Arabia, from January 2004 to December 2015. We included patients aged 18 years
and older with a positive sputum culture for Mycobacterium tuberculosis, and
their medical charts were reviewed from admission to discharge. Results: Of the
133 patients who met the inclusion criteria, 38 (28.6%) patients were known to
have DM and were compared with 95 (71.4%) patients without DM. DM patients with
glycated hemoglobin (HbA1c) >6.5% had significantly more cavitary lesions when
compared to all patients (with or without DM) with HbA1c <6.4% and/or random
blood sugar <200 mg/dL. Furthermore, CT was able to detect lung cavities in 58.8%
of the patients who had negative chest X-ray findings for cavities. Conclusion:
The presence of lung cavities was significantly associated with the presence of
DM and levels of HbA1c in patients with pulmonary TB. CT scan in those with
normal radiography increased the detection of cavities.
PMID- 29379308
TI - Fractional erbium-doped yttrium aluminum garnet laser-assisted drug delivery of
hydroquinone in the treatment of melasma.
AB - Background: Melasma is a difficult-to-treat hyperpigmentary disorder. Ablative
fractional laser (AFL)-assisted delivery of topically applied drugs to varied
targets in the skin has been an area of ongoing study and research. Objective:
The objective of this study was to evaluate the efficacy and safety of fractional
erbium-doped yttrium aluminum garnet (Er:YAG) laser as an assisted drug delivery
for enhancing topical hydroquinone (HQ) permeation into the skin of melasma
patients. Patients and methods: Thirty female patients with bilateral melasma
were randomly treated in a split-face controlled manner with a fractional Er:YAG
laser followed by 4% HQ cream on one side and 4% HQ cream alone on the other
side. All patients received six laser sessions with a 2-week interval. The
efficacy of treatments was determined through photographs, dermoscopic
photomicrographs and Melasma Area Severity Index (MASI) score, all performed at
baseline and at 12 weeks of starting therapy. The patient's level of satisfaction
was also recorded. Results: Er:YAG laser + HQ showed significantly better results
(p<0.005) with regard to decrease in the degree of pigmentation as assessed on
the 4-point scale than HQ alone. There was a significant decrease in MASI scores
on Er:YAG laser + HQ side vs HQ side. Minor reversible side effects were observed
on both sides. Conclusion: AFL-assisted delivery of HQ is a safe and effective
method for the treatment of melasma.
PMID- 29379309
TI - Comparison of linoleic acid-containing water-in-oil emulsion with urea-containing
water-in-oil emulsion in the treatment of atopic dermatitis: a randomized
clinical trial.
AB - Background: Application of topical moisturizers is an essential part of the
management of atopic dermatitis (AD). Linoleic acid (LA), the most abundant fatty
acid in the epidermis, and its derivatives have an essential role in the
structure and function of the epidermal barrier, and their defects are prominent
in AD. The aim of this study was to compare the efficacy and safety of two
cosmetic products containing either LA or urea in patients with AD. Patients and
methods: A total of 20 patients with AD who met the eligibility criteria and
provided written informed consents were enrolled in this randomized, intra
individual split-body, single-center trial. Symmetrical lesions of patients were
randomized for treatment with LA- or urea-containing water-in-oil (w/o) emulsions
applied two to three times daily for 4 weeks. The efficacy of the two products
was evaluated by local Scoring Atopic Dermatitis (SCORAD) of both lesions and
also patient (or guardian) satisfaction. In addition, trans-epidermal water loss
(TEWL), stratum corneum (SC) hydration, pH, sebum, temperature, erythema, melanin
content, and ultrasonographic thickness and echo density of epidermis and dermis
were measured before, and 2 and 4 weeks after, treatment. Results: Four weeks of
treatment with the LA-containing product resulted in a significant decrease in
local SCORAD, TEWL, erythema, and echo density of dermis, as well as an increase
in SC hydration compared to baseline. The urea-containing product also reduced
the local SCO-RAD and echo density of dermis and increased SC hydration. In
contrast to the LA-containing product, changes in TEWL and erythema were not
significant. Moreover, the reduction of erythema was significantly higher in the
LA-containing product-treated side compared to the urea-containing product
treated side (p = 0.006). Conclusion: Both LA- or urea-containing w/o emulsions
can significantly improve barrier dysfunction and clinical severity of AD. In
agreement with literature, it was confirmed that an LA-containing w/o emulsion
exhibited erythema-reducing effects. Since emollients should be used on a regular
basis, patients should choose a product by individual preference following
recommendation by their dermatologists.
PMID- 29379310
TI - Are energy drinks unique mixers in terms of their effects on alcohol consumption
and negative alcohol-related consequences?
AB - Introduction: Previous research has suggested that consuming alcohol mixed with
energy drinks (AMED) increases overall alcohol consumption. However, there is
limited research examining whether energy drinks are unique in their effects when
mixed with alcohol, when compared with alcohol mixed with other caffeinated
mixers (AOCM). Therefore, the aim of this survey was to investigate alcohol
consumption on AMED occasions, to that on other occasions when the same
individuals consumed AOCM or alcohol only (AO). Methods: A UK-wide online student
survey collected data on the frequency of alcohol consumption and quantity
consumed, as well as the number of negative alcohol-related consequences reported
on AO, AMED and AOCM occasions (N=250). Results: Within-subjects analysis
revealed that there were no significant differences in the number of alcoholic
drinks consumed on a standard and a heavy drinking session between AMED and AOCM
drinking occasions. However, the number of standard mixers typically consumed was
significantly lower on AMED occasions compared with AOCM occasions. In addition,
when consuming AMED, students reported significantly fewer days consuming 5 or
more alcohol drinks, fewer days mixing drinks, and fewer days being drunk,
compared with when consuming AOCM. There were no significant differences in the
number of reported negative alcohol-related consequences on AMED occasions to
AOCM occasions. Of importance, alcohol consumption and negative alcohol-related
consequences were significantly less on both AMED and AOCM occasions compared
with AO occasions. Conclusion: The findings that heavy alcohol consumption occurs
significantly less often on AMED occasions compared with AOCM occasions is in
opposition to some earlier claims implying that greatest alcohol consumption
occurs with AMED. The overall greatest alcohol consumption and associated
negative consequences were clearly associated with AO occasions. Negative
consequences for AMED and AOCM drinking occasions were similar, suggesting that
energy drink was comparable with AOCM in this regard.
PMID- 29379311
TI - Postoperative discomfort and emergence delirium in children undergoing dental
rehabilitation under general anesthesia: comparison of nasal tracheal intubation
and laryngeal mask airway.
AB - Background: Several studies have identified side effects of general anesthesia
with endotracheal intubation, such as laryngeal pain, dysphonia, and
postoperative nausea and vomiting (PONV). The laryngeal mask airway (LMAw) is
believed to decrease these side effects. The aim of this trial was to compare
postoperative discomfort, emergence delirium, and recovery time of patients who
received either an LMAw or nasotracheal intubation (NTI). Patients and methods: A
total of 70 children were randomly assigned to the LMAw group (n=35) or the NTI
group (n=35). Both groups underwent mask induction with 8% sevoflurane. The NTI
group received muscle relaxant, whereas the LMAw group did not. Postoperative
laryngeal pain, dental pain, dysphonia, and PONV were assessed immediately and at
1 hour and 6 hours postoperatively. The Wong-Baker Faces Scale was used to
evaluate the patients' self-reported pain. In addition, decayed, missing, and
filled teeth (dft/DMFT) values, dental procedure type, number of dental
procedures, duration of the dental operation, duration of anesthesia, recovery
time, emergence delirium, pediatric dentist's access to the mouth, and parents'
satisfaction levels were recorded. Data were analyzed using descriptive
statistics, chi-square tests, and two-sample t-tests. Results: The incidence of
postoperative laryngeal pain was significantly higher in the NTI group
immediately (97.2% vs. 8.5%, P=0.00), 1 hour (94.2% vs. 0%, P=0.00), and 6 hours
postoperatively (25.7% vs. 0%, P=0.00). There were no statistically significant
differences between the two groups in dental pain scores, dft/DMFT values,
duration of anesthesia, duration of the dental operation, number of dental
procedures, the incidence of PONV, or pediatric dentist's access to the mouth
(P>0.05). Emergence delirium and recovery time were significantly higher in the
NTI group (P<0.05). Conclusion: The LMAw provided a more comfortable
postoperative period than NTI for children who underwent full-mouth dental
rehabilitation under general anesthesia.
PMID- 29379312
TI - Intra-articular ozone or hyaluronic acid injection: Which one is superior in
patients with knee osteoarthritis? A 6-month randomized clinical trial.
AB - Purpose: Knee osteoarthritis (OA) is a common disease, imposing a great burden
through pain and decreased function. There are many therapeutic modalities
including non-pharmacologic choices and oral, topical, and intra-articular
medications. New studies have shown promising results for ozone application in
knee OA. Our aim was to compare the effects of ozone therapy versus hyaluronic
acid (HA) intra-articular injection in knee OA patients. Methods: In this
randomized clinical trial, a total of 174 patients with more than 3 months of
chronic pain or swelling in the knee joints along with consistent imaging
findings were enrolled and randomly allocated into two groups of HA and ozone,
which were planned to undergo 3 weekly injections of HA (Hyalgan(r)) and 10 mL of
a 30 MUg/mL ozone solution, respectively. Patients were evaluated at baseline and
6 months after the last injection for pain, stiffness, and function using the
visual analog scale (VAS) and the Western Ontario and McMaster Universities
Arthritis Index (WOMAC) questionnaire. Results: No major adverse events were
detected in this study. Total WOMAC score decreased from 40.8+/-9.8 to 20.4+/-4.9
(p<0.01) in the ozone group and from 38.5+/-7.9 to 17.1+/-4.2 (p<0.01) in the HA
group. A similar trend was observed in pain improvement according to VAS. Pain,
stiffness, and function significantly improved in both the groups, but no between
group difference was found. Conclusion: Although both ozone and HA can be
effectively used for improving function and reducing pain in selected knee OA
patients, neither of the two showed any superiority at 6-month follow-up.
PMID- 29379313
TI - A prospective, longitudinal study to evaluate the clinical utility of a
predictive algorithm that detects risk of opioid use disorder.
AB - Purpose: The purpose of this study was to determine the clinical utility of an
algorithm-based decision tool designed to assess risk associated with opioid use.
Specifically, we sought to assess how physicians were using the profile in
patient care and how its use affected patient outcomes. Patients and methods: A
prospective, longitudinal study was conducted to assess the utility of precision
medicine testing in 5,397 patients across 100 clinics in the USA. Using a patent
protected, validated algorithm combining specific genetic risk factors with
phenotypic traits, patients were categorized into low-, moderate-, and high-risk
patients for opioid abuse. Physicians who ordered precision medicine testing were
asked to complete patient evaluations and document their actions, decisions, and
perceptions regarding the utility of the precision medicine tests. The patient
outcomes associated with each treatment action were carefully documented.
Results: Physicians used the profile to guide treatment decisions for over half
of the patients. Of those, guided treatment decisions for 24.5% of the patients
were opioid related, including changing the opioid prescribed, starting an
opioid, or titrating a patient off the opioid. Treatment guidance was strongly
influenced by profile-predicted opioid use disorder (OUD) risk. Most importantly,
patients whose physicians used the profile to guide opioid-related treatment
decisions had improved clinical outcomes, including better pain management by
medication adjustments, with an average pain decrease of 3.4 points on a scale of
1-10. Conclusion: Patients whose physicians used the profile to guide opioid
related treatment decisions had improved clinical outcomes, as measured by
decreased pain levels resulting from better pain management with prescribed
medications. The clinical utility of the profile is twofold. It provides
clinically actionable recommendations that can be used to 1) prevent OUD through
limiting initial opioid prescriptions and 2) reduce pain in patients at low risk
of developing OUD.
PMID- 29379314
TI - Experiences and attitudes about physical activity and exercise in patients with
chronic pain: a qualitative interview study.
AB - Purpose: The purpose of this study was to describe how patients with chronic pain
experience physical activity and exercise (PA&E). Method: This qualitative
interview study included 16 women and two men suffering from chronic pain and
referred to a multimodal pain rehabilitation program. Semi-structured interviews
were conducted and qualitative content analysis was used to analyze the
interviews. Results: One main theme emerged: "To overcome obstacles and to seize
opportunities to be physically active despite chronic pain." This main theme was
abstracted from five themes: "Valuing a life with physical activity," "Physical
activity and exercise - before and after pain," "A struggle - difficulties and
challenges," "The enabling of physical activity," and "In need of continuous and
active support." Conclusion: Although these participants valued PA&E, they seldom
achieved desirable levels, and performance of PA&E was undermined by difficulties
and failure. The discrepancy between the intention to perform physical activity
and the physical activity accomplished could be related to motivation, self
efficacy, and action control. The participants desired high-quality interaction
with healthcare providers. The findings can be applied to chronic pain
rehabilitation that uses PA&E as treatment.
PMID- 29379315
TI - The rs4846049 polymorphism in the 3'UTR region of the MTHFR gene increases the
migraine susceptibility in an Iranian population.
AB - Introduction: Migraine is a painful complex neurovascular disease characterized
by recurrent moderate-to-severe headaches. Increased level of homocysteine is
related to dilation of cerebral vessels and endothelial injury that could trigger
migraine attacks. Functional polymorphisms in the MTHFR gene affect homocysteine
metabolism and, therefore, play an important role in the etiology of the disease.
Objectives: We aimed to investigate the possible association between MTHFR gene
rs4846049, C677T, and A1298C polymorphisms and the risk of migraine in Iranian
population. Methods: In this genetic association study, 498 individuals were
enrolled, including 223 migraine patients and 275 healthy controls. Genotyping
was performed using tetra-primer ARMS-PCR for rs4846049 and PCR-restriction
fragment length polymorphism for C677T and A1298C polymorphisms. Results: The
association between rs4846049 and C677T polymorphisms and migraine was observed.
For the rs4846049 polymorphism, the association was detected under a dominant
model (P=0.007; odds ratio [OR] =0.60; 95% confidence interval [CI], 0.41-0.87),
and for the C677T polymorphism, the TT genotype frequency was significantly
different in the studied groups (P=0.009; OR =2.48; 95% CI, 1.25-4.92). No
significant differences in the genotype or allele frequencies were found for the
A1298C polymorphism between the migraineurs and controls. Conclusion: Present
data provide evidence for the association of rs4846049 and C677T polymorphisms in
the MTHFR gene and migraine. Further studies are required to validate the
significance of the studied genetic variations in diverse ethnic populations.
PMID- 29379316
TI - Masked hypertension and cardiovascular outcomes: an updated systematic review and
meta-analysis.
AB - Background: As many as one-third of individuals with normal office blood pressure
(BP) are diagnosed with masked hypertension (HTN) based on ambulatory BP
measurements (ABPM). Masked HTN is associated with higher risk of sustained HTN
(SH) and increased cardiovascular morbidity. Methods: The present study was
designed to systematically review cohort studies and assess the effects of masked
HTN compared to normotension and SH on cardiovascular events and all-cause
mortality. We systematically searched the electronic databases, such as MEDLINE,
PubMed, Embase, and Cochrane for prospective cohort studies, which evaluated
participants with office and ambulatory and/or home BP. Results: We included nine
studies with a total number of 14729 participants (11245 normotensives, 3484
participants with masked HTN, 1984 participants with white-coat HTN, and 5143
participants with SH) with a mean age of 58 years and follow-up of 9.5 years.
Individuals with masked HTN had significantly increased rates of cardiovascular
events and all-cause mortality than normotensives and white-coat HTN and had
lower rates of cardiovascular events than those with SH (odds ratio 0.61, 95%
confidence interval 0.42-0.89; P=0.010; I2=84%). Among patients on
antihypertensive treatment, masked HTN was associated with higher rates of
cardiovascular events than in those with normotension and white-coat HTN and
similar rates of cardiovascular events in those with treated SH. Conclusion:
Prompt screening of high-risk individuals with home BP measurements and ABPM, the
diagnosis of masked HTN, and the initiation of treatment, may mitigate the
adverse cardiovascular effects of masked HTN.
PMID- 29379317
TI - Effects of marital status on survival of hepatocellular carcinoma by
race/ethnicity and gender.
AB - Purpose: It is well demonstrated that being married is associated with a better
prognosis in multiple types of cancer. However, whether the protective effect of
marital status varied across race/ethnicity and gender in patients with
hepatocellular carcinoma remains unclear. Therefore, we aimed to evaluate the
roles of race/ethnicity and gender in this relationship. Patients and methods: We
identified eligible patients from Surveillance, Epidemiology and End Results
(SEER) database during 2004-2012. Overall and cancer-specific survival
differences across marital status were compared by Kaplan-Meier curves. We also
estimated crude hazard ratios (CHRs) and adjusted hazard ratios (AHRs) with 95%
confidence intervals (CIs) for marital status associated with survival by
race/ethnicity and gender in Cox proportional hazard models. Results: A total of
12,168 eligible patients diagnosed with hepatocellular carcinoma were included.
We observed that married status was an independent protective prognostic factor
for overall and cancer-specific survival. In stratified analyses by
race/ethnicity, the AHR of overall mortality (unmarried vs married) was highest
for Hispanic (AHR =1.25, 95% CI, 1.13-1.39; P<0.001) and lowest for Asian or
Pacific Islander (AHR =1.13; 95% CI, 1.00-1.28; P=0.042). Stratified by gender,
the AHR was higher in males (AHR =1.27; 95% CI, 1.20-1.33; P<0.001). Conclusion:
We demonstrated that married patients obtained better survival advantages.
Race/ethnicity and gender could influence the magnitude of associations between
marital status and risk of mortality.
PMID- 29379318
TI - The reciprocal relationship between coping mechanisms and lung cancer diagnosis:
findings of a prospective study.
AB - Background/purpose: Lung cancer is a major stress factor for the affected
individual, leading to psychological distress in over 50% of the diagnosed
patients. Since coping styles describe different patterns in approaching serious
problems, our study aimed at ascertaining if the diagnosis of lung cancer has an
impact on the patient's coping styles and if there is a difference in psychical
response among patients with different coping styles, as assessed by variance of
anxiety and depression scores after diagnosis. Patients and methods: In this
prospective study, a cohort of 50 patients were evaluated using the COPE scale,
Generalized Anxiety Disorder Questionnaire 7 (GAD-7), and Patient Health
Questionnaire-9 (PHQ-9), both prior to and 1 month after learning about their
lung cancer diagnosis. The baseline and the final parameters were compared and
stratified with respect to coping styles. Results: We observed that 1 month after
learning the diagnosis, the patients had a significantly higher GAD-7 score
(median score 12 vs 4 points; p<0.001). At the same time, the PHQ-9 score was
significantly higher at the 1 month follow-up time-point (median score 16 vs 7;
p=0.002). The increases in the anxiety scores were significant in patients with
initial social support (13 vs 3; p=0.014) and avoidance coping style (14 vs 6;
p=0.003). Regarding the depression scores, after the diagnosis, the only
significant increase was observed in patients with initial avoidance coping style
(18 vs 5; p=0.014). Conclusion: Our study demonstrates that patients who receive
the diagnosis for cancer show a significant increase in anxiety and depression
intensity. The most adaptive coping style turned out to be the problem-focused
one while the least adaptive one was the avoidant style.
PMID- 29379320
TI - Erratum: Extraglottic airway devices: technology update [Corrigendum].
AB - [This corrects the article on p. 189 in vol. 10, PMID: 28860875.].
PMID- 29379319
TI - Pharyngeal electrical stimulation device for the treatment of neurogenic
dysphagia: technology update.
AB - Neurogenic dysphagia (ND) can occur in patients with nervous system diseases of
varying etiologies. Moreover, recovery from ND is not guaranteed. The therapeutic
approaches for oropharyngeal ND have drastically changed over the last decade,
mainly due to a better knowledge of the neurophysiology of swallowing along with
the progress of neuroimaging and neurophysiological studies. For this reason, it
is a priority to develop a treatment that is repeatable, safe, and can be carried
out at the bedside as well as for outpatients. Pharyngeal electrical stimulation
(PES) is a novel rehabilitation treatment for ND. PES is carried out via location
specific intraluminal catheters that are introduced transnasally and enable
clinicians to stimulate the pharynx directly. This technique has demonstrated
increasingly promising evidence in improving swallowing performance in patients
with ND associated with stroke and multiple sclerosis, probably by increasing the
corticobulbar excitability and inducing cortical reorganization of swallowing
motor cortex. In this article, we update the reader as to both the physiologic
background and past and current studies of PES in an effort to highlight the
clinical progress of this important technique.
PMID- 29379321
TI - Digital stethoscope: technology update.
AB - Cardiovascular disease (CVD) is recognized as the leading cause of mortality
throughout the world. About one-third of global mortality is attributable to CVD.
In addition to clinical presentation, specific clinical exam findings can assist
in treating and preventing CVD. CVD may initially manifest as pulmonary
pathology, and thus, accurate cardiopulmonary auscultation is paramount to
establishing accurate diagnosis. One of the most powerful tools available to
physicians is the stethoscope. The stethoscope first emerged in the year 1818,
invented by a French physician, Rene Laennec. Since then, the initial modest
monaural wooden tube has evolved into a sophisticated digital device. This paper
provides an analysis of the evolution of the stethoscope as well as highlights
the advancement made by the modern digital stethoscope including the application
of this tool in advancing care for patients suffering from CVD.
PMID- 29379322
TI - Health-related quality of life in ANCA-associated vasculitis and item generation
for a disease-specific patient-reported outcome measure.
AB - Objective: The antineutrophil cytoplasmic antibody (ANCA)-associated vasculitides
(AAVs) are multisystem diseases of the small blood vessels. Patients experience
irreversible damage and psychological effects from AAV and its treatment. An
international collaboration was created to investigate the impact of AAV on
health-related quality of life (HRQoL), and develop a disease-specific patient
reported outcome measure to assess outcomes of importance to patients. Methods:
Patients with AAV from the UK, USA, and Canada were interviewed to identify
salient aspects of HRQoL affected by AAV. The study was overseen by a steering
committee including four patient research partners. Purposive sampling of
interviewees ensured representation of a range of disease manifestations and
demographics. Inductive analysis was used to identify themes of importance to
patients; these were further confirmed by a free-listing exercise in the US.
Individual themes were recast into candidate items, which were scrutinized by
patients, piloted through cognitive interviews and received a linguistic and
translatability evaluation. Results: Fifty interviews, conducted to saturation,
with patients from the UK, USA, and Canada, identified 55 individual themes of
interest within seven broad domains: general health perceptions, impact on
function, psychological perceptions, social perceptions, social contact, social
role, and symptoms. Individual themes were constructed into >100 candidate
questionnaire items, which were then reduced and refined to 35 candidate items.
Conclusion: This is the largest international qualitative analysis of HRQoL in
AAV to date, and the results have underpinned the development of 35 candidate
items for a disease-specific, patient-reported outcome questionnaire.
PMID- 29379323
TI - Validation of liquid biopsy: plasma cell-free DNA testing in clinical management
of advanced non-small cell lung cancer.
AB - Plasma cell-free tumor DNA, or circulating tumor DNA (ctDNA), from liquid biopsy
is a potential source of tumor genetic material, in the absence of tissue biopsy,
for EGFR testing. Our validation study reiterates the clinical utility of ctDNA
next generation sequencing (NGS) for EGFR mutation testing in non-small cell lung
cancer (NSCLC). A total of 163 NSCLC cases were included in the validation, of
which 132 patients had paired tissue biopsy and ctDNA. We chose to validate ctDNA
using deep sequencing with custom designed bioinformatics methods that could
detect somatic mutations at allele frequencies as low as 0.01%. Benchmarking
allele specific real time PCR as one of the standard methods for tissue-based
EGFR mutation testing, the ctDNA NGS test was validated on all the plasma derived
cell-free DNA samples. We observed a high concordance (96.96%) between tissue
biopsy and ctDNA for oncogenic driver mutations in Exon 19 and Exon 21 of the
EGFR gene. The sensitivity, specificity, positive predictive value, negative
predictive value, and diagnostic accuracy of the assay were 91.1%, 100% 100%,
95.6%, and 97%, respectively. A false negative rate of 3% was observed. A subset
of mutations was also verified on droplet digital PCR. Sixteen percent EGFR
mutation positivity was observed in patients where only liquid biopsy was
available, thus creating options for targeted therapy. This is the first and
largest study from India, demonstrating successful validation of circulating cell
free DNA as a clinically useful material for molecular testing in NSCLC.
PMID- 29379324
TI - Bulimia nervosa in adolescents: prevalence and treatment challenges.
AB - Bulimia nervosa (BN) is a serious psychiatric illness that typically develops
during adolescence or young adulthood, rendering adolescents a target for early
intervention. Despite the increasing research devoted to the treatment of youth
with anorexia nervosa (AN) and adults with BN, there remains a dearth of evidence
for treating younger individuals with BN. To date, there have been four published
randomized controlled trials comparing psychosocial treatments, leaving
significant room to improve treatment outcomes. Family-based treatment is the
leading treatment for youth with AN, while cognitive-behavioral therapy is the
leading intervention for adults with BN. Involving caregivers in treatment shows
promising results, however, additional research is needed to investigate ways in
which this treatment can be adapted further to achieve higher rates of recovery.
PMID- 29379325
TI - A narrative review of binge eating disorder in adolescence: prevalence, impact,
and psychological treatment strategies.
AB - Binge eating disorder (BED) represents one of the most problematic clinical
conditions among youths. Research has shown that the developmental stage of
adolescence is a critical stage for the onset of eating disorders (EDs), with a
peak prevalence of BED at the age of 16-17 years. Several studies among adults
with BED have underlined that it is associated with a broad spectrum of negative
consequences, including higher concern about shape and weight, difficulties in
social functioning, and emotional-behavioral problems. This review aimed to
examine studies focused on the prevalence of BED in the adolescent population,
its impact in terms of physical, social, and psychological outcomes, and possible
strategies of psychological intervention. The review of international literature
was made on paper material and electronic databases ProQuest, PsycArticles, and
PsycInfo, and the Scopus index were used to verify the scientific relevance of
the papers. Epidemiological research that examined the prevalence of BED in
adolescent samples in accordance with Diagnostic and Statistical Manual of Mental
Disorders, 4th Edition showed a prevalence ranging from 1% to 4%. More recently,
only a few studies have investigated the prevalence of BED, in accordance with
the Diagnostic and Statistical Manual of Disorders, Fifth Edition criteria,
reporting a prevalence of ~1%-5%. Studies that focused on the possible impact
that BED may have on physical, psychological, and social functioning showed that
adolescents with BED have an increased risk of developing various adverse
consequences, including obesity, social problems, substance use, suicidality, and
other psychological difficulties, especially in the internalizing area. Despite
the evidence, to date, reviews on possible and effective psychological treatment
for BED among young population are rare and focused primarily on adolescent
females.
PMID- 29379326
TI - Effect of combined gliclazide/metformin treatment on oxidative stress, lipid
profile, and hepatorenal functions in type 2 diabetic patients.
AB - Background: Type 2 diabetes is a chronic condition that requires pharmacotherapy
interventions. Metformin and gliclazide are widely used drugs in monotherapy.
However, their complementary action made utilization of the combination of these
drugs an appealing approach. Aims: The study compared major therapeutic
potentials of combined metformin/gliclazide treatment over metformin monotherapy
based on the following parameters: oxidative stress, lipid profile, and
hepatorenal functions. Subjects and methods: This is a comparative study was
conducted from March 2015 to March 2016. The study screened 80 type 2 diabetic
patients, of which 40 patients underwent combined metformin + gliclazide therapy
(500 mg BD + 80 mg OD, respectively). The other 40 were matched for age and
duration of diabetes mellitus with the previous group and received metformin
monotherapy (500 mg BD). The levels of fasting blood glucose (FBG), total
glycated hemoglobin (HbA1c), lipid peroxidation, total antioxidant capacity,
serum creatinine, aspartate and alanine transaminases, total cholesterol,
triglycerides, high-density lipoproteins, and low-density lipoproteins were
measured according to the standard methods. Results: Oxidative stress, lipid
profile, and hepatorenal functions were comparable in patients of both groups.
However, patients on metformin treatment showed significantly lower levels of FBG
[7.61 (6.70-8.89) mmol/L vs. 9.00 (7.30-10.68) mmol/L; P = .022] and HBA1c [7.00
(6.40-7.65)% vs. 8.20 (7.20-9.75)%; P < .001] compared to those on combined
therapy. Conclusion: Oxidative stress, lipids profile, and hepatorenal functions
were not different in patients who were on combined metformin/gliclazide therapy
and compared to those metformin alone. In contrast, glycemic control was poor in
the diabetic patients undergoing combined therapy.
PMID- 29379327
TI - Assessment of the association between drug disposal practices and drug use and
storage behaviors.
AB - Objective: Keeping unnecessary drugs at home is a situation showing both causes
and consequences of irrational use of medicine. This study aimed to evaluate the
approaches of a company's employees regarding drug storage, use, and disposal.
Method: This online-based descriptive study was held in a multi-centered private
sector company in a voluntary basis. The survey assessing participants' drug
handling and storage behaviors was answered by 1121 employees from across eight
provinces of Turkey in 2016. Main outcome measures were storage and disposal of
unused/unwanted drugs at home in a rational way. Results: The percentage of
participants who declared that they keep unused/unwanted drugs at home was 28.0%.
About one-third of participants disposed their unused/unwanted drugs via the
"garbage, sink, toilet, etc.". Participants >=30 years old and living with <4
household members significantly tended to bring their unused/unwanted drugs to
the company's drug-box. Nearly half of all participants (46.5%) stated a recent
change in their disposal behavior. The vast majority of participants (94.6%) who
previously took drugs back to the company's drug-box stated that they either had,
or would, help their contacts adopt such behaviors. These participants were also
significantly less likely to dispose of drugs inappropriately, practice self
medication, be unaware of expired drugs at home, or fail to store drugs according
to the labelling. Conclusion: While our findings showed that a substantial number
of participants still had unused drugs at home and disposed of them
inappropriately, it is understood that they started to exhibit more favorable
behaviors in recent years.
PMID- 29379328
TI - Bioactive epoxides and hydroperoxides derived from naturally monoterpene geranyl
acetate.
AB - Geranyl acetate (1) was oxidized thermally and photochemically using (mcpba,
H2O2) respectively to obtain (E)-5-(3, 3-dimethyloxiran-2-yl)-3-methylpent-2-enyl
acetate (2) and 3-(2-(3, 3-dimethyloxiran-2-yl) ethyl)-3-methyloxiran-2-yl)
methyl acetate (3). On the other hand, photooxygenation of 1 with tetraphenyl
porphin (TPP) as a photo sensitizer gave corresponding acitic acid 2,6-bis
hydroperoxy-7-methyl-3-methylene-oct-7-enyl-ester (4), acitic acid 7-hydroperoxy
3,7-dimethyl-octa-2,5-dienyl ester (5) and Acitic acid 3-hydroperoxy-7-methyl-3,7
dimethyl-octa-1,6-dienyl ester (6). Antifungal studies were carried out on
geranyl acetate and its derivatives. Studies on the antifungal activity
especially Microsporum gypsum, Trichophyton vercossum and Candida tropicalis
showed that geranyl acetate, its epoxide and hydroperoxide derivatives have good
antifungal action.
PMID- 29379329
TI - Perceptions and attitudes towards off-label dispensing for pediatric patients, a
study of hospital based pharmacists in Jordan.
AB - Background: With growing responsibility of the pharmacists in ensuring public
health and safe medicine use, an understanding of the issues surrounding off
label prescribing is crucial to allow pharmacists to make informed decisions
about such practice. The aim of this study is to assess the perceptions and
attitudes of hospital based pharmacists toward off-label medicine dispensing to
children. Methods: After obtaining the required approvals, a validated
questionnaire about off-label dispensing to pediatric patients was administered
to 250 randomly selected hospital pharmacists. Results: One hundred and fifty
(150) completed questionnaires were returned. Less than half of the respondents
(44%, n = 66) admitted to being familiar with the concept of off-label
dispensing, claiming to have obtained this knowledge basically through their
dispensing experience rather than education. A minority of respondents (36%, n =
54) reported dispensing off-label medicines within their practice knowingly. The
majority of respondents had concerns regarding the efficacy (82%, n = 123) and
safety (98%, n = 147) of off-label medicines. The most common reasons given by
respondents for a dispensed prescription being off label were younger age than
recommended (88%, n = 132). Most of respondents (94%, n = 141) claimed to double
check the calculations of doses of medicines before dispensing off-label
medicines and 60% (n = 90) of them felt that parents and guardians should be told
when an off-label medicine has been prescribed for their children. Conclusion:
The majority of respondents were not familiar with the concept of offlabel
medicines. While reporting to have gained their knowledge from their professional
experience, only a minority of respondents reported knowingly dispensing off
label medicines for pediatric patients. Respondents indicated that manufacturing
more appropriate formulations for pediatric patients would reduce such practices
in this population. Having concerns regarding the efficacy and safety of off
label medicines used for pediatric patients, respondents felt that the use of off
label medicines would increase the likelihood of adverse drug reactions (ADRs).
Finally, respondents felt that such practice of prescribing and dispensing should
receive parental consent.
PMID- 29379330
TI - Biological activities of the red algae Galaxaura rugosa and Liagora hawaiiana
butters.
AB - The biological activities; antimicrobial, antioxidant and anticancer, of the red
algae Galaxaura rugosa and Liagora hawaiiana were determined. The total ethanol,
lipoidal matters, chloroform, n-butanol, aqueous extracts and powder of both
algae showed and bacterial and antifungal activities. However, the chloroform
extract of Galaxaura rugosa showed antibacterial activity against Klebsiella
pneumoniae (24 mm, 0.15 mg/ml) higher than gentamycin (23 mm, 0.49 mg/ml).
Moreover, the total ethanol, lipoidal matter and chloroform extracts showed
antifungal activity (21, 22 and 25 mm, 1.25, 0.312 and 0.156 mg/ml) similar to
the antibiotic Ketoconazole activity (23, 24 and 27 mm, 1.25, 0.312 and 0.156
mg/ml) against Aspergillus fumigatus, A. niger and Candida trobicalis,
respectively. A good antioxidant activity (80.96%, IC50 = 27.8 ug/ml) was
provided by Galaxaura rugosa. The anticancer activity results revealed that the
lipoidal matters of Galaxaura rugosa and Liagora hawaiiana possessed antitumor
activity (IC50 = 15 +/- 1.7 and 21.2 +/- 1.6, respectively) against lung
carcinoma (A-549) better than vinblastine sulfate (IC50 = 24.6 +/- 0.7).
Although, the lipoidal matters of Galaxaura rugosa and Liagora hawaiiana
antitumor activity against cervical carcinoma (HeLa) and intestinal carcinoma
(CACO-2) (IC50 = 10.2 +/- 0.6 and 12.2 +/- 0.6, respectively) preferable than
vinblastine sulfate (IC50 = 59.7 +/- 2.1 and 30.3 +/- 1.4, respectively).
PMID- 29379331
TI - Community pharmacy customer segmentation based on factors influencing their
selection of pharmacy and over-the-counter medicines.
AB - Background: Within the competitive pharmacy market environment, community
pharmacies are required to develop efficient marketing strategies based on
contemporary information about consumer behavior in order to attract clients and
develop customer loyalty. Objectives: This study aimed to investigate the
consumers' preferences concerning the selection of pharmacy and over-the-counter
(OTC) medicines, and to identify customer segments in relation to these
preferences. Methods: A cross-sectional study was conducted between February and
March 2016 on a convenient quota sample of 300 participants recruited in the
metropolitan area of Thessaloniki, Greece. The main instrument used for data
collection was a structured questionnaire with close-ended, multiple choice
questions. To identify customer segments, Two-Step cluster analysis was
conducted. Results: Three distinct pharmacy customer clusters emerged. Customers
of the largest cluster (49%; 'convenience customers') were mostly younger
consumers. They gave moderate to positive ratings to factors affecting the
selection of pharmacy and OTCs; convenience, and previous experience and the
pharmacist's opinion, received the highest ratings. Customers of the second
cluster (35%; 'loyal customers') were mainly retired; most of them reported
visiting a single pharmacy. They gave high ratings to all factors that influence
pharmacy selection, especially the pharmacy's staff, and factors influencing the
purchase of OTCs, particularly previous experience and the pharmacist's opinion.
Customers of the smallest cluster (16%; 'convenience and price-sensitive
customers') were mainly retired or unemployed with low to moderate education, and
low personal income. They gave the lowest ratings to most of the examined
factors; convenience among factors influencing pharmacy selection, whereas
previous experience, the pharmacist's opinion and product price among those
affecting the purchase of OTCs, received the highest ratings. Conclusions: The
community pharmacy market comprised of distinct customer segments that varied in
the consumer preferences concerning the selection of pharmacy and OTCs, the
evaluation of pharmaceutical services and products, and demographic
characteristics.
PMID- 29379332
TI - Antimicrobial, antioxidant and anticancer activities of Laurencia catarinensis,
Laurencia majuscula and Padina pavonica extracts.
AB - The antimicrobial, antioxidant, and anticancer activities of ethanolic extract of
Laurencia catarinensis, L. majuscula and Padina pavonica were determined. The
highest antibacterial activity; 23.40 +/- 0.58 mm (00.98 ug/ml) and 22.60 +/-
2.10 mm (03.90 ug/ml) were obtained against Klebsiella pneumonia by Laurencia
catarinensis and Padina pavonica, respectively. However, Padina pavonica showed
excellent antibacterial activity against Bacillus subtilis (21.7 +/- 1.5 mm; 1.95
ug/ml), Staphylococcus aureus (21.7 +/- 0.58 mm; 1.95 ug/ml), Streptococcus
pyogenes (20.7 +/- 1.2 mm; 1.95 ug/ml) and Acinetobacter baumannii (20.1 +/- 1.2
mm; 3.9 ug/ml). Moreover, the highest antifungal activity; 24.7 +/- 2.0 mm (0.98
ug/ml), 23.7 +/- 1.5 mm (0.98 ug/ml), 23.6 +/- 1.5 mm (0.98 ug/ml) was obtained
by Padina pavonica against Candida tropicalis, C. albicans and Aspergillus
fumigatus, respectively. The algal extracts showed DPPH radical scavenging
activity in a concentration-dependent manner with maximum scavenging activity
(77.6%, IC50 = 5.59 ug/ml and 77.07%, IC50 = 14.3 ug/ml) was provided by Padina
pavonica and Laurenica majuscula, respectively. The in vitro antitumor activity
revealed that the IC50 values of Padina pavonica were 58.9, 115.0, 54.5, 59.0,
101.0, 101.0, and 97.6 ug/ml; Laurencia catarinensis were 55.2, 96.8, 104.0,
78.7, 117.0, 217.0, 169.0 ug/ml; and Laurencia. majuscula were 115.0, 221.0,
225.0, 200.0, 338.0, 242.0, and 189.0 ug/ml; respectively against A-549 (Lung
carcinoma), Caco-2 (Intestinal carcinoma), HCT-116 (Colon carcinoma), Hela
(Cervical carcinoma), HEp-2 (Larynx carcinoma), HepG-2 (Hepatocellular
carcinoma), and MCF-7 (Breast carcinoma) cell lines.
PMID- 29379333
TI - Effect of polymer type on characteristics of buccal tablets using factorial
design.
AB - A two factor three level factorial design was used to investigate the effects of
carbopol and cationic hydrophilic polymers which have a common use in buccal drug
formulations. Statistical models with interaction terms were derived to evaluate
influence of carbopol (X1) and chitosan (X2) on tablet disintegration (Y1) and
dissolution (Y2), mechanical properties (Y3), swelling (Y4). Tablet
disintegration studies were carried out using two different pH environments
within buccal region pH limits and also two different commonly used dissolution
methods for buccal tablets were also investigated to compare the effect of
polymer type on dissolution. Polymer type and ratio affect the characteristics of
the buccal tablets due to their different physicochemical behavior at buccal pH.
Also significant variances between dissolution profiles for buccal tablets, using
either USP Paddle or flow through cell methods were found. These results indicate
that both polymer type and ratio as well as combination of them effects the drug
behavior in different ways.
PMID- 29379335
TI - Advancing pharmaceuticals and patient safety in Saudi Arabia: A 2030 vision
initiative.
AB - Low-quality medicines deliver sub-optimal clinical outcomes and waste precious
health resources. It is important to ensure that public funds are spent on
healthcare technologies that meet national regulatory bodies such as the Saudi
Food and Drug Authority (SFDA), quality standards for safety, efficacy, and
quality. Medicines quality is a complicated combination of pre-market regulatory
specifications, appropriate sourcing of ingredients (active pharmaceutical
ingredient (API), excipients, etc.), manufacturing processes, healthcare
ecosystem communications, and regular and robust pharmacovigilance practices. A
recent conference in Riyadh, sponsored by King Saud University, sought to discuss
these issues and develop specific policy recommendations for the Saudi 2030
Vision plan. This and other efforts will require more and more creative
educational programs for physicians, pharmacists, hospitals, and patients, and,
most importantly evolving regulations on quality standards and oversight by Saudi
health authorities.
PMID- 29379334
TI - Applications of nanoparticle systems in drug delivery technology.
AB - The development of nanoparticle-based drug formulations has yielded the
opportunities to address and treat challenging diseases. Nanoparticles vary in
size but are generally ranging from 100 to 500 nm. Through the manipulation of
size, surface characteristics and material used, the nanoparticles can be
developed into smart systems, encasing therapeutic and imaging agents as well as
bearing stealth property. Further, these systems can deliver drug to specific
tissues and provide controlled release therapy. This targeted and sustained drug
delivery decreases the drug related toxicity and increase patient's compliance
with less frequent dosing. Nanotechnology has proven beneficial in the treatment
of cancer, AIDS and many other disease, also providing advancement in diagnostic
testing.
PMID- 29379336
TI - Anti-ulcerative colitis activity of Calotropis procera Linn.
AB - The aim of the present study was to evaluate the anti-ulcerative colitis activity
of Calotropis procera. Different extracts of the investigated plant were
evaluated; total alcohol extract, polar extract and non-polar extract. All the
investigated extracts at doses 200 &400 mg/kg possessed a dose-dependent anti
ulcerative colitis potential when administrated for 5 consecutive days after
colitis induction by acetic acid in rats. They reduced different parameters of
UC. Only polar extract at both doses (200, 400 mg/kg) was more effective than the
standard drug Prednisolone (50 mg/kg), it produced percent protection of control
colitis by 63.8% and78.4% respectively, while the standard drug Prednisolone
produced 54.9% protection. The anti-ulcerative colitis activity may be attributed
to the active principles i.e. flavonoids. Preliminary phytochemical screening
showed that the plant contains flavonoids, unsaturated sterols and/or
triterpenoides, cardiac glycosides, carbohydrates or glycosides, proteins and/or
amino acids, tannins and coumarins. The total alcohol extract was safe up to 4000
mg/kg and there were no side effects reported on liver and kidney functions.
PMID- 29379337
TI - Measurement and evaluation of the effects of pH gradients on the antimicrobial
and antivirulence activities of chitosan nanoparticles in Pseudomonas aeruginosa.
AB - Objective: The purpose of this study was to study the antimicrobial activity of
chitosan nanoparticles (CSNPs) on Pseudomonas aeruginosa with special emphasis on
their sensitivity to pH and the effect of pH on their activity. Methodology:
Antimicrobial activity of CSNPs against Pseudomonas aeruginosa at different pH
was tested using broth dilution method. Further assessment of antivirulence
activity and sensitization of CSNPs on Pseudomonas aeruginosa were examined.
Results: Significant antimicrobial effects of CSNPs against Pseudomonas
aeruginosa were detected at slightly acidic pH 5, whereas the activity was
abolished at a pH of greater than 7. The antivirulence activity of CSNPs was then
investigated and treatment with CSNPs (1000 ppm) resulted in a significant
reduction or even complete inhibition of pyocyanin production by P. aeruginosa
compared with untreated P. aeruginosa indicating the antivirulence activity of
CSNPs. CSNPs also sensitized P. aeruginosa to the lytic effects of sodium dodecyl
sulfate (SDS); such sensitization was not blocked by washing chitosan-treated
cells prior to SDS exposure revealing that CSNPs disturb the outer membrane
leading to irreversible sensitivity to detergent even at low concentration (100
ppm). Conclusions: These findings highlight CSNPs as potentially useful as
indirect antimicrobial agents for a variety of applications.
PMID- 29379338
TI - Synthesis, structure, antioxidant activity, and water solubility of trolox ion
conjugates.
AB - The interaction of trolox with ammonia, alkylamines of different classes, and
amino derivatives of heterocyclic compounds, including nitroxyl radicals and
alkaloids, led to the production of ammonium salts called ion conjugates (ICs).
Five ICs were characterised by X-ray diffraction. This is the first time a wide
range of ICs were made from trolox with amines, and ESI-MS data demonstrated they
have the potential to generate pseudomolecular [(A-B+) + H]+ ions. For all
obtained trolox ICs, a significant increase (1-3 orders of magnitude) in water
solubility was achieved while retaining high antioxidant activity. ICs
synthesised from two biologically active fragments may be used to create
polyfunctional agents with varying solubility and bioavailability.
PMID- 29379339
TI - The patterns of children poisoning cases in community teaching hospital in
Riyadh, Saudi Arabia.
AB - Introduction: Although the majority of poisoning-related cases can be managed in
home settings, reduction of hospital visits and admissions are still important
challenge. Thousands of interventions are involved and the appropriate management
of poisoning is therefore a major task and burden to any institution. Therefore,
the present study was aimed to identify the most common classes of toxic
substances and route of poisoning in children and to investigate the pattern of
drug and chemical poisoning in suspected case fatalities, the subsequent need for
hospital admission and arrival time to hospital. Methods: A retrospective cross
sectional descriptive study of all registered poisoning cases of children
reported to drug and poison information center at King Khaled University Hospital
Riyadh, Saudi Arabia during the period of January 2010-December 2016. Results: A
total of 735 children presented to Pediatric Emergency Medicine with poisoning.
Most of the cases were asymptomatic, and majority of children were arrived to the
hospital in less than 3 h. The drugs were the most common cause of poisoning
(70%) followed by chemical materials (29%), which is more common in children
under 2 years comparing to other groups (p < 0.001). The route of poisoning was
oral in the majority of cases (98.8%). The drugs most frequently ingested were
analgesic (18.8%). Among the chemicals, pesticide products involved in (39.6%) of
the cases, followed by cleaning products (25.9%) and cosmetic (22.8%).
Conclusion: The results found that the majority of poisoning cases occurred in
children under the age of six and required only observation without treatment.
These results necessitate the need for close cooperation between different
governmental health-sectors to establish national epidemiological surveillance of
poisoning events in Saudi Arabia to help to develop national plans to decrease
the financial burden of emergency department congestion and hospital crowding.
PMID- 29379340
TI - Pharmaceutical evaluation of different shampoo brands in local Saudi market.
AB - Shampooing is the most common form of hair treatment. Shampoos are primarily
products aimed at cleansing the hair and scalp. There are many brands of shampoos
in Saudi Arabia, available from different sources, locally and imported from
other countries. This study aims to investigate whether such brands comply with
the Saudi standard specifications for shampoos, issued by the National Center for
Specifications and Standards, and to what extent these specifications are
applied. Six shampoo brands were randomly collected from Riyadh market
(Pantene(r), Sunsilk(r), Herbal essences(r), Garnier Ultra Doux(r), Syoss(r) and
L'Oreal Elvive(r)). The selected shampoos were evaluated according to their
physicochemical properties, including organoleptic characterization, pH
measurement, percentage of solid content, rheological measurements, dirt
dispersion level, foaming ability and foam stability, and surface tension. All
shampoos had a good percentage of solids, excellent foam formation with stable
foam and a highly viscous nature. Regarding the pH measurement, all shampoo
samples were within the specified range with good wetting ability.
PMID- 29379341
TI - The psycho- and neurotropic profiling of novel 3-(N-R,R'-aminomethyl)-2-methyl-1H
quinolin-4-ones in vivo.
AB - The article presents the study of psycho- and neurotropic properties of novel 3
(N-R,R'-aminomethyl)-2-methyl-1H-quinolin-4-ones in vivo. The research was
carried out using the open field test, elevated plus maze, rotarod test, tail
suspension test, passive avoidance test after scopolamine-induced amnesia and
acute normobaric hypoxia with hypercapnia. As a result, two promising substances
have been found. According to our results 3-[[(4-methoxyphenyl)amino]methyl]-2
methyl-1H-quinolin-4-one in the dose of 10 mg/kg shows a specific sedative effect
and a considerable anti-amnesic activity. The most interesting N-[(2-methyl-4-oxo
1H-quinolin-3-yl)methyl]-N-phenylbenzamide (100 mg/kg) combines a potent anti
anxiety action, the anti-amnesic activity and a considerable antihypoxic effect.
They are of interest for further profound studies as promising psychoactive
compounds.
PMID- 29379342
TI - Safranal epoxide - A potential source for diverse therapeutic applications.
AB - Safranal is an organic compound isolated from saffron oil. Photo epoxidation and
thermal reactions of safranal can be a significant tool for the design of drugs
to act as anticancer agents and potent chemoprevention. Safranal was subjected to
oxidation reactions either thermally using m-chloroperbenzoic acid or
photochemically with hydrogen peroxide. Photo chemically and thermally oxidation
reaction gave the corresponding monoepoxy together with diepoxy derivatives. The
primary tested of epoxide derivatives showed a moderate degree of DNA alkylation.
Studies on the antimicrobial, especially Methicillin resistant Staphylococcus
aureus (MRSA), showed high activity of safranal diepoxide (3) against the growth
of bacteria Methicillin resistant Staphylococcus aureus (MRSA) more than safranal
(1) and monoepoxide (2) respectively. The epoxidation products were tested
against bacterium Methicillin resistant Staphylococcus aureus (MRSA).
PMID- 29379343
TI - The utilization of nonprescription medications in Saudi patients with
cardiovascular diseases.
AB - Background: Cardiovascular diseases (CVDs) are the most common cause of disease
related death in Saudi Arabia. The incidence of CVDs continues to increase,
presenting a major health care problem. Nonprescription medications are widely
used by patients with CVD and may cause adverse drug events, either by worsening
the disease or by harmfully interacting with prescribed medications. We
investigated the patterns of nonprescription medication utilization and the
factors associated with their use in patients with CVD. Methods: This was a cross
sectional study conducted at the Cardiology Clinics of an academic tertiary
health care center. Participants were asked about their sociodemographic
characteristics, medical history and frequency of using nonprescription
medications including over-the-counter (OTC) products, dietary supplements, and
herbal products. Moreover, we investigated the participants' sources of
information about nonprescription medications. Multivariate logistic regression
analysis was conducted to examine the predictors of nonprescription medication
use. Results: A total of 209 participants were interviewed. The mean age of the
participants was 56 +/- 15 years, and 110 (52.6%) were female. Of the 209
participants, 116 (55%) reported routine use of nonprescription medications.
Black seeds and garlic were the most frequently used herbal products.
Acetaminophen, cold/cough remedies, and ibuprofen were the most commonly reported
OTC drugs. Of the surveyed patients, 54 (46.5%) used nonprescription medications
to manage cardiovascular conditions specifically. Compared with other
comorbidities, diabetes mellitus was associated with a higher use of
nonprescription medications. Conclusion: In patients with CVD, the routine use of
nonprescription medications was common for a number of reasons. Health care
providers should proactively discuss nonprescription use with their CVD patients
to avoid potential harmful outcomes.
PMID- 29379344
TI - Identification of medication discrepancies during hospital admission in Jordan:
Prevalence and risk factors.
AB - Objectives: Medication errors are considered among the most common causes of
morbidity and mortality in hospital setting. Among these errors are discrepancies
identified during transfer of patients from one care unit to another, from one
physician care to another, or upon patient discharge. Thus, the aims of this
study were to identify the prevalence and types of medication discrepancies at
the time of hospital admission to a tertiary care teaching hospital in Jordan and
to identify risk factors affecting the occurrence of these discrepancies.
Methods: A three months prospective observational study was conducted at the
department of internal medicine at Jordan university hospital. During the study
period, 200 patients were selected using convenience sampling, and a pre-prepared
data collection form was used for data collection. Later, a comparison between
the pre-admission and admission medication was conducted to identify any possible
discrepancies, and all of these discrepancies were discussed with the responsible
resident to classify them into intentional (documentation errors) or
unintentional. Linear regression analysis was performed to assess risk factors
associated with the occurrence of unintentional discrepancies. Results: A total
of 412 medication discrepancies were identified at the time of hospital
admission. Among them, 144 (35%) were identified as unintentional while the
remaining 268 (65%) were identified as intentional discrepancies. Ninety-four
patients (47%) were found to have at least one unintentional discrepancy and 92
patients (46%) had at least one documentation error. Among the unintentional
discrepancies, 97 (67%) were found to be associated with a potential
harm/deterioration to the patients. Increasing patients' age (beta = 0.195, p
value = .013) and being treated by female residents (beta = 0.139, p-value =
.045) were significantly associated with higher number of discrepancies.
Conclusion: The prevalence of unintentional discrepancies at the time of hospital
admission was alarmingly high. Majority of these discrepancies were associated
with a potential harm to the patients. These findings support the necessity for
implementing the medication reconciliation service in the country, engaging
healthcare providers in the process of identification and resolution of
medication discrepancies.
PMID- 29379345
TI - An audit on parental attitudes towards medicines used in children.
AB - Introduction: There is growing concerns regarding the use of medicines in
children. Therefore, many strategies were implemented to improve such use and
make safe and effective medicines more available for children. Enhancing
interaction between parents and health care providers plays an important role in
insuring the proper use of medicines. In order to optimize such interaction, the
attitudes, beliefs and practices of parents toward medicine use in children need
to be explored. Methods: A validated questionnaire was administered via face to
face interviews to 1000 parents attending pediatric outpatient clinics with their
children in order to investigate their attitudes toward children's medicines.
Results: The majority of respondents (83.2%) were mothers. The majority
participants (84.4%) agreed that medicines are necessary in treating illnesses,
80% of the parents had worries about the side effects and interactions of
medicines, and 60% of the parents said they try to avoid giving medicines to
their children. Moreover, parents in this study varied considerably in their
views toward prescription and over-the- counter medicines. More than half of the
participants (55.2%) declared that doctors in Jordan prescribe antibiotics to
children too easily. Conclusion: Participants had positive attitudes toward the
necessity of medicines for ill children. However, a considerable proportion of
the parents had negative attitudes toward children's medicines with respect to
their side effects and interactions, their capability of disturbing the body's
own capability of healing illnesses, their unnatural characteristic and other
aspects related to medicines.
PMID- 29379346
TI - Novel quinazoline and acetamide derivatives as safe anti-ulcerogenic agent and
anti-ulcerative colitis activity.
AB - Two novel quinazoline derivatives named as; 3-[(4-hydroxy-3-methoxy-benzylidene)
amino]-2-p-tolyl-3H-quinazolin-4-one (5) and 2-p-Tolyl-3-[3,4,5-trimethoxy
benzylidene-amino]-3H-quinazolin-4-one (6) in addition to one acetamide
derivative named as 2-(2-Hydroxycarbonylphenylamino)-N-(4-aminosulphonylphenyl)
11 were synthesized, and evaluated for their anti-ulcerogenic & Anti-Ulcerative
colitis activities. All of the three compounds showed curative activity against
acetic acid induced ulcer model at a dose of 50 mg/kg, they produced 65%, 85% &
57.74% curative ratio for compounds 5, 6 & 11 respectively. The effect of the
tested compounds 5, 6 & 11 at dose 50 mg/kg were significantly (P < 0.01) more
effective than dexamesathone (0.1 mg/kg) in reducing all parameters. Compounds
showed curative activity of for peptic ulcer (induced by absolute alcohol (at a
dose of 50 mg/kg, it produced Curative of control ulcer 56.00%, 61.70% & 87.1%
for compounds 5, 6 & 11 respectively at dose 50 mg/kg, while the standard drug
(Omeprazole 20 mg/kg) produced 33.3%. In both tests, the activity of our target
compounds were higher than the standard drugs used for treatment of peptic ulcer
and ulcerative colitis. No side effects were reported on liver and kidney
functions upon prolonged oral administration of this compounds.
PMID- 29379347
TI - Association between satisfaction with and adherence to warfarin therapy on the
control of international normalized ratio: A hospital-based study in Saudi
Arabia.
AB - Background: High satisfaction with, and adherence to, warfarin therapy are linked
to better international normalized ratio (INR) control and good therapeutic
outcomes. Objective: This study was conducted to identify the association between
satisfaction with, and adherence to, warfarin therapy and the control of the INR
within the target therapeutic range. Methods: A cross-sectional study was
conducted from June 1 to August 31, 2016, at the Anticoagulation Clinic in the
Cardiology Center at King Fahad Hospital, Qassim, Saudi Arabia. All adult
patients included in the study were 18-years-old or older and were on warfarin
therapy for 6 months or more. The data were collected through face-to-face
interviews using a structured questionnaire. Results: A total of 298 patients
were included. Of them, 194 patients (65.1%) were males and 152 (51.0%) were
classified as satisfied with their warfarin therapy. Secondary educational level
and above (P = .001) and being non-Saudi (P = .026) were identified as
determinants of a high level of satisfaction. Ninety-five (31.8%) participants
were classified as adherent to the therapy, and satisfaction with treatment was
the only predictor of adherence (P = .009). One hundred thirty-six patients
(45.6%) achieved their target INR range. Satisfaction (P = .038) and adherence (P
= .023) were significantly associated with better INR control. Conclusion:
Substantial efforts are needed to improve patient satisfaction and adherence to
treatment through different strategies in order to achieve the target therapeutic
goal for warfarin treatment.
PMID- 29379348
TI - Triterpenoid modulates the salt tolerance of lanosterol synthase deficient
Saccharomyces cerevisiae, GIL77.
AB - This study examined the effect of triterpenoid on the salt tolerance of
lanosterol synthase deficient yeast mutant GIL77. The expression of the
triterpenoid synthase gene under GAL1 promoter in GIL77 increased the
triterpenoid concentration of both whole cell and plasma membrane fractions.
Without the induction of the genes, the growth curve of BgbAS or RsM1
transformant depicted patterns similar to control cells in both the presence and
absence of salt with growth inhibition at 500 mM NaCl. The induction of BgbAS and
RsM1 gene expression slightly repressed growth compared with control cells in the
absence of NaCl. The growth of GIL77 was significantly suppressed by the
expression of BgbAS or RsM1 under salinity conditions. Of the triterpenoid
synthase genes, BgbAS rather than RsM1 was found to strongly inhibit the growth
of GIL77 cells under salt stressed conditions. The expression of the triterpenoid
synthase gene in GIL77 also influenced their tolerance to other abiotic stresses.
In contrast to the endogenous synthesis, the exogenous supply of triterpenoid in
the culture medium appeared to occur in the plasma membrane fraction and enhanced
the salt tolerance of GIL77. This study thus discussed the physiological
significance of triterpenoid in relation to its possible role in modulating salt
tolerance.
PMID- 29379349
TI - Combination of intensity modulated radiotherapy followed treatment with p38 MAPK
activation inhibitor inhibits the proliferation of MCF-7 breast cancer cells.
AB - The present study was aimed to investigate the effect of intensity modulated
radiotherapy (IMRT) followed by treatment with inhibitor for p38 MAPK, SB203580
on the rate of proliferation in drug resistant MCF-7 breast cancer cells.
Interestingly, the results from immuno histochemistry and western blot assays
revealed higher level of distribution of activated p38 MAPK in the drug resistant
breast cancer tissues compared to the primary tissues. Treatment of the drug
resistant MCF-7 cells with SB203580 led to a significant decrease in the
phosphorylation of p38 MAPK. Exposure to IMRT caused a significant decrease in
the rate of proliferation in drug resistant MCF-7breast cancer cells (P < 0.05).
MCF-7 cells were subjected to IMRT for 45 min followed by treatment with SB203580
for 12 h. The results from MTT assay revealed inhibition in the rate of
proliferation of MCF-7 cells more efficiently compared to the IMRT or SB203580
when used separately (P < 0.02). The effect of IMRT and SB203580 on inhibition of
MCF-7 cell proliferation showed synergistic relation. Since MAPK signaling
pathway plays an important role in the development of drug resistance, therefore,
inhibition of p38 MAPK activation by the combination of IMRT followed by
treatment with inhibitor for p38 MAPK can be a promising strategy for breast
cancer treatment. Thus combination of IMRT exposure and treatment with SB203580
can be used for the inhibition of drug resistant breast cancer.
PMID- 29379350
TI - Comparative phytochemical profiling of different soybean (Glycine max (L.) Merr)
genotypes using GC-MS.
AB - This study aimed to estimate the proximate, phenolic and flavonoids contents and
phytochemicals present in seeds of twenty four soybeans (Glycine max (L.) Merr)
genotypes to explore their nutritional and medicinal values. Crude protein
composition ranged between 35.63 and 43.13% in Argentinian and USA (Clark)
genotypes, respectively. Total phenolic content varied from 1.15 to 1.77 mg
GAE/g, whereas flavonoids varied from 0.68 to 2.13 mg QE/g. The GC-MS analysis
resulted identification of 88 compounds categorized into aldehydes (5), ketones
(13), alcohols (5), carboxylic acids (7), esters (13), alkanes (2), heterocyclic
compounds (19), phenolic compound (9), sugar moiety (7) ether (4) and amide (3),
one Alkene and one fatty acid ester. Indonesian genotypes (Ijen and Indo-1) had
the highest phenolic compounds than others genotype having antioxidant
activities, while the Australian genotype contains the maximum in esters
compounds. The major phytocompounds identified in majority of genotypes were
Phenol, 2,6-dimethoxy-, 2-Methoxy-4-vinylphenol, 3,5-Dimethoxyacetophenone, 1,2
cyclopentanedione and Hexadecanoic acid, methyl ester. The presence of
phytochemicals with strong pharmacological actions like antimicrobial and
antioxidants activities could be considered as sources of quality raw materials
for food and pharmaceutical industries. This study further set a platform for
isolating and understanding the characteristics of each compound for it
pharmacological properties.
PMID- 29379351
TI - Pharmacological credence of the folklore use of Bauhinia malabarica in the
management of jaundice.
AB - The information on the hepatoprotective effect of Bauhinia malabarica Roxb.
(Family Leguminosae) used in the folkloric medical practice in Malabar coast and
Walayar valley of southern India for the treatment of liver related disorders is
completely unknown. Hence, the efficacy of the aqueous methanolic extract of stem
bark of B. malabarica (AqMeOH-Ba) was evaluated for liver function serum
biochemical markers along with the antioxidant markers in liver tissues of Wistar
albino rats. The biochemical observations as well as the histopathological
examination of liver sections manifested considerable hepatoprotective activity
of B. malabarica stem bark, and thus validated the folkloric claim.
PMID- 29379352
TI - The intertwine of nanotechnology with the food industry.
AB - The past decade has proven the competence of nanotechnology in almost all known
fields. The evolution of nanotechnology today in the area of the food industry
has been largely and has had a lot of contribution in the food processing, food
package, and food preservation. The increasing global human population has come
with growing population to be fed, and food production is not adjusted to at par
with the growing population. This mismatch has shown the real essence of food
preservation so that food products can reach to people on a global scale. The
introduction of nanotechnology in the food industry has made it easy to transport
foods to different parts of the world by extending the shelf-life of most food
products. Even with this beneficial aspect of nanotechnology, it has not been
proven an entire full-proof measure, and the field is still open to changing
technology. It suffices to note that nanotechnology has to a big extent succeed
in curbing the extent of food wastage due to food spoilage by the microbial
infestation. Nanotechnology has focused on fresh foods, ensuring a healthier food
by employing nano-delivery systems in the process. The delivery systems are the
ones, which carries the food supplements. However, these are certain sets of
regulations that must be followed to tame or control the health related risks of
nanotechnology in food industries. This paper outlines the role of nanotechnology
at different levels of the food industry including, packaging of food, processing
of food and the various preservation techniques all aiming to increase the shelf
life of the food products.
PMID- 29379353
TI - Assessment of gender-related differences in vitamin D levels and cardiovascular
risk factors in Saudi patients with type 2 diabetes mellitus.
AB - Diabetes is a major risk factor for cardiovascular disease (CVD) including
stroke, coronary heart disease, and peripheral artery disease. It remains a
leading cause of mortality throughout the world, affecting both women and men.
This investigation was aimed to study gender based differences in cardiovascular
risk factors of adult population with type-2 diabetes mellitus (T2DM) and to
check the correlation between serum HbA1C, lipid profile and serum vitamin D
levels, in T2DM patients of Riyadh, Saudi Arabia. This hospital-based cross
sectional study involving subjects was divided into two gender based groups;
normal male (800), diabetic male (800) and normal female (800) and T2DM females
(800). Blood samples were analyzed for fasting glucose (FBG), HbA1c, total
cholesterol (TC), triglycerides (Tg), low density lipoprotein cholesterol (LDL
C), high density lipoprotein cholesterol (HDL-C) and serum levels of 25(OH)
vitamin D in all groups. All the glycemic control parameters and lipid profile
parameters were found to be significantly different in diabetic vs non-diabetic
group (p < 0.001) in both genders. The results also show that vitamin D
concentration decreased significantly (p < 0.001) in diabetic patients than the
healthy individuals in both the genders. Vitamin-D and HbA1C were negatively
correlated in both males and females in T2DM patients and significant at P <
0.05. Our study reveals that dyslipidemia remains one of the major risk factors
of CVD in T2DM. In addition to dyslipidemia, decreased levels of vitamin-D
associated with increased HbA1C alarms the early diagnosis of Type 2 Diabetes.
PMID- 29379354
TI - Potentiation of antibiotic activity by Passiflora cincinnata Mast. front of
strains Staphylococcus aureus and Escherichia coli.
AB - The development of new drugs from plants is an interesting alternative approach
to overcoming microbial resistance. Passiflora cincinnata shows resistance to
diseases and pests and a higher concentration of chemical components that may be
useful in the pharmaceutical industry. We investigated the potential
antimicrobial and antibiotic-modifying activity of hydroalcoholic extracts of
leaves, stems, bark, pulp and seeds of P. cincinnata. The extracts were prepared
by homogenization of material in 50% ethanol. Minimum inhibitory concentration
(MIC) was determined by the broth dilution method, and the bacterial strains
tested were Staphylococcus aureus and Escherichia coli. Antibiotic-modifying
activity was evaluated against the strains S. aureus 03 and E. coli 08, using a
subinhibitory concentration of extract. The antibiotics tested were: amikacin,
gentamicin, ampicillin, potassium benzylpenicillin and oxacillin. The extracts
did not show antimicrobial activity of clinical relevance, where the MIC was
equal to or greater than 1024 MUg/mL. S. aureus showed 13 events, while E. coli
showed only 4 events. Among these events, 14 involved synergistic activity,
potentiating the effect of the antibiotics, and only 3 events demonstrated
antagonistic activity toward ampicillin. Hydroalcoholic extracts are potential
antimicrobial agents when combined with conventional drugs little utilized in in
vivo treatment.
PMID- 29379355
TI - Isolation of antimicrobial producing Actinobacteria from soil samples.
AB - Emergence of multidrug resistant bacteria has made the search for novel bioactive
compounds from natural and unexplored habitats a necessity. Actinobacteria have
important bioactive substances. The present study investigated antimicrobial
activity of Actinobacteria isolated from soil samples of Egypt. One hundred
samples were collected from agricultural farming soil of different governorates.
Twelve isolates have produced activity against the tested microorganisms (S.
aureus, Bacillus cereus, E. coli, K. pneumoniae, P. aeruginosa, S. Typhi, C.
albicans, A. niger and A. flavus). By VITEK 2 system version: 07.01 the 12
isolates were identified as Kocuria kristinae, Kocuria rosea, Streptomyces
griseus, Streptomyces flaveolus and Actinobacteria. Using ethyl acetate
extraction method the isolates culture's supernatants were tested by diffusion
method against indicator microorganisms. These results indicate that
Actinobacteria isolated from Egypt farms could be sources of antimicrobial
bioactive substances.
PMID- 29379356
TI - Effect of sevoflurane and halothane anesthesia on cognitive function and immune
function in young rats.
AB - In the current study, we scrutinized the effect of sevoflurane and halothane on
cognitive and immune function in young rats. The rats were divided into following
groups: sevoflurane, halothane and sevoflurane + halothane groups, respectively.
The rats were regularly treated with the pre-determined treatment. We also
scrutinized the serum proinflammatory cytokines including IL-10, IL-4 and IL-2;
brain level IL-1beta; hippocampal neuronal apoptosis concentration were
estimated. The water maze test was performed in rats for the estimation of
cognitive ability. During the water maze test, on the 1st day the sevoflurane
group showed the latency; sevoflurane and sevoflurane + halothane group
demonstrated the declined latency gradually as compared to the control group rats
after the 3 days. The latency of the control, halothane, sevoflurane + halothane
group rats showed the reduced latency and also showed the reduced crossing circle
times. The hippocampal neuron apoptosis was significantly increased in halothane
and sevoflurane + halothane group as compared to control group rats,
respectively. Control group rats demonstrated the increased neuron apoptosis. The
proinflammatory cytokines including IL-10 and IL-4 was significantly higher in
sevoflurane, halothane and sevoflurane + halothane group rats after anesthesia
and the whole brain IL-1beta was significantly decrease in the sevoflurane,
halothane and sevoflurane + halothane as compared to control group. Sevoflurane
can inhibit the anesthesia effect of halothane on the immune and cognitive
function of rats.
PMID- 29379357
TI - Larvicidal, ovicidal activities and histopathological alterations induced by
Carum copticum (Apiaceae) extract against Culex pipiens (Diptera: Culicidae).
AB - An experiment was carried out, firstly, to determine the possible toxicity of
Carum copticum (Apiaceae) extract against Culex pipiens (Diptera: Culicidae),
and, secondly, to study the histopathological alterations in the midgut of Cx.
pipiens as a result of treatment with C. copticum extract. Larvicidal and
ovicidal activities of C. copticum extract against the larvae of Cx. pipiens was
determined according to World health organization (WHO). The inhibition effect of
C. copticum was assessed by determining the mortality of the treated larvae and
eggs. The histopathological effect of the C. copticum extracts on midgut
epithelium of the larvae was examined under both light and transmission electron
microscopy. The crude extract of C. copticum exerted 100% mortality for Cx.
pipiens after 24 h at 200 MUm/ml, and zero hatchability (100% mortality) at 150
MUm/ml for Cx. pipiens. The histopathological study showed that larvae treated
with C. copticum extract had cytopathological alterations of the midgut
epithelium. The study provided information on various effects of C. copticum
extract against Cx. pipiens.
PMID- 29379358
TI - Changes in carotenoid and chlorophyll content of black tomatoes (Lycopersicone
sculentum L.) during storage at various temperatures.
AB - Black tomatoes have a unique color and higher lycopene content than typical red
tomatoes. Here, black tomatoes were investigated how maturation stage and storage
temperature affected carotenoid and chlorophyll accumulation. Immature fruits
were firmer than mature fruits, but failed to develop their distinctive color and
contained less lycopene when stored at 8 degrees C. Hunter a* values of black
tomatoes increased with storage temperature and duration; storage of immature
fruits at high temperature favored lycopene accumulation. Chlorophyll levels of
black tomatoes declined during storage, but differences between mature and
immature tomatoes stored at 12 degrees C were minimal. beta-Carotene levels of
black tomatoes increased during early storage, but rapidly declined beginning 13
d post-harvest. The highest lycopene and chlorophyll levels were observed in
mature black tomatoes stored at 12 degrees C for 13 d; these conditions also
yielded the best quality fruit. Thus, the unique pigmentation properties of black
tomatoes can be precisely controlled by standardizing storage conditions.
PMID- 29379359
TI - Effects of Panax ginseng on the nerve growth factor expression in testosterone
induced benign prostatic hyperplasia.
AB - The prostatic hyperplasia in benign prostatic hyperplasia (BPH) leads to
obstructive micturition symptoms. Previous studies showed that pontine
micturition center (PMC), ventrolateral periaqueductal gray (vlPAG), and medial
preopticnucleus (MPA) regions in the brain have been known to regulate the
urinary bladder function. The present study shows the influences of Panax ginseng
on nerve growth factor (NGF) expressions in PMC, vlPAG, and MPA regions in the
brain. Wistar rats were used for the present study. The rats split into four
groups; 4 groups (n = 6) in control group, BPH-induced group, BPH-induced and P.
ginseng-treated group, and BPH-induced and finasteride-treated group. BPH in rats
was induced by testosterone and the animals were evaluated for NGF expression in
PMC, vlPAG, and MPA regions in the brain. The NGF expression was identified using
immunohistochemistry (IHC). The NGF expression by IHC showed spots with dark
brown color. In our results, NGF expressions in PMC, vlPAG, and MPA regions in
the brainstem of the BPH-induced group showed increase than the control animal.
These increased NGF expressions in three regions were decreased using treatment
with P. ginseng (200 mg/kg). These results suggest that P. ginseng has
therapeutic effects on the symptoms of BPH and is associated with the regulation
of NGF expression in the brain. In conclusion, the administration of P. ginseng
helps nerve growth factor activation.
PMID- 29379360
TI - Molecular characterization of glucosinolates and carotenoid biosynthetic genes in
Chinese cabbage (Brassica rapa L. ssp. pekinensis).
AB - The present study aimed to investigate the contents of glucosinolates (GSLs) and
carotenoids in eleven varieties of Chinese cabbage in relation to the expression
level of the important transcription factors. MS and HPLC analysis identified the
presence of 13 GSLs (progoitrin, sinigrin, glucoalyssin, gluconapoleiferin,
gluconapin, glucocochlearin, glucobrassicanapin, glucoerucin, 4
hydroxyglucobrassicin, glucobrassicin, 4-methoxyglucobrassicin, neoglucobrassicin
and gluconasturtiin) and four carotenoids (lutein, zeaxanthin, alpha-carotene and
beta-carotene). GSL contents were varied among the different cabbage varieties.
The total GSL content ranged from 2.7 to 57.88 MUmol/g DW. The proportion of
gluconapin (54%) and glucobrassicanapin (22%) was higher in all the varieties,
respectively. Results documented the variation in total and individual carotenoid
contents that have also been observed among different varieties; however, the
total carotenoid contents ranged from 289.12 to 1001.41 mg kg-1 DW (mean 467.66).
Interestingly, the proportion of lutein (66.5) and beta-carotene (25.9) were
higher than alpha-carotene (5.1) and zeaxanthin (2.5%). Consequently, the
expression level of the regulatory gene, MYB28 was higher in 'K0648' and was
directly proportional to GSL content. Similarly, the expression levels of 1-PSY
were higher in 'K0112'; however, the expression levels of 2-ZDS, 3-LCYB, 4-LCYE,
5-CHXB and 7-NCED genes showed no significant difference. In addition, the
correlation between GSL and carotenoid contents and gene expression level showed
moderate significant difference in each Chinese cabbage.
PMID- 29379362
TI - Food habits of the Arabian skink, Scincus hemprichii Wiegmann, 1837, (Sauria:
Scincidae), in the Southwest Saudi Arabia.
AB - Food and feeding habits of the Arabian skink, Scincus hemprichii were
investigated in Jazan province, southwest of Saudi Arabia. S. hemprichii
individuals fed during eleven months of the year. The mass of food in the
stomachs indicated that a high degree of foraging success occurred during the
warm spring while the lowest was during winter with January as an exception to
feeding by the lizard. Analysis of the contents of 60 stomachs revealed that the
diet of S. hemprichii in the study area consisted of arthropods, with two species
of beetles of the family Dermestidae (Dermestis vulpinus and Dermestis maculates)
and three type of dipteran larvae, accounting for 76% of the total volume of the
food items. Specimens collected during January had empty stomachs.
PMID- 29379361
TI - Molecular genetic studies in Saudi population; identified variants from GWAS and
meta-analysis in stroke.
AB - Introduction: Stroke is a multifactorial and heterogeneous disorder, correlates
with heritability and considered as one of the major diseases. The prior reports
performed the variable models such as genome-wide association studies (GWAS),
replication, case-control, cross-sectional and meta-analysis studies and still,
we lack diagnostic marker in the global world. There are limited studies were
carried out in Saudi population, and we aim to investigate the molecular
association of single nucleotide polymorphisms (SNPs) identified through GWAS and
meta-analysis studies in stroke patients in the Saudi population. Methods: In
this case-control study, we have opted gender equality of 207 cases and 207
controls from the capital city of Saudi Arabia in King Saud University Hospital.
The peripheral blood (5 ml) sample will be collected in two different
vacutainers, and three mL of the coagulated blood will be used for lipid analysis
(biochemical tests) and two mL will be used for DNA analysis (molecular tests).
Genomic DNA will be extracted with the collected blood samples, and specific
primers will be designed for the opted SNPs (SORT1-rs646218 and OLR1-rs11053646
polymorphisms) and PCR-RFLP will be performed and randomly DNA sequencing will be
carried out to cross check the results. Results: The rs646218 and rs11053646
polymorphisms were significantly associated with allele, genotype and dominant
models with and without crude odds ratios (OR's) and Multiple logistic regression
analysis (p < 0.05). Correlation between lipid profile and genotypes has
confirmed the significant relation between triglycerides and rs646218 and
rs1105364 6polymorphisms. However, rs11053646 polymorphism was correlated with
HDLC (p = 0.04). Genotypes were examined in both males' vs. males and females'
vs. females in cases and control and we concluded that in rs11053646
polymorphisms with male subjects compared between cases and controls found to be
associated with dominant model heterozygote genotypes (p < 0.05). Conclusion: The
results of the current study confirmed the SORT1 and OLR1 SNPs were associated in
the Saudi population. The current results were in the association with the prior
study results documented through GWAS and meta-analysis association. However,
other ethnic population studies should be performed to rule out in the human
hereditary diseases.
PMID- 29379363
TI - Life forms, leaf size spectra, regeneration capacity and diversity of plant
species grown in the Thandiani forests, district Abbottabad, Khyber Pakhtunkhwa,
Pakistan.
AB - The life form and leaf size spectra of plant species of the Thandiani forests,
district Abbottabad, were studied during the summer of 2013. These forests host
252 plant species of 97 families. Biological spectra showed that Hemicryptophytes
(80 spp., 31.74%) were dominant followed by Megaphanerophytes (51 spp., 20.24%),
Therophytes (49 spp., 19.44%) and Nanophanerophytes (45 spp., 17.86).
Hemicryptophytes are the indicators of cold temperate vegetation. At the lower
elevations, Megaphanerophytes and Nanophanerophytes were dominant which confirm
trees as dominant habit form due to high soil depth, moisture and temperature
factors. Data on Leaf spectra in the area showed that Microphyllous (88 spp.,
34.92%) species were dominant followed by Leptophyllous (74 spp., 29.36%) and
Nanophyllous (60 spp., 23.80%). The Microphyllous plants again are the indicator
of cold temperate zone as the area is situated at an elevation of 1191-2626 m.
Similarly, Nanophylls were dominant at lower elevations. Data on family
importance values and diversity among various communities were also recorded.
Life form and Leaf spectra studies could be used to understand the micro climatic
variation of the region.
PMID- 29379364
TI - DNA (cytosine-5)-methyltransferase 3B (DNMT 3B) polymorphism and risk of Down
syndrome offspring.
AB - Down syndrome (DS) is the most common form of human genetic mental retardation.
Several polymorphisms in genes coding folic acid cycle enzymes have been
associated to the risk of bearing a DS child; however, the results are
controversial. S-adenosyl-l-methionine (SAM) is an important intermediate of
folic acid pathway and acts as methyl donor and substrate for DNA (cytosine-5)
methyltransferase 3B (DNMT3B - EC 2.1.1.37) de novo methylation processes during
embryogenesis. Recent studies suggest that a functional polymorphism of DNMT 3B
in maternal genotype may be associated with a decreased risk of having a DS
child. We herein investigate the association of this polymorphism with the
occurrence of DS in a Brazilian population. We have genotyped 111 mothers of DS
infants (MDS) and 212 control mothers (CM) through PCR-RFLP. The observed
genotypic frequencies were CC = 0.22; CT = 0.49 and TT = 0.29 in CM, and CC =
0.30; CT = 0.52 and TT = 0.18 in MDS. Allelic frequencies were C = 0.47 and T =
0.53 in CM and C = 0.56 and T = 0.44 in MDS. No deviation of HWE was observed,
and both DNMT 3B rs2424913 genotype (chi2 = 4.53; DF = 1; P = 0.03) and allelic
(chi2 = 4.90; DF = 1; P = 0.03) frequencies show significant differences between
MDS and CM. The presence of the mutant DNMT 3B T allele decreases 30% the risk of
bearing a DS child (OR = 0.69; 95% CI: 0.50-0.96; P = 0.03), and the risk is
diminished up to 45% in association with the homozygous genotype (OR = 0.54; 95%
CI: 0.31-0.96; P = 0.04). Our results suggest that women harboring the single
nucleotide polymorphism DNMT 3B rs2424913 have a decreased risk of a DS
pregnancy, and further studies are necessary to confirm this protective effect.
PMID- 29379365
TI - Evaluation of effect of high frequency electromagnetic field on growth and
antibiotic sensitivity of bacteria.
AB - This study was aimed to evaluate the impact of high frequency electromagnetic
fields (HF-EMF at 900 and 1800 MHz) on DNA, growth rate and antibiotic
susceptibility of S. aureus, S. epidermidis, and P. aeruginosa. In this study,
bacteria were exposed to 900 and 1800 MHz for 2 h and then inoculated to new
medium when their growth rate and antibiotic susceptibility were evaluated.
Results for the study of bacterial DNA unsuccessful to appearance any difference
exposed and non-exposed S. aureus and S. epidermidis. Exposure of S. epidermidis
and S. aureus to electromagnetic fields mostly produced no statistically
significant decrease in bacterial growth, except for S. aureus when exposure to
900 MHz at 12 h. Exposure of P. aeruginosa to electromagnetic fields at 900 MHz
however, lead to a significant reduction in growth rate, while 1800 MHz had
insignificant effect. With the exception of S. aureus, treated with amoxicillin
(30 ug) and exposed to electromagnetic fields, radiation treatment had no
significant effect on bacterial sensitivity to antibiotics.
PMID- 29379366
TI - Rapid plant regeneration, validation of genetic integrity by ISSR markers and
conservation of Reseda pentagyna an endemic plant growing in Saudi Arabia.
AB - Reseda pentagyna is the only endemic species among the seven species of the
genera Reseda found in Saudi Arabia. Probably no information is available on
regeneration by conventional method of regeneration through seeds or cuttings.
Therefore, alternative method of tissue culture was attempted to regenerate and
multiply the plant. High shoot regeneration (14.44 shoots/explant) was obtained
after four weeks, when shoot cuttings cultured on MS containing BA at 1.0 uM.
Other cytokinins e.g., Kn, 2iP and TDZ found to be less effective in bud
induction and shoot multiplication. Individual shoots were rooted on MS medium
supplemented with various auxins at 0.5-5.0 uM concentrations. The IBA (1.5 uM)
supplemented MS media induced maximum (83.3%) rooting. The plantlets were
acclimatized and hardened under greenhouse conditions in plastic pots containing
soil and farm yard manure with 95.0% success. The protocol developed would help
to multiply the plant as well as conserve them in natural habitat. This can also
be utilized to obtain active constituents for pharmaceutics and genetic
manipulations.
PMID- 29379367
TI - Biological activities of plant extracts from Ficus elastica and Selaginella
vogelli: An antimalarial, antitrypanosomal and cytotoxity evaluation.
AB - The cytotoxic, antiplasmodial, and antitrypanosomal activities of two medicinal
plants traditionally used in Cameroon were evaluated. Wood of Ficus elastica
Roxb. ex Hornem. aerial roots (Moraceae) and Selaginella vogelii Spring
(Selaginellaceae) leaves were collected from two different sites in Cameroon. In
vitro cell-growth inhibition activities were assessed on methanol extract of
plant materials against Plasmodium falciparum strain 3D7 and Trypanosoma brucei
brucei, as well as against HeLa human cervical carcinoma cells. Criteria for
activity were an IC50 value < 10 MUg/mL. The extract of S. vogelii did not
significantly reduce the viability of P. falciparum at a concentration of 25
MUg/mL but dramatically affected the trypanosome growth with an IC50 of 2.4
MUg/mL. In contrast, at the same concentration, the extract of F. elastica
exhibited plasmodiacidal activity (IC50 value of 9.5 MUg/mL) and trypanocidal
(IC50 value of 0.9 MUg/mL) activity. Both extracts presented low cytotoxic
effects on HeLa cancer cell line. These results indicate that the selected
medicinal plants could be further investigated for identifying compounds that may
be responsible for the observed activities and that may represent new leads in
parasitical drug discovery.
PMID- 29379368
TI - Evaluation of genetic diversity of Panicum turgidum Forssk from Saudi Arabia.
AB - The genetic diversity of 177 accessions of Panicum turgidum Forssk, representing
ten populations collected from four geographical regions in Saudi Arabia, was
analyzed using amplified fragment length polymorphism (AFLP) markers. A set of
four primer-pairs with two/three selective nucleotides scored 836 AFLP amplified
fragments (putative loci/genome landmarks), all of which were polymorphic.
Populations collected from the southern region of the country showed the highest
genetic diversity parameters, whereas those collected from the central regions
showed the lowest values. Analysis of molecular variance (AMOVA) revealed that
78% of the genetic variability was attributable to differences within
populations. Pairwise values for population differentiation and genetic structure
were statistically significant for all variances. The UPGMA dendrogram, validated
by principal coordinate analysis-grouped accessions, corresponded to the
geographical origin of the accessions. Mantel's test showed that there was a
significant correlation between the genetic and geographical distances (r = 0.35,
P < 0.04). In summary, the AFLP assay demonstrated the existence of substantial
genetic variation in P. turgidum. The relationship between the genetic diversity
and geographical source of P. turgidum populations of Saudi Arabia, as revealed
through this comprehensive study, will enable effective resource management and
restoration of new areas without compromising adaptation and genetic diversity.
PMID- 29379370
TI - Nests of Marsh harrier (Circus aeruginosus L.) as refuges of potentially
phytopathogenic and zoopathogenic fungi.
AB - Birds' nests may be refuges for various species of fungi including that which are
potentially phytopathogenic and zoopathogenic. Among the 2449 isolates of fungi
obtained from nests of Marsh harriers 96.8% belonged to filamentous fungi. In
total, 37 genera were identified from 63 fungi species. Within the mycobiotas of
the examined nests populations of fungi which are potentially pathogenic for
humans, homoiothermous animals and plants dominated. Among 63 species, 46 (72%)
were potentially pathogenic fungi of which 18 species were potentially
phytopathogenic and 32 species were pathogenic for homoiothermous animals. Inter
alia species of fungi were found in the Marsh harriers nests: Aspergillus
fumigatus, Aspergillus flavus, Scopulariopsis brevicaulis, Chrysosporium
keratinophilum and Fusarium poae, Fusarium sporotrichioides. In terms of numbers,
dominant in Marsh harrier nests were fungi pathogenic to birds, other
homoiothermous animals and humans. On that basis it was concluded that Marsh
harrier nests are both a source of fungal infections for that species and one of
the links in the epidemiological cycle of opportunistic fungi for humans.
PMID- 29379371
TI - Gender participation in sheep and goat farming in Najran, Southern Saudi Arabia.
AB - Sheep and goat farming is a major source of income in the rural areas of Al
Wadiah in Najran region of Southern Saudi Arabia. The study aims to identify the
factors and parameters that influence gender participation in sheep and goat
farming. Data were collected by interviewing 167 high school students, including
70 male and 97 female students, in Al-Wadiah, by using the simple random sampling
technique. Data were statistically analyzed to establish the relationship between
gender and participation in sheep and goat farming. The study revealed
significant differences between the two genders, for instance, male herders were
more experienced, received more benefits, showed greater interest in discussions
on topics related to sheep and goat farming, followed information from TV and
radio, and received more services offered by veterinary clinics, which proved
more beneficial for them. On the other hand, female herders received fewer
services, and the veterinary clinics proved less beneficial for them. However,
the correlation between the participation of both genders in sheep and goats
management was non-significant toward the statement "feeling ashamed of
participating in sheep and goat farming." Generally, sheep and goat rearing
proves more beneficial for male participants than female participants, owing to
their greater experience and interest in livestock farming. Moreover, they
receive more benefits from TV, radio and veterinary clinics to maintain better
health of their livestock. Both male and female herders contribute equally to
sheep and goat farming by limiting the time spent on their daily routine. This
study would enable policymakers and planners to develop more strategies and
extension education programs to overcome the identified barriers and improve the
livelihood of herders through their enhanced participation in remote areas.
PMID- 29379369
TI - Chitosan treatment for skin ulcers associated with diabetes.
AB - Infections, ulcerations, gangrene and, in severe cases, extremity amputation, are
common complications among diabetic subjects. Various biomaterials have been
utilized for the treatment of these lesions. Chitosan is an amino sugar with a
low risk of toxicity and immune response. In this study, we evaluated chitosan
topical gel and film treatments for subjects with diabetic ulcerations and wounds
associated with diabetes mellitus. In a pre-experimental design, we described the
result of chitosan gel and film treatment for wounds and skin ulcers among
patients with long-standing diabetes mellitus. We studied 8 diabetic patients
with wounds and skin ulcers (long duration and Wagner degree 1-2). Initially,
most lesions had some degree of infection, tissue damage and ulceration. At the
end of the treatment (topical chitosan) period, the infections were cured. All
patients experienced a significant improvement in the initial injury and
developed granulation tissue and a healthy skin cover. This report represents one
of the few published clinical experience regarding the chitosan for the treatment
of skin lesions among diabetic subjects. These results are relevant and promising
for the treatment of this disease.
PMID- 29379372
TI - Ovarian development and histological observations of threatened dwarf snakehead
fish, Channa gachua (Hamilton, 1822).
AB - Channa gachua were monthly sampled throughout a year and the histological
analysis of their ovaries was done to determine the changes occurring in ovarian
development. Based on histological examination of the ovaries, the oogenic
process of C. gachua undergoes distinct cyclic and seasonal morphological
changes. Five different developmental stages were identified under three major
categories: pre-spawning (immature, maturing, mature), spawning (ripe-running)
and post-spawning (spent). The peak spawning period of C. gachua was noticed
during December - February. The gonadosomatic index (GSI) and ova diameter ranged
from 0.79 to 3.61% and 543-1123 MUm respectively. The highest mean GSI (3.61 +/-
0.16) and oocyte diameter (1123 +/- 55 MUm) were observed in December indicating
that during this month the gonadal development reached maturity.
PMID- 29379373
TI - Antibacterial activity of selected medicinal plants of northwest Pakistan
traditionally used against mastitis in livestock.
AB - The present study aimed to investigate the efficacy of traditionally used anti
mastitis plants (Allium sativum, Bunium persicum, Oryza sativa and Triticum
aestivum) in northwest Pakistan against bacterial pathogens. Selected plants were
phytochemically screened for Alkaloids, Flavonoids, and Saponins and checked for
in vitro antibacterial activity at concentration of 50 mg/ml against S. aureus,
E. coli and K. pneumoniae by agar well diffusion method. Minimum inhibitory
concentration and minimum bactericidal concentration was determined against
multidrug resistant bacteria using tube dilution method. All extracts were found
to significantly inhibit (p < 0.01, p < 0.05) the activity against bacterial
strains examined. Among phytochemicals, alkaloids of all tested antimastitis
plants produced significantly higher inhibition zones against bacteria. The
minimum inhibitory concentration and minimum bactericidal concentration of
phytochemicals and crude methanolic extracts against tested bacterial strains
ranged between 12.5-50 mg/ml and 25-50 mg/ml, respectively. Medicinal plants
traditionally used against mastitis are therapeutically active against bacterial
pathogens. A. sativum and B. persicum were found to be potential candidate
species for the development of novel veterinary drugs with low cost and fewer
side effects.
PMID- 29379374
TI - Comparative analysis of conventional and biological treatment in healing of bone
disease.
AB - The healing of Bone tissue consists of a complex process. Hence, we designed our
study to evaluate chondrial diseases, which are as they have a very low healing
capacity. Seventy two elderly osteoarthritis (OA) and 54-paediatric juvenile
idiopathic arthritis (JIA) patients were included. The group was divided as 24 OA
patients and 18 JIA patients in each group. Group I received Hyualuronic acid and
glucocorticoides. Group II received platelet rich plasma and fibrin glue. Group
III received PRP, fibrin glue, and MSC. 40 control patients received only PRP
treatment. Out of 72 OA patients 35 (48.6%) male and 37 (51.4%) female with mean
age of 48 +/- 6.5 years. 64 (88.9%) Patients had pain and swelling. 52 (72.2%)
lacked flexibility. 42 (58.3%) had hypertrophy. 28 (38.9%) had less cartilage
thickness. 34 (47.2%) were in grade 3, grade 2 has 28 (38.9%) and grade 1 has 10
(13.9%) patients respectively. Among 54 JIA patients 28 (51.9%) male and 26
(48.1%) female patients with mean, age 4.6 +/- 3.8 years. 39 (72.2%) had pain and
swelling. 32 (59.3%) lacked flexibility. 29 (53.7%) children's had functional
disability. Group I patients showed 30% improvement with no statistical
significance (P < 0.21). Group II showed 45% improvement with statistical
significance (P < 0.01). In Group III 80%, improvement was observed with
statistical significance (P < 0.001). In 40 control patients, 60% improvement was
observed. In conclusion, use of these MSC, PRP, and PPP are safe and less cost
effective for treating OA and JIA.
PMID- 29379376
TI - Citrinin detection by intensified fluorescence signal of a FRET-based
immunosensor using magnetic/silica core-shell.
AB - The specific immune-reaction between the anti-citrinin antibody immobilized on
the surface of magnetic/silica core-shell (MSCS) and the citrinin-Rho123-BSA
conjugate brings the Rho123 fluorophore as an acceptor and the QDs as a donor in
close spatial proximity and causes FRET for occurring upon photo-excitation of
the QDs. The novelties of this study include: (1) immobilization of the MSCS; (2)
large amount of the immobilized QDs, and (3) immobilization of a large amount of
Rho123 on the BSA macromolecule. Cd/Te QDs were synthesized by the simultaneous
reduction of cadmium chloride and tellurium in the presence of sodium
borohydride. Magnetic nanoparticles were synthesized using FeSO4 and FeCl3. The
prepared magnetic nanoparticles shelled by silica using tetraethoxysilane in the
presence of ammonia. Transmission electron microscopy (TEM) analysis was used for
investigating shape and monodispersity of the nanoparticles. EDC/NHS was used as
a cross linking agent for immobilization of the QDs, conjugation of citrinin to
amino groups of BSA, labeling of BSA with Rho123 and also for immobilization of
the amino-functionalized MSCS on the immobilized QDs. Immobilization of the anti
citrinin antibody on the surface of the amino-functionalized MSCS was performed
by Schiff-base mechanism. By using these three effective strategies, sensitivity
of the designed nanobiosensor was incredibly enhanced as a very low limit of
detection (up to 0.1 pM). The feasibility of this technique was tested by the
detection of citrinin in the spiked human serum. Results showed that there was a
linear correlation between the decreased fluorescence intensity of the Rho123 and
increased fluorescence intensity of the QDs with increasing concentration of
citrinin in the spiked samples in the range of 1-6 pM. According to obtained
results, we conclude that this highly sensitive detection scheme is a easy, quick
and impressive method that can be used in optical-based nanosensors.
PMID- 29379375
TI - Susceptibility of mice strains to oxidative stress and neurotransmitter activity
induced by Plasmodium berghei.
AB - This study investigated the susceptibility of female C57Bl/6 and Swiss Albino
mice to oxidative stress and neurotransmitters activity induced by Plasmodium
berghei. On day 9 p.i. with P. berghei infected erythrocytes, the mice reduced in
weight. This weight loss was markedly higher in SW mice and reached about -14%.
Also, the infection was able to cause oxidative damage to the brain tissue.
Catalase activity as well as glutathione, malondialdehyde and nitric oxide levels
were different in the two mice strains. Moreover, the brain content of
neurotransmitters, epinephrine, norepinephrine, dopamine and serotonin in mice
brain was higher in SW mice than B6 mice. We concluded that, the strain of mice
is one factor that could alter the response of mice to P. berghei infection.
PMID- 29379377
TI - Metabolism of the spade-headed Amphisbaenian worm lizard, Diplometopon zarudnyi
(Nikolsky, 1907), in Saudi Arabia (Reptilia: Trogonophidae).
AB - The oxygen consumption rate [Formula: see text] and lactate production of the
Amphisbaenian worm lizard Diplometopon zarudnyi were measured at temperatures
ranging from 15 degrees C to 35 degrees C at 5 degrees C intervals. The
[Formula: see text] was significantly different between resting and active states
at any specified temperature, while the average value at the resting state
generally rose with increased temperature from 15 degrees C (0.05 ml O2/g/h) to
25 degrees C (0.111 ml O2/g/h). The aerobic respiration scopes at resting and
active states were also significantly different. The highest Q10 values (3.24 and
1.69) were obtained at 15 degrees C-20 degrees C and 30 degrees C-35 degrees
C during resting and active states, respectively, with these values being
significantly different. Lactate concentrations were significantly higher during
active states than when resting, and the anaerobic scope was found to increase
with increased temperature. There was a proportional increase in ATP molecules
(MUmoles/g/2 min) during aerobic or anaerobic respiration, as well as in total
metabolic scope, with increasing temperature, and the anaerobic scope showed
significantly higher values than the aerobic scope, confirming the importance of
anaerobic behavior for this species.
PMID- 29379378
TI - Histopathological studies of experimental Aeromonas hydrophila infection in blue
tilapia, Oreochromis aureus.
AB - Blue tilapia, Oreochromis aureus, was experimentally infected with Aeromonas
hydrophila, a bacterium that damages the gills, liver, and intestine, resulting
in histopathological changes in the infected organs. Our histopathological study
showed an aggregation of hemocytes with cell necrosis in gills; a massive
aggregation of hemocytes and pyknotic nuclei in the hepatopancreas; and a lower
rate of hemocyte aggregation in the digestive system of the infected fish.
PMID- 29379379
TI - Cardamom (Elettaria cardamomum) perinatal exposure effects on the development,
behavior and biochemical parameters in mice offspring.
AB - Cardamom is a strong antioxidant plant, so it is called the queen of spices. In
the present study, we explored the potentials of cardamom on developmental,
learning ability and biochemical parameters of mice offspring. Thirty pregnant
mice were allocated to three groups of ten animals in each. Groups Pi and SH
received pilsbury's Diet containing 10 and 20% of cardamom (w/w) respectively,
whereas Group I used as control. Cardomom was administered from the first day of
pregnancy and was continued until post-natal day 15 (PD 15) and thereafter the
mothers were switched to plain pilsbury's Diet. During the weaning period, three
pups in each litter were color marked from the others, and were subjected to
various tests (Physical assessment such body weight and eye opening and hair
appearance; the neuromaturation of reflexes like righting, rotating, and cliff
avoidance reflexes; learning ability and memory retention; estimation of
monoamines neurotransmitters like dopamine and serotonin, non-enzymatic oxidative
stress such as TBARS and GSH in forebrain at different ages of pups). The results
indicated that the body weight gain was declining significantly. Hair appearance
and eyes opening were delayed significantly. Righting, rotating, and cliff
avoidance reflexes were delayed in treated animals. Exposure to cardamom led to
enhance learning and memory retention as compared to control. Monoamines (DA, 5
HT) and GSH were elevated, whereas TBARS was inhibited significantly. In
conclusion, perinatal cardamom exposure enhanced learning and memory as compared
to control. Cardamom and its benefit compounds were transported via placenta
or/and milk during lactation. Cardamom needs more researches to investigate its
benefits on other kinds of behavior.
PMID- 29379381
TI - Malaysian Journal of Medical Sciences' Performance Status in 2016.
AB - This editorial aims to report on the performance of the Malaysian Journal of
Medical Sciences (MJMS) in the year 2016. The performance report is based on an
analysis of the auto-generated data compiled from the ScholarOne ManuscriptsTM.
It will specifically focus on the pattern of manuscript submission, geographical
distribution of contributors, manuscript submission by month and the acceptance
rejection rate of post-review manuscripts. It will also discuss the effects of
the decision to raise the article acceptance standards. The MJMS would also like
to share the news of its receipt of the 2016 Current Research in Malaysia (CREAM)
award. This honour was bestowed upon us by the Ministry of Higher Education
(MOHE) of Malaysia, on November 1, 2016.
PMID- 29379380
TI - THE IMPACT OF CONSTRUCTION AND GENTRIFICATION ON AN OUTDOOR TRANS SEX WORK
ENVIRONMENT: VIOLENCE, DISPLACEMENT AND POLICING.
AB - The objective of this study was to investigate how environmental and structural
changes to a trans outdoor work environment impacted sex workers in Vancouver,
Canada. The issue of changes to the work area arose during qualitative interviews
with 33 trans sex workers. In response, ethnographic walks that incorporated
photography were undertaken with trans sex workers. Changes to the work
environment were found to increase vulnerabilities to client violence, displace
trans sex workers, and affect policing practices. Within a criminalized context,
construction and gentrification enhanced vulnerabilities to violence and
harassment from police and residents.
PMID- 29379382
TI - Indicators for Medical Mistrust in Healthcare-A Review and Standpoint from
Southeast Asia.
AB - The relationship based on trust is exceptionally important in healthcare, where
life or death and quality of health are major concerns. Relational crack
jeopardises the provision of quality healthcare when trust is taken for granted.
Trust is believed to be the vital key to minimise medical negligence, lawsuits
and patient complaints towards healthcare providers while acting as an empowering
agent to significant clinical outcomes. Trust is indispensable to healthcare.
However, to identify its deterioration is not a simple feature. Moreover, lack of
research and public dissemination complicate this topic further. Hence,
understanding medical mistrust issues and their associated indicators is urgently
needed to ensure the top-notch provision of healthcare. We employed narrative
review methodology together with key terms matching for the selected electronic
databases for this article. Our review concluded that an "Increasing number of
medical litigations and complaints towards physicians", "Physicians' low mastery
of interpersonal communication skill" and "Patients' demand, practice, and non
disclosure of alternative treatments" are the possible indicators to predict
mistrust. Efforts to restore and strengthen trust can only be made when these
indicators are well understood firsthand.
PMID- 29379383
TI - Comparison of Single-Shot Intrathecal Morphine Injection and Continuous Epidural
Bupivacaine for Post-Operative Analgaesia after Elective Abdominal Hysterectomy.
AB - Background: Abdominal hysterectomy (AH) is painful. The aim of this study was to
compare intrathecal morphine (ITM) and epidural bupivacaine (EB) for their
analgaesia effectiveness after this surgery. Methods: Thirty-two patients
undergoing elective AH were randomised into Group ITM (ITM 0.2 mg + 2.5 mL 0.5%
bupivacaine) (n = 16) and Group EB (0.25% bupivacaine bolus + continuous infusion
of 0.1% bupivacaine-fentanyl 2 MUg/mL) (n = 16).The procedure was performed
before induction, and all patients subsequently received standard general
anaesthesia. Both groups were provided patient-controlled analgaesia morphine
(PCAM) as a backup. Visual analogue scale (VAS) scores, total morphine
consumption, hospital stay duration, early mobilisation time and first PCAM
demand time were recorded. Results: The median VAS score was lower for ITM than
for EB after the 1st hour [1.0 (IqR 1.0) versus 3.0 (IqR 3.0), P < 0.001], 8th
hour [1.0 (IqR 1.0) versus 2.0 (IqR 1.0), P = 0.018] and 16th hour [1.0 (IqR1.0)
versus (1.0 (IqR 1.0), P = 0.006]. The mean VAS score at the 4th hour was also
lower for ITM [1.8 (SD 1.2) versus 2.9 (SD 1.4), P = 0.027]. Total morphine
consumption [11.3 (SD 6.6) versus 16.5 (SD 4.8) mg, P = 0.016] and early
mobilisation time [2.1 (SD 0.3) versus 2.6 (SD 0.9) days, P = 0.025] were also
less for ITM. No significant differences were noted for other assessments.
Conclusions: The VAS score was better for ITM than for EB at earlier hours after
surgery. However, in terms of acceptable analgaesia (VAS <= 3), both techniques
were comparable over 24 hours.
PMID- 29379384
TI - Construction and Cloning of Reporter-Tagged Replicon cDNA for an In Vitro
Replication Study of Murine Norovirus-1 (MNV-1).
AB - Background: A norovirus maintains its viability, infectivity and virulence by its
ability to replicate. However, the biological mechanisms of the process remain to
be explored. In this work, the NanoLucTM Luciferase gene was used to develop a
reporter-tagged replicon system to study norovirus replication. Methods: The
NanoLucTM Luciferase reporter protein was engineered to be expressed as a fusion
protein for MNV-1 minor capsid protein, VP2. The foot-and-mouth disease virus 2A
(FMDV2A) sequence was inserted between the 3'end of the reporter gene and the VP2
start sequence to allow co-translational 'cleavage' of fusion proteins during
intracellular transcript expression. Amplification of the fusion gene was
performed using a series of standard and overlapping polymerase chain reactions.
The resulting amplicon was then cloned into three readily available backbones of
MNV-1 cDNA clones. Results: Restriction enzyme analysis indicated that the
NanoLucTM Luciferase gene was successfully inserted into the parental MNV-1 cDNA
clone. The insertion was further confirmed by using DNA sequencing. Conclusion:
NanoLucTM Luciferase-tagged MNV-1 cDNA clones were successfully engineered. Such
clones can be exploited to develop robust experimental assays for in vitro
assessments of viral RNA replication.
PMID- 29379385
TI - Protection by Ethanolic Extract from Ulva lactuca L. against Acute Myocardial
Infarction: Antioxidant and Antiapoptotic Activities.
AB - Background: Reactive oxygen species (ROS) play a major role in myocardial damage
during acute myocardial infarction (AMI). This study aimed to determine the
antioxidant and antiapoptotic activities of an ethanolic extract from Ulva
lactuca L. (EEUL) against AMI. Methods: Thirty-six male Wistar rats were divided
into six groups: one control group and five treatment groups. Treatment group II
was given 85 mg/kg body weight (BW) of isoproterenol (ISO). Group III, IV and V
were given ISO and EEUL at 250, 500 and 750 mg/kg BW, respectively. Group VI were
given 10 mg/kg BW of ISO and melatonin. EEUL and melatonin were orally
administered for 28 days. ISO was injected subcutaneously on day 29 and 30 to
chemically induce AMI. On day 31, blood was collected for antioxidant assay and
heart tissues were collected for histological examination. Results: The activity
of catalase (CAT), an endogenous antioxidant, in the EEUL-treatment groups was
significantly increased compared to the ISO-treatment group (P < 0.001). The EEUL
treatment groups showed significantly decreased expression of caspase-3 (P <
0.001) and better myocardial tissue morphology. Conclusion: EEUL possibly
protects against AMI because of its antioxidant and antiapoptotic properties.
PMID- 29379386
TI - Low-dose Nicotine Exposure Induced the Oxidative Damage of Reproductive Organs
and Altered the Sperm Characteristics of Adolescent Male Rats.
AB - Background: Nicotine is a major toxic and hazardous component of cigarette smoke,
and it has been widely used in nicotine replacement therapy (NRT). This study was
aimed to investigate the effects of chronic low-dose nicotine on sperm
characteristics and reproductive organ integrity in adolescent male Sprague
Dawley rats. Methods: Twelve rats were equally divided into two groups. Group I
received normal saline, and group II received 0.6 mg/kg body weight nicotine
intraperitoneally for 28 consecutive days. At the end of the experimental period,
sperm was collected for sperm characteristic evaluation, and the testes and
prostate were isolated for biochemical and morphological analysis. The effects of
nicotine on the body and reproductive organ weights of the animals were
evaluated. Results: Chronic nicotine treatment significantly (P < 0.05) altered
the sperm count, motility, viability, and morphology, and remarkably increased
the malondialdehyde (P < 0.001) and advanced oxidation protein product (P < 0.05)
levels in the testes and prostate of nicotine-treated group compared to control
group. Moreover, nicotine caused a significant decrease (P < 0.05) in the
superoxide dismutase activity of the testes. No significant differences were
observed in the reduced glutathione level in both of the testes and prostate of
nicotine group compared with control group. Nicotine also induced
histopathological alteration in the testes. Conclusion: A low-dose nicotine
exposure at 0.6 mg/kg caused detrimental effects on sperm characteristics and
induced oxidative stress in the testes and prostate.
PMID- 29379387
TI - Factors Affecting Visual Field Outcome Post-Surgery in Sellar Region Tumors:
Retrospective Study.
AB - Background: Despite the broad category of differentials for sellar region, most
of them present with similar clinical signs and symptoms. Headache and visual
disturbance are among the frequently seen as presenting symptom. Visual field
(VF) assessment is one of the crucial component of neuroophtalmologic assessment
and mean deviation (MD) value from automated perimetry allows quantification of
the visual field defect. We formulated a study to look into the factors that
affect the visual field outcome after surgery. Methods: All patients with sellar
region tumor who has underwent surgery in Queen Elizabeth Hospital from July 2010
to July 2016 were retrospectively analysed through hospital notes. VF assessment
via Humphrey visual assessment for these patient pre and post-surgery were
reviewed for MD value. Results: Eighty four patients were recruited and out of
them, 151 eyes were taken into analysis after excluding eyes with missing data.
Mean age of patients were 45.4 years with 70.2% of them were male. Visual
disturbance is the commonest presenting symptom with mean duration of symptom
prior to surgery is 9.7 months. Majority of them were pituitary adenomas (75%)
followed by sellar meningioma (19%), craniopharyngioma (4.8%), and rathke cleft
cyst (1.2%). 70.9% of patients showed improvement in VF based on MD outcome. Mean
MD for pre surgery and post-surgery were -14.0 dB and -12.4 dB, respectively.
Univariate analysis reveals younger age, female sex, shorter duration of symptom,
pituitary adenoma, transsphenoidal approach, and transcranial approach favours
improvement in VF. Multivariate analysis shows only shorter symptom duration,
transphenoidal approach, and transcranial approach are significant for favourable
VF outcome when other factors adjusted. Conclusion: Symptom duration and surgical
approach were independent factors that affects the visual field after surgery in
patients with sellar region tumors.
PMID- 29379388
TI - Autologous Cranioplasty Post-Operative Surgical Site Infection: Does It Matter if
the Bone Flaps were Stored and Handled Differently?
PMID- 29379389
TI - Prevalence and Factors Associated with Tuberculosis Treatment Success among
TB/HIV Co-Infection in North-East Malaysia.
AB - Background: One of the six strategies developed by WHO, in order to stop
Tuberculosis (TB) is addressing TB/HIV high-risk groups. This study aimed to
determine the prevalence of successful TB treatment and factors associated with
TB treatment success among TB/HIV co-infection patients in North-East Malaysia.
Methods: A cross-sectional study was carried out in the a-year period from 2003
to 2012 by reviewing TB/HIV records in all hospitals and health clinics. The
outcome of interest was treatment success as defined by Ministry of Health (MOH)
when the patients was cured or completed TB treatment. Results: Out of 1510 total
TB/HIV co-infection cases, 27.9% (95% CI: 25.2, 30.6) of the patients were having
treatment success. A majority of TB/HIV co-infection cases were male (91.1%).
Fifty-eight percent the patients were drug addicts and 6% were having positive
tuberculin tests. The multiple logistic regression revealed that male (OR: 0.39,
95% CI: 0.22, 0.71) and positive tuberculin test result (OR: 2.61, 95% CI: 1.63,
4.19) were significantly associated with the treatment success of TB/HIV co
infection patients. Other factors such as age, comorbid, sputum smear and x-ray
findings were not significantly factors in this study. Conclusion: Female
patients and those with negative tuberculin test should be emphasised for
successful tuberculosis treatment.
PMID- 29379390
TI - Effects of Lifestyle Intervention towards Obesity and Blood Pressure among
Housewives in Klang Valley: A Quasi-Experimental Study.
AB - Background: The obesity rate in Malaysia is the highest in Asia. Half its
population is obese or overweight. The present study aims to determine the
effects of lifestyle intervention on weight loss and blood pressure among
Malaysian overweight and obese housewives in Klang Valley. Methods: A quasi
experimental study with 328 obese and overweight low socio- economic status
housewives aged 18-59 years old who met the screening criteria participated in
the study. They were recruited into an intervention group (N = 169) or control
group (N = 159). The intervention group received a lifestyle intervention
consisting of a diet, physical activity and self-monitoring behavior package. The
control group (delayed intervention group) received a women's health seminar
package. Both groups were followed up for six months. Weight, body mass index
(BMI), and blood pressure were evaluated both pre- and post-intervention.
Results: A total of 124 participants from the intervention group and 93
participants from the control group completed the study. Mean weight loss was
1.13 +/- 2.70 kg (P < 0.05) in the intervention group and 0.97 +/- 2.60 kg (P <
0.05) in the control group. Systolic blood pressure (SBP) reductions in the
intervention group were 5.84 +/- 18.10 mmHg (P < 0.05). The control group showed
reduction in SBP 6.04 +/- 14.52 mmHg (P < 0.05). Both group had non-significant
DBP reduction. Multivariate analysis via General Linear Model Repeated Measures
observed no significant differences in terms of parameter changes with time in
both groups for all parameters. Conclusions: The results indicate that the
lifestyle interventions in this study resulted in modest weight loss and thus
decreased BMI and blood pressure (SBP) within six months of intervention.
PMID- 29379391
TI - Evaluating the Concept of Choice in Healthcare.
AB - Choice is what we all want, as most would say. There is a growing cognisance that
patients can and should play an important role in deciding their own care, in
defining optimal care, and in improving healthcare delivery. Popular concepts
such as patient-centred care, patient empowerment, and patients as partners,
shared decision making, and informed choice illustrate the emancipation of
patient. The paper describes that choice is not necessarily a good thing in
health care; however, that does not rebut the significance of choice. In order to
support the overall argument, the paper focuses on why patient choice is
important, and in each discussion, this is disputed with counter-arguments to
demonstrate that in fact, to an extent, choice is not necessarily a good thing in
healthcare.
PMID- 29379392
TI - Epilepsy Surgery in Hospital Universiti Sains Malaysia: Our Experiences since
2004.
AB - Epilepsy surgery has been performed by a few centres in Malaysia, including
Hospital Universiti Sains Malaysia (HUSM). To date, a total of 15 patients have
undergone epilepsy surgery in HUSM. The epilepsy surgery included anterior
temporal lobectomy (ATL) with amygdalohippocampectomy (AH) and Vagal nerve
stimulation (VNS). The surgical outcomes of the patients were assessed using the
International League Against Epilepsy (ILAE) outcome scale. The ILAE scores for
patients who underwent ATL with AH were comparatively better than those who
underwent VNS. One of the patient who underwent ATL with AH and frontal
lesionectomy was found to have psychosis during follow up. Epilepsy surgery has
proven to be an important treatment for medically resistant epilepsy. Thus it is
important to raise public awareness regarding epilepsy and its treatment.
PMID- 29379393
TI - A Rare Presentation of Tubercular Meningitis as Persistent Neutrophilic
Meningitis.
AB - Persistent neutrophilic meningitis is an atypical form of chronic meningitis
defined as clinical meningitis with a cerebrospinal fluid (CSF) analysis
suggestive of neutrophilic pleocytosis that persists for more than one week
despite giving empirical antimicrobial therapy. The predominant presentation of
tubercular meningitis is with CSF lymphocytic pleocytosis and even if early phase
of the disease presents with neutrophilic pleocytosis, no persistence is usually
observed for more than one week. We hereby report the case of an immunocompetent
man aged 25 years with tubercular meningitis manifesting as persistent
neutrophilic meningitis which is very rare as an entity in tubercular meningitis
(TBM). Patient was started on anti-tubercular therapy based on the granulomas in
magnetic resonance imaging (MRI) of the brain after which there was a reduction
in total cell count in CSF but persisting neutrophilic predominance. Patient
improved clinically and was given a full course of anti-tubercular treatment
(ATT) thereafter.
PMID- 29379394
TI - Embolisation of External Jugular Vein Aneurysm: A Case Report.
AB - Introduction: Venous aneurysms are not as common as their arterial counterpart.
The choice of management is debatable. Case Report: We present the case of a
teenage boy with left external jugular vein aneurysm treated by endovascular
technique. Embolisation was done using pushable microcoils with access via the
femoral vein and direct puncture. Conclusion: This form of treatment provides a
less-invasive option with a more concrete evaluation of the venous abnormality
and its drainage during venous aneurysm occlusion.
PMID- 29379395
TI - The Efficacy of Intra-Operative Ultrasound for Tumour Resection Compared to Post
Operative MRI Brain.
PMID- 29379396
TI - What goes up must come down: homeostatic synaptic plasticity strategies in
neurological disease.
AB - Brain activity levels are tightly regulated to minimize imbalances in activity
state. Deviations from the normal range of activity are deleterious and often
associated with neurological disorders. To maintain optimal levels of activity,
regulatory mechanisms termed homeostatic synaptic plasticity establish desired
'set points' for neural activity, monitor the network for deviations from the set
point and initiate compensatory responses to return activity to the appropriate
level that permits physiological function [1,2]. We speculate that impaired
homeostatic control may contribute to the etiology of various neurological
disorders including epilepsy and Alzheimer's disease, two disorders that exhibit
hyperexcitability as a key feature during pathogenesis. Here, we will focus on
recent progress in developing homeostatic regulation of neural activity as a
therapeutic tool.
PMID- 29379397
TI - Comorbid autism spectrum disorder and anxiety disorders: a brief review.
AB - Appearing in 40% of the cases of autism spectrum disorder (ASD), comorbid anxiety
presents unique challenges for practitioners by amplifying problem behaviors such
as social skills deficits, resistance to change and repetitive behaviors.
Furthermore, comorbid ASD/anxiety strains familial relationships and increases
parental stress. Research indicates that the neurobiological interactions between
anxiety and ASD require comprehensive assessment approaches, modified cognitive
behavioral therapy and carefully managed pharmacological interventions. Meta
analyses indicate that cognitive behavioral therapy with exposure is an effective
treatment option when adequately accounting for social, familial and cognitive
variables. The purpose of this focused review is to update readers on the latest
research advances in comorbid ASD and anxiety, including prevalence, assessment,
psychosocial and pharmacological treatment.
PMID- 29379399
TI - Sean C. Glasgow, MD, FACS, FASCRS.
PMID- 29379398
TI - Radiotherapy setup displacements in breast cancer patients: 3D surface imaging
experience.
AB - Aim: In this study, we intend to compare two different setup procedures for
female breast cancer patients. Background: Imaging in radiotherapy provides a
precise localization of the tumour, increasing the accuracy of the treatment
delivery in breast cancer. Materials and methods: Twenty breast cancer patients
who underwent whole breast radiotherapy (WBRT) were selected for this study.
Patients were divided into two groups of ten. Group one (G1) was positioned by
tattoos and then the patient positioning was adjusted with the aid of AlignRT
(Vision RT, London, UK). In group two (G2), patients were positioned only by
tattoos. For both groups, the first 15 fractions were analyzed, a daily
kilovoltage (kV) cone beam computed tomography (CBCT) image was made and then the
rotational and translational displacements and, posteriorly, the systematic
(Sigma) and random (sigma) errors were analyzed. Results: The comparison of CBCT
displacements for the two groups showed a statistically significant difference in
the translational left-right (LR) direction (rho = 0.03), considering that the
procedure with AlignRT system has smaller lateral displacements. The results of
systematic (Sigma) and random (sigma) errors showed that for translational
displacements the group positioned only by tattoos (G2) demonstrated higher
values of errors when compared with the group positioned with the aid of AlignRT
(G1). Conclusions: AlignRT could help the positioning of breast cancer patients;
however, it should be used with another imaging method.
PMID- 29379400
TI - Colorectal Trauma.
PMID- 29379401
TI - Historical Perspectives on Colorectal Trauma Management.
AB - The authors discuss the history and evolution of management of traumatic wounds
to the colon and rectum, summarizing early management parallel with the history
of armed conflict followed by the increase in research and management interest by
civilian centers in the post-Vietnam era. They explore the strong opinions of the
early thought-leaders such as DeBakey and Ogilvie, detailing factors that may
have impacted their views. The current literature on optimal management of both
colon and rectal trauma is reviewed, including the contentious debate over which
patients may benefit from diversion. Current organ injury staging and clinical
practice guidelines are also reviewed, as well as lessons learned by the U.S.
military in recent conflicts in Iraq and Afghanistan. Understanding of the
evolution of colon and rectal trauma management, as well as the current
literature, will help surgeons in their decision-making and management of these
challenging injuries.
PMID- 29379402
TI - Colon Trauma: Evidence-Based Practices.
AB - Colon injury is not uncommon and occurs in about a half of patients with
penetrating hollow viscus injuries. Despite major advances in the operative
management of penetrating colon wounds, there remains discussion regarding the
appropriate treatment of destructive colon injuries, with a significant amount of
scientific evidence supporting segmental resection with primary anastomosis in
most patients without comorbidities or large transfusion requirement. Although
literature is sparse concerning the management of blunt colon injuries, some
studies have shown operative decision based on an algorithm originally defined
for penetrating wounds should be considered in blunt colon injuries. The optimal
management of colonic injuries in patients requiring damage control surgery (DCS)
also remains controversial. Studies have recently reported that there is no
increased risk compared with patients treated without DCS if fascial closure is
completed on the first reoperation, or that a management algorithm for
penetrating colon wounds is probably efficacious for colon injuries in the
setting of DCS as well.
PMID- 29379403
TI - Rectal Trauma: Evidence-Based Practices.
AB - The management of rectal trauma has often been lumped in with colon trauma when,
in fact, it is a unique entity. The anatomic nature of the rectum (with its intra
and extraperitoneal segments) lends itself to unique circumstances when it comes
to management and treatment. From the four Ds (debridement, drainage, diversion,
and distal irrigation), the management of rectal trauma has made some strides in
light of the experiences coming out of the recent conflicts overseas as well as
some rethinking of dogma. This article will serve to review the anatomy and types
of injuries associated with rectal trauma. A treatment algorithm will also be
presented based on our current literature review. We will also address
controversial points and attempt to give our opinion in an effort to provide an
update on an age-old problem.
PMID- 29379404
TI - Anal and Perineal Injuries.
AB - With increased use of explosive devices in warfare, anal trauma is often seen
coupled with more complex pelviperineal injury. While the associated mortality is
high, casualties that survive are often left with disabling fecal incontinence
from damage to the anosphincteric complex. After resolution of the acute insult,
the initial evaluation mandates a thorough physical exam, including endoscopic
evaluation with rigid proctoscopy and flexible sigmoidoscopy, as well as
adjunctive testing, specifically anal manometry and endoanal ultrasound. First
line therapy favors bulking agents and antidiarrheals, in conjunction with
biofeedback, due to a minimal risk profile. Surgical options range from direct
sphincter repairs to complex anosphincteric reconstruction with widely variable
results. Most recently, burgeoning therapies in the treatment of fecal
incontinence, including sacral nerve stimulation and magnetic anal sphincters,
offer excellent alternatives with promising long-term outcomes. In summation, the
goal of all interventions is the re-establishment of bowel continence, but, in
its absence, permanent fecal diversion for devastating fecal incontinence is a
reasonable option with excellent patient satisfaction scores.
PMID- 29379405
TI - The Evolution of Damage Control in Concept and Practice.
AB - Damage control surgery (DCS) began as an adjunct approach to hemorrhage control,
seeking to facilitate the body's innate clotting ability when direct repair or
ligation was impossible, but it has since become a valuable instrument for a
broader collection of critically ill surgical patients in whom metabolic
dysfunction is the more immediate threat to life than imminent exsanguination.
Modern damage control is a strategy that combines the principles of DCS with
those of damage control resuscitation. When used correctly, damage control may
improve survival in previously unsalvageable patients; when used incorrectly, it
can subject patients to imprudent risk and contribute to morbidity. This review
discusses the evolution of damage control in both concept and practice,
summarizing available literature and experience to guide patient selection,
medical decision-making, and strategy implementation throughout the preoperative,
intraoperative, and early postoperative periods.
PMID- 29379406
TI - Management of Destructive Colon Injuries after Damage Control Surgery.
AB - After the World War II, fecal diversion became the standard of care for colon
injuries, although medical, logistic, and technical advancements have challenged
this approach. Damage control surgery serves to temporize immediately life
threatening conditions, and definitive management of destructive colon injuries
is delayed until after appropriate resuscitation. The bowel can be left in
discontinuity for up to 3 days before edema ensues, but the optimal repair window
remains within 12 to 48 hours. Delayed anastomosis performed at the take-back
operation or stoma formation has been reported with variable results. Studies
have revealed good outcomes in those undergoing anastomosis after damage control
surgery; however, they point to a subgroup of trauma patients considered to be
"high risk" that may benefit from fecal diversion. Risk factors influencing
morbidity and mortality rates include hypotension, massive transfusion, the
degree of intra-abdominal contamination, associated organ injuries, shock, left
sided colon injury, and multiple comorbid conditions. Patients who are not
suitable for anastomosis by 36 hours after damage control may be best managed
with a diverting stoma. Failures are more likely related to ongoing instability,
and the management strategy of colorectal injury should be based mainly on the
patient's overall condition.
PMID- 29379407
TI - Colonoscopic Perforations.
AB - Colonoscopy is the gold standard for colon cancer screening. It has led to a
decrease in the incidence of colorectal cancer mortality. Colon perforation is a
feared complication of this procedure with high morbidity and substantial
mortality. Due to the high volume of colonoscopies performed, the absolute number
of colonoscopic perforations is relatively high. It leads to a substantial cost
to the patient and the health system. Understanding the mechanisms and the risk
factors may help in preventing perforation. Traditionally, a laparotomy with
creation of a stoma was used to address this complication. However, minimally
invasive techniques such as laparoscopy and endoluminal repairs are being used
more commonly now. More surgeons are favoring primary anastomosis (with or
without a diverting loop ileostomy) than a Hartmann procedure.
PMID- 29379408
TI - The Application of Functional Imaging in the Diagnosis of Tumors.
PMID- 29379409
TI - Parkinson's Disease Skin Fibroblasts Display Signature Alterations in Growth,
Redox Homeostasis, Mitochondrial Function, and Autophagy.
AB - The discovery of biomarkers for Parkinson's disease (PD) is challenging due to
the heterogeneous nature of this disorder, and a poor correlation between the
underlying pathology and the clinically expressed phenotype. An ideal biomarker
would inform on PD-relevant pathological changes via an easily assayed biological
characteristic, which reliably tracks clinical symptoms. Human dermal (skin)
fibroblasts are accessible peripheral cells that constitute a patient-specific
system, which potentially recapitulates the PD chronological and epigenetic aging
history. Here, we compared primary skin fibroblasts obtained from individuals
diagnosed with late-onset sporadic PD, and healthy age-matched controls. These
fibroblasts were studied from fundamental viewpoints of growth and morphology, as
well as redox, mitochondrial, and autophagic function. It was observed that
fibroblasts from PD subjects had higher growth rates, and appeared distinctly
different in terms of morphology and spatial organization in culture, compared to
control cells. It was also found that the PD fibroblasts exhibited significantly
compromised mitochondrial structure and function when assessed via morphological
and oxidative phosphorylation assays. Additionally, a striking increase in
baseline macroautophagy levels was seen in cells from PD subjects. Exposure of
the skin fibroblasts to physiologically relevant stress, specifically ultraviolet
irradiation (UVA), further exaggerated the autophagic dysfunction in the PD
cells. Moreover, the PD fibroblasts accumulated higher levels of reactive oxygen
species (ROS) coupled with lower cell viability upon UVA treatment. In essence,
these studies highlight primary skin fibroblasts as a patient-relevant model that
captures fundamental PD molecular mechanisms, and supports their potential
utility to develop diagnostic and prognostic biomarkers for the disease.
PMID- 29379410
TI - No Effects of Stimulating the Left Ventrolateral Prefrontal Cortex with tDCS on
Verbal Working Memory Updating.
AB - The effects of transcranial direct current stimulation (tDCS) on dorsolateral
prefrontal cortex functions, such as working memory (WM), have been examined in a
number of studies. However, much less is known about the behavioral effects of
tDCS over other important WM-related brain regions, such as the ventrolateral
prefrontal cortex (VLPFC). In a counterbalanced within-subjects design with 33
young healthy participants, we examined whether online and offline single-session
tDCS over VLPFC affects WM updating performance as measured by a digit 3-back
task. We compared three conditions: anodal, cathodal and sham. We observed no
significant tDCS effects on participants' accuracy or reaction times during or
after the stimulation. Neither did we find any differences between anodal and
cathodal stimulation. Largely similar results were obtained when comparing
subgroups of high- and low-performing participants. Possible reasons for the lack
of effects, including individual differences in responsiveness to tDCS, features
of montage, task and sample characteristics, and the role of VLPFC in WM, are
discussed.
PMID- 29379411
TI - Stable Sparse Classifiers Identify qEEG Signatures that Predict Learning
Disabilities (NOS) Severity.
AB - In this paper, we present a novel methodology to solve the classification
problem, based on sparse (data-driven) regressions, combined with techniques for
ensuring stability, especially useful for high-dimensional datasets and small
samples number. The sensitivity and specificity of the classifiers are assessed
by a stable ROC procedure, which uses a non-parametric algorithm for estimating
the area under the ROC curve. This method allows assessing the performance of the
classification by the ROC technique, when more than two groups are involved in
the classification problem, i.e., when the gold standard is not binary. We apply
this methodology to the EEG spectral signatures to find biomarkers that allow
discriminating between (and predicting pertinence to) different subgroups of
children diagnosed as Not Otherwise Specified Learning Disabilities (LD-NOS)
disorder. Children with LD-NOS have notable learning difficulties, which affect
education but are not able to be put into some specific category as reading
(Dyslexia), Mathematics (Dyscalculia), or Writing (Dysgraphia). By using the EEG
spectra, we aim to identify EEG patterns that may be related to specific learning
disabilities in an individual case. This could be useful to develop subject-based
methods of therapy, based on information provided by the EEG. Here we study 85 LD
NOS children, divided in three subgroups previously selected by a clustering
technique over the scores of cognitive tests. The classification equation
produced stable marginal areas under the ROC of 0.71 for discrimination between
Group 1 vs. Group 2; 0.91 for Group 1 vs. Group 3; and 0.75 for Group 2 vs.
Group1. A discussion of the EEG characteristics of each group related to the
cognitive scores is also presented.
PMID- 29379412
TI - Functional Characterization and Signaling Systems of Corazonin and Red Pigment
Concentrating Hormone in the Green Shore Crab, Carcinus maenas.
AB - Neuropeptides play a central role as neurotransmitters, neuromodulators and
hormones in orchestrating arthropod physiology. The post-genomic surge in
identified neuropeptides and their putative receptors has not been matched by
functional characterization of ligand-receptor pairs. Indeed, until very recently
no G protein-coupled receptors (GPCRs) had been functionally defined in any
crustacean. Here we explore the structurally-related, functionally-diverse
gonadotropin-releasing hormone paralogs, corazonin (CRZ) and red-pigment
concentrating hormone (RPCH) and their G-protein coupled receptors (GPCRs) in the
crab, Carcinus maenas. Using aequorin luminescence to measure in vitro Ca2+
mobilization we demonstrated receptor-ligand pairings of CRZ and RPCH. CRZR
activated cell signaling in a dose-dependent manner (EC50 0.75 nM) and
comparative studies with insect CRZ peptides suggest that the C-terminus of this
peptide is important in receptor-ligand interaction. RPCH interacted with RPCHR
with extremely high sensitivity (EC50 20 pM). Neither receptor bound GnRH, nor
the AKH/CRZ-related peptide. Transcript distributions of both receptors indicate
that CRZR expression was, unexpectedly, restricted to the Y-organs (YO).
Application of CRZ peptide to YO had no effect on ecdysteroid biosynthesis,
excepting a modest stimulation in early post-molt. CRZ had no effect on heart
activity, blood glucose levels, lipid mobilization or pigment distribution in
chromatophores, a scenario that reflected the distribution of its mRNA. Apart
from the well-known activity of RPCH as a chromatophorotropin, it also indirectly
elicited hyperglycemia (which was eyestalk-dependent). RPCHR mRNA was also
expressed in the ovary, indicating possible roles in reproduction. The anatomy of
CRZ and RPCH neurons in the nervous system is described in detail by
immunohistochemistry and in situ hybridization. Each peptide has extensive but
non-overlapping distribution in the CNS, and neuroanatomy suggests that both are
possibly released from the post-commissural organs. This study is one of the
first to deorphanize a GPCR in a crustacean and to provide evidence for hitherto
unknown and diverse functions of these evolutionarily-related neuropeptides.
PMID- 29379413
TI - Regulation of Adult CNS Axonal Regeneration by the Post-transcriptional Regulator
Cpeb1.
AB - Adult mammalian central nervous system (CNS) neurons are unable to regenerate
following axonal injury, leading to permanent functional impairments. Yet, the
reasons underlying this regeneration failure are not fully understood. Here, we
studied the transcriptome and translatome shortly after spinal cord injury.
Profiling of the total and ribosome-bound RNA in injured and naive spinal cords
identified a substantial post-transcriptional regulation of gene expression. In
particular, transcripts associated with nervous system development were down
regulated in the total RNA fraction while remaining stably loaded onto ribosomes.
Interestingly, motif association analysis of post-transcriptionally regulated
transcripts identified the cytoplasmic polyadenylation element (CPE) as enriched
in a subset of these transcripts that was more resistant to injury-induced
reduction at the transcriptome level. Modulation of these transcripts by
overexpression of the CPE binding protein, Cpeb1, in mouse and Drosophila CNS
neurons promoted axonal regeneration following injury. Our study uncovered a
global evolutionarily conserved post-transcriptional mechanism enhancing
regeneration of injured CNS axons.
PMID- 29379414
TI - Brain Lateralization in Mice Is Associated with Zinc Signaling and Altered in
Prenatal Zinc Deficient Mice That Display Features of Autism Spectrum Disorder.
AB - A number of studies have reported changes in the hemispheric dominance in autism
spectrum disorder (ASD) patients on functional, biochemical, and morphological
level. Since asymmetry of the brain is also found in many vertebrates, we
analyzed whether prenatal zinc deficient (PZD) mice, a mouse model with ASD like
behavior, show alterations regarding brain lateralization on molecular and
behavioral level. Our results show that hemisphere-specific expression of marker
genes is abolished in PZD mice on mRNA and protein level. Using magnetic
resonance imaging, we found an increased striatal volume in PZD mice with no
change in total brain volume. Moreover, behavioral patterns associated with
striatal lateralization are altered and the lateralized expression of dopamine
receptor 1 (DR1) in the striatum of PZD mice was changed. We conclude that zinc
signaling during brain development has a critical role in the establishment of
brain lateralization in mice.
PMID- 29379415
TI - Recapitulating X-Linked Juvenile Retinoschisis in Mouse Model by Knock-In Patient
Specific Novel Mutation.
AB - X-linked juvenile retinoschisis (XLRS) is a retinal disease caused by mutations
in the gene encoding retinoschisin (RS1), which leads to a significant proportion
of visual impairment and blindness. To develop personalized genome editing based
gene therapy, knock-in animal disease models that have the exact mutation
identified in the patients is extremely crucial, and that the way which genome
editing in knock-in animals could be easily transferred to the patients. Here we
recruited a family diagnosed with XLRS and identified the causative mutation
(RS1, p.Y65X), then a knock-in mouse model harboring this disease-causative
mutation was generated via TALEN (transcription activator-like effector
nucleases). We found that the b-wave amplitude of the ERG of the RS1-KI mice was
significantly decreased. Moreover, we observed that the structure of retina in
RS1-KI mice has become disordered, including the disarray of inner nuclear layer
and outer nuclear layer, chaos of outer plexiform layer, decreased inner segments
of photoreceptor and the loss of outer segments. The novel knock-in mice (RS1-KI)
harboring patient-specific mutation will be valuable for development of treatment
via genome editing mediated gene correction.
PMID- 29379417
TI - Genome-Edited, TH-expressing Neuroblastoma Cells as a Disease Model for Dopamine
Related Disorders: A Proof-of-Concept Study on DJ-1-deficient Parkinsonism.
AB - Impairment of the dopaminergic (DA) system is a common cause of several movement
disorders including Parkinson's disease (PD), however, little is known about the
underlying disease mechanisms. The recent development of stem-cell-based
protocols for the generation of DA neurons partially solved this issue, however,
this technology is costly and time-consuming. Commonly used cell lines, i.e.,
neuroblastoma (SHSY5Y) and PC12 cells are still widely used to investigate PD and
significantly contributed to our understanding of mechanisms involved in
development of the disease. However, they either do not express DA at all or
require additional, only partially efficient differentiations in order to produce
DA. Here we generated and characterized transgenic SH-SY5Y cells, ectopically
expressing tyrosine hydroxylase (SHTH+), that can be used as a homogenous, DA
producing model to study alterations in DA metabolism and oxidative stress. We
demonstrated that SHTH+ produce high levels of DA, 3,4-dihydroxyphenylacetic acid
(DOPAC), and homovanillic acid (HVA) making this model suitable to investigate
not only alterations in DA synthesis but also its turnover. We also provide
evidence for the presence of other enzymes involved in DA synthesis and its
turnover in these cells. Finally, we showed that these cells can easily be
genetically modified using CRISPR/Cas9 technology in order to study genetically
defined forms of movement disorders using DJ1-linked PD as a model.
PMID- 29379416
TI - Molecular Signatures Underlying Synaptic Vesicle Cargo Retrieval.
AB - Efficient retrieval of the synaptic vesicle (SV) membrane from the presynaptic
plasma membrane, a process called endocytosis, is crucial for the fidelity of
neurotransmission, particularly during sustained neural activity. Although
multiple modes of endocytosis have been identified, it is clear that the
efficient retrieval of the major SV cargos into newly formed SVs during any of
these modes is fundamental for synaptic transmission. It is currently believed
that SVs are eventually reformed via a clathrin-dependent pathway. Various
adaptor proteins recognize SV cargos and link them to clathrin, ensuring the
efficient retrieval of the cargos into newly formed SVs. Here, we summarize our
current knowledge of the molecular signatures within individual SV cargos that
underlie efficient retrieval into SV membranes, as well as discuss possible
contributions of the mechanisms under physiological conditions.
PMID- 29379418
TI - Adenosine A1 Receptor-Mediated Attenuation of Reciprocal Dendro-Dendritic
Inhibition in the Mouse Olfactory Bulb.
AB - It is well described that A1 adenosine receptors inhibit synaptic transmission at
excitatory synapses in the brain, but the effect of adenosine on reciprocal
synapses has not been studied so far. In the olfactory bulb, the majority of
synapses are reciprocal dendro-dendritic synapses mediating recurrent inhibition.
We studied the effect of A1 receptor activation on recurrent dendro-dendritic
inhibition in mitral cells using whole-cell patch-clamp recordings. Adenosine
reduced dendro-dendritic inhibition in wild-type, but not in A1 receptor knock
out mice. Both NMDA receptor-mediated and AMPA receptor-mediated dendro-dendritic
inhibition were attenuated by adenosine, indicating that reciprocal synapses
between mitral cells and granule cells as well as parvalbumin interneurons were
targeted by A1 receptors. Adenosine reduced glutamatergic self-excitation and
inhibited N-type and P/Q-type calcium currents, but not L-type calcium currents
in mitral cells. Attenuated glutamate release, due to A1 receptor-mediated
calcium channel inhibition, resulted in impaired dendro-dendritic inhibition. In
behavioral tests we tested the ability of wild-type and A1 receptor knock-out
mice to find a hidden piece of food. Knock-out mice were significantly faster in
locating the food. Our results indicate that A1 adenosine receptors attenuates
dendro-dendritic reciprocal inhibition and suggest that they affect odor
information processing.
PMID- 29379419
TI - Reductions in Corpus Callosum Volume Partially Mediate Effects of Prenatal
Alcohol Exposure on IQ.
AB - Disproportionate volume reductions in the basal ganglia, corpus callosum (CC) and
hippocampus have been reported in children with prenatal alcohol exposure (PAE).
However, few studies have investigated these reductions in high prevalence
communities, such as the Western Cape Province of South Africa, and only one
study made use of manual tracing, the gold standard of volumetric analysis. The
present study examined the effects of PAE on subcortical neuroanatomy using
manual tracing and the relation of volumetric reductions in these regions to IQ
and performance on the California Verbal Learning Test-Children's Version (CVLT
C), a list learning task sensitive to PAE. High-resolution T1-weighted images
were acquired, using a sequence optimized for morphometric neuroanatomical
analysis, on a Siemens 3T Allegra MRI scanner from 71 right-handed, 9- to 11-year
old children [9 fetal alcohol syndrome (FAS), 19 partial FAS (PFAS), 24 non
syndromal heavily exposed (HE) and 19 non-exposed controls]. Frequency of
maternal drinking was ascertained prospectively during pregnancy using timeline
follow-back interviews. PAE was examined in relation to volumes of the CC and
left and right caudate nuclei, nucleus accumbens and hippocampi. All structures
were manually traced using Multitracer. Higher levels of PAE were associated with
reductions in CC volume after adjustment for TIV. Although the effect of PAE on
CC was confounded with smoking and lead exposure, additional analyses showed that
it was not accounted for by these exposures. Amongst dysmorphic children, smaller
CC was associated with poorer IQ and CVLT-C scores and statistically mediated the
effect of PAE on IQ. In addition, higher levels of PAE were associated with
bilateral volume reductions in caudate nuclei and hippocampi, effects that
remained significant after control for TIV, child sex and age, socioeconomic
status, maternal smoking during pregnancy, and childhood lead exposure. These
data confirm previous findings showing that PAE is associated with decreases in
subcortical volumes and is the first study to show that decreases in callosal
volume may play a role in fetal alcohol-related impairment in cognitive function
seen in childhood.
PMID- 29379420
TI - Differential Influence of Early Life and Adult Stress on Urogenital Sensitivity
and Function in Male Mice.
AB - Experiences of adverse childhood events have been associated with improper output
of the hypothalamic-pituitary-adrenal (HPA) axis in adulthood, as well as
development of comorbid functional pain disorders. Symptoms of chronic
prostatitis/chronic pelvic pain syndrome frequently overlap with those of
interstitial cystitis/painful bladder syndrome and symptom severity is often
triggered by stress. The objective of this study was to investigate the influence
early life stress and acute adult stress on (1) perigenital sensitivity, (2)
micturition, (3) anhedonia, and (4) HPA axis regulation and output in male
C56Bl/6 mice. Neonatal maternal separation (NMS) was performed for 3 h a day from
postnatal day 1 to 21 and naive pups remained unhandled during this time. As
adults, male mice were tested for referred prostate sensitivity and micturition
patterning prior to and 1 and 8 days after exposure to 1 h of water avoidance
stress (WAS). Following testing, prostate and bladder tissues were used for mast
cell and Western blot analysis and RT-PCR was performed on mRNA from
hypothalamus, amygdala, and hippocampus. Serum corticosterone (CORT) was also
measured by enzyme-linked immunosorbent assay (ELISA). A significant increase in
perigenital sensitivity and micturition frequency was observed in NMS mice and
these measures were exacerbated by WAS exposure. Exposure to NMS significantly
increased mast cell degranulation in both the bladder and prostate. Mast cell
degranulation was also increased in naive prostate tissue following WAS exposure.
Cytokine mRNA levels were influenced by both NMS and WAS exposure, though WAS had
a larger impact on central gene expression. Protein levels of CRF1 were
differentially regulated by NMS and WAS in the bladder and prostate and serum
CORT levels were significantly diminished following stress exposure. Taken
together, these data suggest that NMS results in neurogenic inflammation and
hypersensitivity within the urogenital organs, coupled with diminished gene
expression and output from the HPA axis. Future studies of NMS in male mice may
provide a useful tool as a preclinical model of male chronic urological pain
syndromes for investigating potential pharmacological and interventional
therapies.
PMID- 29379421
TI - Octopamine and Tyramine Contribute Separately to the Counter-Regulatory Response
to Sugar Deficit in Drosophila.
AB - All animals constantly negotiate external with internal demands before and during
action selection. Energy homeostasis is a major internal factor biasing action
selection. For instance, in addition to physiologically regulating carbohydrate
mobilization, starvation-induced sugar shortage also biases action selection
toward food-seeking and food consumption behaviors (the counter-regulatory
response). Biogenic amines are often involved when such widespread behavioral
biases need to be orchestrated. In mammals, norepinephrine (noradrenalin) is
involved in the counterregulatory response to starvation-induced drops in glucose
levels. The invertebrate homolog of noradrenalin, octopamine (OA) and its
precursor tyramine (TA) are neuromodulators operating in many different neuronal
and physiological processes. Tyrosine-beta-hydroxylase (tbetah) mutants are
unable to convert TA into OA. We hypothesized that tbetah mutant flies may be
aberrant in some or all of the counter-regulatory responses to starvation and
that techniques restoring gene function or amine signaling may elucidate
potential mechanisms and sites of action. Corroborating our hypothesis, starved
mutants show a reduced sugar response and their hemolymph sugar concentration is
elevated compared to control flies. When starved, they survive longer. Temporally
controlled rescue experiments revealed an action of the OA/TA-system during the
sugar response, while spatially controlled rescue experiments suggest actions
also outside of the nervous system. Additionally, the analysis of two OA- and
four TA-receptor mutants suggests an involvement of both receptor types in the
animals' physiological and neuronal response to starvation. These results
complement the investigations in Apis mellifera described in our companion paper
(Buckemuller et al., 2017).
PMID- 29379422
TI - Spatial Frequency Selectivity Is Impaired in Dopamine D2 Receptor Knockout Mice.
AB - Dopamine is a neurotransmitter implicated in several brain functions, including
vision. In the present study, we investigated the impacts of the lack of D2
dopamine receptors on the structure and function of the primary visual cortex
(V1) of D2-KO mice using optical imaging of intrinsic signals. Retinotopic maps
were generated in order to measure anatomo-functional parameters such as V1
shape, cortical magnification factor, scatter, and ocular dominance. Contrast
sensitivity and spatial frequency selectivity (SF) functions were computed from
responses to drifting gratings. When compared to control mice, none of the
parameters of the retinotopic maps were affected by D2 receptor loss of function.
While the contrast sensitivity function of D2-KO mice did not differ from their
wild-type counterparts, SF selectivity function was significantly affected as the
optimal SF and the high cut-off frequency (p < 0.01) were higher in D2-KO than in
WT mice. These findings show that the lack of function of D2 dopamine receptors
had no influence on cortical structure whereas it had a significant impact on the
spatial frequency selectivity and high cut-off. Taken together, our results
suggest that D2 receptors play a specific role on the processing of spatial
features in early visual cortex while they do not seem to participate in its
development.
PMID- 29379423
TI - Repeated Transcranial Direct Current Stimulation Induces Behavioral, Metabolic
and Neurochemical Effects in Rats on High-Calorie Diet.
AB - Due to its high prevalence, obesity is considered an epidemic, which stimulated
research on non-invasive methods to reduce excess body fat. Transcranial direct
current stimulation (tDCS) is a non-invasive technique used to modulate the
activity of cerebral cortex, which has already found increasing interest in
medicine as a promising methodology. The aim of this study was to analyze the
impact of tDCS on feeding behavior, metabolic abnormalities and neurotransmitters
in certain brain areas involved in appetite control of obese rats. The male
Wistar rats were divided into five subgroups depending on consumed diet effect
(lean, obese) and tDCS type (anodal, cathodal, sham, and no stimulation). Two 10
min daily sessions of tDCS for 8 consecutive days of the study were applied. Rats
subjected to active tDCS (anodal right or cathodal left of the prefrontal cortex)
had reduced appetite and showed lesser body weight gain than the animals
subjected to sham procedure or those receiving no stimulation at all.
Furthermore, tDCS contributed to reduction of epididymal fat pads and to a
decrease in blood concentration of leptin. Neurochemical examination revealed
that tDCS modulated serotonin pathways of the reward-related brain areas and
contributed to a significant decrease in the density of D2 but not D1 dopamine
receptors in the dorsal striatum, recorded 5 h after the last stimulation. No
significant effect of tDCS on dopamine and it's metabolites in examined brain
regions was observed. It seems that the hypothalamus was not affected by tDCS
application as no changes in measured neurotransmitters were detected at any
examined time point. However, these results do not exclude the possibility of the
delayed response of the monoamines in the examined brain areas to tDCS
application. Altogether, these findings imply that repeated tDCS of the
prefrontal cortex may change feeding behavior of obese rats. Either right anodal
or left cathodal tDCS were sufficient to decrease food intake, to reduce body
adiposity and to normalize other metabolic anomalies. These beneficial effects
can be at least partially explained by changes in serotoninergic and in lesser
extent dopaminergic system activity within some brain areas belonging to reward
system.
PMID- 29379424
TI - Unifying Speed-Accuracy Trade-Off and Cost-Benefit Trade-Off in Human Reaching
Movements.
AB - Two basic trade-offs interact while our brain decides how to move our body.
First, with the cost-benefit trade-off, the brain trades between the importance
of moving faster toward a target that is more rewarding and the increased
muscular cost resulting from a faster movement. Second, with the speed-accuracy
trade-off, the brain trades between how accurate the movement needs to be and the
time it takes to achieve such accuracy. So far, these two trade-offs have been
well studied in isolation, despite their obvious interdependence. To overcome
this limitation, we propose a new model that is able to simultaneously account
for both trade-offs. The model assumes that the central nervous system maximizes
the expected utility resulting from the potential reward and the cost over the
repetition of many movements, taking into account the probability to miss the
target. The resulting model is able to account for both the speed-accuracy and
the cost-benefit trade-offs. To validate the proposed hypothesis, we confront the
properties of the computational model to data from an experimental study where
subjects have to reach for targets by performing arm movements in a horizontal
plane. The results qualitatively show that the proposed model successfully
accounts for both cost-benefit and speed-accuracy trade-offs.
PMID- 29379426
TI - Categorization for Faces and Tools-Two Classes of Objects Shaped by Different
Experience-Differs in Processing Timing, Brain Areas Involved, and Repetition
Effects.
AB - The brain mechanisms that integrate the separate features of sensory input into a
meaningful percept depend upon the prior experience of interaction with the
object and differ between categories of objects. Recent studies using
representational similarity analysis (RSA) have characterized either the spatial
patterns of brain activity for different categories of objects or described how
category structure in neuronal representations emerges in time, but never
simultaneously. Here we applied a novel, region-based, multivariate pattern
classification approach in combination with RSA to magnetoencephalography data to
extract activity associated with qualitatively distinct processing stages of
visual perception. We asked participants to name what they see whilst viewing
bitonal visual stimuli of two categories predominantly shaped by either value
dependent or sensorimotor experience, namely faces and tools, and meaningless
images. We aimed to disambiguate the spatiotemporal patterns of brain activity
between the meaningful categories and determine which differences in their
processing were attributable to either perceptual categorization per se, or later
stage mentalizing-related processes. We have extracted three stages of cortical
activity corresponding to low-level processing, category-specific feature
binding, and supra-categorical processing. All face-specific spatiotemporal
patterns were associated with bilateral activation of ventral occipito-temporal
areas during the feature binding stage at 140-170 ms. The tool-specific activity
was found both within the categorization stage and in a later period not thought
to be associated with binding processes. The tool-specific binding-related
activity was detected within a 210-220 ms window and was located to the
intraparietal sulcus of the left hemisphere. Brain activity common for both
meaningful categories started at 250 ms and included widely distributed
assemblies within parietal, temporal, and prefrontal regions. Furthermore, we
hypothesized and tested whether activity within face and tool-specific binding
related patterns would demonstrate oppositely acting effects following procedural
perceptual learning. We found that activity in the ventral, face-specific network
increased following the stimuli repetition. In contrast, tool processing in the
dorsal network adapted by reducing its activity over the repetition period.
Altogether, we have demonstrated that activity associated with visual processing
of faces and tools during the categorization stage differ in processing timing,
brain areas involved, and in their dynamics underlying stimuli learning.
PMID- 29379425
TI - Different Topological Properties of EEG-Derived Networks Describe Working Memory
Phases as Revealed by Graph Theoretical Analysis.
AB - Several non-invasive imaging methods have contributed to shed light on the brain
mechanisms underlying working memory (WM). The aim of the present study was to
depict the topology of the relevant EEG-derived brain networks associated to
distinct operations of WM function elicited by the Sternberg Item Recognition
Task (SIRT) such as encoding, storage, and retrieval in healthy, middle age (46
+/- 5 years) adults. High density EEG recordings were performed in 17
participants whilst attending a visual SIRT. Neural correlates of WM were
assessed by means of a combination of EEG signal processing methods (i.e., time
varying connectivity estimation and graph theory), in order to extract synthetic
descriptors of the complex networks underlying the encoding, storage, and
retrieval phases of WM construct. The group analysis revealed that the encoding
phase exhibited a significantly higher small-world topology of EEG networks with
respect to storage and retrieval in all EEG frequency oscillations, thus
indicating that during the encoding of items the global network organization
could "optimally" promote the information flow between WM sub-networks. We also
found that the magnitude of such configuration could predict subject behavioral
performance when memory load increases as indicated by the negative correlation
between Reaction Time and the local efficiency values estimated during the
encoding in the alpha band in both 4 and 6 digits conditions. At the local scale,
the values of the degree index which measures the degree of in- and out-
information flow between scalp areas were found to specifically distinguish the
hubs within the relevant sub-networks associated to each of the three different
WM phases, according to the different role of the sub-network of regions in the
different WM phases. Our findings indicate that the use of EEG-derived
connectivity measures and their related topological indices might offer a
reliable and yet affordable approach to monitor WM components and thus
theoretically support the clinical assessment of cognitive functions in presence
of WM decline/impairment, as it occurs after stroke.
PMID- 29379427
TI - Effective Synchronization of EEG and EMG for Mobile Brain/Body Imaging in
Clinical Settings.
AB - Mobile Brain/Body Imaging (MoBI) is rapidly gaining traction as a new imaging
modality to study how cognitive processes support locomotion.
Electroencephalogram (EEG) and electromyogram (EMG), due to their time
resolution, non-invasiveness and portability are the techniques of choice for
MoBI, but synchronization requirements among others restrict its use to high-end
research facilities. Here we test the effectiveness of a technique that enables
us to achieve MoBI-grade synchronization of EEG and EMG, even when other
strategies (such as Lab Streaming Layer (LSL)) cannot be used e.g., due to the
unavailability of proprietary Application Programming Interfaces (APIs), which is
often the case in clinical settings. The proposed strategy is that of aligning
several spikes at the beginning and end of the session. We delivered a train of
spikes to the EEG amplifier and EMG electrodes every 2 s over a 10-min time
period. We selected a variable number of spikes (from 1 to 10) both at the
beginning and end of the time series and linearly resampled the data so as to
align them. We then compared the misalignment of the "middle" spikes over the
whole recording to test for jitter and synchronization drifts, highlighting
possible nonlinearities (due to hardware filters) and estimated the maximum
length of the recording to achieve a [-5 to 5] ms misalignment range. We
demonstrate that MoBI-grade synchronization can be achieved within 10-min
recordings with a 1.7 ms jitter and [-5 5] ms misalignment range. We show that
repeated spike delivery can be used to test online synchronization options and to
troubleshoot synchronization issues over EEG and EMG. We also show that
synchronization cannot rely only on the equipment sampling rate advertised by
manufacturers. The synchronization strategy described can be used virtually in
every clinical environment, and may increase the interest among a broader
spectrum of clinicians and researchers in the MoBI framework, ultimately leading
to a better understanding of the brain processes underlying locomotion control
and the development of more effective rehabilitation approaches.
PMID- 29379429
TI - Racial Bias in Neural Response for Pain Is Modulated by Minimal Group.
AB - Whether empathic racial bias could be modulated is a subject of intense interest.
The present study was carried out to explore whether empathic racial bias for
pain is modulated by minimal group. Chinese/Western faces with neutral
expressions receiving painful (needle penetration) or non-painful (Q-tip touch)
stimulation were presented. Participants were asked to rate the pain intensity
felt by Chinese/Western models of ingroup/outgroup members. Their implicit racial
bias were also measured. Two lines of evidence indicated that the anterior
cingulate cortex (ACC) was modulated by racial bias: (1) Chinese models elicited
stronger activity than Western did in the ACC, and (2) activity in the ACC was
modulated by implicit racial bias. Whereas the right anterior insula (rAI) were
modulated by ingroup bias, in which ingroup member elicited stronger activity
than outgroup member did. Furthermore, activity in the ACC was modulated by
activity of rAI (i.e., ingroup bias) in the pain condition, while activity in the
rAI was modulated by activity of ACC (i.e., racial bias) in the nopain condition.
Our results provide evidence that there are different neural correlates for
racial bias and ingroup bias, and neural racial bias for pain can be modulated by
minimal group.
PMID- 29379431
TI - Benchmark Dataset for Evaluation of Range-Based People Tracker Classifiers in
Mobile Robots.
PMID- 29379428
TI - Sexual Abuse Exposure Alters Early Processing of Emotional Words: Evidence from
Event-Related Potentials.
AB - This study aimed to compare the time course of emotional information processing
between trauma-exposed and control participants, using electrophysiological
measures. We conceived an emotional Stroop task with two types of words: trauma
related emotional words and neutral words. We assessed the evoked cerebral
responses of sexual abuse victims without post-traumatic stress disorder (PTSD)
and no abuse participants. We focused particularly on an early wave (C1/P1), the
N2pc, and the P3b. Our main result indicated an early effect (55-165 ms) of
emotionality, which varied between non-exposed participants and sexual abuse
victims. This suggests that potentially traumatic experiences modulate early
processing of emotional information. Our findings showing neurobiological
alterations in sexual abuse victims (without PTSD) suggest that exposure to
highly emotional events has an important impact on neurocognitive function even
in the absence of psychopathology.
PMID- 29379430
TI - Perfect Detection of Spikes in the Linear Sub-threshold Dynamics of Point
Neurons.
AB - Spiking neuronal networks are usually simulated with one of three main schemes:
the classical time-driven and event-driven schemes, and the more recent hybrid
scheme. All three schemes evolve the state of a neuron through a series of
checkpoints: equally spaced in the first scheme and determined neuron-wise by
spike events in the latter two. The time-driven and the hybrid scheme determine
whether the membrane potential of a neuron crosses a threshold at the end of the
time interval between consecutive checkpoints. Threshold crossing can, however,
occur within the interval even if this test is negative. Spikes can therefore be
missed. The present work offers an alternative geometric point of view on
neuronal dynamics, and derives, implements, and benchmarks a method for perfect
retrospective spike detection. This method can be applied to neuron models with
affine or linear subthreshold dynamics. The idea behind the method is to
propagate the threshold with a time-inverted dynamics, testing whether the
threshold crosses the neuron state to be evolved, rather than vice versa.
Algebraically this translates into a set of inequalities necessary and sufficient
for threshold crossing. This test is slower than the imperfect one, but can be
optimized in several ways. Comparison confirms earlier results that the imperfect
tests rarely miss spikes (less than a fraction 1/108 of missed spikes) in
biologically relevant settings.
PMID- 29379432
TI - Smartphone Applications Can Serve as Effective Cognitive Training Tools in
Healthy Aging.
PMID- 29379433
TI - Ventricular and Periventricular Anomalies in the Aging and Cognitively Impaired
Brain.
AB - Ventriculomegaly (expansion of the brain's fluid-filled ventricles), a condition
commonly found in the aging brain, results in areas of gliosis where the
ependymal cells are replaced with dense astrocytic patches. Loss of ependymal
cells would compromise trans-ependymal bulk flow mechanisms required for
clearance of proteins and metabolites from the brain parenchyma. However, little
is known about the interplay between age-related ventricle expansion, the decline
in ependymal integrity, altered periventricular fluid homeostasis, abnormal
protein accumulation and cognitive impairment. In collaboration with the
Baltimore Longitudinal Study of Aging (BLSA) and Alzheimer's Disease Neuroimaging
Initiative (ADNI), we analyzed longitudinal structural magnetic resonance imaging
(MRI) and subject-matched fluid-attenuated inversion recovery (FLAIR) MRI and
periventricular biospecimens to map spatiotemporally the progression of ventricle
expansion and associated periventricular edema and loss of transependymal
exchange functions in healthy aging individuals and those with varying degrees of
cognitive impairment. We found that the trajectory of ventricle expansion and
periventricular edema progression correlated with degree of cognitive impairment
in both speed and severity, and confirmed that areas of expansion showed
ventricle surface gliosis accompanied by edema and periventricular accumulation
of protein aggregates, suggesting impaired clearance mechanisms in these regions.
These findings reveal pathophysiological outcomes associated with normal brain
aging and cognitive impairment, and indicate that a multifactorial analysis is
best suited to predict and monitor cognitive decline.
PMID- 29379434
TI - Dual Action of Mexiletine and Its Pyrroline Derivatives as Skeletal Muscle Sodium
Channel Blockers and Anti-oxidant Compounds: Toward Novel Therapeutic Potential.
AB - Mexiletine (Mex) has been recently appointed as an orphan-drug in myotonic
syndromes, being a potent use-dependent blocker of skeletal-muscle sodium
channels (NaV1.4). Available evidences about a potential anti-oxidant effect of
Mex and its tetramethyl-pyrroline-derivatives in vivo, suggest the possibility to
further enlarge the therapeutic potential of Mex-like compounds in myopathies in
which alteration of excitation-contraction coupling is paralleled by oxidative
stress. In line with this and based on our previous structure-activity
relationship studies, we synthesized new compounds with a tetramethyl-pyrroline
ring on the amino-group of both Mex (VM11) and of its potent use-dependent
isopropyl-derivative (CI16). The compounds were tested for their ability to block
native NaV1.4 and to exert cyto-protective effects against oxidative-stress
injury in myoblasts. Voltage-clamp-recordings on adult myofibers were performed
to assess the tonic and use-dependent block of peak sodium-currents (INa) by VM11
and CI16, as well as Mex, VM11 and CI16 were 3 and 6-fold more potent than Mex in
producing a tonic-block of peak sodium-currents (INa), respectively.
Interestingly, CI16 showed a 40-fold increase of potency with respect to Mex
during high-frequency stimulation (10-Hz), resulting the strongest use-dependent
Mex-like compound so far. The derivatives also behaved as inactivated channel
blockers, however the voltage dependent block was modest. The experimental data
fitted with the molecular-modeling simulation based on previously proposed
interaction of main pharmacophores with NaV1.4 binding-site. CI16 and VM11 were
then compared to Mex and its isopropyl derivative (Me5) for the ability to
protect C2C12-cells from H2O2-cytotoxicity in the concentration range effective
on Nav1.4. Mex and Me5 showed a moderate cyto-protective effect in the presence
of H2O2, Importantly, CI16 and VM11 showed a remarkable cyto-protection at
concentrations effective for use-dependent block of NaV1.4. This effect was
comparable to that of selected anti-oxidant drugs proved to exert protective
effect in preclinical models of progressive myopathies such as muscular
dystrophies. Then, the tetramethyl-pyrroline compounds have increased therapeutic
profile as sodium channel blockers and an interesting cyto-protective activity.
The overall profile enlarges therapeutic potential from channelopathies to
myopathies in which alteration of excitation-contraction coupling is paralleled
by oxidative-stress, i.e., muscular dystrophies.
PMID- 29379435
TI - Antioxidants Attenuate Isolation- and L-DOPA-Induced Aggression in Mice.
AB - Aggression is a major hallmark worldwide attributing negative traits in
personality. Wide variety of antioxidants is used for the treatment of many
ailments. The present study was conducted to evaluate the role of antioxidants
such as ascorbic acid (15.42 and 30.84 mg/kg), beta carotene (1.02 and 2.05
mg/kg), vitamin E (2.5 and 5.0 mg/kg), and N-acetyl cysteine (102.85 and 205.70
mg/kg) in the treatment of aggression. Two aggression models (isolation induced
aggression model and L-DOPA induced aggression model) were used in the study.
Male albino mice (n = 330) were used in the study which were further subdivided
into 11 groups (Group I-control, group II-diseased, group III-standard group,
group IV-V treated with ascorbic, group VI-VII treated with beta carotene, group
VIII-IX treated with vitamin E, group X-XI treated with N-acetyl cysteine for 14
consecutive days). Different biochemical markers (glutathione, superoxide
dismutase, and catalase) were determined to evaluate the antioxidant potential in
oxidative stress. High dose of vitamin E (5.0 mg/kg) was more effective to reduce
the aggression in isolated animals while all other antioxidants produced dose
dependent anti-aggressive effect except N-acetyl cysteine which had marked anti
aggressive effect at low dose (102.75 mg/kg). Low doses of vitamin E (2.5 mg/kg)
and N-acetyl cysteine (102.75 mg/kg) and high dose of beta carotene (2.05 mg/kg)
were effective to prevent all aggression parameters in acute anti-aggressive
activity against L-DOPA induced aggression. However, all test antioxidants were
equally effective in chronic anti-aggressive studies against L-DOPA induced
aggression. It may be concluded that selected antioxidants can reverse the
aggression which is a key symptom of many neurological disorder.
PMID- 29379436
TI - Dendrobium officinale Orchid Extract Prevents Ovariectomy-Induced Osteoporosis in
Vivo and Inhibits RANKL-Induced Osteoclast Differentiation in Vitro.
AB - Background:Dendrobium officinale, a traditional Chinese medical herb with high
value that is widely used in Asia, possesses many positive effects on human
health, including anti-chronic inflammation, anti-obesity, and immune modulation
properties; however, whether D. officinale has inhibitory effects on
postmenopausal osteoporosis remains unknown. Objective: We investigated the
effects of D. officinale extract (DOE) on ovariectomy-induced bone loss in vivo
and on osteoclastogenesis in vitro. Methods:In vivo, female rats were divided
into a sham-operated (sham) group and five ovariectomized (OVX) subgroups: OVX
with vehicle (OVX), OVX with Xian-Ling-Gu-Bao capsule (240 mg/kg body
weight/day), and OVX with low-, medium-, and high-dose DOE (150, 300, and 600
mg/kg body weight/day, respectively). Animals in each group were administered
their corresponding treatments for 13 weeks. Body weight, serum biochemical
parameters, uterine and femoral physical parameters, bone mineral density (BMD),
bone biomechanical properties, and bone microarchitecture were obtained. In
vitro, the effects of DOE on osteoclastogenesis were examined using RAW264.7
cells. The effects of DOE on osteoclastogenesis and the expression of osteoclast
specific marker genes and proteins were determined. Results: DOE effectively
ameliorated serum biochemical parameters, especially alleviated estradiol (E2)
deficiency and maintained calcium and phosphorus homeostasis. DOE improved
uterine and femoral physical parameters. In addition, DOE improved femoral BMD
and biomechanical properties. DOE significantly ameliorated bone
microarchitecture. Moreover, DOE inhibited osteoclastogenesis independent of its
cytoxicity and suppressed the expression of osteoclast-specific marker genes and
proteins. Conclusion: DOE can effectively prevent ovariectomy-induced bone loss
in vivo and inhibit osteoclastogenesis in vitro.
PMID- 29379437
TI - Socioeconomic Inequalities in Overweight and Obesity in Serbia: Data from 2013
National Health Survey.
PMID- 29379438
TI - Nano-BCG: A Promising Delivery System for Treatment of Human Bladder Cancer.
AB - Mycobacterium bovis bacillus Calmette-Guerin (BCG) remains at the forefront of
immunotherapy for treating bladder cancer patients. However, the incidence of
recurrence and progression to invasive cancer is commonly observed. There are no
established effective intravesical therapies available for patients, whose tumors
recur following BCG treatment, representing an important unmet clinical need. In
addition, there are very limited options for patients who do not respond to or
tolerate chemotherapy due to toxicities, resulting in poor overall treatment
outcomes. Within this context, nanotechnology is an emergent and promising tool
for: (1) controlling drug release for extended time frames, (2) combination
therapies due to the ability to encapsulate multiple drugs simultaneously, (3)
reducing systemic side effects, (4) increasing bioavailability, (5) and
increasing the viability of various routes of administration. Moreover, bladder
cancer is often characterized by high mutation rates and over expression of tumor
antigens on the tumor cell surface. Therapeutic targeting of these biomolecules
may be improved by nanotechnology strategies. In this mini-review, we discuss how
nanotechnology can help overcome current obstacles in bladder cancer treatment,
and how nanotechnology can facilitate combination chemotherapeutic and BCG
immunotherapies for the treatment of non-muscle invasive urothelial bladder
cancer.
PMID- 29379439
TI - S-Ketamine Mediates Its Acute and Sustained Antidepressant-Like Activity through
a 5-HT1B Receptor Dependent Mechanism in a Genetic Rat Model of Depression.
AB - Rationale: The mechanisms responsible for the unique antidepressant properties of
ketamine have only been partly resolved. Recent preclinical reports implicate the
neurotransmitter serotonin [5-hydroxytryptamine (5-HT)] in the antidepressant
like response of ketamine, and modulation of 5-HT1B receptors has been
hypothesized to attain an important role. Objectives: To evaluate the role of
endogenous stimulation of 5-HT1B heteroreceptors in the antidepressant-like
activity of S-ketamine. Method: Flinders sensitive line (FSL) rats, a genetic
model of depression, were depleted of endogenous 5-HT by 4-chloro-DL
phenylalanine methyl ester HCl administration (pCPA; 86 mg/kg/day for 3 days). In
pCPA-pretreated and control FSL rats, the acute and sustained effects of a single
dose of S-ketamine (15 mg/kg) and the selective 5-HT1B receptor agonist CP94253
(1-6 mg/kg) alone and in combination with S-ketamine were studied in the forced
swim test (FST), a commonly used assay that detects antidepressant activity.
Results: pCPA pretreatment decreased cortical 5-HT levels to ~6% but did not
affect the baseline behavioral phenotype of FSL rats. S-ketamine demonstrated
acute and sustained antidepressant-like activity, both of which were abolished by
5-HT depletion. Combining S-ketamine with a sub-effective dose of CP94253 (1
mg/kg) rescued S-ketamine's acute and sustained antidepressant-like effects, when
CP94253 was administered 2 h prior to the FST. Co-administration of S-ketamine
and CP94253 did not affect the plasma level of either compound, suggesting that
the observed behavioral interaction could not be ascribed to a kinetic drug-drug
interaction. Conclusion: 5-HT1B receptor activation during testing appears to be
critical for S-ketamine's antidepressant-like potentials in this model.
PMID- 29379440
TI - Gleditsia Saponin C Induces A549 Cell Apoptosis via Caspase-Dependent Cascade and
Suppresses Tumor Growth on Xenografts Tumor Animal Model.
AB - Saponins are natural compounds and possess the most promising anti-cancer
function. Here, a saponin gleditsia saponin C (GSC), extracted from gleditsiae
fructus abnormalis, could induce apoptosis of lung tumor cell line A549 via
caspase dependent cascade and this effect could be prevented by the caspase
inhibitors. In addition, GSC induced cell death companied with an increase ratio
of Bax:Bcl-2 and inhibition of ERK and Akt signaling pathways. Meanwhile, GSC
suppressed TNFalpha inducing NF-kappaB activation and increased the
susceptibility of lung cancer cell to TNFalpha induced apoptosis. Furthermore, on
mouse xenograft model, GSC significantly suppressed tumor growth and induced
cancer cell apoptosis, which validated the anti-tumor effect of GSC. Based on
these results, GSC might be a promising drug candidate of anti-lung cancer for
its potential clinical applications.
PMID- 29379441
TI - Deciphering the Differential Effective and Toxic Responses of Bupleuri Radix
following the Induction of Chronic Unpredictable Mild Stress and in Healthy Rats
Based on Serum Metabolic Profiles.
AB - The petroleum ether fraction of Bupleuri Radix which is contained in the
traditional Chinese medicine prescription of Xiaoyaosan (XYS) may have a
therapeutic effect in depressed subjects based on the results of our previous
study. It has been reported that Bupleuri Radix can cause liver toxicity
following overdosing or long-term use. Therefore, this study aimed to decipher
the differential effective and toxic responses of Bupleuri Radix in chronic
unpredictable mild stress (CUMS) (with depression) and healthy rats based on
serum metabolic profiles. Serum metabolic profiles were obtained using the UHPLC-
Q Exactive Orbitrap-MS technique. Our results demonstrated that the petroleum
ether fraction of Bupleuri Radix (PBR) produces an antidepressant effect through
regulating glycometabolism, amino acid metabolism, sphingolipid metabolism,
glycerophospholipid metabolism, and fatty acid metabolism. It also induces more
severe toxic reactions in the liver or kidney in healthy rats than in CUMS rats,
which exhibited a comparatively mild drug-induced toxic reaction. The altered
lysine degradation, sphingolipid metabolism, glycerophospholipid metabolism,
fatty acid metabolism, and bile acid metabolism could be at least partly
responsible for the PBR toxic responses in healthy rats. The differential
effective and toxic response of PBR in CUMS rats and healthy rats provide a new
standard for the more rational and safer application of clinical drugs in the
future.
PMID- 29379442
TI - Hypouricemic Effects of Ganoderma applanatum in Hyperuricemia Mice through OAT1
and GLUT9.
AB - Ganoderma applanatum (G. applanatum) dispels wind to eliminate dampness and
exhibited nephron- and liver-protective effects as noted in Chinese herbal
classic literature; it might also affect hyperuricemia. Therefore, we examined
the hypouricemia effects and mechanisms underlying G. applanatum on chemical
induced hyperuricemia in mice. Ethanol (GAE) and water (GAW) extracts were
prepared by extracting G. applanatum in ethanol (GAE), followed by bathing the
remains in water to yield GAW. GAE and GAW were administered orally at different
doses to hyperuricemia mice, while allopurinol and benzbromarone served as
positive controls. Both GAE and GAW showed remarkable hypouricemia activities,
rendering a substantial decline in the SUA (serum uric acid) level in
hyperuricemia control (P < 0.01). Moreover, the urine uric acid (UUA) levels were
enhanced by GAE and GAW. In contrast to the evident renal toxicity of
allopurinol, GAE and GAW did not show a distinct renal toxicity. Almost no
suppressing effect was observed on the XOD activities. However, compared to the
hyperuricemia control, OAT1 was elevated remarkably in mice drugged with GAE and
GAW, while GLUT9 was significantly decreased. Similar to benzbromarone, GAE
decreased the URAT1 protein levels significantly (P < 0.01), while GAW did not
display a similar effect. GAE and GAW downregulated the level of CNT2 proteins in
the gastrointestinal tract of hyperuricemia mice. Thus, G. applanatum produced
outstanding hypouricemic effects, mediated by renal OAT1, GLUT9, and URAT1 and
gastrointestinal CNT2 that might elevate urine uric secretions and decline in the
absorption of purine in the gastrointestinal tracts. G. applanatum showed little
negative influence on inner organs. By docking screening, four top-ranked
compounds were identified that necessitated further investigation. Compounds:
potassium oxonate, hypoxanthine, allopurinol, benzbromarone.
PMID- 29379443
TI - A Status Review of the Bioactive Activities of Tiger Milk Mushroom Lignosus
rhinocerotis (Cooke) Ryvarden.
AB - Edible and medicinal mushrooms are regularly used in natural medicines and home
remedies since antiquity for ailments like fever, inflammation, and respiratory
disorders. Lignosus rhinocerotis (Cooke) Ryvarden is a polypore found in Malaysia
and other regions in South East Asia. It can be located on a spot where a tigress
drips milk while feeding, hence the name "tiger's milk mushroom." The sclerotium
of L. rhinocerotis is highly sought after by the native communities in Malaysia
to stave off hunger, relieve cough and asthma, and provide stamina. The genomic
features of L. rhinocerotis have been described. The pharmacological and toxicity
effects, if any, of L. rhinocerotis sclerotium have been scientifically verified
in recent years. In this review, the validated investigations including the
cognitive function, neuroprotection, immune modulation, anti-asthmatic, anti
coagulation, anti-inflammatory, anti-microbial/ anti-viral, anti-obesity, anti
cancer/ anti-tumor, and antioxidant properties are highlighted. These findings
suggest that L. rhinocerotis can be considered as an alternative and natural
medicine in the management of non-communicable diseases. However, there is a
paucity of validation studies including human clinical trials of the
mycochemicals of L. rhinocerotis.
PMID- 29379444
TI - A Doubly Stochastic Change Point Detection Algorithm for Noisy Biological
Signals.
AB - Experimentally and clinically collected time series data are often contaminated
with significant confounding noise, creating short, noisy time series. This
noise, due to natural variability and measurement error, poses a challenge to
conventional change point detection methods. We propose a novel and robust
statistical method for change point detection for noisy biological time
sequences. Our method is a significant improvement over traditional change point
detection methods, which only examine a potential anomaly at a single time point.
In contrast, our method considers all suspected anomaly points and considers the
joint probability distribution of the number of change points and the elapsed
time between two consecutive anomalies. We validate our method with three
simulated time series, a widely accepted benchmark data set, two geological time
series, a data set of ECG recordings, and a physiological data set of heart rate
variability measurements of fetal sheep model of human labor, comparing it to
three existing methods. Our method demonstrates significantly improved
performance over the existing point-wise detection methods.
PMID- 29379446
TI - Assessment of Fetal Kidney Growth and Birth Weight in an Indigenous Australian
Cohort.
AB - Introduction: Indigenous Australians experience higher rates of renal disease and
hypertension than non-Indigenous Australians. Low birth weight is recognized as a
contributing factor in chronic disease and has been shown to increase the risk of
renal failure in adulthood. A smaller kidney volume with fewer nephrons places an
individual at risk of hypertension and renal failure. Indigenous Australians have
fewer nephrons than non-Indigenous Australians. In this study, intrauterine fetal
and kidney growth were evaluated in 174 Indigenous Australian babies throughout
gestation in order to record and evaluate fetal growth and kidney size, within a
population that is at high risk for chronic illness. Methods: Pregnant women that
identified as Indigenous, or non-Indigenous women that were pregnant with a
partner who identified as an Indigenous Australian were eligible to participate.
Maternal history, smoking status, blood and urine samples and fetal ultrasounds
were collected throughout pregnancy. Fetal kidney measurements were collected
using ultrasound. Statistical analysis was performed using the Stata 14.1
software package. Results: 15.2% of babies were born prematurely. 44% of the
mothers reported smoking in pregnancy. The median birth weight of this cohort was
3,240 g. Male fetuses had higher kidney to body weight ratios than female fetuses
(P = 0.02). The birth weights of term neonates whose mothers smoked during
pregnancy were lower (327 g, P < 0.001) than the birth weights of term babies
from non-smoking mothers. The kidney volumes of babies whose mothers smoked were
also smaller (P = 0.02), but were in proportion to body weight. Conclusion: In
this cohort of Indigenous women smoking was associated with both increased number
of preterm births and with a reduction in birth weights, even of term infants.
Since kidney volume is a surrogate measure of nephron number and nephrogenesis is
complete at birth, babies whose mothers smoked during pregnancy must have fewer
nephrons than those from non-smoking mothers. Previous studies have shown that
glomerular filtration rate is not related to birth weight, thus infants with
smaller kidney volumes are hyperfiltering from birth and therefore are likely to
be more susceptible to early onset renal disease in later life.
PMID- 29379445
TI - Physiologic Impact of Circulating RBC Microparticles upon Blood-Vascular
Interactions.
AB - Here, we review current data elucidating the role of red blood cell derived
microparticles (RMPs) in normal vascular physiology and disease progression.
Microparticles (MPs) are submicron-size, membrane-encapsulated vesicles derived
from various parent cell types. MPs are produced in response to numerous stimuli
that promote a sequence of cytoskeletal and membrane phospholipid changes and
resulting MP genesis. MPs were originally considered as potential biomarkers for
multiple disease processes and more recently are recognized to have pleiotropic
biological effects, most notably in: promotion of coagulation, production and
handling of reactive oxygen species, immune modulation, angiogenesis, and in
initiating apoptosis. RMPs, specifically, form normally during RBC maturation in
response to injury during circulation, and are copiously produced during
processing and storage for transfusion. Notably, several factors during RBC
storage are known to trigger RMP production, including: increased intracellular
calcium, increased potassium leakage, and energy failure with ATP depletion. Of
note, RMP composition differs markedly from that of intact RBCs and the
nature/composition of RMP components are affected by the specific circumstances
of RMP genesis. Described RMP bioactivities include: promotion of coagulation,
immune modulation, and promotion of endothelial adhesion as well as influence
upon vasoregulation via influence upon nitric oxide (NO) bioavailability. Of
particular relevance, RMPs scavenge NO more avidly than do intact RBCs; this
physiology has been proposed to contribute to the impaired oxygen delivery
homeostasis that may be observed following transfusion. In summary, RMPs are
submicron particles released from RBCs, with demonstrated vasoactive properties
that appear to disturb oxygen delivery homeostasis. The clinical impact of RMPs
in normal and patho-physiology and in transfusion recipients is an area of
continued investigation.
PMID- 29379447
TI - Increased Contractile Function of Human Saphenous Vein Grafts Harvested by "No
Touch" Technique.
AB - Saphenous vein grafts are the most common conduits used for coronary artery
bypass grafting (CABG); however, no more than 60% of vein grafts remain open
after 10 years and graft failure is associated with poor clinical outcome. The
"no-touch" harvesting technique-where a sheet of perivascular tissue is retained
around the vein-improves graft patency to over 80% after 16 years of follow-up,
but the mechanism for the improved patency rate is unclear. In this study, we
investigated acute functional differences between vein grafts harvested
conventionally and by "no-touch" technique and explored the importance of
perivascular tissue for reducing surgical trauma, minimizing excessive
distension, and releasing vasoactive paracrine factors. Segments of human
saphenous veins were obtained from CABG surgery and their functional properties
investigated by isometric and isobaric myography. We found a broad diameter
tension relationship for human saphenous veins, with peak capacity for active
tension development at diameters corresponding to transmural pressures around 60
mmHg. Across the investigated transmural pressure range between 10 and 120 mmHg,
maximal tension development was higher for "no-touch" compared to conventionally
harvested saphenous veins. Contractile responses to serotonin, noradrenaline, and
depolarization induced with elevated extracellular [K+] were significantly larger
for saphenous veins harvested by "no-touch" compared to conventional technique.
Conventional vein grafts are routinely pressurized manually in order to test for
leaks; however, avoiding this distension procedure did not change the acute
contractile function of the conventionally excised saphenous veins. In contrast,
even though surgical removal of perivascular tissue during conventional
harvesting was associated with a substantial decrease in force development,
removal of perivascular tissue by careful dissection under a stereomicroscope
only marginally affected contractile responses of veins harvested by "no-touch"
technique. In conclusion, we show that saphenous veins harvested by "no-touch"
technique have greater contractile capacity than veins harvested by conventional
technique. The different capacity for smooth muscle contraction is not due to
vasoactive substances released by the perivascular tissue. Instead, we propose
that the larger tension development of saphenous veins harvested by "no-touch"
technique reflects reduced surgical damage, which may have long-term consequences
that contribute to the superior graft patency.
PMID- 29379449
TI - Increased Loss Aversion in Unmedicated Patients with Obsessive-Compulsive
Disorder.
AB - Introduction: Obsessive-compulsive disorder (OCD) patients show abnormalities in
decision-making and, clinically, appear to show heightened sensitivity to
potential negative outcomes. Despite the importance of these cognitive processes
in OCD, few studies have examined the disorder within an economic decision-making
framework. Here, we investigated loss aversion, a key construct in the prospect
theory that describes the tendency for individuals to be more sensitive to
potential losses than gains when making decisions. Methods: Across two study
sites, groups of unmedicated OCD patients (n = 14), medicated OCD patients (n =
29), and healthy controls (n = 34) accepted or rejected a series of 50/50 gambles
containing varying loss/gain values. Loss aversion was calculated as the ratio of
the likelihood of rejecting a gamble with increasing potential losses to the
likelihood of accepting a gamble with increasing potential gains. Decision times
to accept or reject were also examined and correlated with loss aversion.
Results: Unmedicated OCD patients exhibited significantly more loss aversion
compared to medicated OCD or controls, an effect that was replicated across both
sites and remained significant even after controlling for OCD symptom severity,
trait anxiety, and sex. Post hoc analyses further indicated that unmedicated
patients' increased likelihood to reject a gamble as its loss value increased
could not be explained solely by greater risk aversion among patients.
Unmedicated patients were also slower to accept than reject gambles, effects that
were not found in the other two groups. Loss aversion was correlated with
decision times in unmedicated patients but not in the other two groups.
Discussion: These data identify abnormalities of decision-making in a subgroup of
OCD patients not taking psychotropic medication. The findings help elucidate the
cognitive mechanisms of the disorder and suggest that future treatments could aim
to target abnormalities of loss/gain processing during decision-making in this
population.
PMID- 29379450
TI - A Diffusion Model Analysis of Magnitude Comparison in Children with and without
Dyscalculia: Care of Response and Ability Are Related to Both Mathematical
Achievement and Stimuli.
AB - The respective roles of the approximate number system (ANS) and an access deficit
(AD) in developmental dyscalculia (DD) are not well-known. Most studies rely on
response times (RTs) or accuracy (error rates) separately. We analyzed the
results of two samples of elementary school children in symbolic magnitude
comparison (MC) and non-symbolic MC using a diffusion model. This approach uses
the joint distribution of both RTs and accuracy in order to synthesize measures
closer to ability and response caution or response conservatism. The latter can
be understood in the context of the speed-accuracy tradeoff: It expresses how
much a subject trades in speed for improved accuracy. We found significant
effects of DD on both ability (negative) and response caution (positive) in MC
tasks and a negative interaction of DD with symbolic task material on ability.
These results support that DD subjects suffer from both an impaired ANS and an AD
and in particular support that slower RTs of children with DD are indeed related
to impaired processing of numerical information. An interaction effect of
symbolic task material and DD (low mathematical ability) on response caution
could not be refuted. However, in a sample more representative of the general
population we found a negative association of mathematical ability and response
caution in symbolic but not in non-symbolic task material. The observed
differences in response behavior highlight the importance of accounting for
response caution in the analysis of MC tasks. The results as a whole present a
good example of the benefits of a diffusion model analysis.
PMID- 29379448
TI - Progress in Mathematical Modeling of Gastrointestinal Slow Wave Abnormalities.
AB - Gastrointestinal (GI) motility is regulated in part by electrophysiological
events called slow waves, which are generated by the interstitial cells of Cajal
(ICC). Slow waves propagate by a process of "entrainment," which occurs over a
decreasing gradient of intrinsic frequencies in the antegrade direction across
much of the GI tract. Abnormal initiation and conduction of slow waves have been
demonstrated in, and linked to, a number of GI motility disorders. A range of
mathematical models have been developed to study abnormal slow waves and applied
to propose novel methods for non-invasive detection and therapy. This review
provides a general outline of GI slow wave abnormalities and their recent
classification using multi-electrode (high-resolution) mapping methods, with a
particular emphasis on the spatial patterns of these abnormal activities. The
recently-developed mathematical models are introduced in order of their
biophysical scale from cellular to whole-organ levels. The modeling techniques,
main findings from the simulations, and potential future directions arising from
notable studies are discussed.
PMID- 29379451
TI - Ecological Assets and Academic Procrastination among Adolescents: The Mediating
Role of Commitment to Learning.
AB - Academic procrastination is defined as a purposive delay of academic tasks that
must be completed. Within the framework of the ecological model of resiliency,
this study examined how ecological assets were related to academic
procrastination among adolescents. Participants in the study were 577 adolescents
(53.5% boys) from Shanghai, China. They completed measures of ecological assets,
commitment to learning, and academic procrastination. Structural equation
modeling revealed that, as predicted, ecological assets were negatively
associated with academic procrastination. In addition, commitment to learning
fully mediated the association between ecological assets and academic
procrastination. Implications of the present findings are discussed.
PMID- 29379453
TI - Length of Utterance, in Morphemes or in Words?: MLU3-w, a Reliable Measure of
Language Development in Early Basque.
AB - The mean length of utterace (MLU), which was proposed by Brown (1973) as a better
index for language development in children than age, has been regularly reported
in case studies as well as in cross-sectional studies on early spontaneous
language production. Despite the reliability of MLU as a measure of (morpho
)syntactic development having been called into question, its extensive use in
language acquisition studies highlights its utility not only for intra- and inter
individual comparison in monolingual language acquisition, but also for cross
linguistic assessment and comparison of bilinguals' early language development
(Muller, 1993; Yip and Matthews, 2006; Meisel, 2011). An additional issue
concerns whether MLU should be measured in words (MLU-w) or morphemes (MLU-m),
the latter option being the most difficult to gauge, since new challenges have
arisen regarding how to count zero morphemes, suppletive and fused morphemes. The
different criteria have consequences, especially when comparing development in
languages with diverging morphological complexity. A variant of MLU, the MLU3,
which is calculated out of the three longest sentences produced (MLU3-w and MLU3
m), is included among the subscales of expressive language development in CDI
parental reports (Fenson et al., 1993, 2007). The aim of the study is to
investigate the consistency and utility of MLU3-w and MLU3-m as a measure for
(morpho-)syntactic development in Basque, an agglutinative language. To that end,
cross-sectional data were obtained using either the Basque CDI-2 instrument (16-
to 30-month-olds) or the Basque CDI-3 (30- to 50-month-olds). The results of
analyzing reports on over 1,200 children show three main findings. First, MLU3-w
and MLU3-m can report equally well on very young children's development. Second,
the strong correlations found between MLU3 and expressive vocabulary in the
Basque CDI-2 and CDI-3 instruments, as well as between MLU3 and both nominal and
verbal morphology scales, confirm the consistency not only of MLU3 but also of
the two Basque CDI instruments. Finally, both MLU3-w and MLU3-m subscales appear
sensitive to input after age 2, which emphasizes their utility for identifying
developmental patterns in Basque bilinguals.
PMID- 29379452
TI - Investigation of Psychophysiological and Subjective Effects of Long Working Hours
- Do Age and Hearing Impairment Matter?
AB - Following current prognosis, demographic development raises expectations of an
aging of the working population. Therefore, keeping employees healthy and
strengthening their ability to work, becomes more and more important. When
employees become older, dealing with age-related impairments of sensory
functions, such as hearing impairment, is a central issue. Recent evidence
suggests that negative effects that are associated with reduced hearing can have
a strong impact at work. Especially under exhausting working situations such as
working overtime hours, age and hearing impairment might influence employees'
well-being. Until now, neither the problem of aged workers and long working
hours, nor the problem of hearing impairment and prolonged working time has been
addressed explicitly. Therefore, a laboratory study was examined to answer the
research question: Do age and hearing impairment have an impact on
psychophysiological and subjective effects of long working hours. In total, 51
white-collar workers, aged between 24 and 63 years, participated in the
laboratory study. The results show no significant effects for age and hearing
impairment on the intensity of subjective consequences (perceived recovery and
fatigue, subjective emotional well-being and physical symptoms) of long working
hours. However, the psychophysiological response (the saliva cortisol level) to
long working hours differs significantly between hearing impaired and normal
hearing employees. Interestingly, the results suggest that from a
psychophysiological point of view long working hours were more demanding for
normal hearing employees.
PMID- 29379454
TI - Motivation and Justice at Work: The Role of Emotion and Cognition Components of
Personal and Collective Work Identity.
AB - The aim of this study was to investigate the role of personal and collective work
identity (including emotion and cognition components), in predicting work
motivation (operationalized as work self-determined motivation) and
organizational justice (operationalized as organizational pay justice). Digitized
questionnaires were distributed by e-mail to 2905 members, teachers, of a Swedish
trade union. A total of 768 individuals answered the questionnaire and by that
participated in this study. Personal- compared to collective work identity was
shown to positively associate with self-determined motivation accounted for by
the emotion component of personal work identity. Collective compared to personal
work identity was reported to positively associate with organizational pay
justice accounted for by the cognition component of collective work identity. All
this suggests that both work-related motivation and organizational justice might
be, to some extent, accounted for by the psychological mechanisms of work
identity and that, as predicted, different types of work identity, play different
significant roles in predicting motivation and justice at work. More precisely,
the emotion component of work identity was more pronounced in personal work
bonding relationships, and the cognitive component, of work identity in contrast,
was more pronounced in collective work-bonding relationships.
PMID- 29379456
TI - Cultivating Positive Youth Development, Critical Consciousness, and Authentic
Care in Urban Environmental Education.
AB - This paper addresses the issue of how to provide affordances for youth
development in the context of environmental stewardship in cities. Urban
environmental education encompasses place-based and action-oriented stewardship
practices, including community gardening and vegetable production, often with the
dual goals of developing youth and community assets. Yet in-depth understanding
of how these goals are achieved is lacking. Using narrative inquiry, we explored
participant experiences in a multi-year agriculture internship program conducted
by the food justice organization East New York Farms! (ENYF) in Brooklyn, NY.
Emerging from our conversations with youth were five themes defining their intern
experience: ENYF as somewhere to belong, to be pushed, to grapple with
complexity, to practice leadership, and to become yourself. We propose a theory
of change that emphasizes politicized notions of caring as a foundation for
cultivating developmental assets, including competence, contribution, and
critical consciousness, among youth who participate in ENYF programs multiple
years. This paper extends the literature on socio-environmental affordances to
encompass urban environmental education programs, which incorporate physical and
social features that act as affordances. Further, this paper describes a feedback
loop in which youth afforded opportunities to develop assets through contributing
to their community in turn create affordances for additional youth and adults.
PMID- 29379455
TI - Explicit Oral Narrative Intervention for Students with Williams Syndrome.
AB - Narrative skills play a crucial role in organizing experience, facilitating
social interaction and building academic discourse and literacy. They are at the
interface of cognitive, social, and linguistic abilities related to school
engagement. Despite their relative strengths in social and grammatical skills,
students with Williams syndrome (WS) do not show parallel cognitive and pragmatic
performance in narrative generation tasks. The aim of the present study was to
assess retelling of a TV cartoon tale and the effect of an individualized
explicit instruction of the narrative structure. Participants included eight
students with WS who attended different special education levels. Narratives were
elicited in two sessions (pre and post intervention), and were transcribed, coded
and analyzed using the tools of the CHILDES Project. Narratives were coded for
productivity and complexity at the microstructure and macrostructure levels.
Microstructure productivity (i.e., length of narratives) included number of
utterances, clauses, and tokens. Microstructure complexity included mean length
of utterances, lexical diversity and use of discourse markers as cohesive
devices. Narrative macrostructure was assessed for textual coherence through the
Pragmatic Evaluation Protocol for Speech Corpora (PREP-CORP). Macrostructure
productivity and complexity included, respectively, the recall and sequential
order of scenarios, episodes, events and characters. A total of four intervention
sessions, lasting approximately 20 min, were delivered individually once a week.
This brief intervention addressed explicit instruction about the narrative
structure and the use of specific discourse markers to improve cohesion of story
retellings. Intervention strategies included verbal scaffolding and modeling,
conversational context for retelling the story and visual support with pictures
printed from the cartoon. Results showed significant changes in WS students'
retelling of the story, both at macro- and microstructure levels, when assessed
following a 2-week interval. Outcomes were better in microstructure than in
macrostructure, where sequential order (i.e., complexity) did not show
significant improvement. These findings are consistent with previous research
supporting the use of explicit oral narrative intervention with participants who
are at risk of school failure due to communication impairments. Discussion
focuses on how assessment and explicit instruction of narrative skills might
contribute to effective intervention programs enhancing school engagement in WS
students.
PMID- 29379457
TI - The Gaze-Cueing Effect in the United States and Japan: Influence of Cultural
Differences in Cognitive Strategies on Control of Attention.
AB - The direction of gaze automatically and exogenously guides visual spatial
attention, a phenomenon termed as the gaze-cueing effect. Although this effect
arises when the duration of stimulus onset asynchrony (SOA) between a non
predictive gaze cue and the target is relatively long, no empirical research has
examined the factors underlying this extended cueing effect. Two experiments
compared the gaze-cueing effect at longer SOAs (700 ms) in Japanese and American
participants. Cross-cultural studies on cognition suggest that Westerners tend to
use a context-independent analytical strategy to process visual environments,
whereas Asians use a context-dependent holistic approach. We hypothesized that
Japanese participants would not demonstrate the gaze-cueing effect at longer SOAs
because they are more sensitive to contextual information, such as the knowledge
that the direction of a gaze is not predictive. Furthermore, we hypothesized that
American participants would demonstrate the gaze-cueing effect at the long SOAs
because they tend to follow gaze direction whether it is predictive or not. In
Experiment 1, American participants demonstrated the gaze-cueing effect at the
long SOA, indicating that their attention was driven by the central non
predictive gaze direction regardless of the SOAs. In Experiment 2, Japanese
participants demonstrated no gaze-cueing effect at the long SOA, suggesting that
the Japanese participants exercised voluntary control of their attention, which
inhibited the gaze-cueing effect with the long SOA. Our findings suggest that the
control of visual spatial attention elicited by social stimuli systematically
differs between American and Japanese individuals.
PMID- 29379458
TI - Measurement Invariance of a Classroom Engagement Measure among Academically At
Risk Students.
AB - The current study investigated the measurement invariance of a classroom
engagement measure across time points, genders, and ethnicities using a sample of
523 academically at-risk students across grades 7 through 9; this measure was
based on Skinner et al.'s (1990) original engagement measure. The engagement
measure was comprised of 16 items, yielding three factors: Behavioral Engagement,
Behavioral Disaffection, and Emotional Engagement. Configural, metric, and scalar
invariance held across the three time points, as did invariance of factor
covariances and means, indicating that scores have a similar meaning across all 3
years. The engagement measure also featured adequate configural, metric, and
scalar invariance, and invariance of factor covariances and means across genders
and ethnicities. These findings suggest the measure is appropriate for
investigating substantive hypotheses regarding classroom engagement across
different grade levels, genders, and ethnicities. In summary, the current results
indicate this measure of classroom engagement is suitable for testing hypotheses
regarding group differences in engagement across grade levels, genders, and
ethnicities. Researchers may also use this measure to examine relationships
between the engagement factors and other important academic outcomes. Limitations
of the current study, such as certain caveats regarding convergent validity and
internal consistency, are also discussed.
PMID- 29379459
TI - Why Numbers Are Embodied Concepts.
PMID- 29379460
TI - What You Know, What You Do, and How You Feel: Cultural Competence, Cultural
Consonance, and Psychological Distress.
AB - Describing the link between culture (as a phenomenon pertaining to social
aggregates) and the beliefs and behaviors of individuals has eluded satisfactory
resolution; however, contemporary cognitive culture theory offers hope. In this
theory, culture is conceptualized as cognitive models describing specific domains
of life that are shared by members of a social group. It is sharing that gives
culture its aggregate properties. There are two aspects to these cultural models
at the level of the individual. Persons have their own representations of the
world that correspond incompletely to the shared model-this is their 'cultural
competence.' Persons are also variable in the degree to which they can put
cultural models into practice in their own lives-this is their 'cultural
consonance.' Low cultural consonance is a stressful experience and has been
linked to higher psychological distress. The relationship of cultural competence
per se and psychological distress is less clear. In the research reported here,
cultural competence and cultural consonance are measured on the same sample and
their associations with psychological distress are examined using multiple
regression analysis. Results indicate that, with respect to psychological
distress, while it is good to know the cultural model, it is better to put it
into practice.
PMID- 29379461
TI - The Effect of Language Learning Strategies on Proficiency, Attitudes and School
Achievement.
AB - This study examines language learning strategy (LLS) use in connexion with
foreign language attitude, proficiency and general school achievement among lower
secondary students in Years 5 and 8 (n = 868) in Hungary. An adapted version of
the Strategies Inventory for Language Learning questionnaire was used for data
collection. The results showed that Hungarian students mainly engage in
metacognitive strategies in both years. Differences between more and less
proficient language learners' strategy use have also been found. With regard to
the effect of LLS on foreign language attitude, the foreign language mark and
school achievement, path analysis indicated a good fit in both years. The
metacognitive, social and memory strategies primarily influenced foreign language
attitudes and marks in Year 5. The metacognitive strategies had a slight impact
on school achievement as well as on foreign language marks. We demonstrated the
dominant effect of metacognitive strategies and the low effect of memory
strategies in Year 8. In addition, metacognitive strategies also influenced
foreign language marks. The effect of foreign language marks on school
achievement was also remarkable. There was a strong impact on the children's
attitudes through these variables.
PMID- 29379462
TI - Contributions of Motivation, Early Numeracy Skills, and Executive Functioning to
Mathematical Performance. A Longitudinal Study.
AB - The main goal of this longitudinal study is to examine the power of different
variables and its dynamic interactions in predicting mathematical performance.
The model proposed in this study includes indicators of motivational constructs
(learning motivation and attributions), executive functioning (inhibition and
working memory), and early numeracy skills (logical operations, counting, and
magnitude comparison abilities), assessed during kindergarten, and mathematical
performance in the second year of Primary Education. The sample consisted of 180
subjects assessed in two moments (5-6 and 7-8 years old). The results showed an
indirect effect of initial motivation on later mathematical performance.
Executive functioning and early numeracy skills mediated the effect of motivation
on later mathematic achievement. Practical implications of these findings for
mathematics education are discussed.
PMID- 29379463
TI - The Bulbocavernosus Reflex in the Differential Diagnosis of Multiple System
Atrophy with Predominant Parkinsonism and Parkinson's Disease.
AB - Multiple system atrophy with predominant parkinsonism (MSA-P) is a degenerative
disorder that presents with autonomic dysfunction, atypical parkinsonism, and
ataxia. Parkinson's disease (PD) is an age-related neurological disorder of the
central nervous system. Differentiation between MSA-P and PD is important because
treatments, complications, and prognoses differ. The bulbocavernosus reflex (BCR)
tests the afferent and efferent signals of the pudendal nerve as well as the
sacral cord. In this study, we investigated differences in BCR parameters between
MSA-P and PD patients. Thirty-eight MSA-P patients and 32 PD patients were
selected to participate in our electrophysiological investigations. The Keypoint
EMG/EP system was used to induce the BCR, and latencies and amplitudes were
recorded for systematic statistical analyses. Area under the curve of the
receiver operating characteristic was used to assess the specificity and
sensitivity of the BCR parameters. A BCR was elicited in 76.32% of MSA-P patients
and 93.75% of PD patients. The BCR latencies of the MSA-P group were longer than
those of the PD group (p < 0.001). In addition, the MSA-P group had a lower BCR
amplitude compared to the PD and control groups (p < 0.001). We discovered the
difference between MSA-P and PD through BCR latencies and amplitudes. Compared to
PD patients, MSA-P patients have longer latencies and lower amplitudes.
Therefore, the BCR may be used to discriminate between MSA-P and PD in some
cases.
PMID- 29379464
TI - Oculomotor and Vestibular Findings in Gaucher Disease Type 3 and Their
Correlation with Neurological Findings.
AB - Objectives: To evaluate the function of the oculomotor and vestibular systems and
to correlate these findings with the clinical status of patients with Gaucher
disease type 3 (GD3). The goal of this cross-sectional and longitudinal study was
to find oculomotor biomarkers for future clinical trials. Methods: Twenty-six
patients with GD3 were assessed for eligibility and 21 were able to perform at
least one task. Horizontal and vertical reflexive saccades, smooth pursuit, gaze
holding, optokinetic nystagmus, and horizontal vestibulo-ocular reflex (VOR) were
examined by video-oculography/video-head impulse test and compared concurrently
with 33 healthy controls. The Scale for the Assessment and Rating of Ataxia
(SARA), the modified Severity Scoring Tool (mSST), and Grooved Pegboard Test
(GPT) were administered to assess overall neurological function. Eleven patients
were also re-assessed after 1 year. Results: Nine out of 17 patients exhibited
gaze-holding deficits. One patient had upbeat nystagmus. Three patients presented
with bilateral abducens palsy in combination with central oculomotor disorders,
suggesting a bilateral involvement of the abducens nucleus. Horizontal angular
VOR gain was reduced in all patients (0.66 +/- 0.37) compared with controls (1.1
+/- 0.11, p < 0.001). Most strongly correlated with clinical rating scales were
peak velocity of downward saccades (SARA: rho = -0.752, p < 0.0005; mSST: rho =
0.611, p = 0.003; GPT: rho = -0.649, p = 0.005) and duration of vertical saccades
(SARA: rho = 0.806, p < 0.001; mSST: rho = 0.700, p < 0.0005; GPT: rho = 0.558, p
= 0.02) together with the VOR gain (SARA: rho = -0.63, p = 0.016; mSST: rho =
0.725, p = 0.003; GPT: rho = -0.666, p = 0.004). Vertical smooth pursuit gain
decreased significantly at follow-up. Interpretation: This study shows neuronal
degeneration of the brainstem and cerebellum with combined involvement of both
supranuclear and nuclear oculomotor structures and the vestibular system in GD3.
We also identified oculomotor parameters that correlate with the neurological
status and can be used as biomarkers in future clinical trials.
PMID- 29379465
TI - Surface Electromyographic Examination of Poststroke Neuromuscular Changes in
Proximal and Distal Muscles Using Clustering Index Analysis.
AB - Whether stroke-induced paretic muscle changes vary across different distal and
proximal muscles remains unclear. The objective of this study was to compare
paretic muscle changes between a relatively proximal muscle (the biceps brachii
muscle) and two distal muscles (the first dorsal interosseous muscle and the
abductor pollicis brevis muscle) following hemisphere stroke using clustering
index (CI) analysis of surface electromyograms (EMGs). For each muscle, surface
EMG signals were recorded from the paretic and contralateral sides of 12 stroke
subjects versus the dominant side of eight control subjects during isometric
muscle contractions to measure the consequence of graded levels of contraction
(from a mild level to the maximal voluntary contraction). Across all examined
muscles, it was found that partial paretic muscles had abnormally higher or lower
CI values than those of the healthy control muscles, which exhibited a
significantly larger variance in the CI via a series of homogeneity of variance
tests (p < 0.05). This finding indicated that both neurogenic and myopathic
changes were likely to take place in paretic muscles. When examining two distal
muscles of individual stroke subjects, relatively consistent CI abnormalities
(toward neuropathy or myopathy) were observed. By contrast, consistency in CI
abnormalities were not found when comparing proximal and distal muscles,
indicating differences in motor unit alternation between the proximal and distal
muscles on the paretic sides of stroke survivors. Furthermore, CI abnormalities
were also observed for all three muscles on the contralateral side. Our findings
help elucidate the pathological mechanisms underlying stroke sequels, which might
prove useful in developing improved stroke rehabilitation protocols.
PMID- 29379466
TI - Sleep-Disordered Breathing in People with Multiple Sclerosis: Prevalence,
Pathophysiological Mechanisms, and Disease Consequences.
AB - Sleep problems are common in people with multiple sclerosis (MS). Reported
prevalence rates of sleep-disordered breathing (SDB) vary between 0 and 87%.
Differences in recruitment procedures and study designs likely contribute to the
wide variance in reported prevalence rates of SBD in MS. This can make attempts
to compare SDB rates in people with MS to the general population challenging.
Little is known about the pathophysiological mechanisms that contribute to SDB in
people with MS or whether MS contributes to SDB disease progression. However,
compared to the general obstructive sleep apnea (OSA) population, there are clear
differences in the clinical phenotypes of SDB in the MS population. For instance
they are typically not obese and rates of SDB are often comparable or higher to
the general population, despite the high female predominance of MS. Thus, the
risk factors and pathophysiological causes of SDB in people with MS are likely to
be different compared to people with OSA who do not have MS. There may be
important bidirectional relationships between SDB and MS. Demyelinating lesions
of MS in the brain stem and spinal cord could influence breathing control and
upper airway muscle activity to cause SDB. Intermittent hypoxia caused by apneas
during the night can increase oxidative stress and may worsen neurodegeneration
in people with MS. In addition, inflammation and changes in cytokine levels may
play a key role in the relationship between SDB and MS and their shared
consequences. Indeed, fatigue, neurocognitive dysfunction, and depression may
worsen considerably if both disorders coexist. Recent studies indicate that
treatment of SDB in people with MS with conventional first-line therapy,
continuous positive airway pressure therapy, can reduce fatigue and cognitive
impairment. However, if the causes of SDB differ in people with MS, so too may
the optimal therapy. Thus, many questions remain concerning the relationship
between these two disorders and the underlying mechanisms and shared
consequences. Improved understanding of these factors has the potential to unlock
new therapeutic targets.
PMID- 29379467
TI - Health-Related Quality of Life Is Severely Affected in Primary Orthostatic
Tremor.
AB - Background: Primary orthostatic tremor (POT) is a movement disorder characterized
by unsteadiness upon standing still due to a tremor affecting the legs. It is a
gradually progressive condition with limited treatment options. Impairments in
health-related quality of life (HQoL) seem to far exceed the physical disability
associated with the condition. Methods: A multi-center, mixed-methodology study
was undertaken to investigate 40 consecutive patients presenting with POT to four
movement disorder centers in France. HQoL was investigated using eight
quantitative scales and a qualitative study which employed semi-structured
interviews. Qualitative data were analyzed with a combination of grounded-theory
approach. Results: Our results confirm that HQoL in POT is severely affected.
Fear of falling was identified as the main predictor of HQoL. The qualitative arm
of our study explored our initial results in greater depth and uncovered themes
not identified by the quantitative approach. Conclusion: Our results illustrate
the huge potential of mixed methodology in identifying issues influencing HQoL in
POT. Our work paves the way for enhanced patient care and improved HQoL in POT
and is paradigmatic of this modern approach for investigating HQoL issues in
chronic neurological disorders.
PMID- 29379468
TI - Advances in Radiotherapy for Glioblastoma.
AB - External beam radiotherapy (RT) has long played a crucial role in the treatment
of glioblastoma. Over the past several decades, significant advances in RT
treatment and image-guidance technology have led to enormous improvements in the
ability to optimize definitive and salvage treatments. This review highlights
several of the latest developments and controversies related to RT, including the
treatment of elderly patients, who continue to be a fragile and vulnerable
population; potential salvage options for recurrent disease including
reirradiation with chemotherapy; the latest imaging techniques allowing for more
accurate and precise delineation of treatment volumes to maximize the therapeutic
ratio of conformal RT; the ongoing preclinical and clinical data regarding the
combination of immunotherapy with RT; and the increasing evidence of cancer stem
cell niches in the subventricular zone which may provide a potential target for
local therapies. Finally, continued development on many fronts have allowed for
modestly improved outcomes while at the same time limiting toxicity.
PMID- 29379469
TI - Extensive Delayed Brain Atrophy after Resuscitation in a Patient with Multiple
System Atrophy.
AB - Brain magnetic resonance imaging (MRI) of multiple system atrophy (MSA) shows
atrophy in the cerebrum, cerebellum, and brainstem. It is also characterized by
specific patterns such as hyperintense lateral putaminal rim. MRI of hypoxic
encephalopathy shows atrophy mainly in the gray matter, and laminar necrosis in
the cerebral cortex is often observed. Here, we report an MSA patient damaged by
hypoxic insult and resuscitated after 18-min cardiac arrest. The brain of the
patient developed severe atrophy within a period of 10 months. Furthermore, brain
atrophy was observed in the white and gray matter, which preserved the brain
atrophy pattern in MSA. We assume that alpha-synuclein oligomerization is
involved in the neural cell death and brain atrophy. It might have caused further
neural cell death in the brain damaged by hypoxia. Alpha-synuclein, which is
involved in the pathogenesis of MSA, is suggested to be a prion. Misfolded alpha
synuclein may propagate through cell-to-cell transmission and cause wide
pathological change, visible as atrophied MR imaging.
PMID- 29379470
TI - Mechanistic Insights into Regulation of JAK2 Tyrosine Kinase.
AB - JAK2 is a member of the Janus kinase (JAKs) family of non-receptor protein
tyrosine kinases, which includes JAK1-3 and TYK2. JAKs serve as the cytoplasmic
signaling components of cytokine receptors and are activated through cytokine
mediated trans-phosphorylation, which leads to receptor phosphorylation and
recruitment and phosphorylation of signal transducer and activator of
transcription (STAT) proteins. JAKs are unique among tyrosine kinases in that
they possess a pseudokinase domain, which is just upstream of the C-terminal
tyrosine kinase domain. A wealth of biochemical and clinical data have
established that the pseudokinase domain of JAKs is crucial for maintaining a low
basal (absence of cytokine) level of tyrosine kinase activity. In particular,
gain-of-function mutations in the JAK genes, most frequently, V617F in the
pseudokinase domain of JAK2, have been mapped in patients with blood disorders,
including myeloproliferative neoplasms and leukemias. Recent structural and
biochemical studies have begun to decipher the molecular mechanisms that maintain
the basal, low-activity state of JAKs and that, via mutation, lead to
constitutive activity and disease. This review will examine these mechanisms and
describe how this knowledge could potentially inform drug development efforts
aimed at obtaining a mutant (V617F)-selective inhibitor of JAK2.
PMID- 29379471
TI - Drought-Tolerant Plant Growth-Promoting Rhizobacteria Associated with Foxtail
Millet in a Semi-arid Agroecosystem and Their Potential in Alleviating Drought
Stress.
AB - The application of plant growth promoting rhizobacteria (PGPR) to agro-ecosystems
is considered to have the potential for improving plant growth in extreme
environments featured by water shortage. Herein, we isolated bacterial strains
from foxtail millet (Setaria italica L.), a drought-tolerant crop cultivated in
semiarid regions in the northeast of China. Four isolates were initially selected
for their ability to produce ACC deaminase as well as drought tolerance. The
isolates were identified as Pseudomonas fluorescens, Enterobacter hormaechei, and
Pseudomonas migulae on the basis of 16S rRNA sequence analysis. All of these
drought-tolerant isolates were able to produce EPS (exopolysaccharide).
Inoculation with these strains stimulated seed germination and seedling growth
under drought stress. Pseudomonas fluorescens DR7 showed the highest level of ACC
deaminase and EPS-producing activity. DR7 could efficiently colonize the root
adhering soil, increased soil moisture, and enhance the root adhering soil/root
tissue ratio. These results suggest drought tolerant PGPR from foxtail millet
could enhance plant growth under drought stress conditions and serve as effective
bioinoculants to sustain agricultural production in arid regions.
PMID- 29379472
TI - Caenorhabditis elegans Predation on Bacillus anthracis: Decontamination of Spore
Contaminated Soil with Germinants and Nematodes.
AB - Remediation of Bacillus anthracis-contaminated soil is challenging and approaches
to reduce overall spore levels in environmentally contaminated soil or after
intentional release of the infectious disease agent in a safe, low-cost manner
are needed. B. anthracis spores are highly resistant to biocides, but once
germinated they become susceptible to traditional biocides or potentially even
natural predators such as nematodes in the soil environment. Here, we describe a
two-step approach to reducing B. anthracis spore load in soil during laboratory
trials, whereby germinants and Caenorhabditis elegans nematodes are applied
concurrently. While the application of germinants reduced B. anthracis spore load
by up to four logs depending on soil type, the addition of nematodes achieved a
further log reduction in spore count. These laboratory based results suggest that
the combined use of nematodes and germinants could represent a promising approach
for the remediation of B. anthracis spore contaminated soil. Originality
Significance Statement: This study demonstrates for the first time the successful
use of environmentally friendly decontamination methods to inactivate Bacillus
anthracis spores in soil using natural predators of the bacterium, nematode
worms.
PMID- 29379473
TI - The Inner Workings of the Outer Surface: Skin and Gill Microbiota as Indicators
of Changing Gut Health in Yellowtail Kingfish.
AB - The mucosal surfaces and associated microbiota of fish are an important primary
barrier and provide the first line of defense against potential pathogens. An
understanding of the skin and gill microbial assemblages and the factors which
drive their composition may provide useful insights into the broad dynamics of
fish host-microbial relationships, and may reveal underlying changes in health
status. This is particularly pertinent to cultivated systems whereby various
stressors may led to conditions (like enteritis) which impinge on productivity.
As an economically important species, we assessed whether the outer-surface
bacterial communities reflect a change in gut health status of cultivated
Yellowtail Kingfish (Seriola lalandi). Active bacterial assemblages were surveyed
from RNA extracts from swabs of the skin and gills by constructing Illumina 16S
rRNA gene amplicon libraries. Proteobacteria and Bacteroidetes were predominant
in both the skin and gills, with enrichment of key beta-proteobacteria in the
gills (Nitrosomonadales and Ferrovales). Fish exhibiting early stage chronic
lymphocytic enteritis comprised markedly different global bacterial assemblages
compared to those deemed healthy and exhibiting late stages of the disease. This
corresponded to an overall loss of diversity and enrichment of Proteobacteria and
Actinobacteria, particularly in the gills. In contrast, bacterial assemblages of
fish with late stage enteritis were generally similar to those of healthy
individuals, though with some distinct taxa. In conclusion, gut health status is
an important factor which defines the skin and gill bacterial assemblages of fish
and likely reflects changes in immune states and barrier systems during the early
onset of conditions like enteritis. This study represents the first to
investigate the microbiota of the outer mucosal surfaces of fish in response to
underlying chronic gut enteritis, revealing potential biomarkers for assessing
fish health in commercial aquaculture systems.
PMID- 29379474
TI - Dynamics of Viral and Host Immune Cell MicroRNA Expression during Acute
Infectious Mononucleosis.
AB - Epstein-Barr virus (EBV) is the etiological agent of acute infectious
mononucleosis (IM). Since acute IM is a self-resolving disease with most patients
regaining health in 1-3 weeks there have been few studies examining molecular
signatures in early acute stages of the disease. MicroRNAs (miRNAs) have been
shown, however, to influence immune cell function and consequently the generation
of antibody responses in IM. In this study, we performed a comprehensive analysis
of differentially expressed miRNAs in early stage uncomplicated acute IM. miRNAs
were profiled from patient peripheral blood obtained at the time of IM diagnosis
and at subsequent time points, and pathway analysis performed to identify
important immune and cell signaling pathways. We identified 215 differentially
regulated miRNAs at the most acute stage of infection when the patients initially
sought medical help. The number of differentially expressed miRNAs decreased to
148 and 68 at 1 and 2 months post-primary infection, with no significantly
changed miRNAs identified at 7 months post-infection. Interferon signaling, T and
B cell signaling and antigen presentation were the top pathways influenced by the
miRNAs associated with IM. Thus, a dynamic and regulated expression profile of
miRNA accompanies the early acute immune response, and resolution of infection,
in IM.
PMID- 29379475
TI - Comparative Proteomic Analysis of Hymenolepis diminuta Cysticercoid and Adult
Stages.
AB - Cestodiases are common parasitic diseases of animals and humans. As cestodes have
complex lifecycles, hexacanth larvae, metacestodes (including cysticercoids), and
adults produce proteins allowing them to establish invasion and to survive in the
hostile environment of the host. Hymenolepis diminuta is the most commonly used
model cestode in experimental parasitology. The aims of the present study were to
perform a comparative proteomic analysis of two consecutive developmental stages
of H. diminuta (cysticercoid and adult) and to distinguish proteins which might
be characteristic for each of the stages from those shared by both stages.
Somatic proteins of H. diminuta were isolated from 6-week-old cysticercoids and
adult tapeworms. Cysticercoids were obtained from experimentally infected
beetles, Tenebrio molitor, whereas adult worms were collected from experimentally
infected rats. Proteins were separated by GeLC-MS/MS (one dimensional gel
electrophoresis coupled with liquid chromatography and tandem mass spectrometry).
Additionally protein samples were digested in-liquid and identified by LC-MS/MS.
The identified proteins were classified according to molecular function, cellular
components and biological processes. Our study showed a number of differences and
similarities in the protein profiles of cysticercoids and adults; 233
cysticercoid and 182 adult proteins were identified. From these proteins, 131
were present only in the cysticercoid and 80 only in the adult stage samples.
Both developmental stages shared 102 proteins; among which six represented
immunomodulators and one is a potential drug target. In-liquid digestion and LC
MS/MS complemented and confirmed some of the GeLC-MS/MS identifications. Possible
roles and functions of proteins identified with both proteomic approaches are
discussed.
PMID- 29379476
TI - Effect of Various Inoculum Levels of Multidrug-Resistant Salmonella enterica
Serovar Heidelberg (2011 Ground Turkey Outbreak Isolate) on Cecal Colonization,
Dissemination to Internal Organs, and Deposition in Skeletal Muscles of
Commercial Turkeys after Experimental Oral Challenge.
AB - Salmonella enterica serovar Heidelberg (S. Heidelberg) is a major foodborne
pathogen colonizing poultry. The pathogen is associated with a significant number
of foodborne outbreaks through contaminated poultry meat, including turkeys.
Recently, multidrug-resistant (MDR) strains of S. Heidelberg have emerged as a
threat to human public health in the United States. The objective of this study
was to determine the cecal colonization, dissemination to internal organs, and
the potential for skeletal muscle deposition of an MDR S. Heidelberg isolate from
the 2011 ground turkey outbreak in the United States after the experimental oral
challenge of poults (young turkeys) and adult turkey hens. In the poult study,
two separate experiments using day-old, straight-run, commercial hybrid converter
poults were randomly assigned to five challenge groups (0, 10?2, 10?4, 10?6, 10?8
CFU groups; 12 poults/group; N = 60/experiment) and a week after, treatment
groups were challenged separately with 0-, 2-, 4-, 6-, and 8- log10 CFU of S.
Heidelberg orally. After 14 days post-challenge, the poults were euthanized, and
samples were collected to determine MDR S. Heidelberg colonization in the cecum,
dissemination to liver and spleen, and deposition in the thigh, drumstick, and
breast muscles. A similar experimental design was followed for the adult turkey
hens. In two separate experiments, 11-week-old commercial Hybrid Converter turkey
hens (4 hens/group; N = 20/experiment) were challenged with MDR S. Heidelberg and
on day 16 post-challenge, birds were euthanized and samples were collected to
determine Salmonella populations in the samples. The results indicated that, in
turkey poults, the recovery of MDR S. Heidelberg was highest in the cecum
followed by spleen, liver, thigh, drumstick, and breast. All tested inoculum
levels resulted in more than 3.5 log10 CFU/g colonization in the poult cecum. The
cecal colonization, dissemination to internal organs, and tissue deposition of
MDR S. Heidelberg were high in poults. The pathogen recovery from the cecum of
adult turkey hens ranged from 37.5 to 62.5% in the challenge groups. The results
signify the importance of controlling MDR S. Heidelberg in turkeys at the farm
level to improve the safety of turkey products.
PMID- 29379477
TI - Ultrastructural Analysis of Cell Envelope and Accumulation of Lipid Inclusions in
Clinical Mycobacterium tuberculosis Isolates from Sputum, Oxidative Stress, and
Iron Deficiency.
AB - Introduction: Mycobacteria have several unique cellular characteristics, such as
multiple cell envelope layers, elongation at cell poles, asymmetric cell
division, and accumulation of intracytoplasmic lipid inclusions, which
contributes to their survival under stress conditions. However, the understanding
of these characteristics in clinical Mycobacterium tuberculosis (M. tuberculosis)
isolates and under host stress is limited. We previously reported the influence
of host stress on the cell length distribution in a large set of clinical M.
tuberculosis isolates (n = 158). Here, we investigate the influence of host
stress on the cellular ultrastructure of few clinical M. tuberculosis isolates (n
= 8) from that study. The purpose of this study is to further understand the
influence of host stress on the cellular adaptations of clinical M. tuberculosis
isolates. Methods: We selected few M. tuberculosis isolates (n = 8) for analyzing
the cellular ultrastructure ex vivo in sputum and under in vitro stress
conditions by transmission electron microscopy. The cellular adaptations of M.
tuberculosis in sputum were correlated with the ultrastructure of antibiotic
sensitive and resistant isolates in liquid culture, under oxidative stress, iron
deficiency, and exposure to isoniazid. Results: In sputum, M. tuberculosis
accumulated intracytoplasmic lipid inclusions. In liquid culture, clinical M.
tuberculosis revealed isolate to isolate variation in the extent of
intracytoplasmic lipid inclusions, which were absent in the laboratory strain
H37Rv. Oxidative stress, iron deficiency, and exposure to isoniazid increased the
accumulation of lipid inclusions and decreased the thickness of the cell envelope
electron transparent layer in M. tuberculosis cells. Furthermore,
intracytoplasmic compartments were observed in iron deficient cells. Conclusion:
Our ultrastructural analysis has revealed significant influence of host stress on
the cellular adaptations in clinical M. tuberculosis isolates. These adaptations
may contribute to the survival of M. tuberculosis under host and antibiotic
stress conditions. Variation in the cellular adaptations among clinical M.
tuberculosis isolates may correlate with their ability to persist in tuberculosis
patients during antibiotic treatment. These observations indicate the need for
further analyzing these cellular adaptations in a large set of clinical M.
tuberculosis isolates. This will help to determine the significance of these
cellular adaptations in the tuberculosis treatment.
PMID- 29379479
TI - How Does HTLV-1 Undergo Oncogene-Dependent Replication Despite a Strong Immune
Response?
AB - In 1987, Mitsuaki Yoshida proposed the following model (Yoshida and Seiki, 1987):
"... T-cells activated through the endogenous p40x would express viral antigens
including the envelope glycoproteins which are exposed on the cell surface. These
glycoproteins are targets of host immune surveillance, as is evidenced by the
cytotoxic effects of anti-envelope antibodies or patient sera. Eventually all
cells expressing the viral antigens, that is, all cells driven by the p40x would
be rejected by the host. Only those cells that did not express the viral antigens
would survive. Later, these antigen-negative infected cells would begin again to
express viral antigens, including p40x, thus entering into the second cycle of
cell propagation. These cycles would be repeated in so-called healthy virus
carriers for 20 or 30 years or longer...." Three decades later, accumulated
experimental facts particularly on intermittent viral transcription and
regulation by the host immune response appear to prove that Yoshida was right.
This Hypothesis and Theory summarizes the evidences that support this paradigm.
PMID- 29379480
TI - Family Aggregation of HTLV-1 Infection Associated with FAS -670A/G Polymorphism:
A Case Report.
AB - Human T-lymphotropic virus 1 (HTLV-1) infection has been associated with ATL and
inflammatory diseases but remains a neglected health problem. HTLV-1 associated
diseases were originally described as sporadic entities, but family aggregations
have been reported. Viral, genetic, immunological and behavioral factors were
used to explain family clusters, but until now a clear explanation remains
uncertain. In the present study we report, for the first time, a family cluster
of diseased persons presenting the infection across three generations associated
with FAS -670A/G polymorphism.
PMID- 29379478
TI - Arginine and Polyamines Fate in Leishmania Infection.
AB - Leishmania is a protozoan parasite that alternates its life cycle between the
sand fly and the mammalian host macrophages, involving several environmental
changes. The parasite responds to these changes by promoting a rapid metabolic
adaptation through cellular signaling modifications that lead to transcriptional
and post-transcriptional gene expression regulation and morphological
modifications. Molecular approaches such as gene expression regulation, next
generation sequencing (NGS), microRNA (miRNA) expression profiling, in cell
Western blot analyses and enzymatic activity profiling, have been used to
characterize the infection of murine BALB/c and C57BL/6 macrophages, as well as
the human monocytic cell-lineage THP-1, with Leishmania amazonensis wild type (La
WT) or arginase knockout (La-arg - ). These models are being used to elucidate
physiological roles of arginine and polyamines pathways and the importance of
arginase for the establishment of the infection. In this review, we will describe
the main aspects of Leishmania-host interaction, focusing on the arginine and
polyamines pathways and pointing to possible targets to be used for prognosis
and/or in the control of the infection. The parasite enzymes, arginase and nitric
oxide synthase-like, have essential roles in the parasite survival and in the
maintenance of infection. On the other hand, in mammalian macrophages, defense
mechanisms are activated inducing alterations in the mRNA, miRNA and enzymatic
profiles that lead to the control of infection. Furthermore, the genetic
background of both parasite and host are also important to define the fate of
infection.
PMID- 29379482
TI - Streptococcus pneumoniae Proteins AmiA, AliA, and AliB Bind Peptides Found in
Ribosomal Proteins of Other Bacterial Species.
AB - The nasopharynx is frequently colonized by both commensal and pathogenic bacteria
including Streptococcus pneumoniae (pneumococcus). Pneumococcus is an important
pathogen responsible for bacterial meningitis and community acquired pneumonia
but is also commonly an asymptomatic colonizer of the nasopharynx. Understanding
interactions between microbes may provide insights into pathogenesis. Here, we
investigated the ability of the three oligopeptide-binding proteins AmiA, AliA,
and AliB of an ATP-binding cassette transporter of pneumococcus to detect short
peptides found in other bacterial species. We found three possible peptide
ligands for AmiA and four each for AliA and AliB of which two for each protein
matched ribosomal proteins of other bacterial species. Using synthetic peptides
we confirmed the following binding: AmiA binds peptide AKTIKITQTR, matching 50S
ribosomal subunit protein L30, AliA binds peptide FNEMQPIVDRQ, matching 30S
ribosomal protein S20, and AliB binds peptide AIQSEKARKHN, matching 30S ribosomal
protein S20, without excluding the possibility of binding of the other peptides.
These Ami-AliA/AliB peptide ligands are found in multiple species in the class of
Gammaproteobacteria which includes common colonizers of the nostrils and
nasopharynx. Binding such peptides may enable pneumococcus to detect and respond
to neighboring species in its environment and is a potential mechanism for
interspecies communication and environmental surveillance.
PMID- 29379481
TI - Hijacking of the AP-1 Signaling Pathway during Development of ATL.
AB - Human T-cell leukemia virus type 1 (HTLV-1) is the causative agent of a fatal
malignancy known as adult T-cell leukemia (ATL). One way to address the pathology
of the disease lies on conducting research with a molecular approach. In addition
to the analysis of ATL-relevant signaling pathways, understanding the regulation
of important and relevant transcription factors allows researchers to reach this
fundamental objective. HTLV-1 encodes for two oncoproteins, Tax and HTLV-1 basic
leucine-zipper factor, which play significant roles in the cellular
transformation and the activation of the host's immune responses. Activating
protein-1 (AP-1) transcription factor has been linked to cancer and neoplastic
transformation ever since the first representative members of the Jun and Fos
gene family were cloned and shown to be cellular homologs of viral oncogenes. AP
1 is a dimeric transcription factor composed of proteins belonging to the Jun (c
Jun, JunB, and JunD), Fos (c-Fos, FosB, Fra1, and Fra2), and activating
transcription factor protein families. Activation of AP-1 transcription factor
family by different stimuli, such as inflammatory cytokines, stress inducers, or
pathogens, results in innate and adaptive immunity. AP-1 is also involved in
various cellular events including differentiation, proliferation, survival, and
apoptosis. Deregulated expression of AP-1 transcription factors is implicated in
various lymphomas such as classical Hodgkin lymphomas, anaplastic large cell
lymphomas, diffuse large B-cell lymphomas, and adult T-cell leukemia. Here, we
review the current thinking behind deregulation of the AP-1 pathway and its
contribution to HTLV-induced cellular transformation.
PMID- 29379483
TI - Virulence and Stress Responses of Shigella flexneri Regulated by PhoP/PhoQ.
AB - The two-component signal transduction system PhoP/PhoQ is an important regulator
for stress responses and virulence in most Gram-negative bacteria, but
characterization of PhoP/PhoQ in Shigella has not been thoroughly investigated.
In the present study, we found that deletion of phoPQ (DeltaphoPQ) from Shigella
flexneri 2a 301 (Sf301) resulted in a significant decline (reduced by more than
15-fold) in invasion of HeLa cells and Caco-2 cells, and less inflammation (- or
+) compared to Sf301 (+++) in the guinea pig Sereny test. In low Mg2+ (10 MUM)
medium or pH 5 medium, the DeltaphoPQ strain exhibited a growth deficiency
compared to Sf301. The DeltaphoPQ strain was more sensitive than Sf301 to
polymyxin B, an important antimicrobial agent for treating multi-resistant Gram
negative infections. By comparing the transcriptional profiles of DeltaphoPQ and
Sf301 using DNA microarrays, 117 differentially expressed genes (DEGs) were
identified, which were involved in Mg2+ transport, lipopolysaccharide
modification, acid resistance, bacterial virulence, respiratory, and energy
metabolism. Based on the reported PhoP box motif [(T/G) GTTTA-5nt-(T/G) GTTTA],
we screened 38 suspected PhoP target operons in S. flexneri, and 11 of them
(phoPQ, mgtA, slyB, yoaE, yrbL, icsA, yhiWX, rstA, hdeAB, pagP, and shf-rfbU-virK
msbB2) were demonstrated to be PhoP-regulated genes based on electrophoretic
mobility shift assays and beta-galactosidase assays. One of these PhoP-regulated
genes, icsA, is a well-known virulence factor in S. flexneri. In conclusion, our
data suggest that the PhoP/PhoQ system modulates S. flexneri virulence (in an
icsA-dependent manner) and stress responses of Mg2+, pH and antibacterial
peptides.
PMID- 29379484
TI - PmrA/PmrB Two-Component System Regulation of lipA Expression in Pseudomonas
aeruginosa PAO1.
AB - Pseudomonas lipases are well-studied, but few studies have examined the
mechanisms of lipase expression regulation. As a global regulatory protein, PmrA
controls the expression of multiple genes such as the Dot/Icm apparatus,
eukaryotic-like proteins, and secreted effectors. In this study, the effect of
PmrA on expression of the lipase lipA in Pseudomonas aeruginosa PAO1 was
investigated by knocking out or overexpressing pmrA, rsmY, and rsmA. PmrA
regulated the expression of lipA at both the transcriptional and translational
level although translation was the pivotal regulatory mechanism for lipA
expression. PmrA also regulated the expression of rsmY. Using gel mobility shift
assay and pmrA/rsmY double gene knock-out model, we showed that PmrA directly
bound to the promoter sequence of rsmY to regulate lipA expression. Translation
of lipA was activated by the PmrA/PmrB system via RsmA. Specifically, the Shine
Dalgarno (SD) sequence located at lipA mRNA was overlapped through combination
between RsmA and the AGAUGA sequence, subsequently blocking the 30S ribosomal
subunit to the SD sequence, leading to translational inhibition of lipA.
Transcriptional repression of RsmY initiated translation of lipA through negative
translational regulation of rsmA. In conclusion, this study demonstrated that in
P. aeruginosa PAO1, PmrA mainly regulated rsmY expression at a translational
level to influence lipA expression. RsmY primarily activated lipA translation via
negative translational regulation of rsmA.
PMID- 29379485
TI - Endogenous Retrovirus 3 - History, Physiology, and Pathology.
AB - Endogenous viral elements (EVE) seem to be present in all eukaryotic genomes. The
composition of EVE varies between different species. The endogenous retrovirus 3
(ERV3) is one of these elements that is present only in humans and other
Catarrhini. Conservation of ERV3 in most of the investigated Catarrhini and the
expression pattern in normal tissues suggest a putative physiological role of
ERV3. On the other hand, ERV3 has been implicated in the pathogenesis of auto
immunity and cancer. In the present review we summarize knowledge about this
interesting EVE. We propose the model that expression of ERV3 (and probably other
EVE loci) under pathological conditions might be part of a metazoan SOS response.
PMID- 29379487
TI - RNase III-Binding-mRNAs Revealed Novel Complementary Transcripts in Streptomyces.
AB - cis-Antisense RNAs (asRNAs) provide very simple and effective gene expression
control due to the perfect complementarity between regulated and regulatory
transcripts. In Streptomyces, the antibiotic-producing clade, the antisense
control system is not yet understood, although it might direct the organism's
complex development. Initial studies in Streptomyces have found a number of
asRNAs. Apart from this, hundreds of mRNAs have been shown to bind RNase III, the
double strand-specific endoribonuclease. In this study, we tested 17 mRNAs that
have been previously co-precipitated with RNase III for antisense expression. Our
RACE mapping showed that all of these mRNAs possess cognate asRNA. Additional
tests for antisense expression uncovered as-adpA, as-rnc, as3983, as-sigB, as
sigH, and as-sigR RNAs. Northern blots detected the expression profiles of 18
novel transcripts. Noteworthy, we also found that only a minority of asRNAs
respond to the absence of RNase III enzyme by increasing their cellular levels.
Our findings suggest that antisense expression is widespread in Streptomyces,
including genes of such important developmental regulators, as AdpA, RNase III,
and sigma factors.
PMID- 29379486
TI - Designing a B Cell-Based Vaccine against a Highly Variable Hepatitis C Virus.
AB - The ability to use structure-based design and engineering to control the
molecular shape and reactivity of an immunogen to induce protective responses
shows great promise, along with corresponding advancements in vaccine testing and
evaluation systems. We describe in this review new paradigms for the development
of a B cell-based HCV vaccine. Advances in test systems to measure in vitro and
in vivo antibody-mediated virus neutralization include retroviral pseudotype
particles expressing HCV E1E2 glycoproteins (HCVpp), infectious cell culture
derived HCV virions (HCVcc), and surrogate animal models mimicking acute HCV
infection. Their applications have established the role of broadly neutralizing
antibodies to control HCV infection. However, the virus has immunogenic regions
in the viral envelope glycoproteins that are associated with viral escape or non
neutralizing antibodies. These regions serve as immunologic decoys that divert
the antibody response from less prominent conserved regions mediating virus
neutralization. This review outlines the immunogenic regions on E2, which are
roughly segregated into the hypervariable region 1 (HVR1), and five clusters of
overlapping epitopes designated as antigenic domains A-E. Understanding the
molecular architecture of conserved neutralizing epitopes within these antigenic
domains, and how other antigenic regions or decoys deflect the immune response
from these conserved regions will provide a roadmap for the rational design of an
HCV vaccine.
PMID- 29379488
TI - Prevalence, Virulence Genes and Antimicrobial Resistance Profiles of Salmonella
Serovars from Retail Beef in Selangor, Malaysia.
AB - The aim of the present study was to investigate the prevalence of Salmonella
spp., Salmonella Enteritidis and Salmonella Typhimurium in retail beef from
different retail markets of Selangor area, as well as, to assess their pathogenic
potential and antimicrobial resistance. A total of 240 retail beef meat samples
(chuck = 60; rib = 60; round = 60; sirloin = 60) were randomly collected. The
multiplex polymerase chain reaction (mPCR) in combination with the most probable
number (MPN) method was employed to detect Salmonella spp., S. Enteritidis and S.
Typhimurium in the meat samples. The prevalence of Salmonella spp., S.
Enteritidis and S. Typhimurium in 240 beef meat samples were 7.50, 1.25, and
0.83%, respectively. The microbial loads of total Salmonella was found in the
range of <3 to 15 MPN/g. Eight different serovars of Salmonella were identified
among the 23 isolates, and S. Agona was the predominant serovar (26.09%).
Interestingly, all the Salmonella isolates were resistant to penicillin,
erythromycin and vancomycin, but the sensitivity was observed for tetracycline,
gentamicin and amoxicillin/clavulanic acid. All 23 isolates were resistant to at
least three antibiotics. Two S. Typhimurium isolates (8.70%) exhibited the
highest multiple antibiotic resistance (MAR) index value of 0.56 which shown
resistance to nine antibiotics. PCR analysis of virulence genes showed that all
Salmonella isolates (100%) were positive for the invA gene. Meanwhile, pefA was
only identified in S. Enteritidis and S. Typhimurium. The findings in this study
indicate that retail beef products tested were widely contaminated with multi
drug resistant (MDR) Salmonella and various virulence genes are present among the
isolated Salmonella serovars.
PMID- 29379489
TI - Effects of Sub-lethal Concentrations of Silver Nanoparticles on a Simulated
Intestinal Prokaryotic-Eukaryotic Interface.
AB - Nanotechnology applications are expected to bring a range of benefits to the food
sector, aiming to provide better quality and conservation. In this research, the
physiological response of both an Escherichia coli mono-species biofilm and Caco
2 intestinal cells to sub-lethal concentrations of silver nanoparticles (AgNPs)
has been investigated. In order to simulate the anaerobic and aerobic
compartments required for bacteria and intestinal cells growth, a simplified semi
batch model based on a transwell permeable support was developed. Interaction
between the two compartments was obtained by exposing Caco-2 intestinal cells to
the metabolites secreted by E. coli biofilm after its exposure to AgNPs. To the
best of the authors' knowledge, this study is the first to investigate the effect
of AgNPs on Caco-2 cells that takes into consideration previous AgNP-intestinal
biofilm interactions, and at concentrations mimicking real human exposure. Our
data show that 1 MUg/mL AgNPs in anaerobic conditions (i) promote biofilm
formation up to 2.3 +/- 0.3 fold in the first 72 h of treatment; (ii) increase
reactive oxygen species (ROS) production to 84 +/- 21% and change the
physiological status of microbial cells after 96 h of treatment; (iii) seriously
affect a 72-h old established biofilm, increasing the level of oxidative stress
to 86 +/- 21%. Moreover, the results indicate that oxygen renders the biofilm
more adequate to counteract AgNP effects. Comet assays on Caco-2 cells
demonstrated a protective role of biofilm against the genotoxic effect of 1
MUg/mL AgNPs on intestinal epithelial cells.
PMID- 29379490
TI - Polyelectrolyte Multicomponent Colloidosomes Loaded with Nisin Z for Enhanced
Antimicrobial Activity against Foodborne Resistant Pathogens.
AB - Food grade micro- or nano-carrier systems (NCS) are being developed to improve
the controlled release of antimicrobial agents. To augment the stability of
liposomal NCS and to overcome the limitations associated with the use of free
bacteriocin (nisin) in the food system, multi-component colloidosomes (MCCS) were
developed by electrostatic interactions between anionic alginate and cationic
chitosan (multilayer) around phospholipids based liposomes (core). Zeta-sizer
results revealed the average diameter of 145 +/- 2 nm, 596 +/- 3 nm, and 643 +/-
5 nm for nano-liposome (NL), chitosomes (chitosan coated NL) and MCCS,
respectively. Zeta potential values of NCS varied from -4.37 +/- 0.16 mV to 33.3
+/- 6 mV, thus both chitosomes (CS) and MCCS were positively charged.
Microstructure analysis by scanning electron microscope (SEM) revealed relatively
higher size of MCCS with smooth and round morphology. TGA and DSC based
experiments revealed that MCCS were thermally more stable than uncoated
liposomes. Encapsulation efficiency of nisin in MCCS was observed to be 82.9 +/-
4.1%, which was significantly higher than NL (56.5 +/- 2.5%). FTIR analyses
confirmed the cross-linking between sodium alginate and chitosan layer. Both
qualitative (growth kinetics) and quantitative (colony forming unit)
antimicrobial assays revealed that nisin loaded MCCS have superior potential to
control resistant foodborne pathogens including Staphylococcus aureus, Listeria
monocytogenes, and Enterococcus faecalis, (5.8, 5.4, and 6.1 Log CFUmL-1
reduction, respectively) as compared to free nisin, loaded NL or CS. Controlled
release kinetics data fitted with Korsmeyer-Peppas model suggested that nisin
release from MCCS followed Fickian diffusion. Cytotoxic studies on human blood
cells and HepG2 cell lines revealed hemocompatibility and non-toxicity of MCCS.
Thus, due to enhanced controlled release, stability and biocompatibility; these
multi-component colloidosomes can be useful for incorporating antimicrobial
agents into functional foods, beverages and pharmaceutical products to combat
pathogenic and spoilage bacteria.
PMID- 29379491
TI - Lipids, pH, and Their Interaction Affect the Inhibitory Effects of Carvacrol
against Salmonella Typhimurium PT4 and Escherichia coli O157:H7.
AB - Although carvacrol (CAR) is considered an alternative antimicrobial for use in
food, few is known about the influence of food-related parameters on its
inhibitory effects against pathogens. This study assessed the influence of
different amounts of proteins, using beef extract (BE) as a protein-rich source,
lipids (LIP), using sunflower oil as a LIP-rich source, and pH values or their
interaction on the inhibitory effects of CAR against Salmonella Typhimurium PT4
(ST) and Escherichia coli O157:H7 (EC). The specific maximum growth rate (MUmax)
and lag phase duration (lambda) of the test pathogens when exposed to CAR in
media with different amounts of BE (4, 6, and 8 g/100 mL), LIP (3.75, 5, and 6.25
mL/100 mL), and pH values (5, 5.5, and 6) were determined. The viable counts of
the tested pathogens in media that promoted the highest and lowest MUmax in the
presence of CAR were monitored during 24 h. The lowest MUmax of ST and EC exposed
to 2.4 MUL/mL (-1.29 and -0.82 log CFU/mL/h, respectively) or 4.8 MUL/mL CAR (
1.44 and -2.17 log CFU/mL/h, respectively) were observed in media with the
highest LIP amount (6.25 mL/100 mL) and pH value (pH 6). For both SE and EC, the
longest lambda (> 2 h) was verified in media where these pathogens showed the
lowest MUmax. These data indicate that the concomitant increase in LIP amounts
and pH values affected positively the CAR inhibitory effects against the target
pathogens. CAR (2.4 or 4.8 MUL/mL) failed to inhibit the increase in ST and EC
counts in media where the highest MUmax values were previously observed. On the
contrary, CAR inhibited the increase of ST counts (final counts 5 log CFU/mL) and
decreased the EC counts (final counts 3.5 log CFU/mL) in media where the lowest
MUmax values were observed. These results show that the inhibitory effects of CAR
on ST and EC in food matrices could be affected as a function of the interaction
of LIP amounts and pH values.
PMID- 29379492
TI - African Lineage Brucella melitensis Isolates from Omani Livestock.
AB - Brucellosis is a common livestock disease in the Middle East and North Africa,
but remains poorly described in the region both genetically and
epidemiologically. Traditionally found in goats and sheep, Brucella melitensis is
increasingly recognized as infecting camels. Most studies of brucellosis in
camels to date have focused on serological surveys, providing only limited
understanding of the molecular epidemiology of circulating strains. We genotyped
B. melitensis isolates from Omani camels using whole genome SNP assays and VNTRs
to provide context for regional brucellosis cases. We identified a lineage of B.
melitensis circulating in camels as well as in goats, sheep, and cattle in Oman.
This lineage is genetically distinct from most genotypes from the Arabian
Peninsula and from isolates from much of the rest of the Middle East. We then
developed diagnostic assays that rapidly identify strains from this lineage. In
analyses of genotypes from throughout the region, Omani isolates were genetically
most closely related to strains from brucellosis cases in humans and livestock in
North Africa. Our findings suggest an African origin for B. melitensis in Oman
that has likely occurred through the trade of infected livestock. Moreover,
African lineages of B. melitensis appear to be undersampled and consequently are
underrepresented in genetic databases for Brucella. As we begin to more fully
understand global genomic diversity of B. melitensis, finding and characterizing
these unique but widespread lineages is essential. We predict that increased
sampling of humans and livestock in Africa will reveal little known diversity in
this important zoonotic pathogen.
PMID- 29379493
TI - Progress and Challenges in the Design and Clinical Development of Antibodies for
Cancer Therapy.
AB - The remarkable progress in engineering and clinical development of therapeutic
antibodies in the last 40 years, after the seminal work by Kohler and Milstein,
has led to the approval by the United States Food and Drug Administration (FDA)
of 21 antibodies for cancer immunotherapy. We review here these approved
antibodies, with emphasis on the methods used for their discovery, engineering,
and optimization for therapeutic settings. These methods include antibody
engineering via chimerization and humanization of non-human antibodies, as well
as selection and further optimization of fully human antibodies isolated from
human antibody phage-displayed libraries and immunization of transgenic mice
capable of generating human antibodies. These technology platforms have
progressively led to the development of therapeutic antibodies with higher human
content and, thus, less immunogenicity. We also discuss the genetic engineering
approaches that have allowed isotype switching and Fc modifications to modulate
effector functions and bioavailability (half-life), which together with the
technologies for engineering the Fv fragment, have been pivotal in generating
more efficacious and better tolerated therapeutic antibodies to treat cancer.
PMID- 29379494
TI - The CXCR4-STAT3-IL-10 Pathway Controls the Immunoregulatory Function of Chronic
Lymphocytic Leukemia and Is Modulated by Lenalidomide.
AB - Chronic lymphocytic leukemia (CLL) cells possess regulatory functions comparable
to those of normal B10 cells, a regulatory B cell subset that suppresses effector
T-cell function through STAT3-mediated IL-10 production. However, the mechanisms
governing IL-10 production by CLL cells are not fully understood. Here, we show
that the CXC chemokine ligand 12 (CXCL12)-CXCR4-STAT3 axis regulates IL-10
production by CLL cells and their ability to suppress T-cell effector function
through an IL-10 mediated mechanism. Knockdown of STAT3 significantly impaired
the ability of CLL cells to produce IL-10. Furthermore, experiments to assess the
role of lenalidomide, an immunomodulatory agent with direct antitumor effect as
well as pleiotropic activity on the immune system, showed that this agent
prevents a CXCL12-induced increase in p-S727-STAT3 and the IL-10 response by CLL
cells. Lenalidomide also suppressed IL-10-induced Y705-STAT3 phosphorylation in
healthy T cells, thus reversing CLL-induced T-cell dysfunction. We conclude that
the capacity of CLL cells to produce IL-10 is mediated by the CXCL12-CXCR4-STAT3
pathway and likely contributes to immunodeficiency in patients. Lenalidomide
appears to be able to reverse CLL-induced immunosuppression through including
abrogation of the CXCL12-CXCR4-S727-STAT3-mediated IL-10 response by CLL cells
and prevention of IL-10-induced phosphorylation of Y705-STAT3 in T cells.
PMID- 29379495
TI - AIMp1 Potentiates TH1 Polarization and Is Critical for Effective Antitumor and
Antiviral Immunity.
AB - Dendritic cells (DCs) must integrate a broad array of environmental cues to exact
control over downstream immune responses including TH polarization. The
multienzyme aminoacyl-tRNA synthetase complex component AIMp1/p43 responds to
cellular stress and exerts pro-inflammatory functions; however, a role for DC
expressed AIMp1 in TH polarization has not previously been shown. Here, we
demonstrate that the absence of AIMp1 in bone marrow-derived DC (BMDC)
significantly impairs cytokine and costimulatory molecule expression, p38 MAPK
signaling, and TH1 polarization of cocultured T-cells while significantly
dysregulating immune-related gene expression. These deficits resulted in
significantly compromised BMDC vaccine-mediated protection against melanoma.
AIMp1 within the host was also critical for innate and adaptive antiviral
immunity against influenza virus infection in vivo. Cancer patients with AIMp1
expression levels in the highest tertiles exhibited a 70% survival advantage at
15-year postdiagnosis as determined by bioinformatics analysis of nearly 9,000
primary human tumor samples in The Cancer Genome Atlas database. These data
establish the importance of AIMp1 for the effective governance of antitumor and
antiviral immune responses.
PMID- 29379497
TI - Effects of Anti-IL-17 on Inflammation, Remodeling, and Oxidative Stress in an
Experimental Model of Asthma Exacerbated by LPS.
AB - Inflammation plays a central role in the development of asthma, which is
considered an allergic disease with a classic Th2 inflammatory profile. However,
cytokine IL-17 has been examined to better understand the pathophysiology of this
disease. Severe asthmatic patients experience frequent exacerbations, leading to
infection, and subsequently show altered levels of inflammation that are unlikely
to be due to the Th2 immune response alone. This study estimates the effects of
anti-IL-17 therapy in the pulmonary parenchyma in a murine asthma model
exacerbated by LPS. BALB/c mice were sensitized with intraperitoneal ovalbumin
and repeatedly exposed to inhalation with ovalbumin, followed by treatment with
or without anti-IL-17. Twenty-four hours prior to the end of the 29-day
experimental protocol, the two groups received LPS (0.1 mg/ml intratracheal OVA
LPS and OVA-LPS IL-17). We subsequently evaluated bronchoalveolar lavage fluid,
performed a lung tissue morphometric analysis, and measured IL-6 gene expression.
OVA-LPS-treated animals treated with anti-IL-17 showed decreased pulmonary
inflammation, edema, oxidative stress, and extracellular matrix remodeling
compared to the non-treated OVA and OVA-LPS groups (p < 0.05). The anti-IL-17
treatment also decreased the numbers of dendritic cells, FOXP3, NF-kappaB, and
Rho kinase 1- and 2-positive cells compared to the non-treated OVA and OVA-LPS
groups (p < 0.05). In conclusion, these data suggest that inhibition of IL-17 is
a promising therapeutic avenue, even in exacerbated asthmatic patients, and
significantly contributes to the control of Th1/Th2/Th17 inflammation, chemokine
expression, extracellular matrix remodeling, and oxidative stress in a murine
experimental asthma model exacerbated by LPS.
PMID- 29379496
TI - Type I Interferon Responses by HIV-1 Infection: Association with Disease
Progression and Control.
AB - Human immunodeficiency virus type 1 (HIV-1) is the causative agent of acquired
immunodeficiency syndrome and its infection leads to the onset of several
disorders such as the depletion of peripheral CD4+ T cells and immune activation.
HIV-1 is recognized by innate immune sensors that then trigger the production of
type I interferons (IFN-Is). IFN-Is are well-known cytokines eliciting broad anti
viral effects by inducing the expression of anti-viral genes called interferon
stimulated genes (ISGs). Extensive in vitro studies using cell culture systems
have elucidated that certain ISGs such as APOBEC3G, tetherin, SAM domain and HD
domain-containing protein 1, MX dynamin-like GTPase 2, guanylate-binding protein
5, and schlafen 11 exert robust anti-HIV-1 activity, suggesting that IFN-I
responses triggered by HIV-1 infection are detrimental for viral replication and
spread. However, recent studies using animal models have demonstrated that at
both the acute and chronic phase of infection, the role of IFN-Is produced by HIV
or SIV infection in viral replication, spread, and pathogenesis, may not be that
straightforward. In this review, we describe the pluses and minuses of HIV-1
infection stimulated IFN-I responses on viral replication and pathogenesis, and
further discuss the possibility for therapeutic approaches.
PMID- 29379498
TI - Minimum Information about T Regulatory Cells: A Step toward Reproducibility and
Standardization.
AB - Cellular therapies with CD4+ T regulatory cells (Tregs) hold promise of
efficacious treatment for the variety of autoimmune and allergic diseases as well
as posttransplant complications. Nevertheless, current manufacturing of Tregs as
a cellular medicinal product varies between different laboratories, which in turn
hampers precise comparisons of the results between the studies performed. While
the number of clinical trials testing Tregs is already substantial, it seems to
be crucial to provide some standardized characteristics of Treg products in order
to minimize the problem. We have previously developed reporting guidelines called
minimum information about tolerogenic antigen-presenting cells, which allows the
comparison between different preparations of tolerance-inducing antigen
presenting cells. Having this experience, here we describe another minimum
information about Tregs (MITREG). It is important to note that MITREG does not
dictate how investigators should generate or characterize Tregs, but it does
require investigators to report their Treg data in a consistent and transparent
manner. We hope this will, therefore, be a useful tool facilitating standardized
reporting on the manufacturing of Tregs, either for research purposes or for
clinical application. This way MITREG might also be an important step toward more
standardized and reproducible testing of the Tregs preparations in clinical
applications.
PMID- 29379500
TI - Intravital Microscopic Evaluation of the Effects of a CXCR2 Antagonist in a Model
of Liver Ischemia Reperfusion Injury in Mice.
AB - Background: Ischemia-reperfusion (IR) is a major contributor to graft rejection
after liver transplantation. During IR injury, an intense inflammatory process
occurs in the liver. Neutrophils are considered central players in the events
that lead to liver injury. CXC chemokines mediate hepatic inflammation following
reperfusion. However, few studies have demonstrated in real-time the behavior of
recruited neutrophils. We used confocal intravital microscopy (IVM) to image
neutrophil migration in the liver and to analyze in real-time parameters of
neutrophil recruitment in the inflamed tissue in animals treated or not with
reparixin, an allosteric antagonist of CXCR1/2 receptors. Materials and methods:
WT and LysM-eGFP mice treated with reparixin or saline were subjected to 60 min
of ischemia followed by different times of reperfusion. Mice received Sytox
orange intravenously to show necrotic DNA in IVM. The effect of reparixin on
parameters of local and systemic reperfusion-induced injury was also
investigated. Results: IR induced liver injury and inflammation, as evidenced by
high levels of alanine aminotransferase and myeloperoxidase activity, chemokine
and cytokine production, and histological outcome. Treatment with reparixin
significantly decreased neutrophil influx. Moreover, reparixin effectively
suppressed the increase in serum concentrations of TNF-alpha, IL-6, and CCL3, and
the reperfusion-associated tissue damage. The number of neutrophils in the liver
increased between 6 and 24 h of reperfusion, whereas the distance traveled,
velocity, neutrophil size and shape, and cluster formation reached a maximum 6 h
after reperfusion and then decreased gradually. In vivo imaging revealed that
reparixin significantly decreased neutrophil infiltration and movement and
displacement of recruited cells. Moreover, neutrophils had a smaller size and
less elongated shape in treated mice. Conclusion: Imaging of the liver by
confocal IVM was successfully implemented to describe neutrophil behavior in vivo
during liver injury by IR. Treatment with reparixin decreased not only the
recruitment of neutrophils in tissues but also their activation state and
capacity to migrate within the liver. CXCR1/2 antagonists may be a promising
therapy for patients undergoing liver transplantation.
PMID- 29379499
TI - S100 Proteins As an Important Regulator of Macrophage Inflammation.
AB - The S100 proteins, a family of calcium-binding cytosolic proteins, have a broad
range of intracellular and extracellular functions through regulating calcium
balance, cell apoptosis, migration, proliferation, differentiation, energy
metabolism, and inflammation. The intracellular functions of S100 proteins
involve interaction with intracellular receptors, membrane protein
recruitment/transportation, transcriptional regulation and integrating with
enzymes or nucleic acids, and DNA repair. The S100 proteins could also be
released from the cytoplasm, induced by tissue/cell damage and cellular stress.
The extracellular S100 proteins, serving as a danger signal, are crucial in
regulating immune homeostasis, post-traumatic injury, and inflammation.
Extracellular S100 proteins are also considered biomarkers for some specific
diseases. In this review, we will discuss the multi-functional roles of S100
proteins, especially their potential roles associated with cell migration,
differentiation, tissue repair, and inflammation.
PMID- 29379501
TI - Expression of Siglec-E Alters the Proteome of Lipopolysaccharide (LPS)-Activated
Macrophages but Does Not Affect LPS-Driven Cytokine Production or Toll-Like
Receptor 4 Endocytosis.
AB - Siglec-E is a murine CD33-related siglec that functions as an inhibitory receptor
and is expressed mainly on neutrophils and macrophage populations. Recent studies
have suggested that siglec-E is an important negative regulator of
lipopolysaccharide (LPS)-toll-like receptor 4 (TLR4) signaling and one report (1)
claimed that siglec-E is required for TLR4 endocytosis following uptake of
Escherichia coli by macrophages and dendritic cells (DCs). Our attempts to
reproduce these observations using cells from wild-type (WT) and siglec-E
deficient mice were unsuccessful. We used a variety of assays to determine if
siglec-E expressed by different macrophage populations can regulate TLR4
signaling in response to LPS, but found no consistent differences in cytokine
secretion in vitro and in vivo, comparing three different strains of siglec-E
deficient mice with matched WT controls. No evidence was found that the siglec-E
deficiency was compensated by expression of siglecs-F and -G, the other murine
inhibitory CD33-related siglecs. Quantitative proteomics was used as an unbiased
approach and provided additional evidence that siglec-E does not suppress
inflammatory TLR4 signaling. Interestingly, proteomics revealed a siglec-E
dependent alteration in macrophage protein composition that could be relevant to
functional responses in host defense. In support of this, siglec-E-deficient mice
exhibited enhanced growth of Salmonella enterica serovar Typhimurium in the liver
following intravenous infection, but macrophages lacking siglec-E did not show
altered uptake or killing of bacteria in vitro. Using various cell types
including bone marrow-derived DCs (BMDCs), splenic DCs, and macrophages from WT
and siglec-E-deficient mice, we showed that siglec-E is not required for TLR4
endocytosis following E. coli uptake or LPS challenge. We failed to see
expression of siglec-E by BMDC even after LPS-induced maturation, but confirmed
previous studies that splenic DCs express low levels of siglec-E. Taken together,
our findings do not support a major role of siglec-E in regulation of TLR4
signaling functions or TLR4 endocytosis in macrophages or DCs. Instead, they
reveal that induction of siglec-E by LPS can modulate the phenotype of
macrophages, the functional significance of which is currently unclear.
PMID- 29379502
TI - Redefining the Role of Langerhans Cells As Immune Regulators within the Skin.
AB - Langerhans cells (LC) are a unique population of tissue-resident macrophages that
form a network of cells across the epidermis of the skin, but which have the
ability to migrate from the epidermis to draining lymph nodes (LN). Their
location at the skin barrier suggests a key role as immune sentinels. However,
despite decades of research, the role of LC in skin immunity is unclear; ablation
of LC results in neither fatal susceptibility to skin infection nor overt
autoimmunity due to lack of immune regulation. Our understanding of immune
processes has traditionally been centered on secondary lymphoid organs as sites
of lymphocyte priming and differentiation, which is exemplified by LC, initially
defined as a paradigm for tissue dendritic cells that migrate to draining LN on
maturation. But, more recently, an awareness of the importance of the tissue
environment in shaping effector immunity has emerged. In this mini-review, we
discuss whether our lack of understanding of LC function stems from our lymph
node-centric view of these cells, and question whether a focus on LC as immune
regulators in situ in the skin may reveal clearer answers about their function in
cutaneous immunology.
PMID- 29379503
TI - Infection of Human Macrophages by Leishmania infantum Is Influenced by Ecto
Nucleotidases.
AB - Ecto-nucleotidase activity is involved in the infection process of Leishmania and
various other parasites that enables modulation of host immune responses to
promote disease progression. One of the enzymes responsible for this activity is
the ecto-nucleoside triphosphate diphosphohydrolase (E-NTPDase). The enzyme
hydrolyzes nucleotides tri- and/or di-phosphate into monophosphate products,
which are subsequently hydrolyzed into adenosine. These nucleotides can serve as
purinergic signaling molecules involved in diverse cellular processes that govern
immune responses. Given the importance of the extracellular metabolism of these
nucleotides during intracellular pathogen infections, this study evaluates the
role of ecto-nucleotidase activity during Leishmania infantum (L. infantum)
infection in human macrophages. E-NTPDase protein expression and activity was
evaluated in L. infantum during purine starvation, adenosine-enriched medium, or
in the presence of an inhibitor of ecto-nucleotidases. Results show that E
NTPDase is expressed in L. infantum parasites, including on the cell membrane.
Furthermore, functional activity of the enzyme was modulated according to the
availability of adenosine in the medium. Purine starvation increased the
hydrolytic capacity of nucleotides leading to higher infectivity, while growth in
adenosine-enriched medium led to lower infectivity. Moreover, inhibiting E
NTPDase function decreased L. infantum infection in macrophages, suggesting the
enzyme may serve as a ligand. Taken together, the ability of L. infantum to
hydrolyze nucleotides is directly associated with increased infectivity in
macrophages.
PMID- 29379505
TI - High-Salt Diet Induces IL-17-Dependent Gut Inflammation and Exacerbates Colitis
in Mice.
AB - Excess intake of sodium is often associated with high risk for cardiovascular
disease. More recently, some studies on the effects of high-salt diets (HSDs)
have also demonstrated that they are able to activate Th17 cells and increase
severity of autoimmune diseases. The purpose of the present study was to evaluate
the effects of a diet supplemented with NaCl in the colonic mucosa at steady
state and during inflammation. We showed that consumption of HSD by mice
triggered a gut inflammatory reaction associated with IL-23 production,
recruitment of neutrophils, and increased frequency of the IL-17-producing type 3
innate lymphoid cells (ILC3) in the colon. Moreover, gut inflammation was not
observed in IL-17-/- mice but it was present, although at lower grade, in RAG-/-
mice suggesting that the inflammatory effects of HSD was dependent on IL-17 but
only partially on Th17 cells. Expression of SGK1, a kinase involved in sodium
homeostasis, increased 90 min after ingestion of 50% NaCl solution and decreased
3 weeks after HSD consumption. Colitis induced by oral administration of either
dextran sodium sulfate or 2,4,6-trinitrobenzenesulfonic acid was exacerbated by
HSD consumption and this effect was associated with increased frequencies of
RORgammat+ CD4+ T cells and neutrophils in the colon. Therefore, our results
demonstrated that consumption of HSD per se triggered a histologically detectable
inflammation in the colon and also exacerbated chemically induced models of
colitis in mice by a mechanism dependent on IL-17 production most likely by both
ILC3 and Th17 cells.
PMID- 29379504
TI - Kynurenic Acid: The Janus-Faced Role of an Immunomodulatory Tryptophan Metabolite
and Its Link to Pathological Conditions.
AB - Tryptophan metabolites are known to participate in the regulation of many cells
of the immune system and are involved in various immune-mediated diseases and
disorders. Kynurenic acid (KYNA) is a product of one branch of the kynurenine
pathway of tryptophan metabolism. The influence of KYNA on important
neurophysiological and neuropathological processes has been comprehensively
documented. In recent years, the link of KYNA to the immune system, inflammation,
and cancer has become more apparent. Given this connection, the anti-inflammatory
and immunosuppressive functions of KYNA are of particular interest. These
characteristics might allow KYNA to act as a "double-edged sword." The metabolite
contributes to both the resolution of inflammation and the establishment of an
immunosuppressive environment, which, for instance, allows for tumor immune
escape. Our review provides a comprehensive update of the significant biological
functions of KYNA and focuses on its immunomodulatory properties by signaling via
G-protein-coupled receptor 35 (GPR35)- and aryl hydrocarbon receptor-mediated
pathways. Furthermore, we discuss the role of KYNA-GPR35 interaction and
microbiota associated KYNA metabolism for gut homeostasis.
PMID- 29379507
TI - High Antigen Dose Is Detrimental to Post-Exposure Vaccine Protection against
Tuberculosis.
AB - Mycobacterium tuberculosis (Mtb), the etiologic agent of tuberculosis (TB),
causes 1.8M deaths annually. The current vaccine, BCG, has failed to eradicate TB
leaving 25% of the world's population with latent Mtb infection (LTBI), and 5-10%
of these people will reactivate and develop active TB. An efficient therapeutic
vaccine targeting LTBI could have an enormous impact on global TB incidence, and
could be an important aid in fighting multidrug resistance, which is increasing
globally. Here we show in a mouse model using the H56 (Ag85B-ESAT-6-Rv2660) TB
vaccine candidate that post-exposure, but not preventive, vaccine protection
requires low vaccine antigen doses for optimal protection. Loss of protection
from high dose post-exposure vaccination was not associated with a loss of
overall vaccine response magnitude, but rather with greater differentiation and
lower functional avidity of vaccine-specific CD4 T cells. High vaccine antigen
dose also led to a decreased ability of vaccine-specific CD4 T cells to home into
the Mtb-infected lung parenchyma, a recently discovered important feature of T
cell protection in mice. These results underscore the importance of T cell
quality rather than magnitude in TB-vaccine protection, and the significant role
that antigen dosing plays in vaccine-mediated protection.
PMID- 29379508
TI - Classic Ulcerative Pyoderma Gangrenosum Is a T Cell-Mediated Disease Targeting
Follicular Adnexal Structures: A Hypothesis Based on Molecular and
Clinicopathologic Studies.
AB - Background: Pyoderma gangrenosum (PG) is a debilitating ulcerative skin disease
that is one of the most common associated diseases seen in patients with
inflammatory bowel disease and rheumatoid arthritis. Although PG is classified as
a neutrophilic dermatosis, its pathophysiology is poorly understood. Objective:
Use data obtained from patient-reported histories, immunohistochemistry, and gene
expression analysis to formulate a hypothesis on PG pathophysiology. Methods: Ten
PG patients participated and answered questions about new ulcer formation. Skin
biopsies of healed prior ulcers and adjacent normal skin were obtained from four
patients for immunohistochemistry. Scars from healthy patients and patients with
discoid lupus were used as additional controls. New onset PG papules were
analyzed using immunohistochemistry and gene expression analysis via quantitative
real-time PCR. Results: All PG patients reported that healed sites of previous
ulceration are refractory to re-ulceration. Simultaneous biopsies of healed and
uninvolved skin triggered ulceration only in the latter. On immunohistochemistry,
healed PG scars showed complete loss of pilosebaceous units, which were present
in normal skin, and to a lesser extent in control scars, and discoid scars. Early
PG papules showed perivascular and peripilosebaceous T cell infiltrates, rather
than neutrophils. These early inflammatory events were dominated by increased
gene expression of CXCL9, CXCL10, CXCL11, IL-8, IL-17, IFNG, and IL-36G and
transcription factors consistent with Th1 phenotype. Limitations: Small sample
size was the main limitation. Conclusion: We put forth the hypothesis that PG is
a T cell response resulting in the destruction of pilosebaceous units.
PMID- 29379509
TI - NETQUANT: Automated Quantification of Neutrophil Extracellular Traps.
AB - Neutrophil extracellular traps (NETs) that are extensive webs of DNA covered with
antimicrobial proteins into the extracellular environment during infection or
inflammation as a part of their defense arsenal. Image acquisition of
fluorescently labeled NETs and subsequent image-based quantification is
frequently used to analyze NET formation (NETosis) in response to various
stimuli. However, there are important limitations in the present methods for
quantification. Manual methods tend to be error-prone, tedious, and often quite
subjective, whereas the software-rooted options are either semi-automatic or
difficult to operate. Here, we present an automated and uncomplicated approach
for quantifying NETs from fluorescence images, built as a freely available app
for MATLAB(r). It is based on detection of a set of clearly defined parameters,
all related to the biological manifestation of NETs and allowing for single-cell
resolution quantification and analysis.
PMID- 29379506
TI - Overview of the Mechanisms that May Contribute to the Non-Redundant Activities of
Interferon-Inducible CXC Chemokine Receptor 3 Ligands.
AB - The inflammatory chemokines CXCL9, CXCL10, and CXCL11 are predominantly induced
by interferon (IFN)-gamma and share an exclusive chemokine receptor named CXC
chemokine receptor 3 (CXCR3). With a prototype function of directing temporal and
spatial migration of activated T cells and natural killer cells, and inhibitory
effects on angiogenesis, these CXCR3 ligands have been implicated in infection,
acute inflammation, autoinflammation and autoimmunity, as well as in cancer.
Intense former research efforts led to recent and ongoing clinical trials using
CXCR3 and CXCR3 ligand targeting molecules. Scientific evidence has claimed
mutual redundancy, ligand dominance, collaboration or even antagonism, depending
on the (patho)physiological context. Most research on their in vivo activity,
however, illustrates that CXCL9, CXCL10, and CXCL11 each contribute to the
activation and trafficking of CXCR3 expressing cells in a non-redundant manner.
When looking into detail, one can unravel a multistep machinery behind final
CXCR3 ligand functions. Not only can specific cell types secrete individual CXCR3
interacting chemokines in response to certain stimuli, but also the receptor and
glycosaminoglycan interactions, major associated intracellular pathways and
susceptibility to processing by particular enzymes, among others, seem ligand
specific. Here, we overview major aspects of the molecular properties and
regulatory mechanisms of IFN-induced CXCR3 ligands, and propose that their in
vivo non-redundancy is a reflection of the unprecedented degree of versatility
that seems inherent to the IFN-related CXCR3 chemokine system.
PMID- 29379510
TI - Large-Scale Identification and Characterization of Heterodera avenae Putative
Effectors Suppressing or Inducing Cell Death in Nicotiana benthamiana.
AB - Heterodera avenae is one of the most important plant pathogens and causes vast
losses in cereal crops. As a sedentary endoparasitic nematode, H. avenae secretes
effectors that modify plant defenses and promote its biotrophic infection of its
hosts. However, the number of effectors involved in the interaction between H.
avenae and host defenses remains unclear. Here, we report the identification of
putative effectors in H. avenae that regulate plant defenses on a large scale.
Our results showed that 78 of the 95 putative effectors suppressed programmed
cell death (PCD) triggered by BAX and that 7 of the putative effectors themselves
caused cell death in Nicotiana benthamiana. Among the cell-death-inducing
effectors, three were found to be dependent on their specific domains to trigger
cell death and to be expressed in esophageal gland cells by in situ
hybridization. Ten candidate effectors that suppressed BAX-triggered PCD also
suppressed PCD triggered by the elicitor PsojNIP and at least one R
protein/cognate effector pair, suggesting that they are active in suppressing
both pattern-triggered immunity (PTI) and effector-triggered immunity (ETI).
Notably, with the exception of isotig16060, these putative effectors could also
suppress PCD triggered by cell-death-inducing effectors from H. avenae,
indicating that those effectors may cooperate to promote nematode parasitism.
Collectively, our results indicate that the majority of the tested effectors of
H. avenae may play important roles in suppressing cell death induced by different
elicitors in N. benthamiana.
PMID- 29379511
TI - Considerations for Achieving Cross-Platform Point Cloud Data Fusion across
Different Dryland Ecosystem Structural States.
AB - Remotely sensing recent growth, herbivory, or disturbance of herbaceous and woody
vegetation in dryland ecosystems requires high spatial resolution and multi
temporal depth. Three dimensional (3D) remote sensing technologies like lidar,
and techniques like structure from motion (SfM) photogrammetry, each have
strengths and weaknesses at detecting vegetation volume and extent, given the
instrument's ground sample distance and ease of acquisition. Yet, a combination
of platforms and techniques might provide solutions that overcome the weakness of
a single platform. To explore the potential for combining platforms, we compared
detection bias amongst two 3D remote sensing techniques (lidar and SfM) using
three different platforms [ground-based, small unmanned aerial systems (sUAS),
and manned aircraft]. We found aerial lidar to be more accurate for
characterizing the bare earth (ground) in dense herbaceous vegetation than either
terrestrial lidar or aerial SfM photogrammetry. Conversely, the manned aerial
lidar did not detect grass and fine woody vegetation while the terrestrial lidar
and high resolution near-distance (ground and sUAS) SfM photogrammetry detected
these and were accurate. UAS SfM photogrammetry at lower spatial resolution under
estimated maximum heights in grass and shrubs. UAS and handheld SfM
photogrammetry in near-distance high resolution collections had similar accuracy
to terrestrial lidar for vegetation, but difficulty at measuring bare earth
elevation beneath dense herbaceous cover. Combining point cloud data and
derivatives (i.e., meshes and rasters) from two or more platforms allowed for
more accurate measurement of herbaceous and woody vegetation (height and canopy
cover) than any single technique alone. Availability and costs of manned aircraft
lidar collection preclude high frequency repeatability but this is less limiting
for terrestrial lidar, sUAS and handheld SfM. The post-processing of SfM
photogrammetry data became the limiting factor at larger spatial scale and
temporal repetition. Despite the utility of sUAS and handheld SfM for monitoring
vegetation phenology and structure, their spatial extents are small relative to
manned aircraft.
PMID- 29379512
TI - Genomic, Biochemical, and Modeling Analyses of Asparagine Synthetases from Wheat.
AB - Asparagine synthetase activity in cereals has become an important issue with the
discovery that free asparagine concentration determines the potential for
formation of acrylamide, a probably carcinogenic processing contaminant, in baked
cereal products. Asparagine synthetase catalyses the ATP-dependent transfer of
the amino group of glutamine to a molecule of aspartate to generate glutamate and
asparagine. Here, asparagine synthetase-encoding polymerase chain reaction (PCR)
products were amplified from wheat (Triticum aestivum) cv. Spark cDNA. The
encoded proteins were assigned the names TaASN1, TaASN2, and TaASN3 on the basis
of comparisons with other wheat and cereal asparagine synthetases. Although very
similar to each other they differed slightly in size, with molecular masses of
65.49, 65.06, and 66.24 kDa, respectively. Chromosomal positions and scaffold
references were established for TaASN1, TaASN2, and TaASN3, and a fourth, more
recently identified gene, TaASN4. TaASN1, TaASN2, and TaASN4 were all found to be
single copy genes, located on chromosomes 5, 3, and 4, respectively, of each
genome (A, B, and D), although variety Chinese Spring lacked a TaASN2 gene in the
B genome. Two copies of TaASN3 were found on chromosome 1 of each genome, and
these were given the names TaASN3.1 and TaASN3.2. The TaASN1, TaASN2, and TaASN3
PCR products were heterologously expressed in Escherichia coli (TaASN4 was not
investigated in this part of the study). Western blot analysis identified two
monoclonal antibodies that recognized the three proteins, but did not distinguish
between them, despite being raised to epitopes SKKPRMIEVAAP and GGSNKPGVMNTV in
the variable C-terminal regions of the proteins. The heterologously expressed
TaASN1 and TaASN2 proteins were found to be active asparagine synthetases,
producing asparagine and glutamate from glutamine and aspartate. The asparagine
synthetase reaction was modeled using SNOOPY(r) software and information from the
BRENDA database to generate differential equations to describe the reaction
stages, based on mass action kinetics. Experimental data from the reactions
catalyzed by TaASN1 and TaASN2 were entered into the model using Copasi, enabling
values to be determined for kinetic parameters. Both the reaction data and the
modeling showed that the enzymes continued to produce glutamate even when the
synthesis of asparagine had ceased due to a lack of aspartate.
PMID- 29379513
TI - Microalgae in Microwell Arrays Exhibit Differences with Those in Flasks: Evidence
from Growth Rate, Cellular Carotenoid, and Oxygen Production.
AB - Microalgae are cultivated in macro-scale reactors traditionally and the relevant
knowledge is based on bulk analysis. Whether the knowledge and laws are true for
cells under micro-cultivation is still unknown. To better understand microalgal
physiology, micro-cultivation of microalgae, and unicellular tracking and
analysis of its response in vivo is necessary. In the study, cellular responses
of Chlorella vulgaris to micro-cultivation is studied, with cells in flasks as a
control. Five different microwell depths ranging from 10 to 200 MUm with a fixed
diameter of 100 MUm, and four diameter levels from 30 to 200 MUm with a fixed
depth 60 MUm were investigated. Unicellular dynamics showed that cell number
differences among various types of microwells with different initial cell numbers
decreased as cultivation processed. Besides, the specific growth rate of C.
vulgaris on microwell arrays was much higher than that in flasks and so cells on
microwell arrsys can be much sensitive to pollutants. Thus, the interesting
characteristics may be used in cell sensor applications to enhance sensitivity.
The specific growth rate of C. vulgaris on microwell arrays decreased gradually
as the microwell diameter increased from 30 to 200 MUm while presented a unimodal
trend as depth decreased from 200 to 10 MUm. Furthermore, we used Raman
Spectroscopy and Non-invasive Micro-test Technique to analyze cellular responses
in microwells for the first time to track the changes in vivo. Results indicated
that unicellular carotenoid content increased as microwells became larger and
shallower. The flow rate of oxygen rose gradually as the depth increased from 10
to 100 MUm, but then decreased rapidly as the depth deepened to 200 MUm. In fact,
it is a combined result of cell physiology and density. In summary, cells in
microwells with the diameter/depth ratio ~1 owned the highest specific growth
rates and oxygen flow rates. Simulations also suggested that better mass transfer
occurred in microwells with higher diameter-to-depth ratios.
PMID- 29379514
TI - Hydrological Conditions Affect the Interspecific Interaction between Two Emergent
Wetland Species.
AB - Hydrological conditions determine the distribution of plant species in wetlands,
where conditions such as water depth and hydrological fluctuations are expected
to affect the interspecific interactions among emergent wetland species. To test
such effects, we conducted a greenhouse experiment with three treatment
categories, interspecific interaction (mixed culture or monoculture), water depth
(10 or 30 cm depth), and hydrological fluctuation (static or fluctuating water
level), and two common emergent wetland plant species, Scirpus planiculumis Fr.
(Cyperaceae) and Phragmites australis var. baiyangdiansis (Gramineae). An
increase in the water depth significantly restrained the growth of both S.
planiculumis and P. australis, while hydrological fluctuations did not obviously
alter the growth of either species. In addition, both water depth and
hydrological fluctuations significantly affected the interspecific interaction
between these two wetland species. P. australis benefited from interspecific
interaction under increasing water depth and hydrological fluctuations, and the
RII values were clearly positive for plants grown at a water depth that
fluctuated around 30 cm. The results may have some implications for understanding
how S. planiculumis and P. australis, as well as wetland communities, respond to
the natural variation or human modification of hydrological conditions.
PMID- 29379515
TI - Molecular Epidemiology of Bacterial Wilt in the Madagascar Highlands Caused by
Andean (Phylotype IIB-1) and African (Phylotype III) Brown Rot Strains of the
Ralstonia solanacearum Species Complex.
AB - The Ralstonia solanacearum species complex (RSSC) is a highly diverse cluster of
bacterial strains found worldwide, many of which are destructive and cause
bacterial wilt (BW) in a wide range of host plants. In 2009, potato production in
Madagascar was dramatically affected by several BW epidemics. Controlling this
disease is critical for Malagasy potato producers. The first important step
toward control is the characterization of strains and their putative origins. The
genetic diversity and population structure of the RSSC were investigated in the
major potato production areas of the Highlands. A large collection of strains (n
= 1224) was assigned to RSSC phylotypes based on multiplex polymerase chain
reaction (PCR). Phylotypes I and III have been present in Madagascar for a long
time but rarely associated with major potato BW outbreaks. The marked increase of
BW prevalence was found associated with phylotype IIB sequevar 1 (IIB-1) strains
(n = 879). This is the first report of phylotype IIB-1 strains in Madagascar. In
addition to reference strains, epidemic IIB-1 strains (n = 255) were genotyped
using the existing MultiLocus Variable-Number Tandem Repeat Analysis (MLVA)
scheme RS2-MLVA9, producing 31 haplotypes separated into two related clonal
complexes (CCs). One major CC included most of the worldwide haplotypes
distributed across wide areas. A regional-scale investigation suggested that
phylotype IIB-1 strains were introduced and massively spread via latently
infected potato seed tubers. Additionally, the genetic structure of phylotype IIB
1 likely resulted from a bottleneck/founder effect. The population structure of
phylotype III, described here for the first time in Madagascar, exhibited a
different pattern. Phylotype III strains (n = 217) were genotyped using the
highly discriminatory MLVA scheme RS3-MLVA16. High genetic diversity was
uncovered, with 117 haplotypes grouped into 11 CCs. Malagasy phylotype III
strains were highly differentiated from continental African strains, suggesting
no recent migration from the continent. Overall, population structure of
phylotype III involves individual small CCs that correlate to restricted
geographic areas in Madagascar. The evidence suggests, if at all, that African
phylotype III strains are not efficiently transmitted through latently infected
potato seed tubers.
PMID- 29379517
TI - Effects of Age and Size on Xylem Phenology in Two Conifers of Northwestern China.
AB - The climatic signals that directly affect the trees can be registered by xylem
during its growth. If the timings and duration of xylem formation change,
xylogenesis can occur under different environmental conditions and subsequently
be subject to different climatic signals. An experimental design was applied in
the field to disentangle the effects of age and size on xylem phenology, and it
challenges the hypothesis that the timings and dynamics of xylem growth are size
dependent. Intra-annual dynamics of xylem formation were monitored weekly during
the growing seasons 2013 and 2014 in Chinese pine (Pinus tabulaeformis) and
Qilian juniper (Juniperus przewalskii) with different sizes and ages in a semi
arid region of northwestern China. Cell differentiation started 3 weeks earlier
in 2013 and terminated 1 week later in 2014 in small-young pines than in big-old
pines. However, differences in the timings of growth reactivation disappeared
when comparing the junipers with different sizes but similar age. Overall, 77
days were required for xylem differentiation to take place, but timings were
shorter for older trees, which also exhibited smaller cell production. Results
from this study suggest that tree age does play an important role in timings and
duration of growth. The effect of age should also be considered to perform
reliable responses of trees to climate.
PMID- 29379516
TI - NtWRKY-R1, a Novel Transcription Factor, Integrates IAA and JA Signal Pathway
under Topping Damage Stress in Nicotiana tabacum.
AB - Topping damage can induce the nicotine synthesis in tobacco roots, which involves
the activation of JA and auxin signal transduction. It remains unclear how these
hormone signals are integrated to regulate nicotine synthesis. Here we isolated a
transcription factor NtWRKY-R1 from the group IIe of WRKY family and it had
strong negative correlation with the expression of putrescine N
methyltransferase, the key enzyme of nicotine synthesis pathway. NtWRKY-R1 was
specifically and highly expressed in tobacco roots, and it contains two
transcriptional activity domains in the N- and C-terminal. The promoter region of
NtWRKY-R1 contains two cis-elements which are responding to JA and auxin signals,
respectively. Deletion of NtWRKY-R1 promoter showed that JA and auxin signals
were subdued by NtWRKY-R1, and the expression of NtWRKY-R1 was more sensitive to
auxin than JA. Furthermore, Yeast two-hybrid experiment demonstrated that NtWRKY
R1 can interact with the actin-binding protein. Our data showed that the
intensity of JA and auxin signals can be translated into the expression of NtWRKY
R1, which regulates the balance of actin polymerization and depolymerization
through binding actin-binding protein, and then regulates the expression of genes
related to nicotine synthesis. The results will help us better understand the
function of the WRKY-IIe family in the signaling crosstalk of JA and auxin under
damage stress.
PMID- 29379518
TI - Efficient Identification of Causal Mutations through Sequencing of Bulked F 2
from Two Allelic Bloomless Mutants of Sorghum bicolor.
AB - Sorghum (Sorghum bicolor Moench, L.) plant accumulates copious layers of epi
cuticular wax (EW) on its aerial surfaces, to a greater extent than most other
crops. EW provides a vapor barrier that reduces water loss, and is therefore
considered to be a major determinant of sorghum's drought tolerance. However,
little is known about the genes responsible for wax accumulation in sorghum. We
isolated two allelic mutants, bloomless40-1 (bm40-1) and bm40-2, from a mutant
library constructed from ethyl methane sulfonate (EMS) treated seeds of an
inbred, BTx623. Both mutants were nearly devoid of the EW layer. Each bm mutant
was crossed to the un-mutated BTx623 to generated F2 populations that segregated
for the bm phenotype. Genomic DNA from 20 bm F2 plants from each population was
bulked for whole genome sequencing. A single gene, Sobic.001G228100, encoding a
GDSL-like lipase/acylhydrolase, had unique homozygous mutations in each bulked F2
population. Mutant bm40-1 harbored a missense mutation in the gene, whereas bm40
2 had a splice donor site mutation. Our findings thus provide strong evidence
that mutation in this GDSL-like lipase gene causes the bm phenotype, and further
demonstrate that this approach of sequencing two independent allelic mutant
populations is an efficient method for identifying causal mutations. Combined
with allelic mutants, MutMap provides powerful method to identify all causal
genes for the large collection of bm mutants in sorghum, which will provide
insight into how sorghum plants accumulate such abundant EW on their aerial
surface. This knowledge may facilitate the development of tools for engineering
drought-tolerant crops with reduced water loss.
PMID- 29379519
TI - Exploring the Link between Nucleosome Occupancy and DNA Methylation.
AB - Near promoters, both nucleosomes and CpG sites form characteristic spatial
patterns. Previously, nucleosome depleted regions were observed upstream of
transcription start sites and nucleosome occupancy was reported to correlate both
with CpG density and the level of CpG methylation. Several studies imply a causal
link where CpG methylation might induce nucleosome formation, whereas others
argue the opposite, i.e., that nucleosome occupancy might influence CpG
methylation. Correlations are indeed evident between nucleosomes, CpG density and
CpG methylation-at least near promoter sites. It is however less established
whether there is an immediate causal relation between nucleosome occupancy and
the presence of CpG sites-or if nucleosome occupancy could be influenced by other
factors. In this work, we test for such causality in human genomes by analyzing
the three quantities both near and away from promoter sites. For data from the
human genome we compare promoter regions with given CpG densities with genomic
regions without promoters but of similar CpG densities. We find the observed
correlation between nucleosome occupancy and CpG density, respectively CpG
methylation, to be specific to promoter regions. In other regions along the
genome nucleosome occupancy is statistically independent of the positioning of
CpGs or their methylation levels. Anti-correlation between CpG density and
methylation level is however similarly strong in both regions. On promoters,
nucleosome occupancy is more strongly affected by the level of gene expression
than CpG density or CpG methylation-calling into question any direct causal
relation between nucleosome occupancy and CpG organization. Rather, our results
suggest that for organisms with cytosine methylation nucleosome occupancy might
be primarily linked to gene expression, with no strong impact on methylation.
PMID- 29379520
TI - Notch Target Gene E(spl)mdelta Is a Mediator of Methylmercury-Induced Myotoxicity
in Drosophila.
AB - Methylmercury (MeHg) is a ubiquitous environmental contaminant and neurotoxicant
that has long been known to cause a variety of motor deficits. These motor
deficits have primarily been attributed to MeHg targeting of developing neurons
and induction of oxidative stress and calcium dysregulation. Few studies have
looked at how MeHg may be affecting fundamental signaling mechanisms in
development, particularly in developing muscle. Studies in Drosophila recently
revealed that MeHg perturbs embryonic muscle formation and upregulates Notch
target genes, reflected predominantly by expression of the downstream
transcriptional repressor Enhancer of Split mdelta [E(spl)mdelta]. An
E(spl)mdelta reporter gene shows expression primarily in the myogenic domain, and
both MeHg exposure and genetic upregulation of E(spl)mdelta can disrupt embryonic
muscle development. Here, we tested the hypothesis that developing muscle is
targeted by MeHg via upregulation of E(spl)mdelta using genetic modulation of
E(spl)mdelta expression in combination with MeHg exposure in developing flies.
Developmental MeHg exposure causes a decreased rate of eclosion that parallels
gross disruption of indirect flight muscle (IFM) development. An increase in
E(spl) expression across the pupal stages, with preferential E(spl)mdelta
upregulation occurring at early (p5) stages, is also observed. E(spl)mdelta
overexpression in myogenic lineages under the Mef2 promoter was seen to phenocopy
eclosion and IFM effects of developmental MeHg exposure; whereas reduced
expression of E(spl)mdelta shows rescue of eclosion and IFM morphology effects of
MeHg exposure. No effects were seen on eclosion with E(spl)mdelta overexpression
in neural and gut tissues. Our data indicate that muscle development is a target
for MeHg and that E(spl)mdelta is a muscle-specific mediator of this myotoxicity.
This research advances our knowledge of the target pathways that mediate
susceptibility to MeHg toxicity, as well as a potential muscle development
specific role for E(spl)mdelta.
PMID- 29379521
TI - ir-HSP: Improved Recognition of Heat Shock Proteins, Their Families and Sub-types
Based On g-Spaced Di-peptide Features and Support Vector Machine.
AB - Heat shock proteins (HSPs) play a pivotal role in cell growth and variability.
Since conventional approaches are expensive and voluminous protein sequence
information is available in the post-genomic era, development of an automated and
accurate computational tool is highly desirable for prediction of HSPs, their
families and sub-types. Thus, we propose a computational approach for reliable
prediction of all these components in a single framework and with higher accuracy
as well. The proposed approach achieved an overall accuracy of ~84% in predicting
HSPs, ~97% in predicting six different families of HSPs, and ~94% in predicting
four types of DnaJ proteins, with bench mark datasets. The developed approach
also achieved higher accuracy as compared to most of the existing approaches. For
easy prediction of HSPs by experimental scientists, a user friendly web server ir
HSP is made freely accessible at http://cabgrid.res.in:8080/ir-hsp. The ir-HSP
was further evaluated for proteome-wide identification of HSPs by using proteome
datasets of eight different species, and ~50% of the predicted HSPs in each
species were found to be annotated with InterPro HSP families/domains. Thus, the
developed computational method is expected to supplement the currently available
approaches for prediction of HSPs, to the extent of their families and sub-types.
PMID- 29379522
TI - Complexity Analysis of Resting-State fMRI in Adult Patients with Attention
Deficit Hyperactivity Disorder: Brain Entropy.
AB - Objective: Complexity analysis of functional brain structure data represents a
new multidisciplinary approach to examining complex, living structures. I aimed
to construct a connectivity map of visual brain activities using resting-state
functional magnetic resonance imaging (fMRI) data and to characterize the level
of complexity of functional brain activity using these connectivity data.
Methods: A total of 25 healthy controls and 20 patients with attention deficit
hyperactivity disorder (ADHD) participated. fMRI preprocessing analysis was
performed that included head motion correction, temporal filtering, and spatial
smoothing process. Brain entropy (BEN) was calculated using the Shannon entropy
equation. Results: My findings demonstrated that patients exhibited reduced brain
complexity in visual brain areas compared to controls. The mean entropy value of
the ADHD group was 0.56 +/- 0.14, compared to 0.64 +/- 0.11 in the control group.
Conclusion: My study adds an important novel result to the growing literature
pertaining to abnormal visual processing in ADHD that my ADHD patients had lower
BEN values, indicating more-regular functional brain structure and abnormal
visual information processing.
PMID- 29379523
TI - Melatonin and Angelman Syndrome: Implications and Mathematical Model of Diurnal
Secretion.
AB - The main aim of the study was to compare the melatonin rhythms in subjects with
Angelman syndrome (n = 9) and in children with (n = 80) and without (n = 40)
epilepsy (nonepileptic patients diagnosed with peripheral nerve palsies,
myopathy, and back pain) using our mathematical model of melatonin circadian
secretion. The characteristics describing the diurnal hormone secretion such as
minimum melatonin concentration, release amplitude, phase shift of melatonin
release, and sleep duration as well as the dim light melatonin onset (DLMO) of
melatonin secretion and the gamma shape parameter allow analyzing the fit and
deducing about how much the measured melatonin profile differs from a
physiological bell-shaped secretion. The estimated sleep duration and phase shift
of melatonin release as well as the DMLO offsets at 25% and 50% relative
thresholds are the key characteristic of Angelman syndrome children. As revealed
from the gamma shape parameter, the melatonin secretion profiles are disturbed in
majority of the AG subjects revealing rather a triangular course instead of the
bell-like one.
PMID- 29379524
TI - Management of Intractable Nasal Hyperreactivity by Selective Resection of
Posterior Nasal Nerve Branches.
AB - The posterior nasal nerves emerge from the sphenopalatine foramen and contain
sensory and autonomic nerve components. Posterior nasal neurectomy is an
effective method to remove pathological neural networks surrounding the inferior
turbinate that cause unregulated nasal hypersensitivity with excess secretion in
patients with severe allergic rhinitis (AR). We describe the sophisticated
endoscopic surgical procedure that allows feasible access to the confined area
and selective resection of the nerve branches with the preservation of the
sphenopalatine artery (SPA). We retrospectively analyzed the cases of 23
symptomatic severe AR patients who failed to respond to standard medical
treatment and underwent surgery. There have been no major complications after
surgery including nasal bleeding or transient numbness of the upper teeth. The
mean total nasal symptom scores (TNSS) were decreased by 70.2% at 12 months after
the procedure. Our comparison of the clinical effectiveness based on the number
of severed nerve branches revealed that the improvement of the TNSS was
significantly higher in patients with >2 branches. We conclude that this
minimally invasive technique that preserves the SPA is clinically useful and
decreases the rate of postoperative complications. This trial is registered with
UMIN000029025.
PMID- 29379526
TI - Performance Evaluation of Commercial Dengue Diagnostic Tests for Early Detection
of Dengue in Clinical Samples.
AB - The shattering rise in dengue virus infections globally has created a need for an
accurate and validated rapid diagnostic test for this virus. Rapid diagnostic
test (RDT) and reverse transcription-polymerase chain reaction (RT-PCR)
diagnostic detection are useful tools for diagnosis of early dengue infection. We
prospectively evaluated the diagnostic performance of nonstructural 1 (NS1) RDT
and real-time RT-PCR diagnostic kits in 86 patient serum samples. Thirty-six
samples were positive for dengue NS1 antigen while the remaining 50 were negative
when tested with enzyme-linked immunosorbent assay (ELISA). Commercially
available RDTs for NS1 detection, RTK ProDetectTM, and SD Bioline showed high
sensitivity of 94% and 89%, respectively, compared with ELISA. GenoAmp(r)
Trioplex Real-Time RT-PCR and RealStar(r) Dengue RT-PCR tests presented a
comparable kappa agreement with 0.722. The result obtained from GenoAmp(r) Real
Time RT-PCR Dengue test showed that 14 samples harbored dengue virus type 1 (DENV
1), 8 samples harbored DENV-2, 2 samples harbored DENV-3, and 1 sample harbored
DENV-4. 1 sample had a double infection with DENV-1 and DENV-2. The NS1 RDTs and
real-time RT-PCR tests were found to be a useful diagnostic for early and rapid
diagnosis of acute dengue and an excellent surveillance tool in our battle
against dengue.
PMID- 29379525
TI - (Mesenchymal) Stem Cell-Based Therapy in Cisplatin-Induced Acute Kidney Injury
Animal Model: Risk of Immunogenicity and Tumorigenicity.
AB - Pathogenesis of AKI is complex and involves both local events in the kidney as
well as systemic effects in the body that are interconnected and interdependent.
Despite intensive investigations there is still no pharmacological agent that
could provide complete protection against cisplatin nephrotoxicity. In the last
decade mesenchymal stem cells (MSCs) have been proposed as a potentially useful
therapeutic strategy in various diseases, including acute kidney injury. Although
MSCs have potent immunosuppressive properties, animal studies also suggest that
transplanted MSCs may elicit immune response. Interestingly, tumorigenicity of
transplanted MSCs in animal studies has been rarely studied. Since the risk of
tumorigenicity of particular therapy as well as the immune response to solid or
cell grafts is a major issue in clinical trials, the aim of the present paper is
to critically summarize the results of MSC transplantation on animal models of
AKI, particularly cisplatin-induced animal models, and to expose results and main
concerns about immunogenicity and tumorigenicity of transplanted MSCs, two
important issues that need to be addressed in future studies.
PMID- 29379527
TI - Clinical and Mortality Risk Factors in Bloodstream Infections with Carbapenem
Resistant Enterobacteriaceae.
AB - Objective: To investigate the risk factors underlying the occurrence and
mortality of bloodstream infections (BSIs) with carbapenem-resistant
Enterobacteriaceae (CRE). Methods: Medical information was retrospectively
analyzed from 148 cases of patients with Enterobacteriaceae BSIs at a medical
center in China, between 2013 and 2015. Results: The 30-day mortality rate in the
CRE group was 65.4%. Indwelling urethral catheterization, admission to the ICU,
use of antibiotics within 30 days, and BSIs from the respiratory system were
associated with CRE BSIs. Lung infection, abdominal infection, central venous
catheterization, and use of hormones within 30 days were associated with
mortality. Conclusion: The 30-day mortality rate of CRE BSIs was high. Lung
infections, abdominal infections, central venous catheterization, and use of
hormones within 30 days increased the mortality rate of Enterobacteriaceae BSIs.
PMID- 29379529
TI - Is there a rapid increase in prevalence of obesity in Polish children? An 18-year
prospective observational study in Gdansk, Poland.
AB - Introduction: The prevalence of overweight and obesity is not regularly screened
among Polish children. Very few longitudinal data exist on the prevalence and
increase of overweight and obesity in this population. The aim of the study was
to analyze the prevalence of overweight and obesity among selected age categories
of children from Gdansk, on the basis of 1992-2012 data. Material and methods:
The anthropometric data (body weight and height, body mass index) of 70,329
children aged between 6 and 13 years were analyzed. Data were collected during
annually performed child health measurements in schools by medical staff of
Gdansk Center for Health Promotion during 1992-2012. Results: No constant trend
to increase or decrease in the prevalence of overweight or obesity was documented
in any of the studied age groups (p > 0.05). An age-related increase in the
prevalence of overweight and obesity was observed throughout all consecutive age
categories in boys and in prepubertal girls (p < 0.05). Overweight and obesity
were significantly more frequent in girls than in boys from all age categories (p
< 0.05), except 12- and 13-year-old children (p = 0.173; p = 0.973), in whom no
gender-specific differences were documented. Conclusions: Our study did not
confirm the previously reported growing tendency in the prevalence of overweight
and obesity among children. However, our findings point to an age-related
increase in the prevalence of excess body weight in the pediatric population.
PMID- 29379530
TI - Relationship between urine lipid peroxidation, anthropometric parameters and
parameters associated with goitre formation in school-age children.
AB - Introduction: Oxidative stress has been implicated in the normal ageing process
and the pathogenesis of several diseases, including goitre. The aim of the study
was to evaluate the relationship between urine lipid peroxidation (LPO) and
anthropometric parameters as well as the parameters associated with goitre
formation in children. Material and methods: The subjects included 172 healthy
children (93 girls and 79 boys) aged 8-15, divided into 4 age groups - group I (8
9 years), group II (10-11 years), group III (12-13 years) and group IV (14-15
years) - and into 2 groups based on the BSA: the BSA-1 group (<= 0.55 m2) and the
BSA-2 group (> 0.55 m2). Results: The value of LPO was the highest in group I but
the difference between the groups was not statistically significant (p = 0.074).
In the BSA-1 group, the LPO was higher than in the BSA-2 group (12.75 +/-6.90
nmol/ml and 10.79 +/-4.86 nmol/ml, respectively; p = 0.023). We found a weak,
negative linear correlation between LPO and age (r = -0.216; p < 0.005), body
mass (r = -0.153; p < 0.05), height (r = -0.152; p < 0.05) and BSA (r = -0.151; p
< 0.05). Conclusions: Anthropometric parameters of school-age children
independently of age are negatively associated with oxidative damage to membrane
lipids, whereas factors promoting goitrogenesis do not contribute to this
process.
PMID- 29379531
TI - An assessment of health status and health behaviours in adolescents: main points
and methods of the SOPKARD-Junior programme.
AB - Introduction: The study involved preparing and implementation a model of complex
screening programme for adolescents and comparison of anthropometric examinations
between the population of the SOPKARD-Junior programme and representative sample
of Polish children in the same age. Material and methods: The screening programme
in 14-15 year old pupils (n = 282) included: anthropometric, blood pressure,
echocardiographic, electrocardiographic, carotid arteries, kidney and thyroid
ultrasound examinations, as well as respiratory, dental and masticatory system,
orthopaedic, psychological and psychiatric assessment. Blood and urine tests were
also performed. The results of anthropometric examinations from the SOPKARD
Junior and OLAF programmes were used for comparative analysis. Results:
Statistically significant (p < 0.001) differences between young people from Sopot
and their peers in the general Polish population were found in height (+3.61 cm
for boys), body mass (+5.19 kg for boys and +3.99 kg for girls), body mass index
(+0.99 kg/m2 for boys and +1.33 kg/m2 for girls), waist circumference (+4.52 cm
for boys and +4.52 cm for girls) and hip circumference (+2.51 cm for boys). The
highest attendance rate was achieved for examinations performed in school (e.g.
anthropometric and blood pressure measurements - n = 268; 95%) and the lowest for
the echocardiograpy performed in local hospital (n = 133; 47%). The mean score of
the programme quality (scale 1-6) assessed by children was 4.63. Conclusions: The
SOPKARD-Junior programme represents an attempt to develop a model of screening
assessments for teenagers in Poland. Preliminary results of the SOPKARD-Junior
programme indicate small differences in the biological development of Sopot youth
in comparison with their peers from Polish population of the OLAF programme. The
high attendance rate on research conducted at the school indicate that proposed
health examinations in adolescents are acceptable and feasible.
PMID- 29379528
TI - Hypercholesterolaemia - practical information for non-specialists.
AB - Hypercholesterolaemia is amongst the most common conditions encountered in the
medical profession. It remains one of the key modifiable cardiovascular risk
factors and there have been recent advances in the risk stratification methods
and treatment options available. In this review, we provide a background into
hypercholesterolaemia for non-specialists and consider the merits of the
different risk assessment tools available. We also provide detailed
considerations as to: i) when to start treatment, ii) what targets to aim for and
iii) the role of low density lipoprotein cholesterol.
PMID- 29379532
TI - Parental knowledge and metabolic control of children and young adults with type 1
diabetes.
AB - Introduction: The authors aimed to answer the following questions: 1) What level
of knowledge of type 1 diabetes do the parents of children and young adults with
this disease have? 2) Will this level of knowledge increase after 1 year of
observation? 3) Does improving the knowledge of young adults and their parents
result in better metabolic control of the patients? Material and methods: This
study included 227 patients between the ages of 5 and 20 years with type 1
diabetes. The research was conducted from March 2009 to June 2011. The following
two time points were examined: the beginning of the study (test 1a) and one year
later (test 1b). The knowledge levels of the patients and parents were obtained
using a survey and a knowledge test. Results: Comparison of the results from the
two study time points showed that the respondents had a significantly higher
level of knowledge after 1 year (p = 0.001). The comparison of glycated
hemoglobin levels between the two time points in patients with type 1 diabetes
revealed that the levels were significantly higher at test 1b compared to test 1a
(p = 0.0005). Conclusions: The parents of children and young adults with type 1
diabetes demonstrate a satisfactory level of theoretical knowledge of therapeutic
conduct and self-monitoring principles. The test 1b results demonstrated a higher
level of theoretical knowledge in all respondents and poorer metabolic control.
Poorer metabolic control in some patients suggests that metabolic control in type
1 diabetes depends on factors other than education. Further research is necessary
to determine these additional factors.
PMID- 29379533
TI - Winter ambient training conditions are associated with increased bronchial
hyperreactivity and with shifts in serum innate immunity proteins in young
competitive speed skaters.
AB - Introduction: Regular training modulates airway inflammation and modifies
susceptibility to respiratory infections. The impact of exercise and ambient
conditions on airway hyperreactivity and innate immunity has not been well
studied. We aimed to assess exercise-related symptoms, lung function, airway
hyperresponsiveness and innate immunity proteins in relation to meteorological
conditions and exercise load in competitive athletes. Material and methods:
Thirty-six speed skaters were assessed during winter (WTP) and summer (STP)
periods. The control group comprised 22 non-exercising subjects. An allergy
questionnaire for athletes (AQUA) and IPAQ (International Physical Activity
Questionnaire) were used to assess symptoms and exercise. Meteorological
parameters were acquired from World Meteorological Organization resources. Serum
innate immunity proteins were measured by ELISA. Results: Exercise-associated
respiratory symptoms were reported by 79.4% of skaters. Despite similar exercise
load and lung parameters during both periods, positive methacholine challenge was
more frequent during winter (p = 0.04). Heat shock protein HSPA1 and IL-1RA were
significantly decreased during STP compared to WTP and controls. During WTP, IL
1RA was elevated in skaters reporting exercise-induced symptoms (p = 0.007).
sCD14 was elevated in athletes versus controls in both periods (p < 0.05). HSPA1
was significantly higher in WTP compared to STP irrespective of presence of
respiratory tract infections (RTIs). IL-1RA in WTP was elevated versus STP (p =
0.004) only in RTI-negative athletes. Serum IL-1RA negatively correlated with
most meteorological parameters during WTP. Conclusions: Ambient training
conditions, but not training load, influence bronchial hyperreactivity and the
innate immune response in competitive athletes assessed during winter. The
protective effect of regular exercise against respiratory infections is
associated with a shift in serum innate immunity proteins.
PMID- 29379534
TI - Stool antigen detection versus 13C-urea breath test for non-invasive diagnosis of
pediatric Helicobacter pylori infection in a limited resource setting.
AB - Introduction: The prevalence of childhood infection with Helicobacter pylori is
high, especially in developing countries. Non-invasive methods for detection of
infection in children should be inexpensive, easy to perform, well tolerated and
have a high diagnostic accuracy. We aimed to compare the reliability, specificity
and sensitivity of the H. pylori stool antigen (HpSA) test with the 13C-urea
breath test (13C-UBT) for the diagnosis of H. pylori infection in a limited
resource setting. Material and methods: The stool samples of 60 symptomatic and
dyspeptic children with a mean age of 7.2 +/-3.7 years (2-15 years) were
evaluated using the rapid One step HpSA test by lateral flow immunoassay. The 13C
UBT was used as the gold standard method for the diagnosis of H. pylori
infection. Results: The HpSA test detected H. pylori antigen in 34 out of 38
positive patients with 4 false-negatives (sensitivity 89.5%, 95% confidence
interval (CI): 75.2-97.1%), while 21 patients had true-negative results and one
false-positive (specificity 95.5%, 95% CI: 77.2-99.9%), with a strong measure of
agreement between the HpSA test and the 13C-UBT (kappa = 0.83, 95% CI: 68-97%, p
< 0.001). It had a positive predictive value of 97.1% (95% CI: 85.1-99.9%), a
negative predictive value of 84% (95% CI: 63.9-95.5%) and an accuracy of 91.7%.
Conclusions: The rapid lateral flow HpSA test is a reliable method for the
primary diagnosis of H. pylori infections in children, though not as accurate as
the 13C-UBT. It is more affordable, simpler to perform and more tolerable,
representing a viable alternative, especially in developing countries.
PMID- 29379535
TI - Diagnostic yield of esophagogastroduodenoscopy in children with chronic abdominal
pain.
AB - Introduction: Chronic abdominal pain (CAP) is one of the most common indications
of esophagogastroduodenoscopy (EGD) in the pediatric population. However, there
is not enough information about the diagnostic yield of EGD in children with CAP.
We aimed to evaluate the diagnostic yield of EGD in children with CAP in the
Eastern Black Sea region of Turkey. Material and methods: The study included
children (n = 372) who underwent EGD for the primary indication of chronic
abdominal pain during an 18-month period. We collected data on demographic
features (age, sex), clinical characteristics (alarm symptoms), and EGD results
for each patient. Results: Patients' mean age was 13 years (range: 4-17 years;
mean +/- SD: 12.65 +/-3.39 years), and the majority were female (n = 234, 62.9%).
Endoscopy was diagnostic in 209 patients (56.2%; 95% CI: 30.35-40.05%). The most
common diagnosis was Helicobacter pylori gastritis (35.2%) followed by reflux
esophagitis. Significantly greater diagnostic yield of EGD was determined in
patients with alarm symptoms (65.1%) compared to those without (45.2%) (OR =
2.26, 95% CI: 1.49-3.44, p = 0.001). Conclusions: We determined a high diagnostic
yield of EGD in children with CAP. Although the diagnostic yield of EGD in the
assessment of CAP was found to be higher in the presence of alarm symptoms, a
significant number of children without alarm symptoms were also found to have
gastrointestinal system pathology diagnosed by EGD.
PMID- 29379536
TI - Bifidobacteria and lactobacilli in the gut microbiome of children with non
alcoholic fatty liver disease: which strains act as health players?
AB - Introduction: Non-alcoholic fatty liver disease (NAFLD), considered the leading
cause of chronic liver disease in children, can often progress from non-alcoholic
fatty liver (NAFL) to non-alcoholic steatohepatitis (NASH). It is clear that
obesity is one of the main risk factors involved in NAFLD pathogenesis, even if
specific mechanisms have yet to be elucidated. We investigated the distribution
of intestinal bifidobacteria and lactobacilli in the stools of four groups of
children: obese, obese with NAFL, obese with NASH, and healthy, age-matched
controls (CTRLs). Material and methods: Sixty-one obese, NAFL and NASH children
and 54 CTRLs were enrolled in the study. Anthropometric and metabolic parameters
were measured for all subjects. All children with suspected NASH underwent liver
biopsy. Bifidobacteria and lactobacilli were analysed in children's faecal
samples, during a broader, 16S rRNA-based pyrosequencing analysis of the gut
microbiome. Results: Three Bifidobacterium spp. (Bifidobacterium longum,
Bifidobacterium bifidum, and Bifidobacterium adolescentis) and five Lactobacillus
spp. (L. zeae, L. vaginalis, L. brevis, L. ruminis, and L. mucosae) frequently
recurred in metagenomic analyses. Lactobacillus spp. increased in NAFL, NASH, or
obese children compared to CTRLs. Particularly, L. mucosae was significantly
higher in obese (p = 0.02426), NAFLD (p = 0.01313) and NASH (p = 0.01079) than in
CTRLs. In contrast, Bifidobacterium spp. were more abundant in CTRLs, suggesting
a protective and beneficial role of these microorganisms against the
aforementioned diseases. Conclusions: Bifidobacteria seem to have a protective
role against the development of NAFLD and obesity, highlighting their possible
use in developing novel, targeted and effective probiotics.
PMID- 29379537
TI - Hydrogen excretion in pediatric lactose malabsorbers: relation to symptoms and
the dose of lactose.
AB - Introduction: Lactose malabsorption arises from lactase deficiency and may lead
to lactose intolerance - gastrointestinal symptoms after lactose ingestion.
Occurrence and severity of the symptoms are influenced by many factors, including
the dose of lactose and the intensity of its colonic fermentation to short chain
fatty acids and gases. Material and methods: The hydrogen breath test (HBT) after
30 g or 50 g of lactose was performed in 387 children. Further analysis included
children who had a positive HBT result. The HBT parameters were net hydrogen
concentration in each breath and total net hydrogen concentration during the HBT.
The time of the first hydrogen rise was also calculated. HBT parameters were
analyzed according to symptoms occurrence (lack or present), symptoms severity
(lack, moderate or severe) and the dose of lactose (30 g or 50 g). Results: One
hundred and six children (12.1 years, 46 boys) had a positive HBT result.
Symptoms occurrence was positively related to net hydrogen concentration at 30
min, 60 min and 90 min (p < 0.001 at each time point), as well as to the total
net hydrogen concentration (p < 0.001). There were no differences in hydrogen
excretion between subjects with moderate and severe symptoms after lactose
ingestion. Symptoms were more frequent in subjects given 50 g of lactose than in
those given 30 g of lactose (79% vs. 47%, p = 0.003). In both dose groups
symptoms occurrence was related to hydrogen excretion. Conclusions: Symptoms
occurrence is closely related to hydrogen excretion and to the dose of ingested
lactose.
PMID- 29379538
TI - Histologic recovery among children with celiac disease on a gluten-free diet. A
long-term follow-up single-center experience.
AB - Introduction: Celiac disease (CD) is defined by gluten-induced immune-mediated
enteropathy, affecting approximately 1% of the genetically predisposed
population. The immunologic response to gluten causes characteristic intestinal
alterations with gradual development. Histologic recovery of intestinal
architecture was reported to occur within 6-12 months after starting a gluten
free diet, simultaneously with clinical remission. The aim of this study was to
assess the rate and timing of histologic recovery among children with CD on a
gluten-free diet, diagnosed and followed in an academic referral pediatric center
during a 10-year period. Material and methods: 105 biopsy-confirmed CD children
underwent follow-up small intestinal biopsies within at least 1 year after
dietary gluten withdrawal. Further biopsies were performed if villous alterations
were persistent. The Marsh classification modified by Oberhuber was used to score
the histologic injuries. Results: In all 19 cases with Marsh type II at
diagnosis, villous alterations normalized to Marsh type 0 within the first year.
From 86 children enrolled with Marsh type III lesions, histologic remission was
observed in 81.4% after 1 year, 91.8% within 2-3 years and 97.6% in long-term
follow up (>= 3 years). Two (2.3%) patients with concomitant selective IgA
deficiency had symptoms of malabsorption and persisting villous atrophy lasting
more than 3 years despite a gluten-free diet. There was a significant statistic
difference between the proportion of children with Marsh type IIIA, type IIIB and
Marsh type IIIC respectively that achieved histologic recovery within 1 to 2
years after gluten withdrawal. There were more children with partial 25 (92.6%)
and subtotal villous atrophy 30 (88.2%) showing histologic improvement, compared
to only 15 (60%) patients with total villous atrophy that recovered within the
first 2 years of diet (p = 0.01 and p = 0.02 respectively). Conclusions:
Histologic recovery in CD after starting a gluten-free diet in children takes at
least 1 year and might be incomplete only in a small proportion of children,
mainly associated with IgA immunodeficiency. Systematic follow-up of children
with CD and persistent malabsorption syndrome is needed in order to avoid
secondary complications.
PMID- 29379539
TI - Enteric parasites can disturb leptin and adiponectin levels in children.
AB - Introduction: Infection by intestinal parasites in childhood may be the main
cause of many health-related problems in developed countries such as anemia,
anorexia, loss of appetite, retarded growth and development. The aim of the
present study was to assess the effect of different intestinal parasites on white
adipose tissue hormones. Material and methods: Eighty-one children infected by
different parasites and 35 apparently healthy children were enrolled in this
study. All patients and controls were subjected to clinical examination,
measurement of body mass index (BMI) and laboratory examination. Results: For BMI
percentiles, there was a significant increase in serum leptin level (p = 0.042)
and a significant decrease in serum adiponectin level (p = 0.039) in uninfected
children, whereas there were no significant changes in the infected group (p =
0.068 and 0.082 respectively). A significant increase in leptin and decrease in
adiponectin levels were observed for E. histolytica, Strongyloides and E.
histolytica and Giardia infections compared to the control group (p = 0.047,
0.035 and 0.019 for leptin, and p = 0.025, 0.038 and 0.041 for adiponectin,
respectively). Conclusions: The infection by some intestinal parasites may
deregulate the secretion of leptin and adiponectin and also affect the absorption
of some nutrients which can disturb the BMI and cause anorexia.
PMID- 29379540
TI - Prognostic value of assessment of stool and serum IL-1beta, IL-1ra and IL-6
concentrations in children with active and inactive ulcerative colitis.
AB - Introduction: Interleukin-1beta (IL-1beta), interleukin-1 receptor antagonist (IL
1ra) and interleukin-6 (IL-6) contribute to the pathogenesis of ulcerative
colitis (UC). The aim of our study was to evaluate the serum and stool IL-1beta,
IL-1ra and IL-6 concentrations as potential prognostic factors in children with
UC. Material and methods: Thirty-eight children with UC (20 active, 18 inactive)
and 14 healthy controls were prospectively included in the study. IL-1beta, IL
1ra and IL-6 concentrations were measured in serum and stool supernatants at
inclusion to the study using ELISA immunoassays. The children were followed up
over 5 years, and at each follow-up clinical disease activity, quantity and
severity of relapses, nutritional status, endoscopic and histopathologic
activity, disease complications and the treatment regimen were evaluated.
Results: In children with active and inactive UC who had relapsed during a 5-year
follow-up period compared to the non-relapse groups we found significantly
increased serum IL-1beta (1.34 vs. 0.98 pg/ml, p < 0.05, and 1.02 vs. 0.68 pg/ml,
p < 0.01, respectively,) and IL-1ra (718.0 vs. 453.2 pg/ml, p < 0.05, and 567.4
vs. 365.1 pg/ml, p < 0.01, respectively). Additionally, in children who had
experienced complications during a 5-year follow-up period we observed
significantly increased serum and stool IL-1beta (p < 0.05) and serum IL-1ra (p <
0.01) compared to the group without complications. Conclusions: We concluded that
serum IL-1beta and IL-1ra and to a lesser extend stool IL-1beta concentrations
may be useful prognostic factors in children with active and inactive UC over a
short-term follow-up period, which may help to identify children that require
more aggressive therapy due to an increased risk of relapse or complications
resulting from UC.
PMID- 29379541
TI - Vitamin D deficiency is associated with urinary tract infection in children.
AB - Introduction: In humans, vitamin D has been shown to play a role in infectious
diseases, but its association with acquisition and a complicated course of
febrile urinary tract infections (UTIs) has not been investigated. We aimed to
investigate the association between 25-hydroxyvitamin D (25(OH)D3) levels and the
risk of first time febrile UTI in children. Material and methods: This
prospective case-control study included 50 children with first febrile UTI, with
no risk factors for UTI, and 50 age- and sex-matched healthy siblings as
controls. White blood cell count, serum C-reactive protein, calcium, phosphorus,
alkaline phosphatase and parathormone were measured in all studied children.
Vitamin D status was determined by measuring plasma 25(OH)D3 level. Deficiency
was defined as a plasma 25(OH)D3 level <= 25 nmol/l. Results: Children with UTI
had significantly lower mean serum levels of 25(OH)D3 (10.5 +/-2.7 nmol/l) than
those of controls (25.9 +/-5.6 nmol/l) (p < 0.05). Patients with lower UTI had
significantly higher serum levels of 25(OH)D3 compared to those with acute
pyelonephritis (12.4 +/-2.59 vs. 8.2 +/-3.2 nmol/l; p < 0.001). Mean serum levels
of 25(OH)D3 were significantly lower (p = 0.001) in the female patients compared
with males, and this difference was not found within the control group.
Multivariate analysis showed that a serum 25(OH)D3 level of <= 25 nmol/l is
associated with UTI (OR = 1.94, 95% CI: 1.61-2.82; p = 0.04). Conclusions:
Vitamin D deficiency (<= 25 nmol/l) was an independent risk factor for UTI in
children.
PMID- 29379542
TI - Clinical and immunological effects of vitamin D supplementation during the pollen
season in children with allergic rhinitis.
AB - Introduction: Vitamin D deficiency has been proposed as a potential contributing
factor in patients with allergic diseases. We compared the clinical and
immunological effects of vitamin D supplementation to placebo during the pollen
season in children with allergic rhinitis. Material and methods: Thirty-eight
children aged 5-12, sensitive to grass pollen, participated in a prospective,
randomized, double-blind, placebo-controlled trial. Children received either
vitamin D 1000 IU daily supplementation or placebo. We studied
symptoms/medication score, lung function, exhaled nitric oxide concentration
(FENO), methacholine bronchial provocation test and serum level of 25(OH)D, as
well as; CD4+CD25+Foxp3+ cells, TLR4, IL-1, IL-6, TNF and the IL-10 and
transforming growth factor beta1 (TGF-beta1) levels in cell culture supernatants.
Results: Vitamin D therapy was effective in reduction of the symptoms/medication
score (p = 0.0371). In vitamin D group an increase in the CD4+CD25+Foxp3+ cells
(7.06 vs. 10.5%; p = 0.0013) and serum 25(OH)D concentration (49.6 vs. 96.6
ng/ml; p = 0.0001) and in control group an increase in FENO (15.6 vs. 21 ppb; p =
0.0331) and serum 25(OH)D level were observed (82.9 vs. 100.3 ng/ml; p =
0.0003).We revealed a higher increase from baseline in the percentage of
CD4+CD25+Foxp3+ cells in the vitamin D group compared to the control group (p =
0.0058). A significant correlation between CD4+CD25+Foxp3+ cell induction and
FENO reduction in the vitamin D group was observed (p = 0.0217). Conclusions:
Vitamin D 1000 IU as a supplementary treatment of grass pollen allergy in
children with allergic rhinitis during the pollen season significantly reduced
the symptoms/medication score. The study revealed an immunological effect of
vitamin D.
PMID- 29379543
TI - Usefulness of molecular diagnosis in egg allergic children.
AB - Introduction: Egg allergy is one of the most common food allergies in children.
Egg white, including ovomucoid (OVM or Gal d 1) and ovalbumin (OVA or Gal d 2),
is the major source of allergens. The aim of this study was to assess the role of
Gal d 1 and Gal d 2 in predicting the risk of anaphylaxis caused by eggs in
children, and to compare this new diagnostic tool with established methods of
allergen-specific IgE detection. Material and methods: One hundred and forty
eight children were divided into 2 groups according to a positive (group A, 33
children) or negative (group B, 115 children) history of anaphylaxis after
ingestion/contact with eggs. All patients underwent an allergological evaluation
by measurements of specific IgE against egg white: Gal d 1 and Gal d 2. Results:
Higher levels of Gal d 1, Gal d 2 and IgE against egg white were detected in
group A compared to group B (p < 0.001). Although the area under the curve was
similar for Gal d 1 and Gal d 2, egg white specific IgE showed a better
sensitivity (85%) for a cut-off value >= 0.975 kUA/l, while Gal d 1 and Gal d 2
demonstrated a better specificity (90% and 80%, respectively) for cut-off values
>= 1.460 kUA/l and >= 2.310 kUA/l, respectively. Conclusions: Egg white specific
IgE showed a similar ability as Gal d 1 and Gal d 2 in differentiating children
at risk for egg anaphylaxis, although Gal d 1 and Gal d 2 showed a better
specificity.
PMID- 29379544
TI - The influence of various therapeutic regimens on early clinical and laboratory
response and outcome of children with secondary hemophagocytic
lymphohistiocytosis.
AB - Introduction: Secondary hemophagocytic lymphohistiocytosis (sHLH) is a life
threatening syndrome of severe hyperinflammation which is often triggered by
infection or autoimmune disease (macrophage activation syndrome - MAS). The aim
of our study was to assess the frequency of sHLH/MAS in children treated in our
institution and to compare the effectiveness of various therapeutic
interventions. Material and methods: Between 2005 and 2013, 24 children (age: 1
17 years) were consecutively treated for sHLH/MAS. Therapy was based on
glucocorticoids (GCs) in high or standard doses (hd-GCs or sd-GCs), intravenous
immunoglobulin (IVIG), and cyclosporin A (CyA). A comparison of selected
laboratory and clinical parameters during the first 72 h of treatment and after a
week from the last intervention applied in the first 72 h after diagnosis was
performed retrospectively. Results: The majority of patients (14/24, 58%)
suffered from sHLH/MAS in the course of an autoimmune disease (12 patients
diagnosed with a systemic form of juvenile idiopathic arthritis). We found with a
confidence level of 95% that the application of hd-GCs in the first 24 h caused
rapid alleviation of fever, reduction of hepatosplenomegaly, and an increase in
thrombocytes and s-fibrinogen concentrations. The use of combination therapy with
hd-GCs, IVIG, and CyA in the first 72 h caused a faster increase in s-fibrinogen.
All patients survived and were alive at the follow-up of 1-8 years. Conclusions:
The results indicate that treatment of sHLH/MAS based on hd-GCs, CyA and IVIG is
an effective therapy in children.
PMID- 29379546
TI - GABRG2 C588T gene polymorphisms might be a predictive genetic marker of febrile
seizures and generalized recurrent seizures: a case-control study in a Romanian
pediatric population.
AB - Introduction: This case-control study aimed to assess two single nucleotide
polymorphisms of the gene encoding the GABRG2 protein - GABRG2 (3145 G>A) and
GABRG2 rs 211037 Asn196Asn (C588T) - in a cohort of pediatric patients from
Romania, and evaluate their possible impact on drug-resistant forms of
generalized epilepsy and recurrent febrile seizures. Material and methods: One
hundred and fourteen children with idiopathic generalized epilepsy (group 1) or
febrile seizures (group 2) were compared to 153 controls. Peripheral blood
samples were assessed using polymerase chain reaction-restriction fragment length
polymorphism analysis, with results interpreted based on the disappearance of a
restriction site in the C allele (122 bp) compared to the T allele (100 bp + 22
bp). Results: A significant association was found with the TT homozygous genotype
and T allele for both febrile seizures and epilepsy for the C588T locus, while
GABRG2 G>A 3145 showed no significant association with any type of seizure. The
TT homozygous genotype of GABRG2 Asn196Asn polymorphism was more frequent in
patients with a history of febrile seizures (p = 0.0001), without a significant
association identified for GABRG2-G>A 3145. Composite analysis showed
associations with epilepsy for CC-AG (p = 0.02) and CT-AG (p = 0.007) with the CC
AA combination as reference. Conclusions: C588T polymorphism of the GABRG2 gene
might be a predictive genetic marker in triggering febrile convulsions. GABRG2
rs211037 TT homozygotes and T allele variants have an increased risk for
developing febrile seizures. Recurrent crises and repeated episodes of seizures
are more frequent in the GABRG2 Asn196Asn TT genotype polymorphism, with a 45 and
8 times higher risk of developing idiopathic generalized epilepsy and recurrent
febrile seizures, respectively.
PMID- 29379545
TI - Augmented mitochondrial cytochrome c oxidase activity in children with iron
deficiency: a tandem between iron and copper?
AB - Introduction: Dyshomeostasis of essential trace elements including iron and
copper plays a key role in the pathogenesis of a myriad of serious conditions
including iron deficiency (ID) anemia, in which impaired cellular energy
metabolism is prominent. Although experimental studies documented decreased
activity of cytochrome c oxidase (CytOx) in ID, there are not enough clinical
data. The present study was conducted to determine serum copper levels and
activity of mitochondrial CytOx in isolated lymphocytes of patients with iron
deficiency. Material and methods: A total of 210 cases (2-17 years) were included
in this prospective study. Serum iron and copper levels were measured. According
to the serum iron levels, patients were allocated to iron deficient (ID, n = 70)
and iron deficiency anemia (IDA, n = 70) groups, and iron-sufficient participants
were allocated to the control group (n = 70). Activity of CytOx in the
circulating lymphocytes was colorimetrically measured and compared with the
controls. Results: The CytOx activity was significantly higher in the IDA (2.9 +/
1.2 mOD/min, n = 62) group compared to the control group (2.4 +/-1.3 mOD/min, n =
68, p < 0.001). Interestingly, serum copper levels were significantly higher in
both the ID (106.9 +/-55.5 MUg/dl, n = 64, p = 0.0001) and IDA (115.1 +/-50.2
MUg/dl, n = 59, p = 0.0001) groups than the control group (72.1 +/-46.7 MUg/dl, n
= 69). Conclusions: Higher serum copper levels in patients with IDA implicate co
operative interaction between these trace elements. The elevated CytOx activity
in patients with IDA is probably secondary to the normal/elevated serum copper
levels.
PMID- 29379547
TI - Persistence of primitive reflexes and associated motor problems in healthy
preschool children.
AB - Introduction: Retained primitive reflexes can disturb natural development and
involve difficulties in social and educational children's life. They can also
impact on psychomotor development. Mature responses in a child's psychomotor
progress can only occur if the central nervous system itself has reached
maturity. The process consist the transition made from brain stem reflex response
to cortically controlled response. This study define the occurrence of primitive
reflexes in healthy 4-6 years old children and analyze the impact of survived
primitive reflexes on psychomotor development. Material and methods: The study
involved 35 participants aged 4-6 years healthy preschool children. The study
tools were: primitive reflexes tests by Sally Goddard for children and Motor
Proficiency - Test (MOT 4-6 test) in 18 tasks. Results: Over a half (65%)
preschool children had survived the primitive reflexes on the residual level.
Eleven percent of them had no retained primitive reflexes. According to the
psychomotor ability, 9% of the children were in the category of "altered
development", 29% in "delayed development", 59% in "normal" and 3% in "very good
development". The greater the severity of the reflex, the motor efficiency was
lower (p < 0.05). Conclusions: It seems reasonable to introduce reflexes
integration therapy in children's with low psychomotor skills. Primitive reflexes
routinely tested, can contribute to improved early psychomotor development in
children with needs, thus preventing many difficulties which children can
encounter within their social and school life.
PMID- 29379548
TI - The validity of self-reported vs. measured body weight and height and the effect
of self-perception.
AB - Introduction: The objective was to assess the validity of self-reported body
weight and height and the possible influence of self-perception of body mass
index (BMI) status on the actual BMI during the adolescent period. Material and
methods: This cross sectional study was conducted on 3918 high school students.
Accurate BMI perception occurred when the student's self-perception of their BMI
status did not differ from their actual BMI based on measured height and weight.
Agreement between the measured and self-reported body height and weight and BMI
values was determined using the Bland-Altman metod. To determine the effects of
"a good level of agreement", hierarchical logistic regression models were used.
Results: Among male students who reported their BMI in the normal region, 2.8%
were measured as overweight while 0.6% of them were measured as obese. For
females in the same group, these percentages were 1.3% and 0.4% respectively.
Among male students who perceived their BMI in the normal region, 8.5% were
measured as overweight while 0.4% of them were measured as obese. For females
these percentages were 25.6% and 1.8% respectively. According to logistic
regression analysis, residence and accurate BMI perception were significantly
associated with "good agreement" (p <= 0.001). Conclusions: The results of this
study demonstrated that in determining obesity and overweight statuses, non
accurate weight perception is a potential risk for students.
PMID- 29379549
TI - What does the Cantril Ladder measure in adolescence?
AB - Introduction: The Cantril Scale (CS) is a simple visual scale which makes it
possible to assess general life satisfaction. The result may depend on the
health, living, and studying conditions, and quality of social relations. The
objective of this study is to identify key factors influencing the CS score in
Polish adolescents. Material and methods: The survey comprised 1,423 parent-child
pairs (54% girls; age range: 10-17; 67.3% urban inhabitants; 89.4% of parents
were mothers). Linear and logistic models were estimated; the latter used
alternative divisions into "satisfied" and "dissatisfied" with life. In addition
to age and gender, child-reported KIDSCREEN-52 quality of life indexes were taken
into account, along with some information provided by parents - child physical
(CSHCN) and mental (SDQ) health, and family socio-economic conditions. Results:
According to the linear model, nine independent predictors, including six
dimensions of KIDSCREEN-52, explain 47.2% of the variability of life satisfaction
on the Cantril Scale. Self-perception was found to have a dominating influence
(DeltaR2 = 0.301, p < 0.001). Important CS predictors also included Psychological
Well-being (DeltaR2 = 0.088, p < 0.001) and Parent Relations (DeltaR2 = 0.041, p
< 0.001). The impact of socioeconomic factors was more visible in boys and in
older adolescents. According to logistic models, the key factors enhancing the
chance of higher life satisfaction are Moods and Emotions (cut-off point CS > 5)
and School Environment (CS > 8 points). None of the models indicated a
relationship between the CS and physical health. Conclusions: The Cantril Scale
can be considered a useful measurement tool in a broad approach to psychosocial
adolescent health.
PMID- 29379550
TI - How does the activity level of the parents influence their children's activity?
The contemporary life in a world ruled by electronic devices.
AB - Introduction: Apart from many positive changes associated with technical
civilization, there are also - from the health point of view - some threats. The
reduction in the level of physical activity is one of them. The aim of the study
was to investigate whether there are any relationships between children's
physical activity and behaviors, and to assess the impact of the adults' activity
on their children's habits. Material and methods: The study involved a group of
340 children aged 7-12 years (mean age: 9.81 +/-1.7) and their parents. In order
to evaluate children's physical activity and the amount of time that they spend
with electronic devices, an original questionnaire and the IPAQ questionnaire
were used. Results: Children usually use electronic devices between 2 and 7 days
per week (mean: 4.74 +/-0.86), regardless of sex (p > 0.09) and spend between 5
and 1620 min per week (mean: 459.46 +/-308.1) with their mobile phone, tablet,
PCs and TVs. 67.92% of boys and 69.61% of girls lead an active lifestyle. The
children's activity level depends on their parents' level of activity (p <
0.000001). Parents of semi-active children lead a lifestyle with a moderate level
of physical activity. Conclusions: The level of physical activity in younger
children depends on the children's relationship with their parents and their
level of activity. Children spend a lot of free time with their electronic
devices. It is necessary to develop and implement activities intended to raise
awareness of children and their families about the effects of hypokinesis.
PMID- 29379551
TI - Aerobic and concentration training and allele 7 in the dopamine receptor D4
(D4DR) gene increase chances of smoking cessation in young Polish women.
AB - Introduction: One reason for the limited effectiveness of the available smoking
cessation methods is the fact that the causes of tobacco addiction have not been
adequately explained yet. Numerous anti-smoking programs aimed at women involve
intense physical activity. The goal of the study was to evaluate the
effectiveness of health training for smoking cessation by young women in
connection with the dopamine receptor gene (D4DR) in their genetic profile.
Material and methods: Forty-eight adult female smokers were randomly assigned to:
1) a concentration training group, or 2) an aerobic and concentration training
group. Genetic and phenotypic data were obtained from 48 participants. Genotyping
was performed for allele 7 in the D4DR gene. Results: Individuals with allele 7
in the dopamine receptor D4 gene have two times greater chances (OR = 2.13: 95%
CI: 0.91-4.96) of quitting smoking than individuals without allele 7. No
statistical significance was revealed (p = 0.0805). Individuals undertaking
aerobic training in combination with concentration training are three times more
likely (OR = 3.06: 95% CI: 1.03-9.05) to quit smoking than individuals who do not
perform aerobic training (p = 0.0439). Conclusions: The results of the study show
that an intensive, 6-week health training program had a significant influence on
smoking cessation. Smoking quitters, who are genetically predisposed and decide
to take up aerobic training in combination with concentration training, have much
greater chances of quitting smoking.
PMID- 29379552
TI - Differential action of polyunsaturated fatty acids and eicosanoids on bleomycin
induced cytotoxicity to neuroblastoma cells and lymphocytes.
AB - Introduction: This study was conducted to examine whether bleomycin-induced
growth inhibitory action on human neuroblastoma cells (IMR-32) is influenced by
anti-inflammatory metabolites of polyunsaturated fatty acids (PUFAs): lipoxin A4
(LXA4), resolvin D1 and protectin D1 in vitro. Material and methods: The in vitro
study was conducted using monolayer cultures of exponentially growing IMR-32
cells. The effects of various PUFAs and eicosanoids and anti-inflammatory
metabolites of PUFAs such as lipoxin A4 (LXA4), resolvin D1 and protectin D1 on
the growth of IMR-32 cells and human lymphocytes in vitro were investigated. The
potential of PUFAs, eicosanoids and LXA4, resolvin D1 and protectin D1 to modify
the growth inhibitory effects of bleomycin was also studied in IMR-32 cells and
human lymphocytes. Results: PUFAs inhibited the growth of IMR-32 cells (EPA > DHA
= AA > GLA = ALA > DGLA = LA) significantly (p < 0.001) while prostaglandins were
found to be not effective. Bleomycin-induced growth inhibitory action on IMR-32
cells was augmented by PUFAs and its metabolites (p < 0.05). PUFAs and LXA4 did
not inhibit the growth of human lymphocytes and bleomycin-induced growth
inhibitory action was also not enhanced by these bioactive lipids. Conclusions:
Bioactive lipids have differential action on normal human lymphocytes and tumor
cells in vitro. The apparent lack of effect of PUFAs in combination with
bleomycin on the growth of human lymphocytes in comparison to their growth
inhibitory action on IMR-32 cells suggests that PUFAs can be used in combination
with bleomycin to target tumor cells with little concern over this combination's
effect on the growth of human lymphocytes. Further studies are warranted to
evaluate these differential effects under in vivo conditions.
PMID- 29379553
TI - KLF1 gene and borderline hemoglobin A2 in Saudi population.
AB - Introduction: Elevated HbA2 (hemoglobin A2) level is considered the most reliable
hematological parameter for the detection of beta-thalassemia carriers. However,
some carriers are difficult to recognize because the level of HbA2 is not in the
distinctive carrier range, i.e. 4.0-6.0%; instead, some carriers have HbA2 levels
between normal and carrier levels, i.e. borderline HbA2 (HbA2 = 3.1-3.9%).
Studies have shown that variations in the erythroid Kruppel-like factor (KLF1)
gene lead to borderline HbA2 in beta-thalassemia carriers from various
populations. The incidence of borderline HbA2 in Saudis is high. Material and
methods: To confirm the influence of variations in KLF1, HBA1, HBA2 and HBB genes
for the reduction of the level of HbA2 in Saudi beta-thalassemia carriers, we
performed a direct sequence analysis of KLF1, HBA1, HBA2 and HBB genes from 212
healthy Saudis (88 subjects: HbA2 < 3; 72 subjects: HbA2 = 3.1 to 3.9; 52
subjects HbA2 > 4.3). Results: The presence of the borderline HbA2 level is not
specific to any type of beta-thalassemia variation or beta+-thalassemia
variations in Saudis. Two exonic (c.304T>C and c.544T>C) and two 3' untranslated
region (3'UTR) (c.*296G>A and c.*277C>G) variations have been identified in the
KLF1 gene for the first time from an Arab population. None of these four
variations in KLF1 genes are significantly associated with the Saudis with
borderline HbA2. alpha Globin genotype, -alpha23.7/alpha1alpha2, is found to be
the most frequent (55.55%) among healthy Saudis with borderline HbA2 compared
with the other groups (HbA2 < 3 = 20.45%; HbA2 > 4.3 = 13.51%). Conclusions:
Further studies are necessary to determine the influence of other factors on the
presence of borderline HbA2 in 41.67% of Saudis.
PMID- 29379554
TI - Variable clinical presentation of glycogen storage disease type IV: from severe
hepatosplenomegaly to cardiac insufficiency. Some discrepancies in genetic and
biochemical abnormalities.
PMID- 29379555
TI - Propafenone is not effective for severe ventricular arrhythmias in Andersen-Tawil
syndrome.
PMID- 29379556
TI - Massive pulmonary embolism in a patient with polysplenia syndrome and interrupted
inferior vena cava with azygous continuation.
PMID- 29379557
TI - A pediatric case of rhabdomyolysis with acute renal failure due to co-infection
with Epstein-Barr virus and Human herpesvirus 6.
PMID- 29379558
TI - Effect of Whole Exome Sequencing in Diagnosis of Inborn Errors of Metabolism and
Neurogenetic Disorders.
AB - Objective: Inborn errors of metabolism are complex disorders with huge
variability in clinical manifestations. Decreasing cost of whole exome sequencing
(WES) in recent years, made it affordable. Therefore, we witnessed an increase in
using WES in diagnosis of genetic diseases, including inherited metabolic
disorders. Methods: A systematic search was done in well-known databases
including Medline, Google, Cochrane, and PubMed until 1 Oct 2017. We reviewed the
articles addressing the use of WES in diagnosis of metabolic and neurogenetic
diseases to evaluate its impact in diagnosis of these conditions. Results: WES is
an effective technology with remarkable impact in diagnosis of metabolic and
neurologic diseases, especially in complex cases. Diagnostic yield of WES for
these conditions has large variety, ranging from 16% to 68% with an increase
during recent years. WES can provide fresh valuable information about new
disease, new variants and phenotypes. Careful analysis and interpretation of data
obtained by WES and precise evaluation of correlation between clinical
manifestation and WES findings are necessary to achieve a correct diagnosis.
Conclusion: WES is effective and useful technology for diagnosis of metabolic and
neurogenetic diseases, especially in complex or unsolved cases.
PMID- 29379559
TI - Clinical and Imaging Findings in Childhood Posterior Reversible Encephalopathy
Syndrome.
AB - Objective: Posterior reversible encephalopathy syndrome (PRES) is characterized
by typical radiologic findings in the posterior regions of the cerebral
hemispheres and cerebellum. The symptoms include headache, nausea, vomiting,
visual disturbances, focal neurologic deficits, and seizures. The aim of this
study is to evaluate the clinical and radiological features of PRES in children
and to emphasize the recognition of atypical features. Materials & Methods: We
retrospectively examined 23 children with PRES from Mar 2010-Apr 2015 in Inonu
University Turgut Ozal Medical Center in Turkey. We compared the clinical
features and cranial MRI findings between underlying diseases of PRES. Results:
The most common precipitating factors were hypertension (78.2%) and medications,
namely immunosuppressive and antineoplastic agents (60.8%). Manifestations
included mental changes (100%), seizures (95.6%), headache (60.8%), and visual
disturbances (21.7%) of mean 3.6 (range 1-10) days' duration. Cranial magnetic
resonance imaging (MRI) showed bilateral occipital lesions in all patients,
associated in 82.6% with less typical distribution of lesions in frontal,
temporal or parietal lobes, cerebellum, corpus callosum, basal ganglia, thalamus,
and brain stem. Frontal involvement was predominant, observed in 56.5% of
patients. Clinical recovery was followed by radiologic resolution in all
patients. Conclusion: PRES is often unsuspected by the clinician, thus
radiologists may be the first to suggest this diagnosis on an MRI obtained for
seizures or encephalopathy. Atypical MRI finding is seen quite often. Rapid
diagnosis and treatment are required to avoid a devastating outcome.
PMID- 29379560
TI - Evaluating of Psychiatric Behavior in Obese Children and Adolescents.
AB - Objective: Obesity is a medical condition that may have a harmful effect on
health, leading to increased illness and reduced life expectancy. This studyaimed
to evaluate the relationship of psychiatry disorders in overweight and obese
children and adolescents. Materials & Methods: In this case-control study, 160
children and adolescent were enrolled refereed to Clinic of Pediatric
Endocrinology, Imam Reza hospital, Mashhad, Iran in 2009-2011. The sampling
method of this study was non-probability and biased. Study instruments were SDQ,
CDI, STAI, Peds QL. All questionnaires were self-administrating completed by
subjects or their parents. Differences between groups were examined using t-test
and chi-square tests as appropriate. Results: There was no significant difference
on scores of anxiety between two groups. However, significant difference was on
scores of depression, quality of life, and strength and difficulty between two
groups. In addition, there was no significant difference in gender effect on
anxiety and depression. However, emotional symptoms were more in girl. In
contrast, the conduct problems were more in boys. Anxiety and depression were
more in adolescents. Conclusion: Obesity has a negative effect on the anxiety,
depression, and self-esteem of children and adolescents. It might be a more
important risk factor for depression, anxiety, and other psychiatry disorders.
This study also emphasizes the importance of prevention of obesity.
PMID- 29379561
TI - Haplotype Analysis of DXS548 and FRAXAC1 Microsatellite Loci in Iranian Patients
with Fragile X Syndrome.
AB - Objective: Fragile X syndrome (FXS) is the most common cause of inherited mental
retardation caused by expansion of a (CGG) repeat region up to 1000 repeat in 5'
region of the FMR1 gene located in FRAXA locus Xq27.3. To better understand the
mechanism involved in expansion of CGG region, the molecular characteristic of
the flanking microsatellite markers in the region must be clarify in different
populations. We aimed to examine the potential association between specific
haplotype and the expanded AC-repeat region in cases and controls chromosomes.
Materials & Methods: Forty unrelated FXS males and 62 unrelated normal males
originating from various regions of Iran were haplotyped by analyzing two CA
repeat markers, FRAXAC1 and DXS548. Results: Significant linkage disequilibrium
was obtained between DXS548 and FRAXAC1 specific marker alleles and CGG repeat
expansion among 40 fragile X cases compared to 62 normal controls. The
frequencies of DXS548 and FRAXAC1 longer alleles in patients were significantly
higher than that in control group. Two FRAXAC1 long alleles were only observed in
cases, possibly due to concatenated mutations. The increase of heterozygosities
in fragile X cases (DXS548 78.6%, FRAXAC1 64.6%) in comparison to the controls
(DXS548 63.0%, FRAXAC1 47.0%) showed a multimodal distribution of fragile X
associated alleles. Conclusion: Haplotype analyses with DXS548 and FRAXAC1
markers represented that haplotype distribution in the normal controls and FXS
patients were significantly different, representing a weak founder effect.
PMID- 29379562
TI - A Randomized Clinical Trial Comparing the Efficacy of Melatonin and Amitriptyline
in Migraine Prophylaxis of Children.
AB - Objective: The aim of the present research was to compare the effectiveness and
tolerability of melatonin and amitriptyline in pediatric migraine prevention.
Materials & Methods: In a parallel single-blinded randomized clinical trial, 5-15
yr old children with diagnosis of migraine that preventive therapy was indicated
in whom and were referred to Pediatric Neurology Clinic of Shahid Sadoughi
Medical Sciences University, Yazd-Iran from 2013-2014, were randomly allocated to
receive 1 mg/kg amitriptyline or 0.3 mg/kg melatonin for three consecutive
months. Results: Forty one girls (51.3%) and 39 boys (48.7%) with mean age of
10.44+/-2.26 yr were evaluated. Good response was seen in 82.5% of amitriptyline
and 62%.5 of melatonin groups and amitriptyline was statistically significant
more effective (P=0.04). Severity, duration and Pediatric Migraine Disability
Assessment score (PedMIDAS) of headache reduced with melatonin from 6.05+/-1.63
to 4.03+/-1.54 scores, from 2.06+/-1.18 to 1.41+/- 0.41 hours, and from 33.13+/
9.17 to 23.38+/-9.51 scores, respectively. Severity, duration and PedMIDAS of
headache decreased with amitriptyline from 6.41+/-1.67to 2.25+/-1.21, from 2.55
+/-1.85to 0.56+/-0.51h, and from 31.4+/-9.33 to 8.28 +/- 3.75, respectively (All
P < 0.05). Both drugs were effective in reduction of monthly frequency, severity,
duration and disability of headache. Daily sleepiness was seen in 7.5% of
melatonin group as a side effect and adverse events were seen in 22.5% of
amitriptyline group including daily sleepiness in four, constipation in three and
fatigue in two children and melatonin was safer than amitriptyline (value =
0.04). Conclusion: Amitriptyline and melatonin are effective and safe in
pediatric migraine prophylaxis but amitriptyline can be considered as a more
effective drug.
PMID- 29379563
TI - The Relationship of Parental Pain Catastrophizing with Parents Reports of
Children's Anxiety, Depression, and Headache Severity.
AB - Objective: Parental pain catastrophizing is a construct recognized to have a
significant impact on experience of pain in both children and parents. This
research aimed to investigate the probable relationship of parental pain
catastrophizing with the parent's reports of children's anxiety, depression and
headache severity amongst Iranian parents of children with chronic or recurrent
headache. Materials & Methods: This study was conducted in 2015-16, in two
pediatric neurological centers located in Tehran, Iran; with a convenience
sampling method and 212 parents (120 mothers and 92 fathers) of 132 children with
a chronic or recurrent headache (migraine and tension-type). They completed the
Pain Catastrophizing Scale; Numeric Pain Rating Scale, asking for the average of
pain severity in last three months before the research, and the Anxiety and
Depression subscales of the Children Behavioral Check List. Results: The mean age
of parents was 35.41 yr (SD=5.58) and the mean age of children was 9.83 yr
(SD=2.77). A total of 72 girls and 60 boys participated in this study with a mean
pain severity for headache in last three months before the research of 4.99
(SD=2.63). Probable sex differences according to parental pain catastrophizing,
pain severity, anxiety, and depression were assessed amongst parents. In the next
step, the predictability of pain severity from parental pain catastrophizing was
evaluated. Results indicated a significant relationship in maternal pain
catastrophizing and estimates of pain intensity by mothers. Conclusion: These
findings represent the importance of parent's especially mother's cognitive
factors affecting their reports of their children's pain and related emotional
disturbances.
PMID- 29379564
TI - Factors Influencing the Attendance of Preterm Infants to Neonatal Follow up And
Early Intervention Services Following Discharge from Neonatal Intensive Care Unit
during First Year of Life in Iran.
AB - Objective: The aim of this study was to determine factors influencing the number
of times neonatal intensive care unit admitted preterm infants attend Neonatal
Follow up and Early Intervention services (NFEI) during first year of life.
Materials &Methods: A parent-report questionnaire was administered via phone
after the first birthday of preterm infants admitted to the NICU at Arash
Hospital, Tehran, for at least 24 h, and who received standard NICU-based
therapeutic services, from Apr 2014 to Feb 2015. Data included mother's age,
education, type of pregnancy, history of abortion or premature birth, self
reported post-partum depression, number of children, infant's gender, birth
weight, gestational age, length of stay in the NICU, living area, twin or triplet
birth, number of siblings, and the child rank. Number of attending times to
services was recorded. Another question addressed the causes of not attending the
NFEI services. Results: Ultimately, 119 eligible children participated, 51% were
girls and whose mean birth weight was 1908+/-626.7 gr, and average length of NICU
stay was 20.1+/-16.9 d. After multivariate analysis, shorter length of stay in
the NICU, lower maternal education, number of children, self-declared lack of
awareness about early intervention services, and self-reported lack of referral
by a physician were the only factors that continued to be significantly
correlated, and in fact, the truly influential ones associated with number of
attending times. Conclusion: This study has defined some predictors of poor
follow up and early intervention service utilization in a high-risk group of
infants suggested be addressing and tackling by policymakers.
PMID- 29379565
TI - Bone Mineral Density and Bone Metabolism in Patients with Duchenne Muscular
Dystrophy.
AB - Objective: Poor bone health with related morbidity is a major problem with
Duchene Muscular Dystrophy (DMD). Decreased mobility and long-term corticosteroid
therapy are involved in poor bone health in DMD. We investigated bone mineral
density and bone metabolism in 30 steroid treated DMD patients and also compared
mentioned factors between ambulated and non-ambulated patients. Materials &
Methods: In this cross-sectional study, 30 boys (21 patients ambulate and 9 non
ambulate) with documented DMD, according to genetic analysis, were enrolled in
2015. Demographic characteristics, neurologic exam findings, muscle function
score, corticosteroid dose and duration and food frequency questionnaire were
recorded. Bone mineral density was measured with dual- energy X-ray
absorptiometry (DEXA) on lumbar spine and left proximal femur. Serum 25
hydroxyvitamin D, calcium, phosphorus and parathyroid hormone (PTH) levels were
measured. Results: Osteoporosis was found in 86.7% patients. Mean bone density in
the lumbar spine was -1.5+/-0.24 and -1.4+/-0.27 in ambulates and non-ambulates
respectively (P=0.7). Mean bone density at proximal femur was -3.4+/-0.2 in
ambulates and -3.4+/-0.3 in non-ambulates (P =0.48). Intra-groups statistical
analysis showed significant difference between bone mineral density at lumbar
spine and proximal femur in both mentioned groups (P<0.05). Vitamin D deficiency
was detected in 13 patients (43.3%) and its serum level was significantly lower
in non-ambulates compared with ambulates. Conclusion: Considering high prevalence
of vitamin D deficiency and osteoporosis in DMD patients, it seems vitamin D
supplementation can improve vitamin D status and osteoporosis in these patients,
especially in non-ambulates.
PMID- 29379566
TI - Recurrent Stroke in a Child with TRMA Syndrome and SLC19A2 Gene Mutation.
AB - Here we report a 5-month-old boy with thiamine Responsive Megaloblastic Anemia
syndrome (TRMA syndrome) with several attacks of stroke, admitted to Mofid
Children's Hospital, Tehran, Iran, in 2016. In addition to the cardinal clinical
manifestations of the syndrome, other manifestations comprise thiamine-responsive
megaloblastic anemia, diabetes mellitus, and sensor neural hearing loss. The
patient showed the ischemic attack of stroke. Megaloblastic anemia and diabetes
were diagnosed at 8 months and was successfully treated with vitamin and insulin
prescription. After treatment of thiamine, diabetes was controlled and insulin
was discontinued. In spite of the thiamine administration, the second stroke as
hemorrhagic stroke occurred in the patient after a few months. TRAMA is inherited
in an autosomal recessive manner. TRMA was confirmed by mutation in SLC19A2. A
homozygous splice site variant was detected in SLC19A2 gene. Stroke was not
reported in this syndrome (only in one report about one attack in an adult
patient) but in this patient, several attacks of stroke were reported before and
after thiamin administration.
PMID- 29379567
TI - Rare Presentation of Moyamoya Disease with Sub acute Presentation in Iran.
AB - Moyamoya disease is a chronic progressive vascular disease of brain characterized
by bilateral stenosis or occlusion of the arteries around the circle of Willis
with prominent arterial collateral circulation. We introduce here a patient with
Moyamoya who was misdiagnosed. She was a 16-yr-old female from north east of Iran
who complained left hemiparesis and was diagnosed Moyamoya disease by brain and
cervical CT-Angiography. There was still great difficulty in the diagnosis of
diffuse white matter lesions. The CT-Angiography showed bilateral internal
carotid stenosis with "puff of smoke" collateralization arising from the circle
of Willis, therefore Moyamoya disease was raised. The clinical diagnosis of
Moyamoya is challenging and misdiagnosis is probable. Therefore, the physicians
should know this disease and think about it in patients with Juvenile stroke.
This shows that Moyamoya disease should be considered in differential diagnoses
especially among young patients presenting with unexplained cerebrovascular
syndromes.
PMID- 29379568
TI - Characterization of a de Novo Constitutional Balanced Translocation t
(2;11)(q33.2;q23.2) with Break Point on the Human NBEAL1-GeneHo.
AB - Reciprocal balanced translocations associated with clinical features are very
rare. This study reports cytogenetic and molecular cytogenetic findings in a 3-yr
old female patient with mild developmental retardation, slight hypotone with a de
novo balanced 46, XX, t(2; 11) (q33; q23) translocation. Her parent attended
private office at Tehran, Iran in 2013. G-banded chromosomes and FISH-Analysis
were used to examine the patient's karyotype as well as her parents. FISH-probes
prepared with specific RP11-BAC clones mapped near 2q33 and 11q23 regions were
used to characterize the location of the breakpoints. One of the break points is
located within the human NBEAL1-Gene locus on chromosome 2, suggesting a
correlation between this gene disruption and the patient's mild developmental
retardation.
PMID- 29379569
TI - Brain Atrophy and Hypomyelination Associated with Iatrogenic Cushing Syndrome in
an Infant.
AB - Prolonged use of topical corticosteroids, particularly in infants, albeit rare,
may lead to Cushing syndrome. Central nervous system abnormalities including
brain atrophy and delayed myelination on cranial magnetic resonance imaging has
been reported in patients with corticosteroid treatment. We herein report a 5
month-old female infant referred to Department of Pediatric Endocrinology,
Edirne, Turkey with brain atrophy and myelination delay that might be due to
iatrogenic Cushing syndrome caused by topical corticosteroid use.
PMID- 29379570
TI - Type 0 Spinal Muscular Atrophy in rare association with congenital Contracture
and generalized osteopenia.
AB - Spinal muscular atrophy (SMAs) is a group of rare autosomal recessive diseases in
which there is degeneration of alpha motor neurons in the spinal cord leading to
progressive distal motor weakness. Here we report a case of type 0 SMA in a
female neonate born at the Department of Pediatrics, PGIMS, Rohtak (Haryana)
India, associated with generalized osteopenia and bony deformity in form of
unilateral club foot. It may be emphasized that diagnosis of SMA should be kept
in mind as a differential in cases of unexplained severe generalized hypotonia
and severe respiratory compromise immediately after birth.
PMID- 29379571
TI - The Survey of Saliva Compositional Alterations is a Non-invasive Method in
Determining of Multiple Sclerosis Progression in Children.
PMID- 29379572
TI - The Role of the Innate Immune System in Oncolytic Virotherapy.
AB - The complexity of the immune responses is a major challenge in current
virotherapy. This study incorporates the innate immune response into our basic
model for virotherapy and investigates how the innate immunity affects the
outcome of virotherapy. The viral therapeutic dynamics is largely determined by
the viral burst size, relative innate immune killing rate, and relative innate
immunity decay rate. The innate immunity may complicate virotherapy in the way of
creating more equilibria when the viral burst size is not too big, while the
dynamics is similar to the system without innate immunity when the viral burst
size is big.
PMID- 29379573
TI - The Effects of Yoga in Patients Suffering from Subjective Tinnitus.
AB - Introduction Tinnitus is a perception of sound in the absence of an external
source and it is a distressing issue. Yoga is a system of mind-body practices
with the goal of uniting the body, mind and soul. It has been shown to reduce
anxiety and stress, as well as improving the quality of life. Objective The aim
of this study is to investigate the effects of yoga in patients suffering from
chronic subjective tinnitus. Methods Twelve subjects previously diagnosed with
chronic subjective tinnitus were selected for the study. The patients were asked
to attend to yoga classes once a week and to practice yoga at home using a
worksheet for 3 months. Each yoga class consisted of body exercises (asana),
breathing (pranayama) and meditation (shavasana and yoga nidra). Tinnitus scores
before and after the yoga classes were compared using the Wilcoxon test. Results
Among the 12 patients, there were 4 men and 8 women and their mean age was 52.5
years. The median duration of tinnitus among the group was 5.4 years. There were
statistically significant differences in the stress ( p = 0.01), handicap ( p =
0.004) and severity ( p = 0.007) questionnaires scores. Conclusion This study
indicated that yoga practices may reduce life stress and symptoms of subjective
tinnitus.
PMID- 29379574
TI - Speech-evoked Brainstem Auditory Responses and Auditory Processing Skills: A
Correlation in Adults with Hearing Loss.
AB - Introduction The auditory system consists of sensory structures and central
connections. The evaluation of the auditory pathway at a central level can be
performed through behavioral and electrophysiological tests, because they are
complementary to each other and provide important information about
comprehension. Objective To correlate the findings of speech brainstem-evoked
response audiometry with the behavioral tests Random Gap Detection Test and
Masking Level Difference in adults with hearing loss. Methods All patients were
submitted to a basic audiological evaluation, to the aforementioned behavioral
tests, and to an electrophysiological assessment, by means of click-evoked and
speech-evoked brainstem response audiometry. Results There were no statistically
significant values among the electrophysiological test and the behavioral tests.
However, there was a significant correlation between the V and A waves, as well
as the D and F waves, of the speech-evoked brainstem response audiometry peaks.
Such correlations are positive, indicating that the increase of a variable
implies an increase in another and vice versa. Conclusion It was possible to
correlate the findings of the speech-evoked brainstem response audiometry with
those of the behavioral tests Random Gap Detection and Masking Level Difference.
However, there was no statistically significant correlation between them. This
shows that the electrophysiological evaluation does not depend uniquely on the
behavioral skills of temporal resolution and selective attention.
PMID- 29379575
TI - From dipivaloylketene to tetraoxaadamantanes.
AB - Dipivaloylketene (2) is obtained by flash vacuum pyrolysis of furan-2,3-dione 6
and dimerizes to 1,3-dioxin-4-one 3, which is a stable but reactive ketene. The
transannular addition and rearrangement of enols formed by the addition of
nucleophiles to the ketene function in 3 generates axially chiral 2,6,9
trioxabicyclo[3.3.1]nonadienes (bisdioxines) 4. When arylamines are used as the
nucleophiles under neutral conditions, decarboxylation occurs during the
formation of bisdioxines 8. However, when water or alcohols are added to 3 under
acidic conditions, bisdioxine-carboxylic acids and esters 10 and 11 are obtained.
Acid hydrolysis of the bisdioxines proceeds through the addition of water to a
C=C double bond and results in a second transannular oxa-Michael-type reaction
and generation of tetraoxaadamantanes 5. This reaction is decarboxylative when
free carboxylic acid functions are present in the bisdioxines, thus forming 21
and 22, but carboxylic acid derivatives are preserved to yield compounds 20, 23,
25, 28, and 29. A hydrogenolysis of the dibenzyl ester 23 yields the free
dicarboxylic acid 24. The tetraoxaadamantanes are formed in high yields (65-95%)
in most cases, but the addition of water to the concave inside of the bisdioxines
becomes severely hindered in cyclic derivatives, so that the 38-membered ring
compound 32 requires microwave heating at 170 degrees C to form
tetraoxaadamantane 33, and the catenated compound 36 and calix[6]arene derivative
37 did not form tetraoxaadamantanes. The reaction mechanisms of bisdioxine and
tetraoxaadamantane formation are discussed.
PMID- 29379576
TI - Recent applications of click chemistry for the functionalization of gold
nanoparticles and their conversion to glyco-gold nanoparticles.
AB - Glycoscience, despite its myriad of challenges, promises to unravel the causes
of, potential new detection methods for, and novel therapeutic strategies
against, many disease states. In the last two decades, glyco-gold nanoparticles
have emerged as one of several potential new tools for glycoscientists. Glyco
gold nanoparticles consist of the unique structural combination of a gold
nanoparticle core and an outer-shell comprising multivalent presentation of
carbohydrates. The combination of the distinctive physicochemical properties of
the gold core and the biological function/activity of the carbohydrates makes
glyco-gold nanoparticles a valuable tool in glycoscience. In this review we
present recent advances made in the use of one type of click chemistry, namely
the azide-alkyne Huisgen cycloaddition, for the functionalization of gold
nanoparticles and their conversion to glyco-gold nanoparticles.
PMID- 29379577
TI - Aminosugar-based immunomodulator lipid A: synthetic approaches.
AB - The immediate immune response to infection by Gram-negative bacteria depends on
the structure of a lipopolysaccharide (LPS, also known as endotoxin), a complex
glycolipid constituting the outer leaflet of the bacterial outer membrane.
Recognition of picomolar quantities of pathogenic LPS by the germ-line encoded
Toll-like Receptor 4 (TLR4) complex triggers the intracellular pro-inflammatory
signaling cascade leading to the expression of cytokines, chemokines,
prostaglandins and reactive oxygen species which manifest an acute inflammatory
response to infection. The "endotoxic principle" of LPS resides in its
amphiphilic membrane-bound fragment glycophospholipid lipid A which directly
binds to the TLR4.MD-2 receptor complex. The lipid A content of LPS comprises a
complex mixture of structural homologs varying in the acylation pattern, the
length of the (R)-3-hydroxyacyl- and (R)-3-acyloxyacyl long-chain residues and in
the phosphorylation status of the beta(1->6)-linked diglucosamine backbone. The
structural heterogeneity of the lipid A isolates obtained from bacterial cultures
as well as possible contamination with other pro-inflammatory bacterial
components makes it difficult to obtain unambiguous immunobiological data
correlating specific structural features of lipid A with its endotoxic activity.
Advanced understanding of the therapeutic significance of the TLR4-mediated
modulation of the innate immune signaling and the central role of lipid A in the
recognition of LPS by the innate immune system has led to a demand for well
defined materials for biological studies. Since effective synthetic chemistry is
a prerequisite for the availability of homogeneous structurally distinct lipid A,
the development of divergent and reproducible approaches for the synthesis of
various types of lipid A has become a subject of considerable importance. This
review focuses on recent advances in synthetic methodologies toward LPS
substructures comprising lipid A and describes the synthesis and immunobiological
properties of representative lipid A variants corresponding to different
bacterial species. The main criteria for the choice of orthogonal protecting
groups for hydroxyl and amino functions of synthetically assembled beta(1->6)
linked diglucosamine backbone of lipid A which allows for a stepwise introduction
of multiple functional groups into the molecule are discussed. Thorough
consideration is also given to the synthesis of 1,1'-glycosyl phosphodiesters
comprising partial structures of 4-amino-4-deoxy-beta-L-arabinose modified
Burkholderia lipid A and galactosamine-modified Francisella lipid A. Particular
emphasis is put on the stereoselective construction of binary glycosyl
phosphodiester fragments connecting the anomeric centers of two aminosugars as
well as on the advanced P(III)-phosphorus chemistry behind the assembly of
zwitterionic double glycosyl phosphodiesters.
PMID- 29379578
TI - Photocatalytic formation of carbon-sulfur bonds.
AB - This review summarizes recent developments in photocatalyzed carbon-sulfur bond
formation. General concepts, synthetic strategies and the substrate scope of
reactions yielding thiols, disulfides, sulfoxides, sulfones and other
organosulfur compounds are discussed together with the proposed mechanistic
pathways.
PMID- 29379579
TI - Petascale supercomputing to accelerate the design of high-temperature alloys.
AB - Recent progress in high-performance computing and data informatics has opened up
numerous opportunities to aid the design of advanced materials. Herein, we
demonstrate a computational workflow that includes rapid population of high
fidelity materials datasets via petascale computing and subsequent analyses with
modern data science techniques. We use a first-principles approach based on
density functional theory to derive the segregation energies of 34 microalloying
elements at the coherent and semi-coherent interfaces between the aluminium
matrix and the theta'-Al2Cu precipitate, which requires several hundred supercell
calculations. We also perform extensive correlation analyses to identify
materials descriptors that affect the segregation behaviour of solutes at the
interfaces. Finally, we show an example of leveraging machine learning techniques
to predict segregation energies without performing computationally expensive
physics-based simulations. The approach demonstrated in the present work can be
applied to any high-temperature alloy system for which key materials data can be
obtained using high-performance computing.
PMID- 29379580
TI - Errata to "Associations among anthropometric measures, food consumption, and
quality of life in school-age children in Tanzania"[J Rural Med 12(1): 38-45].
AB - [This corrects the article on p. 38 in vol. 12, PMID: 28593016.].
PMID- 29379581
TI - Erratum to "Infant with nasolacrimal sinonasal myxoma: Diffusion MRI features"
[Radiology Case Reports 2015;10: article 1104].
AB - [This corrects the article DOI: 10.2484/rcr.v10i2.1104.].
PMID- 29379582
TI - Characterization of Surface Modification of 347 Stainless Steel upon Shot
Peening.
AB - Plastic deformations, such as those obtained by shot peening on specimen surface,
are an efficient way to improve the mechanical behavior of metals. Generally,
scanning electron microscopy (SEM) and electron backscattered diffraction (EBSD)
are commonly used to observe the complex microstructural evolutions, such as
grain refinement and phase transformation, induced by the surface treatment. In
this work, the microstructure of 347 stainless steel, after ultrasonic shot
peening (USP) treatments, was investigated. SEM, EBSD, transmission electron
microscopy, and X-ray diffraction were used to observe the microstructural
evolutions, such as grain refinement and phase transformation. Deformation depth
after the USP treatment was about 200 MUm. Grain size on the treated surface
layer was about 100 nm, with two phases: austenite and alpha'-martensite. The
percentages of the austenite and alpha'-martensite phases were 54% and 46%,
respectively, which constitute an exact expression of the degree of plastic
deformation on austenitic stainless steel.
PMID- 29379584
TI - Low-Level Laser Irradiation Exerts Antiaggregative Effect on Human Platelets
Independently on the Nitric Oxide Metabolism and Release of Platelet Activation
Markers.
AB - Aim: The goal of the study is to develop a model allowing to investigate
precisely the effect of low-level laser therapy (LLLT) on platelet aggregation
and to verify the hypothesis regarding the role of the nitric oxide (NO)
bioavailability and platelet activation markers in modulating platelet
aggregation. Methods: A total of 41 healthy volunteers at the age of 21-45 years
were investigated. At first, platelet aggregation in response to three agonists
(TRAP, ADP, and collagen) was evaluated following previous exposure to different
doses of laser radiation (lambda = 662 nm) to assess the dose-response effect.
Subsequently, plasma levels of platelet activation markers (PF4-platelet factor-4
and sP-selectin) as well as the substrate for nitric oxide synthase, L-arginine,
and its competitive inhibitors (ADMA-asymmetric dimethylarginine and SDMA
symmetric dimethylarginine) were measured. Results: All doses of laser
irradiation significantly reduced the aggregation. However, the most pronounced
effect was observed for 19.7 J/cm2. No significant differences in the levels of
platelet activation markers nor in the nitric-oxide-metabolic-pathway compounds
between analyzed groups were noted. Conclusions: We have demonstrated in the
established in vitro experimental model that the LLLT in a reproducible manner
decreases the whole blood platelet aggregation regardless of the NO
bioavailability or changes in the platelet activation markers.
PMID- 29379583
TI - Multifaceted Roles of GSK-3 in Cancer and Autophagy-Related Diseases.
AB - GSK-3 is a ubiquitously expressed serine/threonine kinase existing as GSK-3alpha
and GSK-3beta isoforms, both active under basal conditions and inactivated upon
phosphorylation by different upstream kinases. Initially discovered as a
regulator of glycogen synthesis, GSK-3 is also involved in several signaling
pathways controlling many different key functions. Here, we discuss recent
advances regarding (i) GSK-3 structure, function, regulation, and involvement in
several cancers, including hepatocarcinoma, cholangiocarcinoma, breast cancer,
prostate cancer, leukemia, and melanoma (active GSK-3 has been shown to induce
apoptosis in some cases or inhibit apoptosis in other cases and to induce cancer
progression or inhibit tumor cell proliferation, suggesting that different GSK-3
modulators may address different specific targets); (ii) GSK-3 involvement in
autophagy modulation, reviewing signaling pathways involved in neurodegenerative
and liver diseases; (iii) GSK-3 role in oxidative stress and autophagic cell
death, focusing on liver injury; (iv) GSK-3 as a possible therapeutic target of
natural substances and synthetic inhibitors in many diseases; and (v) GSK-3 role
as modulator of mammalian aging, related to metabolic alterations characterizing
senescent cells and age-related diseases. Studies summarized here underline the
GSK-3 multifaceted role and indicate such kinase as a molecular target in
different pathologies, including diseases associated with autophagy
dysregulation.
PMID- 29379585
TI - Cadmium-Induced Oxidative Stress Impairs Glycemic Control in Adolescents.
AB - Experimental evidence suggests that cadmium (Cd) boosts oxidative stress that may
result in toxicity on the endocrine system also in humans. The aim of this study
was to investigate the glycemic control and oxidative stress markers in male
adolescents with increased urinary levels of cadmium. We investigated 111 males,
aged 12-14 years, living in a polluted area of Sicily and a control age-matched
population (n = 60) living 28-45 km far from the polluted site. Malondialdehyde
(MDA), total antioxidant activity (TAC), metallothionein-1A (MT-1A) gene
expression, insulin resistance by the homeostatic model assessment (HOMA-IR), and
urinary cadmium were investigated. Cd levels were significantly higher in
adolescents living in the polluted area than in control age-matched subjects.
Adolescents with elevated Cd levels had a significant increase in MDA, MT-1A, and
HOMA-IR and reduced TAC compared to the control group. A robust correlation was
found between urinary cadmium and MT-1A, HOMA-IR, and MDA whereas an inverse
correlation was identified between urinary cadmium and TAC. This study indicates
that cadmium burden alters glycemic control in adolescents and suggests that
oxidative stress plays a key role in cadmium-induced insulin resistance,
increasing the risk of developing metabolic disorders.
PMID- 29379586
TI - Impaired Oxidative Status Is Strongly Associated with Cardiovascular Risk
Factors.
AB - The main target of primary prevention is the identification of cardiovascular
risk factors aimed at reducing of the adverse impact of modifiable factors, such
as lifestyle and pharmacological treatments. In humans, an alteration of the
oxidative status has been associated with several pathologies, including diabetes
and cardiovascular diseases. However, the prognostic relevance of circulating
oxidative stress biomarkers remains poorly understood. Our study explored, in a
healthy population (n = 322), the relationship between oxidative status and
cardiovascular risk factors. Here, we were successful in demonstrating that
plasmatic oxidative status is significantly associated with traditional
cardiovascular risk factors. We revealed a significant depletion in the efficacy
of total plasma antioxidant barrier in high cardiovascular risk categories, and
we confirmed an age-related alteration of oxidative status. The efficacy of total
plasma antioxidant barrier is significantly depleted in relation to metabolic
disorders. Interestingly, the cholesterol imbalance is the main factor in
depleting the efficacy of total plasma antioxidant barrier. The oxidative status
is also influenced by hypertension, and a slight increase in systolic blood
pressure determines a highly significant effect. We showed that the first
detectable event of a redox disturbance is the repairing intervention of the
antioxidant barrier that is thus decreased as overutilized.
PMID- 29379587
TI - Does posttraumatic stress predict frequency of general practitioner visits in
parents of terrorism survivors? A longitudinal study.
AB - Background: Life threat to children may induce severe posttraumatic stress
reactions (PTSR) in parents. Troubled mothers and fathers may turn to their
general practitioner (GP) for help. Objective: This study investigated frequency
of GP visits in mothers and fathers of adolescent and young adult terrorism
survivors related to their own PTSR and PTSR in their surviving children. Method:
Self-reported early PTSR (4-5 months post-disaster) in 196 mothers, 113 fathers
and 240 survivors of the 2011 Utoya terrorist attack were linked to parents'
three years pre- and post-disaster primary healthcare data from a national
reimbursement claims database. Frequency of parents' GP visits was regressed on
parent and child PTSR, first separately, then in combination, and finally by
including an interaction. Negative binominal regressions, adjusted for parents'
pre-disaster GP visits and socio-demography, were performed separately for
mothers and fathers and for the early (<6 months) and delayed (6-36 months)
aftermath of the terrorist attack. Results: Parents' early PTSR were
significantly associated with higher early frequency of GP visits in mothers
(rate ratio, RR = 1.31, 95%CI 1.09-1.56) and fathers (RR = 1.40, 95%CI 1.03
1.91). In the delayed aftermath, early PTSR were significantly associated with
higher frequency of GP visits in mothers only (RR = 1.21, 95%CI 1.04-1.41). Early
PTSR in children were not significantly associated with an overall increase in GP
visits. On the contrary, in mothers, child PTSR predicted significant decrease in
GP visits the delayed aftermath (RR = 0.83, 95%CI 0.71-0.97). Conclusions: Our
study suggests that GPs may play an important role in identifying and providing
for parents' post-disaster healthcare needs. GPs need to be aware that distressed
individuals are likely to approach them following disasters and must prepare for
both short- and long-term healthcare needs.
PMID- 29379589
TI - Economic burden made celiac disease an expensive and challenging condition for
Iranian patients.
AB - Aim: The aim of this study was to estimate the economic burden of celiac disease
(CD) in Iran. Background: The assessment of burden of CD has become an important
primary or secondary outcome measure in clinical and epidemiologic studies.
Methods: Information regarding medical costs and gluten free diet (GFD) costs
were gathered using questionnaire and checklists offered to the selected patients
with CD. The data included the direct medical cost (including Doctor Visit,
hospitalization, clinical test examinations, endoscopies, etc.), GFD cost and
loss productivity cost (as the indirect cost) for CD patient were estimated. The
factors used for cost estimation included frequency of health resource
utilization and gluten free diet basket. Purchasing Power Parity Dollar (PPP$)
was used in order to make inter-country comparisons. Results: Total of 213 celiac
patients entered to this study. The mean (standard deviation) of total cost per
patient per year was 3377 (1853) PPP$. This total cost including direct medical
cost, GFD costs and loss productivity cost per patients per year. Also the mean
and standard deviation of medical cost and GFD cost were 195 (128) PPP$ and 932
(734) PPP$ respectively. The total costs of CD were significantly higher for
male. Also GFD cost and total cost were higher for unmarried patients.
Conclusion: In conclusion, our estimation of CD economic burden is indicating
that CD patients face substantial expense that might not be affordable for a good
number of these patients. The estimated economic burden may put these patients at
high risk for dietary neglect resulting in increasing the risk of long term
complications.
PMID- 29379588
TI - Systematic review of pancreatic cancer epidemiology in Asia-Pacific Region: major
patterns in GLOBACON 2012.
AB - Pancreatic cancer is one of the deadliest cancers with short-term survival rates.
Trends for pancreatic cancer incidence and mortality varied considerably in the
world. To date, the causes of pancreatic cancer are not known sufficiently,
although certain risk factors have been identified such as, smoking, obesity,
life style, diabetes mellitus, alcohol, dietary factors and chronic pancreatitis.
Since there are no current screening recommendations for pancreatic cancer,
primary prevention is very important. Therefore, up-to-date statistics on
pancreatic cancer occurrence and outcome are essential for the primary prevention
of this disease. Due to the lack of information on epidemiology of pancreatic
cancer in most Asian countries, and limited of statistics and registration system
in this area, we conducted a systematic review study to evaluate the most recent
data concerning epidemiology of pancreatic cancer in Asia-Pacific region. In this
review we focused on collected recent data on incidence, mortality, survival and
risk factors of pancreatic cancer in this region. In addition, we reviewed and
used the data of GLOBOCAN 2012 in this paper to complete the information as a
source of compiling pancreatic cancer incidence and mortality rate.
PMID- 29379590
TI - The effect of telenursing on self-efficacy in patients with non-alcoholic fatty
liver disease: a randomized controlled clinical trial.
AB - Aim: This study aimed to assess the effect of telenursing on nutritional behavior
and physical activity self-efficacy in patients with non-alcoholic fatty liver
disease (NAFLD). Background: NAFLD is the most common liver disorder, which has a
chronic course. Therefore, routine monitoring of these patients by medical staff
helps them actively participate in the healing process and promote their self
efficacy. Methods: In this randomized controlled clinical trial, 60 patients were
chosen through convenience sampling among patients with NAFLD. After obtaining
written informed consents, the participants were randomly divided into an
intervention and a control group (each containing 30 subjects). The participants
received diet consultation individually and were taught how to perform physical
activities. Telephone intervention was conducted in the intervention group for 12
weeks. The study questionnaires were completed by the participants before and
after the intervention. The data were analyzed using the SPSS statistical
software. Results: Based on the results, the mean score of nutritional behavior
and physical activity self-efficacy increased in the study groups after the
intervention. This increase was statistically significant only in the
intervention group. Additionally, the two groups were significantly different
regarding the mean scores of nutritional behavior and physical activity self
efficacy. Conclusion: Telenursing could improve self-efficacy and physical
activity in patients with NAFLD.
PMID- 29379591
TI - Analysis of TLR7, SOCS1 and ISG15 immune genes expression in the peripheral blood
of responder and non-responder patients with chronic Hepatitis C.
AB - Aim: To evaluate the baseline expression of the immune genes in PBMCs of
responder and non-responder patients with chronic Hepatitis C. Background:
Although the contribution of peripheral blood mononuclear cell (PBMC) gene
expression in treatment outcome of hepatitis C virus (HCV) infection is supposed,
it has remained to be distinctly delineated. The baseline expression of the
immune genes inside PBMCs may reflect the responsiveness status following IFN
treatment. Methods: Totally, 22 chronic HCV encompasses 10 responders and 12 non
responsive cases enrolled randomly regarding medical records. The PBMCs from the
peripheral blood samples were isolated and then incubated for 6 hours in the
culture media. The baseline expression of TLR7, SOCS1 and ISG15 was measured by
Real time PCR. Results: The gene expression pattern in PBMCs of both groups
showed a similar trend. The expression of SOCS1 and TLR7 genes showed higher
levels in non-responder group (P>0.05). The result of ISG15 showed a higher but
non-significant expression in the responder group (P>0.05). Conclusion: The
similar pattern of TLR7, SOCS1 and ISG15 expression in the responder and non
responder patients indicated their poor discriminating and predictive value in
PBMCs sample.
PMID- 29379592
TI - The relationship between expression of Toll-like receptor 4 in chronic hepatitis
C patients and different stages of liver fibrosis.
AB - Aim: The objective of this work is to find out whether there is a relation
between the expression of TLR4 and fibrosis progression in chronic HCV patients.
Background: Toll-like Receptor 4 (TLR4) is a pattern recognition receptor whose
activation results in the production of several pro-inflammatory cytokines.
Methods: Fifty patients with chronic HCV were included. They were divided into
group A: 40 patients (F1-F4) and group B (control group) which included ten
patients (F0) based on fibroscan value. All patients were exposed to clinical and
laboratory evaluations preliminary to antiviral therapy, assessment of TLR4 mRNA
by Real Time- PCR. Results: Twenty-eight males and 22 females with a mean age
28.9+/-6.1 years. The mean TLR4 expression is 11.2+/-7.4 folds, TLR4 expression
in F0 group is 2.8+/-1.9, in F1 group 4.8+/-1.5, F2 group 10.2+/-2.5, F3 group
16.8+/-1.5 and in F4 21.3+/-3.6 folds (p<0.001). TLR4 showed a positive
correlation with age, fibrosis stage, HCV RNA, serum transaminases, total
bilirubin and prothrombin time, a negative correlation with platelet count and
serum albumin. Fibrosis progression was independently associated with TLR4
expression (beta=0. 648, P<0.0001), RNA (beta= 0.160, P =0.001) and platelet
count (beta= -0.248, P = 0.004). Conclusion: The expression of TLR4 is highly
correlated with the fibrosis progression; TLR4 may be a potential target for
drugs to limit the progression of fibrosis.
PMID- 29379593
TI - Association of the epidermal growth factor gene +61A>G polymorphism with
hepatocellular carcinoma in an Iranian population.
AB - Aim: The aim of this study was to address the association of the EGF gene +61A/G
polymorphisms and HCC susceptibility in an Iranian population. Background: The
association of epidermal growth factor (EGF) gene +61A/G polymorphism (rs4444903)
and hepatocellular carcinoma (HCC) has been investigated in several populations.
However, the findings are controversial. Methods: A total of 40 unrelated HCC
patients and 106 healthy individuals were enrolled in this study. Genomic DNA of
HCC patients was extracted from formalin-fixed, paraffin-embedded samples using
CinnaPure DNA kit according to manufacturer's instructions. Genomic DNA of
healthy individuals, also, was extracted from peripheral blood cells using the
boiling method. The rs4444903 (A/G) polymorphism was genotyped using the
polymerase chain reaction (PCR)-restriction fragment length polymorphism (RFLP)
method. Results: Significant association was found for the EGF +61A allele and
HCC risk [OR = 1.72, 95% CI (1.02 - 2.90), P value = 0.04]. Also, significant
association was observed for the EGF +61A/G genotypes and HCC risk under
codominant and dominant models by SNPStats software analysis. Conclusion: Our
findings suggest that the EGF gene +61A/G polymorphism (rs4444903) might be a
risk factor for susceptibility to HCC in Iranian population. However, further
studies using more samples are needed.
PMID- 29379594
TI - Reliability and validity of the Persian version of patient assessment of
constipation- quality of life (PAC-QOL) questionnaire.
AB - Aim: The present study aimed to assess the reliability and validity of Persian
version of patient assessment of constipation: quality of life (PAC-QOL)
questionnaire in Iranian patients. Background: Chronic constipation has
significant effects on daily living, wellbeing and individuals' quality of life
(QOL). Validated tools can help us to assessing QOL in affected ones and
facilitating clinical management of them. Methods: The English version of Patient
Assessment of Constipation: Quality of Life (PAC-QOL) was translated into the
Persian language and was confirmed by back-translation. One hundred and forty
patients with functional constipation, according Rome III criteria, completed the
questionnaires .The questionnaires were analyzed using Cronbach's Alpha internal
consistency score to determine the reliability. Twenty medical experts were then
asked to evaluate the PAC-QOL and the results were used to calculate the Content
Validity Ratio (CVR) and Content Validity Index (CVI). Results: Due to obtained
value for Cronbach's alpha (0.975) and also for the subscale of physical
discomfort (0.930), psychosocial discomfort (0.975) and worries and concerns
(0.915), the internal consistency is established. According to medical experts'
opinions, the value of CVR ranged from 0.5 to 0.8 and the value of CVI was 0.81.
Conclusion: The Persian version of PAC-QOL questionnaire is shown to have
acceptable reliability and validity to be used for psychometric evaluation in
Iranian patients complaining of functional constipation.
PMID- 29379595
TI - Network analysis of common genes related to esophageal, gastric, and colon
cancers.
AB - Aim: The aim of this study was to provide a biomarker panel for esophageal,
gastric and colorectal cancers. It can help introducing some diagnostic
biomarkers for these diseases. Background: Gastrointestinal cancers (GICs)
including esophageal, gastric and colorectal cancers are the most common cancers
in the world which are usually diagnosed in the final stages and due to
heterogeneity of these diseases, the treatments usually are not successful. For
this reason, many studies have been conducted to discover predictive biomarkers.
Methods: In the present study, 507 genes related to esophageal, gastric and colon
cancers were extracted.. The network was constructed by Cytoscape software
(version 3.4.0). Then a main component of the network was analyzed considering
centrality parameters including degree, betweenness, closeness and stress. Three
clusters of the protein network accompanied with their seed nodes were determined
by MCODE application in Cytoscape software. Furthermore, Gene Ontology (GO)
analysis of the key genes in combination to the seed nodes was performed.
Results: The network of 17 common differential expressed genes in three
esophageal, gastric and colon adenocarcinomas including 1730 nodes and 9188 edges
were constructed. Eight crucial genes were determined. Three Clusters of the
network were analyzed by GO analysis. Conclusion: The analyses of common genes of
the three cancers showed that there are some common crucial genes including TP53,
EGFR, MYC, AKT1, CDKN2A, CCND1 and HSP90AA1 which are tightly related to
gastrointestinal cancers and can be predictive biomarkers for these cancers.
PMID- 29379596
TI - Celiac disease and hepatitis C relationships in transcriptional regulatory
networks.
AB - Aim: we mainly aimed to elucidate potential comorbidities between celiac disease
and hepatitis c by means of data and network analysis approaches. Background:
understanding the association among the disorders evidently has important impact
on the diagnosis and therapeutic approaches. Celiac disease is the most
challenging, common types of autoimmune disorders. On the other hand, hepatitis c
virus genome products like some proteins are supposed to be resemble to gliadin
types that in turn activates gluten intolerance in people with inclined to gluten
susceptibilities. Moreover, a firm support of association between chronic
hepatitis and celiac disease remains largely unclear. Henceforth exploring cross
talk among these diseases will apparently lead to the promising discoveries
concerning important genes and regulators. Methods: 321 and 1032 genes associated
with celiac disease and hepatitis c retrieved from DisGeNET were subjected to
build a gene regulatory network. Afterward a network-driven integrative analysis
was performed to exploring prognosticates genes and related pathways. Results:
105 common genes between these diseases included 11 transcription factors were
identified as hallmark molecules where by further screening enriched in
biological GO terms and pathways chiefly in immune systems and signaling pathways
such as chemokines, cytokines and interleukins. Conclusion: in silico data
analysis approaches indicated that the identified selected combinations of genes
covered a wide range of known functions triggering the inflammation implicated in
these diseases.
PMID- 29379597
TI - Cryptosporidium species subtypes and associated clinical manifestations in Indian
patients.
AB - Aim: Present hospital based study was carried out at our tertiary care centre
with an aim to study the distribution of Cryptosporidium species subtypes in
patients with complaints of diarrhea. Background: Cryptosporidium species are one
of the important causative agents of parasitic diarrhea, amongst which
Cryptosporidium hominis (C.hominis) and Cryptosporidium parvum (C.parvum) are the
two major species that are associated with human cryptosporidiosis. Methods: Four
hundred and fifty (n=450) diarrheic patients complaining of different types of
diarrhea were enrolled in the present study. Both microscopic and molecular
diagnostic methods were used for the detection as well as for identification of
Cryptosporidium species and its speciation and subtyping. Results: Forty one
(n=41) and forty three (n=43) patients were positive for Cryptosporidium species
by microscopy and Polymerase chain reaction (PCR) assay respectively. Of these 43
cases, 70% (30/43) were identified as C. hominis and 21% (9/43) was as C. parvum,
7% (3/43) was as Cryptosporidium felis (C.felis) and 2% (1/43) as Cryptopsoridium
viatorum (C. viatorum) respectively . Upon subtyping of C. hominis and C. parvum,
16 subtypes belonging to 8 different subtype families could be identified. The
frequency of different families were Ia (13%, 5/39), Ib (15%, 6/39), Id (18%,
7/39), Ie (30%, 12/39) and IIa (5%, 2/39), IIc (8%, 3/39), IId (8%, 3/39) and IIe
(3%, 1/39). Conclusion: Our study results strongly suggest and reinforces the
fact that most of the human cryptosporidiosis is anthroponotic and we expect that
present molecular epidemiological data will provide more insight to unravel the
changing clinical paradigm of human cryptosporidiosis at large.
PMID- 29379598
TI - Molecular characterization of Shigella spp. isolates from a pediatric hospital in
Southwestern Iran.
AB - Aim: In This study focused on the detection of dominant clones and genetic
relationship of Shigella spp. isolated from children with diarrhea in the main
pediatric hospital in Ahvaz by multi-locus sequence typing (MLST) technique.
Background: Shigellosis is considered as one of the problematic bacterial
infections for public health in the world. Khuzestan province in the Southwestern
part of Iran is a known endemic area for infections due to Shigella. There are
limited molecular epidemiological data for Shigella spp. in this area. Methods: A
total of 50 Shigella spp. were isolated from January-June 2015 based on
conventional microbiology and serology tests. The Sequence types (ST) of Shigella
isolates which are characterized by Enterobacterial repetitive intergenic
consensus (ERIC-PCR) were detected by MLST technique. Results: Among 50 Shigella
isolates, a total of 31(62%), 16(32%) and 3 (6%) of Shigella isolates were
identified as S. flexneri, S.sonneii, and S.boydii, respectively. Two different
sequence types (ST152 and ST245) were identified in Shigella isolates. ST152 was
detected in S.sonnei and ST245 in S. flexneri and S. boydii isolates. Conclusion:
Based on MLST data, the stable and genetically linked Shigella clones are the
cause of Shigella infections in children in Southwestern Iran.
PMID- 29379599
TI - Development of PBC/SSc overlap syndrome in chronic GVHD patient: immunological
implications in the presence of mitochondrial, nucleolar and spindle midzone
autoantigens.
AB - Chronic Graft versus Host Disease (cGVHD) is a complex disease resulting from
donor T-cell recognition of a genetically disparate recipient that is unable to
reject donor cells after allogeneic Stem Cell Transplantation (HSCT). cGVHD has
some features resembling to autoimmune diseases (AD) such as Sjogren syndrome,
primary biliary cirrhosis (PBC) and scleroderma (SSc). Also patients with cGVHD
could develop extensive cGVHD with scleroderma-like skin manifestations and other
clinical signs similar to those of patients with scleroderma. We take into
consideration a patient with GVHD that developed PBC/SSc overlap syndrome with a
complex and particular autoantibodies profile. Indirect immunofluorescence (IIF)
with double coloration showed a cytoplasmic mitochondrial-like pattern, a clumpy
nucleolar staining pattern, and a cell-cycle related staining pattern. Following
anaphase onset, proteins regulator of cytokinesis localizes to the overlap zone
on the ends of midzone microtubules and becomes compacted during furrow
ingression to form the midbody. Second level tests confirmed the presence of anti
mitochondrial antibodies M2-subunit but no other autoantibodies were found. We
performed a home-made immunoblot analysis that identified a 37 kDa fibrillarin
band, and not identify 47 kDa, 31KDa and 18/20 kDa bands. After literature review
of these possible cellular localizations, the proteins recognized by our
patient's serum seem likely to be Aab to core midzone organizer components.
However, due to the unavailability of the proper techniques in our laboratory, we
were not able to further characterize them. The pathogenesis and morbidity of
cGVHD after HSCT remains enigmatic, but the presence of specific autoantibodies
are the hallmark of AD and represent a possibility of differential diagnosis.
Standard techniques combined with the use of non-routinely laboratory techniques
are a usefully and complementary method for studying difficult and particular
cases. In fact, these autoantibodies will be considered as ''diagnostic'' and not
as ''esoteric'' antibodies. In conclusion, a re-assessment of the diagnostic
protocols in cGVHD together with a precise observation of the clinical and
laboratory picture will ultimately help us clarify the disease and could provide
a better understanding of the immune network deregulation.
PMID- 29379600
TI - PAX-5 positive anaplastic large cell lymphoma presenting by dysphagia; a case
report.
AB - Anaplastic large cell lymphoma (ALCL) is a distinct pathologic entity with
characteristic morphologic, im!munophenotypic and cytogenetic features.
Obstructive symptoms are rare presentation of ALCL. We report a 16-year-old boy
who initially presented with dysphagia. Upper gastrointestinal endoscopy revealed
severe stenosis with an infiltrative process starting from 24 cm of incisors in
lower esophagus Esophageal mucosal biopsy demonstrated lymphomatous involvement
that ancillary tests confirmed the diagnosis of ALCL, ALK (kinase-positive), and
PAX5 positive. The patient responded to CHOP-based chemotherapy. This case
illustrated an unusual presentation of primary Non Hodgkin lymphoma of esophagus.
PMID- 29379601
TI - Recent advances in inflammatory bowel disease.
PMID- 29379602
TI - Prealamethicin F50 and related peptaibols from Trichoderma arundinaceum:
Validation of their authenticity via in situ chemical analysis.
AB - In the field of natural products chemistry, a common question pertains to the
authenticity of an isolated compound, i.e. are the interesting side chains
biosynthesized naturally or an artefact of the isolation/purification processes?
The droplet-liquid microjunction-surface sampling probe (droplet-LMJ-SSP) coupled
to a hyphenated system (UPLC-UV-HRESIMS) empowers the analysis of natural product
sources in situ, providing data on the biosynthetic timing and spatial
distribution of secondary metabolites. In this study the droplet-LMJ-SSP was
utilized to validate the authenticity of two new peptaibols (2 and 3) as
biosynthesized secondary metabolites, even though both them had structural
features that could be perceived as artefacts. Compounds 2 and 3 were isolated
from the scaled up fermentation of Trichoderma arundinaceum (strain MSX70741),
along with a new member of the trichobrevin BIII complex (1), and four known
compounds (4-7). The structures of the isolates were established using a set of
spectroscopic and spectrometric methods, and their absolute configurations were
determined by Marfey's analysis. The cytotoxic activity of compounds 1, 3, 4 and
6 was evaluated against a panel of cancer cell lines, where cytotoxic activity in
the single digit MUM range was observed.
PMID- 29379603
TI - 'Massilimalia timonensis' sp. nov., a new bacterium species isolated from human
faeces after decontamination with alcohol.
AB - We describe the main characteristics of a new bacterial species 'Massilimalia
timonensis' sp. nov. The type strain Marseille-P3753T (=CSUR P3753) was isolated
from the stool of a healthy Senegalese man.
PMID- 29379604
TI - Typing of staphylococcal cassette chromosome mec encoding methicillin resistance
in Staphylococcus aureus isolates in Ahvaz, Iran.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) is a major nosocomial
pathogen. We sought to determine the frequency of the different types of SCCmec
in MRSA isolates by performing a cross-sectional study. A total of 72 S. aureus
isolates were collected from Imam Khomeini and Golestan hospitals and analysed
for MRSA and SCCmec typing by multiplex PCR. The pattern of antibiotic resistance
among S. aureus isolates was determined by disc diffusion analysis. Of the 72 S.
aureus isolates, 29 (40.27%) were recognized as MRSA. SCCmec type III was the
most common type, with 55.17% (16/29), followed by type II with 27.58% (8/29);
type IV with 10.34% (3/29); and type I with 6.89% (2/29). All 29 MRSA isolates
were resistant to chloramphenicol and erythromycin. In addition, resistance to
cephalothin, gentamicin, clindamycin, ciprofloxacin, tetracycline and rifampicin
was seen in 24 (75%), 26 (63.4%), 17 (94.4%), 27 (71.05%), 10 (71.42%) and 13
(68.42%) MRSA isolates, respectively. A decreased sensitivity of MRSA to the
antibiotics used was observed, with type III SCCmec being the predominant
isolate.
PMID- 29379605
TI - First report of isolation and antibiotic susceptibility pattern of Raoultella
electrica from table eggs in Jaipur, India.
AB - Raoultella electrica, a Gram-negative, non-spore-forming, rod-shaped facultative
anaerobe, was identified during a regular investigation of bacterial
contamination in table eggs in the winter season. A total of 165 hen's eggs were
collected in the winter season from 15 different areas of the city of Jaipur,
India. Gram-negative Enterobacteriales were isolated on selective and
differential media by the conventional plate method and were further identified
by several biochemical tests and 16S rRNA gene sequencing. Commonly prescribed
antibiotics for enteric infection were used for antibiotic susceptibility
testing. For isolated microorganisms, different resistance patterns were found
against the different antibiotics used (p < 0.01). The multiple antibiotic
resistance index of bacterial isolates ranged from 0.10 to 0.60. R. electrica
strain 1GB/NBRC 109676/KCTC 32430 was isolated for the first time from commercial
chicken's eggs.
PMID- 29379606
TI - Escherichia hermannii as the sole pathogen in urosepsis: case report.
PMID- 29379607
TI - Pioglitazone and cause-specific risk of mortality in patients with type 2
diabetes: extended analysis from a European multidatabase cohort study.
AB - Objectives: Describe and compare the risk of cardiovascular and non
cardiovascular mortality in patients whose antidiabetic therapy is modified to
include pioglitazone compared with an alternative antidiabetic medication at the
same stage of disease progression. Research design and methods: This exploratory
linked database cohort analysis used pooled health and mortality data from three
European countries: Finland, Sweden and the UK. Propensity score together with
exact matching was used to match 31 133 patients with type 2 diabetes first
prescribed pioglitazone from 2000 to 2011, to 31 133 patients never prescribed
pioglitazone. Exact matching variables were treatment stage, history of diabetes,
diabetes complications and cardiovascular disease, and year of cohort entry. Mean
follow-up time was 2.60 (SD 2.00) and 2.69 (SD 2.31) years in the pioglitazone
and non-pioglitazone-exposed groups, respectively. Crude cause-specific mortality
rates were ascertained. Association with pioglitazone use was estimated using Cox
proportional hazards models adjusted a priori for country, age, sex, the
propensity score quintile and time-dependent variables representing use of
antidiabetic drugs. Stepwise testing identified no additional confounders to
include in adjusted models. Results: The crude mortality rate was lower in the
pioglitazone-exposed group than the non-exposed group for both cardiovascular and
non-cardiovascular mortality. Adjusted HRs comparing pioglitazone to alternative
antidiabetic exposure were 0.58 (95% CI 0.52 to 0.63) and 0.63 (95% CI 0.58 to
0.68) for cardiovascular and non-cardiovascular mortality, respectively. A
protective effect associated with pioglitazone was also found for all specific
cardiovascular causes. Conclusions: This analysis suggests that pioglitazone is
associated with a decrease in both cardiovascular and non-cardiovascular
mortality. Results should be interpreted with caution due to the potential for
residual confounding in this exploratory analysis. Further studies, specifically
designed to test the association between pioglitazone use and patient-focused
outcomes, are suggested. Study registration number: European Network of Centres
for Pharmacoepidemiology and Pharmacovigilance (ENCePP; EUPAS3626).
PMID- 29379608
TI - Trends in type 2 diabetes detection among adults in the USA, 1999-2014.
AB - Objective: To examine recent trends in type 2 diabetes detection among adults in
the USA. Research design and methods: We used data from the 1999-2014 National
Health and Nutrition Examination Surveys on non-pregnant adults (aged >=18 years)
not reporting a diagnosis of diabetes (n=16 644 participants, averaging about
2000 for each 2-year cycle). We defined undiagnosed diabetes as a fasting plasma
glucose >=126 mg/dL or a hemoglobin A1c >=6.5% (48 mmol/mol). We measured case
detection as the probability of finding undiagnosed type 2 diabetes among the
population without diagnosed diabetes. Linear regression models were used to
examine trends overall and by sociodemographic characteristics (ie, age, gender,
race/ethnicity, education, poverty-income ratio (PIR)). Results: Age-standardized
probability of finding undiagnosed type 2 diabetes was 3.0% (95% CI 2.1% to 4.2%)
during 1999-2000 and 2.8% (2.2%-3.6%) during 2013-2014 (P for trend=0.52).
Probability increased among Mexican-Americans (P for trend=0.01) but decreased
among adults aged 65 years or older (P for trend=0.04), non-Hispanic (NH) white
(P for trend=0.02), and adults in the highest PIR tertile (P for trend=0.047).
For all other sociodemographic groups, no significant trends were detected.
Conclusions: We found little evidence of increased detection of undiagnosed type
2 diabetes among adults in the USA during the past 15 years. Although
improvements were seen among NH white, older, and wealthy adults, these
improvements were not large. As the scope of primary prevention efforts
increases, case detection may improve.
PMID- 29379609
TI - Protocol for a multicentred randomised controlled trial investigating the use of
personalised golimumab dosing tailored to inflammatory load in ulcerative
colitis: the GOAL-ARC study (GLM dose Optimisation to Adequate Levels to Achieve
Response in Colitis) led by the INITIAtive group (NCT 0268772).
AB - Introduction: Ulcerative colitis (UC) is a chronic inflammatory bowel disease
(IBD), often leading to an impaired quality of life in affected patients. Current
treatment modalities include antitumour necrosis factor (anti-TNF) monoclonal
antibodies (mABs) including infliximab, adalimumab and golimumab (GLM). Several
recent retrospective and prospective studies have demonstrated that fixed dosing
schedules of anti-TNF agents often fails to consistently achieve adequate
circulating therapeutic drug levels (DL) with consequent risk of immunogenicity
treatment failure and potential risk of hospitalisation and colectomy in patients
with UC.The design of GLM dose Optimisation to Adequate Levels to Achieve
Response in Colitis aims to address the impact of dose escalation of GLM
immediately following induction and during the subsequent maintenance phase in
response to suboptimal DL or persisting inflammatory burden as represented by
raised faecal calprotectin (FCP). Aim: The primary aim of the study is to
ascertain if monitoring of FCP and DL of GLM to guide dose optimisation (during
maintenance) improves rates of patient continuous clinical response and reduces
disease activity in UC. Methods and analysis: A randomised, multicentred two-arm
trial studying the effect of dose optimisation of GLM based on FCP and DL versus
treatment as per SMPC. Eligible patients will be randomised in a 1:1 ratio to 1
of 2 treatment groups and shall be treated over a period of 46 weeks. Ethics and
dissemination: The study protocol was approved by the Research Ethics committee
of St. Vincent's University Hospital. The results will be published in a peer
reviewed journal and shared with the worldwide medical community. Trial
registration numbers: EudraCT number: 2015-004724-62; Clinicaltrials.gov
Identifier: NCT0268772; Pre-results.
PMID- 29379610
TI - Health psychology: It's not what you do, it's the way that you do it.
AB - Despite the growth in theoretical understandings of health behaviour and
standardised approaches to health interventions (e.g. behaviour change
taxonomies), health psychology has paid comparatively less attention to the
importance of the implementation processes - 'how to' rather than 'what to' of
such interventions. The clinical and interpersonal skills that often reflect
these implementation processes are poorly defined within the health psychology
literature. The level of proficiency in such skills expected of Health and Care
Professions Council registered practitioner health psychologists is unclear and
poorly documented within the UK training requirements. This article explores the
potential impact of this and offers some pragmatic solutions.
PMID- 29379611
TI - An examination of college student wellness: A research and liberal arts
perspective.
AB - Promoting wellness within academia reduces disease frequency and enhances overall
health. This study examined wellness factors among undergraduate students
attending a research university (n = 85) or a small liberal arts college (n =
126). Participants were administered surveys which measured physical, emotional,
social, intellectual, and occupational wellness. Significant institutional
differences emerged on measures of physical and social wellness. When collapsed
across academic institutions, students who were gainfully employed reported
greater self-efficacy compared with unemployed students. Gender differences
emerged on measures of physical and social well-being. Our findings support the
need for targeted interventions that facilitate enhanced college student
development and well-being.
PMID- 29379612
TI - Two sides of the same coin and two routes for improvement: Integrating resilience
and the social identity approach to well-being and ill-health.
AB - We propose that resilience effectively helps people cope with stress, thus
predominantly reducing the negative. However, we argue that individuals' social
identification has the potential to contribute to their well-being, thus
fostering the positive. A two-wave survey study of 180 students shows that
resilience is more strongly (negatively) associated with ill-health (i.e. stress
and depression), whereas social identification is more strongly (positively)
related to well-being (i.e. satisfaction and work engagement). We believe that it
is necessary to see these two routes to improving people's health as
complementary, both in future research and for therapy and interventions.
PMID- 29379613
TI - Does the Drug Facts Label for nonprescription drugs meet its design objectives? A
new procedure for assessing label effectiveness.
AB - We demonstrate an expanded procedure for assessing drug-label comprehension.
Innovations include a pretest of drug preconceptions, verbal ability and label
attentiveness measures, a label-scanning task, a free-recall test, category
clustering measures, and preconception-change scores. In total, 55 female and 39
male undergraduates read a facsimile Drug Facts Label for aspirin, a Cohesive
Prose Label, or a Scrambled-Prose Label. The Drug Facts Label outperformed the
Scrambled-Prose Label, but not the Cohesive-Prose Label, in scanning
effectiveness. The Drug Facts Label was no better than the Cohesive-Prose Label
or the Scrambled-Prose Label in promoting attentiveness, recall and organization
of drug facts, or misconception refutation. Discussion focuses on the need for
refutational labels based on a sequence-of-events text schema.
PMID- 29379614
TI - Respiratory diseases in children attending kindergartens: Health-related
variables and mothers' psychological, parental, and marital functioning.
AB - This study aimed to examine the differences between mothers of children with and
without respiratory problems in variables related to psychological, parental, and
marital functioning and to determine which contributed more to parenting stress,
because there is a lack of information in this field. Participants were 459
mothers of children attending kindergartens, who accepted to participate. The
instruments were The International Study of Asthma and Allergies in Childhood
questionnaire, Hospital Anxiety and Depression Scale, Parenting Stress Index
Short Form, Parenting Stress Index-Long Form, and Escala de Avaliacao da
Satisfacao em Areas da Vida Conjugal. Anxiety, depression, and parenting stress
were higher in the more symptomatic children and parenting stress was associated
with anxiety, depression, and marital satisfaction. Findings support the
relevance of children's respiratory-related variables to mothers' psychological,
parental, and marital functioning.
PMID- 29379615
TI - The third tier in treatment: Attending to the growing connection between gut
health and emotional well-being.
AB - The microbial environment of the human gut has powerful influence on immunity,
metabolism, and obesity. There is now emerging evidence that the microbiome of
our gastrointestinal system may also be a key factor impacting our emotional and
behavioral health. The purpose of this article is to elucidate how this emerging
area of science can further educate and encourage mental health professionals to
explore an additional means to treatment. Since much of this research is found in
the biological and neuroscientific literature, it can be quite cumbersome for
clinicians to digest and apply, who would critically benefit from a concise
discussion of the gut-brain connection.
PMID- 29379616
TI - A qualitative exploration of the experiences of living with and being treated for
fibromyalgia.
AB - This study explores the life and treatment experience of people in the United
Kingdom with fibromyalgia in order to inform the development of treatments which
are both effective and acceptable to users. Qualitative interviews were conducted
with 14 participants with interpretative phenomenological analysis used as the
theoretical framework and analytical method. The themes identified were as
follows: Inauthenticity of fibromyalgia, An Unconventional healthcare experience,
Re-creating support networks, Challenging the working identity, Threatening the
family dynamic and Fighting, accepting or accommodating? The biopsychosocial
impacts of fibromyalgia disrupted the identity, lifestyle, roles and
relationships of our participants with such challenges further exacerbated by the
contested nature of the illness.
PMID- 29379617
TI - 'Don't push me aside, Doctor': Suicide attempters talk about their support needs,
service delivery and suicide prevention in South Africa.
AB - Few studies have explored the expressed support needs of suicide attempters in
developing countries. Data, collected via in-depth interviews with suicide
attempters admitted to a South African hospital, were analysed using thematic
content analysis. Participants explicitly asked for integrated psycho-social
services at a primary health care level and say they require assistance with
alleviating psychiatric symptoms, establishing connectedness, interpersonal
conflict and solving socio-economic problems. Findings highlight the importance
for suicide prevention of (1) considering interpersonal and contextual socio
economic factors in addition to the psychiatric causes of suicidal behaviour; and
(2) multilevel strategies, intersectoral collaboration and integrated person
centred primary health care.
PMID- 29379618
TI - Positive change and sense of coherence in Japanese mothers of children with
congenital appearance malformation.
AB - The purpose of this study was to clarify the factors related to perceived
positive change and sense of coherence in mothers (n = 293) of children with
cleft lip and palate. The strongest negative correlation with sense of coherence
was stigmatizing words from others; there were positive correlations with social
capital and perceived positive change. Multiple regression showed that to be
aware of positive changes, mothers share their feelings with mothers in the same
position, are rooted in a safe community and do not feel isolated in parenting.
People who have experienced adversity may have the opportunity to enhance sense
of coherence by obtaining perceived positive change.
PMID- 29379619
TI - Comparisons of health-related and appearance-related smoking risk perceptions and
worry on motivation to quit.
AB - This research examined main and interactive associations of perceived risk and
worry of health and appearance smoking consequences on motivation to quit and the
relative importance of each of these. Hierarchical regressions controlling for
other measures found that perceived conditional risk and worry of health
consequences were associated with motivation to quit; only conditional risk of
appearance consequences was associated with motivation to quit. Relative weights
analysis indicated that perceived conditional risk of appearance consequences and
worry about health consequences were the most important variables in the model.
Consideration of appearance consequences may aid in motivating smokers to try
quitting.
PMID- 29379620
TI - Self-compassion and physical health: Exploring the roles of perceived stress and
health-promoting behaviors.
AB - Growing evidence indicates that self-compassion is associated with better
physical health, but the pathways that mediate this relationship are not well
understood. This study tested a serial mediation model that linked self
compassion, perceived stress, health behaviors, and a comprehensive index of
physical health. A sample of 176 individuals completed an online survey posted on
Amazon's Mechanical Turk. Self-compassion had an indirect effect on physical
health via both mediators and through the sequential pathway, suggesting that
taking a kind, accepting and mindful stance toward one's flaws and failures may
have benefits for reducing stress and promoting health behaviors.
PMID- 29379621
TI - Validation of the English and French versions of the Brief Health Care Climate
Questionnaire.
AB - Patient autonomy is a central value in medicine and critical component of
adherence to medical advice. This article reports on a validation of the 6-item
version of the Health Care Climate Questionnaire (HCCQ), a measure of autonomy
support in health care settings, in a sample of 242 melanoma patients. The HCCQ
showed excellent internal consistency (alpha = .91), structural validity (Tucker
Lewis index = .99; comparative fit index = .99; root mean square error of
approximation = .06, 90 per cent confidence interval (.00, .11); standardized
root mean square residual = .02; chi2 (8, N = 235) = 13.99, p = .08) and
construct validity (92.31% of hypothesized correlations with other measures
confirmed). Acceptable 3-month test-retest reliability was observed (r = .55, p <
.001; intraclass correlation coefficient (A, 1) = .54, p < .001). The French
version was found equivalent to the English version.
PMID- 29379622
TI - Under what conditions can a nonprescription drug label serve as refutation text?
The role of directed attention and processing strategy.
AB - Nonprescription drug labels are relatively ineffective in refuting drug
misconceptions. We sought to improve the effectiveness of an aspirin label as a
refutation text by manipulating selective attention and label-processing
strategy. After reading a facsimile label, those of 196 undergraduates who
attempted to explain why shaded drug facts are "easily confused" recalled more
refuting drug facts than participants who attempted to explain why those facts
are "easily ignored." However, "easily confused" processing did not change truth
ratings of misconceptions associated with those drug facts. We conclude that
refuted misconceptions remain in memory but are inhibited by disconfirming drug
facts.
PMID- 29379623
TI - Distance mentoring of health researchers: Three case studies across the career
development trajectory.
AB - Despite the crucial role of mentoring, little literature exists that addresses
distance mentoring among health researchers. This article provides three case
studies showcasing proteges at different stages of career development (one in
graduate school, one as an early-stage researcher, and one as an established
researcher). Each case study provides a brief history of the relationship,
examines the benefits and challenges of working together at a distance, and
discusses the lessons learned from both the mentor and the protege over the
course of these relationships. A mentoring model, examples of mentoring
communications, and potential promising practices are also provided and
discussed.
PMID- 29379624
TI - Training pharmacists in the stage-of-change model of smoking cessation and
motivational interviewing: A randomized controlled trial.
AB - This study evaluated the effect of training pharmacists in the stage-of-change
model for smoking cessation and motivational interviewing on smoking cessation
outcomes. A training based on the stage-of-change model for smoking cessation and
motivational interviewing was introduced to pharmacists. Pharmacists were
randomly assigned to the intervention or control group. The control group
attended a 3-hour training session, whereas the intervention group also attended
a further 6-hour training session. At week 24, 12.2 percent of the smokers quit
smoking in the intervention group, whereas 1.6 percent of the smokers quit
smoking in the control group. The findings of this study showed that training
pharmacists, in the stage-of-change model for smoking cessation and motivational
interviewing, improves smoking reduction and cessation rates.
PMID- 29379625
TI - Factor structure and psychometric properties of the Fertility Problem Inventory
Short Form.
AB - The study analyses factor structure and psychometric properties of the Italian
version of the Fertility Problem Inventory-Short Form. A sample of 206 infertile
couples completed the Italian version of Fertility Problem Inventory (46 items)
with demographics, State Anxiety Scale of State-Trait Anxiety Inventory (Form Y),
Edinburgh Depression Scale and Dyadic Adjustment Scale, used to assess convergent
and discriminant validity. Confirmatory factor analysis was unsatisfactory
(comparative fit index = 0.87; Tucker-Lewis Index = 0.83; root mean square error
of approximation = 0.17), and Cronbach's alpha (0.95) revealed a redundancy of
items. Exploratory factor analysis was carried out deleting cross-loading items,
and Mokken scale analysis was applied to verify the items homogeneity within the
reduced subscales of the questionnaire. The Fertility Problem Inventory-Short
Form consists of 27 items, tapping four meaningful and reliable factors.
Convergent and discriminant validity were confirmed. Findings indicated that the
Fertility Problem Inventory-Short Form is a valid and reliable measure to assess
infertility-related stress dimensions.
PMID- 29379626
TI - Disease factors associated with spousal influence on diabetic diet: An
exploratory comparison of Vietnamese American and White older adults.
AB - This study examined whether disease-specific factors were important for how and
how often White versus Vietnamese American spouses influenced their partners'
diabetic diet. Results from a cross-sectional survey of 145 older adult spouses
whose partners had type 2 diabetes revealed that Vietnamese American spouses used
more frequent spousal influence (positive and negative) than White spouses. In
addition, most of the factors associated with spousal influence differed for
Vietnamese American and White spouses. Findings from this study highlight the
importance of proximal and sociocultural factors in understanding older spouses'
influence on their partners' diabetic diet.
PMID- 29379627
TI - Psychometric properties of the Beliefs about Medicine Questionnaire-adjuvant
endocrine therapy (BMQ-AET) for women taking AETs following early-stage breast
cancer.
AB - This study evaluated the Beliefs about Medicine Questionnaire to explore
adherence to adjuvant endocrine therapy after treatment for breast cancer (BMQ
AET). Factor structure of the BMQ-AET was explored alongside internal
consistency, convergent validity and acceptability. The BMQ-AET Specific Scale
fitted the original 10 item model. Internal consistency of the BMQ-AET was much
improved compared to the original BMQ and convergent validity showed predicted
direction of correlation, although correlation with BMQ-AET concerns scale was
low. Acceptability was good. The evaluation of the BMQ-AET is encouraging, and
could facilitate future research around adherence to AET.
PMID- 29379628
TI - Associations of Thalassemia Major and satisfaction with quality of life: The
mediating effect of social support.
AB - The present research analyzed the elements of thalassemia which affect the
patient's perceived quality of life. Three hundred patients with Thalassemia
Major (males = 165, 55%; females = 135, 45%; Mage = 36.13, standard deviation =
8.54) were the sample. Analysis of multiple mediations revealed a direct effect
of self-efficacy on the Satisfaction with Life Scale that is mediated only by
social support.The findings suggest a need to accentuate help and support to
patients with Thalassemia Major.
PMID- 29379629
TI - The development of a narrative describing the bereavement of families of
potential organ donors: A systematic review.
AB - Families of potential post-mortem organ donors face various challenges in the
unfamiliar hospital context and after returning home. This review of sources
published between 1968 and 2017 seeks to understand their journey as a
bereavement experience with a number of unique features. Grief theory was used to
identify ways that staff can assist family members to tolerate ambiguities and
vulnerabilities while contributing to an environment characterised by compassion
and social inclusion. Staff can guide families and create opportunities for
meaningful participation, building resilience and developing bereavement-related
skills that could assist them in the months that follow.
PMID- 29379631
TI - Validation of the Paranormal Health Beliefs Scale for adults.
AB - We present the validation study of the Paranormal Health Beliefs Scale adult
version, aimed to measure illusory beliefs about health. The scale was
administered to 643 participants (54.3% females), having an average age of 29.7
years (standard deviation = 18.31). The results of the analyses confirmed the
dimensions of the Paranormal Health Beliefs Scale as developed in the previous
adolescent study (Beliefs: Religious, Superstitious, in Extraordinary Events,
Parapsychological, and Pseudo-scientific of a biomedical nature), as well as the
convergent and discriminant validity through the correlation with other
constructs (locus of control and self-efficacy). The results also showed
significant differences between subgroups by gender and age. The Paranormal
Health Beliefs Scale shows satisfactory psychometric properties and thus may be
used effectively to identify the varied range of illusory beliefs related to
health, even within the context of lifelong educational programs aimed at health
promotion.
PMID- 29379630
TI - The Opioid Abuse Risk Screener predicts aberrant same-day urine drug tests and 1
year controlled substance database checks: A brief report.
AB - The Opioid Abuse Risk Screener was developed to support well-informed decision
making in opioid analgesic prescribing by extending the breadth of psychiatric
risk factors evaluated relative to other non-clinician-administered measures. We
examined the preliminary predictive validity of the Opioid Abuse Risk Screener
relative to the widely used Screener and Opioid Assessment for Patients with Pain
Revised in predicting aberrant urine drug tests and controlled substance database
checks. The Opioid Abuse Risk Screener is significantly different from the
Screener and Opioid Assessment for Patients with Pain-Revised in predicting
aberrant same-day urine drug tests (Z = 2.912, p = 0.0036) and controlled
substance database checks within 1 year of assessment (Z = 3.731, p = 0.0002).
Promising preliminary analyses using machine learning methods are also discussed.
PMID- 29379632
TI - Family functioning and trait emotional intelligence among youth.
AB - This study explored the relationship between family functioning and trait
emotional intelligence among 547 respondents, between the age of 16 and 24 years
from Malaysia, Iran, China, Sudan, Somalia, Morocco, the United Kingdom, Germany
and the Netherlands. The questionnaires were Family Adaptability and Cohesion
Evaluation Scale III and Trait Emotional Intelligence Questionnaire Short Form.
Pearson correlation analysis revealed a significant relationship between family
functioning and trait emotional intelligence. The higher the family functioning,
the higher the trait emotional intelligence among youths. The findings provide a
deeper understanding in the field of family functioning and trait emotional
intelligence and have implications for parents, administrators and child
relationships dealing with trait emotional intelligence.
PMID- 29379634
TI - Life as an early career researcher: interview with Minhaj Sirajuddin.
AB - Minhaj Sirajuddin talks to Francesca Lake, Head of Open Access Publishing. Minhaj
Sirajuddin is currently an Assistant Investigator at the Institute for Stem Cell
Biology and Regenerative Medicine (InStem), Bangalore, India. His lab works on
understanding biological motility mediated by cytoskeleton elements using
biophysics and cell biology. Previously his tenures were at UCSF (CA, USA) for
his postdoctoral work and the Max Planck Institute (Dortmund, Germany) for his
PhD. Minhaj is a recipient of Wellcome Trust - DBT India Alliance Intermediate
Fellowship and the EMBO Young Investigator Award. He was also a finalist in the
inaugural Future Science Early Career Research Award.
PMID- 29379635
TI - Reducing the osteoporosis treatment gap: an interview with UCB's Pascale
Richetta.
AB - Dr. Pascale Richetta is Executive Vice President and Head of the Bone Patient
Value Unit at UCB (Brussels, Belgium). She joined UCB in 2016 following over 20
years' experience in the pharma and biotech industry. After completing her MD at
the University of Poitiers (France), she spent time as vice president at
Abbvie/Abbott and has also held positions at GlaxoSmithKline, Ipsen and Servier.
Through the course of her career she acquired impressive commercial experience
managing both traditional pharmaceuticals and complex biologics and her knowledge
of markets around the world is key to helping UCB prepare for future product
launches.
PMID- 29379633
TI - In vitro and in vivo anticandidal activities of alginate-enclosed chitosan
calcium phosphate-loaded Fe-bovine lactoferrin nanocapsules.
AB - Aim: To study the in vitro and in vivo anticandidal activity of nanocapsulated
bovine lactoferrin. Materials & methods: In vitro and in vivo antimicrobial
activities were conducted to study the anticandidal activities of nanocapsules
(NCs). Results: The NCs showed good anticandidal activities. The disruption of
cell wall and cell membrane was noted via microscopy studies. The NCs changed the
normal growth profile of Candida albicans. NCs reduced the colony forming unit in
kidney and blood samples. Histopathological examination showed better cell
structure and coordination compared with untreated mice kidney. NCs also enhanced
the natural killing properties of C. albicans by epithelial cells. Conclusion:
NCs have effective anticandidal properties and have the potential as a
therapeutic agent against candidiasis.
PMID- 29379636
TI - Could IGF-I levels play a neuroprotective role in patients with large vestibular
schwannomas?
AB - Aim: To evaluate the possible superiority of outcome in patients with elevated
IGF-I levels after vestibular schwannoma (VS) resection. Patients & methods: This
retrospective study included 65 patients (34 male, 52.3%) with VS operated in
between January 2009 and April 2014 (follow-up 3.2 +/- 0.7 years). Preoperative
or postoperative IGF-I levels were identified for each patient. Results: Patients
were divided into two groups: Group A (small size tumor), 56 patients; and Group
B (large size tumor), 9 cases. IGF-I levels in Group A (195.8 +/- 32.9 ng/ml)
were compared with those of Group B (242.2 +/- 22.2 ng/ml) and were found to have
statistically significant difference (p = 0.001). Conclusion: Increased IGF-I
levels could hold a key role in nerve recovery in patients undergoing surgical
resection of large VS.
PMID- 29379637
TI - Stabilizers influence drug-polymer interactions and physicochemical properties of
disulfiram-loaded poly-lactide-co-glycolide nanoparticles.
AB - Aim: Stabilizers are known to be an integral component of polymeric
nanostructures. Ideally, they manipulate physicochemical properties of
nanoparticles. Based on this hypothesis, we demonstrated that disulfiram (drug)
and Poly-lactide-co-glycolide (polymer) interactions and physicochemical
properties of their nanoparticles formulations are significantly influenced by
the choice of stabilizers. Methodology: Electron microscopy, differential
scanning calorimetry, x-ray diffraction, Raman spectrum analysis, isothermal
titration calorimetry and in silico docking studies were performed. Results &
discussion: Polysorbate 80 imparted highest crystallinity while Triton-X 100
imparted highest rigidity, possibly influencing drug bioavailability, blood
retention time, cellular uptake and sustained drug release. All the molecular
interactions were hydrophobic in nature and entropy driven. Therefore, polymeric
nanoparticles may be critically manipulated to streamline the passive targeting
of drug-loaded nanoparticles.
PMID- 29379638
TI - Leiomyosarcoma of the stomach with metastasis to the liver: a case report with
review of the literature.
AB - Leiomyosarcoma of the stomach is a very rare malignancy that was not
distinguished from the more frequent gastrointestinal stromal tumors until early
2000s. Here we report on a case of a metastatic disease that developed in a 47
year-old man 2 years after he was diagnosed with the primary tumor and treated
with curative surgical excision and adjuvant doxorubicin. The primary and
metastatic lesions were positive for smooth muscle markers alpha-smooth muscle
actin and h-caldesmon and negative for CD117, DOG-1 and S100 by
immunohistochemistry. Metastatic disease progressed on additional monotherapy
with doxorubicin and docetaxel-gemcitabine combination, and stable disease was
achieved upon treatment with pazopanib. Patient is surviving 35 months since
diagnosis of the primary tumor and 11 months since diagnosis of metastatic
disease.
PMID- 29379639
TI - DNA demethylation marks in chronic lymphocytic leukemia: it is time to let the
cat out of the bag.
PMID- 29379640
TI - A prospective clinical study of the implications of IL-8 in the diagnosis,
aggressiveness and prognosis of prostate cancer.
AB - Aim: We evaluated the relationship between IL-8 and prostate cancer (PCa) with
emphasis on diagnosis, aggressiveness and prognosis. Materials & methods:
Prostate-specific antigen (PSA) and serum IL-8 were collected from patients
undergoing prostate biopsy. IL-8 expression was evaluated on immunohistochemistry
with IL-8 labeling index. Complete follow-up of this cohort was achieved over a
period of up to 6 years with continuous follow-up of PSA levels. Results: Among
135 patients, serum IL-8 level did not correlate to the diagnosis or
aggressiveness of PCa. In 52 radical prostatectomy specimens, a higher IL-8
labeling index was detected in the tumor areas (0.4 +/- 0.2 vs 0.33 +/- 0.2; p =
0,007) but did not correlate to any of the prognostic markers: D'Amico
classification (p = 0.52), Gleason score (p = 0.45), perineural (p = 0.83) and
capsular invasion (p = 0.75). No correlation was found to PSA biochemical-free
failure. Conclusion: IL-8 serum level was not a significant predictor of
diagnosis, aggressiveness or prognosis of PCa.
PMID- 29379641
TI - Computational design of new molecular scaffolds for medicinal chemistry, part II:
generalization of analog series-based scaffolds.
AB - Aim: Extending and generalizing the computational concept of analog series-based
(ASB) scaffolds. Materials & methods: Methodological modifications were
introduced to further increase the coverage of analog series (ASs) and compounds
by ASB scaffolds. From bioactive compounds, ASs were systematically extracted and
second-generation ASB scaffolds isolated. Results: More than 20,000 second
generation ASB scaffolds with single or multiple substitution sites were
extracted from active compounds, achieving more than 90% coverage of ASs.
Conclusion: Generalization of the ASB scaffold approach has yielded a large
knowledge base of scaffold-capturing compound series and target information.
PMID- 29379642
TI - Future biomaterials for enhanced cell-substrate communication in spinal cord
injury intervention.
PMID- 29379643
TI - 'Et tu, inhibitor?': the potential for HIV inhibitors to prime P-gp-mediated
chemoresistance in cancer.
PMID- 29379644
TI - Update on new research in Gram-negative infections European Congress of Clinical
Microbiology and Infectious Diseases 2017 (22-25 April, Vienna, Austria).
AB - European Congress of Clinical Microbiology and Infectious Diseases 2017 (22-25
April, Vienna, Austria). Collaborative studies between hospitals in Europe and
across the USA provided new insights into assessing susceptibility patterns and
optimizing antimicrobial therapy in patients with infections caused by Gram
negative bacteria, including carbapenemase-producing Enterobacteriaceae (CPE) and
Pseudomonas aeruginosa.
PMID- 29379645
TI - Evaluation of the free-radical scavenging and antioxidant activities of Chilauni,
Schima wallichii Korth in vitro.
AB - Aim: Free radicals are an outcome of various metabolic activities and their
excess production leads to many diseases. Therefore, it is necessary to
neutralize excess free radicals. Materials & methods: Free-radical scavenging
activity of various extracts of Schima wallichii was evaluated using standard
protocols. Results: Chloroform, ethanol and aqueous extracts of S. wallichii
scavenged DPPH, hydroxyl, superoxide, nitric oxide and ABTS free radicals and
increased ferric-reducing antioxidant potential in a concentration-dependent
manner. A total of 1000 MUg/ml of all the extracts and ethanol extract showed
highest total flavonoids and phenol contents, respectively. Conclusion: The
different extracts of S. wallichii scavenged different free radicals efficiently
due to the presence of flavonoids and polyphenols and may be helpful in free
radical-induced diseases.
PMID- 29379646
TI - Interface of health and trade: a view point from health diplomacy.
PMID- 29379647
TI - Decade of action on nutrition: our window to act on the double burden of
malnutrition.
PMID- 29379648
TI - Global action on the social determinants of health.
AB - Action on the social determinants of health (SDH) is required to reduce
inequities in health. This article summarises global progress, largely in terms
of commitments and strategies. It is clear that there is widespread support for a
SDH approach across the world, from global political commitment to within country
action. Inequities in the conditions in which people are born, live, work and
age, are however driven by inequities in power, money and resources. Political,
economic and resource distribution decisions made outside the health sector need
to consider health as an outcome across the social distribution as opposed to a
focus solely on increasing productivity. A health in all policies approach can go
some way to ensure this consideration, and we present evidence that some
countries are taking this approach, however given entrenched inequalities, there
is some way to go. Measuring progress on the SDH globally will be key to future
development of successful policies and implementation plans, enabling the
identification and sharing of best practice. WHO work to align measures with the
sustainable development goals will help to forward progress measurement.
PMID- 29379649
TI - How will the sustainable development goals deliver changes in well-being? A
systematic review and meta-analysis to investigate whether WHOQOL-BREF scores
respond to change.
AB - Introduction: The Sustainable Development Goals (SDGs) 2015 aim to '...promote
well-being for all', but this has raised questions about how its targets will be
evaluated. A cross-cultural measure of subjective perspectives is needed to
complement objective indicators in showing whether SDGs improve well-being. The
WHOQOL-BREF offers a short, generic, subjective quality of life (QoL) measure,
developed with lay people in 15 cultures worldwide; 25 important dimensions are
scored in environmental, social, physical and psychological domains. Although
validity and reliability are demonstrated, clarity is needed on whether scores
respond sensitively to changes induced by treatments, interventions and major
life events. We address this aim. Methods: The WHOQOL-BREF responsiveness
literature was systematically searched (Web of Science, PubMed, EMBASE and
Medline). From 117 papers, 15 (24 studies) (n=2084) were included in a meta
analysis. Effect sizes (Cohen's d) assessed whether domain scores changed
significantly during interventions/events, and whether such changes are relevant
and meaningful to managing clinical and social change. Results: Scores changed
significantly over time on all domains: small to moderate for physical (d=0.37;
CI 0.25 to 0.49) and psychological QoL (d=0.22; CI 0.14 to 0.30), and small for
social (d=0.10; CI 0.05 to 0.15) and environmental QoL (d=0.12; CI 0.06 to 0.18).
More importantly, effect size was significant for every domain (p<0.001),
indicating clinically relevant change, even when differences are small. Domains
remained equally responsive regardless of sample age, gender and evaluation
interval. Conclusion: International evidence from 11 cultures shows that all
WHOQOL-BREF domains detect relevant, meaningful change, indicating its
suitability to assess SDG well-being targets.
PMID- 29379650
TI - Strengthening global health security by embedding the International Health
Regulations requirements into national health systems.
AB - The International Health Regulations (IHR) 2005, as the overarching instrument
for global health security, are designed to prevent and cope with major
international public health threats. But poor implementation in countries hampers
their effectiveness. In the wake of a number of major international health
crises, such as the 2014 Ebola and 2016 Zika outbreaks, and the findings of a
number of high-level assessments of the global response to these crises, it has
become clear that there is a need for more joined-up thinking between health
system strengthening activities and health security efforts for prevention, alert
and response. WHO is working directly with its Member States to promote this
approach, more specifically around how to better embed the IHR (2005) core
capacities into the main health system functions. This paper looks at how and
where the intersections between the IHR and the health system can be best
leveraged towards developing greater health system resilience. This merging of
approaches is a key component in pursuit of Universal Health Coverage and
strengthened global health security as two mutually reinforcing agendas.
PMID- 29379651
TI - Intra-Abdominal Hydatid Cyst: Sociodemographics, Clinical Profiles, and Outcomes
of Patients Operated on at a Tertiary Hospital in Addis Ababa, Ethiopia.
AB - Background: Hydatid cyst is caused by the tapeworm Echinococcus granulosus. The
abdomen, specifically the liver, is the most common site affected. Objective:
Determine the presentation patterns, types of surgical management, and outcomes
of patients operated for intra-abdominal hydatid cyst (IAHC). Methodology: A
retrospective descriptive study of patients admitted and operated for IAHC from
September 1, 2011, to August 31, 2015. Results: Forty-two patients whose age
ranged from 10 to 65 (mean of 37 years) were operated on. Females comprised 27
(64.3%) of the patients. The commonest presenting complaint was abdominal pain
(41, 97.6%). Abdominal mass was documented in 23 (54.7%) cases. Abdominal
ultrasound (AUS) and CT were the main imaging studies done on 38 (90.5%) and 24
(57.1%) patients, respectively. Cysts measuring more than 10 cm in diameter were
the most common finding in both studies. Liver was the primary site involved, 30
(71.4%) cases, the right lobe being the main side, 73%. Thirty-eight (90.5%)
patients underwent deroofing, evacuation, marsupialization, and omentoplasty
(DEMO). There was no perioperative death, but 4 (9.5%) of the patients had post
op complications. Conclusion: Abdominal pain was the most common presenting
complaint. AUS and CT remain the preferred imaging. DEMO was the most common
surgery.
PMID- 29379652
TI - Autologous Platelet-Rich Plasma Eye Drops for the Treatment of Post-LASIK Chronic
Ocular Surface Syndrome.
AB - Purpose: To evaluate the efficacy of autologous platelet-rich plasma (E-PRP) eye
drops for the treatment of chronic ocular surface syndrome (OSS) following laser
in situ keratomileusis (LASIK). Methods: This prospective interventional
consecutive clinical study include 156 eyes of 80 patients affected by post-LASIK
chronic OSS who were treated with autologous E-PRP 6 times a day as monotherapy
for 6 weeks. Results: Dry eye symptoms improved in 85% of the cases. A decrease
in at least one quadrant to total disappearance on CFS was observed in 89.6% of
the patients who had positive CFS before treatment. Three eyes presented severe
punctate keratitis (1.9%) at baseline, all of which healed completely.
Conjunctival hyperemia improved in 93.3% of the patients with previous signs of
ocular surface inflammation. There was a significant improvement in logMAR CDVA
from 0.14 +/- 0.19 to 0.06 +/- 0.12 (p = 0.000), and 74 (71.4%) eyes improved at
least 1 line in CDVA. Conclusion: Monotherapy with autologous E-PRP is a well
tolerated, safe, and effective treatment for the management of post-LASIK ocular
surface syndrome. Precis: Monotherapy with autologous platelet-rich plasma eye
drops has been shown to be an adequate option for the treatment of post-LASIK
chronic ocular surface syndrome. This trial is registered with NCT03322917.
PMID- 29379653
TI - Sensitivity, Specificity, and Limitations of Optical Coherence Tomography
Angiography in Diagnosis of Polypoidal Choroidal Vasculopathy.
AB - Purpose: To evaluate the sensitivity and specificity of optical coherence
tomography angiography (OCTA) in differentiating polypoidal choroidal
vasculopathy (PCV) from age-related macular degeneration (AMD). Methods: Fundus
color photographs, spectral-domain optical coherence tomography, and fluorescein
angiography (step 1) and OCTA (step 2) of 50 eyes that had PCV or AMD were
presented to two ophthalmologists. The final diagnoses of PCV were masked.
Sensitivity and specificity were calculated and compared to the 2-step approach
(before and after OCTA) in detecting PCV. The limitations were also evaluated.
Results: Of the 50 eyes, 31 were PCV and 19 were non-PCV. The sensitivity
increased from 69.5% to 90% after OCTA; however, there was no significant
improvement in specificity after OCTA. 70.9% of the eyes with PCV had clear or
obvious branching vascular nets (BVNs) in OCTA with high sensitivity (97.5%)
after OCTA. Contrarily, 29.1% had insignificant BVNs with a low sensitivity
(72.5%) after OCTA. 27% of the occult choroidal neovascularization (CNV) cases
were overdiagnosed as PCV when OCTA was applied. Conclusions: OCTA based on clear
BVNs at the choroidal level increased sensitivity of diagnosis of PCV by 20%.
However, the false-positive rate also increased in occult CNV. Several
limitations for a correct diagnosis of PCV were noted.
PMID- 29379654
TI - Determinants of Quality of Work Life among Nurses Working in Hawassa Town Public
Health Facilities, South Ethiopia: A Cross-Sectional Study.
AB - Background: A high quality of work life (QWL) is a crucial issue for health care
facilities to have qualified, dedicated, and inspired employees. Among different
specialties in health care settings, nurses have a major share among other health
care providers. So, they should experience a better QWL to deliver high-quality
holistic care to those who need help. Objective: To assess the level of quality
of work life and its predictors among nurses working in Hawassa town public
health facilities, South Ethiopia. Methods: A facility based cross-sectional
study was conducted on 253 nurses of two hospitals and nine health centers. The
total sample size was allocated to each facility based on the number of nurses in
each facility. Data were collected using a structured questionnaire. The
interitem consistency of the scale used to measure QWL had Cronbach's alpha value
of 0.86. A multinomial logistic regression model was fitted to identify
significant predictors of quality of work life using SPSS version 20. Results:
The study showed that 67.2% of the nurses were dissatisfied with the quality of
their work life. We found that educational status, monthly income, working unit,
and work environment were strong predictors of quality of work life among nurses
(p < 0.05). Conclusion: Significant proportions of the nurses were dissatisfied
with the quality of their work life. The findings in this study and studies
reported from elsewhere pinpoint that perception of nurses about the quality of
their work life can be modified if health care managers are considerate of the
key issues surrounding QWL.
PMID- 29379655
TI - Genetic Variants in CSMD1 Gene Are Associated with Cognitive Performance in
Normal Elderly Population.
AB - Recently, genetic markers rs10503253 and rs2616984 in the CUB and Sushi multiple
domains-1 (CSMD1) gene have been reported to be associated with schizophrenia and
cognitive functions in genome-wide association studies. We examined the
associations of the above SNPs with cognitive performance evaluated by the
Montreal Cognitive Assessment (MoCA) tool in a cohort of the normal elderly from
the Russian population. Significant association of rs2616984 genotypes with the
MoCA scores was found using nonparametric analysis. No association of rs10503253
with MoCA scores was observed using both parametric and nonparametric statistics.
Significant combined effect of two-locus CSMD1 genotypes on MoCA scores was
demonstrated by median test. Allele "A" and genotype "AA" of rs2616984 were
significantly associated with the lower MoCA scores in comparison of 1st and 4th
quartiles of MoCA total score distribution. The results suggest that genetic
variants in CSMD1 gene are likely a part of genetic component of cognitive
performance in the elderly.
PMID- 29379656
TI - Deep Venous Thrombosis and Bilateral Pulmonary Embolism Revealing Silent Celiac
Disease: Case Report and Review of the Literature.
AB - Celiac disease (CD) is a systemic, chronic autoimmune disease that occurs in
genetically predisposed individuals following dietary gluten exposure. CD can
present with a wide range of gastrointestinal and extraintestinal manifestations
and requires lifelong adherence to a gluten-free diet [GFD]. Venous
thromboembolism (VTE) as a presentation of celiac disease is unusual and rarely
reported. We present a case of a 46-year-old man who was admitted for shortness
of breath and pleuritic chest pain and was found to have iron deficiency anemia,
deep venous thrombosis, and bilateral pulmonary emboli (PE). After work-up for
his anemia, the patient was diagnosed with CD. Comprehensive investigation for
inherited or acquired prothrombotic disorders was negative. It is becoming
increasingly recognized that CD is associated with an increased risk for VTE. PE,
however, as a presentation of CD is exceedingly rare and to the best of our
knowledge this is the third case report of such an occurrence and the only case
report of a patient from North America. It is important to recognize that the
first symptoms or signs of celiac disease might be extraintestinal. Furthermore,
VTE as a presentation of CD is rare but life-threatening.
PMID- 29379657
TI - Coats' Disease-Related Macular Edema Treated with Combined Aflibercept and Laser
Photocoagulation.
AB - Purpose: To describe the clinical response of refractory macular edema associated
with Coats' disease following treatment with aflibercept and laser
photocoagulation. Methods: Case report. Results: A 17-year-old female presented
with decreased vision of the left eye. Ophthalmic exam demonstrated intraretinal
hemorrhages and exudation with associated edema centrally. Angiographic
evaluation revealed central leaking microaneurysms and peripheral capillary
dropout. These findings and a systemic work-up that yielded an incidental Factor
V Leiden mutation lead to a diagnosis of Coats' disease. Initial treatment
consisted of laser photocoagulation and intravitreal bevacizumab but with poor
response. Switching to intravitreal aflibercept resulted in resolution of the
refractory macular edema and improvement of visual acuity to 20/25 in the left
eye. Conclusion: We describe a case of refractory macular edema which responded
more favorably to intravitreal aflibercept compared with bevacizumab when
combined with laser photocoagulation in a patient with Coats' disease.
PMID- 29379658
TI - Teratoid Cyst of the Postauricular Region: The First Ever Case Report.
AB - Rudolf Virchow is considered to be the first scientist to have used the word
sebaceous cyst. It was thought that these lesions occurred due to retention of
sebaceous secretion consequent to obstruction of sebaceous ducts of sebaceous
glands, although that was found not to be the case. In all these cysts, the
cavity is filled with keratin. There are six types of keratin-filled cysts,
namely, epidermoid, dermoid, teratoid, keratinous, trichilemmal, and teratoma
cyst, which have one common name "dermoid cyst." Of the six, teratoid cyst is the
least common. In contrast to other dermoid cysts, teratoid cysts contain tissue
elements derived from all the three germ layers, namely, ectoderm, mesoderm, and
endoderm. Teratomas can be differentiated from teratoid cysts by the fact that
recognizable organ structures may be found in the former; examples include teeth
and skin. Teratoid cysts can develop anywhere in the body but rarely arise in the
head and neck region. They have never been reported in the postauricular region.
In this case report, we present a case of teratoid cyst in the postauricular
region in a 21-year-old female. The significance of this case lies in its rarity.
PMID- 29379659
TI - Thyrotoxic Atrial Fibrillation: Factors Associated with Persistence and Risk of
Ischemic Stroke.
AB - Background: Atrial fibrillation (AF) is one of the commonest cardiovascular
manifestations of thyrotoxicosis. A significant proportion of patients have
persistent AF which may have long term consequences, for example, ischemic
stroke. Methods: We performed a retrospective cohort study in a regional hospital
from January 2004 to June 2016 to examine the clinical characteristics and
outcomes of thyrotoxic patients who presented with atrial fibrillation and to
investigate possible factors associated with persistent atrial fibrillation and
ischemic stoke. Results: Among 1918 patients who had a diagnosis of
thyrotoxicosis, 133 (6.9%) patients presented with AF. Spontaneous sinus
conversion occurred in 89 (66.9%) patients in which 85 (94%) patients developed
sinus conversion before or within 6 months after having achieved euthyroidism.
The remaining 44 (33.1%) had persistent AF. The rate of ischemic stroke was
numerically higher among patients who had persistent AF than those with
spontaneous sinus conversion (15.9% versus 10.1%; log-rank 0.442, p = 0.506).
Patients who sustained an ischemic stroke were older (71 +/- 11 years versus 62
+/- 16 years, p = 0.023) and had a trend towards higher CHA2DS2-VASc score (2.9
+/- 1.7 versus 2.3 +/- 1.7, p = 0.153). History of smoking (adjusted odds ratio
4.9, 95% CI [1.8,14.0], p = 0.002), a larger left atrial diameter (adjusted odd
ratio 2.6, 95% CI [1.2,5.5], p = 0.014), and a relatively lower free thyroxine
level at diagnosis (adjusted odd ratio 2.1, 95% CI [1.2,3.5], p = 0.008) were
associated with persistence of AF on multivariate analysis. Conclusion:
Persistence of thyrotoxic AF occurred in one-third of patients and spontaneous
sinus conversion was unlikely after six months of euthyroidism. High rate of
ischemic stroke was observed among patients with persistent thyrotoxic AF and
older age. Patients with factors associated with persistent AF, especially older
people, should be closely monitored beyond 6 months so that anticoagulation can
be initiated in a timely manner to reduce risk of ischemic stroke.
PMID- 29379660
TI - Comparison of corifollitropin alfa and daily recombinant follicle-stimulating
hormone in poor responder patients undergoing in vitro fertilization cycles.
AB - Objective: The aim of this study was to compare the effect of corifollitropin
alfa (CFA) and recombinant follicle-stimulating hormone (rFSH) in poor-responder
patients undergoing antagonist cycles. Materials and Methods: The study was a
retrospective analysis of the treatment results of 214 poor responder patients
who had been admitted to the In Vitro Fertilization Unit of Izmir Medical Park
Hospital between November 2014 and November 2016. Intracytoplasmic sperm
injections were performed in 38 patients (group 1) with CFA, and the remaining
176 (group 2) with rFSH for controlled ovarian hyperstimulation. Results: The
age, body mass index, anti-mullerian hormone level, duration of infertility,
duration of induction and antral follicle number were similar in the two groups.
There was no difference in the total aspirated oocyte counts, mature oocyte
ratio, fertilization rate, implantation rate, and clinical pregnancy rates
between the two groups. The implantation rate was 9/38 (23.6%) in group 1 and
42/176 (23.8%) in group 2, whereas the clinical pregnancy rates were 16.3% and
17.2%, respectively. Conclusion: No difference was found in terms of oocyte
count, fertilization rate, implantation rate, and clinical pregnancy rates of CFA
or rFSH use in the antagonist cycles in poor-responder patients.
PMID- 29379661
TI - Role of positron emission tomography-computed tomography in endometrial cancer.
AB - Objective: The efficacy of preoperative 18F-fluoro-D-glucose (18F-FDG) positron
emission tomography-computed tomography (PET-CT) in endometrium cancer is
controversial. We examined the efficacy of PET-CT and the association between
maximum standardized uptake value (SUVmax) and prognostic factors in endometrial
cancer. Materials and Methods: Thirty patients with endometrial cancer underwent
preoperative 18F-FDG/PET-CT. The patients were treated with abdominal
hysterectomy with bilateral salpingo-oophorectomy, and bilateral systemic pelvic
lymphadenectomy was planned for all patients; paraaortic lymphadenectomy was
performed in patients with intermediate and high risk. Tumor histology, grade,
depth of myometrial invasion, maximum tumor diameter, lymphovascular invasion,
nodal status, and ovarian/adnexal metastases were recorded. Results: The mean
primary tumor diameter was reported smaller in PET-CT and the effect size of PET
CT was -0.60. The kappa value was 0.06 for myometrial invasion. Pelvic lymph node
metastasis was reported in 22.2% of patients in PET-CT. However, 3.7% of patients
had pelvic lymph node metastasis. The kappa value for pelvic lymph node
metastasis was 0.23, and sensitivity, specificity, and positive and negative
predictive values were 100%, 80.7%, 16.6%, and 100%, respectively. Paraaortic
lymph node metastasis in PET-CT was suspected in 10%. However, paraaortic lymph
node metastasis was found in 6.7% in histopathologic analyses. The kappa value
was 0.15. The sensitivity, specificity, and positive and negative predictive
values of PET-CT for detecting paraaortic lymph node metastases were 100%, 93.7%,
66.6%, and 100%, respectively. Myometrial invasion and tumor diameter were the
only important prognostic factors affecting SUVmax. Conclusion: According to our
results, PET-CT has a limited role and diagnostic efficacy in endometrial cancer.
The indications of FDG/PET-CT in endometrium cancer should be studied further and
revised.
PMID- 29379662
TI - A comparison of normal and high post-void residual urine and urodynamic
parameters in women with overactive bladder.
AB - Objective: To investigate voiding functions and assess the relationships of
voiding parameters to overactive bladder symptoms and postvoiding residue
volumes. Materials and Methods: This is a retrospective study analyzing
urodynamic parameters in patients who were diagnosed as having overactive in our
urogynecology clinic between April 2014 and April 2016. A total of 290 women who
met the selection criteria were included in the study. The patients were divided
into two groups according to postvoiding residue volumes: group 1, postvoiding
residue volumes <100 mL (n=135); group 2, postvoiding residue volumes >=100 mL
(n=155). Results: A total of 290 women were included in the study; the mean age
was 71.4 years. A total of 158 (54.5%) patients had detrusor over-activity during
urodynamic testing. The mean maximum bladder capacity in elevated group 2
(postvoiding residue volumes >=100 mL) was significantly higher than in group 1
(postvoiding residue volumes <100 mL) (p<0.01). Additionally, there was a
significant difference between detrusor pressure at Qmax in both study groups
(p<0.05). There were no significant differences in the first-sensation volume
between the normal and elevated postvoiding residue volumes groups. Conclusion:
In conclusion, patients with overactive with elevated postvoiding residue volumes
showed increased maximum bladder capacity, but detrusor over-activity was not
more prevalent in these women compared with women with normal postvoiding residue
volumes.
PMID- 29379663
TI - Depression and anxiety disorder in hyperemesis gravidarum: A prospective case
control study.
AB - Objective: To assess the anxiety and depression status of women with hyperemesis
gravidarum (HG); the risk factors for developing both depression and anxiety in
women with HG were evaluated. Materials and Methods: A total of 200 women, 100
diagnosed as having HG before the 20th week of gestation at a tertiary referral
center and 100 gestational-age-matched controls were enrolled. The socio
demographic data and the depression and anxiety scores, as assessed using the
Beck depression and anxiety inventory were compared between the two groups.
Results: The median depression and anxiety scores were significantly higher in
the HG group compared with controls (19.5 vs. 9.0 and 22.0 vs. 10.0). Women with
HG have the highest relative risks for moderate depression and severe anxiety
[relative risk (RR): 16.88 and RR: 20.50, respectively]. In the univariate
analysis, having HG, low education level, low income and poor social
relationships were significant predictors of depression and having HG. Moreover,
poor social relationships significantly predicted the presence of anxiety
disorder. However, having HG and poor social relationships were found as the only
independent predictors of both depression and anxiety. Patients with HG were 5.5
and 6.7 times more prone to having depression and anxiety disorder compared with
controls, respectively. Conclusion: Both depression and anxiety disorder were
more frequent in women with HG who have weak family and social relationships,
lower education and income levels. Therefore, the determination of the
psychological status of women with HG should be an integral part of the
evaluation.
PMID- 29379664
TI - Endothelial cell leptin receptors, leptin and interleukin-8 in the pathogenesis
of preeclampsia: An in-vitro study.
AB - Objective: Increased leptin hormone and leptin receptor may enhance the
generation of proinflammatory cytokines by endothelial cells and lead to
endothelial dysfunction. This study assessed the umbilical cord endothelial
leptin receptor levels in preeclampsia and investigated the effect of leptin on
endothelial interleukin-8 (IL-8) production. Materials and Methods: The
association between IL-8 levels with leptin stimulation was investigated in
leptin-treated human endothelial cells. Endothelial cell leptin receptor levels
were evaluated using immunohistochemistry staining, and endothelial IL-8 protein
expression by Western blot analysis. Data are presented as mean +/- standard
error of the mean (SEM). Statistical significance was analyzed using Student's t
test or Mann-Whitney U test and one-way analysis of variance. Results: Leptin
receptor immunoreactivity increased significantly in umbilical cord venous and
arterial endothelial cells in normal pregnancy (n=12) compared with preeclampsia
(n=7) endothelial cells. The corresponding preeclampsia versus control histologic
scores (mean +/- SEM) were 67.9+/-8.8 vs. 127.6+/-23.1, (p=0.011) for the leptin
receptor and 55.4+/-8,0 vs. 93.7+/-17.1 (p=0.035), respectively, for the vein
endothelial cells. Leptin treatment significantly increased IL-8 protein levels
(control vs. 100 and 1000 ng/mL, p=0.003). Conclusion: The findings of increased
umbilical cord endothelial leptin receptor levels in preeclampsia and increased
endothelial IL-8 expression with exposure to higher leptin concentrations may
indicate the contribution of leptin to endothelial dysfunction and increased
neutrophil-endothelial interaction, which are significant pathophysiologic
features of preeclampsia.
PMID- 29379665
TI - The oxidative/anti-oxidative effects of sevoflurane on reproductive system of
females: An experimental study.
AB - Objective: A permanent balance exists between the production and elimination of
reactive oxygen species in all living organisms. The aim of this study was to
evaluate the effects of sevoflurane possibly causing an imbalance in the equation
of reactive oxygen species on the female rat reproductive system. Materials and
Methods: A total of 30 adult female Wistar-albino rats were placed into an
anesthesia chamber to administer sevoflurane. Rats were randomly divided into six
groups, each group consisting of five rats: the control group received 2 L/min O2
18 min/day for seven days; the first group received 1 minimum alveolar
concentration (MAC) of sevoflurane and 2 L/min O2 18 min/day for seven days; the
second group received 1 MAC of sevoflurane and 2 L/min O2 18 min/day for seven
days with no treatment for the next seven days; the third group received 1 MAC of
sevoflurane and 2 L/min O2 18 min/day for 14 days; the fourth group received 1
MAC of sevoflurane and 2 L/min O2 18 min/day for 14 days with no treatment for
the next seven days; and the fifth group received 1 MAC of sevoflurane and 2
L/min O2 18 min/day for 14 days with no treatment for the next 14 days. Bilateral
ovaries were subsequently removed for biochemical analysis of tissue anti
oxidative enzyme levels. Results: Slight fluctuations were detected in mean
nitric oxide, prostaglandin E2, prostaglandin F2-alpha, superoxide dismutase,
glutathione peroxidase, malondialdehyde, alginate dialdehyde, and xanthine
oxidase levels between the groups; however, the differences were not significant
(p>0.05). Conclusion: Sevoflurane has no effect on the activity of anti-oxidant
systems in the rat ovary.
PMID- 29379666
TI - Could the female-to-male transgender population be donor candidates for uterus
transplantation?
AB - Objective: To evaluate the eligibility of female-to-male (FtM) transgender people
as donor candidates with regard to histologic, surgical, and social aspects.
Materials and Methods: In this prospective cohort study, 31 FtM transgender
people underwent standard hysterectomy and bilateral salpingo-oophorectomy for
gender reassignment upon their request. The pelvic viscera of the transgender
people was intraoperatively observed and the histology of the removed uteri were
evaluated for fertility capacity and procurement surgery. A questionnaire was
administered to explore their attitude towards uterus donation. Results: The mean
+/- standard deviation age was 28.5+/-5 years. The median duration of
testosterone supplementation was 2.4 years; therefore, they all had irregular
menstrual periods during this therapy. None had any previous abdominal surgery or
additional morbidity. The mean uterine volume was 138+/-48 cm3. No adenomyosis,
endometriosis, polyps, adhesions or uterine anomalies were either observed or
reported. Endometrial histology was reported as proliferative (58%), atrophic
(29%), and secretory (13%) pattern. Of the 31 transgender people, 30 (96.7%) had
a positive attitude; only one had no opinion at the beginning. After detailed
information about the procedure was given, 26 (84%) still wanted to volunteer for
donation, but 4 (12%) changed their opinion to negative (p=0.12, McNemar test).
Conclusion: The proposal of the FtM transgender population as uterus donor is a
hypothetical model that has not been experienced before. Nevertheless, our
experience revealed that the FtM transgender population would be good candidates
socially, legally, and biologically.
PMID- 29379667
TI - The efficacy of laparoscopic presacral neurectomy in dysmenorrhea: is it related
to the amount of excised neural tissue?
AB - Objective: To assess the correlation between the number of excised neural fibers
and degree of pain relief following laparoscopic presacral neurectomy (LPSN).
Materials and Methods: In this before and after study, 20 patients with severe
midline dysmenorrhea [Visual Analogue Scale (VAS) >80 mm] unresponsive to medical
therapy were consecutively enrolled. All patients underwent LPSN. The superior
hypogastric plexus was excised and sent for histologic confirmation. Two
pathologists counted the number of neural fibers in the surgically removed
tissue. VAS was used for pain assessment before and 2nd, 3rd, 6th, and 12th
months after the operations. Results: Out of the initial 20 patients undergoing
LPSN, eight were excluded from the final analysis due to intraoperative diagnosis
of endometriosis; therefore, the remaining 12 patients were evaluated. The pain
scores significantly decreased at each follow-up visit compared with the
preoperative period (p=0.002). The pathologists, who were blinded, reported the
median (minimum-maximum) neural fiber count as 46 (20-85) and 47 (18-83). No
significant correlation was demonstrated between the number of excised neural
fibers and the amount of pain relief following LPSN. Conclusion: LPSN is an
effective surgical procedure to control primary dysmenorrhea. Our preliminary
results revealed that the degree of pain relief in cases of severe midline
dysmenorrhea was not related to the amount of excised neural tissue in LPSN.
PMID- 29379668
TI - Sleep quality of endometrial cancer survivors and the effect of treatments.
AB - Objective: Sleep disorders affect 54.9% of gynaecologic cancer survivors. The
effect of treatment methods on sleep quality is not clear. This study evaluated
the sleep quality of survivors of endometrial cancer and compared the effects of
different treatments on sleep quality. Materials and Methods: Patients were
categorised as surgery (group 1), surgery + brachytherapy (BRT) (group 2),
surgery + external beam radiation therapy (EBRT) (group 3), and surgery + EBRT +
BRT + chemotherapy (group 4). Sleep quality was assessed using the Pittsburgh
Sleep Quality Index (PSQI) questionnaire. The PSQI was completed by the
participants before surgery, 1, 3, and 6 months after each treatment was
completed. The PSQI scores were compared between the different measurement times
and different study groups. Results: This study enrolled 114 patients with a mean
age of 58.1+/-11 years. The number of participants in each group was 53 (46.5%),
14 (12.3%), 12 (10.5%), and 35 (30.7%), respectively. At baseline, 28 (24.6%)
patients reported poor sleep quality. The mean PSQI score reached the maximum
level at the second measurement and decreased slightly during follow-up and the
change in the PSQI score was significant (p=0.001). Group 3 and group 4 had
significantly higher scores from baseline (p<0.008). At time point 3, the
differences between the groups were significant. At time point 4, the most
prominent effect of treatment on sleep quality was observed in patients with
combined chemo-radiotherapy when compared with the other study groups.
Conclusion: Most survivors of endometrial cancer are affected by poor sleep
quality during their treatment. To improve these patients' quality of life, this
disorder must be considered at each visit and tailored care plans should be
developed to meet the women's needs. Further studies are needed to evaluate the
long-term results of sleep quality on patients with endometrial cancer.
PMID- 29379669
TI - Molar pregnancy in cesarean section scar: A case report.
AB - Cesarean scar ectopic pregnancies and molar pregnancies are two very rare
obstetric pathologies. In both cases, serious morbidities are involved that
require careful management. The coexistence of the two clinical conditions is far
less common and there are a limited number of cases in the literature. In this
case report, a 34-year-old patient with previous cesarean section was diagnosed
as having a molar pregnancy in a cesarean scar through ultrasonography. The
patient was asymptomatic at that time. Ultrasonography revealed a protruding mass
at the cesarean section and her human chorionic gonadotropin level was measured
as 59.705 mIU/mL. Due to the risk of severe bleeding, cesarean section scar
excision and revision were performed via laparotomy after counselling the
patient. Removal of all trophoblastic tissue was observed as a result of the
frozen pathology and the operation was terminated. After the definite pathology
result came as a complete molar pregnancy, the patient was followed up according
to molar pregnancy follow-up protocols and cured completely. Despite the
alternative treatment options (methotrexate application, curettage, uterine
artery embolization) in such patients, the decision for surgery was made after
counselling the patient. In this very rare clinical condition, patients should be
closely monitored and the appropriate treatment option should be applied as soon
as possible, taking into consideration the bleeding risks of both pathologies.
PMID- 29379670
TI - Uterine rupture in pregnancy subsequent to hysteroscopic surgery: A case series.
AB - Uterine rupture during pregnancy is associated with high mortality and morbidity
rates in both the fetus and the mother. Hysteroscopic surgeries such as
myomectomy and septum resection are known risk factors for uterine rupture in
pregnancy following the operation. We present four infertile patients who were
admitted to Kocaeli Medical Park Hospital between February 2014 and November
2016. Three of the patients underwent hysteroscopic septum resection without
complication and one had hysteroscopic myomectomy and a 7-8 mm sized rupture was
detected. All of the patients became pregnant in less than a year after the
operations. The first three patients had uterine rupture at 22nd, 38th, and 10th
week, which is the earliest rupture in the literature. The last patient had an
uneventful pregnancy and the rupture was observed during cesarean section. A
short interval between hysteroscopy and pregnancy may increase the risk of
rupture. It may be possible to become pregnant despite rupture and not have any
problems during the entire pregnancy.
PMID- 29379671
TI - Fertility preservation in male patients subjected to chemotherapy; innovative
approaches for further progress.
AB - About 4% of male patients with cancer are under the age of 35 years. With the
current increase in efficacy and safety of therapies, a growing number of young
adults can achieve long-term survival. In male patients receiving systemic
chemotherapy and or bone marrow transplantation, a permanent loss of fertility is
a common adverse effect. The only possibility to preserve the patient's fertility
is to spare the gametes or gamete-forming cells from the chemotherapeutic effect.
In adults, this can be achieved by the cryopreservation of spermatozoa with the
subsequent application of assisted reproductive technology. Sperm
cryopreservation is currently performed using slow-rate cryopreservation as a
standard method, in which sperm cells are incubated with a cryoprotective medium
and slowly subjected to hypothermia in liquid nitrogen (LN) vapor before they are
placed in LN. Another technique called vitrification relies on the direct
placement of the cells into LN, after being suspended in a vitrification medium.
Many studies compared the clinical outcomes of both techniques and revealed
equivalent results. This paper sheds light on some innovative approaches for
further progress.
PMID- 29379672
TI - Series Pneumatic Artificial Muscles (sPAMs) and Application to a Soft Continuum
Robot.
AB - We describe a new series pneumatic artificial muscle (sPAM) and its application
as an actuator for a soft continuum robot. The robot consists of three sPAMs
arranged radially round a tubular pneumatic backbone. Analogous to tendons, the
sPAMs exert a tension force on the robot's pneumatic backbone, causing bending
that is approximately constant curvature. Unlike a traditional tendon driven
continuum robot, the robot is entirely soft and contains no hard components,
making it safer for human interaction. Models of both the sPAM and soft continuum
robot kinematics are presented and experimentally verified. We found a mean
position accuracy of 5.5 cm for predicting the end-effector position of a 42 cm
long robot with the kinematic model. Finally, closed-loop control is demonstrated
using an eye-in-hand visual servo control law which provides a simple interface
for operation by a human. The soft continuum robot with closed-loop control was
found to have a step-response rise time and settling time of less than two
seconds.
PMID- 29379673
TI - "If You Are Old Enough to Die for Your Country, You Should Be Able to Get a Pinch
of Snuff": Views of Tobacco 21 Among Appalachian Youth.
PMID- 29379674
TI - Clinical tolerability of generic versus brand beta blockers in heart failure with
reduced left ventricular ejection fraction: a retrospective cohort from heart
failure clinic.
AB - Background: Beta-blockers have been shown to decrease mortality and morbidity in
heart failure with reduced ejection fraction (HFrEF) patients. However, the side
effects are also dose-related, leading to the underdosing. Cost constraint may be
one of the limitations of appropriate beta-blocker use; this can be improved with
generic drugs. However, the effects in real life practice have not been
investigated. Methods and results: This study aimed to compare the efficacy and
safety of generic and brand beta-blockers in HFrEF patients. We performed a
retrospective cohort analysis in HFrEF patients who received either generic or
brand beta-blocker in Chiang Mai Heart Failure Clinic. The primary endpoint was
the proportion of patients who received at least 50% target dose of beta-blocker
between generic and brand beta-blockers. Adverse events were secondary endpoints.
217 patients (119 and 98 patients received generic and brand beta-blocker,
respectively) were enrolled. There were no differences between groups regarding
age, gender, etiology of heart failure, New York Heart Association (NYHA)
functional class, left ventricular ejection fraction (LVEF), rate of receiving
angiotensin converting enzyme inhibitor (ACEI), angiotensin recepter blocker
(ARB), or spironolactone. Patients receiving brand beta-blockers had lower
resting heart rate at baseline (74.9 and 84.2 bpm, p = .001). Rate of achieved
50% target dose and target daily dose did not differ between groups (40.4 versus
44.5% and 48.0 versus 55.0%, p > .05, respectively). Rate of side effects was not
different between groups (32.3 versus 29.5%, p > .05) and the most common side
effect was hypotension. Conclusion: This study demonstrated that beta-blocker
tolerability was comparable between brand and generic formulations. Generic or
brand beta-blockers should be prescribed to HFrEF patients who have no
contraindications.
PMID- 29379676
TI - Letter from the Editor.
PMID- 29379677
TI - Letter from the Editor.
PMID- 29379675
TI - The Online OurRelationship Program for Relationally Distressed Individuals: A
Pilot Randomized Controlled Trial.
AB - Relationship distress has deleterious effects on mental health, physical health,
and quality of life. Although many couples report relationship distress, one
barrier to seeking services is that one member of a couple may be too busy or
refuse to participate. Relationship interventions offered to individuals have
shown promising efficacy, but, as most are offered in-person, barriers to their
reach remain. To increase the reach of such interventions, the present pilot
study examined the efficacy of a fully web-based relationship intervention for
individuals (OR-I). The program was adapted from the couple version of the
OurRelationship program (OR-C), which is effective in improving relationship and
individual functioning (Doss et al., 2016). Results indicated that couples
randomized to the OR-I program, compared to couples in a waitlist control group,
saw significant improvement in quality of life (d = 0.69), work functioning (d =
0.44), and perceived health (d = 0.49) during treatment. Furthermore, gains in
quality of life and perceived health for the intervention group were maintained
over short-term follow-up. However, there were no significant improvements in
relationship functioning or symptoms of depression or anxiety. When comparing the
efficacy of OR-I and a demographically-matched subsample of OR-C, results showed
that change in outcomes did not significantly differ by program. Overall, with
some adaptations, OR-I may be a viable option for individuals seeking
relationship help. Limitations, potential adaptations, and future directions are
discussed in-depth.
PMID- 29379678
TI - Letter from the Editor.
PMID- 29379679
TI - Letter from the Editor.
PMID- 29379681
TI - Letter from the Editor.
PMID- 29379680
TI - Letter from the Editor.
PMID- 29379682
TI - Species identification by conservation practitioners using online images:
accuracy and agreement between experts.
AB - Emerging technologies have led to an increase in species observations being
recorded via digital images. Such visual records are easily shared, and are often
uploaded to online communities when help is required to identify or validate
species. Although this is common practice, little is known about the accuracy of
species identification from such images. Using online images of newts that are
native and non-native to the UK, this study asked holders of great crested newt
(Triturus cristatus) licences (issued by UK authorities to permit surveying for
this species) to sort these images into groups, and to assign species names to
those groups. All of these experts identified the native species, but agreement
among these participants was low, with some being cautious in committing to
definitive identifications. Individuals' accuracy was also independent of both
their experience and self-assessed ability. Furthermore, mean accuracy was not
uniform across species (69-96%). These findings demonstrate the difficulty of
accurate identification of newts from a single image, and that expert judgements
are variable, even within the same knowledgeable community. We suggest that
identification decisions should be made on multiple images and verified by more
than one expert, which could improve the reliability of species data.
PMID- 29379683
TI - Breeding and multiple waves of primary molt in common ground doves of coastal
Sinaloa.
AB - For adult Common Ground Doves from Sinaloa we demonstrate that the primaries are
a single molt series, which sometimes feature two (in one case three) waves of
feather replacement. Such stepwise primary replacement is found in many large
birds but, at 40 g, this dove is much the smallest species reported to have
multiple waves of replacement proceeding through its primaries simultaneously.
Pre-breeding juvenile Common Ground Doves never feature two waves of primary
replacement. Juveniles usually have more than two adjacent feathers growing
simultaneously and replace their primaries in about 100 days. In contrast adults,
which extensively overlap molt and breeding, usually grow just a single primary
at a time, and require at least 145 days to replace their primaries. Molt arrests
are thought to drive the generation of new waves of primary replacement in a
diversity of large birds. For adult Common Ground Doves, we found molt arrests to
be strongly associated with active crop glands, suggesting that the demands of
parental care cause arrests in primary replacement in this dove. For those adults
with two primary molt waves, initiation of an inner wave was most frequently
observed once the outer wave had reached P10. Thus, unlike reports for large
birds, Common Ground Doves usually suppress the initiation of a new wave of molt
starting at P1 when the preceding wave arrests before reaching the distal
primaries. This assures that relatively fresh inner primaries are not replaced
redundantly, overcoming a serious flaw in stepwise molting in large birds
(Rohwer, 1999).
PMID- 29379684
TI - Characterization of sympatric Platanthera bifolia and Platanthera chlorantha
(Orchidaceae) populations with intermediate plants.
AB - Platanthera bifolia and P. chlorantha are terrestrial and rewarding orchids with
a wide Eurasian distribution. Although genetically closely related, they exhibit
significant morphological, phenological and ecological differences that maintain
reproductive isolation between the species. However, where both species co-occur,
individuals with intermediate phenotypic traits, often considered as hybrids, are
frequently observed. Here, we combined neutral genetic markers (AFLPs),
morphometrics and floral scent analysis (GC-MS) to investigate two mixed
Platanthera populations where morphologically intermediate plants were found.
Self-pollination experiments revealed a low level of autogamy and artificial
crossings combined with assessments of fruit set and seed viability, showed
compatibility between the two species. The results of the genetic analyses showed
that morphologically intermediate plants had similar genetic patterns as the P.
bifolia group. These results are corroborated also by floral scent analyses,
which confirmed a strong similarity in floral scent composition between
intermediate morphotypes and P. bifolia. Therefore, this study provided a much
more detailed picture of the genetic structure of a sympatric zone between two
closely allied species and supports the hypothesis that intermediate morphotypes
in sympatry could reflect an adaptive evolution in response to local pollinator
mediated selection.
PMID- 29379685
TI - Molecular phylogenetics of swimming crabs (Portunoidea Rafinesque, 1815) supports
a revised family-level classification and suggests a single derived origin of
symbiotic taxa.
AB - Portunoidea is a diverse lineage of ecologically and economically important
marine crabs comprising 8 families and 14 subfamilies. Closely related portunid
subfamilies Caphyrinae and Thalamitinae constitute some of this group's greatest
morphological and taxonomic diversity, and are the only known lineages to include
symbiotic taxa. Emergence of symbiosis in decapods remains poorly studied and
portunoid crabs provide an interesting, but often overlooked example. Yet the
paucity of molecular phylogenetic data available for Portunoidea makes it
challenging to investigate the evolution and systematics of the group.
Phylogenetic analyses, though limited, suggest that many putative portunoid taxa
are para- or polyphyletic. Here I augment existing molecular data-significantly
increasing taxon sampling of Caphyrinae, Thalamitinae, and several disparate
portunoid lineages-to investigate the phylogenetic origin of symbiosis within
Portunoidea and reevaluate higher- and lower-level portunoid classifications.
Phylogenetic analyses were carried out on sequences of H3, 28S rRNA, 16S rRNA,
and CO1 for up to 168 portunoid taxa; this included, for the first time,
molecular data from the genera Atoportunus, Brusinia, Caphyra, Coelocarcinus,
Gonioinfradens, Raymanninus, and Thalamonyx. Results support the placement of all
symbiotic taxa (Caphyra, Lissocarcinus, and two Thalamita) in a single clade
derived within the thalamitine genus Thalamita. Caphyrina Paulson, 1875, nom.
trans. is recognized here as a subtribe within the subfamily Thalamitinae.
Results also support the following taxonomic actions: Cronius is reclassified as
a thalamitine genus; Thalamonyx is reestablished as a valid genus; Goniosupradens
is raised to the generic rank; and three new genera (Zygita gen. nov., Thranita
gen. nov., and Trierarchus gen. nov.) are described to accommodate some Thalamita
s.l. taxa rendered paraphyletic by Caphyrina. A new diagnosis of Thalamitinae is
provided. Results also support a more conservative classification of Portunoidea
comprising three instead of eight extant families: Geryonidae (Geryonidae +
Ovalipidae; new diagnosis provided), Carcinidae (Carcinidae + Pirimelidae +
Polybiidae + Thiidae + Coelocarcinus; new diagnosis provided) and Portunidae.
Finally, 16s rRNA data suggests family Brusiniidae might not be a portunoid
lineage.
PMID- 29379686
TI - Genomic signal processing for DNA sequence clustering.
AB - Genomic signal processing (GSP) methods which convert DNA data to numerical
values have recently been proposed, which would offer the opportunity of
employing existing digital signal processing methods for genomic data. One of the
most used methods for exploring data is cluster analysis which refers to the
unsupervised classification of patterns in data. In this paper, we propose a
novel approach for performing cluster analysis of DNA sequences that is based on
the use of GSP methods and the K-means algorithm. We also propose a visualization
method that facilitates the easy inspection and analysis of the results and
possible hidden behaviors. Our results support the feasibility of employing the
proposed method to find and easily visualize interesting features of sets of DNA
data.
PMID- 29379687
TI - EU protected area network did not prevent a country wide population decline in a
threatened grassland bird.
AB - Background: Few studies have assessed the effectiveness of the Protected Area
networks on the conservation status of target species. Here, we assess the
effectiveness of the Portuguese Natura 2000 (the European Union network of
protected areas) in maintaining a species included in the Annex I of the Bird
Directive, namely the population of a priority farmland bird, the little bustard
Tetrax tetrax. Methods: We measured the effectiveness of the Natura 2000 by
comparing population trends across time (2003-2006 and 2016) in 51 areas, 21 of
which within 12 Special Protection Areas (SPA) that were mostly designated for
farmland bird conservation and another 30 areas without EU protection. Results:
Overall, the national population is estimated to have declined 49% over the last
10-14 years. This loss was found to be proportionally larger outside SPA (64%
decline) compared to losses within SPA (25% decline). However, the absolute male
density decline was significantly larger within SPA . Discussion: In spite of
holding higher population densities and having prevented habitat loss, we
conclude that Natura 2000 was not effective in buffering against the overall
bustard population decline. Results show that the mere designation of SPA in
farmland is not enough to secure species populations and has to be combined with
agricultural policies and investment to maintain not only habitat availability
but also habitat quality.
PMID- 29379688
TI - Are pumas subordinate carnivores, and does it matter?
AB - Background: Interspecific competition affects species fitness, community
assemblages and structure, and the geographic distributions of species.
Established dominance hierarchies among species mitigate the need for fighting
and contribute to the realized niche for subordinate species. This is especially
important for apex predators, many of which simultaneous contend with the costs
of competition with more dominant species and the costs associated with human
hunting and lethal management. Methods: Pumas are a widespread solitary felid
heavily regulated through hunting to reduce conflicts with livestock and people.
Across their range, pumas overlap with six apex predators (gray wolf, grizzly
bear, American black bear, jaguar, coyote, maned wolf), two of which (gray wolf,
grizzly bear) are currently expanding in North America following recovery
efforts. We conducted a literature search to assess whether pumas were
subordinate or dominant with sympatric apex predators, as well as with three
felid mesocarnivores with similar ecology (ocelot, bobcat, Canada lynx). We also
conducted an analysis of the spatial distributions of pumas and their dominant
sympatric competitors to estimate in what part of their range, pumas are dominant
versus subordinate. Results: We used 64 sources to assess dominance among pumas
and other apex predators, and 13 sources to assess their relationships with felid
mesocarnivores. Evidence suggested that wolves, grizzly bears, black bears, and
jaguars are dominant over pumas, but that pumas are dominant over coyotes and
maned wolves. Evidence suggested that pumas are also dominant over all three
felid mesocarnivores with which they share range. More broadly, pumas are
subordinate to at least one other apex carnivore in 10,799,252 (47.5%) of their
22,735,268 km2 range across North and South America. Discussion: Subordinate
pumas change their habitat use, suffer displacement at food sources, likely
experience increased energetic demands from harassment, exhibit increased
starvation, and are sometimes directly killed in competitive interactions with
dominant competitors. Nevertheless, we lack research clearly linking the costs of
competition to puma fitness. Further, we lack research that assesses the
influence of human effects simultaneous with the negative effects of competition
with other sympatric carnivores. Until the time that we understand whether
competitive effects are additive with human management, or even potentially
synergistic, we encourage caution among managers responsible for determining
harvest limits for pumas and other subordinate, apex carnivores in areas where
they are sympatric with dominant species. This may be especially important
information for managers working in regions where wolves and brown bears are
recolonizing and recovering, and historic competition scenarios among multiple
apex predators are being realized.
PMID- 29379689
TI - Molecular and serological dynamics of Chlamydia pecorum infection in a
longitudinal study of prime lamb production.
AB - Background: Chlamydia pecorum is a globally significant livestock pathogen
causing pathology and production losses. The on-farm infection and serological
dynamics and the relevance of existing diagnostic tools for diagnosing C. pecorum
in livestock remains poorly characterized. In this study, we characterized the
antigen and antibody dynamics of this pathogen in a longitudinal study of prime
lamb production, utilizing the infection focused C. pecorum-specific 16S rRNA
qPCR assay and serology based chlamydial Complement fixation Test (CFT). Methods:
The study consisted of 76 Border Leicester mixed sex lambs (39 females and 37
males) that were sampled bimonthly from 2-10 months of age in a commercial farm
operating in Central NSW, Australia. Blood/plasma was analysed for CFT
antibodies, and swabs from conjunctival, rectal and vaginal sites were analysed
for C. pecorum shedding using qPCR. We assessed the temporal and overall dynamics
of C. pecorum in lambs, including detailed description and comparison of qPCR and
CFT, the timing of first detection by either diagnostic method, the lag between
infection and antibody response; and the distribution of qPCR load and CFT
antibody titre over time. Results: Over the study period, C. pecorum was highly
prevalent (71.0% by qPCR, 92.1% by CFT, 96.0% by both), with 21.1% (16/76) lambs
shedding >=1, 000 qPCR copies/ul (denoted as high shedders). C. pecorum shedding
(as evidence of infection) were first observed at two months of age (14.4%) with
a significant peak of infection occurring at six months of age (34.2%), whereas
seroconversions peaked at eight months of age (81.5%). 52.6% of C. pecorum qPCR
and CFT positive lambs became qPCR negative by 10 months of age, indicating
clearance of chlamydial infection. Although CFT is utilised for on-farm detection
of active infection, we confirm that it lagged behind qPCR detection (average lag
1.7 +/- 2.1 months) and that the proportion of qPCR positives simultaneously
identified by CFT was low with 2/11 (18.1%), 0/13, 17/25 (68.0%), 5/7 (71.4%) and
1/10 (10.0%) concurrent seroconversions occurring at two, four, six, eight and 10
months of age, respectively. Discussion: This work reveals rapid rates of C.
pecorum infection and widespread exposure during lamb production. The comparison
of molecular and serological diagnostic agreement longitudinally, supports the
use of qPCR as an important ancillary tool for the detection of active infections
in conjunction with chlamydial CFT for routine veterinary diagnostics.
Development of rapid Point-of-Care (POC) tools for diagnosing active infection
would be valuable for producers and veterinarians.
PMID- 29379691
TI - Isolation and culture of primary adult skin fibroblasts from the Asian elephant
(Elephas maximus).
AB - Background: Primary cultures from Asian elephants (Elephas maximus) allow
scientists to obtain representative cells that have conserved most of their
original characteristics, function, physiology and biochemistry. This technique
has thus gained significant importance as a foundation for further cellular, cell
biology and molecular research. Therefore, the aim of this study was to describe
conditions for the successful establishment of primary adult fibroblasts from
Asian elephant carcasses. Methods: Ear tissue sample collection from Asian
elephant carcasses and our recommendations are given. We describe here a simple
modified protocol for successful isolation and maintenance of primary adult
fibroblasts from elephant ear skin. Ear samples from each individual (five 3 * 3
cm2 pieces) were brought to the laboratory within 3 h after collection, kept in
transportation medium at 0-4 degrees C. The ear tissues were prepared by a
combination of 10% collagenase type II digestion procedure together with a simple
explant procedure. Primary fibroblasts were cultured at 37 degrees C in
Dulbecco's modified Eagle's medium (DMEM) with 20% fetal calf serum (FCS) in a
humidified atmosphere containing 5% CO2. After the third passage, fibroblasts
were routinely trypsinized with 0.25% trypsin/EDTA and cultured in DMEM with 10%
FCS at 37 degrees C and 5% CO2. Traditional cell counting method was used to
measure cell viability and growth curve. Long-term storage of cells used freezing
medium consisting of 40% FCS (v/v). Results: We explored the most suitable
conditions during sample collection (post-mortem storage time and sample storage
temperature), which is the most important step in determining primary outgrowth.
Our study successfully established and cultured primary adult skin fibroblasts
obtained from post-mortem E. maximus ear skin tissues from six carcasses, with a
success rate of around 83.3%. Outgrowth could be seen 4-12 days after
explantation, and epithelial-like cells were found after 4-7 days of culture,
while fibroblasts appeared at around day 7-10. The fibroblasts had viability and
post-freezing recovery rates of around 97.3 +/- 4.3% and 95.5 +/- 7.3%,
respectively, and doubling time was about 25 h (passage 6). Discussion: To our
knowledge, this report is the first to describe primary cell cultures derived
from adult Asian elephant skin. Future studies should benefit from the
information and useful suggestions herein, which may be used as a standard method
for establishing primary skin fibroblast cultures in future experiments.
PMID- 29379692
TI - Historical baselines of coral cover on tropical reefs as estimated by expert
opinion.
AB - Coral reefs are important habitats that represent global marine biodiversity
hotspots and provide important benefits to people in many tropical regions.
However, coral reefs are becoming increasingly threatened by climate change,
overfishing, habitat destruction, and pollution. Historical baselines of coral
cover are important to understand how much coral cover has been lost, e.g., to
avoid the 'shifting baseline syndrome'. There are few quantitative observations
of coral reef cover prior to the industrial revolution, and therefore baselines
of coral reef cover are difficult to estimate. Here, we use expert and ocean-user
opinion surveys to estimate baselines of global coral reef cover. The overall
mean estimated baseline coral cover was 59% (+/-19% standard deviation), compared
to an average of 58% (+/-18% standard deviation) estimated by professional
scientists. We did not find evidence of the shifting baseline syndrome, whereby
respondents who first observed coral reefs more recently report lower estimates
of baseline coral cover. These estimates of historical coral reef baseline cover
are important for scientists, policy makers, and managers to understand the
extent to which coral reefs have become depleted and to set appropriate recovery
targets.
PMID- 29379690
TI - Tissue material properties and computational modelling of the human tibiofemoral
joint: a critical review.
AB - Understanding how structural and functional alterations of individual tissues
impact on whole-joint function is challenging, particularly in humans where
direct invasive experimentation is difficult. Finite element (FE) computational
models produce quantitative predictions of the mechanical and physiological
behaviour of multiple tissues simultaneously, thereby providing a means to study
changes that occur through healthy ageing and disease such as osteoarthritis
(OA). As a result, significant research investment has been placed in developing
such models of the human knee. Previous work has highlighted that model
predictions are highly sensitive to the various inputs used to build them,
particularly the mathematical definition of material properties of biological
tissues. The goal of this systematic review is two-fold. First, we provide a
comprehensive summation and evaluation of existing linear elastic material
property data for human tibiofemoral joint tissues, tabulating numerical values
as a reference resource for future studies. Second, we review efforts to model
tibiofemoral joint mechanical behaviour through FE modelling with particular
focus on how studies have sourced tissue material properties. The last decade has
seen a renaissance in material testing fuelled by development of a variety of new
engineering techniques that allow the mechanical behaviour of both soft and hard
tissues to be characterised at a spectrum of scales from nano- to bulk tissue
level. As a result, there now exists an extremely broad range of published values
for human tibiofemoral joint tissues. However, our systematic review highlights
gaps and ambiguities that mean quantitative understanding of how tissue material
properties alter with age and OA is limited. It is therefore currently
challenging to construct FE models of the knee that are truly representative of a
specific age or disease-state. Consequently, recent tibiofemoral joint FE models
have been highly generic in terms of material properties even relying on non
human data from multiple species. We highlight this by critically evaluating
current ability to quantitatively compare and model (1) young and old and (2)
healthy and OA human tibiofemoral joints. We suggest that future research into
both healthy and diseased knee function will benefit greatly from a subject- or
cohort-specific approach in which FE models are constructed using material
properties, medical imagery and loading data from cohorts with consistent
demographics and/or disease states.
PMID- 29379693
TI - Do patients with chronic unilateral orofacial pain due to a temporomandibular
disorder show increased attending to somatosensory input at the painful side of
the jaw?
AB - Background: Patients with chronic orofacial pain due to temporomandibular
disorders (TMD) display alterations in somatosensory processing at the jaw, such
as amplified perception of tactile stimuli, but the underlying mechanisms remain
unclear. This study investigated one possible explanation, namely hypervigilance,
and tested if TMD patients with unilateral pain showed increased attending to
somatosensory input at the painful side of the jaw. Methods: TMD patients with
chronic unilateral orofacial pain (n = 20) and matched healthy volunteers (n =
20) performed a temporal order judgment (TOJ) task indicated which one of two
tactile stimuli, presented on each side of the jaw, they had perceived first. TOJ
methodology allows examining spatial bias in somatosensory processing speed.
Furthermore, after each block of trials, the participants rated the perceived
intensity of tactile stimuli separately for both sides of the jaw. Finally,
questionnaires assessing pain catastrophizing, fear-avoidance beliefs, and pain
vigilance, were completed. Results: TMD patients tended to perceive tactile
stimuli at the painful jaw side as occurring earlier in time than stimuli at the
non-painful side but this effect did not reach conventional levels of
significance (p = .07). In the control group, tactile stimuli were perceived as
occurring simultaneously. Secondary analyses indicated that the magnitude of
spatial bias in the TMD group is positively associated with the extent of fear
avoidance beliefs. Overall, intensity ratings of tactile stimuli were
significantly higher in the TMD group than in the control group, but there was no
significant difference between the painful and non-painful jaw side in the TMD
patients. Discussion: The hypothesis that TMD patients with chronic unilateral
orofacial pain preferentially attend to somatosensory information at the painful
side of the jaw was not statistically supported, although lack of power could not
be ruled out as a reason for this. The findings are discussed within recent
theories of pain-related attention.
PMID- 29379694
TI - A robust AFM-based method for locally measuring the elasticity of samples.
AB - Investigation of the local sample elasticity is of high importance in many
scientific domains. In 2014, Herruzo et al. published a new method based on
frequency-modulation atomic force microscopy to locally determine the elasticity
of samples (Nat. Commun.2014, 5, 3126). This method gives evidence for the
linearity of the relation between the frequency shift of the cantilever first
flexural mode Deltaf1 and the square of the frequency shift of the second
flexural mode Deltaf22. In the present work, we showed that a similar linear
relation exists when measuring in contact mode with a certain load FN and propose
a new method for determining the elastic modulus of samples from this relation.
The measurements were performed in non-dry air at ambient temperature on three
different polymers (polystyrene, polypropylene and linear low-density
polyethylene) and a self-assembled monolayer of 1H,1H,2H,2H
perfluorodecyltrichlorosilane (FDTS) on a silicon oxide substrate perforated with
circular holes prepared by polymer blend lithography. For all samples the
relation was evidenced by recording Deltaf1, Deltaf2 and FN as a function of the
Z-displacement curves of the piezoelectric scanner. The occurence of a plastic
deformation followed by an elastic deformation is shown and explained. The
necessary load FN for measuring in the elastic domain was assessed for each
sample, used for mapping the frequency shifts Deltaf1 and Deltaf2 and for
determining the elastic modulus from Deltaf22/Deltaf1. The method was used to
give an estimate of the Young's modulus of the FDTS thin film.
PMID- 29379696
TI - Advances in nanocarbon composite materials.
PMID- 29379695
TI - Study of the vertically aligned in-plane switching liquid crystal mode in
microscale periodic electric fields.
AB - The ongoing interest in fast liquid crystal (LC) modes stimulated by display
technology and new applications has motivated us to study in detail the in-plane
switching (IPS) vertically aligned (VA) mode. We have studied how the decrease of
the period of the interdigitated electrodes (down to sub-micrometer scale)
influences the switching speed, especially the LC relaxation to the initial
homeotropic state. We have found that there are two types of the relaxation: a
fast relaxation caused by the surface LC sub-layer deformed in the vicinity of
the electrodes and the slower relaxation of the bulk LC. The speed of the fast
(surface) mode is defined by half of a period of the electrode grating, while the
relaxation time of the bulk depends on the LC layer thickness and the length of
the driving electric pulses. Thus, the use of the surface mode and the reduction
of the electrode grating period can result in significant increase of switching
speed compared to the traditional LC modes, where the bulk relaxation dominates
in electrooptical response. We have studied thoroughly the conditions defining
the surface mode applicability. The numerical simulations are in good agreement
with experimental measurements.
PMID- 29379697
TI - Gas-sensing behaviour of ZnO/diamond nanostructures.
AB - Microstructured single- and double-layered sensor devices based on p-type
hydrogen-terminated nanocrystalline diamond (NCD) films and/or n-type ZnO
nanorods (NRs) have been obtained via a facile microwave-plasma-enhanced chemical
vapour deposition process or a hydrothermal growth procedure. The morphology and
crystal structure of the synthesized materials was analysed with scanning
electron microscopy, X-ray diffraction measurements and Raman spectroscopy. The
gas sensing properties of the sensors based on i) NCD films, ii) ZnO nanorods,
and iii) hybrid ZnO NRs/NCD structures were evaluated with respect to oxidizing
(i.e., NO2, CO2) and reducing (i.e., NH3) gases at 150 degrees C. The hybrid ZnO
NRs/NCD sensor showed a remarkably enhanced NO2 response compared to the ZnO NRs
sensor. Further, inspired by this special hybrid structure, the simulation of
interaction between the gas molecules (NO2 and CO2) and hybrid ZnO NRs/NCD sensor
was studied using DFT calculations.
PMID- 29379699
TI - Nematic liquid crystal alignment on subwavelength metal gratings.
AB - We have studied the alignment of a nematic liquid crystal (LC) material on
aluminum subwavelength nanogratings as a function of the period, p, and the slit
width to period ratio, w/p. A method, based on Fourier analysis of the
transmittance spectra of the LC grating system, has been applied. We show that
the gratings provide stable planar alignment only for shorter periods and
narrower slits (p < 400 nm, w/p < 2/3). As these parameters increase, the
homogeneous surface alignment changes to domains with different tilt angles or to
spatially modulated alignment. We have also obtained a 90 degrees twisted LC
director distribution, implying sufficiently strong azimuthal LC anchoring at the
grating surface.
PMID- 29379698
TI - Review on optofluidic microreactors for artificial photosynthesis.
AB - Artificial photosynthesis (APS) mimics natural photosynthesis (NPS) to store
solar energy in chemical compounds for applications such as water splitting, CO2
fixation and coenzyme regeneration. NPS is naturally an optofluidic system since
the cells (typical size 10 to 100 um) of green plants, algae, and cyanobacteria
enable light capture, biochemical and enzymatic reactions and the related
material transport in a microscale, aqueous environment. The long history of
evolution has equipped NPS with the remarkable merits of a large surface-area-to
volume ratio, fast small molecule diffusion and precise control of mass transfer.
APS is expected to share many of the same advantages of NPS and could even
provide more functionality if optofluidic technology is introduced. Recently,
many studies have reported on optofluidic APS systems, but there is still a lack
of an in-depth review. This article will start with a brief introduction of the
physical mechanisms and will then review recent progresses in water splitting,
CO2 fixation and coenzyme regeneration in optofluidic APS systems, followed by
discussions on pending problems for real applications.
PMID- 29379700
TI - Transition from silicene monolayer to thin Si films on Ag(111): comparison
between experimental data and Monte Carlo simulation.
AB - Scanning tunneling microscopy (STM), Auger electron spectroscopy (AES) and low
energy electron diffraction have been used to follow the growth of Si films on
Ag(111) at various temperatures. Using a simple growth model, we have simulated
the distribution of film thickness as a function of coverage during evaporation,
for the different temperatures. In the temperature regime where multilayer
silicene has been claimed to form (470-500 K), a good agreement is found with AES
intensity variations and STM measurements within a Ag surfactant mediated growth,
whereas a model with multilayer silicene growth fails to reproduce the AES
measurements.
PMID- 29379701
TI - Response under low-energy electron irradiation of a thin film of a potential
copper precursor for focused electron beam induced deposition (FEBID).
AB - Background: Focused electron beam induced deposition (FEBID) allows for the
deposition of free standing material within nanometre sizes. The improvement of
the technique needs a combination of new precursors and optimized irradiation
strategies to achieve a controlled fragmentation of the precursor for leaving
deposited material of desired composition. Here a new class of copper precursors
is studied following an approach that probes some surface processes involved in
the fragmentation of precursors. We use complexes of copper(II) with amines and
perfluorinated carboxylate ligands that are solid and stable under ambient
conditions. They are directly deposited on the surface for studying the
fragmentation with surface science tools. Results: Infrared spectroscopy and high
resolution electron energy loss spectroscopy (HREELS) are combined to show that
the precursor is able to spontaneously lose amine ligands under vacuum. This loss
can be enhanced by mild heating. The combination of mass spectrometry and low
energy electron irradiation (0-15 eV) shows that full amine ligands can be
released upon irradiation, and that fragmentation of the perfluorinated ligands
is induced by electrons of energy as low as 1.5 eV. Finally, the cross section
for this process is estimated from the temporal evolution in the experiments on
electron-stimulated desorption (ESD). Conclusion: The release of full ligands
under high vacuum and by electron irradiation, and the cross section measured
here for ligands fragmentation allow one to envisage the use of the two
precursors for FEBID studies.
PMID- 29379702
TI - A Neonate with an Unusual Midline Defect and Cardiovascular Anomaly.
AB - We present a female neonate with a sternal cleft (SC) and additional aortic
aneurysm who presented with respiratory failure. Stabilization of the SC was
achieved by using the xyphoid process as an autologous graft bridging the upper
part of the SC. We conclude that a step-wise correction of the SC with the use of
an autologous graft may improve respiratory function, and should be considered
when complete surgical correction is not feasible.
PMID- 29379703
TI - Clinical features of three patients with paradoxical immune reconstitution
inflammatory syndrome associated with Talaromyces marneffei infection.
AB - Talaromyces marneffei infection is a major cause of death in HIV-infected
individuals in South and Southeast Asia. Talaromycosis immune reconstitution
inflammatory syndrome has not been well described. Here we report the clinical
features, management, and outcomes of three HIV-infected patients with
talaromycosis-associated paradoxical immune reconstitution inflammatory syndrome
in Ho Chi Minh City, Vietnam.
PMID- 29379704
TI - Paradoxical respiratory failure due to cryptococcal pneumonia after amphotericin
B treatment for HIV-associated cryptococcal meningitis.
AB - We present a 27-year-old lady with HIV-1 infection who died due to rapidly
worsening respiratory failure one day after commencing amphotericin B
deoxycholate therapy for cryptococcal meningitis. Chest x-ray appearances were
consistent with pneumocystis pneumonia but post mortem examination showed
evidence of severe necrotizing cryptococcal pneumonia. Cryptococcal pneumonia is
an underrecognized condition and should be considered in the differential of
patients with HIV-1 infection and low CD4 count who develop respiratory symptoms.
PMID- 29379705
TI - The important role of co-infections in patients with AIDS and progressive
disseminated histoplasmosis (PDH): A cohort from Colombia.
AB - A total of 23/45 (51%) patients with AIDS and histoplasmosis from Medellin,
Colombia had other infections. Tuberculosis was the most common (n = 16/23, 70%).
Pneumocystosis and cryptococcosis were found in three patients each (13%),
bacterial infection and cytomegalovirus occurred each in two patients (9%) while
toxoplasmosis, herpes virus and esophageal candidiasis were recorded in one
patient each (4%). Of all co-infected patients, 18/23 (78%) had one, four (17%)
had two and one (4%) had three additional opportunistic infections.
PMID- 29379706
TI - Histoplasmosis: An oral malignancy-like clinical picture.
AB - HIV-associated histoplasmosis is mainly misdiagnosed for granulomatous diseases,
such as tuberculosis. Nonetheless, malignancy-like lesions have been reported
sporadically in HIV-infected patients. Although the main reported lesions are
erosive or ulcerated, here a rare case of oral tumor is reported. This case
raises the awareness of this presentation, and the importance of accurate
identification in the laboratory. Performing systematic specific stains for
fungal elements and culture on tissue samples ensures accurate differential
diagnosis.
PMID- 29379707
TI - Arthroscopic Coracohumeral Ligament Release for Patients With Frozen Shoulder.
AB - Arthroscopic pancapsular release has been recommended for recalcitrant frozen
shoulder, but regaining range of motion has not been sufficient compared with the
unaffected side. There is no consensus about the reasons for these remaining
restrictions in range of motion, but residual capsular or connective tissue must
be considered a candidate. A thickened coracohumeral ligament at the rotator
interval has been reported as one of the most specific manifestations of frozen
shoulder. It covers wider portions of the subscapularis tendon, supraspinatus
tendon, and infraspinatus tendon than previously reported. We describe an
arthroscopic surgical technique for the release of the entire coracohumeral
ligament including the subscapularis and supraspinatus portions and the release
of the inferior and posterior parts of the glenohumeral ligament using the 7
o'clock portal. These procedures are essential and reliable methods to release
the entire joint capsule to regain full range of motion for frozen shoulder.
PMID- 29379708
TI - An Arthroscopic Knotless Technique for Anatomical Restoration of the Rotator Cuff
and Superior Capsule: The Double-Layer Cinch Bridge.
AB - Rotator cuff repairs are the most common procedures in shoulder surgery, but
still show long-term retear rates of up to 70%. Nonanatomic reconstruction is one
possible cause of repair failure. The rotator cuff histologically consists of 5
separate layers of which 2 are macroscopically identifiable: the superior or
tendinous layer and the inferior or capsule-ligamentous layer. In case of rotator
cuff tears, these layers are often retracted to different degrees. The
intraoperative detectable prevalence of rotator cuff delamination reaches up to
85%. Anatomical rotator cuff repair, which also includes restoration of the
layered structure, could re-establish native tendon morphology and thus
potentially decreases retear rates. The use of a knotless construct to avoid cuff
strangulation and maintaining tendon perfusion could further decrease the risk of
repair failure. Double-layer reconstructions are challenging and time consuming
because each layer needs to be penetrated separately. Only few studies reported
about double-layer reconstruction of the posterosuperior rotator cuff. This
Technical Note is the first to present an arthroscopic knotless transosseous
equivalent double-layer repair technique.
PMID- 29379709
TI - Diagnostic Evaluation of the Knee in the Office Setting Using Small-Bore Needle
Arthroscopy.
AB - Arthroscopy is currently the gold standard for diagnosing intra-articular knee
pathology. Magnetic resonance imaging (MRI) can be a clinical adjunct for
diagnosis; however, it is not without its shortcomings. Although highly accurate,
even advanced imaging misdiagnoses the condition in 1 in 14 patients with regard
to anterior cruciate ligament pathology. Previous studies have indicated that MRI
fails to identify meniscal pathology when one exists in 1 of every 10 cases, and
diagnoses pathology when pathology truly does not exist in 1 of every 5 patients.
In-office arthroscopy offers an alternative to formal diagnostic arthroscopy,
with reduced cost and risk of complications. This is a technique article that
discusses the use of small-bore needle arthroscopy in the office setting.
PMID- 29379710
TI - Laparoscopic Treatment of Pubic Symphysis Instability With Anchors and Tape
Suture.
AB - Patients with pubic symphysis instability who had failed nonoperative treatments
may benefit from surgical repair. This disease process is rare, most commonly
seen in postpartum women and athletes, and its surgical treatment is invasive and
nonphysiological. Currently described surgical interventions, although limited,
include plating, which provides an overly rigid construct with the risk of
failure and possibly poor long-term outcomes particularly in athletes, and
treatments such as curettage, more commonly used in the treatment of osteitis
pubis. An emerging option is minimally invasive laparoscopic fixation using
knotless anchors with a tape suture in a crisscross configuration. This possibly
allows more physiological movement of the pubic symphysis in a less invasive
manner. A detailed technical description and discussion of the technique are
provided.
PMID- 29379711
TI - Diversity and prevalence of hemoparasites of wading birds in southern Florida,
USA.
AB - Relatively few studies on hemoparasites have been conducted on wading birds in
the families Ardeidae and Threskiornithidae (order Pelecaniformes), especially in
the United States. In this study, we obtained baseline data on the prevalence and
genetic diversity of haemosporidian parasites in wading birds opportunistically
sampled from southern Florida, USA. We detected blood parasites in White Ibis
(Eudocimus albus), Glossy Ibis (Plegadis falcinellus), Green Heron (Butorides
virescens), and Roseate Spoonbill (Platalea ajaja) with several novel host
parasite relationships. Infected birds had low parasitemias (average 0.77%, range
0-4%) suggesting that infections were chronic. Despite the low sample sizes for
several of our sampled species, these data highlight the diversity of parasites
in this understudied group of birds and suggest that additional studies are
needed to investigate the potential impacts of these parasites on their health,
especially since southern Florida is becoming increasingly urbanized which can
alter parasite transmission or host susceptibility.
PMID- 29379712
TI - Ectoparasitic copepod infestation on a wild population of Neotropical catfish
Sciades herzbergii Bloch, 1794: Histological evidences of lesions on host.
AB - We analyzed the infestation of the attached copepod species Lepeophtheirus sp on
a wild population of Sciades herzbergii. The infestation and occurrence of
attached copepods were related to body size, maturity and sex of host and the
presence of lesions on fish skin were described. In 61 fish specimens (37 males
and 24 females), total of 218 ectoparasitic copepods, including 204 mature and 14
immature stages were found. Copepods were attached to different regions of fish
body without any regular pattern. The prevalence of infestation was 80.3% and
intensity between 1 and 15 copepods/fish. No significant differences were found
between sex or maturity and the presence of attached Lepeophtheirus sp. However,
a contingency table including both sex and maturity status, and the total number
of attached copepod per combined category showed a significant association. A
positive correlation was found between body length of fish and intensity of
infestation. Similarly, when comparing the infested fish according to group size,
we found more copepods on larger individual. Attached copepods were associated
with the presence of lesions visible to the naked eye. Histological analyses
showed changes in cell architecture when sections of copepod-free tissues and
attached copepods were compared.
PMID- 29379713
TI - Meerkats (Suricata suricatta), a new definitive host of the canid nematode
Angiostrongylus vasorum.
AB - Angiostronglyus vasorum is a cardiopulmonary nematode infecting mainly canids
such as dogs (Canis familiaris) and foxes (Vulpes vulpes). Natural infections
have also been reported in mustelids and red pandas (Ailurus fulgens fulgens). We
report the occurrence of natural A. vasorum infections in a group of captive
meerkats (Suricata suricatta), housed at a university facility in Switzerland. A.
vasorum first-stage larvae (L1) were initially identified in a pooled faecal
sample. Individual samples, investigated with the Baermann-Wetzel technique,
revealed that 41% (7/17) of the meerkats were infected, with ranges of 2-125 L1/g
faeces. PCR and sequencing of part of the ITS-2 region resulted in 100% identity
with A. vasorum. Infected animals did not show clinical signs. One meerkat died
two days after diagnosis. Upon necropsy one adult specimen was recovered;
histological examination of the lung revealed granulomatous pneumonia caused by
A. vasorum larvae and eggs as well as intima and media hyperplasia and isolated
arteriosclerosis of larger lung vessels. However, the cause of death was a spleen
rupture with associated blood loss. All meerkats were topically treated with 10
mg imidacloprid/2.5 mg moxidectin per animal, after which they became negative in
all follow up faecal examinations. Potential intermediate (gastropods) and
paratenic hosts (birds) were collected from within or outside the meerkats
enclosure. Gastropods were examined by PCR and bird samples by digestion. Four
out of 193 (2.1%) gastropod samples were positive for A. vasorum, whereas none of
the bird samples were positive. Meerkats, belonging to the Herpestidae, therefore
are suitable definitive hosts for A. vasorum, with production and excretion of
live L1. Meerkats kept in captivity in areas where A. vasorum is endemic and with
potential contact to intermediate hosts are at risk of infection. Regular faecal
examinations including Baermann-Wetzel technique should be considered.
PMID- 29379714
TI - Hemispherotomy for late post-traumatic super-refractory status epilepticus in an
adult.
PMID- 29379715
TI - State of the Journal 2018: HeartRhythm Case Reports.
PMID- 29379716
TI - Ectopic atrial tachycardia originating from right atrial appendage aneurysms in
children: Three case reports.
PMID- 29379717
TI - Cryoballoon pulmonary vein isolation and voltage mapping for symptomatic atrial
fibrillation 9 months after Watchman device implantation.
PMID- 29379718
TI - Peri-coronary sinus atrial flutter associated with prior slow pathway ablation.
PMID- 29379719
TI - Genotype-phenotype-guided medical and surgical intervention in long QT syndrome.
PMID- 29379720
TI - Clinical and electrophysiological features of respiratory cycle-dependent atrial
tachycardia: An analysis of three cases.
PMID- 29379721
TI - His bundle capture proximal to the site of bundle branch block: A novel pitfall
of the para-Hisian pacing maneuver.
PMID- 29379722
TI - A novel mapping technique to detect non-pulmonary vein triggers: A case report of
self-reference mapping technique.
PMID- 29379723
TI - Subcutaneous ICD lead position affects defibrillation threshold.
PMID- 29379724
TI - Impact of a novel algorithm designed to reduce T-wave oversensing with the
subcutaneous defibrillator in a patient with type I Brugada electrocardiogram.
PMID- 29379725
TI - Multipolar electrode spline embolization.
PMID- 29379726
TI - Disseminated Mycobacterium simiae infection in a non-immunosuppressed patient in
the USA.
PMID- 29379727
TI - Updates in prostate cancer detections and treatments - Messages from 2017 EAU and
AUA.
PMID- 29379728
TI - Current consensus and controversies on male LUTS/BPH (part two).
PMID- 29379729
TI - Commentary on "Solving the benign prostatic hyperplasia puzzle".
PMID- 29379730
TI - Innovative endoscopic enucleations of the prostate - Xie's Prostate Enucleations.
AB - In the past 2 decades, endoscopic enucleation of the prostate has become a safe
and effective surgical treatment for benign prostatic hyperplasia (BPH), with
comparable outcomes to traditional surgeries. Transurethral vapor enucleation and
resection of the prostate (TVERP), transurethral vapor enucleation of the
prostate (TVEP), and ultrasound-navigated TVEP (US-TVEP) are new, innovative
endoscopic enucleation procedures. These procedures are named Xie's Prostate
Enucleations (Xie's Procedures for short). Current clinical data indicate that
Xie's Procedures are safe and effective treatment options for patients with BPH,
especially for patients with larger prostates. Further prospective, randomized
clinical trials compared with traditional transurethral resection of prostate
(TURP) are still needed.
PMID- 29379731
TI - Underactive bladder: Pathophysiology and clinical significance.
AB - Underactive bladder (UAB) is a voiding disorder which generates disabling lower
urinary tract symptoms (LUTS) due to the inability to produce an effective
voiding contraction sufficient to empty the bladder. The underlying abnormality,
that is usually appreciated when performing urodynamic studies, has been defined
by the International Continence Society (ICS) as detrusor underactivity (DUA).
DUA is a common yet under-researched bladder dysfunction. The prevalence of DUA
in different patient groups suggests that multiple aetiologies are implicated.
Currently there is no effective therapeutic approach to treat this condition. An
improved understanding of the underlying mechanisms is needed to facilitate the
development of new advances in treatment. The purpose of this review is to
discuss the epidemiology, pathophysiology, common causes and risk factors
potentially leading to DUA; to aid in the appropriate diagnosis of DUA to
potentially improve treatment outcomes.
PMID- 29379732
TI - Update on minimally invasive surgery and benign prostatic hyperplasia.
AB - Transurethral resection of the prostate (TURP) became the gold standard surgical
treatment for benign prostatic obstruction without undergoing randomized
controlled trials against the predecessor standard in open suprapubic
prostatectomy. TURP has historically been associated with significant morbidity
and this has fuelled the development of minimally invasive surgical treatment
options. Improvements in perioperative morbidity for TURP has been creating an
ever increasing standard that must be met by any new technologies that are to be
compared to this gold standard. Over recent years, there has been the emergence
of novel minimally invasive treatments such as the prostatic urethral lift (PUL;
UroLift System), convective WAter Vapor Energy (WAVE; Rezum System), Aquablation
(AQUABEAM System), Histotripsy (Vortx Rx System) and temporary implantable
nitinol device (TIND). Intraprostatic injections (NX-1207, PRX-302, botulinum
toxin A, ethanol) have mostly been used with limited efficacy, but may be
suitable for selected patients. This review evaluates these novel minimally
invasive surgical options with special reference to the literature published in
the past 5 years.
PMID- 29379733
TI - The use of 5-alpha reductase inhibitors in the treatment of benign prostatic
hyperplasia.
AB - Benign prostatic hyperplasia (BPH) is characterized by an enlarged prostate,
lower urinary tract symptoms (LUTS), and a decreased urinary flow rate. Common in
older men, BPH is a progressive disease that can eventually lead to complications
including acute urinary retention (AUR) and the need for BPH-related surgery.
Both normal and abnormal prostate growth is driven by the androgen
dihydrotestosterone (DHT), which is formed from testosterone under the influence
of 5-alpha reductase. Thus, 5-alpha reductase inhibitors (5-ARIs) effectively
reduce the serum and intraprostatic concentration of DHT, causing an involution
of prostate tissue. Two 5-ARIs are currently available for the treatment of BPH
finasteride and dutasteride. Both have been demonstrated to decrease prostate
volume, improve LUTS and urinary flow rates, which ultimately reduces the risk of
AUR and BPH-related surgery. Therefore, either alone or in combination with other
BPH medications, 5-ARIs are a mainstay of BPH management.
PMID- 29379734
TI - Botulinum toxin and benign prostatic hyperplasia.
AB - Benign prostatic hyperplasia (BPH) is a clinical condition where lower urinary
tract symptoms are caused by both a physically obstructing prostate as well as
tight smooth muscles around the bladder outlet. Treatment of this condition with
botulinum toxin has been used since 2003, but this interest has somewhat died
down after two large randomized controlled trials (RCTs) showing equivalence of
results between their treatment and placebo arms. However, with review of animal
studies and unexplained exaggerated effect of the placebo arms of the two RCTs,
together with recent data of sustained benefits after 18 months of treatment, the
place of botulinum toxin in the BPH field is probably still present.
PMID- 29379735
TI - Laparoscopic adenomectomy in BPH - Does it have a role today?
AB - Symptomatic benign prostatic hyperplasia (BPH) is managed medically worldwide,
but transurethral resection of prostate (TURP) is the gold standard in refractory
cases. Holmium laser enucleation of prostate (HoLEP), laser vaporization are
other options which are widely practiced. However in larger glands which are more
than 80 g, open adenomectomy is still practiced. Laparoscopic adenomectomy is a
minimally invasive option in such circumstances. This article explains about
different techniques in minimally invasive adenomectomy and the role for this
procedure today. Laparoscopic simple prostatectomy (LSP) has a place in
symptomatic, larger prostatic adenoma in the hands of experienced laparoscopic
surgeons when open simple prostatectomy is needed.
PMID- 29379736
TI - Non-invasive evaluation of lower urinary tract symptoms (LUTS) in men.
AB - Lower urinary tract symptoms (LUTS) are common in males over the age of 40 years
old and are likely to increase with an aging population. Currently urodynamic
studies are the gold standard to determine the aetiology of voiding dysfunction
and LUTS. However, due to its invasive nature, a great number of non-invasive
ultrasound based investigations have been developed to assess patients with
symptomatic LUTS. The clinical application of non-invasive tests could
potentially stratify patients who would require more invasive investigations and
allow more precise patient directed treatment. A PubMed literature review was
performed and we will discuss the non-invasive investigations that have been
developed thus far, focusing on bladder wall and detrusor wall thickness (BWT &
DWT), ultrasound estimated bladder weight (UEBW) and intravesical prostatic
protrusion (IPP).
PMID- 29379737
TI - Bipolar transurethral enucleation and resection of the prostate: Whether it is
ready to supersede TURP?
AB - Objective: According to the EAU Guidelines, transurethral resection of the
prostate (TURP) has so far still been considered as the gold standard for
surgical treatment for patients with obstructing clinical benign prostate
hyperplasia (BPH). However, its relatively high rate of complications and
postoperative recurrence necessitates further modification and innovation on the
surgery technique. We reported the patient outcomes with our technique. Methods:
We retrospectively analyzed 52 patients with obstructing clinical BPH who
underwent bipolar transurethral enucleation and resection of the prostate (B
TUERP) between March 2015 and September 2015. Pre- and perioperative parameters
were obtained from medical charts. Postoperative follow-ups were administrated at
1, 3, 6, 12 and 24 month(s) after surgery, respectively. Results: All the
operations were performed successfully with a mean operative time of 43.1 min and
an average tissue removal rate of 74.7%. Qmax was significantly improved
immediately after surgery, followed by a continuous improvement throughout the
follow-ups. Following a steep decrease in mean prostate specific antigen (PSA)
and post void residual (PVR) observed within the first half year after surgery,
the serum PSA was then maintained at a constant level of 0.61 ng/mL. Temporary
urinary retention was found in four cases (7.7%). Stress urinary incontinence
occurred in five patients (9.6%), with the condition resolved in 1-2 weeks
without extra treatment. Urethral strictures and bladder neck contractures, as
the most commonly observed long-term complications, developed in four patients
(7.7%). No recurrence was found during 2 years of follow-ups. An improvement in
International Index of Erectile Function (IIEF-5) scores was witnessed in 17
patients preoperatively with normal sexual function during the first 6 months
after surgery, and sustained throughout the 24-month period. Conclusions:
Enucleation reflects an improvement on surgical technique in many ways with a
need for surgical equipment that can be broadly accessible in clinical practice.
Currently, bipolar resection is a commonly employed procedure in clinical
settings, and its similarity shared with bipolar enucleation technique warrants a
quick learning of B-TUERP by urologists. Based on these findings, we believe that
the substitution of TURP by TUERP as the gold standard for prostate endoscopic
procedure can be expected in the future.
PMID- 29379738
TI - Management of advanced adenocarcinoma in Indiana Pouch urinary diversion.
AB - Adenocarcinoma is a rare finding following urinary diversion with
gastrointestinal segments. This report describes an 80-year-old woman with a
history of bladder cancer who subsequently developed a pT4 adenocarcinoma 8 years
following her radical cystectomy and Indiana Pouch continent urinary diversion.
An en bloc resection of the pouch and affected small bowel was performed and the
patient underwent conversion to an ileal conduit diversion. We use this case to
highlight a mechanism for possible pathogenesis and the management of
adenocarcinoma in urinary diversions including the need for regular surveillance
and the surgical approach.
PMID- 29379739
TI - Extrarenal calyces as a cause of non-functional kidney in a child: Case report.
PMID- 29379740
TI - Recurrent papillary renal cell carcinoma with concomitant ipsilateral upper
urinary tract urothelial carcinoma and metachronous urothelial carcinoma of the
bladder.
PMID- 29379741
TI - Post traumatic isolated bladder neck transection: Unreported and undescribed
injury.
PMID- 29379742
TI - Selective arterial embolization for uncontrollable urethral hemorrhage in a
patient with a left ventricular assist device.
PMID- 29379743
TI - Evaluation of acute toxicity of triazophos and deltamethrin and their inhibitory
effect on AChE activity in Channa punctatus.
AB - Pesticides are applied to control the pests indoor and outdoor; however, their
remarkable amount reaches to the aquatic system through various routes like run
off, leaching, spray-drift, effluent from factories. These are reported to have
negative metabolic impact on different non-target aquatic organisms like fishes.
Thus, present study is aimed to evaluate the acute toxicity of two groups of
pesticides, organophosphate and pyrethroid, namely triazophos and deltamethrin,
respectively. The test was conducted for 96 h period in a freshwater teleost,
Channa punctatus. The LC50 values for triazophos and deltamethrin after 96 h
treatment was found to be 0.069 mg/L and 7.33 MUg/L. The deltamethrin was found
to be about ten times more toxic than triazophos to the fish. In treated fish,
alterations in various behavioural patterns were observed with increasing
concentrations of both the pesticides as compared to control. Further, tissue
specific as well as dose dependent inhibition in the acetylcholinesterase (AChE,
EC 3.1.1.7) activity was found in brain, muscle and gills in Channa punctatus
exposed to both the insecticides. However, the effect was more pronounced in
triazophos treated fishes than the deltamethrin. A futuristic approach on
biochemical and molecular studies may throw light on the mechanism of action of
these pesticides.
PMID- 29379744
TI - The relationship between IQ and performance on the MATRICS consensus cognitive
battery.
AB - The associations between IQ and individual tests of neurocognitive function are
well studied. However, there is a lack of information as to how IQ relates to
performance on neuropsychological test batteries as a whole and in the same
individuals. In this study, 250 healthy participants aged 20-69 years were tested
with the Wechsler Abbreviated Scale of Intelligence (WASI) and the MATRICS
Consensus Cognitive Battery (MCCB). In correlation analyses, IQ was significantly
related to all MCCB scores, except the Social Cognition domain. Hierarchical
regression analyses including gender, age, and education confirmed this
association. For overall cognitive function, 50% of the variance was explained by
IQ and demographic characteristics. For the domains Speed of Processing, Working
Memory, Visual and Verbal Learning, IQ explained a larger proportion of the
variance than the demographic factors did. The implication is that these domains
may provide information of a person's intelligence level.
PMID- 29379745
TI - Facial emotion recognition impairment is related to disorganisation in multi
episode schizophrenia.
AB - The present investigation explores the relationship between facial emotion
recognition (FER) and symptom domains in three groups of schizophrenia spectrum
patients (43 ultra-high-risk, 50 first episode and 44 multi-episode patients) in
which the existence of FER impairment has already been demonstrated. Regression
analysis showed that symptoms and FER impairment are related in multi-episode
patients, regardless of the illness duration. We suggest that the link between
symptoms and FER impairment is involved in the progression of the disease.
PMID- 29379746
TI - Utility of the UCSD Performance-based Skills Assessment-Brief Japanese version:
discriminative ability and relation to neurocognition.
AB - The UCSD Performance-based Skills Assessment Brief (the UPSA-B) has been widely
used for evaluating functional capacity in patients with schizophrenia. The
utility of the battery in a wide range of cultural contexts has been of concern
among developers. The current study investigated the validity of the Japanese
version of the UPSA-B as a measure of functional capacity and as a co-primary for
neurocognion. Sixty-four Japanese patients with schizophrenia and 83 healthy
adults entered the study. The Japanese version of the UPSA-B (UPSA-B Japanese
version) and the MATRICS Cognitive Consensus Battery Japanese version (MCCB
Japanese version) were administered. Normal controls performed significantly
better than patients, with large effect sizes for the Total and the subscale
scores of the UPSA-B. Receiver Operating Characteristic (ROC) curve analysis
revealed that the optimal cut-off point for the UPSA-B Total score was estimated
at around 80. The UPSA-B Total score was significantly correlated with the MCCB
Composite score and several domain scores, indicating the relationship between
this co-primary measure and overall cognitive functioning in Japanese patients
with schizophrenia. The results obtained here suggest that the UPSA-B Japanese
version is an effective tool for evaluating disturbances of daily-living skills
linked to cognitive functioning in schizophrenia, providing an identifiable cut
off point and relationships to neurocognition. Further research is warranted to
evaluate the psychometrical properties and response to treatment of the Japanese
version of the UPSA-B.
PMID- 29379747
TI - Supported employment among veterans with serious mental illness: the role of
cognition and social cognition on work outcome.
AB - Unemployment is a primary functional deficit for the majority of adults with
schizophrenia. Research indicates that over two-thirds of adults living in the
community with schizophrenia are unemployed. Despite effective programs to assist
with job identification and placement, the ability to attain and maintain
employment remains a pressing concern. Neurocognitive functioning is widely
acknowledged to be a determinant of work outcome; however, effect sizes tend to
be in the small to medium range. The present study sought to further understand
the determinants of work outcome among a sample of 104 veterans with
schizophrenia enrolled in a supported employment program. A small percentage of
veterans in the study got competitive jobs; 53% who secured jobs maintained
employment for longer than 6 months. Cognition, social cognition, and symptoms
were unrelated to job attainment. However, speed of processing and social
cognition were significant predictors of work outcomes such as wages and tenure.
These findings suggest that cognitive abilities including processing speed and
the ability to accurately interpret and respond to social cues are significant
determinants of whether individuals with schizophrenia remain employed. The
results are discussed in light of current available treatment options and domains
to target in synergy with work rehabilitation efforts.
PMID- 29379748
TI - Cognitive functioning among patients with schizophrenia in a Nigerian hospital: a
comparison with mood disorder.
AB - Objective: The study aimed to investigate correlates of cognition among patients
with schizophrenia. Methods: Over a three month period, in-patients diagnosed
with schizophrenia (n = 50) and mood disorders (n = 50) were recruited into the
study. Both groups of patients were assessed using the Schedules for Clinical
Assessment in Neuropsychiatry (SCAN), the Annett Hand Preference Questionnaire
(AHPQ) and the Global Assessment of Function Scale (GAF). Patients with
schizophrenia were further assessed using the Positive and Negative Syndromes
Scale, PANSS and the Clinical Global impression (CGI). The cognitive screen
section of SCAN (comprising Verbal Trails Test and Mini Mental State examination,
MMSE) and the cognitive factor of PANSS were used to assess cognitive function.
Results: No differences were found in the cognitive profile of patients with
schizophrenia and mood disorder. Among patients with schizophrenia, poor verbal
performance was associated with the negative or mixed syndrome (p = 0.004), left
or mixed handedness (p = 0.013), greater illness severity (p = 0.030) and lower
GAF scores (p = 0.039). Poor performance on MMSE correlated with higher total
PANSS score (p = 0.022) and was also associated with the negative or mixed
syndrome (p = 0.003) and lack of clinical improvement (p = 0.035). Conclusion:
Patients with the negative or mixed schizophrenia syndrome may suffer more
cognitive deficit. Poor verbal performance among patients with schizophrenia may
be associated with left or mixed handedness, more severe illness and poor
functioning.
PMID- 29379749
TI - Overconfidence in incorrect perceptual judgments in patients with schizophrenia.
AB - Background: Patients with schizophrenia show overconfidence in memory and social
cognition errors. The present investigation examined whether this cognitive
distortion also manifests in perceptual tasks. Methods: A total of 55 individuals
with schizophrenia, 58 with obsessive-compulsive disorder (OCD) as well as 45 non
clinical controls were presented 24 blurry black and white pictures, half of
which contained a hidden object; the other half contained ("snowy") visual noise.
Participants had to judge whether the pictures depicted an object or not and how
confident they were in this judgment. Results: Participants with schizophrenia
showed overconfidence in errors and an enhanced knowledge corruption index (i.e.
rate of high-confident errors on all high-confident responses) relative to both
control groups. In contrast, accuracy scores did not differ between clinical
groups. Metacognitive parameters were correlated with self-rated levels of
current paranoia. Discussion: To the best of our knowledge, this is the first
study to demonstrate overconfidence in errors among individuals with psychosis
using a visual perception task. Speaking to the specificity of this abnormality
for schizophrenia and its pathogenetic relevance, overconfidence in errors and
knowledge corruption were elevated in patients with schizophrenia relative to
both control groups and were correlated with paranoia.
PMID- 29379750
TI - Social cognition and social judgment in schizophrenia.
AB - Schizophrenia typically involves poor social functioning. This may be due, in
part, to deficits in theory-of-mind, the cognitive ability to reason flexibly
about the mental states of others. Patients also have deficits in social
knowledge. It is currently unclear how these two impairments interrelate in
schizophrenia. To address this issue, 43 patients with schizophrenia and 25
healthy controls completed two theory-of-mind tests and a novel test of social
judgment. This latter measure required participants to judge whether various
social behaviors were normal or reasonable in the context in which the behaviors
occurred. Whereas patients demonstrated clear deficits in theory-of-mind, they
performed similarly to controls when judging socially appropriate behaviors and
violations of social norms. Patients, however, were less likely than controls to
judge social behavior as reasonable when the behavior was impolite but
understandable if the characters' thoughts were taken into account. This latter
difficulty correlated with patients' performance deficits on the theory-of-mind
tasks. Overall, findings suggest that basic social knowledge is intact in
schizophrenia, though judgments of social behavior are affected by patients'
theory-of-mind deficits.
PMID- 29379751
TI - The effect of cognitive training on evoked potentials in schizophrenia.
AB - Electrophysiological indices are sensitive to cognitive dysfunction in
schizophrenia but have rarely been used to assess benefits of cognitive
remediation. Our aim was to evaluate the effect of specific cognitive training
approaches on event-related potentials. Forty-six patients with schizophrenia
underwent either auditory (AUD) or visuo-spatial (VIS) cognitive training or
treatment-as-usual (TAU). Cognitive training was computer-assisted and
administered for 10 sessions within two weeks. Event-related potentials during an
active odd-ball paradigm together with clinical and neuropsychological variables
were assessed before and after training and again at a two-month follow-up.
Compared to the TAU group both the AUD and VIS training groups showed decreased
P2 latency following training. At follow-up, the P2-latency reduction was stable
in the VIS group but the AUD group experienced a relapse. Training resulted in
improved digit-span backward among neuropsychological variables. Increased P2
amplitude was related to more positive symptoms and lower social-occupational
functioning and longer P2 latency was associated with greater severity of
stereotyped thinking. The more general visuo-spatial training appears to have a
longer-lasting effect on P2 latency than the specific auditory training.
Alternatively, there may be specific auditory discrimination deficits in
schizophrenia requiring more extensive training for a stable change.
PMID- 29379752
TI - Consensus five factor PANSS for evaluation of clinical remission: effects on
functioning and cognitive performances.
AB - : Criteria developed by the Remission in Schizophrenia Working Group (RSWG),
based upon 8 core symptoms of PANSS, are generally used for evaluation of
Remission. However, some concerns have arisen as regard to the ability of the
RSWG criteria to detect truly remitted cases. This study aims to compare the
severity criteria of remission defined by the RSWG (RSWG-cr) with more
restrictive criteria, based upon the use of PANSS factor model. Methods: 112
chronic psychotic outpatients were examined. Symptomatic remission according to
RSWGcr was compared with remission according to criteria based on the 20-items of
PANSS considered in the consensus five factor model (PANSS-FCTcr), in relation to
functional and neurocognitive outcomes. Results: Data from the study demonstrated
the superiority of PANSS-FCTcr in identifying patients with higher functional and
cognitive outcomes. Conclusion: PANSS-FCTcr seems to be suitable for use in both
common clinical practice and research setting, being associated with improved
identification of truly remitted patients.
PMID- 29379753
TI - Editorial.
PMID- 29379754
TI - Social cognition in patients with schizophrenia spectrum and bipolar disorders
with and without psychotic features.
AB - Background: Social cognition may be critical to the impoverished social
functioning seen in serious mental illness. However, although social-cognitive
deficits are consistently demonstrated in schizophrenia spectrum disorders (SSD),
studies in bipolar disorder (BD) have produced inconsistent results. This
inconsistency may relate to symptom profiles of patients studied, particularly
the presence or absence of psychotic features. Thus, we examined social cognition
in bipolar disorder with psychotic features (BD +) versus without psychotic
features (BD -) relative to SSD and controls. Methods: A sample of 537 SSD
patients, 85 BD + patients, 37 BD - patients, and 309 controls were administered
the MATRICS Consensus Cognitive Battery, including a social cognition measure,
the managing emotions branch of the Mayer-Salovey-Caruso Emotional Intelligence
Test (MSCEIT). Analyses of covariance compared MSCEIT performance between
diagnostic groups while controlling for race, psychotropic medication status, and
neurocognition. Results: SSD but not BD - or BD + patients showed significant
MSCEIT deficits relative to controls. Conclusions: MSCEIT deficits were found in
SSD but not BD - or BD +, suggesting that social cognition may represent an
underlying difference between SSD and BD. However, variance in MSCEIT performance
among BD patients may also suggest latent BD subgroups characterized by social
cognitive deficits. Findings can help inform future investigations into how
social cognition and social brain development differ between SSD and BD.
PMID- 29379755
TI - Neurocognitive deficits are relevant for the jumping-to-conclusions bias, but not
for delusions: A longitudinal study.
AB - Patients with delusions exhibit an increased tendency to arrive at decisions
based on very limited evidence (jumping-to-conclusions; JTC), making this
reasoning bias relevant for the treatment of delusions. Neurocognitive deficits
contribute to JTC, but it is not known whether this has any bearing on the
clinical syndrome of delusions. We addressed this question by reanalyzing data
from an efficacy study of non-pharmacological interventions as adjunctive
treatments in schizophrenia. We investigated the longitudinal associations of
cognitive functioning, JTC and delusions in patients with psychotic disorders
receiving either a metacognitive intervention addressing reasoning biases (n =
59), or cognitive remediation (n = 58). Both interventions improved JTC; in the
cognitive remediation group, tentative evidence suggested that better
neurocognitive performance contributed to this improvement. However, JTC gains
were associated with delusion improvement only in the metacognitive intervention
group, suggesting a content-specific mechanism of action.
PMID- 29379756
TI - Specificity of facial emotion recognition impairments in patients with multi
episode schizophrenia.
AB - Background: Patients with schizophrenia show impairments in social information
processing, such as recognising facial emotions and face identity. Goal: The aim
of this study was to explore whether these impairments represent specific
deficits or are part of a more general cognitive dysfunction. Method: Forty-two
patients with schizophrenia and 42 matched controls were compared on facial
emotion and face identity recognition versus (non-social) abstract pattern
recognition, using three tasks of the Amsterdam Neuropsychological Tasks (ANT)
program. Results: Patients were slower than controls in social information
processing as well as in (non-social) abstract pattern recognition. Patients were
also less accurate than controls in processing social information, but not in
recognition of abstract patterns. Differences between patients and controls were
most substantial for facial emotion recognition compared to both face identity
recognition (speed) and non-social pattern recognition (speed and accuracy).
Finally, differences between patients and controls were largest for the
recognition of negative emotions. Conclusion: Compared to controls patients with
schizophrenia displayed more difficulties in processing of social information
compared to non-social information. These results support the hypothesis that
facial emotion recognition impairment is a relatively distinct entity within the
domain of cognitive dysfunction in schizophrenia.
PMID- 29379757
TI - UCSD Performance-Based Skills Assessment (UPSA): validation of a Brazilian
version in patients with schizophrenia.
AB - The UCSD Performance-based Skills Assessment (UPSA) is a measure of Functional
Capacity and assesses skills involved in community tasks. It has good
psychometrics properties, and is currently recommended as a co-primary assessment
of cognition in the MATRICS Project. To our knowledge so far, there are no
studies in western developing countries concerning Functional Capacity in
Schizophrenia. The aims of this study were to translate, culturally adapt and
validate the UPSA to assess Functional Capacity in community-dwelling patients
with Schizophrenia living in Brazil. Eighty-two subjects (52 patients, 30
controls) were evaluated using: the Brazilian version of the UPSA (UPSA-1-BR),
PANSS, Personal and Social Performance (PSP) and Global Assessment of Functioning
(GAF). In the reliability test, UPSA-1-BR showed good Internal Consistency
(Cronbach's alpha of 0.88) and strong correlation between test and retest (4
month gap; r = 0.91; p < 0.01). Spearman's rho values showed a moderate
correlation between UPSA-1-BR and both PSP (0.50; p < 0.01) and GAF (0.46; p <
0.01) scores. UPSA-1-BR is capable of differentiating people with and without
Schizophrenia. Patients scored lower than controls (58.9 versus 79.1), with an
AUC of 0.79 (95%IC: 0.69-0.89). Sensitivity and specificity values of 0.71 and
0.70, respectively, were found in the cut-off point of 73.5, for separation of
patients and controls, with predictive values of 80% (positive) and 58%
(negative). UPSA-B-BR was also evaluated. UPSA-1-BR and its brief version
presented adequate psychometric properties and proved to be valid and reliable
instruments in the assessment of Functional Capacity in subjects with
Schizophrenia.
PMID- 29379758
TI - Smoking in schizophrenia: cognitive impact of nicotine and relationship to
smoking motivators.
AB - Smoking prevalence in schizophrenia is significantly elevated relative to other
clinical and to non-clinical groups. The cognitive self-medication hypothesis
attributes this to the beneficial effects of nicotine on illness-related
cognitive deficits. Significant effects of nicotine have been observed on visual
spatial working memory (VSWM), sustained attention (Continuous Performance Test -
Identical Pairs; CPT-IP) and prepulse inhibition (PPI). It remains unclear
whether these neurophysiological and neurocognitive effects of nicotine influence
self-reported smoking motivation. To explore the relationship between nicotine
effects on cognition and self-reported smoking motivation in schizophrenia and
non-psychiatric control smokers, the impact of smoking abstinence and
reinstatement was examined across three cognitive indices (VSWM, CPT-IP, PPI) and
compared to self-reported smoking motivation (Modified Reasons for Smoking Scale
revised to include 'cognitive motivators'). Cognitive function was assessed after
'typical' smoking and overnight abstinence. Schizophrenia smokers (but not
controls) demonstrated significantly less error on the VSWM task in the smoking
relative to abstinent condition. Control (but not schizophrenia) smokers, showed
evidence of CPT-IP improvement in the smoking relative to abstinent condition.
The overall profile of smoking motivation was comparable between groups. However,
significant relationships between subjective and objective indices of smoking
related cognitive change were observed for controls. Differential effects of
nicotine on cognition have been hypothesised to influence the pattern and
persistence of smoking in schizophrenia. These preliminary findings indicate that
evidence for such effects is apparent even in small samples - particularly for
VSWM. This is the first study to show that neurocognitive effects of smoking may
influence self-reported smoking motivation.
PMID- 29379759
TI - Insight and illness perception in Mexican patients with psychosis.
AB - Background: Insight and illness perception are two concepts of interest in the
study of factors related to clinical outcome in patients with psychosis. Insight
implies a risk of emotional distress for the patient. Illness perceptions,
regardless of their accuracy, might be favorable or not to illness. Literature
provides evidence of significant correlates of these factors with clinical
outcome, but they are rarely included in a single study. Objectives: 1) assessing
insight and illness perception in a sample of Mexican patients who have
experienced psychosis and, 2) analyzing how insight and illness perception relate
to each other and how they relate to clinical status (i.e., positive, negative,
and general psychopathology, depression, and anxiety). Methods: Sixty-one
participants (55.7% females) were recruited from a public psychiatric hospital;
insight and illness perceptions were assessed with the SUMD and the Brief-IPQ,
respectively. Clinical status was assessed with the PANSS, CDS and BAI scales.
Results: Participants showed good insight, favorable illness perceptions for the
cognitive and comprehension dimensions, but unfavorable for the emotional
dimension. Clinical status of sample was characterized by mild symptoms. Poor
insight related to positive symptoms and general psychopathology. Cognitive and
emotional perceptions of illness were significantly associated to most clinical
status parameters, whereas comprehension showed no significant results.
Conclusions: The study not only replicates the significant association on insight
and illness perception with clinical outcome, but shows how their patterns of
interactions are different, reinforcing the idea that they are two distinct
factors worthy of being habitually acknowledged in research and clinical
practice.
PMID- 29379760
TI - Factors predicting work outcome in Japanese patients with schizophrenia: role of
multiple functioning levels.
AB - Functional outcomes in individuals with schizophrenia suggest recovery of
cognitive, everyday, and social functioning. Specifically improvement of work
status is considered to be most important for their independent living and self
efficacy. The main purposes of the present study were 1) to identify which
outcome factors predict occupational functioning, quantified as work hours, and
2) to provide cut-offs on the scales for those factors to attain better work
status. Forty-five Japanese patients with schizophrenia and 111 healthy controls
entered the study. Cognition, capacity for everyday activities, and social
functioning were assessed by the Japanese versions of the MATRICS Cognitive
Consensus Battery (MCCB), the UCSD Performance-based Skills Assessment-Brief
(UPSA-B), and the Social Functioning Scale Individuals' version modified for the
MATRICS-PASS (Modified SFS for PASS), respectively. Potential factors for work
outcome were estimated by multiple linear regression analyses (predicting work
hours directly) and a multiple logistic regression analyses (predicting
dichotomized work status based on work hours). ROC curve analyses were performed
to determine cut-off points for differentiating between the better- and poor work
status. The results showed that a cognitive component, comprising visual/verbal
learning and emotional management, and a social functioning component, comprising
independent living and vocational functioning, were potential factors for
predicting work hours/status. Cut-off points obtained in ROC analyses indicated
that 60-70% achievements on the measures of those factors were expected to
maintain the better work status. Our findings suggest that improvement on
specific aspects of cognitive and social functioning are important for work
outcome in patients with schizophrenia.
PMID- 29379761
TI - Neurocognition as a predictor of outcome in schizophrenia in the Northern Finland
Birth Cohort 1966.
AB - The purpose of this study was to study neurocognitive performance as a predictor
of outcomes in midlife schizophrenia. There is a lack of studies with unselected
samples and a long follow-up. The study is based on the prospective, unselected
population-based Northern Finland Birth Cohort 1966. The study includes 43
individuals with schizophrenia and 73 controls, whose neurocognitive performance
was assessed twice, at 34 and 43 years. At both time points we used identical
neurocognitive tests to assess verbal and visual memory and executive functions.
Our main aim was to analyse neurocognitive performance at 34 years as a predictor
of clinical, vocational and global outcomes at 43 years. Additionally, the
analysis addressed cross-sectional associations between cognitive performance and
clinical, vocational and global measures at 43 years. The assessment of outcomes
was performed in the schizophrenia group only. In the longitudinal analysis
poorer visual memory predicted poorer vocational outcome and poorer long-term
verbal memory predicted poorer global outcome. In the cross-sectional analysis
poorer visual memory and lower composite score of neurocognition were associated
with poorer global outcome. No individual neurocognitive test or the composite
score of these predicted remission. These data indicate that neurocognition,
especially memory function, is an important determinant of long-term functional
outcome in midlife schizophrenia.
PMID- 29379762
TI - Poor premorbid school performance, but not severity of illness, predicts
cognitive decline in schizophrenia in midlife.
AB - Neurocognitive dysfunction is common in schizophrenia but its course and
determinants remain uncertain. Our aim was to analyse if premorbid school
performance and the severity of illness and functioning predict change in
cognition in schizophrenia in a general population sample. The sample included
cases with schizophrenia spectrum disorder from the Northern Finland Birth Cohort
1966. Data on school marks at the age of 16 years, educational level at the age
of 34 years, severity of symptoms and occupational functioning around first
episode and after years of illness were gained from national registers, hospital
notes and interviews. Change of verbal and visual learning and memory and
executive functioning were examined between ages 34 and 43 years. The number of
cases varied in analyses from 29 to 41, depending on missing data in particular
cognitive tests. Lower school marks at age 16 years and lower education at age 34
years predicted more decline of cognition. Measures of severity of illness or
functioning were not associated statistically significantly with change of
cognition. Premorbid school performance, but not later course of schizophrenia,
related to change of cognition in midlife. Poor premorbid scholastic performance
and post-onset cognitive decline may represent related processes as part of an
endophenotype of schizophrenia.
PMID- 29379763
TI - Cognitive deficits characterization using the CogState Research Battery in first
episode psychosis patients.
AB - The computer-based CogState Research Battery (CSRB) proposes a test structure
which follows MATRICS recommended cognitive domains but lacks direct comparison
to pen and paper batteries in first-episode psychosis (FEP). The aim of this
study was to compare performances obtained with the CSRB and a pen and paper
battery in a historical cohort of FEP patients. Among patients entering an early
intervention program between 2003 and 2014, separate cohorts completed the
traditional pen and paper cognitive battery (n = 182) and the CSRB (n = 97).
Composite z-scores were derived using normative data of matched controls (n = 64
pen and paper, n = 69 CSRB) and were compared between the two batteries for the 7
cognitive domains. The cohort tested using the CSRB performed better on the
domains of processing speed, attention, visual memory, and verbal memory than the
cohort tested using the pen and paper battery (all p < 0.001). Performance did
not differ between the two types of batteries for the working memory, executive
functions, and social cognition domains. Cognitive profiles identified in the two
patient cohorts were similar, with verbal memory being the most impaired domain.
Better performances on the CSRB may be primarily due to the minimal demand of the
computerized tests on graphomotor abilities and reading speed compared to the pen
and paper tests. Our investigation offers a better understanding on how the
results obtained with computerized batteries may compare to earlier work done
with traditional tests.
PMID- 29379764
TI - Cognitive Performance associated to functional outcomes in stable outpatients
with schizophrenia.
AB - Background-objective: Prevalence data of cognitive impairment in Schizophrenia
based on large population samples are scarce. Our goal is to relate cognition and
functional outcomes, and estimate prevalence of cognitive impairment in a large
sample of schizophrenia outpatients treated with second-generation
antipsychotics. Method: A cross-sectional outpatient evaluation conducted during
follow-up visits. Selection criteria included six-months stable treatment. The
brief battery, EPICOG-SCH, covered four cognitive domains related to functional
outcomes: working memory (WAIS-III-Letter-Number-Sequencing), executive function
(Category Fluency Test; CFT), verbal memory (WMS-III-Logical-Memory), and
information processing speed (Digit-Symbol-Coding and CFT). Clinical severity and
functional impairment were assessed with CGI-SCH and WHO DAS-S. Impairment
prevalence was calculated at <= 1.5 SD. Results: Among patients recruited (n =
848) in 234 participating centers, 672 were under 6-month treatment. 61.5% (n =
413) reported cognitive impairment according to CGI-SCH Cognitive Subscale.
Estimated prevalences were 85.9% (95% CI 85.6-86.2%) CFT-Fruits; 68.3% (95% CI
67.8-68.8%) CFT-Animals; 38.1% (95% CI 37.5-38.3%) Digit-Symbol-Coding; 24.8%
(95% CI 24.1-25.5%) Verbal Memory-Units; 20.9% (95% CI 20.2-21.6%) Letter-Number
Sequencing; 11.7% (95% CI 11.0-12.4%) Verbal Memory-Items. Negative and
Depressive symptoms, Deficit Syndrome, and functional disability were related to
poor performance. Functional disability was predicted by CGI-SCH-Overall severity
(OR = 1.34635, p < 0.0001), CGI-SCH-Negative Symptoms (OR = 0.75540, p < 0.0001),
working memory (Letter-Number-Sequencing) (OR = - 0.16442, p = 0.0004) and the
time-course (OR = 0.05083, p = 0.0094), explaining 47% of the observed
variability. Conclusion: Most prevalent impairments were on executive function
and processing speed domains; however, working memory showed the strongest
relationship to functional disability. Monitoring cognitive function during
follow up is critical to understand patient's everyday functional capacity.
PMID- 29379765
TI - Schizophrenia patients and 22q11.2 deletion syndrome adolescents at risk express
the same deviant patterns of resting state EEG microstates: A candidate
endophenotype of schizophrenia.
AB - Schizophrenia is a complex psychiatric disorder and many of the factors
contributing to its pathogenesis are poorly understood. In addition, identifying
reliable neurophysiological markers would improve diagnosis and early
identification of this disease. The 22q11.2 deletion syndrome (22q11DS) is one
major risk factor for schizophrenia. Here, we show further evidence that deviant
temporal dynamics of EEG microstates are a potential neurophysiological marker by
showing that the resting state patterns of 22q11DS are similar to those found in
schizophrenia patients. The EEG microstates are recurrent topographic
distributions of the ongoing scalp potential fields with temporal stability of
around 80 ms that are mapping the fast reconfiguration of resting state networks.
Five minutes of high-density EEG recordings was analysed from 27 adult chronic
schizophrenia patients, 27 adult controls, 30 adolescents with 22q11DS, and 28
adolescent controls. In both patient groups we found increased class C, but
decreased class D presence and high transition probabilities towards the class C
microstates. Moreover, these aberrant temporal dynamics in the two patient groups
were also expressed by perturbations of the long-range dependency of the EEG
microstates. These findings point to a deficient function of the salience and
attention resting state networks in schizophrenia and 22q11DS as class C and
class D microstates were previously associated with these networks, respectively.
These findings elucidate similarities between individuals at risk and
schizophrenia patients and support the notion that abnormal temporal patterns of
EEG microstates might constitute a marker for developing schizophrenia.
PMID- 29379766
TI - Different P50 sensory gating measures reflect different cognitive dysfunctions in
schizophrenia.
AB - The P50 is an early component of auditory evoked potentials and a measure of
sensory gating deficits. This evoked potential component is thought to be an
important endophenotype candidate for schizophrenia. Recent research suggests
that instead of the P50 ratio, S1 and S2 amplitudes should be evaluated for
sensory gating. However, no studies have focused on the relationship between
cognitive dysfunction and P50 sensory gating deficits using S1 and S2 amplitudes.
The purpose of the present study was to investigate the association between the
P50 ratio (S2/S1), S1 and S2 amplitudes, and neuropsychological cognitive domains
using stepwise multiple linear regression analyses. Results demonstrated a
significant relationship between executive functioning and the P50 ratio and
between sustained attention and S2 amplitude, respectively. Our findings suggest
that the P50 ratio and S2 amplitude reflect distinct neurophysiological
substrates associated with different cognitive functions.
PMID- 29379767
TI - Time-course and degradation rate of membrane scaffold protein (MSP1D1) during
recombinant production.
AB - Membrane scaffold proteins (MSPs) are synthetic derivatives of apolipoprotein A
I, a major protein component of human high-density lipoprotein complexes. The
most common among these is the variant MSP1D1, which has been in the focus of
research on membrane mimetics in the past. As such, the amphipathic MSP1D1 has
the ability to self-assemble in the presence of synthetic phospholipids into
discoidal nanoparticles, so called nanodiscs. The recombinant production of MSP
is exclusively reported using a standard laboratory expression system of the pET
family. However, strong variations in both yield and achieved concentration as
well as complications related to unspecific degradation are commonly reported. In
addition, the time-course of recombinant protein as well as specific protein
yields have not yet been quantified conclusively. In this study, the time-course
of MSP1D1 concentration was investigated in a standard pET expression system in
terms of quantification of production and degradation rates in comparison to a
reference protein (eGFP).
PMID- 29379768
TI - Purification and biochemical characterization of an Aspergillus niger phytase
produced by solid-state fermentation using triticale residues as substrate.
AB - In this study, an extracellular phytase produced by Aspergillus niger 7A-1, was
biochemically characterized for possible industrial application. The enzyme was
purified from a crude extract obtained by solid-state fermentation (SSF) of
triticale waste. The extract was obtained by microfiltration, ultrafiltration
(300, 100 and 30 kDa) and DEAE-Sepharose column chromatography. The molecular
weight of the purified enzyme was estimated to be 89 kDa by SDS-PAGE. The
purified enzyme was most active at pH 5.3 and 56 degrees C, and retained 50%
activity over a wide pH range of 4 to 7. The enzymatic thermostability assay
showed that the enzyme retained more than 70% activity at 80 degrees C for 60 s,
40% activity for 120 s and 9% after 300 s. The phytase showed broad substrate
specificity, a Km value of 220 MUM and Vmax of 25 MUM/min. The purified phytase
retained 50% of its activity with phosphorylated compounds such as phenyl
phosphate, 1-Naphthyl phosphate, 2-Naphthyl phosphate, p-Nitrophenyl phosphate
and Glycerol-2-phosphate. The inhibition of phytase activity by metal ions was
observed to be drastically inhibited (50%) by Ca++ and was slightly inhibited
(10%) by Ni++, K+, and Na+, at 10 and 20 mM concentrations. A positive effect was
obtained with Mg++, Mn++, Cu++, Cd++ and Ba++ at 25 and 35% with stimulatory
effect on the phytase activity.
PMID- 29379769
TI - Salix alba attenuated oxidative stress in the heart and kidney of
hypercholesterolemic rabbits.
AB - Objective: Oxidative stress plays a critical role in the development of
hypercholesterolemia-induced complications. This study evaluated the effects of
aspirin and Salix alba hydroethanolic extract on oxidative stress in the heart
and kidney of hypercholesterolemic rabbits. Materials and Methods: The
antioxidant activity, as well as total phenolic and salicin content of S. alba
(Sa) extract were assessed by DPPH radical scavenging activity, Folin-Ciocalteu
and HPLC methods, respectively. Animals were divided into two groups of control
(fed with normal chow), and HD (fed with high cholesterol diet for 6 weeks).
Then, hypercholesterolemic animals allocated to the following treatment groups:
CHO (received HD), Sa extract (HD plus extract 60 and 120 mg/kg), and aspirin (HD
plus aspirin 120 mg/kg) and received the treatments on a daily basis for 6 weeks.
MDA, GSH, and nitrite concentrations as well as the activities of SOD and CAT
were evaluated in cardiac and kidney tissues. Results: The scavenging activity,
total phenolic content and salicin were 19.1 ug/ml (IC50), 153.75 +/- 3.6 mg of
gallic acid/g, and 18.03 ug/mg, respectively. In comparison to CHO group, MDA
levels were diminished in Sa and ASA groups but GSH levels were improved. NO
metabolites increased in the heart of Sa 120 mg/kg group and in the kidney of all
Sa and ASA treated groups. SOD activity increased only in the heart of Sa groups
and in the kidney of Sa and ASA groups. CAT activity increased in the heart and
kidney tissues of all Sa and ASA treated groups. Conclusion: The results showed
S. alba extract improved redox homeostasis in heart and kidney tissues of
hypercholesterolemic rabbits. The extract antioxidant property may be related to
its phenolic content.
PMID- 29379770
TI - Weight Loss and Percutaneous Endoscopic Gastrostomy Tube Placement during
Chemoradiotherapy for Locally Advanced Cancer of the Oropharynx Do Not Negatively
Impact Outcomes.
AB - Objectives: Concurrent chemoradiotherapy is standard of care in locally advanced
oropharyngeal cancer (LA-OPC). This treatment regimen results in significant
acute toxicities. This study investigates the effect of treatment-related
toxicity on patient outcomes. Methods: Patient information was retrospectively
collected for patients treated for LA-OPC between 2007 and 2014. Factors analyzed
included age, gender, pretreatment ECOG performance status, smoking history,
patient BMI prior to and following treatment, tumor histology, disease stage,
disease recurrence, incidence, and timing of feeding tube placement, radiation
dose received, chemotherapy regimen used and if it was completed, and patient
survival. All statistical analysis was provided through the University of
Nebraska Medical Center Department of Biostatistics. Results: 74 patients were
identified with a median follow-up of 3.4 years and a median age of 58.5. Most
patients were male (87.8%) and had squamous cell histology (98.7%). Most patients
underwent chemoradiotherapy alone (98.6%) and received concurrent cisplatin
(78.4%) with approximately half (53.4%) receiving all planned chemotherapy. Upon
multivariate analysis, both disease-free (DFS) and overall survival (OS) rates
were improved by lower pretreatment BMI, increased weight lost during treatment,
and lack of percutaneous endoscopic gastrostomy (PEG) tube placement prior to
treatment initiation. Neither DFS nor OS was impacted by placement of a PEG tube
during active treatment. Conclusion: These data suggest that weight loss and PEG
tube placement during chemoradiotherapy for LA-OPC, presumably due to treatment
associated mucositis and xerostomia, are not associated with worse outcomes.
PMID- 29379772
TI - The Potential for Topical Probiotic Treatment of Chronic Rhinosinusitis, a
Personal Perspective.
AB - This review describes the rationale for topical probiotic intervention, the
obstacles we are facing and a strategy for future research in the use of
probiotics to modify CRS symptoms and disease expression. Recent advances in
molecular microbiology has revealed a plethora of microbial DNA in the nasal
cavity and sinuses of healthy subjects as well as in chronic sinusitis (CRS)
patients. An infection is today rather seen as an imbalance between the commensal
microbiome and the bacterial pathogens, resulting in a reduction in commensal
bacterial diversity, combined with an increase in the growth of microbes
eliciting an inflammatory response. This will in turn lead to the clinical
symptoms of sinusitis. Probiotics (microorganisms that confer a health benefit)
can be used either as a form of living antibiotics treatment, or as an immune
modulatory intervention. Topical probiotics, which is the focus of this review,
have shown efficacy in a limited number of trials in otitis media and
tonsillitis, but to date not in CRS. Although bacterial interference capacity
against pathogens can be determined in in vitro experiments, it may not translate
to a health benefit. This limits the role of laboratory research in identifying
probiotic strains with a clinical benefit. To gain more clinical experience
without further delay, I recommend future research to focus on empirical clinical
trials in well-defined CRS patient populations and study the underlying
mechanisms in more detail once a clinical benefit has been established.
PMID- 29379771
TI - Use of Mass Spectrometry to Screen Glycan Early Markers in Hepatocellular
Carcinoma.
AB - Association between altered glycosylation patterns and poor prognosis in cancer
points glycans as potential specific tumor markers. Most proteins are
glycosylated and functionally arranged on cell surface and extracellular matrix,
mediating interactions and cellular signaling. Thereby, aberrant glycans may be
considered a pathological phenotype at least as important as changes in protein
expression for cancer and other complex diseases. As most serum glycoproteins
have hepatic origin, liver disease phenotypes, such as hepatocellular carcinoma
(HCC), may present altered glycan profile and display important modifications.
One of the prominent obstacles in HCC is the diagnostic in advanced stages when
patients have several liver dysfunctions, limiting treatment options and life
expectancy. The characterization of glycomic profiles in pathological conditions
by means of mass spectrometry (MS) may lead to the discovery of early diagnostic
markers using non-invasive approaches. MS is a powerful analytical technique
capable of elucidating many glycobiological issues and overcome limitations of
the serological markers currently applied in clinical practice. Therefore, MS
based glycomics of tumor biomarkers is a promising tool to increase early
detection and monitoring of disease.
PMID- 29379773
TI - Pseudomonas aeruginosa Takes a Multi-Target Approach to Achieve Junction Breach.
AB - Pseudomonas aeruginosa is an opportunistic pathogen which uses a number of
strategies to cross epithelial and endothelial barriers at cell-cell junctions.
In this review, we describe how the coordinated actions of P. aeruginosa's
virulence factors trigger various molecular mechanisms to disarm the junctional
gate responsible for tissue integrity.
PMID- 29379774
TI - Differential Effects of Iron, Zinc, and Copper on Dictyostelium discoideum Cell
Growth and Resistance to Legionella pneumophila.
AB - Iron, zinc, and copper play fundamental roles in eucaryotes and procaryotes, and
their bioavailability regulates host-pathogen interactions. For intracellular
pathogens, the source of metals is the cytoplasm of the host, which in turn
manipulates intracellular metal traffic following pathogen recognition. It is
established that iron is withheld from the pathogen-containing vacuole, whereas
for copper and zinc the evidence is unclear. Most infection studies in mammals
have concentrated on effects of metal deficiency/overloading at organismal level.
Thus, zinc deficiency or supplementation correlate with high risk of respiratory
tract infection or recovery from severe infection, respectively. Iron, zinc, and
copper deficiency or overload affects lymphocyte proliferation/maturation, and
thus the adaptive immune response. Whether they regulate innate immunity at
macrophage level is open, except for iron. The early identification in a mouse
mutant susceptible to mycobacterial infection of the iron transporter Nramp1
allowed dissecting Nramp1 role in phagocytes, from the social amoeba
Dictyostelium to macrophages. Nramp1 regulates iron efflux from the phagosomes,
thus starving pathogenic bacteria for iron. Similar studies for zinc or copper
are scant, due to the large number of copper and zinc transporters. In
Dictyostelium, zinc and copper transporters include 11 and 6 members,
respectively. To assess the role of zinc or copper in Dictyostelium, cells were
grown under conditions of metal depletion or excess and tested for resistance to
Legionella pneumophila infection. Iron shortage or overload inhibited
Dictyostelium cell growth within few generations. Surprisingly, zinc or copper
depletion failed to affect growth. Zinc or copper overloading inhibited cell
growth at, respectively, 50- or 500-fold the physiological concentration,
suggesting very efficient control of their homeostasis, as confirmed by
Inductively Coupled Plasma Mass Spectrometry quantification of cellular metals.
Legionella infection was inhibited or enhanced in cells grown under iron shortage
or overload, respectively, confirming a major role for iron in controlling
resistance to pathogens. In contrast, zinc and copper depletion or excess during
growth did not affect Legionella infection. Using Zinpyr-1 as fluorescent sensor,
we show that zinc accumulates in endo-lysosomal vesicles, including phagosomes,
and the contractile vacuole. Furthermore, we provide evidence for
permeabilization of the Legionella-containing vacuole during bacterial
proliferation.
PMID- 29379775
TI - Helicobacter pylori-Induced HB-EGF Upregulates Gastrin Expression via the EGF
Receptor, C-Raf, Mek1, and Erk2 in the MAPK Pathway.
AB - Helicobacter pylori is associated with hypergastrinemia, which has been linked to
the development of gastric diseases. Although the molecular mechanism is not
fully understood, H. pylori is known to modulate the Erk pathway for induction of
gastrin expression. Herein we found that an epidermal growth factor (EGF)
receptor kinase inhibitor significantly blocked H. pylori-induced gastrin
promoter activity, suggesting involvement of EGF receptor ligands. Indeed, H.
pylori induced mRNA expression of EGF family members such as amphiregulin, EGF,
heparin-binding EGF-like growth factor (HB-EGF), and transforming growth factor
alpha. Of these, specific siRNA targeting of HB-EGF significantly blocked H.
pylori-induced gastrin expression. Moreover, H. pylori induced HB-EGF ectodomain
shedding, which we found to be a critical process for H. pylori-induced gastrin
expression. Thus, we demonstrate a novel role for human mature HB-EGF in
stimulating gastrin promoter activity during H. pylori infection. Further
investigation using specific siRNAs targeting each isoform of Raf, Mek, and Erk
elucidated that the mechanism underlying H. pylori-induced gastrin expression can
be delineated as the sequential activation of HB-EGF, the EGF receptor, C-Raf,
Mek1, and the Erk2 molecules in the MAPK pathway. Surprisingly, whereas Erk2 acts
as a potent activator of gastrin expression, siRNA knockdown of Erk1 induced
gastrin promoter activity, suggesting that Erk1 typically acts as a repressor of
gastrin expression. Elucidation of the mechanism of gastrin modulation by HB-EGF
mediated EGF receptor transactivation should facilitate the development of
therapeutic strategies against H. pylori-related hypergastrinemia and
consequently gastric disease development, including gastric cancers.
PMID- 29379776
TI - Interaction of Coxiella burnetii Strains of Different Sources and Genotypes with
Bovine and Human Monocyte-Derived Macrophages.
AB - Most human Q fever infections originate from small ruminants. By contrast, highly
prevalent shedding of Coxiella (C.) burnetii by bovine milk rarely results in
human disease. We hypothesized that primary bovine and human monocyte-derived
macrophages (MDM) represent a suitable in vitro model for the identification of
strain-specific virulence properties at the cellular level. Twelve different C.
burnetii strains were selected to represent different host species and multiple
loci variable number of tandem repeat analysis (MLVA) genotypes. Infection
efficiency and replication of C. burnetii were monitored by cell culture re
titration and qPCR. Expression of immunoregulatory factors after MDM infection
was measured by qRT-PCR and flow cytometry. Invasion, replication and MDM
response differed between C. burnetii strains but not between MDMs of the two
hosts. Strains isolated from ruminants were less well internalized than isolates
from humans and rodents. Internalization of MLVA group I strains was lower
compared to other genogroups. Replication efficacy of C. burnetii in MDM ranged
from low (MLVA group III) to high (MLVA group IV). Infected human and bovine MDM
responded with a principal up-regulation of pro-inflammatory cytokines such as IL
1beta, IL-12, and TNF-alpha. However, MLVA group IV strains induced a pronounced
host response whereas infection with group I strains resulted in a milder
response. C. burnetii infection marginally affected polarization of MDM. Only one
C. burnetii strain of MLVA group IV caused a substantial up-regulation of
activation markers (CD40, CD80) on the surface of bovine and human MDM. The study
showed that replication of C. burnetii in MDM and the subsequent host cell
response is genotype-specific rather than being determined by the host species
pointing to a clear distinction in C. burnetii virulence between the genetic
groups.
PMID- 29379778
TI - 3D Printing in Surgical Management of Double Outlet Right Ventricle.
AB - Double outlet right ventricle (DORV) is a heterogeneous group of congenital heart
diseases that require individualized surgical approach based on precise
understanding of the complex cardiovascular anatomy. Physical 3-dimensional (3D)
print models not only allow fast and unequivocal perception of the complex
anatomy but also eliminate misunderstanding or miscommunication among imagers and
surgeons. Except for those cases showing well-recognized classic surgical anatomy
of DORV such as in cases with a typical subaortic or subpulmonary ventricular
septal defect, 3D print models are of enormous value in surgical decision and
planning. Furthermore, 3D print models can also be used for rehearsal of the
intended procedure before the actual surgery on the patient so that the outcome
of the procedure is precisely predicted and the procedure can be optimally
tailored for the patient's specific anatomy. 3D print models are invaluable
resource for hands-on surgical training of congenital heart surgeons.
PMID- 29379777
TI - Many Genes-One Disease? Genetics of Nephronophthisis (NPHP) and NPHP-Associated
Disorders.
AB - Nephronophthisis (NPHP) is a renal ciliopathy and an autosomal recessive cause of
cystic kidney disease, renal fibrosis, and end-stage renal failure, affecting
children and young adults. Molecular genetic studies have identified more than 20
genes underlying this disorder, whose protein products are all related to cilia,
centrosome, or mitotic spindle function. In around 15% of cases, there are
additional features of a ciliopathy syndrome, including retinal defects, liver
fibrosis, skeletal abnormalities, and brain developmental disorders. Alongside,
gene identification has arisen molecular mechanistic insights into the disease
pathogenesis. The genetic causes of NPHP are discussed in terms of how they help
us to define treatable disease pathways including the cyclic adenosine
monophosphate pathway, the mTOR pathway, Hedgehog signaling pathways, and DNA
damage response pathways. While the underlying pathology of the many types of
NPHP remains similar, the defined disease mechanisms are diverse, and a
personalized medicine approach for therapy in NPHP patients is likely to be
required.
PMID- 29379779
TI - Long-term Associations of an Early Corrected Ventricular Septal Defect and Stress
Systems of Child and Mother at Primary School Age.
AB - Introduction: Ventricular septal defect (VSD) is the most common congenital heart
defect, with larger VSDs typically being corrected with an open-heart surgery
during infancy. Long-term consequences of a VSD-corrective surgery on stress
systems of child and mother are still unknown. The aim of the present study is to
investigate the associations of an early corrected VSD and diurnal cortisol
release of child and mother. Methods: 26 children (12 boys) between 6 and 9 years
old, who underwent surgery for an isolated VSD within the first 3 years of life,
and their mothers participated in the study. Their diurnal cortisol profiles were
compared to a sex-, age-, and socioeconomic status-matched healthy control group.
Within the VSD group, associations between cortisol and characteristics of
surgery and hospitalization were investigated. Child and mother
psychopathological symptoms were considered as a possible interfering mechanism
of altered cortisol profiles. Results: Diurnal cortisol profiles of children with
an early corrected VSD did not differ from those of controls. However, mothers of
affected children exhibited higher cortisol levels in the morning (p < 0.001,
[Formula: see text]) and a steeper diurnal cortisol slope (p = 0.016, [Formula:
see text]) than mothers of healthy children. Conclusion: Results indicate a
favorable development of children with an early corrected VSD, in terms of
comparable diurnal cortisol profiles with healthy controls, according to a
comparable mother-rated psychopathology. Mothers of affected children reveal
altered diurnal cortisol levels, without differences in self-rated
psychopathology. This divergence should be clarified in future research.
PMID- 29379781
TI - Novel Highly Luminescent Amine-Functionalized Bridged Silsesquioxanes.
AB - Amine-functionalized bridged silsesquioxanes (BSs) were synthesized from bis[(3
trimethoxysilyl)propyl] amine via a solvent-mediated route. BS-1 and BS-2 were
obtained at neutral pH with sub- and stoichiometric amounts of water,
respectively, and high tetrahydrofuran content. BS-3 was prepared with
hyperstoichiometric water concentration, high tetrahydrofuran content, and
hydrochloric acid. BS-4 was synthesized with hyperstoichiometric water
concentration, high ethanol content, and sodium hydroxide. BS-1 and BS-2 were
produced as transparent films, whereas BS-3 and BS-4 formed white powders. Face
to-face stacking of flat or folded lamellae yielded quasi-hydrophobic platelets
with emission quantum yields of 0.05 +/- 0.01 (BS-1 and BS-2) or superhydrophilic
onion-like nanoparticles with exciting emission quantum yields of 0.38 +/- 0.03
(BS-3) and 0.33 +/- 0.04 (BS-4), respectively. The latter two values are the
largest ever reported for amine-functionalized siloxane-based hybrids lacking
aromatic groups. Fast Grotthus proton hopping between = [Formula: see text]/ = NH
groups (BS-3) and = N-/ = NH groups (BS-4), promoted by H+ and OH- ions,
respectively, and aided by short amine-amine contacts provided by the onion-like
morphology, account for this unique optical behavior.
PMID- 29379780
TI - Carbon-11 and Fluorine-18 Labeled Amino Acid Tracers for Positron Emission
Tomography Imaging of Tumors.
AB - Tumor cells have an increased nutritional demand for amino acids (AAs) to satisfy
their rapid proliferation. Positron-emitting nuclide labeled AAs are interesting
probes and are of great importance for imaging tumors using positron emission
tomography (PET). Carbon-11 and fluorine-18 labeled AAs include the [1-11C] AAs,
labeling alpha-C- AAs, the branched-chain of AAs and N-substituted carbon-11
labeled AAs. These tracers target protein synthesis or amino acid (AA) transport,
and their uptake mechanism mainly involves AA transport. AA PET tracers have been
widely used in clinical settings to image brain tumors, neuroendocrine tumors,
prostate cancer, breast cancer, non-small cell lung cancer (NSCLC) and
hepatocellular carcinoma. This review focuses on the fundamental concepts and the
uptake mechanism of AAs, AA PET tracers and their clinical applications.
PMID- 29379782
TI - Delayed Influence of Spinal Cord Injury on the Amino Acids of NO* Metabolism in
Rat Cerebral Cortex Is Attenuated by Thiamine.
AB - Severe spinal cord injuries (SCIs) result in chronic neuroinflammation in the
brain, associated with the development of cognitive and behavioral impairments.
Nitric oxide (NO*) is a gaseous messenger involved in neuronal signaling and
inflammation, contributing to nitrosative stress under dysregulated production of
reactive nitrogen species. In this work, biochemical changes induced in the
cerebral cortex of rats 8 weeks after SCI are assessed by quantification of the
levels of amino acids participating in the NO* and glutathione metabolism. The
contribution of the injury-induced neurodegeneration is revealed by comparison of
the SCI- and laminectomy (LE)-subjected animals. Effects of the operative
interventions are assessed by comparison of the operated (LE/SCI) and non
operated animals. Lower ratios of citrulline (Cit) to arginine (Arg) or Cit to
ornithine and a more profound decrease in the ratio of lysine to glycine
distinguish SCI animals from those after LE. The data suggest decreased NO*
production from both Arg and homoarginine in the cortex 8 weeks after SCI. Both
LE and SCI groups show a strong decrease in the level of cortex glutathione. The
neurotropic, anti-inflammatory, and antioxidant actions of thiamine (vitamin B1)
prompted us to study the thiamine effects on the SCI-induced changes in the NO*
and glutathione metabolism. A thiamine injection (400 mg/kg intraperitoneally)
within 24 h after SCI abrogates the changes in the cerebral cortex amino acids
related to NO*. Thiamine-induced normalization of the brain glutathione levels
after LE and SCI may involve increased supply of glutamate for glutathione
biosynthesis. Thus, thiamine protects from sequelae of SCI on NO*-related amino
acids and glutathione in cerebral cortex.
PMID- 29379783
TI - Pulmonary Eosinophilic Inflammatory Infiltration Post-Intensive Care in a Nearly
Drowned Young Man with Papillary Fibroelastoma: A Rare Complication Discovered by
Forensic Autopsy.
AB - Papillary fibroelastoma is a rare benign lesion of heart (1). It is the second
most common primary cardiac neoplasm, accounting for 4.4-8% of all tumors of the
heart (2). We described a forensic autopsy of a nearly drowned young man with
cardiac papillary fibroelastoma who died because of a pulmonary inflammatory
infiltration rich in granulocytes after intensive care. This occurrence is rare
but possible and should be kept in mind because a lung inflammatory infiltrate
rich in eosinophilic granulocytes can be present in different pathological
conditions and differential diagnoses are often difficult to do.
PMID- 29379786
TI - Posterior Tracheopexy for Severe Tracheomalacia Associated with Esophageal
Atresia (EA): Primary Treatment at the Time of Initial EA Repair versus Secondary
Treatment.
AB - Purpose: We review outcomes of posterior tracheopexy for tracheomalacia in
esophageal atresia (EA) patients, comparing primary treatment at the time of
initial EA repair versus secondary treatment. Methods: All EA patients who
underwent posterior tracheopexy from October 2012 to September 2016 were
retrospectively reviewed. Clinical symptoms, tracheomalacia scores, and
persistent airway intrusion were collected. Indication for posterior tracheopexy
was the presence of clinical symptoms, in combination with severe tracheomalacia
as identified on bronchoscopic evaluation, typically defined as coaptation in one
or more regions of the trachea. Secondary cases were usually those with chronic
respiratory symptoms who underwent bronchoscopic evaluation, whereas primary
cases were those found to have severe tracheomalacia on routine preoperative
dynamic tracheobronchoscopy at the time of initial EA repair. Results: A total of
118 patients underwent posterior tracheopexy: 18 (15%) primary versus 100 (85%)
secondary cases. Median (interquartile range) age was 2 months (1-4 months) for
primary (22% type C) and 18 months (8-40 months) for secondary (87% type C) cases
(p < 0.001). There were statistically significant improvements in most clinical
symptoms postoperatively for primary and secondary cases, with no significant
differences in any postoperative symptoms between the two groups (p > 0.1). Total
tracheomalacia scores improved significantly in primary (p = 0.013) and secondary
(p < 0.001) cases. Multivariable Cox regression analysis indicated no differences
in persistent airway intrusion requiring reoperation between primary and
secondary tracheopexy adjusting for imbalances in age and EA type (p = 0.67).
Conclusion: Posterior tracheopexy is effective in treating severe tracheomalacia
with significant improvements in clinical symptoms and degree of airway collapse
on bronchoscopy. With no significant differences in outcomes between primary and
secondary treatment, posterior tracheopexy should be selectively considered at
the time of initial EA repair.
PMID- 29379785
TI - Eosinophilic Gastrointestinal Disorders Pathology.
AB - Eosinophilic gastrointestinal disorders (EGID) are characterized pathologically
by excess eosinophils in mucosal biopsies of one or multiple sites in the
gastrointestinal (GI) tract, simultaneously or sequentially. Eosinophilic
esophagitis (EoE) is the best characterized EGID, and in most patients it is an
abnormal immune-mediated response to food antigens. Current recommendations for
diagnosis include signs and symptoms of esophageal dysfunction that do not
respond to proton-pump inhibitor therapy, and esophageal biopsies that exhibit at
least 15 intraepithelial eosinophils in at least one high power field (HPF).
Therapy consists of swallowed glucocorticoids or dietary elimination.
Eosinophilic gastritis (EG) is the second most common form of EGID, but like all
forms of EGID except EoE consensus recommendations for either clinical or
pathological diagnosis do not exist. EG may be associated clinically with
peripheral blood eosinophilia, hypoalbuminemia, and anemia, and pathologically
with marked expansion of lamina propria by dense eosinophilic infiltrates.
Eosinophilic enteritis (EE) may be subdivided into eosinophilic duodenitis,
eosinophilic jejunitis, and eosinophilic ileitis. Most investigators believe that
EE rarely, if ever, exists as a solitary form of EGID and is encountered only in
patients who have at least one other affected portion of the GI tract.
Eosinophilic colitis (EC) is perhaps the most enigmatic EGID. Distinction of EC
from inflammatory bowel disease may be problematic especially in children.
Multiple possible etiologies for EGID include hypereosinophilic syndrome, drug
reactions, etc. Currently, the only etiology that can be identified
histologically is parasitic infestation, if a portion of an invasive parasite is
found in mucosal biopsies. This review will provide guidelines for the pathologic
diagnosis of the various forms of EGID.
PMID- 29379784
TI - Patient-Reported Outcomes in Patients with Chronic Kidney Disease and Kidney
Transplant-Part 1.
AB - Chronic kidney disease (CKD) is a complex medical condition that is associated
with several comorbidities and requires comprehensive medical management. Given
the chronic nature of the condition, its frequent association with psychosocial
distress, and its very significant symptom burden, the subjective patient
experience is key toward understanding the true impact of CKD on the patients'
life. Patient-reported outcome measures are important tools that can be used to
support patient-centered care and patient engagement during the complex
management of patients with CKD. The routine collection and use of patient
reported outcomes (PROs) in clinical practice may improve quality of care and
outcomes, and may provide useful data to understand the disease from both an
individual and a population perspective. Many tools used to measure PROs focus on
assessing health-related quality of life, which is significantly impaired among
patients with CKD. Health-related quality of life, in addition to being an
important outcome itself, is associated with clinical outcomes such as health
care use and mortality. In Part 1 of this review, we provide an overview of PROs
and implications of their use in the context of CKD. In Part 2, we will review
the selection of appropriate measures and the relevant domains of interest for
patients with CKD.
PMID- 29379787
TI - Computational Study of PCSK9-EGFA Complex with Effective Polarizable Bond Force
Field.
AB - Inhibiting of Proprotein Convertase Subtilisin/Kexin-type 9 (PCSK9) and Low
Density Lipoprotein Receptor (LDLR) binding is an effective way for reducing Low
Density Lipoprotein cholesterol (LDL-C). Understanding the interaction between
PCSK9 and LDLR is useful for PCSK9 inhibitor design. In this work, MD simulations
with the standard (non-polarizable) AMBER force field and effective polarizable
bond (EPB) force field were performed for wild type and four mutants of PCSK9 and
EGFA (Epidermal Growth Factor-like repeat A) domain of LDLR complexes. These four
mutants are gain-of-function mutants. The analysis of hydrogen bond dynamics and
the relative binding free energy indicates that EPB is more reliable in
simulating protein dynamics and predicting relative binding affinity. Structures
sampled from MD simulations with the standard AMBER force field deviate too far
away from crystal structures. Many important interaction components between of
PCSK9 and EGFA no longer exist in the simulation with the Amber force field. For
comparison, simulation using EPB force field gives more stable structures as
shown by hydrogen bond analysis and produced relative binding free energies that
are consistent with experimental results. Our study suggests that inclusion of
polarization effects in MD simulation is important for studying the protein
protein interaction.
PMID- 29379788
TI - Mechanisms and Consequences of Defective Efferocytosis in Atherosclerosis.
AB - Efficient clearance of apoptotic cells, termed efferocytosis, critically
regulates normal homeostasis whereas defective uptake of apoptotic cells results
in chronic and non-resolving inflammatory diseases, such as advanced
atherosclerosis. Monocyte-derived macrophages recruited into developing
atherosclerotic lesions initially display efficient efferocytosis and temper
inflammatory responses, processes that restrict plaque progression. However,
during the course of plaque development, macrophages undergo cellular
reprogramming that reduces efferocytic capacity, which results in post-apoptotic
necrosis of apoptotic cells and inflammation. Furthermore, defective
efferocytosis in advanced atherosclerosis is a major driver of necrotic core
formation, which can trigger plaque rupture and acute thrombotic cardiovascular
events. In this review, we discuss the molecular and cellular mechanisms that
regulate efferocytosis, how efferocytosis promotes the resolution of
inflammation, and how defective efferocytosis leads to the formation of
clinically dangerous atherosclerotic plaques.
PMID- 29379789
TI - Cathepsin S As an Inhibitor of Cardiovascular Inflammation and Calcification in
Chronic Kidney Disease.
AB - Cardiovascular disease (CVD) is responsible for the majority of deaths in the
developed world. Particularly, in patients with chronic kidney disease (CKD), the
imbalance of calcium and phosphate may lead to the acceleration of both vascular
and valve inflammation and calcification. One in two patients with CKD are
reported as dying from cardiovascular causes due to the resulting acceleration in
the development of atherosclerosis plaques. In addition, CKD patients on
hemodialysis are prone to aortic valve calcification and often need valve
replacement before kidney transplantation. The lysosomal proteases, cathepsins,
are composed of 11 cysteine members (cathepsin B, C, F, H, K, L, O, S, V, W, and
Z), as well as serine proteases cathepsin A and G, which cleave peptide bonds
with serine as the amino acid, and aspartyl proteases D and E, which use an
activated water molecule bound to aspartate to break peptide substrate. Cysteine
proteases, also known as thiol proteases, degrade protein via the deprotonation
of a thiol and have been found to play a significant role in autoimmune disease,
atherosclerosis, aortic valve calcification, cardiac repair, and cardiomyopathy,
operating within extracellular spaces. This review sought to evaluate recent
findings in this field, highlighting how among cathepsins, the inhibition of
cathepsin S in particular, could play a significant role in diminishing the
effects of CVD, especially for patients with CKD.
PMID- 29379790
TI - Isolation, Identification, and Characterization of a New Highly Pathogenic Field
Isolate of Mycobacterium avium spp. avium.
AB - Avian tuberculosis is a chronic, contagious zoonotic disease affecting birds,
mammals, and humans. The disease is most often caused by Mycobacterium avium spp.
avium (MAA). Strain resources are important for research on avian tuberculosis
and vaccine development. However, there has been little reported about the newly
identified MAA strain in recent years in China. In this study, a new strain was
isolated from a fowl with symptoms of avian tuberculosis by bacterial culture.
The isolated strain was identified to be MAA by culture, staining, and
biochemical and genetic analysis, except for different colony morphology. The
isolated strain was Ziehl-Zeelsen staining positive, resistant to p-nitrobenzoic
acid, and negative for niacin production, Tween-80 hydrolysis, heat stable
catalase and nitrate production. The strain had the DnaJ gene, IS1245, and IS901,
as well. Serum agglutination indicated that the MAA strain was of serotype 1. The
MAA strain showed strong virulence via mortality in rabbits and chickens. The
prepared tuberculin of the MAA strain had similar potency compared to the MAA
reference strain and standard tuberculin via a tuberculin skin test. Our studies
suggested that this MAA strain tends to be a novel subtype, which might enrich
the strain resource of avian tuberculosis.
PMID- 29379791
TI - MicroRNAs Encoded by Bovine Leukemia Virus (BLV) Are Associated with Reduced
Expression of B Cell Transcriptional Regulators in Dairy Cattle Naturally
Infected with BLV.
AB - Bovine leukemia virus (BLV) is estimated to infect over 83% of dairy herds and
over 40% of all dairy cows in the United States. While, BLV only causes leukemia
in a small proportion of animals, research indicates that BLV+ cattle exhibit
reduced milk production and longevity that is distinct from lymphoma development.
It is hypothesized that BLV negatively affects production by interfering with
cattle immunity and increasing the risk of secondary infections. In particular,
BLV+ cows demonstrate reduced circulating levels of both antigen-specific and
total IgM. This study investigated possible mechanisms by which BLV could
interfere with the production of IgM in naturally infected cattle. Specifically,
total plasma IgM and the expression of genes IGJ, BLIMP1, BCL6, and PAX5 in
circulating IgM+ B cells were measured in 15 naturally infected BLV+ and 15 BLV-
cows. In addition, BLV proviral load (PVL) (a relative measurement of BLV
provirus integrated into host DNA) and the relative expression of BLV TAX and 5
BLV microRNAs (miRNAs) were characterized and correlated to the expression of
selected endogenous genes. BLV+ cows exhibited lower total plasma IgM and lower
expression of IGJ, BLIMP1, and BCL6. While, BLV TAX and BLV miRNAs failed to
correlate with IGJ expression, both BLV TAX and BLV miRNAs exhibited negative
associations with BLIMP1 and BCL6 gene expression. The results suggest a possible
transcriptional pathway by which BLV interferes with IgM production in naturally
infected cattle.
PMID- 29379792
TI - Pathogenesis of Mycobacterium bovis Infection: the Badger Model As a Paradigm for
Understanding Tuberculosis in Animals.
AB - Tuberculosis in animals is caused principally by infection with Mycobacterium
bovis and the potential for transmission of infection to humans is often the
fundamental driver for surveillance of disease in livestock and wild animals.
However, with such a vast array of species susceptible to infection, it is often
extremely difficult to gain a detailed understanding of the pathogenesis of
infection--a key component of the epidemiology in all affected species. This is
important because the development of disease control strategies in animals is
determined chiefly by an understanding of the epidemiology of the disease. The
most revealing data from which to formulate theories on pathogenesis are that
observed in susceptible hosts infected by natural transmission. These data are
gathered from detailed studies of the distribution of gross and histological
lesions, and the presence and distribution of infection as determined by highly
sensitive bacteriology procedures. The information can also be used to establish
the baseline for evaluating experimental model systems. The European badger
(Meles meles) is one of a very small number of wild animal hosts where detailed
knowledge of the pathogenesis of M. bovis infection has been generated from
observations in natural-infected animals. By drawing parallels from other animal
species, an experimental badger infection model has also been established where
infection of the lower respiratory tract mimics infection and the disease
observed in natural-infected badgers. This has facilitated the development of
diagnostic tests and testing of vaccines that have the potential to control the
disease in badgers. In this review, we highlight the fundamental principles of
how detailed knowledge of pathogenesis can be used to evaluate specific
intervention strategies, and how the badger model may be a paradigm for
understanding pathogenesis of tuberculosis in any affected wild animal species.
PMID- 29379793
TI - Effect of Gegen Qinlian Decoction on Cardiac Gene Expression in Diabetic Mice.
AB - The aim of this research is to investigate the therapeutic effect of GGQL
decoction on cardiac dysfunction and elucidate the pharmacological mechanisms.
db/db mice were divided into DB group or GGQL group, and WT mice were used as
control. All mice were accessed by echocardiography. And the total RNA of LV
tissue samples was sequenced, then differential expression genes were analyzed.
The RNA-seq results were validated by the results of RT-qPCR of 4 genes
identified as differentially expressed. The content of pyruvate and ceramide in
myocardial tissue was also measured. The results showed that GGQL decoction could
significantly improve the diastolic dysfunction, increase the content of
pyruvate, and had the trend to reduce the ceramide content. The results of RNA
seq showed that 2958 genes were differentially expressed when comparing the DB
group with the WT group. Among them, compared with the DB group, 26 genes were
differentially regulated in the GGQL group. The expression results of 4 genes
were consistent with the RNA-seq results. Our study reveals that GGQL decoction
has a therapeutic effect on diastolic dysfunction of the left ventricular and the
effect may be related to its role in promoting myocardial glycolysis and
decreasing the content of ceramide.
PMID- 29379794
TI - New Targets for Zika Virus Determined by Human-Viral Interactomic: A
Bioinformatics Approach.
AB - Identifying ZIKV factors interfering with human host pathways represents a major
challenge in understanding ZIKV tropism and pathogenesis. The integration of
proteomic, gene expression and Protein-Protein Interactions (PPIs) established
between ZIKV and human host proteins predicted by the OralInt algorithm
identified 1898 interactions with medium or high score (>=0.7). Targets
implicated in vesicular traffic and docking were identified. New receptors
involved in endocytosis pathways as ZIKV entry targets, using both clathrin
dependent (17 receptors) and independent (10 receptors) pathways, are described.
New targets used by the ZIKV to undermine the host's antiviral immune response
are proposed based on predicted interactions established between the virus and
host cell receptors and/or proteins with an effector or signaling role in the
immune response such as IFN receptors and TLR. Complement and cytokines are
proposed as extracellular potential interacting partners of the secreted form of
NS1 ZIKV protein. Altogether, in this article, 18 new human targets for
structural and nonstructural ZIKV proteins are proposed. These results are of
great relevance for the understanding of viral pathogenesis and consequently the
development of preventive (vaccines) and therapeutic targets for ZIKV infection
management.
PMID- 29379795
TI - Epithelial-Mesenchymal Transition in Pancreatic Cancer: A Review.
AB - Pancreatic ductal adenocarcinoma (PDAC) is one of the most aggressive solid
malignancies and is characterized by its insensitivity to current therapy. The
invasion and metastasis of solid tumors such as PDAC are complex processes
involving many factors. Recent insights into the role of cancer stem cells (CSCs)
and the epithelial-mesenchymal transition (EMT) in tumorigenesis have increased
the knowledge base and highlighted new therapeutic targets of this disease. The
process of EMT is regulated by a complex network of cytokines, transcription
factors, growth factors, signaling pathways, and the tumor microenvironment,
exhibiting CSC-like properties. The transition of solid cancer cells from an
epithelial to a mesenchymal phenotype increases their migratory and invasive
properties, thus promoting metastasis. In PDAC, the exact influence of EMT on the
biological behaviors of cancer cells and its impact on clinical therapy remain
controversial, but the therapeutic strategy of combining EMT inhibition with
chemotherapy deserves attention. Alternatively, anti-inflammatory therapy that
targets the interaction between inflammation and EMT is a valid strategy for
treating the premalignant stage of tumor progression. In this review, we
summarize the latest research on EMT and the potential relationship between EMT
and PDAC.
PMID- 29379796
TI - Tracking the Origin and Deciphering the Phylogenetic Relationship of Porcine
Epidemic Diarrhea Virus in Ecuador.
AB - In 2010, new Chinese strains of porcine epidemic diarrhea virus (PEDV),
clinically more severe than the classical strains, emerged. These strains were
spread to United States in 2013 through an intercontinental transmission from
China with further spreading across the world, evidencing the emergent nature of
these strains. In the present study, an analysis of PEDV field sequences from
Ecuador was conducted by comparing all the PEDV S gene sequences available in the
GenBank database. Phylogenetic comparisons and Bayesian phylogeographic inference
based on complete S gene sequences were also conducted to track the origin and
putative route of PEDV. The sequence from the PED-outbreak in Ecuador was grouped
into the clade II of PEDV genogroup 2a together with other sequences of isolates
from Mexico, Canada, and United States. The phylogeographic study revealed the
emergence of the Chinese PEDV strains, followed by spreading to US in 2013, from
US to Korea, and later the introduction of PEDV to Canada, Mexico, and Ecuador
directly from the US. The sources of imports of live swine in Ecuador in 2014
were mainly from Chile and US. Thus, this movement of pigs is suggested as the
main way for introducing PEDV to Ecuador.
PMID- 29379797
TI - Detection and Characterization of Hepatitis E Virus in Goats at Slaughterhouse in
Tai'an Region, China.
AB - Background: Hepatitis E virus (HEV) is a significant pathogen of viral hepatitis
and can be transmitted through fecal-oral route. Epidemiological data concerning
HEV in goats, however, are relatively sparse to date. Here, the prevalence and
characteristics of HEV isolated from goats at slaughterhouse were investigated in
Tai'an region, China. Methods: Anti-HEV immunoglobulin G (IgG) in blood samples
and HEV RNA in the liver samples were determined by using an enzyme-linked
immunosorbent assay (ELISA) and a nested reverse transcription polymerase chain
reaction (RT-PCR), respectively. In addition, partial nucleotide sequences of
open reading frame 2 (ORF-2) of HEV isolates were analyzed. Results: Fifty goat
blood samples (46.7%, 50/120) were masculine for anti-HEV IgG. HEV RNA was
detected in 2 liver samples (4.0%, 2/50) and belonged to genotype 4 subtype 4 h,
with high identity (91.2-93%) with cow HEV strains detected in the same province,
China. Conclusions: These findings demonstrated that goats may be an important
reservoir for HEV and can become a major source of HEV infection in humans via
food chain.
PMID- 29379798
TI - Role of Transient Receptor Potential Vanilloid 1 in Electroacupuncture Analgesia
on Chronic Inflammatory Pain in Mice.
AB - Chronic inflammatory pain may result from peripheral tissue injury or
inflammation, increasing the release of protons, histamines, adenosine
triphosphate, and several proinflammatory cytokines and chemokines. Transient
receptor potential vanilloid 1 (TRPV1) is known to be involved in acute to
subacute neuropathic and inflammatory pain; however, its exact mechanisms in
chronic inflammatory pain are not elucidated. Our results showed that EA
significantly reduced chronic mechanical and thermal hyperalgesia in the chronic
inflammatory pain model. Chronic mechanical and thermal hyperalgesia were also
abolished in TRPV1-/- mice. TRPV1 increased in the dorsal root ganglion (DRG) and
spinal cord (SC) at 3 weeks after CFA injection. The expression levels of
downstream molecules such as pPKA, pPI3K, and pPKC increased, as did those of
pERK, pp38, and pJNK. Transcription factors (pCREB and pNFkappaB) and nociceptive
ion channels (Nav1.7 and Nav1.8) were involved in this process. Inflammatory
mediators such as GFAP, S100B, and RAGE were also involved. The expression levels
of these molecules were reduced in EA and TRPV1-/- mice but not in the sham EA
group. Our data provided evidence to support the clinical use of EA for treating
chronic inflammatory pain.
PMID- 29379800
TI - Lateral Ramus Cortical Bone Plate in Alveolar Cleft Osteoplasty with Concomitant
Use of Buccal Fat Pad Derived Cells and Autogenous Bone: Phase I Clinical Trial.
AB - Tissue regeneration has become a promising treatment for craniomaxillofacial bone
defects such as alveolar clefts. This study sought to assess the efficacy of
lateral ramus cortical plate with buccal fat pad derived mesenchymal stem cells
(BFSCs) in treatment of human alveolar cleft defects. Ten patients with
unilateral anterior maxillary cleft met the inclusion criteria and were assigned
to three treatment groups. First group was treated with anterior iliac crest
(AIC) bone and a collagen membrane (AIC group), the second group was treated with
lateral ramus cortical bone plate (LRCP) with BFSCs mounted on a natural bovine
bone mineral (LRCP+BFSC), and the third group was treated with AIC bone, BFSCs
cultured on natural bovine bone mineral, and a collagen membrane (AIC+BFSC). The
amount of regenerated bone was measured using cone beam computed tomography 6
months postoperatively. AIC group showed the least amount of new bone formation
(70 +/- 10.40%). LRCP+BFSC group demonstrated defect closure and higher amounts
of new bone formation (75 +/- 3.5%) but less than AIC+BFSC (82.5 +/- 6.45%),
suggesting that use of BFSCs within LRCP cage and AIC may enhance bone
regeneration in alveolar cleft bone defects; however, the differences were not
statistically significant. This clinical trial was registered at
clinicaltrial.gov with NCT02859025 identifier.
PMID- 29379799
TI - Diabetes Mellitus and Risk of Hepatocellular Carcinoma.
AB - The occurrence of hepatocellular carcinoma (HCC) is two to three times higher in
patients with diabetes mellitus (DM), the prevalence of which is increasing
sharply worldwide. The purpose of this review was to describe clinical links
between DM and HCC and potential biological mechanisms that may account for this
association. We evaluated the role of potential pathways that could account for
the development of HCC with different etiologies in the presence of DM. In
addition, we also briefly discuss the potential effect of other factors such as
type and dosage of antidiabetic medicines and duration of DM on HCC risk.
PMID- 29379801
TI - Quercetin Improves Glucose and Lipid Metabolism of Diabetic Rats: Involvement of
Akt Signaling and SIRT1.
AB - Glucose and lipid metabolism disorder in diabetes mellitus often causes damage to
multiple tissues and organs. Diabetes mellitus is beneficially affected by
quercetin. However, its concrete mechanisms are yet to be fully elucidated. In
our study, diabetes was induced in Sprague-Dawley rats by STZ injection. The rats
were randomly divided into normal control, diabetic model, low-dose quercetin
treatment, high-dose quercetin treatment, and pioglitazone treatment groups.
Fasting blood glucose was collected to evaluate diabetes. Immunohistochemistry
and fluorometric assay were performed to explore SIRT1. Akt levels were measured
through immunoprecipitation and Western blot. After 12 weeks of quercetin
treatment, the biochemical parameters of glucose and lipid metabolism improved to
varying degrees. Hepatic histomorphological injury was alleviated, and hepatic
glycogen content was increased. The expression and activity of hepatic SIRT1 were
enhanced, and Akt was activated by phosphorylation and deacetylation. These
results suggested that the beneficial effects of quercetin on glucose and lipid
metabolism disorder are probably associated with the upregulated activity and
protein level of SIRT1 and its influence on Akt signaling pathway. Hence,
quercetin shows potential for the treatment of glucose and lipid metabolism
disorder in diabetes mellitus.
PMID- 29379803
TI - Delayed Cardiac Rupture Induced by Traumatic Myocardial Infarction: Consequence
of a 45-Magnum Blast Injury; A Comprehensive Case Review.
AB - A penetrating chest trauma, a myocardial contusion or a myocardial infarction can
lead to a cardiac rupture, which is linked to an extreme high death rate. Only
few cases with delayed perforation of the myocardium have been reported in
literature. We report about a penetrating gunshot injury, which led to a
myocardial contusion with secondary delayed rupture of the left ventricle and the
left inferior lobe of the lung. The leakage of the lesion in the left ventricle
could be sealed sufficiently with fibrin-coated collagen fleeces after adapting
stitches with Prolene 2-0. For additional stabilization of the vulnerable
myocardium area, a bovine patch has been placed on the damaged ventricle. Fibrin
fleeces are used successfully in cardiac surgery, as in our case, to seal the
leakage of the lesion in the left ventricle. The implantation of a bovine patch
in the pericardium could prevent a cardiac compartment syndrome with a fatal
pericardial tamponade. To prohibit a thoracic compartment syndrome a modified
Bogota bag could be sewed in for temporarily closure of the chest. In most cases
penetrating cardiac injuries can be treated without heart-lung-machines. An
immediate transfer to a cardio-surgical center is, due to the acute situation,
not possible. If a surgeon with thoraco-surgical expertise is present a transfer
is not absolutely necessary.
PMID- 29379802
TI - Inflammatory Cytokine Signaling during Development of Pancreatic and Prostate
Cancers.
AB - Inflammation is essential for many diseases including cancer. Activation and
recruitment of immune cells during inflammation result in a cytokine- and
chemokine-enriched cell environment, which affects cancer development. Since each
type of cancer has its unique tumor environment, effects of cytokines from
different sources such as tumor-infiltrating immune cells, stromal cells,
endothelial cells, and cancer cells on cancer development can be quite complex.
In this review, how immune cells contribute to tumorigenesis of pancreatic and
prostate cancers through their secreted cytokines is discussed. In addition, the
cytokine signaling that tumor cells of pancreatic and prostate cancers utilize to
benefit their own survival is delineated.
PMID- 29379804
TI - Effects of Aloe Vera and Chitosan Nanoparticle Thin-Film Membranes on Wound
Healing in Full Thickness Infected Wounds with Methicillin Resistant
Staphylococcus Aureus.
AB - Objective: To assess effect of Aleo vera with chitosan nanoparticle biofilm on
wound healing in full thickness infected wounds with antibiotic resistant gram
positive bacteria. Method: Thirty rats were randomized into five groups of six
rats each. Group I: Animals with uninfected wounds treated with 0.9% saline
solution. Group II: Animals with infected wounds treated with saline. Group III:
Animals with infected wounds were dressed with chitosan nanoparticle thin-film
membranes. Group IV: Animals with infected wounds were treated topically with
Aloe vera and Group V: Animals with infected wounds were treated topically with
Aloe vera and dressed with chitosan nanoparticle thin-film membranes. Wound size
was measured on 6, 9, 12, 15, 18 and 21days after surgery. Results: Microbiology,
reduction in wound area and hydroxyproline contents indicated that there was
significant difference (p<0.05) between group V and other groups. Quantitative
histological studies and mean rank of the qualitative studies demonstrated that
there was significant difference (p<0.05) between group V and other groups.
Conclusion: The Aloe vera with chitosan nanoparticle thin-film membranes had a
reproducible wound healing potential and hereby justified its use in practice.
PMID- 29379805
TI - Descriptive Analysis of Right and Left-sided Traumatic Diaphragmatic Injuries;
Case Series from a Single Institution.
AB - Objective: To investigate the presentation, management and outcomes of left and
right-sided traumatic diaphragmatic injury (TDI) in a single level I trauma
center. Methods: This cross-sectional study was conducted during a 7-year period
from 2008 to 2015 in a level I trauma center in Qatar. We included all the
patients who presented with TDIs during the study period. Data included
demographics, mechanism of injury, associated injuries, initial vitals, emergency
department disposition, length of ICU and hospital stay, ventilator days,
management, and outcomes. The variables were analyzed and compared for patients
with left (LTDI) and right (RTDI). Results: A total of 52 TDI cases (79% LTDI and
21% RTDI) were identified with a mean age of 31+/-11. LTDI patients were more
likely to have higher Injury severity scores (p=0.50) and greater AAST organ
injury scoring (p=0.661 for all) than RTDI patients. Surgical repair was
performed for 85% LTDI vs. 73% RTDI (p=0.342). Recurrent DIs was reported only in
LTDI (5.1% vs. 0.0%; p=0.911). Twelve patients died (9 LTDI and 3 RTDI), of them
5 had associated head injury. Conclusion: This single-institution study confirms
that LTDI are more commonly diagnosed than RTDI. Exploratory laparotomy is the
most frequent procedure considered for the management of diaphragmatic injuries
in the emergency settings. To improve outcomes in patients presenting with TDI,
large prospective multicenter studies are needed to standardize the TDI
management protocols including the diagnostic workup, timing of surgical
intervention, and the most appropriate approach of treatment.
PMID- 29379806
TI - The Predictive Value of Repeated Abdominal Ultrasonography in Patients with
Multiple Trauma and Decreased Level of Consciousness: The Experience of a
Resource-Limited Centre.
AB - Objective: To determine the predictive value of repeated abdominal
ultrasonography in patients with multiple trauma and decreased level of
consciousness (LOC). Methods: This prospective cross-sectional study was
conducted over a six-month period at Shahid Rajaee Trauma Hospital, Shiraz, Iran.
We included hemodynamically stable blunt abdominal trauma patients with a
decreased LOC (Glasgow Coma Scale <= 13) who were referred to the neurosurgery
ICU ward. Included cases underwent 1 contrast-enhanced CT scan and two-time
ultrasonographic study of the abdomen with an interval of 48 hours. The
diagnostic accuracy of the ultrasonography was determined according to the CT
scan results. Results: Overall 80 patients with mean age of 37.75 +/- 18.67 years
were included. There were 17 (21.3%) women and 63 (78.8%) men among the patients.
Compared with the CT-Scan, the first ultrasonography showed a sensitivity of 60%,
specificity of 80%, PPV of 16.60%, NPV of 96.80%, and a diagnostic accuracy of
70%. The same values for the second ultrasonographic study were 80%, 79%, 20%,
98%, and 79%, respectively. In 4 (5%) patients whose first ultrasonography and CT
scan results were negative, the second ultrasonography was positive for injury.
Conclusion: In patients with blunt trauma to the abdomen, when the only
indication of abdominal CT scan is a decreased LOC, two ultrasonographic studies
can replace a CT imaging.
PMID- 29379807
TI - Efficacy and Safety of Morphine and Low Dose Ketamine for Pain Control of
Patients with Long Bone Fractures: A Randomized, Double-Blind, Clinical Trial.
AB - Objective: To compare the effects of intravenous morphine and a low dose of
ketamine on pain intensity of patients with traumatic fractures of the long
bones. Methods: This randomized, controlled, double-blinded, clinical trial was
conducted in the adult emergency department (ED) of Emam Khomeini hospital, a
tertiary general hospital affiliated with Mazandaran University of Medical
Sciences, in Northern Iran, during a 6-month period. Patients were randomly
assigned to receive intravenous morphine (0.1 mg/kg) or low dose ketamine (0.5
mg/kg) for control of the pain in the emergency room. The pain intensity was
checked by a nurse using the visual analogue scale (VAS) at 30, 60, 90, 120, 180
and 240, minutes after the intervention. Results: Overall we included a total
number of 156 patients with mean age of 35.87+/-3.38 years. There were 111
(71.2%) men and 4 (28.8%) women among the patients. Patients were randomly
assigned to receive intravenous morphine (n=78) or low dose ketamine (n=78). The
pain intensity decreased significantly in both study groups after 240 minutes of
intervention. However, there was no significant difference between the two study
groups regarding the pain intensity at 30 (p=0.378), 60 (p=0.927), 90 (p=0.434),
120 (p=0.557), 180 (p=0.991) and 240 (p=0.829) minutes. The side effects were
comparable while low dose ketamine was associated with higher need for rescue
analgesic (p=0.036). Conclusion: The results of the current study demonstrates
that the intravenous low dose ketamine leads to successful pain control in
patients with long bone fractures and the effects are comparable with intravenous
morphine.
PMID- 29379808
TI - Outcome after Surgical Management of Acetabular Fractures: A 7-Year Experience.
AB - Objective: To determine the functional and radiologic results of surgical
treatment in patients with acetabular fractures. Methods: This was a
retrospective cross-sectional study. We retrospectively reviewed medical records
of patients operatively treated acute acetabular fractures at a level I trauma
center (Shahid Rajaee) and an orthopedic center (Shahid Chamran) both in southern
Iran (Shiraz) with minimally 1 year follow up over a period of 7 years from April
2009 to March 2016. Functional and radiographic outcomes, and complication were
considered as main outcomes. Results: A total number of 79 patients completed the
study. Fifty-five patients were operated through Kocher-Langenbeck approach, and
18 were operated through the standard ilioinguinal approach, and 6 patients were
operated through the standard ilioinguinal approach combined with Kocher
Langenbeck approach. The mean follow-up of patients was 45.6 months. The average
operative time was 162.4+/-78.5 min, and the median blood loss was 500 ml.
Functional results were excellent in 41 patients (51.9%), good in 12 (15.2%),
fair in 13 (16.5%), and poor in 13 patients (16.5%). Radiologic results were
excellent in 27 cases (34.2%), good in 17 cases (21.5%), fair in 18 cases
(22.8%), and poor in 16 (16.5%). Osteoarthritis of hip (60.8%) and AVN of head of
femur (22.8%) were two most common complications. In addition, there wasn't any
significant difference between surgical approaches regarding clinical and
radiographic outcomes. Conclusion: The operative treatment for acetabular
fractures gives universally satisfactory results. Thereafter, this study provides
evidence that ilioinguinal approach is a good choice for anterior fractures,
Kocher-Langenbeck is a good choice for posteriors fractures, and combined
approach may be a good choice in the management of acetabular fractures involving
two columns.
PMID- 29379809
TI - Epidemiology and Pattern of Traumatic Brain Injury in a Developing Country
Regional Trauma Center.
AB - Objective: To determine the epidemiological aspects of patients with traumatic
brain injury (TBI) in a regional trauma center. Methods: A cross-sectional study
was conducted on patients with TBI during 2013 to 2016 in a single center in
Hamedan, central Iran. The distribution and relationships of TBI was assessed
with gender, age, type of trauma, traumatic cause, exiting status and Length of
Hospitalization (LOH). Data were analyzed by Stata V11 statistical software.
Results: In general, 9426 patients with TBI were enrolled in analyses. The mean
+/- SD age of patients was 29.70 (+/- 21.46) years. Multivariate logistic
regression indicated that being male [OR: 1.29; 95% CI (2.92-4.73), P <=0.001],
41-50 to 71-80 and 90+ years old' age groups (1.325 days [OR: 2.01; 95% CI (1.60
2.52), p<=0.001] and different types of trauma were significantly related to
mortality. Conclusion: TBI is one of the main causes of mortality and LOH of the
young population. By providing preventive measures and a traumatic care system,
the burden of trauma can be greatly reduced, the implementation of the trauma
care system in Hamedan province is a necessity.
PMID- 29379810
TI - The Frequency of Brain CT-Scan Findings in Patients with Scalp Lacerations
Following Mild Traumatic Brain Injury; A Cross-Sectional Study.
AB - Objective: To determine the frequency of the brain CT-scan findings in patients
with mild traumatic brain injury (TBI) and scalp lacerations. Methods: This cross
sectional study was conducted during a 1-year period from March 2016 to March
2017 in Level I trauma center in Shiraz, Southern Iran. We included all the adult
patients (>=18 years) admitted to our emergency room with mild TBI (GCS on
admission of 15) and scalp lacerations. All the patients underwent Brain CT-Scan
and the scans were reviewed by two radiologists who were unaware of the patients'
clinical findings. The results are reported as proportions and frequencies.
Results: Overall we included a total number of 94 patients with minimal TBI who
had a scalp laceration on admission. The mean age of the patients was 30.78 +/-
8.01 (ranging from 18 to 47) years. There were 58 (61.7%) men and 36 (38.3%)
women among the patients. The most common finding of the Brain CT-Scan was
subgaleal hematoma in 76 (80.9%) patients followed by base skull base fracture in
7 (7.4%), linear skull fracture in 7 (7.4%), brain contusion in 3 (3.2%) and
subdural hematoma in 1 (1.1%). Conclusion: The results of the current study
indicate that scalp lacerations are associated with intracranial injuries in
about 20% of the patients with mild TBI. Thus brain CT-scan is recommended in all
the patients with mild TBI and scalp lacerations.
PMID- 29379811
TI - Determinants of the Lethal Area 50 Index (LA50) in Burn Patients Admitted to a
Tertiary Referral Burn Center in Southern Iran.
AB - Objective: To evaluate the lethal area 50 (LA50) and determinants of mortality in
burn patients admitted to a single burn center. Methods: This retrospective cross
sectional study was conducted in a tertiary burn center affiliated with Shiraz
University of Medical Sciences, Shiraz, Iran, during a 1-year period from 2015 to
2016. To determine prognostic factors in fatal burns, medical records of eligible
burn patients were reviewed for demographic and clinical variables, as well as
patient outcome. Also, LA50 was calculated using Probit analysis. Results:
Overall 559 patients with the mean age of 27.2+/-23.65 years and including 343
(61.4%) males and 216 (38.6%) females were enrolled in this study. The average
burn TBSA% was 31.38+/-24.41% (1-100%). Duration of hospital stay ranged from 1
to 67 days (15.11+/-10.64). With 93 expired patients, the mortality rate was
calculated to be 16.6%. The total LA50 was 66.55% (58.4-79.3). Fire was the most
common cause of burn injury. Conclusion: Compared to developed countries, in our
burn center the LA50 and survival rate of burn patients are lower. This indicates
an urgent need for prompt attention in order to improve current policies
regarding this public health issue to reduce mortality.
PMID- 29379812
TI - Characteristics of the Traumatic Forensic Cases Admitted To Emergency Department
and Errors in the Forensic Report Writing.
AB - Objective: To identify errors in forensic reports and to describe the
characteristics of traumatic medico-legal cases presenting to the emergency
department (ED) at a tertiary care hospital. Methods: This study is a
retrospective cross-sectional study. The study includes cases resulting in a
forensic report among all traumatic patients presenting to the ED of Adiyaman
University Training and Research Hospital, Adiyaman, Turkey during a 1-year
period. We recorded the demographic characteristics of all the cases, time of
presentation to the ED, traumatic characteristics of medico-legal cases, forms of
suicide attempt, suspected poisonous substance exposure, the result of follow-up
and the type of forensic report. Results: A total of 4300 traumatic medico-legal
cases were included in the study and 72% of these cases were male. Traumatic
medico-legal cases occurred at the greatest frequency in July (10.1%) and 28.9%
of all cases occurred in summer. The most frequent causes of traumatic medico
legal cases in the ED were traffic accidents (43.4%), violent crime (30.5%), and
suicide attempt (7.2%). The most common method of attempted suicide was drug
intake (86.4%). 12.3% of traumatic medico-legal cases were hospitalized and 24.2%
of those hospitalized were admitted to the orthopedics service. The most common
error in forensic reports was the incomplete recording of the patient's
"cooperation" status (82.7%). Additionally, external traumatic lesions were not
defined in 62.4% of forensic reports. Conclusion: The majority of traumatic
medico-legal cases were male age 18-44 years, the most common source of trauma
was traffic accidents and in the summer months. When writing a forensic report,
emergency physicians made mistakes in noting physical examination findings and
identifying external traumatic lesions. Physicians should make sure that the
traumatic medico-legal patients they treat have adequate documentation for
reference during legal proceedings. The legal duties and responsibilities of
physicians should be emphasized with in-service training.
PMID- 29379813
TI - Tips on Reporting a Systematic Review.
PMID- 29379814
TI - Letter to the Editor Regarding "Tips on Reporting Systematic Reviews".
PMID- 29379815
TI - Post-Fundoplication Dumping Syndrome: A Frequent "Rare" Complication.
AB - Gastroparesis, caused by delayed emptying of the stomach, has been shown to be
associated with Nissen fundoplication. However, symptomatic rapid emptying of the
stomach is rare after Nissen fundoplication, and its treatment is often
challenging. We report 2 patients with dumping-like syndrome post-fundoplication
with marked improvement of symptoms after dietary management and medical
treatment.
PMID- 29379816
TI - Hepatopleural Fistula with Empyema Thoracis: A Rare Complication of Autosomal
Dominant Polycystic Kidney Disease.
AB - We report a 70-year-old man with autosomal dominant polycystic kidney disease
(ADPKD) who presented with right-sided extended-spectrum beta-lactamases
Escherichia coli empyema thoracis. Chest and abdominal computed tomography showed
hepatopleural fistula. The patient refused a surgical operation and was treated
with tube thoracotomy, percutaneous drainage of dominant liver cyst, and
intravenous antibiotics. His symptoms improved after 2 months of nonsurgical
treatment.
PMID- 29379817
TI - Successful Treatment of Cannabinoid Hyperemesis Syndrome with Topical Capsaicin.
AB - Cannabinoid hyperemesis syndrome (CHS) is a clinical entity in which marijuana
users develop nausea, vomiting, and abdominal pain that improves with hot water
bathing or cannabis cessation. Previous models suggest that CHS arises solely
from the derangement of cannabinoid receptor type 1 signaling. However,
involvement of transient receptor potential vanilloid subtype 1 (TRPV1) receptor,
which is activated by marijuana, capsaicin, and heat, could fill gaps in existing
models, including the enigmatic role of hot water bathing. We propose that
chronic cannabis use decreases TRPV1 signaling and alters gastric motility, and
we report the case of a CHS patient whose symptoms improved after topical
capsaicin.
PMID- 29379818
TI - MerTK-mediated regulation of myelin phagocytosis by macrophages generated from
patients with MS.
AB - Objective: To document functional differences between monocyte-derived
macrophages (MDMs) of patients with MS and the ability of age/sex-matched healthy
donor cells to phagocytose human myelin and to investigate the molecular
mechanisms that underlie this. Methods: MDMs were derived from peripheral blood
monocytes of 25 untreated patients with relapsing-remitting MS and secondary
progressive MS and age/sex-matched healthy controls (HCs). Phagocytosis was
assessed by flow cytometry using fluorescently labeled human myelin.
Quantification of messenger RNA and protein expression of Tyro3, Axl, and MerTK
family molecules was determined by quantitative PCR, Western blotting, and flow
cytometry. Results: Cells of patients with MS display a reduced ability to
phagocytose human myelin but not red blood cells as compared to matched HCs.
These cells express significantly lower levels of the phagocytic tyrosine kinase
receptor, MerTK, and its natural ligand, growth arrest-specific 6, independently
of the activation state of the cells. Increased expression of interleukin 10
following myelin uptake by healthy donor cells is lost in MDMs of patients with
MS; this effect is mediated through the MerTK pathway. Treatment of MS cells with
transforming growth factor beta (TGFbeta) restored both phagocytosis and
expression deficits. Conclusions: We describe a molecular mechanism that
underlies a defect in myelin phagocytosis by macrophages generated from patients
with MS. This abnormality involves decreased expression of MerTK and its ligands
and can be rescued by treatment with TGFbeta.
PMID- 29379819
TI - Elevated EBNA-1 IgG in MS is associated with genetic MS risk variants.
AB - Objective: To assess whether MS genetic risk polymorphisms (single nucleotide
polymorphism [SNP]) contribute to the enhanced humoral immune response against
Epstein-Barr virus (EBV) infection in patients with MS. Methods: Serum anti-EBV
nuclear antigen 1 (EBNA-1) and early antigen D (EA-D) immunoglobulin gamma (IgG)
levels were quantitatively determined in 668 genotyped patients with MS and 147
healthy controls. Anti-varicella-zoster virus (VZV) IgG levels were used as a
highly prevalent, non-MS-associated control herpesvirus. Associations between
virus-specific IgG levels and MS risk SNPs were analyzed. Results: IgG levels of
EBNA-1, but not EA-D and VZV, were increased in patients with MS compared with
healthy controls. Increased EBNA-1 IgG levels were significantly associated with
risk alleles of SNP rs2744148 (SOX8), rs11154801 (MYB), rs1843938 (CARD11), and
rs7200786 (CLEC16A/CIITA) in an interaction model and a trend toward significance
for rs3135388 (HLA-DRB1*1501). In addition, risk alleles of rs694739 (PRDX5/BAD)
and rs11581062 (VCAM1) were independently associated and interacted with normal
EBNA-1 IgG levels. None of these interactions were associated with EA-D and VZV
IgG titers. Conclusions: Several MS-associated SNPs significantly correlated with
differential IgG levels directed to a latent, but not a lytic EBV protein. The
data suggest that the aforementioned immune-related genes orchestrate the
aberrant EBNA-1 IgG levels.
PMID- 29379820
TI - MS AHI1 genetic risk promotes IFNgamma+ CD4+ T cells.
AB - Objective: To study the influence of the Abelson helper integration site 1 (AHI1)
locus associated with MS susceptibility on CD4+ T cell function. Methods: We
characterized the chromatin state of T cells in the MS-associated AHI1 linkage
disequilibrium (LD) block. The expression and the role of the AHI1 variant were
examined in T cells from genotyped healthy subjects who were recruited from the
PhenoGenetic Project, and the function of AHI1 was explored using T cells from
Ahi1 knockout mice. Results: Chromatin state analysis reveals that the LD block
containing rs4896153, which is robustly associated with MS susceptibility (odds
ratio 1.15, p = 1.65 * 10-13), overlaps with strong enhancer regions that are
present in human naive and memory CD4+ T cells. Relative to the rs4896153A
protective allele, the rs4896153T susceptibility allele is associated with
decreased AHI1 mRNA expression, specifically in naive CD4+ T cells (p = 1.73 * 10
74, n = 213), and we replicate this effect in an independent set of subjects (p =
2.5 * 10-9, n = 32). Functional studies then showed that the rs4896153T risk
variant and the subsequent decreased AHI1 expression were associated with reduced
CD4+ T cell proliferation and a specific differentiation into interferon gamma
(IFNgamma)-positive T cells when compared with the protective rs4896153A allele.
This T cell phenotype was also observed in murine CD4+ T cells with genetic
deletion of Ahi1. Conclusions: Our findings suggest that the effect of the AHI1
genetic risk for MS is mediated, in part, by enhancing the development of
proinflammatory IFNgamma+ T cells that have previously been implicated in MS and
its mouse models.
PMID- 29379821
TI - Multiplex family with GAD65-Abs neurologic syndromes.
AB - Objective: Neurologic autoimmune syndromes associated with anti-glutamate acid
decarboxylase 65 antibodies (GAD65-Abs) are rare and mostly sporadic. Methods: We
describe a niece and her aunt with GAD65-Abs neurologic syndromes. High
resolution HLA typing of Class I and Class II alleles was performed using next
generation sequencing. Results: The proband had cerebellar ataxia and probable
limbic encephalitis features, whereas her niece had stiff-person syndrome. Both
had a high titer of GAD65-Abs in serum and CSF and showed signs of inflammation
in CSF. Both affected members carried the same rare recombinant
DRB1*15:01:01~DQA1*01:02:01~DQB1*05:02:01 haplotype, which may or may not be
involved in disease susceptibility. Of interest, other unaffected members of the
family either had the same HLA haplotype but normal serum GAD65-Abs or had
different HLA types but a high titer of serum GAD65-Abs without neurologic
symptoms, suggesting cumulative effects. Conclusions: This unique association
strengthens the concept that hereditary factors, possibly including specific HLA
haplotypes, play a role in neurologic syndromes associated with GAD65-Abs.
PMID- 29379822
TI - ITPR1 autoimmunity: Frequency, neurologic phenotype, and cancer association.
PMID- 29379824
TI - Palliative Care: Opportunities for Nursing.
AB - Ayda G. Nambayan, PhD, RN is the Training Consultant for The Ruth Foundation for
Palliative and Hospice Care. Prior to this, she held various positions as a
Consultant for Advanced Education and Training at Makati Medical Center,
Philippines; a curriculum and distance learning developer for www.Cure4Kids.org,
the educational website of the International Outreach Program of St. Jude
Children's Research Hospital in Memphis, TN. In 2002, she retired from a faculty
position from the University of Alabama at Birmingham, where she taught Adult
Health Nursing for 25 years. Her nursing degrees were from the University of
Santo Tomas, Manila, Philippines, Teacher's College, Columbia University in New
York, NY and The University of Alabama at Birmingham, Birmingham, AL. Among her
many professional awards include the Oncology Nursing Society's Pearl Moore
Making a Difference Award in Oncology Nursing, International Award for
Contributions in Cancer Care and the End of Life Nursing Education Consortium
award for Pediatric Education.
PMID- 29379823
TI - Disease activity following pregnancy-related discontinuation of natalizumab in
MS.
AB - Objective: To investigate disease activity and disability progression following
pregnancy-related discontinuation of natalizumab (NTZ) in patients with relapsing
remitting MS. Methods: A retrospective cohort study of clinical and radiologic
data in patients who discontinued NTZ for pregnancy-related reasons. Results:
Twenty-two pregnancy-related NTZ discontinuations in 17 patients were evaluated.
The median time to conception was 3.4 months. Relapses were more frequent in
patients in whom conception did not occur within 6 months (p = 0.022). Confirmed
disability progression occurred in 27.3% and was associated with time to
conception (p < 0.001). Conclusions: Early conception after NTZ discontinuation
is associated with a reduced risk of disease activity and disability progression.
Continuation of NTZ treatment until confirmed pregnancy should be considered in
patients with previously active MS. However, the advantages of continuing the
drug until pregnancy should be balanced against the uncertainties in postnatal
outcomes.
PMID- 29379825
TI - Nursing and the Future of Palliative Care.
PMID- 29379826
TI - Empowering Nurses through End-of-Life Nursing Education in Asia: Nurses as
Advocates for Patients' Dignity.
PMID- 29379827
TI - The Status of Palliative Care in the Asia-Pacific Region.
PMID- 29379828
TI - Providing Palliative Care Education: Showcasing Efforts of Asian Nurses.
AB - Most of the world's population lives in Asia. Prevention and detection of cancer,
as well as ensuring equitable access to cancer care for all Asians remains a
major public health issue and requires governmental involvement and dedicated
resources. Palliative care, a medical and nursing specialty, promotes holistic
attention to suffering and provides compassionate and interdisciplinary care to
the most vulnerable in all societies-those with serious illness. It is nurses who
provide the majority of care for patients with cancer, as no other healthcare
professional spends more time at the bedside or out in the community assessing
and managing these patients and their families. The purpose of this article is to
showcase nursing leaders throughout Asia who are receiving palliative care
education, educating their colleagues in this care, improving clinical practice,
participating in the development of healthcare policies, and advocating for this
care.
PMID- 29379829
TI - Singapore Takes Six Steps Forward in 'The Quality of Death Index' Rankings.
AB - In the latest 2015 Quality of Death Index, Singapore managed to move SIX steps
forward from 18th to the 12th position. This advancement has been hard-won, with
victories to improve the level of palliative care such as creating awareness of
palliative service, improving coordinated care and growing an adequate capacity
to meet the demand of care in our fast -growing ageing population. But it hasn't
always been easy. Despite being a first world country, Asian societies like
Singapore have inherited taboos regarding public dialogue about death and dying.
Such dialogue is traditionally avoided. However, through years of continual
effort in improving the standard of palliative care delivery, redesigning
education module, creating public awareness and improving funding system,
Singapore's palliative care providers have improved the lives of those with life
limiting illnesses. Nevertheless, the government will continue to improve and
work toward achieving single digits in the next ranking of the Quality of Death
Index.
PMID- 29379830
TI - Hospice and Palliative Care in China: Development and Challenges.
AB - Hospice and palliative care have been demonstrated to improve quality of life,
shorten hospital stays, and save costs. As a developing country, faced with a
rapidly aging population and an increasing number of patients with life
threatening illnesses such as cancer, China has made great progress in promoting
hospice and palliative care during the past few decades. A trained nurse can play
a significant role in promoting quality hospice and palliative care by providing
care, coordinating a multidisciplinary team, calling for policy support, and
raising public awareness. It is critical for nurses to clearly understand the
development and challenges that currently exist in the country. With this
awareness, nurses will improve their ability to recognize and address patients'
and families' needs, resulting in quality, dignified, and individualized end-of
life care.
PMID- 29379832
TI - Cancer in the Elderly: Challenges and Barriers.
PMID- 29379831
TI - Translating a US Early Palliative Care Model for Turkey and Singapore.
AB - The field of palliative care is growing in acceptance and sophistication
globally. No longer considered just for patients at end-of-life, palliative care
is now being incorporated early in the disease trajectory. Despite professional
guidelines supporting early palliative care, there are few models that have been
created that can be translated into practice cross-culturally. In the United
States, the Educate, Nurture, Advise, Before, Life Ends (ENABLE) early palliative
care telehealth model has demonstrated effectiveness in improving quality of
life, mood, symptom relief, and survival for patients with cancer and is now
being tested in patients with heart failure. Family caregivers of patients who
have received ENABLE concurrent with their care recipients have also demonstrated
positive outcomes in quality of life and caregiver burden. Internationally, a
number of investigators are culturally adapting ENABLE for patients and family
caregivers. While some elements of ENABLE, such as symptom management and self
care, and the caregiving role are relevant cross-culturally, others have been
built on Western principles of self-determination or represent concepts such as
advance care planning which will require more cultural adaptation. In addition,
ENABLE was initially an in-person approach that was converted to telehealth to
accommodate a rural population-it will be important to understand cultural norms
related to receiving care by phone or if an in-person approach will be more
culturally acceptable. This paper describes efforts in Turkey and Singapore to
culturally adapt the ENABLE early palliative care principles for their countries.
PMID- 29379833
TI - What Can Qualitative Studies Offer in a World Where Evidence Drives Decisions?
AB - In an environment in which evidence-based practice is the espoused norm, nurses
have understandably sought to frame the knowledge they deem relevant to practice
decisions, including the findings of their qualitative studies, as a form of
evidence. However, since cancer patients face a significant challenge
interpreting various evidence claims, it is important to recognize that the
results of our qualitative studies reflect a different form of knowledge from
that which an evidence-based practice definition of evidence presumes. Thus, we
need to rethink our relationship to what qualitative studies offer to the
evidentiary dialog. An approach to qualitative inquiry that derives from a
nursing disciplinary logic model is, therefore, presented as an alternative means
by which to generate the kinds of knowledge nurses need to practice and to gain
expertise in clinical wisdom. Drawing on cancer communications research as an
example, a nursing angle of vision on how best to use qualitative approaches to
interpret evidence and inform practice emerges.
PMID- 29379834
TI - Breast Cancer Screening in the High-risk Population.
AB - The risk for developing breast cancer can be influenced by a number of critical
factors. An individual's age, gender, personal and family health history,
nutritional status, level of physical activity, environmental exposures, and
substance use can significantly shift the recommended screening guidelines format
from the general risk population to a high-risk population. It is essential for
health-care providers to become proficient in obtaining a complete cancer genetic
risk assessment to accurately identify those who may be at high risk. There are a
number of evidence-based risk models that can be utilized by providers to
determine if an individual is indeed at a higher risk to develop breast cancer.
In addition, there are evidence-based guidelines for breast cancer screening and
possible recommendations for medical management/risk reduction that are
appropriate to discuss for those high-risk individuals.
PMID- 29379835
TI - How Can We Use Symptom Clusters in Nursing Care of Children with Leukemia?
AB - The incidence of childhood cancers has been gradually rising worldwide. The rate
of leukemia, which is the most common cancer type in childhood, has been
increasing as well. In recent years, multiple chemotherapeutic agents,
radiotherapy and bone marrow transplantation have been using in leukemia
treatment. Children receiving treatment for leukemia may experience many symptoms
due to the disease and its treatment. These concurrent symptoms may have a
complex relationship. The aim of this paper is to review and compile current
literature data related to symptom clusters used to explain multiple symptoms
that occur in a complex structure due to leukemia and its treatment. Symptom
clustering is used in oncology nursing to explain the complex relationship among
multiple symptoms and to find out the effects that symptoms have on each other
and patient outcomes. There are generally two statistical approaches to modeling
symptom clusters. One is to establish the symptom clusters by grounding on
clinical experiences, while the other is to establish them according to the
results of statistical analysis and then clinical experiences. With the latter
method, symptom clusters can be established more objectively and more number of
symptoms can be assessed. In the literature, there are four instruments available
for the measurement of a large number of symptoms in children. It is important to
increase use of symptom clusters in nursing care for a better understanding of
the relationship among multiple symptoms experienced during leukemia treatment, a
more effective symptom management, and a more holistic care.
PMID- 29379836
TI - Cost-effectiveness of Colorectal Cancer Screening and Treatment Methods: Mapping
of Systematic Reviews.
AB - Objective: Due to extensive literature on colorectal cancer and their
heterogeneous results, this study aimed to summarize the systematic reviews which
review the cost-effectiveness studies on different aspects of colorectal cancer.
Methods: The required data were collected by searching the following key words
according to MeSH: "colorectal cancer," "colorectal oncology," "colorectal
carcinoma," "colorectal neoplasm," "colorectal tumors," "cost-effectiveness,"
"systematic review," and "meta-analysis." The following databases were searched:
PubMed, Cochrane, Google Scholar, and Scopus. Two reviewers evaluated the
articles according to the checklist of "assessment of multiple systematic
reviews" (AMSTAR) tool. Results: Finally, eight systematic reviews were included
in the study. The Drummond checklist was mostly used for assessing the quality of
the articles. The main perspective was related to the payer and the least was
relevant to the social. The majority of the cases referred to sensitivity
analysis (in 76% of the cases) and the lowest point also was allocated to
discounting (in 37% of cases). The Markov model was used most widely in the
studies. Treatment methods examined in the studies were not cost-effective in
comparison with the studied units. Among the screening methods, computerized
tomographic colonography and fecal DNA were cost-effective. The average score of
the articles' qualities was high (9.8 out of 11). Conclusions: The community
perspective should be taken into consideration at large in the studies. It is
necessary to pay more attention to discounting subject in studies. More frequent
application of the Markov model is recommended.
PMID- 29379837
TI - Women's Experiences with Deciding on Neoadjuvant Systemic Therapy for Operable
Breast Cancer: A Qualitative Study.
AB - Objective: We explored, qualitatively, in a sample of Australian early-stage
breast cancer patients eligible for neoadjuvant systemic therapy (NAST): (i)
their understanding of the choice of having NAST; (ii) when and with whom the
decision on NAST was made; and (iii) strategies used by patients to facilitate
their decision on NAST. Methods: A sub-sample of patients participating in a
larger intervention trial took part in this study. A total of 24 semi-structured
phone interviews were analyzed using framework analysis. Results: A number of
women perceived they were not offered a treatment choice. Most patients reported
that the decision on NAST was made during or shortly after the initial
consultation with their doctor. Women facilitated decision-making by reducing
deciding factors and "claiming" the decision. Most women reported that they made
the final decision, although they did not feel actively involved in the decision
making process. Conclusions: When deciding on NAST, patient-centered care is not
always delivered to patients. Clinicians should emphasize to patients that they
have a treatment choice, explain the preference-sensitive nature of deciding on
NAST and highlight that patients should be involved in this treatment decision.
Providing patients with appropriate time and tailored take-home information might
facilitate patient decision-making. Process-orientated research is needed to
adequately examine patient involvement in complex treatment decisions.
PMID- 29379838
TI - Palliative Chemotherapy: The Perspectives and Experiences of South African
Nurses.
AB - Objective: The objective of this study was to describe the perspectives and
experiences of South African nurses caring for patients receiving palliative
chemotherapy. Methods: A qualitative descriptive design was used and purposive
sampling allowed us to select 11 nurses practising in a private ambulatory cancer
care center in Port Elizabeth. In-depth interviews, guided by three broad themes
were conducted and analyzed using qualitative content analyses. Data saturation
determined the sample size. Results: Two themes emerged from the data - the
patients cling to hope and the positive influence of palliative chemotherapy. The
participants believed that patients consenting to palliative chemotherapy were
clinging to false hope. They were also of the opinion that family members
pressurize patients to consent to treatment. The participants experienced
palliative chemotherapy positively, especially when an improvement in the
patients' quality of life or pain relief was evident. Fatigue was highlighted as
the major side effect, but it did not temper the participants' positive attitudes
toward the treatment. Conclusions: Although the participants believed that
patients cling to hope and consent to palliative chemotherapy because they hope
to be cured, they experienced the treatment as positive. For them, the
improvement in pain and quality of life outweighed the side effects the patients
experienced. The positive attitude patients upheld while receiving this treatment
encouraged them. Nurses should gain more knowledge about the meaning, people
living with advanced cancer, attach to hope to prevent them from interpreting
patients' hope as denial and false.
PMID- 29379839
TI - Psychological Flexibility of Nurses in a Cancer Hospital: Preliminary Validation
of a Chinese Version of the Work-related Acceptance and Action Questionnaire.
AB - Objective: To translate the English work-related acceptance and action
questionnaire (WAAQ), make cross-cultural adaptations, and examine its
psychometric properties when used by Chinese oncology nurses. Methods: After
translation, the psychometric properties of the Chinese WAAQ were analyzed among
417 nurses, and content validity was determined by six experts. Results: Item
level content validity index (CVI) values were between 0.83 and 1.00; scale-level
CVI/universal agreement (S-CVI/UA) and S-CVI/average were 0.86 and 0.98,
respectively, which implicated a good content validity. The correlation of the
Chinese WAAQ with AAQ-II (rs = -0.247, P < 0.001) suggested criterion validity,
and those with General Health Questionnaire-12 (-0.250, <0.001) and general self
efficacy scale (0.491, <0.001) and Utrecht work engagement scale (UWES) (0.439,
<0.001) suggested convergent validity. Exploratory factor analysis identified a
seven-item, one-factor structure of WAAQ. The Chinese version of WAAQ had high
internal consistency (Cronbach's alpha = 0.920), with an item-total correlation
coefficient of 0.702-0.828 (P < 0.05), split-half reliability of 0.933, and test
retest reliability of 0.772. Conclusions: The Chinese WAAQ is a reliable and
valid tool for assessing psychological flexibility in Chinese oncology nurses.
PMID- 29379840
TI - Survey of Difficult Experiences of Nurses Caring for Patients Undergoing
Radiation Therapy: An Analysis of Factors in Difficult Cases.
AB - Objective: The purpose of this study was to analyze the difficult experiences of
nurses who cared for patients undergoing radiation therapy and factors related to
patient feelings toward the progress and difficulties of treatment and the kind
of care needed. Methods: A descriptive survey using a questionnaire. Anonymous
self-report data were collected from a sample of 228 nurses who had 2-5 years of
nursing experience in a National University Hospital in Japan. Years of working
experience; knowledge and training in radiation therapy and difficult experiences
of nurses caring for patients undergoing radiation therapy. Results: In hospital
"A", about 80% of the nurses had cared for patients undergoing radiation therapy,
and about 40% had experience with difficult cases. The characteristics of
competent nurses in radiation nursing were the following: while not having
knowledge and experience, they were seriously facing their patients. However, the
treatment process could not be predicted, skills related to the whole-human
involvement of patients were insufficient, and communication was similar. In
addition, the characteristics of competent nurses in radiation nursing became
apparent. Although these nurses lacked knowledge and experience, they interacted
with patients in a serious manner. However, the treatment process could not be
predicted, and the skills related to the holistic involvement of patients were
insufficient. Furthermore, communication between the nurses and patients was
difficult. Conclusions: Competent nurses with 2-5 years of working experience,
but lacking specific knowledge and experience regarding radiation therapy could
cope with difficult radiation therapy cases. However, additional education,
training, and mentoring from expert nurses would improve the quality of care for
patients undergoing radiation therapy and reduce distress for nurses with less
experience. In this study, the need for educational measures and a support system
to help competent nurses face difficult cases were suggested.
PMID- 29379841
TI - Nursing Students' Perspectives on Assisting Cancer Patients.
AB - Objective: The objective of this study was to examine the experiences of student
nurses who have provided care to cancer patients. Methods: A mixed method
approach consisting of semistructured focus groups (n = 61) and a survey
questionnaire (n = 129) was used in the study. Student nurses were first
interviewed, and then, a questionnaire was developed for them to answer.
Following the content analysis, three themes and 19 subthemes were identified.
Frequency and percent were used for qualitative data. Results: Among the student
nurses, 80.6% reported that working with cancer patients was "difficult."
Difficulties experienced by the student nurses included patients rejecting their
care, a large number of problems cases encountered when providing care to cancer
patients, communication problems (38.0%), working with patients and attendants
who fear death, and problems arising from family attendants who obstruct care.
The majority of students experienced patients fearing death (28.7%) and felt
feelings such as pity (71.1%), sadness (50.0%), and fear of cancer (41.9%) during
their internships in oncology clinics. Conclusions: Students should be supported
by instructors and oncology nurses, and nursing curricula should contain topics
on how to best approach cancer patients.
PMID- 29379842
TI - A Comparative Study of Hypofractionated and Conventional Radiotherapy in
Postmastectomy Breast Cancer Patients.
AB - Objective: The aim of this study was to compare toxicity and locoregional control
of short duration hypofractionated (HF) radiotherapy (RT) with conventional RT in
breast cancer patients. Methods: A total of 100 postmastectomy breast cancer
patients were randomized for adjuvant RT in control group (comprising fifty
patients who received the standard conventional dose of 50 Gy in 25 fractions
with 2 Gy per fraction) and study group (comprising fifty patients who received
HF RT with dose of 42.72 Gy in 16 fractions with 2.67 Gy per fraction). All
patients were treated on linear accelerator with 3-dimensional conformal RT
technique. Outcome was analyzed in terms of toxicity, tolerability, and
locoregional control. Results: In the present study, at a median follow-up of 20
months, almost similar results were seen in both the groups in terms of toxicity,
tolerability, and locoregional control. Adjuvant postmastectomy HF RT was found
to be well tolerated with mild-to-moderate side effects that neither reached
statistical significance nor warranted any treatment
interruption/hospitalization. Conclusions: HF postmastectomy RT is comparable to
conventional RT without evidence of higher adverse effects or inferior
locoregional tumor control and has an added advantage of increased compliance
because of short duration; hence, it can help in accommodating more breast cancer
patients in a calendar year, ultimately resulting in decreased waiting list,
increased turnover, and reduced cost of treatment.
PMID- 29379843
TI - Effect of Education Based on Health Belief Model on the Behavior of Breast Cancer
Screening in Women.
AB - Objective: The purpose of this study was to determine the effect of education
based on health belief model (HBM) on the behavior of breast cancer screening
(bCS) in women. Methods: This quasi-experimental study was conducted on 226 women
who were selected with cluster sampling method from those referred to Dezful
health centers. Data collection tool was a researcher-made questionnaire.
Demographic questionnaire bCS- scale, and the Knowledge about questionnaire, all
given before and 3 months after the intervention. Results: According to the
findings of the study, there was a significant relationship between women's
performance and variables of knowledge, perceived sensitivity, perceived
benefits, perceived barriers, self-efficacy, and cues to action. Conclusions:
Poor knowledge of women indicates a crucial need for formal educational programs
to sensitize women regarding the importance of bCS. These educational programs
should consider factors affecting bCS behaviors.
PMID- 29379844
TI - Developing Written Information for Cancer Survivors from Culturally and
Linguistically Diverse Backgrounds: Lessons Learnt.
AB - Australia is a multicultural nation with a large migrant population. Migrants
with cancer report inferior quality of life and the need for more information in
their own language. This paper describes lessons learnt from developing
culturally appropriate written information resources with and for Arabic,
Italian, and Vietnamese cancer survivors and carers. The information needs of
survivors from these language groups as well as guidelines for the development of
written resources for culturally diverse populations were identified through
literature review. Community consultation was undertaken with focus groups. The
content was developed and tested with health professionals who spoke the
appropriate language and focus group participants, ensuring relevance and
appropriateness. Resource design and dissemination were informed through
community consultation. A number of key tasks for developing resources were
identified as follows: (1) community engagement and consultation; (2) culturally
sensitive data collection; (3) focus group facilitators (recruitment and
training); (4) content development; (5) translation and review process; (6)
design; and (7) sustainability. This project reinforced literature review
findings on the importance of cultural sensitivity in the development of
resources. Engaging with community groups and incorporating culturally
appropriate recruitment strategies optimises recruitment to focus groups and
facilitates content development. Stakeholders and lay persons from the intended
ethnic-minority communities should be involved in the development and formative
evaluation of resources to ensure appropriateness and relevance and in the
dissemination strategy to optimize penetration. We believe the lessons we have
learnt will be relevant to any group intending to develop health information for
culturally and linguistic diverse groups.
PMID- 29379846
TI - Erratum: Telomeres and Stress: Promising Avenues for Research in Psycho-Oncology.
AB - [This corrects the article on p. 137 in vol. 3, PMID: 27981152.].
PMID- 29379845
TI - Navigate the World with College of Nursing, Taipei Medical University, Ranked No.
1 Nationally in Nursing Subject, Academic Ranking of World Universities (ARWU).
PMID- 29379847
TI - Aberrant tryptophan transport in cultured fibroblast from patients with Male
Idiopathic Osteoporosis: An in vitro study.
AB - It has been demonstrated, that long-term chronic tryptophan deficiency, results
in decreased serotonin synthesis, which may lead to low bone mass and low bone
formation. Findings from studies in male patients with idiopathic osteoporosis
suggested a decreased transport of tryptophan in erythrocytes of osteoporotic
patients, indicating that serotonin system defects may be involved in the
etiology of low bone mass. Tryptophan is the precursor of serotonin, and a
disturbed transport of tryptophan is implicated in altered serotonin synthesis.
However, no study has investigated the tryptophan transport kinetics in MIO
patients. The aim of this study is to investigate the kinetic parameters of
tryptophan transport in fibroblasts derived from MIO patients compared to age and
sex matched controls. Fibroblast cells were cultured from skin biopsies obtained
from 14 patients diagnosed with Male Idiopathic Osteoporosis and from 13 healthy
age-sex matched controls, without a diagnosis of osteoporosis. Transport of the
amino acid tryptophan across the cell membrane was measured by the cluster tray
method. The kinetic parameters, maximal transport capacity (Vmax) and affinity
constant (Km) were determined by using the Lineweaver-Burke plot equation. The
results of this study have shown a significantly lower mean value for Vmax (p =
0.0138) and lower Km mean value (p = 0.0009) of tryptophan transport in
fibroblasts of MIO patients compared to the control group. A lower Vmax implied a
decreased tryptophan transport availability in MIO patients. In conclusion,
reduced cellular tryptophan availability in MIO patients might result in reduced
brain serotonin synthesis and its endogenous levels in peripheral tissues, and
this may contribute to low bone mass/formation. The findings of the present study
could contribute to the etiology of idiopathic osteoporosis and for the
development of novel approaches for diagnosis, treatment and management
strategies of MIO.
PMID- 29379849
TI - Heart rate and sentiment experimental data with common timeline.
AB - Sentiment extraction and analysis using spoken utterances or written corpora as
well as collection and analysis of human heart rate data using sensors are
commonly used techniques and methods. On the other hand, these have been not
combined yet. The collected data can be used e.g. to investigate the mutual
dependence of human physical and emotional activity. The paper describes the
procedure of parallel acquisition of heart rate sensor data and tweets expressing
sentiment and difficulties related to this procedure. The obtained datasets are
described in detail and further discussed to provide as much information as
possible for subsequent analyses and conclusions. Analyses and conclusions are
not included in this paper. The presented experiment and provided datasets serve
as the first basis for further studies where all four presented data sources can
be used independently, combined in a reasonable way or used all together. For
instance, when the data is used all together, performing studies comparing human
sensor data, acquired noninvasively from the surface of the human body and
considered as more objective, and human written data expressing the sentiment,
which is at least partly cognitively interpreted and thus considered as more
subjective, could be beneficial.
PMID- 29379848
TI - Bone adaptation in response to treadmill exercise in young and adult mice.
AB - Exercise is a key determinate of fracture risk and provides a clinical means to
promote bone formation. However, the efficacy of exercise to increase bone mass
declines with age. The purpose of this study was to identify age-related
differences in the anabolic response to exercise at the cellular and tissue
level. To this end, young (8-weeks of age) and adult (36-weeks of age) male mice
were subjected to a moderate exercise regimen of running on a treadmill. As a
result, exercise had a significant effect on PTHrP and SOST gene expression
during the first week that was dependent upon age. In particular, young mice
displayed an increase in PTHrP expression and decrease in SOST expression, both
of which remained unaffected by exercise in the adult mice. After 5-weeks of
exercise, a significant decrease in the percentage of osteocytes expressing
sclerostin at the protein level was found in young mice, but not adult mice.
Mechanical testing of the tibia found exercise to have a significant influence on
tissue-level mechanical properties, specifically ultimate-stress and modulus that
was dependent on age. Adult mice in particular experienced a significant decrease
in modulus despite an increase in cortical area and cortical thickness compared
to sedentary controls. Altogether, this study demonstrates a shift in the
cellular response to exercise with age, and that gains in bone mass at the adult
stage fail to improve bone strength.
PMID- 29379850
TI - Online survey data of public subjective well-being on high occupancy vehicle lane
in China.
AB - The data presented in this article are related to the research article entitled
"Out-of-home activities, daily travel, and SWB" (Ettema et al., 2010) [1]. The
paper provides an online survey questionnaire and data about the public
subjective well-being of high occupancy vehicle lanes in China. The survey data
are made publicly available to extended analysis.
PMID- 29379851
TI - Biochemical data from the characterization of a new pathogenic mutation of human
pyridoxine-5'-phosphate oxidase (PNPO).
AB - PNPO deficiency is responsible of severe neonatal encephalopathy, responsive to
pyridoxal-5'-phosphate (PLP) or pyridoxine. Recent studies widened the phenotype
of this condition and detected new genetic variants on PNPO gene, whose
pathogenetic role and clinical expression remain to be established. One of these
mutations, Arg116Gln, is of particular interest because of its later onset of
symptoms (beyond the first months of life) and its peculiar epileptic
manifestations in patients. This protein variant was expressed as recombinant
protein in E coli, purified to homogeneity, and characterized with respect to
structural and kinetic properties, stability, binding constants of cofactor
flavin mononucleotide (FMN) and product (PLP) in order to define the molecular
and structural bases of its pathogenicity. For interpretation and discussion of
reported data, together with the description of clinical studies, refer to the
article [1] (doi: 10.1016/j.ymgme.2017.08.003).
PMID- 29379852
TI - Dataset on gait patterns in degenerative neurological diseases.
AB - We collected the gait parameters and lower limb joint kinematics of patients with
three different types of primary degenerative neurological diseases: (i)
cerebellar ataxia (19 patients), (ii) hereditary spastic paraparesis (26
patients), and (iii) Parkinson's disease (32 patients). Sixty-five gender-age
matched healthy subjects were enrolled as control group. An optoelectronic motion
analysis system was used to measure time-distance parameters and lower limb joint
kinematics during gait in both patients and healthy controls.
PMID- 29379853
TI - Data on evolutionary relationships between hearing reduction with history of
disease and injuries among workers in Abadan Petroleum Refinery, Iran.
AB - The present work examined data obtained during the analysis of Hearing Reduction
(HR) of Abadan Petroleum Refinery (Abadan PR) workers of Iran with a history of
disease and injuries. To this end, all workers in the refinery were chosen. In
this research, the effects of history of disease and injury including trauma,
electric shock, meningitis-typhoid disease and genetic illness as well as contact
with lead, mercury, CO2 and alcohol consumption were evaluated (Lie, et al.,
2016) [1]. After the completion of the questionnaires by workers, the coded data
were fed into EXCELL. Statistical analysis of data was carried out, using SPSS
16.
PMID- 29379854
TI - The effect of education on the nursing care quality of patients who are under
mechanical ventilation in ICU ward.
AB - Nurses have the most important role among health care workers (HCWs) in each
hospital (Aiken et al., 2008) [1]. Nurses education can lead to the improvement
of nursing care If is implemented and designed based on nurses' needs and proper
principles (Aiken et al., 2008) [1]. Nowadays, increased quality of the treatment
and increase the chances of survival of patients with acute respiratory failure
are very important (Teixeira et al., 2013) [2]. Nursing care plan in ICU patients
is one of the important elements in nursing care, and one of the main strategies
is promotion of education level. Nurses due to longtime relationship with nursing
staff in 24 hours and use of multiple roles of education have excellent position
in evaluating educational needs and performing clinical educator roles. The
effect of education on the nursing care quality of patients who were under
mechanical ventilation (UMV) in intensive care unit (ICU) ward of Razi hospital
is evaluated during 2015. The present study is descriptive-analytical and semi
experimental research. Research statistical population included 30 nurses. In
this paper, the effects of communication with the patient, correct suctioning,
compliance of aseptic techniques, the correct discharge of tube cuff, chest
physiotherapy, the correct change positions, health food gavage, prevent of foot
drop, oral hygiene, the eyes hygiene and protect the cornea have been studied.
After completion of the questionnaires by patients, the obtained coded data were
fed into EXCEL. Reliability was confirmed with coefficient Alfa 0.86 and the
result of software and techniques were entered to SPSS for statistics and
analysis.
PMID- 29379857
TI - Chronic granulomatous reaction in patients receiving vaccine immunotherapy for
metastatic melanoma.
PMID- 29379855
TI - A Cell Culture Platform to Maintain Long-term Phenotype of Primary Human
Hepatocytes and Endothelial Cells.
AB - Background and Aims: Modeling interactions between primary human hepatocytes
(PHHs) and primary human liver sinusoidal endothelial cells (LSECs) in vitro can
help elucidate human-specific mechanisms underlying liver physiology/disease and
drug responses; however, existing hepatocyte/endothelial coculture models are
suboptimal because of their use of rodent cells, cancerous cell lines, and/or
nonliver endothelial cells. Hence, we sought to develop a platform that could
maintain the long-term phenotype of PHHs and primary human LSECs. Methods:
Primary human LSECs or human umbilical vein endothelial cells as the nonliver
control were cocultivated with micropatterned PHH colonies (to control homotypic
interactions) followed by an assessment of PHH morphology and functions (albumin
and urea secretion, and cytochrome P-450 2A6 and 3A4 enzyme activities) over 3
weeks. Endothelial phenotype was assessed via gene expression patterns and
scanning electron microscopy to visualize fenestrations. Hepatic responses in
PHH/endothelial cocultures were benchmarked against responses in previously
developed PHH/3T3-J2 fibroblast cocultures. Finally, PHH/fibroblast/endothelial
cell tricultures were created and characterized as described previously. Results:
LSECs, but not human umbilical vein endothelial cells, induced PHH albumin
secretion for ~11 days; however, neither endothelial cell type could maintain PHH
morphology and functions to the same magnitude/longevity as the fibroblasts. In
contrast, both PHHs and endothelial cells displayed stable phenotype for 3 weeks
in PHH/fibroblast/endothelial cell tricultures; furthermore, layered tricultures
in which PHHs and endothelial cells were separated by a protein gel to mimic the
space of Disse displayed similar functional levels as the coplanar tricultures.
Conclusions: PHH/fibroblast/endothelial tricultures constitute a robust platform
to elucidate reciprocal interactions between PHHs and endothelial cells in
physiology, disease, and after drug exposure.
PMID- 29379858
TI - Dementia, diarrhea, desquamating shellac-like dermatitis revealing late-onset
cobalamin C deficiency.
PMID- 29379856
TI - Endogenous Hydrogen Sulfide Contributes to Tone Generation in Porcine Lower
Esophageal Sphincter Via Na+/Ca2+ Exchanger.
AB - Background and Aims: Hydrogen sulfide (H2S) is a major physiologic
gastrotransmitter. Its role in the regulation of the lower esophageal sphincter
(LES) function remains unknown. The present study addresses this question.
Methods: Isometric contraction was monitored in circular smooth muscle strips of
porcine LES. Changes in cytosolic Ca2+ concentration ([Ca2+]i) and force were
simultaneously monitored in fura-2-loaded strips with front-surface fluorometry.
The contribution of endogenous H2S to LES contractility was investigated by
examining the effects of inhibitors of H2S-generating enzymes, including
cystathionine-beta-synthase, cystathionine-gamma-lyase, and 3-mercaptopyruvate
sulfurtransferase, on the LES function. Results: Porcine LES strips myogenically
maintained a tetrodotoxin-resistant basal tone. Application of AOA (cystathionine
beta-synthase inhibitor) or L-aspartic acid (L-Asp; 3-mercaptopyruvate
sulfurtransferase inhibitor) but not DL-PAG (cystathionine-gamma-lyase
inhibitor), decreased this basal tone. The relaxant effects of AOA and L-Asp were
additive. Maximum relaxation was obtained by combination of 1 mM AOA and 3 mM L
Asp. Immunohistochemical analyses revealed that cystathionine-beta-synthase and 3
mercaptopyruvate sulfurtransferase, but not cystathionine-gamma-lyase, were
expressed in porcine LES. AOA+L-Asp-induced relaxation was accompanied by a
decrease in [Ca2+]i and inversely correlated with the extracellular Na+
concentration ([Na+]o) (25-137.4 mM), indicating involvement of an Na+/Ca2+
exchanger. The reduction in the basal [Ca2+]i level by AOA was significantly
augmented in the antral smooth muscle sheets of Na+/Ca2+ exchanger transgenic
mice compared with wild-type mice. Conclusions: Endogenous H2S regulates the LES
myogenic tone by maintaining the basal [Ca2+]i via Na+/Ca2+ exchanger. H2S
generating enzymes may be a potential therapeutic target for esophageal motility
disorders, such as achalasia.
PMID- 29379859
TI - Cutaneous Langerhans cell histiocytosis with gastrointestinal involvement treated
with dabrafenib.
PMID- 29379860
TI - Suppression of pathergy in pyoderma gangrenosum with infliximab allowing for
successful tendon debridement.
PMID- 29379861
TI - Exposed hardware in a patient with invasive keratinocyte carcinoma.
PMID- 29379862
TI - Removal of forearm lentigines in dyschromatosis universalis hereditaria with a
755-nm Q-switched alexandrite laser.
PMID- 29379863
TI - Herpes zoster infection after topical steroid use in the setting of tumid lupus
erythematosus.
PMID- 29379864
TI - Guide to enable health charities to increase recruitment to clinical trials on
dementia.
AB - Introduction: The Alzheimer Society embarked on a project to improve ways that
the 60 provincial and local Societies in Canada can work with local researchers
to support recruitment of volunteers to clinical trials and studies. A Guide to
assist these offices was produced to design ethical recruitment of research
volunteers within their client populations. Methods: Consultations with
individuals from provincial and local Societies, as well as researchers and
leaders from health-related organizations, were conducted to identify in what
ways these organizations are involved in study volunteer recruitment, what is and
is not working, and what would be helpful to support future efforts. The Guide
prototype used scenarios to illustrate study volunteer recruitment practices as
they have been or could be applied in Societies. An implementable version of the
Guide was produced with input from multiple internal and external reviewers
including subject-matter experts and target users from Societies. Results:
Society staff reported that benefits of using the Guide were that it served as a
catalyst for conversation and reflection and identified the need for a policy.
Also, it enabled Society readiness to respond to requests by persons with
dementia and their caregivers wishing to participate in research. A majority
(94%) of participating Society staff across Canada agreed that they would
increase their capacity to support research recruitment. Discussion: Charitable
organizations that raise funds for research have a role in promoting the
recruitment of persons with dementia and their caregivers into clinical trials
and studies. The Guide was produced to facilitate organizational change to both
create a positive culture regarding research as well as practical solutions that
can help organizations achieve this goal.
PMID- 29379865
TI - Transcranial Alternating Current Stimulation Modulates Risky Decision Making in a
Frequency-Controlled Experiment.
AB - In this study, we investigated the effect of transcranial alternating current
stimulation (tACS) on voluntary risky decision making and executive control in
humans. Stimulation was delivered online at 5 Hz (theta), 10 Hz (alpha), 20 Hz
(beta), and 40 Hz (gamma) on the left and right frontal area while participants
performed a modified risky decision-making task. This task allowed participants
to voluntarily select between risky and certain decisions associated with
potential gains or losses, while simultaneously measuring the cognitive control
component (voluntary switching) of decision making. The purpose of this
experimental design was to test whether voluntary risky decision making and
executive control can be modulated with tACS in a frequency-specific manner. Our
results revealed a robust effect of a 20-Hz stimulation over the left prefrontal
area that significantly increased voluntary risky decision making, which may
suggest a possible link between risky decision making and reward processing,
underlined by beta-oscillatory activity.
PMID- 29379866
TI - Combination of Interaural Level and Time Difference in Azimuthal Sound
Localization in Owls.
AB - A function of the auditory system is to accurately determine the location of a
sound source. The main cues for sound location are interaural time (ITD) and
level (ILD) differences. Humans use both ITD and ILD to determine the azimuth.
Thus far, the conception of sound localization in barn owls was that their facial
ruff and asymmetrical ears generate a two-dimensional grid of ITD for azimuth and
ILD for elevation. We show that barn owls also use ILD for azimuthal sound
localization when ITDs are ambiguous. For high-frequency narrowband sounds,
midbrain neurons can signal multiple locations, leading to the perception of an
auditory illusion called a phantom source. Owls respond to such an illusory
percept by orienting toward it instead of the true source. Acoustical
measurements close to the eardrum reveal a small ILD component that changes with
azimuth, suggesting that ITD and ILD information could be combined to eliminate
the illusion. Our behavioral data confirm that perception was robust against
ambiguities if ITD and ILD information was combined. Electrophysiological
recordings of ILD sensitivity in the owl's midbrain support the behavioral
findings indicating that rival brain hemispheres drive the decision to orient to
either true or phantom sources. Thus, the basis for disambiguation, and reliable
detection of sound source azimuth, relies on similar cues across species as
similar response to combinations of ILD and narrowband ITD has been observed in
humans.
PMID- 29379867
TI - Electrophysiology Reveals the Neural Dynamics of Naturalistic Auditory Language
Processing: Event-Related Potentials Reflect Continuous Model Updates.
AB - The recent trend away from ANOVA-based analyses places experimental
investigations into the neurobiology of cognition in more naturalistic and
ecologically valid designs within reach. Using mixed-effects models for epoch
based regression, we demonstrate the feasibility of examining event-related
potentials (ERPs), and in particular the N400, to study the neural dynamics of
human auditory language processing in a naturalistic setting. Despite the large
variability between trials during naturalistic stimulation, we replicated
previous findings from the literature: the effects of frequency, animacy, and
word order and find previously unexplored interaction effects. This suggests a
new perspective on ERPs, namely, as a continuous modulation reflecting continuous
stimulation instead of a series of discrete and essentially sequential processes
locked to discrete events.
PMID- 29379869
TI - Layer-specific Developmental Changes in Excitation and Inhibition in Rat Primary
Visual Cortex.
AB - Cortical circuits are profoundly shaped by experience during postnatal
development. The consequences of altered vision during the critical period for
ocular dominance plasticity have been extensively studied in rodent primary
visual cortex (V1). However, little is known about how eye opening, a naturally
occurring event, influences the maturation of cortical microcircuits. Here we
used a combination of slice electrophysiology and immunohistochemistry in rat V1
to ask whether manipulating the time of eye opening for 3 or 7 d affects cortical
excitatory and inhibitory synaptic transmission onto excitatory neurons uniformly
across layers or induces laminar-specific effects. We report that binocular
delayed eye opening for 3 d showed similar reductions of excitatory and
inhibitory synaptic transmission in layers 2/3, 4, and 5. Synaptic transmission
recovered to age-matched control levels if the delay was prolonged to 7 d,
suggesting that these changes were dependent on binocular delay duration.
Conversely, laminar-specific and long-lasting effects were observed if eye
opening was delayed unilaterally. Our data indicate that pyramidal neurons
located in different cortical laminae have distinct sensitivity to altered
sensory drive; our data also strongly suggest that experience plays a fundamental
role in not only the maturation of synaptic transmission, but also its
coordination across cortical layers.
PMID- 29379868
TI - Cortical Afferents and Myeloarchitecture Distinguish the Medial Intraparietal
Area (MIP) from Neighboring Subdivisions of the Macaque Cortex.
AB - The parietal reach region (PRR) in the medial bank of the macaque intraparietal
sulcus has been a subject of considerable interest in research aimed at the
development of brain-controlled prosthetic arms, but its anatomical organization
remains poorly characterized. We examined the anatomical organization of the
putative PRR territory based on myeloarchitecture and retrograde tracer
injections. We found that the medial bank includes three areas: an extension of
the dorsal subdivision of V6A (V6Ad), the medial intraparietal area (MIP), and a
subdivision of area PE (PEip). Analysis of corticocortical connections revealed
that both V6Ad and MIP receive inputs from visual area V6; the ventral
subdivision of V6A (V6Av); medial (PGm, 31), superior (PEc), and inferior
(PFG/PF) parietal association areas; and intraparietal areas AIP and VIP. They
also receive long-range projections from the superior temporal sulcus (MST, TPO),
cingulate area 23, and the dorsocaudal (area F2) and ventral (areas F4/F5)
premotor areas. In comparison with V6Ad, MIP receives denser input from
somatosensory areas, the primary motor cortex, and the medial motor fields, as
well as from visual cortex in the ventral precuneate cortex and frontal regions
associated with oculomotor guidance. Unlike MIP, V6Ad receives stronger visual
input, from the caudal inferior parietal cortex (PG/Opt) and V6Av, whereas PEip
shows marked emphasis on anterior parietal, primary motor, and ventral premotor
connections. These anatomical results suggest that MIP and V6A have complementary
roles in sensorimotor behavior, with MIP more directly involved in movement
planning and execution in comparison with V6A.
PMID- 29379870
TI - Topoisomerase IIbeta Selectively Regulates Motor Neuron Identity and Peripheral
Connectivity through Hox/Pbx-Dependent Transcriptional Programs.
AB - Vital motor functions, such as respiration and locomotion, rely on the ability of
spinal motor neurons (MNs) to acquire stereotypical positions in the ventral
spinal cord and to project with high precision to their peripheral targets. These
key properties of MNs emerge during development through transcriptional programs
that dictate their subtype identity and connectivity; however, the molecular
mechanisms that establish the transcriptional landscape necessary for MN
specification are not fully understood. Here, we show that the enzyme
topoisomerase IIbeta (Top2beta) controls MN migration and connectivity.
Surprisingly, Top2beta is not required for MN generation or survival but has a
selective role in columnar specification. In the absence of Top2beta, phrenic MN
identity is eroded, while other motor columns are partially preserved but fail to
cluster to their proper position. In Top2beta-/- mice, peripheral connectivity is
impaired as MNs exhibit a profound deficit in terminal branching. These defects
likely result from the insufficient activation of Hox/Pbx-dependent
transcriptional programs as Hox and Pbx genes are downregulated in the absence of
Top2beta. Top2beta mutants recapitulate many aspects of Pbx mutant mice, such as
MN disorganization and defects in medial motor column (MMC) specification. Our
findings indicate that Top2beta, a gene implicated in neurodevelopmental diseases
such as autism spectrum disorders, plays a critical, cell-specific role in the
assembly of motor circuits.
PMID- 29379871
TI - Closed-Loop Estimation of Retinal Network Sensitivity by Local Empirical
Linearization.
AB - Understanding how sensory systems process information depends crucially on
identifying which features of the stimulus drive the response of sensory neurons,
and which ones leave their response invariant. This task is made difficult by the
many nonlinearities that shape sensory processing. Here, we present a novel
perturbative approach to understand information processing by sensory neurons,
where we linearize their collective response locally in stimulus space. We added
small perturbations to reference stimuli and tested if they triggered visible
changes in the responses, adapting their amplitude according to the previous
responses with closed-loop experiments. We developed a local linear model that
accurately predicts the sensitivity of the neural responses to these
perturbations. Applying this approach to the rat retina, we estimated the optimal
performance of a neural decoder and showed that the nonlinear sensitivity of the
retina is consistent with an efficient encoding of stimulus information. Our
approach can be used to characterize experimentally the sensitivity of neural
systems to external stimuli locally, quantify experimentally the capacity of
neural networks to encode sensory information, and relate their activity to
behavior.
PMID- 29379872
TI - Altered Chloride Homeostasis Decreases the Action Potential Threshold and
Increases Hyperexcitability in Hippocampal Neurons.
AB - Chloride ions play an important role in controlling excitability of principal
neurons in the central nervous system. When neurotransmitter GABA is released
from inhibitory interneurons, activated GABA type A (GABAA) receptors on
principal neurons become permeable to chloride. Typically, chloride flows through
activated GABAA receptors into the neurons causing hyperpolarization or shunting
inhibition, and in turn inhibits action potential (AP) generation. However, in
situations when intracellular chloride concentration is increased, chloride ions
can flow in opposite direction, depolarize neurons, and promote AP generation. It
is generally recognized that altered chloride homeostasis per se has no effect on
the AP threshold. Here, we demonstrate that chloride overload of mouse principal
CA3 pyramidal neurons not only makes these cells more excitable through GABAA
receptor activation but also lowers the AP threshold, further aggravating
excitability. This phenomenon has not been described in principal neurons and
adds to our understanding of mechanisms regulating neuronal and network
excitability, particularly in developing brain and during pathological situations
with altered chloride homeostasis. This finding further broadens the spectrum of
neuronal plasticity regulated by ionic compositions across the cellular membrane.
PMID- 29379873
TI - A Common Function of Basal Ganglia-Cortical Circuits Subserving Speed in Both
Motor and Cognitive Domains.
AB - Distinct regions of the frontal cortex connect with their basal ganglia and
thalamic counterparts, constituting largely segregated basal ganglia-thalamo
cortical (BTC) circuits. However, any common role of the BTC circuits in
different behavioral domains remains unclear. Indeed, whether dysfunctional motor
and cognitive BTC circuits are responsible for motor slowing and cognitive
slowing, respectively, in Parkinson's disease (PD) is a matter of debate. Here,
we used an effortful behavioral paradigm in which the effects of task rate on
accuracy were tested in movement, imagery, and calculation tasks in humans. Using
nonlinear fitting, we separated baseline accuracy (Abase ) and "agility" (ability
to function quickly) components of performance in healthy participants and then
confirmed reduced agility and preserved Abase for the three tasks in PD. Using
functional magnetic resonance imaging (fMRI) and diffusion tractography, we
explored the neural substrates underlying speeded performance of the three tasks
in healthy participants, suggesting the involvement of distinct BTC circuits in
cognitive and motor agility. Language and motor BTC circuits were specifically
active during speeded performance of the calculation and movement tasks,
respectively, whereas premotor BTC circuits revealed activity for speeded
performance of all tasks. Finally, PD showed reduced task rate-correlated
activity in the language BTC circuits for speeded calculation, in the premotor
BTC circuit for speeded imagery, and in the motor BTC circuits for speeded
movement, as compared with controls. The present study casts light on the anatomo
functional organization of the BTC circuits and their parallel roles in
invigorating movement and cognition through a function of dopamine.
PMID- 29379874
TI - Behavioral Changes in Mice Lacking Interleukin-33.
AB - Interleukin (IL)-33 is a member of the IL-1 family of cytokines. IL-33 is
expressed in nuclei and secreted as alarmin upon cellular damage to deliver a
danger signal to the surrounding cells. Previous studies showed that IL-33 is
expressed in the brain and that it is involved in neuroinflammatory and
neurodegenerative processes in both humans and rodents. Nevertheless, the role of
IL-33 in physiological brain function and behavior remains unclear. Here, we have
investigated the behaviors of mice lacking IL-33 (Il33-/- mice). IL-33 is
constitutively expressed throughout the adult mouse brain, mainly in
oligodendrocyte-lineage cells and astrocytes. Notably, Il33-/- mice exhibited
reduced anxiety-like behaviors in the elevated plus maze (EPM) and the open field
test (OFT), as well as deficits in social novelty recognition, despite their
intact sociability, in the three-chamber social interaction test. The
immunoreactivity of c-Fos proteins, an indicator of neuronal activity, was
altered in several brain regions implicated in anxiety-related behaviors, such as
the medial prefrontal cortex (mPFC), amygdala, and piriform cortex (PCX), in Il33
/- mice after the EPM. Altered c-Fos immunoreactivity in Il33-/- mice was not
correlated with IL-33 expression in wild-type (WT) mice nor was IL-33 expression
affected by the EPM in WT mice. Thus, our study has revealed that Il33-/- mice
exhibit multiple behavioral deficits, such as reduced anxiety and impaired social
recognition. Our findings also indicate that IL-33 may regulate the development
and/or maturation of neuronal circuits, rather than control neuronal activities
in adult brains.
PMID- 29379875
TI - State-Based Delay Representation and Its Transfer from a Game of Pong to Reaching
and Tracking.
AB - To accurately estimate the state of the body, the nervous system needs to account
for delays between signals from different sensory modalities. To investigate how
such delays may be represented in the sensorimotor system, we asked human
participants to play a virtual pong game in which the movement of the virtual
paddle was delayed with respect to their hand movement. We tested the
representation of this new mapping between the hand and the delayed paddle by
examining transfer of adaptation to blind reaching and blind tracking tasks.
These blind tasks enabled to capture the representation in feedforward mechanisms
of movement control. A Time Representation of the delay is an estimation of the
actual time lag between hand and paddle movements. A State Representation is a
representation of delay using current state variables: the distance between the
paddle and the ball originating from the delay may be considered as a spatial
shift; the low sensitivity in the response of the paddle may be interpreted as a
minifying gain; and the lag may be attributed to a mechanical resistance that
influences paddle's movement. We found that the effects of prolonged exposure to
the delayed feedback transferred to blind reaching and tracking tasks and caused
participants to exhibit hypermetric movements. These results, together with
simulations of our representation models, suggest that delay is not represented
based on time, but rather as a spatial gain change in visuomotor mapping.
PMID- 29379877
TI - Long-Term Visual Training Increases Visual Acuity and Long-Term Monocular
Deprivation Promotes Ocular Dominance Plasticity in Adult Standard Cage-Raised
Mice.
AB - For routine behavioral tasks, mice predominantly rely on olfactory cues and
tactile information. In contrast, their visual capabilities appear rather
restricted, raising the question whether they can improve if vision gets more
behaviorally relevant. We therefore performed long-term training using the visual
water task (VWT): adult standard cage (SC)-raised mice were trained to swim
toward a rewarded grating stimulus so that using visual information avoided
excessive swimming toward nonrewarded stimuli. Indeed, and in contrast to old
mice raised in a generally enriched environment (Greifzu et al., 2016), long-term
VWT training increased visual acuity (VA) on average by more than 30% to 0.82
cycles per degree (cyc/deg). In an individual animal, VA even increased to 1.49
cyc/deg, i.e., beyond the rat range of VAs. Since visual experience enhances the
spatial frequency threshold of the optomotor (OPT) reflex of the open eye after
monocular deprivation (MD), we also quantified monocular vision after VWT
training. Monocular VA did not increase reliably, and eye reopening did not
initiate a decline to pre-MD values as observed by optomotry; VA values rather
increased by continued VWT training. Thus, optomotry and VWT measure different
parameters of mouse spatial vision. Finally, we tested whether long-term MD
induced ocular dominance (OD) plasticity in the visual cortex of adult [postnatal
day (P)162-P182] SC-raised mice. This was indeed the case: 40-50 days of MD
induced OD shifts toward the open eye in both VWT-trained and, surprisingly, also
in age-matched mice without VWT training. These data indicate that (1) long-term
VWT training increases adult mouse VA, and (2) long-term MD induces OD shifts
also in adult SC-raised mice.
PMID- 29379876
TI - Back to Pupillometry: How Cortical Network State Fluctuations Tracked by Pupil
Dynamics Could Explain Neural Signal Variability in Human Cognitive Neuroscience.
AB - The mammalian thalamocortical system generates intrinsic activity reflecting
different states of excitability, arising from changes in the membrane potentials
of underlying neuronal networks. Fluctuations between these states occur
spontaneously, regularly, and frequently throughout awake periods and influence
stimulus encoding, information processing, and neuronal and behavioral responses.
Changes of pupil size have recently been identified as a reliable marker of
underlying neuronal membrane potential and thus can encode associated network
state changes in rodent cortex. This suggests that pupillometry, a ubiquitous
measure of pupil dilation in cognitive neuroscience, could be used as an index
for network state fluctuations also for human brain signals. Considering this
variable may explain task-independent variance in neuronal and behavioral signals
that were previously disregarded as noise.
PMID- 29379878
TI - Caveolin1 Identifies a Specific Subpopulation of Cerebral Cortex Callosal
Projection Neurons (CPN) Including Dual Projecting Cortical Callosal/Frontal
Projection Neurons (CPN/FPN).
AB - The neocortex is composed of many distinct subtypes of neurons that must form
precise subtype-specific connections to enable the cortex to perform complex
functions. Callosal projection neurons (CPN) are the broad population of
commissural neurons that connect the cerebral hemispheres via the corpus callosum
(CC). Currently, how the remarkable diversity of CPN subtypes and connectivity is
specified, and how they differentiate to form highly precise and specific
circuits, are largely unknown. We identify in mouse that the lipid-bound
scaffolding domain protein Caveolin 1 (CAV1) is specifically expressed by a
unique subpopulation of Layer V CPN that maintain dual ipsilateral frontal
projections to premotor cortex. CAV1 is expressed by over 80% of these dual
projecting callosal/frontal projection neurons (CPN/FPN), with expression peaking
early postnatally as axonal and dendritic targets are being reached and refined.
CAV1 is localized to the soma and dendrites of CPN/FPN, a unique population of
neurons that shares information both between hemispheres and with premotor
cortex, suggesting function during postmitotic development and refinement of
these neurons, rather than in their specification. Consistent with this, we find
that Cav1 function is not necessary for the early specification of CPN/FPN, or
for projecting to their dual axonal targets. CPN subtype-specific expression of
Cav1 identifies and characterizes a first molecular component that distinguishes
this functionally unique projection neuron population, a population that expands
in primates, and is prototypical of additional dual and higher-order projection
neuron subtypes.
PMID- 29379879
TI - Activity-Dependent Inhibitory Synapse Scaling Is Determined by Gephyrin
Phosphorylation and Subsequent Regulation of GABAA Receptor Diffusion.
AB - Synaptic plasticity relies on the rapid changes in neurotransmitter receptor
number at postsynaptic sites. Using superresolution photoactivatable localization
microscopy imaging and quantum dot-based single-particle tracking in rat
hippocampal cultured neurons, we investigated whether the phosphorylation status
of the main scaffolding protein gephyrin influenced the organization of the
gephyrin scaffold and GABAA receptor (GABAAR) membrane dynamics. We found that
gephyrin phosphorylation regulates gephyrin microdomain compaction. Extracellular
signal-regulated kinase 1/2 and glycogen synthase kinase 3beta (GSK3beta)
signaling alter the gephyrin scaffold mesh differentially. Differences in
scaffold organization similarly affected the diffusion of synaptic GABAARs,
suggesting reduced gephyrin receptor-binding properties. In the context of
synaptic scaling, our results identify a novel role of the GSK3beta signaling
pathway in the activity-dependent regulation of extrasynaptic receptor surface
trafficking and GSK3beta, protein kinase A, and calcium/calmodulin-dependent
protein kinase IIalpha pathways in facilitating adaptations of synaptic
receptors.
PMID- 29379880
TI - The Variability of Neural Responses to Naturalistic Videos Change with Age and
Sex.
AB - Neural development is generally marked by an increase in the efficiency and
diversity of neural processes. In a large sample (n = 114) of human children and
adults with ages ranging from 5 to 44 yr, we investigated the neural responses to
naturalistic video stimuli. Videos from both real-life classroom settings and
Hollywood feature films were used to probe different aspects of attention and
engagement. For all stimuli, older ages were marked by more variable neural
responses. Variability was assessed by the intersubject correlation of evoked
electroencephalographic responses. Young males also had less-variable responses
than young females. These results were replicated in an independent cohort (n =
303). When interpreted in the context of neural maturation, we conclude that
neural function becomes more variable with maturity, at least during the passive
viewing of real-world stimuli.
PMID- 29379881
TI - Biallelic CHP1 mutation causes human autosomal recessive ataxia by impairing NHE1
function.
AB - Objective: To ascertain the genetic and functional basis of complex autosomal
recessive cerebellar ataxia (ARCA) presented by 2 siblings of a consanguineous
family characterized by motor neuropathy, cerebellar atrophy, spastic
paraparesis, intellectual disability, and slow ocular saccades. Methods: Combined
whole-genome linkage analysis, whole-exome sequencing, and focused screening for
identification of potential causative genes were performed. Assessment of the
functional consequences of the mutation on protein function via subcellular
fractionation, size-exclusion chromatography, and fluorescence microscopy were
done. A zebrafish model, using Morpholinos, was generated to study the pathogenic
effect of the mutation in vivo. Results: We identified a biallelic 3-bp deletion
(p.K19del) in CHP1 that cosegregates with the disease. Neither focused screening
for CHP1 variants in 2 cohorts (ARCA: N = 319 and NeurOmics: N = 657) nor
interrogating GeneMatcher yielded additional variants, thus revealing the
scarcity of CHP1 mutations. We show that mutant CHP1 fails to integrate into
functional protein complexes and is prone to aggregation, thereby leading to
diminished levels of soluble CHP1 and reduced membrane targeting of NHE1, a major
Na+/H+ exchanger implicated in syndromic ataxia-deafness. Chp1 deficiency in
zebrafish, resembling the affected individuals, led to movement defects,
cerebellar hypoplasia, and motor axon abnormalities, which were ameliorated by
coinjection with wild-type, but not mutant, human CHP1 messenger RNA.
Conclusions: Collectively, our results identified CHP1 as a novel ataxia
causative gene in humans, further expanding the spectrum of ARCA-associated loci,
and corroborated the crucial role of NHE1 within the pathogenesis of these
disorders.
PMID- 29379883
TI - Homozygous CAPN1 mutations causing a spastic-ataxia phenotype in 2 families.
PMID- 29379882
TI - Alzheimer risk loci and associated neuropathology in a population-based study
(Vantaa 85+).
AB - Objective: To test the association of distinct neuropathologic features of
Alzheimer disease (AD) with risk loci identified in genome-wide association
studies. Methods: Vantaa 85+ is a population-based study that includes 601
participants aged >=85 years, of which 256 were neuropathologically examined. We
analyzed 29 AD risk loci in addition to APOE epsilon4, which was studied
separately and used as a covariate. Genotyping was performed using a single
nucleotide polymorphism (SNP) array (341 variants) and imputation (6,038
variants). Participants with Consortium to Establish a Registry for Alzheimer
Disease (CERAD) (neuritic Abeta plaques) scores 0 (n = 65) vs score M + F (n =
171) and Braak (neurofibrillary tangle pathology) stages 0-II (n = 74) vs stages
IV-VI (n = 119), and with capillary Abeta (CapAbeta, n = 77) vs without (n = 179)
were compared. Cerebral amyloid angiopathy (CAA) percentage was analyzed as a
continuous variable. Results: Altogether, 24 of the 29 loci were associated (at p
< 0.05) with one or more AD-related neuropathologic features in either SNP array
or imputation data. Fifteen loci associated with CERAD score, smallest p =
0.0002122, odds ratio (OR) 2.67 (1.58-4.49) at MEF2C locus. Fifteen loci
associated with Braak stage, smallest p = 0.004372, OR 0.31 (0.14-0.69) at GAB2
locus. Twenty loci associated with CAA, smallest p = 7.17E-07, beta 14.4 (8.88
20) at CR1 locus. Fifteen loci associated with CapAbeta smallest p = 0.002594, OR
0.54 (0.37-0.81) at HLA-DRB1 locus. Certain loci associated with specific
neuropathologic features. CASS4, CLU, and ZCWPW1 associated only with CAA, while
TREM2 and HLA-DRB5 associated only with CapAbeta. Conclusions: AD risk loci
differ in their association with neuropathologic features, and we show for the
first time distinct risk loci for CAA and CapAbeta.
PMID- 29379884
TI - 1q21.1 Duplication syndrome and epilepsy: Case report and review.
PMID- 29379885
TI - A Novel Fluorescence-Based Assay for the Measurement of Biliverdin Reductase
Activity.
AB - Biliverdin reductase (BVR) is the enzyme responsible for the last step in the
production of bilirubin from the breakdown of heme. Bilirubin is one of the most
potent antioxidant molecules in the body. Monitoring BVR activity is essential in
studying the antioxidant capacity of cells and tissues. Traditional methods of
determining BVR activity have relied on the measurement of bilirubin converted
from biliverdin using absorbance spectroscopy. The approach has limited
sensitivity and requires large quantities of cells or tissues. We have developed
a novel fluorescence-based method utilizing the eel protein, UnaG, for the
detection of bilirubin produced by BVR. The UnaG protein only fluoresces by the
induction of bilirubin. We have also used this approach to measure intracellular
bilirubin content of cultured cells. We validated this assay using cell lysates
from mouse liver and immortalized murine hepatic cell line (Hepa1c1c7) and kidney
cell line (MCT) in which BVR isoform A (BVRA) was either knocked out via CRISPR
or stably overexpressed by lentivirus. Also, we tested the method using
previously reported putative BVRA inhibitors, Closantel and Ebselen. These
studies show a new method for measuring bilirubin intracellularly and in lysates.
PMID- 29379886
TI - Improving colorectal cancer referrals.
AB - The colorectal services at The Royal Bournemouth Hospital needed to adapt to meet
the extra demand on fast-track patient referrals to the outpatient department, as
a consequence of the changes in the National Institute for Health and Care
Excellence (NICE) guidance on cancer referrals in June 2015. Learning from other
units, a telephone assessment clinic (TAC) triaging patients straight to
colonoscopy was trialled. A Plan-Do-Study-Act (PDSA) methodology was used. A
baseline study showed that fast-track colorectal patients referred from their
general practitioner (GP) were taking on average 30 days until they received
their colonoscopy. This quality improvement project focused on sending fast-track
colorectal GP referrals through a straight-to-colonoscopy TAC. The results of
this intervention showed an improvement from GP referral to colonoscopy. Both
PDSA cycle 1 and PDSA cycle 2 showed an average of 24 days. This reduction of 6
days was a promising improvement in a 62-day patient pathway, so funds were
accessed to invest in a temporary full-time TAC nurse appointment to allow more
data to be collected. PDSA cycle 3 showed a reduction of the average from
referral to colonoscopy to 19 days and a reduction in the variation. This outcome
will be sustainable, as the TAC role is now a permanent position.
PMID- 29379887
TI - Quercetin inhibits adipogenesis of muscle progenitor cells in vitro.
AB - Muscle satellite cells are committed myogenic progenitors capable of contributing
to myogenesis to maintain adult muscle mass and function. Several experiments
have demonstrated that muscle satellite cells can differentiate into adipocytes
in vitro, supporting the mesenchymal differentiation potential of these cells.
Moreover, muscle satellite cells may be a source of ectopic muscle adipocytes,
explaining the lipid accumulation often observed in aged skeletal muscle
(sarcopenia) and in muscles of patients' with diabetes. Quercetin, a polyphenol,
is one of the most abundant flavonoids distributed in edible plants, such as
onions and apples, and possesses antioxidant, anticancer, and anti-inflammatory
properties. In this study, we examined whether quercetin inhibited the
adipogenesis of muscle satellite cells in vitro with primary cells from rat limbs
by culture in the presence of quercetin under adipogenic conditions.
Morphological observations, Oil Red-O staining results, triglyceride content
analysis, and quantitative reverse transcription polymerase chain reaction
revealed that quercetin was capable of inhibiting the adipogenic induction of
muscle satellite cells into adipocytes in a dose-dependent manner by suppressing
the transcript levels of adipogenic markers, such as peroxisome proliferator
activated receptor-gamma and fatty acid binding protein 4. Our results suggested
that quercetin inhibited the adipogenesis of muscle satellite cells in vitro by
suppressing the transcription of adipogenic markers.
PMID- 29379888
TI - Factors associated with anxiety and depression in hospitalized patients with
first episode of acute myocardial infarction.
AB - Introduction: Evaluation of anxiety and depression in cardiac patients is an area
of nursing practice that is frequently neglected. The aim of the study was to
explore anxiety and depression in hospitalized patients with their first episode
of acute myocardial infarction. Material and methods: The study sample included
148 hospitalized patients who had a first episode of acute myocardial infarction.
Data collection was performed by the interview method using a specially designed
questionnaire which included socio-demographic, clinical and other patients'
characteristics as well as the Hospital Anxiety and Depression Scale (HADS) to
assess patients' levels of anxiety and depression. Results: Analysis of data
showed that 52% and 38% of participants had high levels of anxiety and
depression, respectively. Furthermore, anxiety levels revealed a statistically
significant association with anxiolytics (p = 0.005) and antidepressant
medication (p = 0.026) in hospital, the belief that they will face difficulties
in relations with the social and family environment (p = 0.009 and p = 0.002,
respectively) and whether they considered themselves anxious (p = 0.003).
Depression was statistically significantly associated with education level (p =
0.001), profession (p = 0.007), antidepressant medication in hospital (p <=
0.001), patients' relations with nursing staff (p = 0.019) and patients' belief
that they will face difficulties in relations with the social and family
environment (p <= 0.001 and p <= 0.001, respectively). Conclusions: The results
showed that socio-demographic and clinical characteristics should be taken into
serious consideration when exploring anxiety and depression in patients with a
first episode of acute myocardial infarction in order to implement appropriate
interventions.
PMID- 29379889
TI - A unique reason for coronary spasm causing temporary ST elevation myocardial
infarction (inferior STEMI) - systemic inflammatory response syndrome after use
of pembrolizumab.
PMID- 29379890
TI - Do standard carotid artery endarterectomy and primary closure technique cause
early restenosis in diabetic patients?
AB - Introduction: We aimed to investigate carotid restenosis in 2-year follow-up in
diabetic and nondiabetic patients who underwent standard carotid endarterectomy
with primary carotid closure and determine whether diabetes mellitus is a risk
factor for early stenosis for this surgical procedure. Material and methods: We
retrospectively assessed the data of patients who underwent standard carotid
endarterectomy with primary carotid closure from the hospital registry and
outpatient clinic follow-up between January 2006 and January 2012. The study
included 25 diabetics and 25 nondiabetics, in total 50 patients. The control
carotid Doppler ultrasonographies and/or computed tomography angiographies of the
patients at postoperative 1, 6, 12, and 24 months were examined and a stenosis
rate between 70% and 99% was regarded as significant carotid restenosis. Results:
When the diabetic and nondiabetic group patients were compared for early carotid
restenosis at 2 years, there were 3 (12%) patients in the diabetic group and 4
(16%) patients in the nondiabetic group with restenosis. A statistically
significant difference in early carotid restenosis was not observed between the
two groups (p > 0.05). Conclusions: Standard carotid endarterectomy and primary
closure of the artery is a successfully performed surgical procedure in diabetic
patients. We concluded that diabetes mellitus is not a risk factor for early
restenosis in the diabetic patient population according to the results of our
research.
PMID- 29379891
TI - Non-hemorrhage-related adverse effects of rivaroxaban.
AB - The direct oral anticoagulant rivaroxaban is useful in various indications that
include venous deep vein thrombosis prophylaxis/treatment after knee/hip
replacement surgery and prevention of stroke in patients with non-valvular atrial
fibrillation. Its mechanism of action has been mostly associated with hemorrhage
related adverse effects; thus a number of non-hemorrhage-related adverse effects
of the drug have received less attention or go unrecognized. These adverse
effects mainly include liver injury, hypersensitivity reactions, leukocytoclastic
vasculitis and hair loss. Clinicians should be aware of these rare adverse
reactions and advise their patients to contact them as soon as they observe any
unexpected clinical response.
PMID- 29379892
TI - (Epi)genotype-Phenotype Analysis in 69 Japanese Patients With
Pseudohypoparathyroidism Type I.
AB - Context: Pseudohypoparathyroidism type I (PHP-I) is divided into PHP-Ia with
Albright hereditary osteodystrophy and PHP-Ib, which usually shows no Albright
hereditary osteodystrophy features. Although PHP-Ia and PHP-Ib are typically
caused by genetic defects involving alpha subunit of the stimulatory G protein
(Gsalpha)-coding GNAS exons and methylation defects of the GNAS differentially
methylated regions (DMRs) on the maternal allele, respectively, detailed
phenotypic characteristics still remains to be examined. Objective: To clarify
phenotypic characteristics according to underlying (epi)genetic causes. Patients
and Methods: We performed (epi)genotype-phenotype analysis in 69 Japanese
patients with PHP-I; that is, 28 patients with genetic defects involving Gsalpha
coding GNAS exons (group 1) consisting of 12 patients with missense variants
(subgroup A) and 16 patients with null variants (subgroup B), as well as 41
patients with methylation defects (group 2) consisting of 21 patients with broad
methylation defects of the GNAS-DMRs (subgroup C) and 20 patients with an
isolated A/B-DMR methylation defect accompanied by the common STX16 microdeletion
(subgroup D). Results: Although (epi)genotype-phenotype findings were grossly
similar to those reported previously, several important findings were identified,
including younger age at hypocalcemic symptoms and higher frequencies of
hyperphosphatemia in subgroup C than in subgroup D, development of brachydactyly
in four patients of subgroup C, predominant manifestation of subcutaneous
ossification in subgroup B, higher frequency of thyrotropin resistance in group 1
than in group 2, and relatively low thyrotropin values in four patients with low
T4 values and relatively low luteinizing hormone/follicle-stimulating hormone
values in five adult females with ovarian dysfunction. Conclusion: The results
imply the presence of clinical findings characteristic of each underlying cause
and provide useful information on the imprinting status of Gsalpha.
PMID- 29379893
TI - The Orphan Nuclear Receptor Liver Homolog Receptor-1 (Nr5a2) Regulates Ovarian
Granulosa Cell Proliferation.
AB - In mouse ovaries, liver receptor homolog-1 [nuclear receptor subfamily 5, group
A, member 2 (Nr5a2)] expression is restricted to granulosa cells. Mice with Nr5a2
depletion in this cell population fail to ovulate. To determine whether Nr5a2 is
essential for granulosa cell proliferation during follicular maturation, we
generated granulosa-specific conditional knockout mice (genotype Nr5a2 floxed Cre
recombinase driven by the anti-Mullerian type II receptor, hereafter cKO) with
Nr5a2 depletion from primary follicles forward. Proliferation in cKO granulosa
cells was substantially reduced relative to control (CON) counterparts, as
assessed by bromodeoxyuridine incorporation, proliferative cell nuclear antigen
expression, and fluorescent-activated cell sorting. Microarray analysis revealed
>2000 differentially regulated transcripts between cKO and CON granulosa cells.
Major gene ontology pathways disrupted were proliferation, steroid biosynthesis,
female gamete formation, and ovulatory cycle. Transcripts for key cell-cycle
genes, including Ccnd1, Ccnd2, Ccne1, Ccne2, E2f1, and E2f2, were in reduced
abundance. Transcripts from other cell-cycle-related factors, including Cdh2,
Plagl1, Cdkn1a, Prkar2b, Gstm1, Cdk7, and Pts, were overexpressed. Although the
follicle-stimulating hormone and estrogen receptors were overexpressed in the cKO
animals, in vivo treatment with estradiol-17beta failed to rescue decreased
proliferation. In vitro inactivation of Nr5a2 using the ML180 reverse agonist
similarly decreased cell-cycle-related gene transcripts and downstream targets,
as in cKO mice. Pharmacological inhibition of beta-catenin, an Nr5a2 cofactor,
decreased cyclin gene transcripts and downstream targets. Terminal
deoxynucleotidyltransferase-mediated deoxyuridine triphosphate nick end labeling
immunofluorescence and quantitative polymerase chain reaction of
pro/antiapoptotic and autophagic markers showed no differences between cKO and
CON granulosa cells. Thus, Nr5a2 is essential for granulosa cell proliferation,
but its depletion does not alter the frequency of apoptosis nor autophagy.
PMID- 29379894
TI - Long-Acting GLP-1 Receptor Agonist Exenatide Influence on the Autonomic Cardiac
Sympatho-Vagal Balance.
AB - Long-acting glucagon-like peptide 1 receptor agonists are increasingly used to
treat type 2 diabetes. An increase of heart rate (HR) has been observed with
their use. To elucidate the role of the cardiac sympatho-vagal balance as a
possible mediator of the reported increase in HR, we performed power spectral
analysis of HR variability (HRV) in patients receiving exenatide extended-release
(ER). Twenty-eight ambulatory patients with type 2 diabetes underwent evaluation
at initiation of exenatide-ER and thereafter at 3 and at 6 months. To obtain
spectral analyses of HRV, a computerized acquisition of 10 minutes of RR
electrocardiogram intervals (mean values of ~700 RR intervals) were recorded both
in lying and in standing positions. All patients showed a substantial increase of
HR both in lying and in standing positions. Systolic blood pressure, body weight,
and glycated hemoglobin A1c significantly decreased both at 3 and 6 months
compared with basal levels. The low-frequency/high-frequency ratio varied from
3.05 +/- 0.4 to 1.64 +/- 0.2 (P < 0.001) after 3 months and to 1.57 +/- 0.3 (P <
0.001) after 6 months in a lying position and from 4.56 +/- 0.8 to 2.24 +/- 0.3
(P < 0.001) after 3 months and to 2.38 +/- 0.4 (P < 0.001) after 6 months in a
standing position compared with basal values, respectively. HR variations,
induced by exenatide-ER treatment, do not appear to be related to sympathetic
autonomic tone. Of note, we observed a relative increase of vagal influence on
the heart.
PMID- 29379895
TI - Aberrant Iodine Autoregulation Induces Hypothyroidism in a Mouse Strain in the
Absence of Thyroid Autoimmunity.
AB - We investigated factors underlying the varying effects of a high dietary iodide
intake on serum T4 levels in a wide spectrum of mouse strains, including
thyroiditis-susceptible NOD.H2h4, NOD.H2k, and NOD mice, as well as other strains
(BALB/c, C57BL/6, NOD.Lc7, and B10.A4R) not previously investigated. Mice were
maintained for up to 8 months on control or iodide-supplemented water (NaI
0.05%). On iodized water, serum T4 was reduced in BALB/c (males and females) in
association with colloid goiters but was not significantly changed in mice that
developed thyroiditis, namely NOD.H2h4 (males and females) or male NOD.H2k mice.
Neither goiters nor decreased T4 developed in C57BL/6, NOD, NOD.Lc7, or B10.A4R
female mice. In further studies, we focused on males in the BALB/c and NOD.H2h4
strains that demonstrated a large divergence in the T4 response to excess iodide.
Excess iodide ingestion increased serum TSH levels to the same extent in both
strains, yet thyroidal sodium iodide symporter (NIS) messenger RNA (mRNA) levels
(quantitative polymerase chain reaction) revealed greatly divergent responses.
NOD.H2h4 mice that remained euthyroid displayed a physiological NIS iodine
autoregulatory response, whereas NIS mRNA was inappropriately elevated in BALB/c
mice that became hypothyroid. Thus, autoimmune thyroiditis-prone NOD.H2h4 mice
adapted normally to a high iodide intake, presumably by escape from the Wolff
Chaikoff block. In contrast, BALB/c mice that did not spontaneously develop
thyroiditis failed to escape from this block and became hypothyroid. These data
in mice may provide insight into the mechanism by which iodide-induced
hypothyroidism occurs in some humans without an underlying thyroid disorder.
PMID- 29379896
TI - Single-Nucleotide Variations of the Human Nuclear Hormone Receptor Genes in
60,000 Individuals.
AB - Nuclear hormone receptors (NRs) mediate biologic actions of lipophilic molecules
to gene transcription and are phylogenetically and functionally categorized into
seven subfamilies and three groups, respectively. Single-nucleotide variations
(SNVs) or polymorphisms are genetic changes influencing individual response to
environmental factors and susceptibility to various disorders, and are part of
the genetic diversification and basis for evolution. We sorted out SNVs of the
human NR genes from 60,706 individuals, calculated three parameters (percentage
of all variants, percentage of loss-of-function variants, and ratio of
nonsynonymous/synonymous variants in their full protein-coding or major domain
coding sequences), and compared them with several valuables. Comparison of these
parameters between NRs and control groups identified that NRs form a highly
conserved gene family. The three parameters for the full coding sequence are
positively correlated with each other, whereas four NR genes are distinct from
the others with much higher tolerance to protein sequence-changing variants. DNA
binding domain and N-terminal domain are respectively those bearing the least and
the most variation. NR subfamilies based on their phylogenetic proximity or
functionality as well as diversity of tissue distribution and numbers of partner
molecules are all not correlated with the variation parameters, whereas their
gene age demonstrates an association. Our results suggest that the natural
selection driving the NR family evolution still operates in humans. Gene age and
probably the potential to adapt to various new ligands, but not current
functional diversity, are major determinants for SNVs of the human NR genes.
PMID- 29379897
TI - Effect of Growth Hormone on Uterine Receptivity in Women With Repeated
Implantation Failure in an Oocyte Donation Program: A Randomized Controlled
Trial.
AB - Background and Objective: Administration of growth hormone (GH) during ovarian
stimulation has been shown to improve success rates of in vitro fertilization. GH
beneficial effect on oocyte quality is shown in several studies, but GH effect on
uterine receptivity is not clear. To assess it, we studied whether GH
administration can improve the chance of pregnancy and birth in women who
experienced repeated implantation failure (RIF) using donated oocyte programs.
Design and Study Population: A total of 105 infertile women were enrolled in the
randomized controlled trial: 70 women were with a history of RIF with donated
oocytes, and 35 infertile women underwent the first oocyte donation attempt.
Women receiving donated oocytes were treated with progressively increasing doses
of oral estradiol, followed by intravaginal progesterone after previous pituitary
desensitization with gonadotropin-releasing hormone agonist. Thirty-five RIF
patients were treated with GH (GH patients), whereas the rest of the 35 RIF
patients (non-GH patients) and 35 first-attempt patients (positive control group)
were not. Results: RIF patients receiving GH showed significantly thicker
endometrium and higher pregnancy and live birth rates as compared with RIF
patients of non-GH study group, although these rates remained somewhat lower as
compared with the non-RIF patients of the positive control group. No abnormality
was detected in any of the babies born. Conclusion: Our data of improved
implantation, pregnancy, and live birth rates among infertile RIF patients
treated with GH indicate that GH improves uterine receptivity.
PMID- 29379898
TI - Anti-Ma-1 and Anti-Ma-2 Antibodies in Isolated Fatal Hypothalamitis.
AB - Lymphocytic hypothalamitis (LHT) is a rare disease characterized by pituitary
dysfunction, autonomic instability, metabolic disturbances, and neuropsychiatric
disorders. We report the case of a 30-year-old man found to have LHT that
progressed despite treatment with methylprednisolone and intravenous
immunoglobulin (IVIG). A literature review was conducted to identify prior
studies pertaining to LHT. Our patient presented with several weeks of fatigue,
cold intolerance, weight loss, confusion, and headache. Laboratory tests were
consistent with panhypopituitarism. Brain magnetic resonance imaging revealed an
infiltrative enhancing mass in the area of the hypothalamus, and stereotactic
biopsy findings showed reactive inflammatory changes. A course of hormone
replacement (levothyroxine), methylprednisolone, and IVIG was initiated. The
patient's course was complicated by a fatal tonsillar herniation. Autopsy
revealed LHT. The diagnosis and management of autoimmune LHT are challenging. The
entity should be considered in the setting of panhypopituitarism with a
hypothalamic mass. Differentiating paraneoplastic and nonparaneoplastic
hypothalamitis should be established for management and prognosis. Definitive
treatment remains unclear; treatment with corticosteroids should be attempted,
followed by consideration of other immunosuppressive agents, such as rituximab.
If a paraneoplastic syndrome is suspected, management should also be directed
toward the primary tumor.
PMID- 29379899
TI - Fast Geodesic Regression for Population-Based Image Analysis.
AB - Geodesic regression on images enables studies of brain development and
degeneration, disease progression, and tumor growth. The high-dimensional nature
of image data presents significant computational challenges for the current
regression approaches and prohibits large scale studies. In this paper, we
present a fast geodesic regression method that dramatically decreases the
computational cost of the inference procedure while maintaining prediction
accuracy. We employ an efficient low dimensional representation of diffeomorphic
transformations derived from the image data and characterize the regressed
trajectory in the space of diffeomorphisms by its initial conditions, i.e., an
initial image template and an initial velocity field computed as a weighted
average of pairwise diffeomorphic image registration results. This construction
is achieved by using a first-order approximation of pairwise distances between
images. We demonstrate the efficiency of our model on a set of 3D brain MRI scans
from the OASIS dataset and show that it is dramatically faster than the state-of
the-art regression methods while producing equally good regression results on the
large subject cohort.
PMID- 29379900
TI - Guest Editorial: Key to making great smiles... still our ceramists.
PMID- 29379901
TI - EAED patient examination recommendations for interdisciplinary treatment
planning.
PMID- 29379902
TI - Conservative restoration of the worn dentition - the anatomically driven direct
approach (ADA).
AB - The treatment or management of tooth wear with composite resins can be
challenging because significant alterations in the patient's occlusion are
usually required. Comprehensive approaches include the use of facebows,
articulator-mounted casts, laboratory-made wax-ups, and silicone indexes to
deliver the restorations. Even though this sequence of steps is recommended, in
many cases it is not applied. The reason for this is related to the complexity
and time required for these steps, which are normally applied in indirect
restorations like ceramics but not properly used in direct composite resin
rehabilitations. Moreover, a large portion of these patients, clinicians, and
technicians in many countries may not have the resources and/or tools to
undertake a full comprehensive approach. In order to aid clinicians to use an
exclusively direct method to manage these patients for whatever reasons, the
authors propose in this article a thought process applied to diagnosis and
treatment planning that allows the restoration of extensively worn dentitions in
a logical clinical sequence. The first goal is to provide a clear and organized
vision of the functional, biologic, and esthetic principles of treatment planning
based on the most current, evidence-based notions and clarified insights from
experts. These are principles that should be applied universally in any
comprehensive treatment plan. The second goal is to propose the application of
these principles to direct restorations even when no individualized articulator
mounting or appropriate laboratory wax-ups are available - the anatomically
driven direct approach (ADA).
PMID- 29379903
TI - CAD/CAM-based chairside restorative technique with composite resin for full-mouth
adhesive rehabilitation of excessively worn dentition.
AB - Since the first introduction of the Cerec system (Sirona) in the early 1980s, the
use of computer-aided design/computer-aided manufacture (CAD/CAM) technology has
spread widely in modern adhesive dentistry. Thanks to this innovative technology,
it has been possible to carry out chairside restorations fully managed by the
clinician, with the advantages of lower costs for the patient, more rapid
execution of the restorations, and the exclusion of the provisional phase. With
further improvements in chairside technologies and materials, specifically in the
field of composite resin blocks, it is now possible to fabricate multiple
ultrathin, minimally invasive or even noninvasive restorations in one single
appointment. The clinical case presented here was solved using an innovative
approach: It was entirely studied and realized chairside by a dentist on a
computer, without any plaster cast or classic articulator. Vertical dimension of
occlusion (VDO) augmentation was projected with the 'Incisal Tip' tool on the
virtual articulator of the Cerec system. Eight composite resin overlays were
designed on the non-prepared posterior teeth of a patient suffering from
generalized tooth loss principally caused by a history of bulimia nervosa. The
maxillary anterior teeth were restored with six palatal veneers modified with
direct composites from the vestibular side, in order to improve the esthetic
integration of the restorations. The mandibular posterior teeth were built up
with direct composites.
PMID- 29379904
TI - Complete denture fabrication with CAD/CAM technology: a case report.
AB - Demographers agree that the so-called elderly population will increase steadily
in the future. Not only will their numbers increase, but they will live to an
older age. In the case of fully edentulous patients, implant treatment is a
possibility, but for many, rehabilitation by means of full removable dentures is
still the preferred option. In recent decades, computer-aided design/computer
aided manufacturing (CAD/CAM) has brought about what can only be described as a
revolution in dental offices and dental laboratories. CAD/CAM has many areas of
application, and these are constantly increasing. In response to the growing
demand from users, CAD/CAM can now produce sets of complete removable dentures
using a virtual design and fabrication process. A protocol for a new CAD/CAM
supported method is presented here in a clinical case report. Laboratory
procedures are simplified because a number of the conventional steps have now
disappeared. Today, it is possible to design the shape of the teeth for each
patient, which results in a better customization of the dentures. Combined with
appropriate staining of the teeth and denture base, this method produces full
removable dentures that integrate very well into the patient's face to ensure a
good esthetic result.
PMID- 29379905
TI - Preheated composite resin used as a luting agent for indirect restorations:
effects on bond strength and resin-dentin interfaces.
AB - PURPOSE: The aim of this study was to evaluate the effect of preheating composite
resins used as luting agents for indirect restorations on microtensile bond
strength (uTBS) and adhesive interfaces. MATERIAL AND METHODS: Fifty sound
extracted third molars were used. Ten experimental groups were formed with three
different luting agents: one resin cement (RelyX ARC) and two composite resins
(Venus and Z250 XT). The composite resins were tested both at room temperature
and when preheated to 64 degrees C. Restoration depth was tested using 2 or 4 mm
height indirect composite resin restorations, previously made on cylindrical
molds. Adhesive and luting procedures were done under simulated pulpal pressure.
After luting, the teeth were sectioned into beams with a cross-sectional area of
1 mm2 at the bonded interface, and tested in tension at 0.5 mm/min. The
characteristics of the adhesive interfaces were observed under scanning electron
microscopy (SEM). The uTBS data were analyzed using ANOVA and the Tukey test
(alpha = 0.05). RESULTS: When luting 2 mm restorations, the composite resin Z250
XT, preheated or at room temperature, achieved significantly higher uTBS than did
RelyX ARC. At this depth, Venus did not differ from the resin cement, and with
the 4 mm restorations, only preheated Venus presented significantly higher uTBS
than RelyX ARC. Preheating the composite resin resulted in thinner luting
interfaces, with a more intimate interaction between luting agent and adhesive
layer. CONCLUSION: Preheating composite resin for luting procedures may not
improve uTBS, although it could be used to reduce material viscosity and improve
restoration setting.
PMID- 29379906
TI - Color match of luting composites and try-in pastes: the impact on the final color
of CAD/CAM lithium disilicate restorations.
AB - AIM: Color matching of the restoration to the adjacent teeth can be difficult due
to the translucency of the ceramic and the visual exposure of the polymerized
resin cement through the ceramic material. The aim of this study was to identify
color differences between try-in pastes and the corresponding luting resin
composites. MATERIALS AND METHODS: The materials tested were Variolink Veneer,
Variolink Try-In, Variolink Esthetic LC, and Variolink Esthetic Try-In. Blocks of
IPS e.max CAD were bisected along the vertical axis, producing specimens of
different thicknesses. The surfaces to be evaluated were finely polished with a
metallographic machine using 6000 grit SiC paper. The IPS e.max CAD specimens
cemented with resin cement or with the try-in pastes were superimposed on a resin
composite specimen reproducing the tooth structure. Evaluation of the color
differences between the try-in pastes and polymerized cements of the same shade
was performed with a spectrophotometer and a visual evaluation by three
observers. RESULTS: The measurements showed that for shades of Variolink Veneer
and Variolink Esthetic LC resin cements and the corresponding try-in pastes there
were significant color differences (DeltaE > 2.23). Among the groups of different
thicknesses, specimens of 0.5-mm thickness exhibited the highest DeltaE values,
while the specimens of 2-mm thickness exhibited the lowest. CONCLUSIONS: The
present study suggests that dentists should not rely on try-in pastes for the
final color evaluation because they do not perfectly match the color shade of the
cement used for the final luting of ceramic restorations. The originality of this
study was the interpretation of color differences utilizing both a scientific
spectrophotometer and visual observation.
PMID- 29379907
TI - Effects of ceramic layer thickness, cement color, and abutment tooth color on
color reproduction of feldspathic veneers.
AB - AIM: To optimize the esthetics of ceramic restorations, it is important to
determine accurately the effects of various parameters on the final color of the
restoration. However, there is a lack of information on what determines the color
of translucent feldspathic veneers formed using ceramics such as Vita Mark II.
The aim of this study was to evaluate the effects of the ceramic layer thickness,
cement color, and abutment tooth color on the color of the feldspathic ceramic
veneer restoration. MATERIAL AND METHODS: A total of 30 all-ceramic monolithic
veneers (Vita Mark II) were fabricated using a CAD/CAM system. The crowns were
divided into three groups of differing ceramic thickness (0.4-, 0.7-, and 1.0-mm
thick). Each type of crown was seated on six different-colored abutment teeth
(1M1 [reference], 1M2, 2M2, 3M2, 4M2, and 5M2) using seven different try-in paste
colors. The color of the resulting restoration was evaluated using
spectrophotometry with a spot-measurement device (Vita Easyshade Advance 4.0).
Color data are expressed in CIE L*a*b* system coordinates, and color differences
DeltaE relative to the reference tooth were calculated and analyzed using one-way
analysis of variance (ANOVA) with the Bonferroni post hoc test at alpha = 0.05.
RESULTS: The color difference DeltaE of the feldspathic all-ceramic veneer system
was significantly affected by the thickness of the ceramic layer, the cement
color, and the abutment tooth color (P < 0.05). The smallest values of DeltaE
compared with the reference color were found when try-in pastes shade -3 was
used. As the thickness of the ceramic layer increased, a significant decrease in
DeltaE was found. As the color of the abutment tooth varied from light to dark, a
significant increase was found in DeltaE. CONCLUSION: The thickness of the
ceramic layer, the cement color, and the abutment tooth color significantly
affected the color of the resulting CAD/CAM feldspathic ceramic veneer
restoration.
PMID- 29379908
TI - Microfluidic ELISA employing an enzyme substrate and product species with similar
detection properties.
AB - The requirement for an enzyme label to carry out a chemical reaction directly at
the signaling region of the enzyme substrate in order to produce a large change
in its detectability places a significant constraint on the scope of enzyme
linked immunosorbent assays (ELISAs). In particular, this requirement limits the
kinds of enzyme label-substrate couples employable in ELISAs and prevents their
independent optimization with respect to the enzyme reaction and the
detectability of the enzyme reaction substrate/product. The detection limit and
multiplexing capabilities of the assay are consequently restricted in addition to
rendering the technique applicable to a narrow range of assay conditions/samples.
Attempting to address some of these limitations, the current article describes a
microfluidic ELISA method that does not require the enzyme label to act around
the signaling region of the substrate molecule. A highly detectable rhodamine
based substrate was synthesized to demonstrate the reported assay which upon
cleavage by the enzyme label, alkaline phosphatase, transformed from a
monoanionic to a monocationic species, both of which had nearly identical
fluorescence properties. These species were later separated based on their charge
difference using capillary zone electrophoresis in an integrated device yielding
a quantitative measure for the analyte (human TNF-alpha) in our sample.
Impressively, the noted approach not only enabled the use of a new kind of enzyme
substrate for ELISAs but also allowed the detection of human TNF-alpha at
concentrations over 54-fold lower than that possible on commercial microwell
plates primarily due to the better detectability of the rhodamine dye.
PMID- 29379909
TI - Identification of isoforms of aspartic acid residues in peptides by 2D UV-MS
fingerprinting of cold ions.
AB - We use 2D UV-MS cold-ion spectroscopy for the identification of l-Asp, d-Asp, l
isoAsp and d-isoAsp residues in a fragment peptide derived from the hormone
protein amylin. Relative solution concentrations of all four isoforms in an
equimolar quaternary mixture have been determined within 4% error. This method
demonstrates that for binary mixtures of the peptides an accuracy of 2.5% can be
reached in few-second measurements.
PMID- 29379910
TI - Nanocomposite injectable gels capable of self-replenishing regenerative
extracellular microenvironments for in vivo tissue engineering.
AB - Injectable hydrogels are biomaterials that have the potential to provide
scaffolds to cells for in situ tissue regeneration with a minimally invasive
implantation procedure. The success of in vivo tissue engineering utilizing
injectable gels depends on providing cells with appropriate scaffolds that
present an instructive extracellular microenvironment, which strongly influences
the survival, proliferation, organization, and function of cells encapsulated
within gels. One of the most important abilities of injectable gels to achieve
this function is to adsorb and retain a wide variety of requisite bioactive
molecules including nutrients, extracellular matrices, and growth/differentiation
factors within gels. Previously, we developed nanocomposite injectable gels
fabricated by simple combination of common biodegradable copolymers, poly(lactide
co-glycolide)-b-poly(ethylene glycol)-b-poly(lactide-co-glycolide) (PLGA-PEG
PLGA), and synthetic clay nanoparticles (LAPONITE(r)). We revealed that the
nanocomposite injectable gels strongly adsorb ECM molecules including collagen
and heparin within gels and retain them due to the ability of LAPONITE(r) in
synchronization with the degradation of PLGA-PEG-PLGA and subsequent release of
the degradation products. Human dermal fibroblast cells cultured on the
nanocomposite gels showed enough high cell viability and proliferation for at
least a week. Moreover, various kinds of human cells encapsulated within the
nanocomposite gels exhibited significantly higher survival, proliferation, and
three-dimensional organization in comparison with the PLGA-PEG-PLGA gel,
LAPONITE(r) gel, and Matrigel. Furthermore, transplantation of mouse myoblast
cells with the nanocomposite gels in model mice of skeletal muscle injury
dramatically enhanced tissue regeneration and functional recovery, whereas cell
transplantation with the PLGA-PEG-PLGA gel did not. Thus, the nanocomposite
injectable gels possess unique abilities to self-replenish the regenerative
extracellular microenvironment within the gels in the body, demonstrating the
potential utility of the nanocomposite injectable gels for in vivo tissue
engineering.
PMID- 29379911
TI - A rapid and specific bacterial detection method based on cell-imprinted
microplates.
AB - Bacterial detection has attracted substantial interest in recent years owing to
its importance in biology, medical care, drug discovery, and public health. For
such applications, bacterial cell-imprinting technologies are regarded as
potential methods, as they can fabricate artificial tailor-made receptors for
cellular recognition. In comparison to conventional methods, which generally
require a few days for bacterial determination, cell-imprinted polymers can save
a substantial amount of time. Here, we report a high-throughput bacterial
detection method based on a cell-imprinted 96-well microplate. The fabrication of
the bacterial cell-imprinted polypyrrole and nafion complex was accomplished on a
gold nanoparticle-coated microplate. The cell-imprinted polymer complex on the
microplate can spontaneously rebind and specifically detect target cells with
high selectivity in a short time frame (within 30 min). Furthermore, the
microplates could discriminate particular target Escherichia coli O157:H7 cells
from bacterial mixtures. This simple method may be used for a variety of
applications such as clinical testing, food safety, and continuous environmental
monitoring.
PMID- 29379912
TI - An [Mn2(bpmp)]3+ complex as an artificial peroxidase and its applications in
colorimetric pyrophosphate sensing and cascade-type pyrophosphatase assay.
AB - The development of artificial peroxidases has attracted great interest because of
their applications in various fields such as the chemical industry and
biosensing. In this study, 2,6-bis[(bis(2-pyridylmethyl)amino)-methyl]-4
methylphenol (H-bpmp) complexes with various transition metal ions have been
investigated as artificial peroxidases. Among these metal complexes, the
[Mn2(bpmp)]3+ complex showed the highest peroxidase-like activity as determined
by a colorimetric assay using 2,2'-azino-bis(3-ethylbenzothiazoline-6-sulphonic
acid) (ABTS) and H2O2. The peroxidase-like activity was inhibited by
pyrophosphate (PPi), which blocked the active site of the [Mn2(bpmp)]3+ complex.
Based on this phenomenon, the ABTS/H2O2/[Mn2(bpmp)]3+ system could be applied for
the detection of PPi, which could be achieved selectively by visual observation
with a detection limit of 130 nM. Moreover, the addition of pyrophosphatase
(PPase) to the [Mn2(bpmp)]3+ complex blocked by PPi resulted in the recovery of
the peroxidase-like activity of the [Mn2(bpmp)]3+ complex due to the hydrolysis
of PPi. Hence, the enzyme cascade reaction of the PPase and [Mn2(bpmp)]3+ complex
allowed the real-time colorimetric assay of PPase.
PMID- 29379914
TI - Palladium-catalyzed primary amine-directed regioselective mono- and di
alkynylation of biaryl-2-amines.
AB - The first example of the palladium-catalyzed primary amine-directed C(sp2)-H
alkynylation of biaryl-2-amines has been developed by using
(bromoethynyl)triisopropylsilane as an alkynylating reagent. This protocol
exhibits a broad substrate scope, excellent regioselectivity and gram-scale
synthesis. Significantly, the versatility of this straightforward method was
further demonstrated by controlled mono- and di-alkynylation.
PMID- 29379913
TI - The synthesis of LA-Fe3O4@PDA-PEG-DOX for photothermal therapy-chemotherapy.
AB - A facile methodology is presented to construct a multifunctional nanocomposite
that integrates photothermal therapy and specific drug release into a single
nanostructure. Firstly, magnetic Fe3O4@polydopamine core-shell nanoparticles
(Fe3O4@PDA) were synthesized via a reversed-phase microemulsion approach. By
varying the amount of DA, Fe3O4@PDA with a particle size of 28-38 nm can be
obtained. To further ensure the monodispersity, biocompatibility and specific
uptake, PEG and lactobionic acid (LA) were grafted onto Fe3O4@PDA (LA-Fe3O4@PDA
PEG), whose fast photothermal conversion is derived by the combination of Fe3O4
and PDA with high near infrared (NIR) absorption. Then, doxorubicin hydrochloride
(DOX) was adopted as the typical anticancer drug, which was loaded onto LA
Fe3O4@PDA-PEG via electrostatic and pi-pi stacking interaction. The release
kinetics investigation further demonstrated the acid/heat-triggered DOX release.
HepG2 cells (hepatocellular cell line) were used as the target cancer cells, and
the fast uptake was due to the nanoparticle size and abundant asialoglycoprotein
receptors on HepG2 cells. Besides, an external magnetic field also can improve
the uptake, especially when the magnet is placed at the bottom of the cell disk.
The enhanced specific cytotoxicity toward HepG2 cells was also ascribed to the
synergistic effect of chemo- and photothermal therapy. Based on the novel
properties, the LA-Fe3O4@PDA-PEG-DOX nanocomposite showed its potential
application in hepatocyte therapy.
PMID- 29379915
TI - Controllable assembly of the benzothiazole framework using a C[triple bond,
length as m-dash]C triple bond as a one-carbon synthon.
AB - A concise and efficient protocol to assemble diverse benzothiazole derivatives in
high yields was provided via copper catalyzed tandem cyclization with o
haloanilines, elemental sulfur and terminal alkynes as raw materials. In this
protocol, C atoms on the C[triple bond, length as m-dash]C triple bond were
controllably involved in the construction of the benzothiazole framework and
multiple carbon-heteroatom bonds through divergent routes.
PMID- 29379916
TI - Recent advances in spectroelectrochemistry.
AB - The integration of two quite different techniques, conventional electrochemistry
and spectroscopy, into spectroelectrochemistry (SEC) provides a complete
description of chemically driven electron transfer processes and redox events for
different kinds of molecules and nanoparticles. SEC possesses interdisciplinary
advantages and can further expand the scopes in the fields of analysis and other
applications, emphasizing the hot issues of analytical chemistry, materials
science, biophysics, chemical biology, and so on. Considering the past and future
development of SEC, a review on the recent progress of SEC is presented and
selected examples involving surface-enhanced Raman scattering (SERS), ultraviolet
visible (UV-Vis), near-infrared (NIR), Fourier transform infrared (FTIR),
fluorescence, as well as other SEC are summarized to fully demonstrate these
techniques. In addition, the optically transparent electrodes and SEC cell
design, and the typical applications of SEC in mechanism study, electrochromic
device fabrication, sensing and protein study are fully introduced. Finally, the
key issues, future perspectives and trends in the development of SEC are also
discussed.
PMID- 29379917
TI - Selective self-assembly and light emission tuning of layered hybrid perovskites
on patterned graphene.
AB - The emission of light in two-dimensional (2-D) layered hybrid organic lead halide
perovskites, namely (R-NH3)2PbX4, can be effectively tuned using specific
building blocks for the perovskite formation. Herein this behaviour is combined
with a non-covalent graphene functionalization allowing excellent selectivity and
spatial resolution of the perovskite film growth, promoting the formation of
hybrid 2-D perovskite : graphene heterostructures with uniform coverage of up to
centimeter scale graphene sheets and arbitrary shapes down to 5 MUm. Using cryo
Raman microspectroscopy, highly resolved spectra of the perovskite phases were
obtained and the Raman mapping served as a convenient spatially resolved
technique for monitoring the distribution of the perovskite and graphene
constituents on the substrate. In addition, the stability of the perovskite phase
with respect to the thermal variation was inspected in situ by X-ray diffraction.
Finally, time-resolved photoluminescence characterization demonstrated that the
optical properties of the perovskite films grown on graphene are not hampered.
Our study thus opens the door to smart fabrication routes for (opto)-electronic
devices based on 2-D perovskites in contact with graphene with complex
architectures.
PMID- 29379918
TI - Nickel-catalyzed cross-coupling of aldehydes with aryl halides via hydrazone
intermediates.
AB - Traditional cross-couplings require stoichiometric organometallic reagents. A
novel nickel-catalyzed cross-coupling reaction between aldehydes and aryl halides
via hydrazone intermediates has been developed, merging the Wolff-Kishner
reduction and the classical cross-coupling reactions. Aromatic aldehydes, aryl
iodides and aryl bromides are especially effective in this new cross-coupling
chemistry.
PMID- 29379919
TI - A novel deep red-emitting phosphor KMgLaTeO6:Mn4+ with high thermal stability and
quantum yield for w-LEDs: structure, site occupancy and photoluminescence
properties.
AB - In this work, a novel deep red-emitting phosphor KMgLaTeO6:Mn4+ potentially used
in w-LEDs is reported, which can be efficiently excited with UV or blue light
with a high quantum yield of 68.9% upon 365 nm excitation. More importantly, the
luminescence thermal stability of this kind of phosphor shows excellent
performance.
PMID- 29379920
TI - A nano-catalytic approach for C-B bond formation reactions.
AB - Herein, we present a chronological survey of the metal/metal oxide nanoparticle
catalysed borylation reactions. Transition metal-catalysed borylation is
considered to be one of the most efficient methods for the synthesis of
organoboron derivatives. Considering chemical and pharmaceutical processes, the
major drawbacks of homogeneous catalysis are metal contamination in products and
inability to recover catalysts for reuse, which limit its application
industrially, in biomolecules, and materials science. The use of nanoparticles as
heterogeneous catalysts is a current topic of research to overcome these
limitations. This review gives an overview of the metal nanoparticle-catalysed
borylation reactions and also discusses the reaction mechanisms.
PMID- 29379921
TI - Influence of a silver salt on the nanostructure of a Au(111)/ionic liquid
interface: an atomic force microscopy study and theoretical concepts.
AB - Ionic liquids (ILs) form a multilayered structure at the solid/electrolyte
interface, and the addition of solutes can alter it. For this purpose, we have
investigated the influence of the silver bis(trifluoromethylsulfonyl)amide
(AgTFSA) concentration in 1-butyl-1-methylpyrrolidinium
bis(trifluoromethylsulfonyl)amide ([Py1,4]TFSA) on the layering using in situ
atomic force microscopy. AFM investigations revealed that the Au(111)/electrolyte
interface indeed depends on the concentration of the salt where a typical " IL"
multilayered structure is retained only at quite low concentrations of the silver
salt (e.g. <=200 MUM). However, at 200 MUM AgTFSA/[Py1,4]TFSA and above this "IL"
multilayered structure is disturbed/varied. A simple double layer structure was
observed at 500 MUM AgTFSA in [Py1,4]TFSA. Furthermore, the widths of the
innermost layers have been found to be dependent on the concentration and on the
applied electrode potentials. Our AFM results show that the concentration of
solutes strongly influences the structure of the electrode/electrolyte interface
and can provide new insights into the electrical double layer structure of the
electrode/ionic liquid interface. We also introduce a semi-continuum theory to
discuss the double layer structure.
PMID- 29379922
TI - The influence of the size and symmetry of cations and anions on the
physicochemical behavior of organic ionic plastic crystal electrolytes mixed with
sodium salts.
AB - The phase behaviour, ionic conductivity, electrochemical stability and diffusion
coefficients of mobile components in three organic ionic plastic crystals
(OIPCs): triisobutylmethylphosphonium bis(fluorosulphonyl)amide (P1i444FSI),
triisobutylmethylphosphonium bis(trifluromethanesulphonyl)amide (P1i444NTf2) and
trimethylisobutylphosphonium bis(trifluoromethanesulphonyl)amide (P111i4NTf2) are
compared to study the effect of the anions and cations on phase behaviour and
dynamics. The FSI-based OIPC shows lower melting point and higher conductivity
values most likely because of the higher degree of charge distributions and
weaker ion-ion interactions compared to NTf2 anion-based OIPCs. Cyclic
voltammetry of electrolytes consisting of these OIPCs with 70 mol% sodium salt
incorporated indicates stable sodium plating/stripping behaviour at 70 and 50
degrees C for all samples. The magnitude of the peak currents, however, are much
higher for the FSI-based electrolyte.
PMID- 29379923
TI - Synthesis, isomerisation and biological properties of mononuclear ruthenium
complexes containing the bis[4(4'-methyl-2,2'-bipyridyl)]-1,7-heptane ligand.
AB - A series of mononuclear ruthenium(ii) complexes containing the tetradentate
ligand bis[4(4'-methyl-2,2'-bipyridyl)]-1,7-heptane have been synthesised and
their biological properties examined. In the synthesis of the [Ru(phen')(bb7)]2+
complexes (where phen' = 1,10-phenanthroline and its 5-nitro-, 4,7-dimethyl- and
3,4,7,8-tetramethyl- derivatives), both the symmetric cis-alpha and non-symmetric
cis-beta isomers were formed. However, upon standing for a number of days (or
more quickly under harsh conditions) the cis-beta isomer converted to the more
thermodynamically stable cis-alpha isomer. The minimum inhibitory concentrations
(MIC) and the minimum bactericidal concentrations (MBC) of the ruthenium(ii)
complexes were determined against six strains of bacteria: Gram-positive
Staphylococcus aureus (S. aureus) and methicillin-resistant S. aureus (MRSA); and
the Gram-negative Escherichia coli (E. coli) strains MG1655, APEC, UPEC and
Pseudomonas aeruginosa (P. aeruginosa). The results showed that the [Ru(5
NO2phen)(bb7)]2+ complex had little or no activity against any of the bacterial
strains. By contrast, for the other cis-alpha-[Ru(phen')(bb7)]2+ complexes, the
antimicrobial activity increased with the degree of methylation. In particular,
the cis-alpha-[Ru(Me4phen)(bb7)]2+ complex showed excellent and uniform MIC
activity against all bacteria. By contrast, the MBC values for the cis-alpha
[Ru(Me4phen)(bb7)]2+ complex varied considerably across the bacteria and even
within S. aureus and E. coli strains. In order to gain an understanding of the
relative antimicrobial activities, the DNA-binding affinity, cellular
accumulation and water-octanol partition coefficients (log P) of the ruthenium
complexes were determined. Interestingly, all the [Ru(phen')(bb7)]2+ complexes
exhibited stronger DNA binding affinity (Ka ~ 1 * 107 M-1) than the well-known
DNA-intercalating complex [Ru(phen)2(dppz)]2+ (where dppz = dipyrido[3,2-a:2',3'
c]phenazine).
PMID- 29379924
TI - Oxidation behaviour of U3Si2: an experimental and first principles investigation.
AB - Uranium-containing metallic systems such as U3Si2 are potential Accident Tolerant
Fuels (ATFs) for Light Water Reactors (LWRs) and the next generation of nuclear
reactors. Their oxidation behaviour, especially in oxygen and water-enriched
environments, plays a critical role in determining their applicability in
commercial reactors. In this work, we have investigated the oxidation behaviour
of U3Si2 experimentally and by theoretical computation. The appearance of oxide
signatures has been established from X-ray diffraction (XRD) and Raman
spectroscopic techniques after oxidation of the solid U3Si2 sample in synthetic
air (oxygen and nitrogen). We have also studied the changes in the electronic
structure as well as the energetics of oxygen interactions on the U3Si2 surfaces
using first principles calculations in the Density Functional Theory (DFT)
formalism. The detailed charge transfer and bond length analyses revealed the
preferential formation of mixed oxides of UO2 and SiO2 on the U3Si2{001} surface
as well as UO2 alone on the U3Si2{110} and {111} surfaces. The formation of the
peroxo (O22-) state confirmed the dissociation of molecular oxygen before U3Si2
oxidation. Core experimental analyses of the oxidized U3Si2 samples have revealed
the formation of higher oxides from Raman spectroscopy and XRD techniques. This
work is introduced to further a better understanding of the oxidation of U-Si
metallic fuel compounds.
PMID- 29379925
TI - Posner molecules: from atomic structure to nuclear spins.
AB - We investigate "Posner molecules", calcium phosphate clusters with chemical
formula Ca9(PO4)6. Originally identified in hydroxyapatite, Posner molecules have
also been observed as free-floating molecules in vitro. The formation and
aggregation of Posner molecules have important implications for bone growth, and
may also play a role in other biological processes such as the modulation of
calcium and phosphate ion concentrations within the mitochondrial matrix. In this
work, we use a first-principles computational methodology to study the structure
of Posner molecules, their vibrational spectra, their interactions with other
cations, and the process of pairwise bonding. Additionally, we show that the
Posner molecule provides an ideal environment for the six constituent 31P nuclear
spins to obtain very long spin coherence times. In vitro, the spins could provide
a platform for liquid-state nuclear magnetic resonance quantum computation. In
vivo, the spins may have medical imaging applications. The spins have also been
suggested as "neural qubits" in a proposed mechanism for quantum processing in
the brain.
PMID- 29379926
TI - New archetypes in self-assembled Phe-Phe motif induced nanostructures from
nucleoside conjugated-diphenylalanines.
AB - During the last two decades, the molecular self-assembly of the short peptide
diphenylalanine (Phe-Phe) motif has attracted increasing focus due to its unique
morphological structure and utility for potential applications in biomaterial
chemistry, sensors and bioelectronics. Due to the ease of their synthetic
modifications and a plethora of available experimental tools, the self-assembly
of free and protected diphenylalanine scaffolds (H-Phe-Phe-OH, Boc-Phe-Phe-OH and
Boc-Phe-Phe-OMe) has unfurled interesting tubular, vesicular or fibrillar
morphologies. Developing on this theme, here we attempt to examine the effect of
structure and properties (hydrophobic and H-bonding) modifying the functional C
terminus conjugated substituents on Boc-Phe-Phe on its self-assembly process. The
consequent self-sorting due to H-bonding, van der Waals force and pi-pi
interactions, generates monodisperse nano-vesicles from these peptides
characterized via their SEM, HRTEM, AFM pictures and DLS experiments. The
stability of these vesicles to different external stimuli such as pH and
temperature, encapsulation of fluorescent probes inside the vesicles and their
release by external trigger are reported. The results point to a new direction in
the study and applications of the Phe-Phe motif to rationally engineer new
functional nano-architectures.
PMID- 29379927
TI - Full-dimensional quantum mechanics calculations for the spectroscopic
characterization of the isomerization transition states of HOCO/DOCO systems.
AB - Full-dimensional quantum mechanics calculations were performed to determine the
vibrational energy levels of HOCO and DOCO based on an accurate potential energy
surface. Almost all of the vibrational energy levels up to 3500 cm-1 from the
vibrational ground state were assigned, and the calculated energy levels in this
work are well in agreement with the reported results by Bowman. The corresponding
full dimensional wavefunctions present some special features. When the energy
level approaches the barrier height, the trans-HOCO and cis-HOCO states strongly
couple through tunneling interactions, and the tunneling interaction and Fermi
resonance were observed in the DOCO system. The energy level patterns of trans
HOCO, cis-HOCO and trans-DOCO provide a reasonable fitted barrier height using
the fitting formula of Field et al., however, a discrepancy exists for the cis
DOCO species which is considered as a random event. Our full-dimensional
calculations give positive evidence for the accuracy of the spectroscopic
characterization model of the isomerization transition state reported by Field et
al., which was developed from one-dimensional model systems. Furthermore, the
special case of cis-DOCO in this work means that the isotopic substitution can
solve the problem of the accidental failure of Field's spectroscopic
characterization model.
PMID- 29379928
TI - Synthesis and characterization of heteroleptic titanium MOCVD precursors for TiO2
thin films.
AB - Heteroleptic titanium alkoxides with three different ligands, i.e.,
[Ti(OiPr)(X)(Y)] (X = tridentate, Y = bidentate ligands), were synthesized to
find efficient metal organic chemical vapor deposition (MOCVD) precursors for
TiO2 thin films. Acetylacetone (acacH) or 2,2,6,6-tetramethyl-3,5-heptanedione
(thdH) was employed as a bidentate ligand, while N-methyldiethanolamine (MDEA)
was employed as a tridentate ligand. It was expected that the oxygen and moisture
susceptibility of titanium alkoxides, as well as their tendency to form
oligomers, would be greatly reduced by placing multidentate and bulky ligands
around the center Ti atom. The synthesized heteroleptic titanium alkoxides were
characterized both physicochemically and crystallographically, and their thermal
behaviors were also investigated. [Ti(OiPr)(MDEA)(thd)] was found to be monomeric
and stable against moisture; it also showed good volatility in the temperature
window between volatilization and decomposition. This material was used as a
single-source precursor during MOCVD to generate TiO2 thin films on silicon
wafers. The high thermal stability of [Ti(OiPr)(MDEA)(thd)] enabled the
fabrication of TiO2 films over a wide temperature range, with steady growth rates
between 500 and 800 degrees C.
PMID- 29379929
TI - Dissipative disassembly of colloidal microgel crystals driven by a coupled cyclic
reaction network.
AB - A plethora of natural systems rely on the consumption of chemical fuel or input
of external energy to control the assembly and disassembly of functional
structures on demand. While dissipative assembly has been demonstrated, the
control of structural breakdown using a dissipative cycle remains almost
unexplored. Here, we propose and realize a dissipative disassembly process using
two coupled cyclic reactions, in which protons mediate the interaction between
the cycles. We show how an ordered colloidal crystal, can cyclically transform
into a disordered state by addition of energy to a chemical cycle, reversibly
activating a photoacid. This cycle is coupled to the colloidal assembly cycle via
the exchange of protons, which in turn trigger charging of the particles. This
system is an experimental realization of a cyclic reaction-assembly network and
its principle can be extended to other types of structure formation.
PMID- 29379930
TI - Rapid construction of substituted 3-amino-1,5-benzothiazepin-4(5H)-one dipeptide
scaffolds through an Ugi-4CR - Ullmann cross-coupling sequence.
AB - A 3-step methodology for the synthesis of 1,5-benzothiazepin-4(5H)-one
dipeptidomimetics has been elaborated via an Ugi-4CR followed by a S-trityl
deprotection and an intramolecular Cu(i)-catalyzed Ullmann condensation with
moderate to good yields. In silico and NMR conformational studies showed that the
lowest energy conformers stabilize gamma- and beta-turn structures.
PMID- 29379931
TI - A non-tight chemomechanical coupling model for force-dependence of movement
dynamics of molecular motors.
AB - Based on the available experimental evidence, we present a simple and general
model to describe the movement dynamics of molecular motors that can move
processively on their linear tracks by using the chemical energy derived from ATP
hydrolysis. An important aspect of the model is the non-tight coupling between
the ATP hydrolysis and mechanical stepping, in contrast to the prevailing models
presented in the literature that assume the tight chemomechanical coupling. With
kinesin as an example, based on the current model, we study in detail its
movement dynamics under a backward load, reproducing well the diverse available
single-molecule experimental data such as the forward to backward step ratio,
velocity, dwell time, randomness, run length, etc., versus the load. Moreover,
predicted results are provided on the force-dependence of the mean number of ATP
molecules consumed per mechanical step. Additionally, the theoretical data for
the dynamics of myosin-V obtained based on the model are also in good agreement
with the available experimental data.
PMID- 29379932
TI - Two-photon spectroscopy of the NaLi triplet ground state.
AB - We employ two-photon spectroscopy to study the vibrational states of the triplet
ground state potential (a3Sigma+) of the 23Na6Li molecule. Pairs of Na and Li
atoms in an ultracold mixture are photoassociated into an excited triplet
molecular state, which in turn is coupled to vibrational states of the triplet
ground potential. Vibrational state binding energies, line strengths, and
potential fitting parameters for the triplet ground a3Sigma+ potential are
reported. We also observe rotational splitting in the lowest vibrational state.
PMID- 29379933
TI - Correction: General optimization procedure towards the design of a new family of
minimal parameter spin-component-scaled double-hybrid density functional theory.
AB - Correction for 'General optimization procedure towards the design of a new family
of minimal parameter spin-component-scaled double-hybrid density functional
theory' by Loic M. Roch and Kim K. Baldridge, Phys. Chem. Chem. Phys., 2017, 19,
26191-26200.
PMID- 29379934
TI - Evidence of electrostatic-enhanced depletion attraction in the structural
properties and phase behavior of binary charged colloidal suspensions.
AB - In this paper we study the structure and phase behavior of binary mixtures of
charged particles at low ionic strength. Due to the large size asymmetry between
both species, light scattering measurements give us access only to the partial
static structure factor that corresponds to the big particles. We observe that
the addition of small charged colloids produces a decrease of the main peak of
the measured static structure factor and a shift to larger scattering vector
values. This finding is in agreement with theory based on integral equations with
the Hypernetted-Chain Closure (HNC) relation. The effective interaction between
two big particles due to the presence of small particles is obtained by a HNC
inversion scheme and used in numerical simulations that adequately reproduce the
experiments. We find that the presence of small particles induces an
electrostatic depletion screening among the big colloids, creating around them an
exclusion zone for the small charged colloids greater than that caused in the
case of neutral small colloids, which in turn augments the depletion effect.
PMID- 29379935
TI - Correction: Cationic phthalocyanine dendrimers as potential antimicrobial
photosensitisers.
AB - Correction for 'Cationic phthalocyanine dendrimers as potential antimicrobial
photosensitisers' by Ruben Ruiz-Gonzalez et al., Org. Biomol. Chem., 2017, 15,
9008-9017.
PMID- 29379936
TI - Thermal activation of methane by vanadium boride cluster cations VBn+ (n = 3-6).
AB - Investigation on the reactivity of atomic clusters represents an important
approach to discover new species to activate and transform methane, the most
stable alkane molecule. While a few types of transition metal species have been
found to be capable of cleaving the C-H bond of methane, methane activation by
the transition metal boride species has not been explored yet. This study reports
that vanadium boride cluster cations VBn+ (n = 3-6) can dehydrogenate methane
under thermal collision conditions. The mechanistic details of the efficient
reactions have been elucidated by quantum chemistry calculations on the VB3+
reaction system. Compared to the non-polar bare B3 cluster, the B3 moiety in VB3+
can be polarized by the V+ cation and thus its reactivity toward methane can be
much enhanced. This study provides new insights into the rational design of boron
based catalysts for methane activation.
PMID- 29379937
TI - Buried treasure: biosynthesis, structures and applications of cyclic peptides
hidden in seed storage albumins.
AB - Covering: 1999 up to the end of 2017The small cyclic peptide SunFlower Trypsin
Inhibitor-1 (SFTI-1) from sunflower seeds is the prototypic member of a novel
family of natural products. The biosynthesis of these peptides is intriguing as
their gene-encoded peptide backbone emerges from a precursor protein that also
contains a seed storage albumin. The peptide sequence is cleaved out from the
precursor and cyclised by the albumin-maturing enzymatic machinery. Three
dimensional solution NMR structures of a number of these peptides, and of the
intact precursor protein preproalbumin with SFTI-1, have now been elucidated.
Furthermore, the evolution of the family has been described and a detailed
understanding of the biosynthetic steps, which are necessary to produce cyclic
SFTI-1, is emerging. Macrocyclisation provides peptide stability and thus
represents a key strategy in peptide drug development. Consequently the
constrained structure of SFTI-1 has been explored as a template for protein
engineering, for tuning selectivity towards clinically relevant proteases and for
grafting in sequences with completely novel functions. Here we review the
discovery of the SFTI-1 peptide family, their evolution, biosynthetic origin, and
structural features, as well as highlight the potential applications of this
unique class of natural products.
PMID- 29379938
TI - Epidermal growth factor and transforming growth factor-alpha in human milk of
different lactation stages and different regions and their relationship with
maternal diet.
AB - Epidermal growth factor (EGF) and transforming growth factor-alpha (TGF-alpha)
are important growth-promoting factors in human milk and play an important role
in a newborn's gastrointestinal function. The aim of the present study was to
compare EGF and TGF-alpha contents in breast milk from different lactation
periods and different regions and further analyze the effect of maternal diet on
the concentration of EGF and TGF-alpha in breast milk. Breast milk samples and 24
hour food records were obtained from lactating mothers on day 1 (colostrum), day
14 (transitional milk) and day 42 (mature milk) from Hangzhou (n = 76), Lanzhou
(n = 76) and Beijing (n = 76), China. EGF and TGF-alpha levels were determined by
enzyme-linked immunosorbent assay (ELISA). The concentration of EGF in breast
milk decreased over lactation periods (p < 0.001) while the TGF-alpha content in
breast milk increased over lactation periods (p < 0.001). During all of the three
lactation periods, the EGF content in the breast milk from Lanzhou participants
was significantly higher than Beijing and Hangzhou participants (p < 0.001),
while the TGF-alpha content in the breast milk from Beijing was significantly
higher than that from Lanzhou and Hangzhou (p < 0.001). The concentration of EGF
in breast milk decreased with the increasing intake of proteins (p = 0.042),
total energy (p = 0.031), vegetables (p = 0.002), fruits (p < 0.001), soy
products (p = 0.001) and dairy foods (p < 0.001), while the TGF-alpha content in
breast milk increased with the increasing intake of carbohydrates (p = 0.023) and
dairy products (p = 0.011) and decreased with the increasing intake of proteins
(p = 0.008) and meat (p = 0.016). The EGF and TGF-alpha contents in breast milk
were greatly influenced by regions and lactation periods and there was also a
strong relationship with maternal diet.
PMID- 29379939
TI - Hand-powered centrifugal microfluidic platform inspired by the spinning top for
sample-to-answer diagnostics of nucleic acids.
AB - Point-of-care (POC), sample-to-answer and electricity-free nucleic acid
diagnostic tools are vital for health care and disease control in resource
limited settings where centralized medical facilities or even electric power may
remain unreliable. Inspired by one of the oldest recognizable toys, the spinning
top, here we report a fully hand-powered centrifugal microfluidic platform for
the diagnostics of pathogenic bacteria. Assay procedures such as zeolite-based
purification of nucleic acids, loop-mediated isothermal amplification (LAMP) and
visual detection of fluorescence signals are integrated into a single
microfluidic disc. A simple pull-out operation of the top rack of the customized
centrifuge initiates high-speed rotation of the disc, resulting in efficient
actuation and mixing of preloaded sample/reagent fluids. This microfluidic
platform enables the simultaneous detection of six kinds of pathogenic bacteria
within a small disc in an electricity-free manner, showing great promise in
sample-to-answer nucleic acid detection in remote settings.
PMID- 29379941
TI - Ce(iii)-catalyzed highly efficient synthesis of pyridyl benzamides from
aminopyridines and nitroolefins without external oxidants.
AB - An efficient synthesis of a variety of pyridyl benzamides from 2-aminopyridines
and nitroolefins is described. This rare-earth-metal-catalyzed reaction provides
the corresponding products with broad substrate scope in moderate to excellent
yields, in the absence of additives and external oxidants. Water is used as the
source of the carbonyl oxygen atom in pyridyl benzamides. Furthermore, 2
substituted oxazolo[4,5-b]pyridines are formed in good yields under the standard
conditions when 2-aminopyridin-3-ols are used as the substrates.
PMID- 29379940
TI - gem-Diborylalkanes: recent advances in their preparation, transformation and
application.
AB - Recently, gem-diborylalkanes have attracted much attention as versatile building
blocks and fundamental intermediates in organic synthesis, because they enable
multiple C-C bond construction and further transformation at C-B bonds.
Importantly, gem-diborylalkanes can be utilised as bisnucleophilic partners in a
variety of chemo-selective C-C bond-forming reactions. This review describes
recent developments in synthesising gem-diborylalkanes in complex molecules along
with their chemical transformation. In the first part of the review the different
synthetic approaches used to synthesise gem-diborylalkanes are described. In the
second part, an overview of the chemoselective transformation of gem
diborylalkanes into various functionalized materials is discussed along with one
carbon homologation of diborylmethane via a selective uni- and bidirectional
method.
PMID- 29379942
TI - An Unusual Amnestic Syndrome Associated With Combined Fentanyl and Cocaine Use.
PMID- 29379944
TI - Appearance of an Unusual Cerebellar Lesion.
PMID- 29379945
TI - Preschool and Early Education Experiences.
PMID- 29379943
TI - Efficacy of Oral Mixed Tocotrienols in Diabetic Peripheral Neuropathy: A
Randomized Clinical Trial.
AB - Importance: Management of painful diabetic peripheral neuropathy remains
challenging. Most therapies provide symptomatic relief with varying degrees of
efficacy. Tocotrienols have modulatory effects on the neuropathy pathway and may
reduce neuropathic symptoms with their antioxidative and anti-inflammatory
activities. Objective: To evaluate the efficacy of oral mixed tocotrienols for
patients with diabetic peripheral neuropathy. Design, Setting, and Participants:
The Vitamin E in Neuroprotection Study (VENUS) was a parallel, double-blind,
placebo-controlled trial that recruited participants from January 30, 2011, to
December 7, 2014, with 12 months of follow-up. This trial screened 14 289
patients with diabetes from 6 health clinics and ambulatory care units from 5
public hospitals in Malaysia. A total of 391 patients who reported neuropathic
symptoms were further assessed with Total Symptom Score (TSS) and Neuropathy
Impairment Score (NIS). Patients 20 years or older with a TSS of 3 or higher and
an NIS of 2 or higher were recruited. Interventions: Patients were randomized to
receive 200 mg of mixed tocotrienols twice daily or matching placebo for 12
months. Patients with hyperhomocysteinemia (homocysteine level >=2.03 mg/L)
received oral folic acid, 5 mg once daily, and methylcobalamin, 500 MUg thrice
daily, in both groups. Main Outcomes and Measures: The primary outcome was
patient-reported neuropathy TSS (lancinating pain, burning pain, paresthesia, and
asleep numbness) changes at 12 months. The secondary outcomes were NIS and
sensory nerve conduction test result. Results: Of 391 eligible patients, 300 were
recruited (130 [43.3%] male; mean [SD] age, 57.6 [8.9] years; mean [SD] duration
of diabetes, 11.4 [7.8] years) and 229 (76.3%) completed the trial. The TSS
changes between the tocotrienols and placebo groups at 12 months (-0.30; 95% CI,
1.16 to 0.56; P = .49) were similar. No significant differences in NIS (0.60; 95%
CI, -1.37 to 2.65; P = .53) and sensory nerve conduction test assessments were
found between both groups. In post hoc subgroup analyses, tocotrienols reduced
lancinating pain among patients with hemoglobin A1C levels greater than 8% (P =
.03) and normohomocysteinemia (homocysteine level <2.03 mg/L; P = .008) at 1
year. Serious adverse events in both groups were similar, except more infections
were observed in the tocotrienols group (6.7% vs 0.7%, P = .04). Results reported
were of modified intention-to-treat analyses. Conclusions and Relevance:
Supplementation of oral mixed tocotrienols, 400 mg/d for 1 year, did not improve
overall neuropathic symptoms. The preliminary observations on lancinating pain
among subsets of patients require further exploration. Trial Registration:
National Medical Research Registry Identifier: NMRR-10-948-7327 and
clinicaltrials.gov Identifier: NCT01973400.
PMID- 29379946
TI - Lateral Displacement of the Inner Canthi in a Child of Deaf Parents.
PMID- 29379947
TI - Outcomes of Follow-up Visits After Bronchiolitis Hospitalizations.
PMID- 29379948
TI - Mid- and Long-Term Health Risks in Living Kidney Donors: A Systematic Review and
Meta-analysis.
AB - Background: Long-term health risks for adults who donate kidneys are unclear.
Purpose: To summarize evidence about mid- and long-term health risks associated
with living kidney donation in adults. Data Sources: PubMed, Embase, Scopus, and
PsycINFO without language restriction from April 1964 to July 2017. Study
Selection: Observational studies with at least 1 year of follow-up that compared
health outcomes in adult living kidney donors versus nondonor populations. Data
Extraction: Two investigators independently extracted study data and assessed
study quality. Data Synthesis: 52 studies, comprising 118 426 living kidney
donors and 117 656 nondonors, were included. Average follow-up was 1 to 24 years.
No evidence suggested higher risk for all-cause mortality, cardiovascular
disease, hypertension, type 2 diabetes, or adverse psychosocial health outcomes
in living kidney donors than in nondonor populations. Donors had higher diastolic
blood pressure, lower estimated glomerular filtration rates, and higher risk for
end-stage renal disease (ESRD) (relative risk [RR], 8.83 [95% CI, 1.02 to 20.93])
and preeclampsia in female donors (RR, 2.12 [CI, 1.06 to 4.27]). Despite the
increased RR, donors had low absolute risk for ESRD (incidence rate, 0.5 event
[CI, 0.1 to 4.9 events] per 1000 person-years) and preeclampsia (incidence rate,
5.9 events [CI, 2.9 to 8.9 events] per 100 pregnancies). Limitation:
Generalizability was limited by selected control populations, few studies
reported pregnancy-related outcomes, and few studies were from low- and middle
income countries. Conclusion: Although living kidney donation is associated with
higher RRs for ESRD and preeclampsia, the absolute risk for these outcomes
remains low. Compared with nondonor populations, living kidney donors have no
increased risk for other major chronic diseases, such as type 2 diabetes, or for
adverse psychosocial outcomes. Primary Funding Source: National Health Service
Blood and Transplant and National Institute for Health Research. (PROSPERO:
CRD42017072284).
PMID- 29379949
TI - A Case of Possible Pacemaker Malfunction: The Pacemaker That Did Not Make Sense.
PMID- 29379950
TI - Proportion of Decedents' Expenditures Among Recent Reductions in Medicare
Expenditures.
PMID- 29379951
TI - India's Call to Action-Prioritize Chronic Cardiovascular Disease.
PMID- 29379952
TI - Acute Brain Lesions on Magnetic Resonance Imaging and Delayed Neurological
Sequelae in Carbon Monoxide Poisoning.
AB - Importance: Preventing delayed neurological sequelae is a major goal of treating
acute carbon monoxide poisoning, but to our knowledge there are no reliable tools
for assessing the probability of these sequelae. Objectives: To determine whether
acute brain lesions on diffusion-weighted imaging are related to subsequent
development of delayed neurological sequelae after acute carbon monoxide
poisoning. Design, Setting, and Participants: This registry-based observational
study was conducted at a university hospital in Seoul, Korea, between April 1,
2011, and December 31, 2015. Of 700 patients (aged >=18 years) with acute carbon
monoxide poisoning, 433 patients (61.9%) who underwent diffusion-weighted imaging
at an emergency department were considered for the study. Patients who developed
cardiac arrest before diffusion-weighted imaging (n = 3), had persistent
neurological symptoms at discharge (n = 8), committed suicide soon after
discharge (n = 1), and were lost to follow-up (n = 34) were excluded. Exposure:
The presence of unambiguous, high-signal-intensity, acute brain lesions on
diffusion-weighted imaging (b = 1000 s/mm2). Main Outcomes and Measures:
Development of delayed neurological sequelae defined as any neurological symptoms
or signs that newly developed within 6 weeks of discharge. Results: Of the 387
included patients (143 women [37.0%]; median age, 42.0 years [interquartile
range, 32.0-56.0 years]), acute brain lesions on diffusion-weighted imaging were
observed in 104 patients (26.9%). Among these, 77 patients (19.9%) had globus
pallidus lesions, 13 (3.4%) had diffuse lesions, and 57 (14.7%) had focal lesions
(37 patients [9.6%] had >1 pattern concurrently). Lesions were supratentorial and
infratentorial in 101 and 23 patients, respectively. Delayed neurological
sequelae occurred in 101 patients (26.1%). Multivariable logistic regression
analysis indicated that the presence of acute brain lesions was independently
associated with development of delayed neurological sequelae (adjusted odds
ratio, 13.93; 95% CI, 7.16-27.11; P < .001). The sensitivity and specificity of
acute brain lesions to assess the probability of delayed neurological sequelae
were 75.2% (95% CI, 66.8%-83.7%) and 90.2% (95% CI, 86.8%-93.7%), respectively.
In addition, the positive and negative predictive values were 73.1% (95% CI,
64.6%-81.6%) and 91.2% (95% CI, 87.9%-94.5%), respectively. Conclusions and
Relevance: The presence of acute brain lesions was significantly associated with
the development of delayed neurological sequelae. Diffusion-weighted imaging
during the acute phase of carbon monoxide poisoning may therefore help identify
patients at risk of developing these debilitating sequelae.
PMID- 29379954
TI - Principles for a Framework for Alternative Payment Models.
PMID- 29379953
TI - Effect of an In-Hospital Multifaceted Clinical Pharmacist Intervention on the
Risk of Readmission: A Randomized Clinical Trial.
AB - Importance: Hospital readmissions are common among patients receiving multiple
medications, with considerable costs to the patients and society. Objective: To
determine whether a multifaceted pharmacist intervention based on medication
review, patient interview, and follow-up can reduce the number of readmissions
and emergency department (ED) visits. Design, Setting, and Participants: This
randomized clinical multicenter study (Odense Pharmacist Trial Investigating
Medication Interventions at Sector Transfer [OPTIMIST]) enrolled patients from
September 1, 2013, through April 23, 2015, with a follow-up of 6 months completed
on October 31, 2015. Consecutive medical patients in an acute admission ward who
were 18 years or older and who used 5 or more medications were invited to
participate. Of 1873 patients invited to participate, 1499 (80.0%) accepted. The
medication review and patient interview were conducted in the hospital and
followed up in collaboration with primary care. Analysis was based on intention
to treat. Interventions: The patients were randomized into 3 groups receiving
usual care (no intervention), a basic intervention (medication review), and an
extended intervention (medication review, 3 motivational interviews, and follow
up with the primary care physician, pharmacy, and nursing home). Main Outcomes
and Measures: The prespecified primary outcomes were readmission within 30 or 180
days and ED visits within 180 days. The primary composite end point was
readmission or an ED visit within 180 days. Secondary outcomes were drug-related
readmissions within 30 and 180 days after inclusion, and all-cause mortality and
drug-related mortality. Results: A total of 1467 patients (679 men [46.3%] and
788 women [53.7%]; median age, 72 years; interquartile range, 63-80 years) were
part of the primary analysis, including 498 randomized to usual care, 493
randomized to the basic intervention, and 476 randomized to the extended
intervention. The extended intervention had a significant effect on the numbers
of patients who were readmitted within 30 days (hazard ratio [HR], 0.62; 95% CI,
0.46-0.84) or within 180 days (HR, 0.75; 95% CI, 0.62-0.90) after inclusion and
on the number of patients who experienced the primary composite end point (HR,
0.77; 95% CI, 0.64-0.93). The study showed a nonsignificant reduction in drug
related readmissions within 30 days (HR, 0.65; 95% CI, 0.39-1.09) and within 180
days (HR, 0.80; 95% CI, 0.59-1.08) after inclusion and in deaths (HR, 0.83; 95%
CI, 0.22-3.11). The number needed to treat to achieve the primary composite
outcome for the extended intervention (vs usual care) was 12. Conclusions and
Relevance: A multifaceted clinical pharmacist intervention may reduce the number
of ED visits and hospital readmissions. Trial Registration: clinicaltrials.gov
Identifier: NCT03079375.
PMID- 29379956
TI - Smart Hospital Discharges to Address a Neglected Epidemic in Sepsis in Low- and
Middle-Income Countries.
PMID- 29379955
TI - A Multicomponent, Preschool to Third Grade Preventive Intervention and
Educational Attainment at 35 Years of Age.
AB - Importance: Educational attainment is the leading social determinant of health,
but few studies of prevention programs have examined whether the programs are
associated with educational attainment outcomes after the mid-20s, especially for
large-scale programs that provide a longer duration of services. Objective: To
examine the association between a preschool to third grade intervention and
educational attainment at midlife and differences by program duration, sex, and
parental educational level. Design, Setting, and Participants: This matched
group, alternative intervention study assessed 1539 low-income minority children
born in 1979 or 1980 who grew up in high-poverty neighborhoods in Chicago,
Illinois. The comparison group included 550 children primarily from randomly
selected schools participating in the usual early intervention. A total of 989
children who entered preschool in 1983 or 1984 and completed kindergarten in 1986
were included in the Chicago Longitudinal Study and were followed up for 27 to 30
years after the end of a multicomponent intervention. A total of 1398
participants (90.8%) in the original sample had educational attainment records at
35 years of age. The study was performed from January 1, 2002, through May 31,
2015. Interventions: The Child-Parent Center Program provides school-based
educational enrichment and comprehensive family services from preschool to third
grade (ages 3-9 years). Main Outcomes and Measures: Educational outcomes from
administrative records and self-report included school dropout, 4-year high
school graduation, years of education, postsecondary credential, and earned
degrees from associate's to master's or higher. Results: A total of 1539
participants (mean [SD] age, 35.1 [0.32] years; 1423 [92.9%] black and 108 [7.1%]
Hispanic) were included in the study. After weighting on 2 propensity scores,
preschool participants had higher rates of postsecondary degree completion,
including associate's degree or higher (15.7% vs 10.7%; difference, 5.0%; 95% CI,
1.0%-9.0%), master's degree (4.2% vs 1.5%; difference, 2.7%; 95% CI, 1.3%-4.1%),
and years of education (12.81 vs 12.32; difference, 0.49; 95% CI, 0.20-0.77).
Duration of participation showed a consistent linear association with outcomes.
Compared with fewer years, preschool to second or third grade participation led
to higher rates of associate's degree or higher (18.5% vs 12.5%; difference,
6.0%; 95% CI, 1.0%-11.0%), bachelor's degree (14.3% vs 8.2%; difference, 6.1%;
95% CI, 1.3%-10.9%), and master's degree or higher (5.9% vs 2.3%; difference,
3.6%; 95% CI, 1.4%-5.9%). The pattern of benefits was robust and favored male
participants for high school graduation, female participants for college
attainment, and those from lower-educated households. Conclusions and Relevance:
This study indicates that an established early and continuing intervention is
associated with higher midlife postsecondary attainment. Replication and
extension of findings to other locations and populations should further
strengthen confidence in the health benefits of large-scale preventive
interventions.
PMID- 29379957
TI - Self-Stigma and Age-Related Hearing Loss: A Qualitative Study of Stigma Formation
and Dimensions.
AB - Purpose: This study explored experiences of self-stigma among older persons with
age-related hearing loss (ARHL) using Corrigan's conceptualization of self-stigma
process formation and the attribution model as its theoretical framework. Method:
In-depth semistructured interviews were conducted with 11 older persons (mean age
= 81 years) with ARHL. Results: Self-stigma was present in the lives of the
participants. Analysis revealed the existence of 3 stages of self-stigma in which
the 3 core dimensions of stigma (cognitive attributions: being old, stupid, and
crippled; emotional reactions: shame, pity, and feeling ridiculed; and behavioral
reactions: concealment, distancing, and adapting to hearing aids) were observed.
Hearing devices emerged as having a significant influence on stigmatic
experiences in all stages and dimensions of self-stigma. Conclusion: The study
contributes to the theoretical and practical understanding of self-stigma
regarding ARHL as well as to the understanding of the role of hearing devices in
the development of this stigma.
PMID- 29379958
TI - Does Medicine Overemphasize IQ?
PMID- 29379959
TI - Prevalence of Pulmonary Embolism in Patients With Syncope.
AB - Importance: Sparse data and conflicting evidence exist on the prevalence of
pulmonary embolism (PE) in patients with syncope. Objective: To estimate the
prevalence of PE among patients presenting to the emergency department (ED) for
evaluation of syncope. Design, Setting, and Participants: This retrospective,
observational study analyzed longitudinal administrative data from 5 databases in
4 different countries (Canada, Denmark, Italy, and the United States). Data from
all adult patients (aged >=18 years) who presented to the ED were screened to
identify those with syncope codes at discharge. Data were collected from January
1, 2000, through September 30, 2016. Main Outcomes and Measures: The prevalence
of PE at ED and hospital discharge, identified using codes from the International
Classification of Diseases, was considered the primary outcome. Two sensitivity
analyses considering prevalence of PE at 90 days of follow-up and prevalence of
venous thromboembolism were performed. Results: A total of 1 671 944 unselected
adults who presented to the ED for syncope were included. The prevalence of PE,
according to administrative data, ranged from 0.06% (95% CI, 0.05%-0.06%) to
0.55% (95% CI, 0.50%-0.61%) for all patients and from 0.15% (95% CI, 0.14%-0.16%)
to 2.10% (95% CI, 1.84%-2.39%) for hospitalized patients. The prevalence of PE at
90 days of follow-up ranged from 0.14% (95% CI, 0.13%-0.14%) to 0.83% (95% CI,
0.80%-0.86%) for all patients and from 0.35% (95% CI, 0.34%-0.37%) to 2.63% (95%
CI, 2.34%-2.95%) for hospitalized patients. Finally, the prevalence of venous
thromboembolism at 90 days ranged from 0.30% (95% CI, 0.29%-0.31%) to 1.37% (95%
CI, 1.33%-1.41%) for all patients and from 0.75% (95% CI, 0.73%-0.78%) to 3.86%
(95% CI, 3.51%-4.24%) for hospitalized patients. Conclusions and Relevance:
Pulmonary embolism was rarely identified in patients with syncope. Although PE
should be considered in every patient, not all patients should undergo evaluation
for PE.
PMID- 29379960
TI - The Quest to Define Individual Risk After Living Kidney Donation.
PMID- 29379961
TI - Review of the Neurological Implications of von Hippel-Lindau Disease.
AB - Importance: von Hippel-Lindau (VHL) disease-associated central nervous system
(CNS) lesions include hemangioblastomas and endolymphatic sac tumors (ELSTs),
which are associated with significant neurological morbidity and mortality.
Recent studies provide critical new biological, diagnostic, and management
insights into these tumors. Observations: Biological features, natural history,
clinical findings, and management strategies of VHL disease-associated CNS tumors
are reviewed. The VHL disease results from a germline mutation of the VHL gene
(located on the short arm of chromosome 3), a tumor suppressor that encodes for
the VHL protein. Whereas VHL disease is associated with visceral manifestations,
CNS lesions are the most common source of morbidity and mortality. Craniospinal
hemangioblastomas are almost entirely (99%) found in the cerebellum, brainstem,
and spinal cord. These tumors arise from multipotent hemangioblasts. Peritumoral
cysts frequently underlie the clinical findings associated with hemangioblastomas
(>90% of symptomatic tumors). Prospective natural history studies demonstrate
that CNS hemangioblastomas typically grow in a saltatory pattern. Due to this
unpredictable growth pattern, surgical resection is reserved for symptomatic
lesions, as many tumors do not become symptomatic. Recent studies indicate that
VHL disease-associated ELSTs cause audiovestibular morbidity (hearing loss,
tinnitus, and vertigo) via 3 mechanisms-otic capsule invasion, intralabyrinthine
hemorrhage, and endolymphatic hydrops. Specialized magnetic resonance imaging
techniques have been defined to elucidate each of these mechanisms, even when a
tumor mass is not identified on imaging. Endolymphatic sac tumors cause
audiovestibular morbidity unrelated to size or progression, and resection is now
recommended at initial discovery of a tumor mass or a tumor-associated mechanism
of morbidity. Conclusions and Relevance: New insights into the development,
pathobiological origin, natural history, and long-term outcomes of VHL disease
associated CNS tumors have redefined their management and treatment indications
and potentially provide new targeted therapeutic strategies. Resection is
reserved for symptomatic hemangioblastomas, but early resection of newly detected
ELSTs is now recommended.
PMID- 29379962
TI - Provider Types and Outcomes in Obstructive Sleep Apnea Case Finding and
Treatment: A Systematic Review.
AB - Background: Obstructive sleep apnea (OSA) diagnosis and care models rely on sleep
specialist physicians (SSPs) and can be expensive and inefficient. Purpose: To
assess OSA case-finding accuracy and comparative effectiveness of care by non
sleep specialists (NSSs) and SSPs. Data Sources: MEDLINE and CINAHL from January
2000 through July 2017. Study Selection: English-language trials or observational
studies comparing case finding or care by SSPs versus providers not specifically
trained as SSPs (NSSs) for adults with suspected or diagnosed OSA. Data
Extraction: One investigator extracted data and assessed risk of bias and
strength of evidence, with confirmation by a second investigator. Primary
outcomes were patient-centered (mortality, access to care, quality of life,
patient satisfaction, adherence, symptom scores, and adverse events).
Intermediate outcomes included resource use, costs, time to initiation of
treatment, and case finding. Data Synthesis: Four observational studies (n = 580;
mean age, 52 years; 77% male) reported good agreement between NSSs and SSPs on
appropriate diagnostic testing and classification of OSA severity (low-strength
evidence). Five randomized trials and 3 observational studies (n = 1515; mean
age, 52 years; 68% male) found that care provided by NSSs and SSPs resulted in
similar quality of life, adherence, and symptom scores (low-strength evidence).
Evidence was insufficient for access to care and adverse events. Limitations:
Many outcomes were reported infrequently or not at all. Many NSSs had extensive
training or experience in sleep medicine, which limits generalizability of
findings to providers with less experience. Conclusion: Care by NSSs and SSPs
resulted in similar outcomes in adults with known or suspected OSA. Studies are
needed to determine care model implementation and reproducibility of results in
nonacademic settings and among less experienced NSSs. Primary Funding Source:
Department of Veterans Affairs, Veterans Health Administration, Office of
Research and Development, Quality Enhancement Research Initiative. (PROSPERO:
CRD42016036810 [full Veterans Affairs Evidence-based Synthesis Program report]).
PMID- 29379965
TI - Association Between Undergraduate Performance Predictors and Academic and
Clinical Performance of Osteopathic Medical Students.
AB - Context: Medical schools use a variety of preadmission indices to select
potential students. These indices generally include undergraduate grade point
average (GPA), Medical College Admission Test (MCAT) scores, and preadmission
interviews. Objective: To investigate whether the admission indices used by
Midwestern University Arizona College of Osteopathic Medicine are associated with
the academic and clinical performance of their students. Methods: Associations
between the prematriculation variables of undergraduate science GPA,
undergraduate total GPA, MCAT component scores, and interview scores and the
academic and clinical variables of the first- and second-year medical school GPA,
Comprehensive Osteopathic Medical Licensing Examination-USA (COMLEX-USA) Level 1
and Level 2-Cognitive Evaluation (CE) total and discipline scores, scores in
clinical rotations for osteopathic competencies, COMLEX-USA Level 2-Performance
Evaluation passage, and match status were evaluated. Two-tailed Pearson product
moment correlations with a Bonferroni adjustment were used to examine these
relationships. Results: The traditional predictors of science and total
undergraduate GPA as well as total and component MCAT scores had small to
moderate associations with first- and second-year GPA, as well as COMLEX-USA
Level 1 and Level 2-CE total scores. Of all predictors, only the MCAT biological
sciences score had a statistically significant correlation with failure of the
COMLEX-USA Level 2-Performance Evaluation examination (P=.009). Average interview
scores were associated only with the osteopathic competency of medical knowledge
(r=0.233; n=209; P=.001), as assessed by clerkship preceptors. No predictors were
associated with scores in objective structured clinical encounters or with
failing to match to a residency position. Conclusion: The data indicate that
traditional predictors of academic performance (undergraduate GPA, undergraduate
science GPA, and MCAT scores) have small to moderate association with medical
school grades and performance on COMLEX-USA Level 1 and Level 2-CE. This finding
requires additional research into the value of the interview in the medical
school admissions process and the availability of alternatives that allow better
prediction and assessment of applicant performance.
PMID- 29379964
TI - Diabetes and Hypertension in India: A Nationally Representative Study of 1.3
Million Adults.
AB - Importance: Understanding how diabetes and hypertension prevalence varies within
a country as large as India is essential for targeting of prevention, screening,
and treatment services. However, to our knowledge there has been no prior
nationally representative study of these conditions to guide the design of
effective policies. Objective: To determine the prevalence of diabetes and
hypertension in India, and its variation by state, rural vs urban location, and
individual-level sociodemographic characteristics. Design, Setting, and
Participants: This was a cross-sectional, nationally representative, population
based study carried out between 2012 and 2014. A total of 1 320 555 adults 18
years or older with plasma glucose (PG) and blood pressure (BP) measurements were
included in the analysis. Exposures: State, rural vs urban location, age, sex,
household wealth quintile, education, and marital status. Main Outcomes and
Measures: Diabetes (PG level >=126 mg/dL if the participant had fasted or >=200
mg/dL if the participant had not fasted) and hypertension (systolic BP>=140 mm Hg
or diastolic BP>=90 mm Hg). Results: Of the 1 320 555 adults, 701 408 (53.1%)
were women. The crude prevalence of diabetes and hypertension was 7.5% (95% CI,
7.3%-7.7%) and 25.3% (95% CI, 25.0%-25.6%), respectively. Notably, hypertension
was common even among younger age groups (eg, 18-25 years: 12.1%; 95% CI, 11.8%
12.5%). Being in the richest household wealth quintile compared with being in the
poorest quintile was associated with only a modestly higher probability of
diabetes (rural: 2.81 percentage points; 95% CI, 2.53-3.08 and urban: 3.47
percentage points; 95% CI, 3.03-3.91) and hypertension (rural: 4.15 percentage
points; 95% CI, 3.68-4.61 and urban: 3.01 percentage points; 95% CI, 2.38-3.65).
The differences in the probability of both conditions by educational category
were generally small (<=2 percentage points). Among states, the crude prevalence
of diabetes and hypertension varied from 3.2% (95% CI, 2.7%-3.7%) to 19.9% (95%
CI, 17.6%-22.3%), and 18.0% (95% CI, 16.6%-19.5%) to 41.6% (95% CI, 37.8%-45.5%),
respectively. Conclusions and Relevance: Diabetes and hypertension prevalence is
high in middle and old age across all geographical areas and sociodemographic
groups in India, and hypertension prevalence among young adults is higher than
previously thought. Evidence on the variations in prevalence by state, age group,
and rural vs urban location is critical to effectively target diabetes and
hypertension prevention, screening, and treatment programs to those most in need.
PMID- 29379963
TI - Circadian Rest-Activity Pattern Changes in Aging and Preclinical Alzheimer
Disease.
AB - Importance: Circadian rhythm disturbances occur in symptomatic Alzheimer disease
(AD) and have been hypothesized to contribute to disease pathogenesis. However,
it is unknown whether circadian changes occur during the presymptomatic phase of
the disease. Objective: To examine the associations between circadian function,
aging, and preclinical AD pathology in cognitively normal adults. Design,
Setting, and Participants: This cross-sectional study was conducted using
community volunteers from the Knight Alzheimer's Disease Research Center at
Washington University in St Louis. Cognitively normal participants (n = 205)
underwent 7 to 14 days of actigraphy in their home environment between 2010 and
2012, in addition to clinical assessment, amyloid imaging with Pittsburgh
Compound B (PiB), and cerebrospinal fluid biomarker collection. Data collected
from 3 years before to 6 months after actigraphy were included. Sixteen
participants were excluded owing to incomplete data collection. Main Outcomes and
Measures: Circadian rhythm analysis was performed on actigraphy data using 3
methods: cosinor, nonparametric, and empirical mode decomposition. Preclinical AD
was assessed by longitudinal clinical assessment, amyloid imaging with PiB, and
cerebrospinal fluid biomarker collection. Results: Data from 189 participants
were included in the analyses. The mean (SD) age was 66.6 (8.3) years, and 121
participants (64%) were women. Older age (beta = .247; P = .003) and male sex
(beta = .170; P = .04), in the absence of amyloid pathology, were associated with
a significant increase in intradaily variability, a nonparametric measure of rest
activity rhythm fragmentation, as well as decreased amplitude by several
measures. After correction for age and sex, the presence of preclinical amyloid
plaque pathology, assessed by positive PiB imaging (mean [SD], 0.804 [0.187] for
PiB negative vs 0.875 [0.178] for PiB positive; P = .05) or increasing
cerebrospinal fluid phosphorylated-tau to amyloid beta 42 ratio (beta = .231; P =
.008), was associated with increased intradaily variability, indicating rest
activity rhythm fragmentation. Conclusions and Relevance: Preclinical AD is
associated with rest-activity rhythm fragmentation, independent of age or sex.
Aging was also associated with circadian dysfunction independently of preclinical
AD pathology, particularly in men. The presence of circadian rhythm abnormalities
in the preclinical phase of AD suggests that circadian dysfunction could
contribute to early disease pathogenesis or serve as a biomarker of preclinical
disease.
PMID- 29379966
TI - Conceptualizing Addiction From an Osteopathic Perspective: Dopamine Homeostasis.
AB - Addiction is a public health crisis in the United States. Understanding the cause
and providing effective treatment for patients-in particular, those with
substance use disorders-is challenging. Research has demonstrated that addiction
is not a flaw in one's moral fiber or a disease of choice; rather, it is driven
by alterations in neuronal mechanisms, especially those that involve the
neurotransmitter dopamine, which plays a critical role in the brain's reward
pathway. Much of osteopathic philosophy is based on the concept of total body
homeostasis and allostasis. This article discusses the role of achieving dopamine
homeostasis as part of a comprehensive biopsychosocial treatment strategy in the
effective management of addiction. The authors aim to motivate osteopathic
primary care physicians to incorporate osteopathic philosophy into the treatment
of patients with substance use disorders.
PMID- 29379968
TI - Aspiration of Dental Crown.
PMID- 29379967
TI - Development of Neuromyelitis Optica Spectrum Disorder and Spinal Arachnoid Cysts
in a Patient With Intractable Epilepsy.
AB - Neuromyelitis optica is an inflammatory, demyelinating disease of the central
nervous system that is characterized by severe relapsing attacks of optic
neuritis and transverse myelitis. The current case describes a 29-year-old man
with intractable epilepsy and diplegic spastic cerebral palsy who was given the
diagnosis of neuromyelitis optica spectrum disorder after presenting with
weakness, incontinence, and decreased visual acuity. His symptoms recurred 21
months after initial presentation. Magnetic resonance imaging of his spine
revealed arachnoid cysts with regional mass effects. Differentiation of arachnoid
cysts from a demyelinating process may be difficult in the early stages of the
disease. Close monitoring of patients with neuromyelitis optica spectrum disorder
is important, especially in patients with recurrent or refractory symptoms.
PMID- 29379969
TI - Model to Reduce Unintentional Firearms Injury and Death: Safety Through
Education.
PMID- 29379970
TI - Correction.
PMID- 29379971
TI - Contemporary Routes of Cannabis Consumption: A Primer for Clinicians.
AB - Although cannabis use is federally prohibited, medical cannabis is legal in some
form in 30 states and the District of Columbia, and recreational use is legal in
8 states and the District of Columbia. The increasing legal acceptance of
cannabis has led to a burgeoning industry that is producing an expanding variety
of cannabis products. Physicians and other health care professionals should be
aware of modern forms of cannabis consumption, as well as variations in
tetrahydrocannabinol concentrations, to improve assessment of cannabis use and
approach to treatment. This review aims to familiarize clinicians with modern
forms of cannabis consumption and enable comparisons between disparate cannabis
products.
PMID- 29379973
TI - Reducing Patient No-Shows: An Initiative at an Integrated Care Teaching Health
Center.
AB - Background: Patient no-shows impede the effectiveness and efficiency of health
care services delivery. Objective: To evaluate a 2-phase intervention to reduce
no-show rates at an integrated care community health center that incorporates a
teaching program for osteopathic family medicine residents. Methods: The Elmont
Teaching Health Center (ETHC) is 1 of 5 community-based health centers comprising
the Long Island Federally Qualified Health Centers. In August 2015, the ETHC
implemented a centerwide No-Show Rates Reduction Initiative divided into an
assessment phase and implementation phase. The assessment phase identified
reasons most frequently cited by patients for no-shows at the ETHC. The
implementation phase, initiated in mid-September, addressed these reasons by
focusing on reminder call verification, patient education, personal responses to
patient calls, institutional awareness, and integration with multiple
departments. To assess the initiative, monthly no-show rates were compared by
quarter for 2015 and against rates for the previous year. Results: We recorded
27,826 appointments with 6147 no-shows in 2014 and 31,696 appointments with 5690
no-shows in 2015. No-show rates in the first 3 quarters of 2015 (range, 18.2%
20.0%) were slightly lower than the rates in 2014 (20.1%-23.4%) and then changed
by an increasingly wide margin in the last quarter of 2015 (15.3%), leading to a
significant year (2014, 2015) by quarter (Q1, Q2, Q3, Q4) interaction (P=.004).
Also, the change observed in Q4 in 2015 differed significantly from Q1 (P=.017),
Q2 (P=.004), and Q3 (P=.027) in 2015, while Q1, Q2, and Q3 in 2015 did not
significantly differ from one another. Conclusion: No-show rates were
successfully reduced after a 2-phase intervention was implemented at 1 health
center within a larger health care organization. Future directions include
dismantling the individual components of the intervention, evaluating the role of
patient volumes in no-show rates, assessing patient outcomes (eg, costs, health)
in integrative care settings that treat underserved populations, and evaluating
family medicine residents' training on continuity of care and no-show rates.
PMID- 29379974
TI - Prevalence of Homelessness by Gender in an Emergency Department Population in
Pennsylvania.
AB - Context: According to the US Department of Housing and Urban Development, nearly
1.5 million people spend at least 1 night in an emergency shelter or transitional
housing each year, and more than 500,000 people are homeless on a given night in
the United States. To our knowledge, limited data exist regarding the prevalence
of homelessness in ED patients by gender (male, female, and transgender).
Objective: To assess the prevalence of homelessness by gender in 3 EDs in
Pennsylvania. Methods: From May 2015 through February 2016, patients in 3 EDs
were approached to take a 5-question homelessness screening survey. To
participate, patients had to be aged at least 18 years, speak English, have
capacity to complete the survey, be willing to participate, and not be critically
ill. Frequency comparisons were made using chi2 analysis. Statistical
significance was defined as P<=.05. Results: A total of 4395 patients were
included in the analysis. The mean (SD) age of the participants was 50.8 (20.5)
years; 2557 (58.2%) were women and 3 (0.07%) were transgender. No difference in
the rate of homelessness was observed between men and women, with 135 of 1835 men
(7.4%) and 173 of 2557 women (6.8%) screening positive for homelessness (P=.472).
Forty of 2557 women (1.6%) and 41 of 1835 men (2.2%) admitted they had slept
outside or in an abandoned building, their car, an emergency shelter, or a hotel
due to financial hardship in the past 60 days (P=.26). One transgender patient
screened positive for homelessness. The mean age of participants who screened
positive for homelessness was 40.9 (15.9) years. Conclusion: No significant
difference was observed in the rate of homelessness between men and women in this
ED population, which defies the perception that this issue primarily affects men.
Public health interventions aimed at homeless populations should consider that
both men and women may be equally affected by homelessness.
PMID- 29379975
TI - Plantar Warts: Epidemiology, Pathophysiology, and Clinical Management.
AB - Verrucae plantaris (plantar warts) are common cutaneous lesions of the plantar
aspect of the foot that are caused by the human papillomavirus (HPV). Ubiquitous
in our environment, asymptomatic infection with HPV occurs frequently, with most
infections controlled or cleared by cellular and humoral immune responses.
However, certain populations have been observed to manifest plantar warts at
higher rates compared with the general population, placing them at increased risk
for wart-induced pain and complications. Plantar warts shed HPV, which can then
infect other sites in the plantar region or spread to other people. Although
controlling risk factors is useful in preventing infection, the pervasive nature
of HPV makes these preventive measures frequently impractical. This literature
review outlines the current knowledge regarding the relationship between plantar
wart pathophysiology, HPV transmission, and epidemiologic characteristics. Given
the high propensity for treatment resistance of plantar warts and no established,
practical, and reliable method of prevention, HPV prophylaxis for populations
that demonstrate high rates of plantar warts may be of benefit in controlling the
spread of lesions.
PMID- 29379976
TI - OMT to Address the Physiologic Effects of Stress.
PMID- 29379977
TI - Toe Gangrene Associated with Macroangiopathy in Systemic Sclerosis: A Case Series
on the Unreliability of the Ankle-brachial Pressure Index.
PMID- 29379978
TI - Outcome of Combined Treatment of Surgery and Adjuvant Radiotherapy in Merkel Cell
Carcinoma.
AB - In recent analyses of Merkel cell carcinoma, prognosis is poor even in stages I
and II. We performed a monocentric retrospective study of 37 consecutive cases
with Merkel cell carcinoma stage I to III treated with a combination of surgery
and adjuvant radiation to evaluate progression-free and overall survival. The
median primary tumour diameter was 17.9 mm. Cases consisted of 31 primary
tumours, of which 13 had negative sentinel lymph node biopsy (IA n = 10 and IIA n
= 3) and 18 no sentinel lymph node biopsy (IB n = 15 and IIB n = 3), 2 tumours
with positive sentinel lymph node biopsy (IIIA) and 4 with local macrometastasis
(IIIB). The median age was 71 years and the median follow-up was 60.4 months. The
5-year progression-free survival was 83.8% and 5-year disease-specific survival
was 95.7% (overall survival 93.0%). So far, our results show a high survival rate
with combined treatment of surgery and adjuvant radiotherapy in early tumour
stages of Merkel cell carcinoma.
PMID- 29379979
TI - Propionibacterium acnes Abundance Correlates Inversely with Staphylococcus
aureus: Data from Atopic Dermatitis Skin Microbiome.
AB - The microbiome may influence disease severity in atopic dermatitis. The skin of
atopic dermatitis patients and healthy individuals was sampled in a standardized
manner and the microbial composition analysed using next-generation sequencing.
Optical density measurements were used to investigate bacterial growth under
defined conditions in vitro. Lesional skin from patients with atopic dermatitis
had a higher abundance of Staphylococcus aureus and reduced quantities of
Propionibacterium acnes and Lawsonella clevelandensis compared with non-lesional
skin. The abundance of P. acnes correlated negatively with that of S. aureus
(rho= -0.6501, p < 0.0001). Fermentation products of P. acnes inhibited the
growth of S. aureus and S. epidermidis. Serum from patients with atopic
dermatitis inhibited the growth of S. aureus to a greater extent than did serum
from healthy individuals. These results suggest that selective modification of
the skin microbiome could potentially be used as a therapeutic strategy in atopic
dermatitis.
PMID- 29379980
TI - Subtle Imaging Findings Aid the Diagnosis of Adolescent Hereditary Spastic
Paraplegia and Ataxia.
AB - PURPOSE: Hereditary spastic paraplegia (HSP) and hereditary spastic ataxia (HSA)
are a heterogeneous group of genetic disorders characterized by progressive lower
limb spasticity resulting from pyramidal tract dysfunction. By identifying
critical imaging findings within the clinical context of spasticity, radiologists
are uniquely positioned to recommend specific genetic testing, and thus
facilitate diagnosis. METHODS: We present two examples of HSP and HSA that had
gone clinically unrecognized for years, and in which magnetic resonance imaging
played a critical role in the diagnosis. RESULTS: Radiologists' awareness of HSP
and HSA, combined with a critical review of the clinical history and
characteristic imaging findings led to specific genetic testing and a definitive
diagnosis. CONCLUSION: Awareness of HSP and HSA among radiologists will expedite
more accurate diagnosis, explanation of patient symptoms, recommendation for
syndrome-specific treatment, and family planning considerations.
PMID- 29379981
TI - TGF-beta-induced NKILA inhibits ESCC cell migration and invasion through NF
kappaB/MMP14 signaling.
AB - : The transforming growth factor beta (TGF-beta) signaling pathway plays anti-
and pro-tumoral roles in the vast majority of cancers, and long noncoding RNAs
have been reported to play key roles in the highly contextual response process.
However, the roles of long noncoding RNAs (lncRNAs) in TGF-beta signaling in
esophageal squamous cell carcinoma (ESCC) remain unknown. In this study, we
performed RNA-seq to compare lncRNAs expression levels between TGF-beta1-treated
and untreated ESCC cells and observed that NF-kappaB-interacting lncRNA (NKILA)
was remarkably upregulated by the classical TGF-beta signaling pathway. RNA
profiling of 39 pairs ESCC tumor and adjacent nontumor samples using RT-qPCR
demonstrated that NKILA is significantly downregulated in ESCC tumor tissues, and
NKILA expression levels were significantly decreased in advanced tumor tissues
(III and IV) compared to early stages (I and II) (p < 0.01). Gain- and loss-of
function assays showed that NKILA inhibited ESCC cell metastasis in vitro and in
vivo, and mechanism studies showed that NKILA repressed MMP14 expression by
inhibiting IkappaBalpha phosphorylation and NF-kappaB activation. Collectively,
these findings suggest that the TGF-beta-induced lncRNA NKILA has potential as an
antimetastasis therapy. KEY MESSAGES: Long noncoding RNA NKILA could be
remarkably upregulated by classical TGF-beta signal pathway in ESCC. NKILA was
significantly downregulated in esophageal squamous cell carcinoma and negatively
correlated with TNM stage. NKILA inhibits ESCC cell metastasis via repressing
MMP14 expression by suppressing the phosphorylation of IkappaBalpha and NF-kappaB
activation.
PMID- 29379982
TI - Peri-operative antibiotic treatment of bacteriuria reduces early deep surgical
site infections in geriatric patients with proximal femur fracture: is it
related?
PMID- 29379985
TI - [Requirements for ventilation concepts in buildings - Part I: edu-cational
institution].
PMID- 29379983
TI - Biomechanical comparisons of current suspensory fixation devices for anterior
cruciate ligament reconstruction.
AB - PURPOSE: Cortical suspensory devices are routinely used for femoral side fixation
of soft tissue graft in anterior cruciate ligament (ACL) reconstruction. The
purpose of this study was to evaluate the biomechanical properties of a new
adjustable loop device (GraftMax(r)) compared with established devices
(EndoButton(r) and TightRope(r)) in ACL reconstruction and to investigate whether
knotting the free end of TightRope could improve biomechanical properties.
METHODS: The three cortical suspensory devices (GraftMax(r) Button; Conmed,
EndoButton(r) CL; Smith & Nephew, and TightRope(r) RT; Arthrex) were tested under
cyclic load (50-250 N for 1000 cycles) and pull-to-failure conditions at 50 mm/h
in a device-only setup using a tensile testing machine. The TightRope was
additionally tested with its free suture ends knotted. The statistical analyses
were done with one-way analysis of variance (ANOVA) and post hoc Tukey HSD tests.
RESULTS: There are significant differences in the load-to-failure among the
devices. The EndoButton showed the highest mean failure load at 1204.7 N compared
to other devices (GraftMax (914.2 N), knotted TightRope (868.1 N) and TightRope
(800.1 N) (p < 0.001). The mean total displacement after 1000 cycles was 0.76 mm,
2.11 mm, 1.56 mm and 1.38 mm for the EndoButton, GraftMax, TightRope, and knotted
TightRope, respectively. The EndoButton showed significantly better properties
than both the GraftMax (p = 0.000) and the TightRope (p = 0.020) but not the
knotted TightRope (p = n.s.) in total displacement. However, there was no
significant difference between the TightRope and GraftMax (p = n.s.). CONCLUSION:
The fixed loop (EndoButton) showed significantly better mechanical properties in
failure load and displacement than TightRope or GraftMax in this biomechanical
study. However, the mechanical properties of the GraftMax is comparable to the
TightRope. Moreover, the knotting of TightRope improved mechanical properties in
total displacement more than TightRope, but not in failure load. CLINICAL
RELEVANCE: The biomechanical properties of the GraftMax are comparable to the
TightRope. The TightRope, when knotted, shows an improvement both in load to
failure and cyclic displacement, though the differences are not significant.
PMID- 29379986
TI - Ancient Diversification of Three-Finger Toxins in Micrurus Coral Snakes.
AB - Coral snakes, most notably the genus Micrurus, are the only terrestrial elapid
snakes in the Americas. Elapid venoms are generally known for their potent
neurotoxicity which is usually caused by Three-Finger Toxin (3FTx) proteins.
These toxins can have a wide array of functions that have been characterized from
the venom of other elapids. We examined publicly available sequences from
Micrurus 3FTx to show that they belong to 8 monophyletic clades that diverged as
deep in the 3FTx phylogenetic tree as the other clades with characterized
functions. Functional residues from previously characterized clades of 3FTx are
not well conserved in most of the Micrurus toxin clades. We also analyzed the
patterns of selection on these toxins and find that they have been diversifying
at different rates, with some having undergone extreme diversifying selection.
This suggests that Micrurus 3FTx may contain a previously underappreciated
functional diversity that has implications for the clinical outcomes of bite
victims, the evolution and ecology of the genus, as well as the potential for
biodiscovery efforts focusing on these toxins.
PMID- 29379988
TI - Aberrant intestinal microbiota in individuals with prediabetes.
AB - AIMS/HYPOTHESIS: Individuals with type 2 diabetes have aberrant intestinal
microbiota. However, recent studies suggest that metformin alters the composition
and functional potential of gut microbiota, thereby interfering with the diabetes
related microbial signatures. We tested whether specific gut microbiota profiles
are associated with prediabetes (defined as fasting plasma glucose of 6.1-7.0
mmol/l or HbA1c of 42-48 mmol/mol [6.0-6.5%]) and a range of clinical biomarkers
of poor metabolic health. METHODS: In the present case-control study, we analysed
the gut microbiota of 134 Danish adults with prediabetes, overweight, insulin
resistance, dyslipidaemia and low-grade inflammation and 134 age- and sex-matched
individuals with normal glucose regulation. RESULTS: We found that five bacterial
genera and 36 operational taxonomic units (OTUs) were differentially abundant
between individuals with prediabetes and those with normal glucose regulation. At
the genus level, the abundance of Clostridium was decreased (mean log2 fold
change -0.64 (SEM 0.23), p adj = 0.0497), whereas the abundances of Dorea,
[Ruminococcus], Sutterella and Streptococcus were increased (mean log2 fold
change 0.51 (SEM 0.12), p adj = 5 * 10-4; 0.51 (SEM 0.11), p adj = 1 * 10-4;
0.60 (SEM 0.21), p adj = 0.0497; and 0.92 (SEM 0.21), p adj = 4 * 10-4,
respectively). The two OTUs that differed the most were a member of the order
Clostridiales (OTU 146564) and Akkermansia muciniphila, which both displayed
lower abundance among individuals with prediabetes (mean log2 fold change -1.74
(SEM 0.41), p adj = 2 * 10-3 and -1.65 (SEM 0.34), p adj = 4 * 10-4,
respectively). Faecal transfer from donors with prediabetes or screen-detected,
drug-naive type 2 diabetes to germfree Swiss Webster or conventional C57BL/6 J
mice did not induce impaired glucose regulation in recipient mice.
CONCLUSIONS/INTERPRETATION: Collectively, our data show that individuals with
prediabetes have aberrant intestinal microbiota characterised by a decreased
abundance of the genus Clostridium and the mucin-degrading bacterium A.
muciniphila. Our findings are comparable to observations in overt chronic
diseases characterised by low-grade inflammation.
PMID- 29379990
TI - [PET/MRI].
AB - CLINICAL/METHODICAL ISSUE: Magnet resonance imaging (MRI) is an excellent
anatomical reference method for the combination with positron emission tomography
(PET). But MRI does not produce data, which can be directly used for attenuation
correction of PET data, potentially compromising quantitative accuracy of PET.
STANDARD RADIOLOGICAL METHODS: Hybrid-positron emission tomography/computed
tomography (PET/CT) is an established standard diagnostic tool, particularly for
staging and restaging in oncology. Attenuation correction of PET data is
performed with a uMAP derived from low-dose-CT, considered as a robust method.
METHODICAL INNOVATIONS: Using standardized MRI-sequences, tissue classes are
segmented and attenuation maps are obtained, based on empirical density values.
In addition, new reconstruction algorithms and the possibility to acquire PET and
MRI simultaneously with MRI-based motion correction are available. These advances
have improved image quality and quantitative accuracy of the PET-data in PET/MRI.
PERFORMANCE: In numerous oncological studies PET/CT and PET/MR were rated as
equal in their diagnostic performance. The combination of functional-metabolic
PET and multiparametric MRI with excellent soft tissue contrast complement each
other with regard to their diagnostic information in numerous tumor entities.
PRACTICAL RECOMMENDATIONS: The standard diagnostic workup for lung cancer is
currently still based on PET/CT. In numerous tumor entities, the combination of
PET/MRI can provide additional diagnostic information.
PMID- 29379989
TI - Modulation of Excitability of Stellate Neurons in the Ventral Cochlear Nucleus of
Mice by ATP-Sensitive Potassium Channels.
AB - Major voltage-activated ionic channels of stellate cells in the ventral part of
cochlear nucleus (CN) were largely characterized previously. However, it is not
known if these cells are equipped with other ion channels apart from the voltage
sensitive ones. In the current study, it was aimed to study subunit composition
and function of ATP-sensitive potassium channels (KATP) in stellate cells of the
ventral cochlear nucleus. Subunits of KATP channels, Kir6.1, Kir6.2, SUR1, and
SUR2, were expressed at the mRNA level and at the protein level in the mouse VCN
tissue. The specific and clearly visible bands for all subunits but that for
Kir6.1 were seen in Western blot. Using immunohistochemical staining technique,
stellate cells were strongly labeled with SUR1 and Kir6.2 antibodies and
moderately labeled with SUR2 antibody, whereas the labeling signals for Kir6.1
were too weak. In patch clamp recordings, KATP agonists including cromakalim (50
uM), diazoxide (0.2 mM), 3-Amino-1,2,4-triazole (ATZ) (1 mM), 2,2-Dithiobis (5
nitro pyridine) (DTNP) (330 uM), 6-Chloro-3-isopropylamino- 4H-thieno[3,2-e]
1,2,4-thiadiazine 1,1-dioxide (NNC 55-0118) (1 uM), 6-chloro-3
(methylcyclopropyl)amino-4H-thieno[3,2-e]-1,2,4-thiadiazine 1,1-dioxide (NN414)
(1 uM), and H2O2 (0.88 mM) induced marked responses in stellate cells,
characterized by membrane hyperpolarization which were blocked by KATP
antagonists. Blockers of KATP channels, glibenclamide (0.2 mM), tolbutamide (0.1
mM) as well as 5-hydroxydecanoic acid (1 mM), and catalase (500 IU/ml) caused
depolarization of stellate cells, increasing spontaneous action potential firing.
In conclusion, KATP channels seemed to be composed dominantly of Kir 6.2 subunit
and SUR1 and SUR2 and activation or inhibition of KATP channels regulates firing
properties of stellate cells by means of influencing resting membrane potential
and input resistance.
PMID- 29379993
TI - Estimated cerebral perfusion pressure among post-cardiac arrest survivors.
PMID- 29379991
TI - Metformin potentiates cognitive and antidepressant effects of fluoxetine in rats
exposed to chronic restraint stress and high fat diet: potential involvement of
hippocampal c-Jun repression.
AB - Several hypotheses link high fat diet (HFD) with the pathophysiology of
depression and its response to antidepressants. This study aimed to determine the
effect of metformin (MET) on the cognitive and antidepressant activity of
fluoxetine (FLU) through its effect on c-Jun expression. Behavioral, cognitive
function, biochemical, and histopathological studies were performed in non-HFD-
and HFD-fed rats exposed to chronic restraint stress (CRS). Stressed group showed
cognitive impairment, depressive-like symptoms, disturbed glucose homeostasis and
lipid profile, reduced adiponectin level, brain-derived neurotrophic factor
(BDNF) expression, and increased corticosterone and c-Jun. All these were
aggravated by HFD. MET, FLU and their combination produced significant
improvement in lipid profile with significant increase in adiponectin and BDNF
expression. Corticosterone, body weight and insulin resistance showed significant
decrease in the treated groups. Moreover, there was a significant decrease in
hippocampal c Jun expression. There was a significant preferable effect toward
the combination. Conclusion, MET may decrease the refractoriness to FLU and
improves the cognition in individuals who are fed on HFD.
PMID- 29379995
TI - Evidence for encephalopsin immunoreactivity in interneurones and striosomes of
the monkey striatum.
AB - In this study, we examined the cellular distribution of encephalopsin (opsin 3;
OPN3) expression in the striatum of non-human primates. In addition, because of
our long standing interest in Parkinson's disease and neuroprotection, we
examined whether parkinsonian (MPTP; 1-methyl-4-phenyl-1,2,3,6
tetrahydropyridine) insult and/or photobiomodulation (670 nm) had any impact on
encephalopsin expression in this key area of the basal ganglia. Striatal sections
of control naive monkeys, together with those that were either MPTP- and/or
photobiomodulation-treated were processed for immunohistochemistry. Our results
revealed two populations of striatal interneurones that expressed encephalopsin,
one of which was the giant, choline acetyltransferase-containing, cholinergic
interneurones. The other population had smaller somata and was not cholinergic.
Neither cell group expressed the calcium-binding protein, parvalbumin. There was
also rich encephalopsin expression in a set of terminals forming striosome-like
patches across the striatum. Finally, we found that neither parkinsonian (MPTP)
insult nor photobiomodulation had any effect on encephalopsin expression in the
striatum. In summary, our results revealed an extensive network of encephalopsin
containing structures throughout the striatum, indicating that external light is
in a position to influence a range of striatal activities at both the
interneurone and striosome level.
PMID- 29379992
TI - Decreased susceptibility to chlorhexidine affects a quarter of Escherichia coli
isolates responsible for pneumonia in ICU patients.
PMID- 29379996
TI - Toxicity of Nickel on the Selected Species of Marine Diatoms and Copepods.
AB - Toxicity values for nickel on marine diatoms and copepods were derived based on
bioassay tests. The 96 h IC50 of nickel on diatoms, Odontella mobiliensis and
Coscinodiscus centralis were 0.31 +/- 0.01 and 0.62 +/- 0.02 mg/L and LC50 values
on copepods, Oithona similis and Acartia danae were 2.78 +/- 0.14 and 2.34 +/-
0.32 mg/L, respectively. The species mean chronic values of nickel were 0.016,
0.17, 0.57 and 0.42 mg/L for O. mobiliensis, C. centralis, O. similis and A.
danae, respectively. A hazardous concentration was derived and evaluated using
the species sensitivity distribution (SSD) method. SSD indicated that 13 ug Ni/L
is the maximum allowable concentration for protection of 95% plankton species in
coastal and marine ecosystem of India. Diatoms are more sensitive to nickel than
copepods by almost an order of magnitude. The toxicity values derived in the
present study may be useful to calculate ambient water quality criteria/standard
for nickel.
PMID- 29379997
TI - Quality of life following vaginal reconstructive versus obliterative surgery for
treating advanced pelvic organ prolapse.
AB - INTRODUCTION AND HYPOTHESIS: Although colpocleisis is effective in selected
women, the low-morbidity obliterative procedure for treating pelvic organ
prolapse (POP) and its impact on postoperative quality of life (QOL) have rarely
been studied. Our aim was to assess QOL in women after colpocleisis and compare
it with that of women after reconstructive vaginal surgery. METHODS: This
retrospective cohort study included women (aged 35-85 years) with POP who
underwent obliterative or reconstructive surgical correction during 2009-2015.
Patients who met the inclusion criteria underwent telephone interviews that
included the validated Prolapse QOL questionnaire (P-QOL Thai). RESULTS: Of 295
potential participants, 197 (67%) completed the questionnaire: 93 (47%) with
obliterative and 104 (53%) with reconstructive surgery. Most were Thai (95.4%),
multiparous (87%), and sexually inactive (76%). Their histories included
hysterectomy (12%), incontinence or prolapse surgery (11%), and POP stage 3/4
(77%). Patients undergoing obliterative surgery were significantly older than
those undergoing a reconstructive procedure (69 vs 58 years, P < 0.05). The
obliterative group had more children, less education, and more advanced POP.
There were no significant differences in operative parameters or complications.
The obliterative surgery group had a significantly shorter hospital stay: median
2 (range 1-17) days vs 3 (1-20) days (P = 0.016). P-QOL scale revealed
significantly less postoperative impairment in the obliterative surgery group
(1.75 vs 5.26, P = 0.023). There were no significant differences in other P-QOL
domains. CONCLUSIONS: Colpocleisis improves condition-specific QOL in selected
patients with advanced POP and remains an option for this group. Surgeons should
consider counseling elderly women with advanced POP about obliterative vaginal
surgery.
PMID- 29379998
TI - Road to recovery after transvaginal surgery for urethral mesh perforation:
evaluation of outcomes and subsequent procedures.
AB - INTRODUCTION AND HYPOTHESIS: Urethral injury resulting from transvaginal mesh
slings is a rare complication with an estimated incidence of <1%. Our objective
was to review the surgical management and functional outcomes of women presenting
with urethral mesh perforation following midurethral sling (MUS) placement.
METHODS: This was a retrospective multicenter review of women who from January
2011 to March 2016 at two institutions underwent mesh sling excision for urethral
perforation with Female Pelvic Medicine and Reconstructive Surgery fellowship
trained surgeons. Data comprising preoperative symptoms, operative details, and
postoperative outcomes were collected by telephone (n 13) or based on their last
follow-up appointment. RESULTS OBTAINED: Nineteen women underwent transvaginal
sling excision for urethral mesh perforation. Eight (42%) patients had undergone
previous sling revision surgery. Sixty percent of women had resolution of their
pelvic pain postoperatively. At follow-up, 92% reported urinary incontinence
(UI), and three had undergone five additional procedures for vaginal prolapse
mesh exposure (n 1), incontinence (onabotulinum toxin injection n 1, rectus
fascia autologous sling n 1), prolapse (colpopexy n 1), and pain (trigger-point
injection n 1). Patient global impression of improvement data was available for
13 patients, of whom seven (54%) rated their postoperative condition as Very much
better or Much better. CONCLUSIONS: The management of urethral mesh perforation
is complex. Most women reported resolution of their pelvic pain and a high rate
of satisfaction with their postoperative condition despite high rates of
incontinence.
PMID- 29379999
TI - Lumbar paraspinal muscle fat infiltration is independently associated with sex,
age, and inter-vertebral disc degeneration in symptomatic patients.
AB - PURPOSE: To determine the association of paraspinal muscles and psoas relative
cross-sectional area (RCSA) and fat signal fraction (FSF) with sex, age, and
intervertebral disc degeneration (IDD) in symptomatic patients. METHODS: We
retrospectively evaluated 80 adult patients with spinal symptoms using T2
weighted magnetic resonance images. We determined RCSA and FSF of the paraspinal
muscles (erector spinae and multifidus) and psoas from L1-L2 to L5-S1; we
determined IDD using the Pfirrmann classification. We compared differences in
muscle RCSA and FSF based on sex and IDD, and we correlated age and IDD with RCSA
and FSF. Using multivariate linear regression analyses, we determined the impact
of sex, age, and IDD on RCSA and FSF. RESULTS: Men exhibited larger psoas RCSA
but not larger paraspinal muscles RCSA than women. Women had larger FSF in the
paraspinal muscles and psoas. Increasing IDD was associated with larger FSF if
>=2 Pfirrmann grades were observed. IDD correlated with FSF of the paraspinal
muscles, and age correlated with FSF of the paraspinal muscles and psoas. IDD was
less consistently correlated with RCSA, but age correlated negatively with RCSA
of all three muscles. Linear regression analyses demonstrated that sex, age, and
IDD were each independently associated with FSF of the paraspinal muscles;
additionally, sex and age, but not IDD, were associated with psoas FSF. RCSA was
less consistently influenced by these three variables. CONCLUSIONS: Sex, age, and
IDD are independently associated with paraspinal muscles FSF; only sex and age
influence psoas FSF.
PMID- 29380000
TI - Tumor-induced osteomalacia in association with PTEN-negative Cowden syndrome.
AB - Tumor-induced osteomalacia (TIO) is a rare paraneoplastic condition in which
phosphaturic mesenchymal tumors (PMTs) secrete high levels of fibroblast growth
factor 23 (FGF23) into the circulation. This results in renal phosphate wasting,
hypophosphatemia, muscle weakness, bone pain, and pathological fractures. Recent
studies suggest that fibronectin-fibroblast growth factor receptor 1 (FN1-FGFR1)
translocations may be a driver of tumorigenesis. We present a patient with TIO
who also exhibited clinical findings suggestive of Cowden syndrome (CS), a rare
autosomal dominant disorder characterized by numerous benign hamartomas, as well
as an increased risk for multiple malignancies, such as thyroid cancer. While CS
is a clinical diagnosis, most, but not all, harbor a mutation in the tumor
suppressor gene PTEN. Genetic testing revealed a somatic FN1-FGFR1 translocation
in the FGF23-producing tumor causing TIO; however, a germline PTEN mutation was
not identified. To our knowledge, this is the first reported case of concurrent
TIO and CS.
PMID- 29380001
TI - Joint-preserving treatment options for irreparable rotator cuff tears.
AB - An irreparable cuff tear is defined as the inability to achieve direct repair of
native tendon to the great tuberosity despite intra- and extra-articular release
of the remaining tissue. Three distinct anatomic patterns are identified:
posterosuperior cuff tears which involve the supraspinatus, infraspinatus and
teres minor; anterosuperior tears which involve the supraspinatus and
subscapularis; and global tears which comprise both. Subacromial debridement and
tenotomy or tenodesis of the long head of the biceps are proposed for older
patients with a functional but very painful shoulder. Partial repair-particularly
the infraspinatus and the subscapularis-is indicated for young patients if the
muscle is still trophic with a fatty infiltration less than 3. It can be combined
with a tendon transfer. In irreparable posterosuperior tears, latissimus dorsi or
lower trapezius transfer has been reported to improve active elevation and
external rotation. In anterosuperior cuff tears, pectoralis major or latissimus
transfer has been used. If the lack of external rotation is isolated with good
active forward elevation, the L'Episcopo procedure is the procedure of choice.
New techniques with a short follow-up have been proposed recently: implantation
of a balloon-shaped, biodegradable spacer in the subacromial space to maintain
the position of the humeral head and to facilitate deltoid action; capsular
superior reconstruction with a fascia lata or an artificial graft implanted
between the superior glenoid rim and the great tuberosity to reproduce the
natural capsule of the supra- and infraspinatus and to stabilize the humeral
head.
PMID- 29380002
TI - Recanalization of Occluded Transjugular Intrahepatic Portosystemic Shunts Using
the Rosch-Uchida Stiffening Cannula.
AB - PURPOSE: To report the safety and efficacy of occluded transjugular intrahepatic
portosystemic shunts (TIPS) recanalization using the stiffening cannula (SC)
technique. MATERIALS AND METHODS: This is a retrospective, single-centre, single
arm study, investigating the safety and efficacy of transjugular recanalization
of occluded TIPS using the SC (Cook, Ind. USA), in cases of failure to cross the
occlusion with standard angiographic catheters and balloons. Between October 2015
and October 2017, a total of 15 TIPS revisions have been performed due to shunt
occlusion. In all cases in which the initial standard approach to cross the
lesion failed, the SC technique was used. The study's primary efficacy outcome
measure was technical success, and primary safety outcome measure was immediate
procedure-related complications rate. Secondary outcome measures included
restenosis and peri-procedural adverse events rates. RESULTS: Among 15 patients
with shunt occlusion, seven (7/15; 46.5%) were successfully crossed via
transjugular access, using standard angiographic catheters. In the remaining
eight patients (nine procedures), recanalization with the SC technique was
performed. Technical success was 100%. No complications or peri-procedural
adverse events were noted. Restenosis rate was 11.1% (1/9 cases) as only one case
of re-occlusion was noted, 3 months following recanalization using sole balloon
angioplasty and successfully retreated using the SC technique and stent graft
deployment. CONCLUSIONS: The SC technique is a safe and efficient option for the
recanalization of occluded TIPS, in cases in which conventional lesion crossing
is not feasible, in order to avoid percutaneous transhepatic access or new TIPS
creation.
PMID- 29380003
TI - Correction to: Prognostic Factors in Overall Survival of Patients with
Unresectable Intrahepatic Cholangiocarcinoma Treated by Means of Yttrium-90
Radioembolization: Results in Therapy-Naive Patients.
AB - The published article has an error in the first name initial of one of the
authors. "M. Justinger" should be "C. Justinger" as shown in this erratum.
PMID- 29380004
TI - Percutaneous Cholecystostomy: Long-Term Outcomes in 324 Patients.
AB - PURPOSE: To report technical success and clinical outcome of cholecystostomy tube
placement along with timing-and method-of tube removal. MATERIALS AND METHODS: A
retrospective review of cholecystostomy tubes placed from January 2010 to
September 2017 was performed at a single academic center. This search yielded
1160 patients. Of these patients, 324 (27.9%) met inclusion criteria for
cholecystostomy placement, 199 (61.4%) males and 125 (38.6%) females, with mean
age of 67 years (range 6-101 years). The indication for cholecystostomy tube
placement, technical success, surgical candidacy, medical comorbidities, clinical
outcome, tube indwelling time, complications, and follow-up were recorded.
RESULTS: Indications for cholecystostomy tube placement included: acute
cholecystitis (n = 270; 83.3%), perforated cholecystitis (n = 22; 6.8%),
emphysematous cholecystitis (n = 18; 5.6%), and other (n = 14; 4.3%). Technical
success was 100%. Many patients had multiple medical comorbidities including
(most commonly): debilitation (n = 211; 65.1%), cardiovascular disease (n = 194;
59.9%), multisystem disease (n = 181; 55.9%), and malignancy (n = 131; 40.4%).
After tube placement, 96 (29.6%) patients underwent definitive cholecystectomy,
94 expired (29.0%), 36 (11.1%) had a patent cystic duct on follow-up
cholangiogram and subsequent cholecystostomy removal, 14 (4.3%) underwent
cholecystoscopy with stone removal, and 3 (0.9%) had liver transplantation. Forty
five (13.9%) patients had indwelling tubes at the end of the study period. Mean
tube indwelling time was 89 days (range 0-586 days). CONCLUSION: Technical
success for cholecystostomy tube placement was 100% with all patients having
clinical resolution of acute cholecystitis. Many patients were able to have tubes
subsequently removed.
PMID- 29380005
TI - Incidence and Predictors of Unsuspected Recurrent Laryngeal Nerve Lymph Node
Metastases After Neoadjuvant Chemoradiotherapy in Patients with Esophageal
Squamous Cell Carcinoma.
AB - BACKGROUND: Radical lymph node (LN) dissection along the recurrent laryngeal
nerve (RLN) area carries a substantial morbidity rate, and its usefulness in
neoadjuvant chemoradiotherapy (nCRT)-treated esophageal cancer patients remains
unclear. METHODS: This study was conducted in two Asian thoracic surgery centers.
Patients with esophageal squamous cell carcinoma (ESCC) who were judged to be ycN
RLN(-) after nCRT and received bilateral RLN LN dissection were eligible. The
incidence of unsuspected RLN LN involvement was analyzed, and we used least
absolute shrinkage and selection operator (LASSO) regression to identify its
predictors. RESULTS: A total of 56 patients (53 males and 3 females; mean age: 55
years) were included. The upper mediastinum-including the bilateral RLN area-was
covered by the radiation field in 48 (85.3%) patients. Although all of them were
judged as ycN-RLN(-), unsuspected RLN LN involvement was identified on
pathological examination in 11 (19.6%) subjects, being the only positive nodal
station in seven. LASSO regression identified the pre-nCRT RLN LN(cN-RLN) status
as the only independent predictor of ypN-RLN positivity; in contrast, neither the
tumor location nor the radiation dose to the upper mediastinum were independently
associated with ypN-RLN(+). RLN nodal dissection resulted in positive LN
discovery rates of 30.8 and 10% in ycN-RLN(-) patients who had positive and
negative cN-RLNs before nCRT, respectively. Consequently, 23.1 and 6.7% of
patients in each subgroup would have been understaged in the absence of RLN nodal
dissection. CONCLUSION: Nearly one-fifth of ESCC patients who were judged to be
ycN-RLN(-) unexpectedly had positive ypN-RLN. The pre-nCRT cN-RLN status plays a
key role in the selection of patients that should undergo RLN LN dissection after
nCRT.
PMID- 29380006
TI - Short- and Long-Term (10-year) Results of an Organized, Population-Based Breast
Cancer Screening Program: Comparative, Observational Study from Hungary.
AB - BACKGROUND: A nationwide breast cancer screening program was introduced in
Hungary in the year 2002 for women aged 45-65 years to be performed biannually.
METHODS: To investigate and report the short-term and 10-year follow-up results,
we analyzed our Breast Unit's prospectively led database of screened (Group A)
and age-matched symptomatic (Group B) patients from 2002 to 2007. We compared the
clinicopathologic features of tumors and the impact of screening on surgical
treatment, as well as the overall (OS), disease-specific (DSS) and disease-free
survival (DFS) of different groups. RESULTS: Data from 208 screen-detected and
101 symptomatic patients between 45 and 65 years of age were examined. Screen
detected women were younger (54 vs. 58.5 years; p = 0.001) had significantly
smaller tumors (15.5 vs. 17 mm; p = 0.044), and more breast-conserving surgery
(68.8 vs. 59.4%; p = 0.032). Survival statistics were not statistically different
at the median follow-up of 123 months; however, there was a trend toward improved
DFS in Group A (82.7 vs. 74.3%; p = 0.074). CONCLUSIONS: Our study showed a
significant reduction in rates of mastectomy in the screen-detected group in the
short term, which does not translate into better survival rates based on initial
long-term data. In order to realize the real advantage of this newly enstated
screening program, a longer period of investigation is needed.
PMID- 29380007
TI - Safety and Feasibility of a Ketamine Package to Support Emergency and Essential
Surgery in Kenya When No Anaesthetist is Available.
PMID- 29380008
TI - Cost-Effectiveness of Minimally Invasive Esophagectomy for Esophageal Squamous
Cell Carcinoma.
AB - INTRODUCTION: The cost-effectiveness of minimally invasive esophagectomy (MIE)
versus open esophagectomy (OE) for esophageal squamous cell carcinoma (ESCC) has
not been established. Recent cost studies have shown that MIE is associated with
a higher surgical expense, which is not consistently offset by savings through
expedited post-operative recovery, therefore suggesting a questionable benefit of
MIE over OE from an economic point of view. In the current study, we compared the
cost-effectiveness of MIE versus OE for ESCC. MATERIALS AND METHODS: Between
April 2000 and December 2013, a total of 251 consecutive patients undergoing MIE
or OE for ESCC were enrolled. After propensity score (PS)-matching the MIE group
with the OE group for clinical characteristics, 95 patients from each group were
enrolled to compare the peri-operative outcomes, long-term survival, and cost.
RESULTS: After PS-matching, the baseline characteristics were not significantly
different between groups. Perioperative outcomes were similar in both groups. MIE
was superior to OE with respect to a shorter intensive care unit (ICU) stay,
while the complication rate (except for hoarseness) and survival were similar.
Post-operative cost was significantly less in the MIE group due to a shorter ICU
stay; however, reduced post-operative cost failed to offset the higher surgical
expense of MIE. CONCLUSIONS: MIE for ESCC failed to show cost-effectiveness
regarding overall expense in our study, but costs less in the postoperative care,
especially for ICU care. More cost studies on MIE in other health care systems
are warranted to verify the cost-effectiveness of MIE.
PMID- 29380009
TI - Clinical translation and regulatory aspects of CAR/TCR-based adoptive cell
therapies-the German Cancer Consortium approach.
AB - Adoptive transfer of T cells genetically modified by TCRs or CARs represents a
highly attractive novel therapeutic strategy to treat malignant diseases. Various
approaches for the development of such gene therapy medicinal products (GTMPs)
have been initiated by scientists in recent years. To date, however, the number
of clinical trials commenced in Germany and Europe is still low. Several hurdles
may contribute to the delay in clinical translation of these therapeutic
innovations including the significant complexity of manufacture and non-clinical
testing of these novel medicinal products, the limited knowledge about the
intricate regulatory requirements of the academic developers as well as
limitations of funds for clinical testing. A suitable good manufacturing practice
(GMP) environment is a key prerequisite and platform for the development,
validation, and manufacture of such cell-based therapies, but may also represent
a bottleneck for clinical translation. The German Cancer Consortium (DKTK) and
the Paul-Ehrlich-Institut (PEI) have initiated joint efforts of researchers and
regulators to facilitate and advance early phase, academia-driven clinical
trials. Starting with a workshop held in 2016, stakeholders from academia and
regulatory authorities in Germany have entered into continuing discussions on a
diversity of scientific, manufacturing, and regulatory aspects, as well as the
benefits and risks of clinical application of CAR/TCR-based cell therapies. This
review summarizes the current state of discussions of this cooperative approach
providing a basis for further policy-making and suitable modification of
processes.
PMID- 29380011
TI - Effects of bisphenol A on ovarian follicular development and female germline stem
cells.
AB - Bisphenol A (BPA), one of the most frequently detected emerging pollutants in the
environment, has been implicated in adverse effects in male and female
reproduction at extremely low concentrations. This study aimed to investigate the
effects and potential mechanism of BPA on mouse ovarian follicular development
and female germline stem cells (FGSCs). Female CD-1 adult mice were administered
gradient concentrations of BPA (12.5, 25, and 50 mg/kg/day) by intraperitoneal
injection. We found that the number of atretic ovarian follicles was
significantly increased at high BPA concentrations. Additionally, the numbers of
primordial follicles, primary follicles, and corpus luteum (CL) were
significantly reduced at high BPA concentrations. Interestingly, the number of
FGSCs was remarkably reduced in BPA-treated ovaries. Furthermore, the increased
apoptotic rate of FGSCs in vitro was triggered by BPA accompanied by increased
BPA concentrations. To investigate the mechanism of BPA in ovarian follicular
development, 193 differentially expressed proteins were identified in BPA-treated
ovaries by the isobaric tags for relative and absolute quantification-coupled 2D
liquid chromatography-mass spectrometry technique. A total of 106 proteins were
downregulated and 85 proteins were upregulated. Among these proteins, the
apoptosis-related protein SAFB-like transcriptional modulator (SLTM) was
remarkably upregulated, and this result was consistent with western blotting.
Taken together, our results suggest that an ovarian follicular development,
especially, the development of primordial follicles, primary follicles, and the
CL, is inhibited by high BPA concentrations, and the ovarian follicle atresia is
initiated by BPA through upregulated expression of SLTM. Furthermore, BPA induces
apoptosis of cultured FGSCs. The effect of BPA on ovarian follicular development
and FGSCs, especially the effect on FGSCs, suggests a novel mechanism of how BPA
causes female infertility.
PMID- 29380010
TI - Increases in tibial force imbalance but not changes in tibiofemoral laxities are
caused by varus-valgus malalignment of the femoral component in kinematically
aligned TKA.
AB - PURPOSE: The purposes of this study were to quantify the increase in tibial force
imbalance (i.e. magnitude of difference between medial and lateral tibial forces)
and changes in laxities caused by 2 degrees and 4 degrees of varus-valgus (V-V)
malalignment of the femoral component in kinematically aligned total knee
arthroplasty (TKA) and use the results to detemine sensitivities to errors in
making the distal femoral resections. Because V-V malalignment would introduce
the greatest changes in the alignment of the articular surfaces at 0 degrees
flexion, the hypotheses were that the greatest increases in tibial force
imbalance would occur at 0 degrees flexion, that primarily V-V laxity would
significantly change at this flexion angle, and that the tibial force imbalance
would increase and laxities would change in proportion to the degree of V-V
malalignment. METHODS: Kinematically aligned TKA was performed on ten human
cadaveric knee specimens using disposable manual instruments without soft tissue
release. One 3D-printed reference femoral component, with unmodified geometry,
was aligned to restore the native distal and posterior femoral joint lines. Four
3D-printed femoral components, with modified geometry, introduced V-V
malalignments of 2 degrees and 4 degrees from the reference component. Medial
and lateral tibial forces were measured during passive knee flexion-extension
between 0 degrees to 120 degrees using a custom tibial force sensor. Eight
laxities were measured from 0 degrees to 120 degrees flexion using a six degree
of-freedom load application system. RESULTS: With the tibial component
kinematically aligned, the increase in the tibial force imbalance from that of
the reference component at 0 degrees of flexion was sensitive to the degree of V
V malalignment of the femoral component. Sensitivities were 54 N/deg (medial
tibial force increasing > lateral tibial force) (p < 0.0024) and 44 N/deg
(lateral tibial force increasing > medial tibial force) (p < 0.0077) for varus
and valgus malalignments, respectively. Varus-valgus malalignment did not
significantly change varus, internal-external rotation, anterior-posterior, and
compression-distraction laxities from 0 degrees to 120 degrees flexion. At only
30 degrees of flexion, 4 degrees of varus malalignment increased valgus laxity
1 degrees (p = 0.0014). CONCLUSION: At 0 degrees flexion, V-V malalignment of
the femoral component caused the tibial force imbalance to increase
significantly, whereas the laxities were relatively unaffected. Because tibial
force imbalance has the potential to adversely affect patient-reported outcomes
and satisfaction, surgeons should strive to limit errors in resecting the distal
femoral condyles to within +/- 0.5 mm which in turn limits the average increase
in tibial force imbalance to 68 N. Because laxities were generally unaffected,
instability resulting from large increases in laxity is not a clinical concern
within the +/- 4 degrees range tested. LEVEL OF EVIDENCE: Therapeutic, Level II.
PMID- 29380012
TI - Lymphocyte surface markers and cytokines are suitable for detection and potency
assessment of skin-sensitizing chemicals in an in vitro model of allergic contact
dermatitis: the LCSA-ly.
AB - Allergic contact dermatitis is a widespread health disorder and occupational skin
disease. Hence, screening for contact-sensitizing chemicals is highly relevant to
toxicology, dermatology, and occupational medicine. The use of animal tests for
this purpose is constrained by ethical considerations, need for high-throughput
screening, and legislation (e.g., for cosmetics in the European Union). T cell
activation is the final and most specific key event of the "adverse outcome
pathway" for skin sensitization and therefore a promising target for the
development of in vitro sensitization assays. We present a novel in vitro
sensitization assay with a lymphocyte endpoint as an add-on to the loose-fit
coculture-based sensitization assay (LCSA): the LCSA-ly. While the LCSA measures
dendritic cell activation, the LCSA-ly offers the option for an additional
lymphocyte endpoint which can be measured concurrently. We incorporated
lymphocytes in our previously established coculture of primary human
keratinocytes and monocyte-derived dendritic cells and tested nine substances:
five sensitizers [2,4-dinitrochlorobenzene (DNCB) 1.25-15 umol/l, p
phenylenediamine (PPD) 15.6-125 umol/l, 2-mercaptobenzothiazole (MBT) 50-1000
umol/l, coumarin, and resorcinol (both: 250-1500 umol/l)] and four non
sensitizers (monochlorobenzene, caprylic acid, glycerol, and salicylic acid (all:
125-1000 umol/l)]. DNCB and MBT increased a subset of IL-23 receptor+/IFN-gamma
receptor 1 (CD119)+ lymphocytes. DNCB, PPD, and MBT enhanced a subunit of the IL
4 receptor (CD124) and a memory marker (CD44) on lymphocytes. Remarkably, DNCB,
PPD, and MBT raised IL-4 concentrations in coculture supernatants while IFN-gamma
levels decreased, which might point to Th2 activation in vitro. Coumarin,
resorcinol, and non-sensitizers did not alter any of the tested surface markers
or cytokines. IL-17 was not affected by any of the substances. Relative strength
of sensitizers according to lymphocyte markers was DNCB > PPD > MBT, which
corresponds to earlier results from the LCSA without lymphocyte endpoint, the
murine local lymph node assay, and human data. This study is the first to prove
the suitability of lymphocyte surface markers for sensitization testing and
potency assessment.
PMID- 29380013
TI - Bone Mineral Density Changes After 1 Year of Denosumab Discontinuation in
Postmenopausal Women with Long-Term Denosumab Treatment for Osteoporosis.
AB - The aim of the present study was to document the changes in bone mineral density
(BMD) 1 year after denosumab loss-of-effect following long-term treatment with
subcutaneous denosumab 60 mg Q6M during 7 or 10 years and in the absence of any
treatment with a bone active substance. All postmenopausal women with
osteoporosis who participated to the randomized placebo-controlled FREEDOM core
trial and its open-label extension at the University Hospital of Bern,
Switzerland, and who accepted to undergo off-treatment follow-up during 1 year
after discontinuation, were included (N = 12). After 10 years of denosumab, mean
lumbar spine (LS) BMD had increased by 21.2% vs. baseline. One year after
discontinuation LS BMD had decreased by - 9.1% vs. Year 10, resulting in a net
gain of 10.2% vs. baseline. At total hip (TH) and femoral neck (FN), BMD had
increased by 8.3 and 8.1% in Year 10 vs. baseline, respectively. 1 Year after
discontinuation, BMD had decreased by - 12.7 and - 11.0% vs. Year 10,
respectively, corresponding to net BMD losses of - 5.5 and - 3.8% vs. baseline,
respectively. Similar albeit less pronounced changes were observed in those
treated with denosumab during 7 years. Stopping denosumab after long-term
exposure resulted in BMD losses of large order of magnitude at all measured
sites, suggesting that treatment duration may predict the rate and amount of bone
lost.
PMID- 29380014
TI - Bifunctional alanine dehydrogenase from the halotolerant cyanobacterium
Aphanothece halophytica: characterization and molecular properties.
AB - A link between carbon and nitrogen metabolism is important for serving as
metabolic ancillary reactions. Here, we identified and characterized the alanine
dehydrogenase gene in Aphanothece halophytica (ApalaDH) that is involved in
alanine assimilation/dissimilation. Functional analysis revealed that ApalaDH
encodes a bifunctional protein catalyzing the reversible reaction of pyruvate to
L-alanine via its pyruvate reductive aminase (PvRA) activity, the reaction of L
alanine to pyruvate via its alanine oxidative dehydrogenase activity, and the non
reversible reaction of glyoxylate to glycine via its glyoxylate reductive aminase
(GxRA) activity. Kinetic analysis showed the lowest affinity for pyruvate
followed by L-alanine and glyoxylate with a Km of 0.22 +/- 0.02, 0.72 +/- 0.04,
and 1.91 +/- 0.43 mM, respectively. ApalaDH expression was upregulated by salt.
Only PvRA and GxRA activities were detected in vivo and both activities increased
about 1.2- and 2.7-fold upon salt stress. These features implicate that the
assimilatory/dissimilatory roles of ApAlaDH are not only selective for L-alanine
and pyruvate, but also, upon salt stress, can catabolize glyoxylate to generate
glycine.
PMID- 29380015
TI - Voltammetric and electrogeneration approaches for the assessment of the oxidative
drug metabolism.
AB - In this paper, electrochemical (EC) methods have been proposed to evaluate the
oxidative behavior of drugs as rapid, simple, and cheap strategies to predict
some metabolic features. Various commercial drugs belonging to different
therapeutic families have been assayed to deal with a wide variety of
biotransformations and to cover different metabolism extents. First, differential
pulse voltammetry has been applied to evaluate the oxidative behavior of drugs.
Voltammetric assays have demonstrated to be highly efficient to predict the
metabolism extent from the current intensity data. The second objective of this
work has been the comparison of metabolite profiles from both EC and in vitro
methods based on liver microsome assays. The resulting samples have been analyzed
by reversed-phase liquid chromatography mode using a core-shell column and UV
detection. Chromatographic methods have been established for each particular drug
and its metabolites using 0.1% (v/v) formic acid aqueous solution and methanol
(MeOH) as the components of the mobile phase. Drug oxidation products from both
EC- and microsome-based methodologies have been compared in terms of variety and
percentage from the corresponding chromatographic profiles. In general, most of
the metabolites occurring in vitro have also been reproduced in the EC runs.
Besides, it has been found that compositional profiles from EC experiments are
dependent on experimental variables such as pH and potential. In general, acid
(pH 2) and basic (pH 10) conditions and too high potentials can contribute to the
generation of oxidation artifacts which differ from metabolites while milder
potentials and neutral pH values may reproduce more accurately the microsome
patterns. The proposed methodology is suitable for a first study of the oxidative
behavior of molecules that can be related to relevant metabolic properties. The
obtained information could be of great interest to prioritize or discard
compounds, as a first screening, on the research of drug candidates.
PMID- 29380016
TI - G-quadruplex aptamer selection using capillary electrophoresis-LED-induced
fluorescence and Illumina sequencing.
AB - One of the major difficulties that arises when selecting aptamers containing a G
quadruplex is the correct amplification of the ssDNA sequence. Can aptamers
containing a G-quadruplex be selected from a degenerate library using non
equilibrium capillary electrophoresis (CE) of equilibrium mixtures (NECEEM) along
with high-throughput Illumina sequencing? In this article, we present some
mismatches of the G-quadruplex T29 aptamer specific to thrombin, which was PCR
amplified and sequenced by Illumina sequencing. Then, we show the proportionality
between the number of sequenced molecules of T29 added to the library and the
number of sequences obtained in Illumina sequencing, and we find that T29
sequences from this aptamer can be detected in a random library of ssDNA after
the sample is fractionated by NECEEM, amplified by PCR, and sequenced. Treatment
of the data by the counting of double-stranded DNA T29 sequences containing a
maximum of two mismatches reveals a good correlation with the enrichment factor
(fE). This factor is the ratio of the number of aptamer sequences found in the
collected complex sample divided by the total number of sequencing reads (aptamer
and non-aptamer) plus the quantity of T29 molecules (spiked into a DNA library)
injected into CE.
PMID- 29380017
TI - Dicationic ionic liquid thermal decomposition pathways.
AB - The rapid expansion in the study and use of ionic liquids (ILs) is a result of
their unique properties including negligible volatility, high thermal stability,
and ability to dissolve disparate compounds. However, because ILs have infinitely
variable structures (often referred to as "tunability"), these properties can
differ considerably. Herein, we focus on the thermal stability of 15 bis
/dicationic ionic liquids. Specifically, their thermal breakdown products are
examined to determine the structural linkages, bonds, or atoms most susceptible
to thermally induced changes and whether such changes occur before possible
volatilization. In most cases, the heteroatom-carbon single bonds were
susceptible to thermolytic decomposition. Graphical abstract Capture of
dicationic ionic liquid thermal decomposition products for subsequent
identification.
PMID- 29380018
TI - Spatially resolved chemical analysis of cicada wings using laser-ablation
electrospray ionization (LAESI) imaging mass spectrometry (IMS).
AB - Laser-ablation electrospray ionization (LAESI) imaging mass spectrometry (IMS) is
an emerging bioanalytical tool for direct imaging and analysis of biological
tissues. Performing ionization in an ambient environment, this technique requires
little sample preparation and no additional matrix, and can be performed on
natural, uneven surfaces. When combined with optical microscopy, the
investigation of biological samples by LAESI allows for spatially resolved
compositional analysis. We demonstrate here the applicability of LAESI-IMS for
the chemical analysis of thin, desiccated biological samples, specifically
Neotibicen pruinosus cicada wings. Positive-ion LAESI-IMS accurate ion-map data
was acquired from several wing cells and superimposed onto optical images
allowing for compositional comparisons across areas of the wing. Various putative
chemical identifications were made indicating the presence of hydrocarbons,
lipids/esters, amines/amides, and sulfonated/phosphorylated compounds. With the
spatial resolution capability, surprising chemical distribution patterns were
observed across the cicada wing, which may assist in correlating trends in
surface properties with chemical distribution. Observed ions were either (1)
equally dispersed across the wing, (2) more concentrated closer to the body of
the insect (proximal end), or (3) more concentrated toward the tip of the wing
(distal end). These findings demonstrate LAESI-IMS as a tool for the acquisition
of spatially resolved chemical information from fragile, dried insect wings. This
LAESI-IMS technique has important implications for the study of functional
biomaterials, where understanding the correlation between chemical composition,
physical structure, and biological function is critical. Graphical abstract
Positive-ion laser-ablation electrospray ionization mass spectrometry coupled
with optical imaging provides a powerful tool for the spatially resolved chemical
analysis of cicada wings.
PMID- 29380019
TI - Performance of combined fragmentation and retention prediction for the
identification of organic micropollutants by LC-HRMS.
AB - In nontarget screening, structure elucidation of small molecules from high
resolution mass spectrometry (HRMS) data is challenging, particularly the
selection of the most likely candidate structure among the many retrieved from
compound databases. Several fragmentation and retention prediction methods have
been developed to improve this candidate selection. In order to evaluate their
performance, we compared two in silico fragmenters (MetFrag and CFM-ID) and two
retention time prediction models (based on the chromatographic hydrophobicity
index (CHI) and on log D). A set of 78 known organic micropollutants was analyzed
by liquid chromatography coupled to a LTQ Orbitrap HRMS with electrospray
ionization (ESI) in positive and negative mode using two fragmentation techniques
with different collision energies. Both fragmenters (MetFrag and CFM-ID)
performed well for most compounds, with average ranking the correct candidate
structure within the top 25% and 22 to 37% for ESI+ and ESI- mode, respectively.
The rank of the correct candidate structure slightly improved when MetFrag and
CFM-ID were combined. For unknown compounds detected in both ESI+ and ESI-,
generally positive mode mass spectra were better for further structure
elucidation. Both retention prediction models performed reasonably well for more
hydrophobic compounds but not for early eluting hydrophilic substances. The log D
prediction showed a better accuracy than the CHI model. Although the two
fragmentation prediction methods are more diagnostic and sensitive for candidate
selection, the inclusion of retention prediction by calculating a consensus score
with optimized weighting can improve the ranking of correct candidates as
compared to the individual methods. Graphical abstract Consensus workflow for
combining fragmentation and retention prediction in LC-HRMS-based micropollutant
identification.
PMID- 29380020
TI - Comments on "Opinions on the Treatment Strategy after Breast Augmentation by
Polyacrylamide Hydrogel Injection".
PMID- 29380021
TI - Comments on "Alternative Rhinoplasty Technique: Rotational Spreader Flap (Rabbit
Flap)".
PMID- 29380022
TI - Nasal Alar Schwannoma: An Unusual Case Report.
AB - PURPOSE: To present the second known case of nasal alar schwannoma, first since
1973, with important considerations for surgical management. CASE REPORT: We
present the case of a 25-year-old male with a 5-year history of progressively
enlarging, non-tender, right alar mass with resultant ipsilateral nasal
congestion and dyspnea secondary to nasal valve collapse. The mass was located
cephalic to the mid-lateral aspect of the lower lateral crural cartilage, which
extended to the pyriform aperture. The mass was removed in an en bloc fashion
using an endonasal rhinoplasty approach with placement of an alar batten graft
for valve stabilization. The surgical pathology of the mass was consistent with
schwannoma. CONCLUSION: Regardless of the rarity, schwannoma should remain on the
list of possible etiologies for a nasal alar mass. Depending on the size and
concurrent mass effect, the external nasal valve may be compromised requiring
careful evaluation and operative stabilization for optimal postsurgical outcome.
LEVEL OF EVIDENCE V: This journal requires that authors assign a level of
evidence to each article. For a full description of these Evidence-Based Medicine
ratings, please refer to the Table of Contents or the online Instructions to
Authors www.springer.com/00266 .
PMID- 29380023
TI - Comparison of Facial Proportions Between Beauty Pageant Contestants and Ordinary
Young Women of Korean Ethnicity: A Three-Dimensional Photogrammetric Analysis.
AB - BACKGROUND: Although the harmony of facial proportions is traditionally perceived
as an important element of facial attractiveness, there have been few objective
studies that have investigated this esthetic balance using three-dimensional
photogrammetric analysis. OBJECTIVES: To better understand why some women appear
more beautiful, we investigated differences in facial proportions between beauty
pageant contestants and ordinary young women of Korean ethnicity using three
dimensional (3D) photogrammetric analyses. METHODS: A total of 43 prize-winning
beauty pageant contestants (group I) and 48 ordinary young women (group II) of
Korean ethnicity were photographed using 3D photography. Numerous soft tissue
landmarks were identified, and 3D photogrammetric analyses were performed to
evaluate 13 absolute lengths, 5 angles, 3 volumetric proportions, and 12 length
proportions between soft tissue landmarks. RESULTS: Group I had a greater
absolute length of the middle face, nose height, and eye height and width; a
smaller absolute length of the lower face, intercanthal width, and nasal width; a
larger nasolabial angle; a greater proportion of the upper and middle facial
volume, nasal height, and eye height and width; and a lower proportion of the
lower facial volume, lower face height, intercanthal width, nasal width, and
mouth width. All these differences were statistically significant. CONCLUSIONS:
These results indicate that there are significant differences between the faces
of beauty pageant contestants and ordinary young women, and help elucidate which
factors contribute to facial beauty. The group I mean values could be used as
reference values for attractive facial profiles. LEVEL OF EVIDENCE V: This
journal requires that authors assign a level of evidence to each article. For a
full description of these Evidence-Based Medicine ratings, please refer to the
Table of Contents or the online Instructions to Authors www.springer.com/00266 .
PMID- 29380024
TI - Nanofat Increases Dermis Thickness and Neovascularization in Photoaged Nude Mouse
Skin.
AB - OBJECT: To investigate the effects of nanofat injection into photoaged nude mouse
skin on dermis thickness, neovascularization, and cell proliferation. METHODS:
Adipose-derived stem cells (ADSCs) and nanofat were prepared from human
liposuction aspirates. The photoaged skin model was created using ultraviolet B
(UVB) radiation onto BALB/c nude mice. A total of 24 mice were used in this
study; 6 mice without treatment (natural aging) served as controls, while 18 mice
were irradiated under the UVB lamp and treated with PBS (200 MUl per injection
area), ADSCs (1 * 106/200 MUl ADSCs per injection area), or nanofat (200 MUl per
injection area) on the dorsal skin. Four weeks after injection, skin specimens
were collected. The skin texture of each group was evaluated by general
observation. Histological analyses were performed to analyze skin structure,
dermis thickness, collagen fiber arrangement, capillary density, and cell
proliferation. RESULTS: Four weeks after injection, no obvious differences were
observed between the PBS group, ADSCs group, and nanofat group by skin gross
observation. From the histological analyses, the ADSCs group and the nanofat
group showed obviously thicker dermis than the PBS group (P < 0.05). More
capillaries were observed in skin using anti-CD31 staining in the ADSCs and
Nanofat groups than was observed in the PBS group (P < 0.05). No significant
differences in the average dermis proliferation index were observed between
groups by anti-Ki-67 staining. However, an increased epidermal proliferation
index was observed in the ADSCs and Nanofat groups, compared to that in the PBS
group (P < 0.05). CONCLUSIONS: Nanofat increased dermis thickness and
neovascularization in photoaged skin. NO LEVEL ASSIGNED: This journal requires
that authors assign a level of evidence to each article. For a full description
of these Evidence-Based Medicine ratings, please refer to the Table of Contents
or the online Instructions to Authors www.springer.com/00266 .
PMID- 29380025
TI - Physical activity and depression: is 150 min/week of moderate to vigorous
physical activity a necessary threshold for decreasing risk of depression in
adults? Different views from the same data.
PMID- 29380026
TI - Involuntary hospitalization, stigma stress and suicidality: a longitudinal study.
AB - People with severe mental illness and a history of involuntary hospitalization
may experience stigma-related stress and suffer negative consequences as a
result. However, the long-term impact of stigma stress on suicidality in this
population remains unknown. This longitudinal study therefore examined stigma
stress, self-stigma, self-esteem and suicidal ideation among 186 individuals with
mental illness and recent involuntary hospitalization. After adjusting for age,
gender, diagnoses and symptoms, more stigma stress at baseline predicted suicidal
ideation after 2 years, mediated by increased self-stigma and decreased self
esteem after 1 year. Anti-stigma interventions that reduce stigma stress and self
stigma could therefore support suicide prevention.
PMID- 29380027
TI - Honey Bee (Apis mellifera) Pollen Foraging Reflects Benefits Dependent on
Individual Infection Status.
AB - Parasites often modify host foraging behavior, for example, by spurring changes
to nutrient intake ratios or triggering self-medication. The gut parasite, Nosema
ceranae, increases energy needs of the European or Western honey bee (Apis
mellifera), but little is known about how infection affects foraging behavior. We
used a combination of experiments and observations of caged and free-flying
individual bees and hives to determine how N. ceranae affects honey bee foraging
behavior. In an experiment with caged bees, we found that infected bees with
access to a high-quality pollen were more likely to survive than infected bees
with access to a lower quality pollen or no pollen. Non-infected bees showed no
difference in survival with pollen quality. We then tested free-flying bees in an
arena of artificial flowers and found that pollen foraging bees chose pollen
commensurate with their infection status; twice as many infected bees selected
the higher quality pollen than the lower quality pollen, while healthy bees
showed no preference between pollen types. However, healthy and infected bees
visited sucrose and pollen flowers in the same proportions. Among hive-level
observations, we found no significant correlations between N. ceranae infection
intensity in the hive and the proportion of bees returning with pollen. Our
results indicate that N. ceranae-infected bees benefit from increased pollen
quality and will selectively forage for higher quality while foraging for pollen,
but infection status does not lead to increased pollen foraging at either the
individual or hive levels.
PMID- 29380028
TI - Influence of Darkness and Aging on Marine and Freshwater Biofilm Microbial
Communities Using Microcosm Experiments.
AB - Ballast tank biofilms pose an additional risk of microbial invasion if sloughed
off during ballasting operations, yet their significance and invasion biology is
poorly understood. In this study, biofilms developed in marine and freshwater
locations were exposed to prolonged darkness and aging by mimicking ballast water
conditions in the laboratory. Upon prolonged darkness, the decay of
phytoplankton, as indicated by the decrease in chlorophyll a in marine biofilms,
led to remineralization and enhanced bacterial and protist populations. However,
the same trend was not observed in the case of freshwater biofilms wherein the
microbial parameters (i.e., bacteria, protists) and chlorophyll a decreased
drastically. The bacterial community structure in such conditions was evaluated
by real-time quantitative PCR (qPCR), and results showed that the biofilm
bacterial communities changed significantly over a period of time. alpha
Proteobacteria was the most stable taxonomic group in the marine biofilms under
dark conditions. However, beta-proteobacteria dominated the freshwater biofilms
and seemed to play an important role in organic matter remineralization. gamma
Proteobacteria, which includes most of the pathogenic genera, were affected
significantly and decreased in both the types of biofilms. This study revealed
that marine biofilm communities were able to adapt better to the dark conditions
while freshwater biofilm communities collapsed. Adaptation of tolerant bacterial
communities, regeneration of nutrients via cell lysis, and presence of grazers
appeared to be key factors for survival upon prolonged darkness. However, the
fate of biofilm communities upon discharge in the new environment and their
invasion potential is an important topic for future investigation.
PMID- 29380029
TI - Microbial Diversity of Hypersaline Sediments from Lake Lucero Playa in White
Sands National Monument, New Mexico, USA.
AB - Lake Lucero is a gypsum-rich, hypersaline, ephemeral playa located on the
southern part of the Alkali Flat at the White Sands National Monument (WSNM), New
Mexico, USA. This modern playa setting provides a dynamic extreme environment
that changes from a freshwater lake to a hypersaline dry desert during the year.
We investigated the microbial diversity (bacteria, archaea, and microbial
eukaryotes) of the Lake Lucero sediments using 16S- and 18S-based amplicon
sequencing approach and explored the diversity patterns in different geochemical
microenvironments. Our results indicated that similar microbial communities, in
particular bacterial communities colonized, were remarkably consistent across our
depth profiles. Therefore, these communities show a first-order relevance on the
environmental conditions (moisture content, oxygen content, and mineral
composition). We found that Proteobacteria, Actinobacteria, Bacteroidetes,
Firmicutes, and Gemmatimonadetes were the major bacterial phyla, while
Cyanobacteria were present in relatively low abundances and appeared only at the
surface. Genus level assessment reflected that Truepera, Delftia, and Pseudomonas
were the predominant bacterial genera across all samples. Euryarchaeota was the
major archaeal phylum in all the samples, while Candidatus Halobonum and
Candidatus Nitrososphaera were the main genera. Diatoms were the dominant
eukaryotic group in surface samples and Fungi, Ciliophora, Metazoa, and Nematodes
were the other major groups. As expected, metabolic inference indicated that
aerobic microbial communities were near surface colonizers, with anaerobic
communities dominating with increasing depth. We demonstrated that these
microbial communities could be used to characterize unique geochemical
microenvironments enabling us to extrapolate these results into other terrestrial
and possibly extraterrestrial environments with comparable geochemical
characteristics.
PMID- 29380030
TI - Bio-reinforced self-healing concrete using magnetic iron oxide nanoparticles.
AB - Immobilization has been reported as an efficient technique to address the
bacterial vulnerability for application in bio self-healing concrete. In this
study, for the first time, magnetic iron oxide nanoparticles (IONs) are being
practically employed as the protective vehicle for bacteria to evaluate the self
healing performance in concrete environment. Magnetic IONs were successfully
synthesized and characterized using different techniques. The scanning electron
microscope (SEM) images show the efficient adsorption of nanoparticles to the
Bacillus cells. Microscopic observation illustrates that the incorporation of the
immobilized bacteria in the concrete matrix resulted in a significant crack
healing behavior, while the control specimen had no healing characteristics.
Analysis of bio-precipitates revealed that the induced minerals in the cracks
were calcium carbonate. The effect of magnetic immobilized cells on the concrete
water absorption showed that the concrete specimens supplemented with decorated
bacteria with IONs had a higher resistance to water penetration. The initial and
secondary water absorption rates in bio-concrete specimens were 26% and 22% lower
than the control specimens. Due to the compatible behavior of IONs with the
concrete compositions, the results of this study proved the potential application
of IONs for developing a new generation of bio self-healing concrete.
PMID- 29380031
TI - Temperature and nutrients as drivers of microbially mediated arsenic oxidation
and removal from acid mine drainage.
AB - Microbial oxidation of iron (Fe) and arsenic (As) followed by their co
precipitation leads to the natural attenuation of these elements in As-rich acid
mine drainage (AMD). The parameters driving the activity and diversity of
bacterial communities responsible for this mitigation remain poorly understood.
We conducted batch experiments to investigate the effect of temperature (20 vs 35
degrees C) and nutrient supply on the rate of Fe and As oxidation and
precipitation, the bacterial diversity (high-throughput sequencing of 16S rRNA
gene), and the As oxidation potential (quantification of aioA gene) in AMD from
the Carnoules mine (France). In batch incubated at 20 degrees C, the dominance
of iron-oxidizing bacteria related to Gallionella spp. was associated with almost
complete iron oxidation (98%). However, negligible As oxidation led to the
formation of As(III)-rich precipitates. Incubation at 35 degrees C and nutrient
supply both stimulated As oxidation (71-75%), linked to a higher abundance of
aioA gene and the dominance of As-oxidizing bacteria related to Thiomonas spp. As
a consequence, As(V)-rich precipitates (70-98% of total As) were produced. Our
results highlight strong links between indigenous bacterial community composition
and iron and arsenic removal efficiency within AMD and provide new insights for
the future development of a biological treatment of As-rich AMD.
PMID- 29380032
TI - Isolation and characterization of a heterologously expressed bacterial laccase
from the anaerobe Geobacter metallireducens.
AB - Bioinformatics has revealed the presence of putative laccase genes in diverse
bacteria, including extremophiles, autotrophs, and, interestingly, anaerobes.
Integrity of laccase genes in anaerobes has been questioned, since laccases
oxidize a variety of compounds using molecular oxygen as the electron acceptor.
The genome of the anaerobe Geobacter metallireducens GS-15 contains five genes
for laccase-like multicopper oxidases. In order to show whether one of the
predicted genes encodes a functional laccase, the protein encoded by GMET_RS10855
was heterologously expressed in Escherichia coli cells. The His6-tagged enzyme
(named GeoLacc) was purified to a large extent in the apoprotein, inactive form:
incubation with CuSO4 allowed a 43-fold increase of the specific activity
yielding a metallo-enzyme. The purified enzyme oxidized some of the typical
laccase substrates, including 2,2'-azino-bis(3-ethylbenzothiazoline-6-sulphonic
acid) (ABTS), syringaldazine, and 2,6-dimethoxyphenol (2,6-DMP), along with
pyrogallol and K4[Fe(CN)6]. Temperature optimum was 75 degrees C and pH optimum
for ABTS and 2,6-DMP oxidation was ~ 6.0. As observed for other laccases, the
enzyme was inhibited by halide anions and was sensitive to increasing
concentrations of dimethyl sulfoxide and Tween-80. Notably, GeoLacc possesses a
very high affinity for dioxygen: a similar activity was measured performing the
reaction at air-saturated or microaerophilic conditions.
PMID- 29380033
TI - Contrast-enhanced MRI after neoadjuvant chemotherapy of breast cancer: lesion-to
background parenchymal signal enhancement ratio for discriminating pathological
complete response from minimal residual tumour.
AB - OBJECTIVES: To retrospectively investigate whether the lesion-to-background
parenchymal signal enhancement ratio (SER) on breast MRI can distinguish
pathological complete response (pCR) from minimal residual cancer following
neoadjuvant chemotherapy (NAT), and compare its performance with the conventional
criterion. METHODS: 216 breast cancer patients who had undergone NAT and MRI and
achieved pCR or minimal residual cancer on surgical histopathology were included.
Clinical-pathological features, SER and lesion size on MR images were analysed.
Multivariate logistic regression, ROC curve and McNemar's test were performed.
RESULTS: SER on early-phase MR images was independently associated with pCR (odds
ratio [OR], 0.286 [95% CI: 0.113-0.725], p = .008 for Reader 1; OR, 0.306 [95%
CI: 0.111-0.841], p = .022 for Reader 2). Compared with the conventional
criterion, SER <=1.6 increased AUC (0.585-0.599 vs. 0.709-0.771, p=.001-.033) and
specificity (21.9-27.4% vs. 80.8-86.3%, p <.001) in identifying pCR. SER <=1.6
and/or size <=0.2 cm criterion showed the highest specificity of 90.4%.
CONCLUSION: SER on early-phase MR images was independently associated with pCR,
and showed improved AUC and specificity compared to the conventional criterion.
The combined criterion of SER and size could be used to select candidates to
avoid surgery in a future study. KEY POINTS: * Compared with conventional
criterion, SER <= 1.6 criterion increased AUC and specificity. * Simple
measurement of signal intensity could differentiate pCR from minimal residual
cancer. * SER <=1.6 and/or size<=0.2cm criterion showed the highest specificity
of 90.4 %. * The combined criterion could be used for a study to avoid surgery.
PMID- 29380034
TI - Solitary Cholinergic Stimulation Induces Airway Hyperreactivity and Transcription
of Distinct Pro-inflammatory Pathways.
AB - Airway hyperreactivity is a hallmark feature of asthma and can be precipitated by
airway insults, such as ozone exposure or viral infection. A proposed mechanism
linking airway insults to airway hyperreactivity is augmented cholinergic
transmission. In the current study, we tested the hypothesis that acute
potentiation of cholinergic transmission is sufficient to induce airway
hyperreactivity. We atomized the cholinergic agonist bethanechol to neonatal
piglets and forty-eight hours later measured airway resistance. Bethanechol
treated piglets displayed increased airway resistance in response to intravenous
methacholine compared to saline-treated controls. In the absence of an airway
insult, we expected to find no evidence of airway inflammation; however,
transcripts for several asthma-associated cytokines, including IL17A, IL1A, and
IL8, were elevated in the tracheas of bethanechol-treated piglets. In the lungs,
prior bethanechol treatment increased transcripts for IFNgamma and its downstream
target CXCL10. These findings suggest that augmented cholinergic transmission is
sufficient to induce airway hyperreactivity, and raise the possibility that
cholinergic-mediated regulation of pro-inflammatory pathways might contribute.
PMID- 29380035
TI - The ontogeny of Limulus polyphemus (Xiphosura s. str., Euchelicerata) revised:
looking "under the skin".
AB - In recent years, methods for investigating the exo-morphology of zoological
specimens have seen large improvements. Among new approaches, auto-fluorescence
imaging offers possibilities to document specimens under high resolution without
introducing additional artifacts as, for example, seen in scanning electron
microscopy (SEM) imaging. Additionally, while SEM imaging is restricted to the
outer morphology of the current instar, auto-fluorescence imaging can be used to
document changes of the outer morphology of the next instar underneath the
cuticle of the current instar. Thus, reinvestigating seemingly well known species
with these methods may lead to interesting new insights. Here we reinvestigate
the late embryonic development of the xiphosuran ("sword tail") Limulus
polyphemus, which is often treated as a proxy for early eucheliceratan evolution.
In addition to entire specimens, the appendages of the embryos were dissected off
and documented separately with composite-autofluorescence microscopy. Based on
these data, we can distinguish six developmental stages. These stages do not
match exactly the formerly described stages, as these were largely based on SEM
investigation. Our stages appear to represent earlier or later phases within what
has in other studies been identified as one stage. This finer subdivision is
visible as we can see the developing cuticle under the outer cuticle. In
comparison to data from fossil xiphosurans, our results and those of other
studies on the ontogeny of L. polyphemus point to a derived mode of development
in this species, which argues against the idea of L. polyphemus as a "living
fossil."
PMID- 29380037
TI - A novel heterozygous ITGB3 p.T720del inducing spontaneous activation of integrin
alphaIIbbeta3 in autosomal dominant macrothrombocytopenia with aggregation
dysfunction.
AB - We identified a novel heterozygous ITGB3 p.T720del mutation in a pedigree with
macrothrombocytopenia exhibiting aggregation dysfunction. Platelet aggregation
induced by ADP and collagen was significantly reduced, while ristocetin
aggregation was normal. Integrin alphaIIbbeta3 was partially activated in a
resting status, but platelet expression of alphaIIbbeta3 was downregulated.
Functional analysis using a cell line showed spontaneous phosphorylation of FAK
in alphaIIb/beta3 (p.T720del)-transfected 293T cells in suspension conditions.
Abnormal cytoplasmic protrusions, membrane ruffling, and cytoplasmic localization
of alphaIIbbeta3 were observed in alphaIIb/beta3 (p.T720del)-transfected CHO
cells. Such morphological changes were reversed by treatment with an FAK
inhibitor. These findings imply spontaneous, but partial, activation of
alphaIIbbeta3 followed by phosphorylation of FAK as the initial mechanism of
abnormal thrombopoiesis. Internalization and decreased surface expression of
alphaIIbbeta3 would contribute to aggregation dysfunction. We reviewed the
literature of congenital macrothrombocytopenia associated with heterozygous
ITGA2B or ITGB3 mutations. Reported mutations were highly clustered at the
membrane proximal region of alphaIIbbeta3, which affected the critical
interaction between alphaIIb R995 and beta3 D723, resulting in a constitutionally
active form of the alphaIIbbeta3 complex. Macrothrombocytopenia caused by a
heterozygous activating mutation of ITGA2B or ITGB3 at the membrane proximal
region forms a distinct entity of rare congenital thrombocytopenia.
PMID- 29380036
TI - TYMS polymorphisms and responsiveness to or toxicity of methotrexate in
rheumatoid arthritis.
AB - OBJECTIVE: The aim of this study was to investigate whether the thymidylate
synthase (TYMS) 2R/3R and 6 bp I/D polymorphisms can predict the response to or
toxicity of methotrexate (MTX) in patients with rheumatoid arthritis (RA).
METHODS: We conducted a meta-analysis of studies on the association between the
TYMS 2R/3R and 6 bp I/D polymorphisms and non-responsiveness to or toxicity of
MTX in RA patients. RESULTS: A total of 11 studies involving 1613 patients were
considered. Meta-analysis showed no association between the TYMS 2R/3R 3R allele
and non-responsiveness to MTX therapy (odds ratio [OR] = 1.087, confidence
interval [CI] = 0.682-1.731, p = 0.726). The meta-analysis indicated that there
was no association between the TYMS 6 bp I/D D allele and non-responsiveness to
MTX therapy (OR = 0.688, 95% CI = 0.281-1.683, p = 0.413). Meta-analysis revealed
that the TYMS 2R/3R polymorphism was not associated with MTX toxicity, except for
in a co-dominant model, and the TYMS 6 bp I/D polymorphism was not associated
with MTX toxicity in all genetic models. CONCLUSIONS: This meta-analysis
demonstrates that the TYMS 2R/3R and 6 bp I/D polymorphisms may not be associated
with non-responsiveness to or toxicity of MTX therapy in RA patients.
PMID- 29380038
TI - Mesenchymal stem cells transplantation in hematological patients with acute graft
versus-host disease: characteristics and risk factors for infectious
complications.
AB - The role of MSCs in infection prevention and treatment is still discussed in
transplant and hematological patients. The spectrum and risk factors for
infections after MSCs transplantation in patients with acute GVHD have not been
studied before. To determine the risk factors and spectrum of infectious
complications in patients received mesenchymal stem cell transplantation as a
treatment for acute GVHD. A prospective observational study was performed to
evaluate the risk factors and characteristics of infectious complications after
MSCs transplantation in adult patients having acute GVHD. Thirty-four episodes of
MSCs transplantation in patients with acute GVHD after allogeneic HSCT were
enrolled in the study. MSCs were given at a median dose of 1.32 (interquartile
range 0.87-2.16) mln cells/kg per infusion at 91 days (interquartile range 31-131
days) after HSCT. Data relating to age, gender, date, and type of
transplantation, characteristics of MSCs, infectious agents, and antimicrobial
therapy and prevention regimens were prospectively collected in all of the
enrolled patients. The episode of proven infectious complication was set as a
primary outcome. There were totally 68 patients with acute GVHD in the study;
among them there were 34 cases of MSCs transplantation performed. Among the
registered infectious episodes were viral infections (CMV-associated disease, EBV
associated disease), invasive pulmonary aspergillosis, bacterial bloodstream
infections, and pneumonia. MSCs transplantation has shown no statistically
significant association with risk of infectious complications in patients with
acute GVHD in a performed multivariate analysis. Among the most frequent
infections in acute GVHD, we have described CMV, invasive aspergillosis, and
bacterial infections (bloodstream infections or pneumonia). Among risk factors
for infectious complications in patients with acute GVHD with/without MSCs
transplantation are progression of main disease and neutropenia below 500
cells/mm3 (for aspergillosis) and unrelated HSCT in the past history and
progression of main disease (for bacterial bloodstream infections and pneumonia).
PMID- 29380039
TI - Olfactory and gustatory functions after free flap reconstruction and radiotherapy
for oral and pharyngeal cancer: a prospective follow-up study.
AB - BACKGROUND: The senses of smell and taste can be adversely affected by both
tumour- and treatment-related factors amongst head and neck cancer patients. The
consequences may negatively impact nutritional status as well as quality of life
in this patient population. PATIENTS AND METHODS: This prospective longitudinal
follow-up study is consisted of 44 patients treated for oral cavity,
oropharyngeal or hypopharyngeal cancer with tumour resection and microvascular
free tissue transfer reconstruction at the Helsinki University Hospital,
Helsinki, Finland. Thirty-nine (89%) of them also received radiotherapy. The
senses of smell (odour detection, identification and threshold test) and taste
(electrogustometry) and quality of life (UW-QOL) were evaluated preoperatively,
and at 6 weeks, 3 months, 6 months and 12 months, postoperatively. RESULTS: There
were higher scores in the odour detection values in the 6-week and 3-month tests
compared with preoperative values for the tumour side. Other detection scores did
not differ statistically from the preoperative values neither in the tumour nor
the contralateral side. However, in the odour identification test, all
posttreatment values were statistically significantly higher than pretreatment
ones. In the olfactory threshold test, no statistically significant differences
were found between pre- and posttreatment values. Electrogustometry values for
the taste on the tumour side were statistically significantly impaired at 6 weeks
(p < 0.05) and at 3 months (p < 0.01) compared with the pretreatment results.
They were also impaired at 6 months and at 12 months, although the differences
were not statistically significant. The quality of life was impaired after
treatment in this patient series. However, the correlation between quality of
life and sense of taste was found only at one time point (3 months) and only with
contralateral side measurements. CONCLUSIONS: We conclude that in oral and
pharyngeal cancer patients the postoperative taste problems are related to the
impairment on the taste sensation in the tongue but not with the sense of smell.
Moreover, the impairment in the quality of life is not clearly related to the
impaired sense of taste.
PMID- 29380040
TI - Sinonasal seromucinous hamartoma.
AB - INTRODUCTION: Seromucinous hamartoma (SH) is a rare benign glandular
proliferation of the sinonasal tract and nasopharynx. Only few cases have been
reported in recent years. MATERIALS AND METHODS: We performed a retrospective
medical record review of seven patients diagnosed with sinonasal SH who underwent
endoscopic endonasal surgery. RESULTS: There were 5 males and 2 females, ranged
in age from 40 to 98 years (mean 60 years, SD +/- 18.9). Two lesions arise from
middle turbinate, two from uncinate process, and 3 (but 4 specimens) from nasal
septum. Pathological features revealed a polypoid lesion with submucosal
proliferation of seromucinous glands arranged in lobular and haphazard patterns.
In immunohistochemical study, the seromucinous glands of SH were reactive for
cytokeratin, including CK7, CK19, HMWK, but negative for CK20. CONCLUSION:
Sinonasal SH is a rare diagnosis characterized by a polypoid lesion with a
haphazard proliferation of seromucinous glands. The rhinologists should consider
it in the differential diagnosis of a polypoid lesion in the nasal cavity.
PMID- 29380041
TI - Pure laparoscopic anatomical segment VI resection using the Glissonian approach,
Rouviere's sulcus as a landmark, and a modified liver hanging maneuver (with
video).
AB - BACKGROUND: Pure laparoscopic anatomical segmental resection has rarely been
reported because this technique frequently presents technical difficulties. We
describe the laparoscopic resection of anatomical segment VI using the Glissonian
approach and a modified liver hanging maneuver. METHODS: Rouviere's sulcus is
identified after retracting superiorly the gallbladder. The Glissonian approach
for anatomical resection of segment VI can be performed with minimal dissection
of the liver parenchyma around the sulcus. After clamping the Glissonian pedicle
in the sulcus, the ischemic area fed by the portal pedicle was confirmed. The
right triangular ligament was divided to create a small opening for placement of
the hanging tape along the ischemic line. The liver parenchymal transection was
performed along the hanging tape. RESULTS: Four patients underwent pure
laparoscopic anatomical segment VI resection using the Glissonian approach and a
modified liver hanging maneuver. The median operation time was 205 min (range,
110-250 min), and median estimated blood loss was 110 mL (range, 100-350 mL). The
median postoperative hospital stay was 5 days (range, 4-8 days). There was no
postoperative morbidity or mortality. CONCLUSION: The Glissonian approach at
Rouviere's sulcus as a landmark combined with the modified liver hanging maneuver
may be safe and useful for laparoscopic anatomical segment VI resection.
PMID- 29380042
TI - Evaluation of Pterin, a Promising Drug Candidate from Cyanide Degrading Bacteria.
AB - Pterin is a member of the compounds known as pteridines. They have the same
nucleus of 2-amino-4-hydroxypteridine (pterin); however, the side-chain is
different at the position 6, and the state of oxidation of the ring may exist in
different form viz. tetrahydro, dihydro, or a fully oxidized form. In the present
study, the microorganisms able to utilize cyanide, and heavy metals have been
tested for the efficient production of pterin compound. The soil samples
contaminated with cyanide and heavy metals were collected from Salem steel
industries, Tamil Nadu, India. Out of 77 isolated strains, 40 isolates were found
to utilize sodium cyanate as nitrogen source at different concentrations.
However, only 13 isolates were able to tolerate maximum concentration (60 mM) of
sodium cyanate and were screened for pterin production. Among the 13 isolates,
only 1 organism showed maximum production of pterin, and the same was identified
as Bacillus pumilus SVD06. The compound was extracted and purified by preparative
high-performance liquid chromatography and analyzed by UV/visible, FTIR, and
fluorescent spectrum. The antioxidant property of the purified pterin compound
was determined by cyclic voltammetry. In addition, antimicrobial activity of
pterin was also studied which was substantiated by antagonistic activity against
Escherichia coli, and Pseudomonas aeruginosa. Besides that the pterin compound
was proved to inhibit the formation of biofilm. The extracted pterin compounds
could be proposed further not only for antioxidant and antimicrobial but also for
its potency to aid as anticancer and psychotic drugs in future.
PMID- 29380043
TI - Patterns of circulating fat-soluble vitamins and carotenoids and risk of frailty
in four European cohorts of older adults.
AB - PURPOSE: To investigate the cross-sectional and prospective associations between
patterns of serum fat-soluble micronutrients and frailty in four European cohorts
of older adults 65 years of age and older. METHODS: Participants from the Three
City (Bordeaux, France), AMI (Gironde, France), TSHA (Toledo, Spain) and
InCHIANTI (Tuscany, Italy) cohorts with available data on serum alpha-carotene,
beta-carotene, lycopene, cryptoxanthin, lutein + zeaxanthin, retinol, alpha
tocopherol, gamma-tocopherol and 25-hydroxyvitamin D3 (25(OH)D) were included. A
principal component (PC) analysis was used to derive micronutrient patterns.
Frailty was defined using Fried's criteria. Multivariate logistic regression
models adjusted for socio-demographic and health-related covariates were
performed to assess the association between micronutrient patterns and prevalent
frailty in 1324 participants, and the risk of frailty in 915 initially non-frail
participants. RESULTS: Three different patterns were identified: the first
pattern was characterized by higher serum carotenoids and alpha-tocopherol
levels; the second was characterized by high loadings for serum vitamins A and E
levels and low loadings for carotenes level; the third one had the highest
loading for serum 25(OH)D and cryptoxanthin level and the lowest loading for
vitamin A and E. A significant cross-sectional association was only observed
between the seconnd PC and prevalent frailty (p = 0.02). Compared to the highest
quartile, participants in the lowest quartile-i.e., high carotenes and low
vitamins E and A levels-had higher odds of frailty (Odds ratio = 2.2; 95%
confidence interval 1.3-3.8). No association with the risk of frailty was
observed. CONCLUSIONS: These findings suggest that some specific micronutrient
patterns are markers but not predictors of frailty in these European cohorts of
older adults.
PMID- 29380044
TI - Effects of daily walking on intermuscular adipose tissue accumulation with age: a
5-year follow-up of participants in a lifestyle-based daily walking program.
AB - PURPOSE: Intermuscular adipose tissue (IMAT) accumulates with age. However, there
has been no long-term intervention study examining whether daily walking prevents
or decreases the age-related IMAT accumulation. The purpose of this study was to
determine effects of a long-term lifestyle-based daily walking program on age
related IMAT accumulation after a 5-year follow-up. METHODS: Using a pedometer,
daily step count was evaluated for 5 years in 24 middle-aged and elderly male and
female participants. Using magnetic resonance imaging, thigh muscle, thigh
subcutaneous adipose tissue, IMAT, abdominal subcutaneous adipose tissue, and
visceral adipose tissue were examined at baseline and after 5 years. RESULTS:
After 5 years, there was no significant increase in IMAT in all participants. In
addition, IMAT significantly decreased in participants with a daily step count of
> 9000 (4.0 +/- 2.1 vs. 3.1 +/- 1.6 cm2; p < 0.05). Moreover, changes in IMAT
within 5 years correlated with changes in daily step counts, even after adjusting
for confounding factors (p < 0.05). CONCLUSIONS: Long-term lifestyle-based daily
walking program prevented the age-related IMAT accumulation in middle-aged and
elderly adults. Furthermore, increased daily walking correlated with decreased
IMAT over 5 years.
PMID- 29380045
TI - Are there gender differences in associations of effort-reward imbalance at work
with self-reported doctor-diagnosed depression? Prospective evidence from the
German Socio-Economic Panel.
AB - PURPOSE: Cohort studies established elevated risks of depression among employees
experiencing psychosocial stress at work, defined by 'job strain' or 'effort
reward imbalance' (ERI). Yet, conflicting evidence exists on whether the strength
of these associations varies by gender. We explore this question in a nationally
representative sample of working women and men where work stress (ERI) was
related to reported depression over a 2-year follow-up. METHODS: Data were
derived from the panel waves 2011 and 2013 of the German Socio-Economic Panel.
Work stress was assessed by validated short scales of the ERI questionnaire, and
doctor-diagnosed depression reported in 2013 (after excluding cases reported in
2011) was used as outcome variable. The sample with full data in 2013 consisted
of 6693 participants (49.4% women). RESULTS: In 2011, men scored significantly
higher than women on the scale 'effort' and on the 'effort-reward ratio', whereas
no significant gender differences for 'reward' and 'over-commitment' were
observed. Women reported a diagnosed depression almost twice as often as men (4.2
vs. 2.6%). Associations of all ERI scales with depression were statistically
significant, with no noticeable differences in the strength of associations
between women and men. Risk of depression was higher among men and women with
effort-reward imbalance [RR (risk ratio) of 1.82; 95% CI (confidence interval)
1.36-2.44 and RR of 1.88; 95% CI 1.51-2.33, respectively]. CONCLUSIONS: Despite
higher effort and slightly higher effort-reward ratio among men interaction terms
between gender, work stress and depression were generally not significant. While
gender inequities in the labour market are persisting stress-reducing worksite
health promotion programs should apply equally for men and women.
PMID- 29380047
TI - Your move or mine? Music training and kinematic compatibility modulate
synchronization with self- versus other-generated dance movement.
AB - Motor simulation has been implicated in how musicians anticipate the rhythm of
another musician's action to achieve interpersonal synchronization. Here, we
investigated whether similar mechanisms govern a related form of rhythmic action:
dance. We examined (1) whether synchronization with visual dance stimuli was
influenced by movement agency, (2) whether music training modulated simulation
efficiency, and (3) what cues were relevant for simulating the dance rhythm.
Participants were first recorded dancing the basic Charleston steps paced by a
metronome, and later in a synchronization task they tapped to the rhythm of their
own point-light dance stimuli, stimuli of another physically matched participant
or one matched in movement kinematics, and a quantitative average across
individuals. Results indicated that, while there was no overall "self advantage"
and synchronization was generally most stable with the least variable (averaged)
stimuli, motor simulation was driven-indicated by high tap-beat variability
correlations-by familiar movement kinematics rather than morphological features.
Furthermore, music training facilitated simulation, such that musicians
outperformed non-musicians when synchronizing with others' movements but not with
their own movements. These findings support action simulation as underlying
synchronization in dance, linking action observation and rhythm processing in a
common motor framework.
PMID- 29380046
TI - Low-pass single-chromosome sequencing of human small supernumerary marker
chromosomes (sSMCs) and Apodemus B chromosomes.
AB - Supernumerary chromosomes sporadically arise in many eukaryotic species as a
result of genomic rearrangements. If present in a substantial part of species
population, those are called B chromosomes, or Bs. This is the case for 70
mammalian species, most of which are rodents. In humans, the most common types of
extra chromosomes, sSMCs (small supernumerary marker chromosomes), are diagnosed
in approximately 1 of 2000 postnatal cases. Due to low frequency in population,
human sSMCs are not considered B chromosomes. Genetic content of both B
chromosomes and sSMCs in most cases remains understudied. Here, we apply
microdissection of single chromosomes with subsequent low-pass sequencing on Ion
Torrent PGM and Illumina MiSeq to identify unique and repetitive DNA sequences
present in a single human sSMC and several B chromosomes in mice Apodemus
flavicollis and Apodemus peninsulae. The pipeline for sequencing data analysis
was made available in Galaxy interface as an addition to previously published
command-line version. Human sSMC was attributed to the proximal part of
chromosome 15 long arm, and breakpoints leading to its formation were located
into satellite DNA arrays. Genetic content of Apodemus B chromosomes was species
specific, and minor alterations were observed in both species. Common features of
Bs in these Apodemus species were satellite DNA and ERV enrichment, as well as
the presence of the vaccinia-related kinase gene Vrk1. Understanding of the non
essential genome elements content provides important insights into genome
evolution in general.
PMID- 29380048
TI - Endothelial function in children with white-coat hypertension.
AB - Several studies have demonstrated endothelial dysfunction in patients with
essential hypertension. However, the presence of endothelial dysfunction in
children with white-coat hypertension has not been studied. We evaluated the
endothelial function in children with white-coat hypertension and essential
hypertension using a novel method based on the assessment of flow-mediated
dilation (FMD). Study involved 106 children: 30 white-coat hypertensives (age
16.3 +/- 1.3 years, mean +/- SD), 30 essential hypertensives (age 16.4 +/- 1.3
years), and 46 healthy controls (age 16.2 +/- 1.4 years). Ultrasound scans of the
right brachial artery were performed using Prosound F75 Aloka system during
protocol: baseline (1 min), forearm ischemia (5 min), and post-occlusion phase (3
min). FMD (%) was expressed as a change of the arterial diameter from baseline to
maximum post-occlusion value and the values < 5% were considered as deficient
FMD. We found significantly lower FMD in both essential and white-coat
hypertension compared to control group (p < 0.05 for both) with no significant
difference between the hypertensive groups. Deficient FMD was found in both
hypertensive groups, but not in the control group. The occurence of deficient FMD
was significantly higher in both essential and white-coat hypertensives compared
to controls (p < 0.01 for both) with no significant difference between the
hypertensive groups. Our findings of endothelial dysfunction indicated by
impaired FMD in pediatric patients with white-coat hypertension could help to
elucidate the mechanisms of the increased cardiovascular risk that could be
similar as found in essential hypertension; therefore, white-coat hypertension
should not be considered a benign phenomenon.
PMID- 29380049
TI - Sense and antisense RNA are not toxic in Drosophila models of C9orf72-associated
ALS/FTD.
AB - A GGGGCC hexanucleotide repeat expansion in the C9orf72 gene is the most common
genetic cause of amyotrophic lateral sclerosis and frontotemporal dementia.
Neurodegeneration may occur via transcription of the repeats into inherently
toxic repetitive sense and antisense RNA species, or via repeat-associated non
ATG initiated translation (RANT) of sense and antisense RNA into toxic dipeptide
repeat proteins. We have previously demonstrated that regular interspersion of
repeat RNA with stop codons prevents RANT (RNA-only models), allowing us to study
the role of repeat RNA in isolation. Here we have created novel RNA-only
Drosophila models, including the first models of antisense repeat toxicity, and
flies expressing extremely large repeats, within the range observed in patients.
We generated flies expressing ~ 100 repeat sense or antisense RNA either as part
of a processed polyadenylated transcript or intronic sequence. We additionally
created Drosophila expressing > 1000 RNA-only repeats in the sense direction.
When expressed in adult Drosophila neurons polyadenylated repeat RNA is largely
cytoplasmic in localisation, whilst intronic repeat RNA forms intranuclear RNA
foci, as does > 1000 repeat RNA, thus allowing us to investigate both nuclear and
cytoplasmic RNA toxicity. We confirmed that these RNA foci are capable of
sequestering endogenous Drosophila RNA-binding proteins, and that the production
of dipeptide proteins (poly-glycine-proline, and poly-glycine-arginine) is
suppressed in our models. We find that neither cytoplasmic nor nuclear sense or
antisense RNA are toxic when expressed in adult Drosophila neurons, suggesting
they have a limited role in disease pathogenesis.
PMID- 29380050
TI - Tibial tunnel placement in anatomic anterior cruciate ligament reconstruction: a
comparison study of outcomes between patient-specific drill template versus
conventional arthroscopic techniques.
AB - INTRODUCTION: Accurate anatomic graft tunnel positioning is essential for the
successful application of anatomic anterior cruciate ligament (ACL)
reconstruction. The accurate insertion of the tibial tunnel (TT) remains
challenging. Here, we explored a novel strategy of patient-specific drill
template (PDT) for the placement of TT in ACL reconstruction and assessed its
efficacy and accuracy. MATERIALS AND METHODS: TT placement was randomized and
performed by use of the PDT technique in 40 patients (PDT group) and the
conventional arthroscopic technique in 38 patients (Arthroscopic group). After
surgery, the deviations at the center point of the ACL tibial attachment area and
radiological TT positioning were assessed in both groups. The preoperative and
follow-up examinations included pivot-shift testing, KT-1000 arthrometer testing,
the Lysholm and International Knee Documentation Committee scales were used to
compare the knee stability and the functional state. RESULTS: The ideal center
points achieved in the PDT group were more precise than that in the arthroscopic
group (p < 0.001). Radiological TT positioning performed by use of the PDT
technique was more accurate than that by the arthroscopic technique (p = 0.027).
Statistical differences could not be found between the groups in terms of the
pivot-shift test, KT-1000 arthrometer laxity measurements, the Lysholm or
International Knee Documentation Committee scales. Both groups improved at follow
up compared with the preoperative assessment in terms of the pivot-shift test,
the laxity tests, and scoring scales. CONCLUSIONS: The novel PDT strategy could
provide more accurate TT positioning than the traditional arthroscopic technique
in ACL reconstruction. However, functional scales and stability tests gave
similar results in the PDT and the standard techniques. LEVEL OF EVIDENCE: I.
PMID- 29380051
TI - Long-term survival of Naegleria polaris from Antarctica after 10 years of storage
at 4 degrees C.
AB - A free-living amoeba, Naegleria is ubiquitously distributed in various natural
environments. Since some Naegleria spp. are exclusively distributed in the Arctic
and sub-Antarctic regions, we hypothesized that the amoeba may be useful to
determine long-term survival of Naegleria in laboratory conditions at 4 degrees
C. The main objective of the study is to determine that a species of an
environmental amoebal isolated can live at low temperatures after a long time.
Here, we therefore show long-term survival of an amoeba, Naegleria polaris
isolated from a sediment sample, which was collected from Antarctica 10 years
ago, and since stored at 4 degrees C. The sample was put on non-nutrient agar
plates with heat-killed Escherichia coli, and then the plate was incubated at 4,
15, or 30 degrees C. Motile amoebae were seen only when the plate was incubated
at 15 degrees C. The sequencing of ribosomal DNA including internal transcribed
spacers (ITS) 1, 5.8S rDNA, and ITS2 region revealed the amoebae to be N.
polaris, which is exclusively distributed in the Arctic and sub-Antarctic
regions. Scanning electron microscopic observation showed that no typical sucker
like structure was seen on the surface of N. polaris, but the cysts were similar
to those of Naegleria fowleri. Thus, our result shows, for the first time, that
N. polaris can survive after 10 years of storage at 4 degrees C. This finding
may help us understand the still undescribed effects of environmental samples on
viability of amoebae.
PMID- 29380052
TI - Immune challenges decrease biliverdin concentration in the spleen of northern
Bobwhite quail, Colinus virginianus.
AB - Most antioxidants have multiple functions; in addition to minimizing oxidative
damage, many antioxidants have immune-modulating properties. For example,
biliverdin is produced in the liver and spleen from the breakdown of heme, and
has putative immune-suppressing and antioxidant properties. However, the majority
of these properties have been investigated in vitro or in mammalian models, in
which biliverdin reductase converts virtually all biliverdin to bilirubin. Thus,
biliverdin's physiological roles remain largely untested. Here, we investigated
whether biliverdin has immunomodulating roles by injecting Northern Bobwhite
quail (Colinus virginianus) with either a vehicle control, lysed and rinsed pig
red blood cells (pRBC) that contain erythrocyte antigens but no heme, or intact
pRBC that contain both erythrocyte antigens and hemoglobin, thus increasing the
amount of heme that can be converted to biliverdin. We then quantified
hemagglutination and hemolysis ability, and biliverdin concentration in the liver
and spleen, on 3, 6, and 9 days post-injection. We found that hemagglutination
was greater in individuals that received intact pRBC, but not in those injected
with heme-removed pRBC, demonstrating that biliverdin does not suppress immune
function at this dosage. Biliverdin levels of liver and spleen were correlated
within individuals, suggesting organism-level variation in biliverdin production.
Lastly, individuals injected with intact pRBC had a reduced biliverdin
concentration in the spleen, suggesting that immune challenges may reduce
biliverdin production or accumulation. This initial investigation demonstrated
that biliverdin may have more nuanced physiological roles than previously
reported, supporting the value of further investigations into the physiology of
biliverdin.
PMID- 29380053
TI - Cardiovascular adjustments with egg temperature at 90% incubation in embryonic
American alligators, Alligator mississippiensis.
AB - American alligators (Alligator mississippiensis) deposit eggs in a mound nest,
potentially subjecting embryos to daily variations in temperature. Whilst adult
crocodilian cardiovascular responses to changes in temperature have been
investigated, similar studies in alligator embryos are limited. We investigated
cardiovascular function of embryonic alligators during heating and cooling as
well as at different temperatures. We measured arterial blood pressure (Pm) and
heart rate (fH) in response to cooling (30-26 degrees C), heating (26-36
degrees C), followed by a reciprocal cooling event (36-26 degrees C) and
assessed the cardiac baroreflex at 30 and 36 degrees C. Embryonic fH increased
during heating events and decreased during cooling events, while embryos were
hypotensive at 26 and 36 degrees C, although Pm did not differ between heating
or cooling events. There was a clear temperature-dependent heart rate hysteresis
at a given embryo's temperature, depending on whether embryos were cooling or
heating. Cardiovascular regulation through the cardiac limb of the baroreflex was
not affected by temperature, despite previous studies suggesting that vagal tone
is present at both low and high temperatures.
PMID- 29380054
TI - Children's access to major hospitals estimated to provide pediatric inpatient
services 24 h a day in Japan.
AB - : To measure the geographic accessibility of pediatric inpatient medical services
in Japan, the proportion of children who lived within 5, 10, 20, and 30 km of the
nearest hospital providing inpatient services for children and staffed by at
least five full-time equivalent pediatricians was calculated. Geographic
information systems were used to assess the location of the hospitals, and the
latitude, longitude, and child population of 219,100 residential "blocks" in
Japan. The population and proportion of children in over- and under-populated
prefectures were compared to show differences in accessibility. CONCLUSION: Of
the 15.88 million children in Japan, 14.72 million (92.7%) lived within 30 km of
the nearest hospital with at least five full-time equivalent pediatricians. They
could therefore probably reach it within 1 h by car. However, the proportion of
children living further than 30 km away varied across under- and over-populated
prefecture groups. More than half of the 1.16 million children who lived in areas
more than 30 km from the nearest hospital were in the 12 prefectures with the
lowest population densities. Plans for medical provision for children should
therefore be formulated differently for over- and under-populated prefectures,
with provision made for improved transport from remote areas. What is Known: *
The number of pediatricians and hospitals with pediatric departments is surveyed
by Japanese health authorities, and the statistics on medical resources in each
prefecture are published on the Japanese government's website. * Accessibility of
medical services for children has not been well analyzed in Japan. What is New: *
In total, 92.7% of Japanese children lived within 30 km of the nearest major
hospital providing pediatric inpatient services. * More than half of the
remaining children were residents of the 12 prefectures with the lowest
population density.
PMID- 29380055
TI - Signaling and metabolic properties of fast and slow smooth muscle types from
mice.
AB - This study aims to improve the classification of smooth muscle types to better
understand their normal and pathological functional phenotypes. Four different
smooth muscle tissues (aorta, muscular arteries, intestine, urinary bladder) with
a 5-fold difference in maximal shortening velocity were obtained from mice and
classified according to expression of the inserted myosin heavy chain (SMHC-B).
Western blotting and quantitative PCR analyses were used to determine 15
metabolic and 8 cell signaling key components in each tissue. The slow muscle
type (aorta) with a 12 times lower SMHC-B had 6-fold lower expression of the
phosphatase subunit MYPT1, a 7-fold higher expression of Rhokinase 1, and a 3
fold higher expression of the PKC target CPI17, compared to the faster (urinary
bladder) smooth muscle. The slow muscle had higher expression of components
involved in glucose uptake and glycolysis (type 1 glucose transporter, 3 times;
hexokinase, 13 times) and in gluconeogenesis (phosphoenolpyruvate carboxykinase,
43 times), but lower expression of the metabolic sensing AMP-activated kinase,
alpha 2 isoform (5 times). The slow type also had higher expression of enzymes
involved in lipid metabolism (hormone-sensitive lipase, 10 times; lipoprotein
lipase, 13 times; fatty acid synthase, 6 times; type 2 acetyl-coenzyme A
carboxylase, 8 times). We present a refined division of smooth muscle into muscle
types based on the analysis of contractile, metabolic, and signaling components.
Slow compared to fast smooth muscle has a lower expression of the deactivating
phosphatase and upregulated Ca2+ sensitizing pathways and is more adapted for
sustained glucose and lipid metabolism.
PMID- 29380056
TI - Endothelial-dependent dilation following chronic hypoxia involves TRPV4-mediated
activation of endothelial BK channels.
AB - Following chronic hypoxia (CH), the systemic vasculature exhibits blunted
vasoconstriction due to endothelial-dependent hyperpolarization (EDH). Previous
data demonstrate that subsequent to CH, EDH-mediated vasodilation switches from a
reliance on SKca and IKca channels to activation of the endothelial BKca channels
(eBK). The mechanism by which endothelial cell stimulation activates eBK channels
following CH is not known. We hypothesized that following CH, EDH-dependent
vasodilation involves a TRPV4-dependent activation of eBK channels. ACh induced
concentration-dependent dilation in pressurized gracilis arteries from both
normoxic and CH rats. Inhibition of TRPV4 (RN-1734) attenuated the ACh response
in arteries from CH rats but had no effect in normoxic animals. In the presence
of L-NNA and indomethacin, TRPV4 blockade attenuated ACh-induced vasodilation in
arteries from CH rats. ACh elicited endothelial TRPV4-mediated Ca2+ events in
arteries from both groups. GSK1016790A (GSK101, TRPV4 agonist) elicited
vasodilation in arteries from normoxic and CH rats. In arteries from normoxic
animals, TRAM-34/apamin abolished the dilation to TRPV4 activation, whereas
luminal iberiotoxin had no effect. In CH rats, only administration of all three
Kca channel inhibitors abolished the dilation to TRPV4 activation. Using
Duolink(r), we observed co-localization between Cav-1, TRPV4, and BK channels in
gracilis arteries and in RAECs. Disruption of endothelial caveolae with methyl
beta-cyclodextrin significantly decreased ACh-induced vasodilation in arteries
from both groups. In gracilis arteries, endothelial membrane cholesterol was
significantly decreased following 48 h of CH. In conclusion, CH results in a
functional coupling between muscarinic receptors, TRPV4 and Kca channels in
gracilis arteries.
PMID- 29380057
TI - Commentary on: Survival benefit of mantle cell lymphoma patients enrolled in
clinical trials; a joint study from the LYSA group and French cancer registries.
PMID- 29380058
TI - Patients' and hematologists' concerns regarding tyrosine kinase-inhibitor therapy
in chronic myeloid leukemia.
AB - PURPOSE: To explore patients' and hematologists' concerns regarding tyrosine
kinaseinhibitor (TKI)-therapy and identify variables associated these concerns.
Methods A cross-sectional questionnaire including 16 common issues related to TKI
therapy was distributed to adults with chronic myeloid leukemia (CML) receiving
TKIs and hematologists treating CML patients and answered anonymously. RESULTS:
Data from 1518 patient respondents receiving TKI-therapy >= 3 months were
analyzed. 939 (62%) were male. Median age was 42 years. 72% were receiving
imatinib. Median TKI-therapy duration was 27 months. Data from 259 hematologist
respondents were analyzable. 154 (59%) treated > 5 persons with CML per month.
Median number of concerns was 5 (range 0-16) for both patients and hematologists.
The top five issues for both cohorts were new drug development, stopping TKI
therapy, TKI-reimbursement policies, TKI-related adverse effects and long-term
efficacy of TKIs. 12 issues attracted proportionally discordant attention between
patients and hematologists. Patients were more concerned with TKI-reimbursement
policies, price reduction of TKIs, TKI-related adverse effects, restrictions to
daily life, CML knowledge and interpretation of laboratory data, whereas
hematologists were more concerned with stopping TKI-therapy, TKI choice,
monitoring, TKI dose-adjustment, quality of generics and switching between
branded and generic TKIs. In multivariate analyses female sex [OR = 1.4 (1.1
1.7); p = 0.008], education level >= bachelor e[OR = 1.8 (1.4-2.2); p < 0.001],
TKI-therapy duration 36-< 60 months [OR = 1.4 (1.0-1.9); p = 0.049] and having
adverse impact on daily life and work [OR = 1.5 (1.2-1.8]; p = 0.001] were
associated with greater numbers of patients' concerns. CONCLUSIONS: Our data
suggested hematologists need to be aware of CML patients' concerns to improve
their quality-of-life and patient-hematologist communication.
PMID- 29380059
TI - Post-transplantation Development of Food Allergies.
AB - PURPOSE OF REVIEW: The development of food allergies is increasingly being
recognized as a post-solid organ transplant complication. In this article, we
review the spectrum of post-transplant food allergy development and the proposed
mechanisms for de novo food allergies and the clinical significance they pose.
RECENT FINDINGS: The development of new food allergies is disproportionately
associated with pediatric liver transplants, where it occurs in up to 38% of
select populations. The mechanism of food allergy development is not completely
understood; however, it is likely promoted by unbalanced immune suppression. De
novo food allergy development is a common complication of solid organ transplants
with the highest risk occurring in pediatric liver transplant recipients. There
are likely multiple mechanisms for food allergy development including passive
transfer of membrane-bound IgE and lymphocytes from donor to recipient, as well
as loss of food tolerance and active development of new food allergies. The
optimal management of food allergies following organ transplants has not been
well researched but may include changing the immune suppression regimen if the
food allergy does not resolve without intervention.
PMID- 29380060
TI - Serum levels of a cell death biomarker predict the development of cirrhosis
related conditions in primary biliary cholangitis.
AB - Non-invasive predictors for the development of cirrhosis-related conditions are
needed for patients with primary biliary cholangitis (PBC). We investigated the
association between cytokeratin-18 fragments (M30 and M65) and liver histology,
treatment response and the development of cirrhosis-related conditions in
patients with PBC. We retrospectively reviewed the clinical data of 111
individuals with biopsy-proven PBC. Serum M30 and M65 levels were measured using
stored sera. M30 were significantly decreased after treatment, but there was no
significant change in the M65 levels. M65 was significantly higher in non
responders according to the Paris-I and Paris-II definitions. In the multivariate
analysis, high levels of M65 were significantly associated with advanced Scheuer
stage (odds ratio 5.86; 95% confidence interval 0.55-22.2; P = 0.009) and with
the development of cirrhosis-related conditions (hazard ratio 3.94; 95%
confidence interval: 1.06-14.5, P = 0.039). Among PBC patients without cirrhosis,
those with high serum M65 levels at baseline were at higher risk of developing
cirrhosis-related conditions (log-rank test; P = 0.001). High levels of serum M65
may be a non-invasive and early predictor of the development of cirrhosis-related
conditions in PBC patients. Our findings may help initiate therapies earlier for
those at risk for cirrhosis.
PMID- 29380061
TI - Hepatic stellate cells derived from the nestin-positive cells in septum
transversum during rat liver development.
AB - Hepatic stellate cells (HSCs) play a principal role in Vitamin A metabolism and
are considered the major matrix-producing cell type in the diseased liver. Rat
HSCs are identified by immunohistochemistry with myogenic or mesenchymal (desmin,
vimentin, and alpha-smooth muscle actin) or neural (e.g., GFAP or neuronal cell
adhesion molecule) markers. Embryonic origin of rat HSCs was determined using
these markers. Nestin, an intermediate filament protein originally identified in
neuronal stem or progenitor cells, is widely used as a stem cell marker,
including hepatic stem cells in adult rat livers. Additionally, nestin is
reportedly expressed in activated HSCs during liver injury and hepatic
regeneration. However, little is known about nestin expression in rat fetal liver
HSCs. The present study aimed to clarify nestin-positive HSC expression during
rat liver development. At embryonic day (ED) 10.5, nestin expression in
mesenchymal cells adjacent to the liver bud was detected by immunohistochemistry.
At ED 11.5, nestin-positive cells were also detected in desmin-positive cells
appearing and increasing in intensity by ED 16.5. However, nestin-positive cells
in the parenchyma decreased by ED 20.5 or later. These findings reveal that the
nestin-positive HSCs during rat liver development originate from nestin-positive
mesenchymal cells in the septum transversum.
PMID- 29380063
TI - Intraoperative monitoring of cerebral cortical blood flow and middle cerebral
artery pressure as a substitute for preoperative balloon test occlusion in
patients with internal carotid artery aneurysms.
AB - BACKGROUND: Balloon test occlusion (BTO) is a useful examination to evaluate the
indications and methods for revascularization when treating unclippable internal
carotid artery (ICA) aneurysms by parent artery occlusion. The purpose of the
present study was to investigate the relationship between intraoperative
monitoring of cerebral cortical blood flow (CoBF) and middle cerebral artery
(MCA) pressure during surgical parent artery occlusion and the findings of BTO.
METHODS: Eleven patients with an ICA aneurysm in the cavernous portion underwent
preoperative BTO with brain perfusion single-photon emission tomography. CoBF was
monitored intraoperatively in all patients using a laser Doppler probe. The
lowest CoBF during test occlusion of the ICA under functioning superficial
temporal artery-middle cerebral artery (STA-MCA) bypass was determined, and the
ratio of the value to the CoBF immediately before test occlusion of the ICA was
calculated in the frontal and temporal lobes. When the CoBF ratio in the frontal
or temporal lobe was less than 0.9, high-flow bypass grafting was added. The MCA
pressure was also measured by temporarily occluding the proximal STA. RESULTS: Of
the 11 patients undergoing STA-MCA bypass, 5 patients underwent concomitant high
flow bypass grafting. Significant differences in the cerebrovascular reserve
based on SPECT during BTO, CoBF, and the MCA pressure ratio during surgery were
observed when comparing the two groups. CONCLUSIONS: Intraoperative monitoring of
CoBF and MCA pressure may be useful, along with preoperative BTO, for patients
with unclippable ICA aneurysms.
PMID- 29380062
TI - The profiling of plasma free amino acids and the relationship between serum
albumin and plasma-branched chain amino acids in chronic liver disease: a single
center retrospective study.
AB - BACKGROUND: It is poorly understood how an imbalance of plasma-free amino acids
(PFAAs) occurs and how the imbalance shows an association with the serum albumin
(sAlb) level during the progression of chronic liver disease (CLDs). The aim of
this study is to elucidate the profiles of PFAAs and the relationship between
sAlb and PFAAs in recent patients with CLDs during the progression. METHODS: We
retrospectively evaluated the 1569 data of PFAAs data obtained from 908 patients
with various CLDs (CHC, CHB. alcoholic, NAFLD/NASH, PBC, AIH, PSC, and
cryptogenic). In total, 1140 data of PFAAs could be analyzed in patients with
CLDs dependent of their Child-Pugh (CP) score. RESULTS: Various imbalances in
PFAAs were observed in each CLDs during the progression. Univariate and
multivariate analysis revealed that among 24 PFAAs, the level of plasma-branched
chain amino acids (pBCAAs) was significantly associated with the CP score,
especially the sAlb score, in patients with chronic hepatitis C virus (CHC),
NAFLD/NASH and PBC. The correlation coefficient values between sAlb and pBCAAs-to
Tyrosine ratio (BTR) in these patients were 0.53, 0.53 and 0.79, respectively.
Interestingly, although the pBCAAs in NAFLD/NASH patients varied even when the
sAlb was within the normal range, the pBCAAs tended to be low when the sAlb was
below the normal range. CONCLUSIONS: Although a decrease in the level of pBCAAs
was observed during the progression regardless of the CLD etiology, the level of
total pBCAAs was independently associated with the sAlb level in the PFAAs of
CHC, PBC and NAFLD/NASH. The correlation between sAlb and BTR showed the highest
value in PBC patients among the patients with CLDs. A decrease in pBCAAs often
occurred in NASH even when the sAlb level was kept in the normal range.
PMID- 29380064
TI - The complete nucleotide sequence and genome organisation of a novel member of the
family Betaflexiviridae from Actinidia chinensis.
AB - We report the complete genome sequence of a novel virus, tentatively named
"actinidia seed-borne latent virus" (ASbLV), isolated from Actinidia chinensis in
Auckland, New Zealand. The complete genome of ASbLV is 8,192 nucleotides long,
excluding the 3' poly(A) tail, contains four open reading frames, and is most
closely related to Caucasus prunus virus (56% nucleotide sequence identity), a
member of the genus Prunevirus. Based on the demarcation criteria of the family
Betaflexiviridae, ASbLV is a new member of the genus Prunevirus.
PMID- 29380065
TI - A single surgeon's experience transitioning to robotic-assisted right colectomy
with intracorporeal anastomosis.
AB - BACKGROUND: Despite substantial evidence demonstrating benefits of minimally
invasive surgery, a large percentage of right colectomies are still performed via
an open technique. Most laparoscopic right colectomies are completed as a hybrid
procedure with extracorporeal anastomosis. As part of a pure minimally invasive
procedure, intracorporeal anastomosis (ICA) may confer additional benefits for
patients. The robotic platform may shorten the learning curve for minimally
invasive right colectomy with ICA. METHODS: From January 2014 to May 2016, 49
patients underwent robotic-assisted right colectomy by a board-certified
colorectal surgeon (S.R). Extracorporeal anastomosis (ECA) was used in the first
20 procedures, whereas ICA was used in all subsequent procedures. Outcomes
recorded in a database for retrospective review included operating time (OT),
estimated blood loss (EBL), length of stay (LOS), conversion rate, complications,
readmissions, and mortality rate. RESULTS: Comparison of average OT, EBL, and LOS
between extracorporeal and intracorporeal groups demonstrated no significant
differences. For all patients, average OT was 141.6 +/- 25.8 (range 86-192) min,
average EBL was 59.5 +/- 83.3 (range 0-500) mL, and average LOS was 3.4 +/- 1.19
(range 1.5-8) days. Four patients required conversion, all of which occurred in
the extracorporeal group. There were no conversions after the 18th procedure. The
60-day mortality rate was 0%. There were no anastomotic leaks, ostomies created,
or readmissions. As the surgeon gained experience, a statistically significant
increase in lymph node sampling was observed in oncologic cases (p = .02).
CONCLUSIONS: The robotic platform may help more surgeons safely and efficiently
transition to a purely minimally invasive procedure, enabling more patients to
reap the benefits of less invasive surgery. Transitioning from ECA to ICA during
robotic right colectomy resulted in no significant change in OT or LOS. A lower
rate of conversion to open surgery was noted with increased experience.
PMID- 29380066
TI - Outcomes and quality of life assessment after per oral endoscopic myotomy (POEM)
performed in the endoscopy unit with trainees.
AB - BACKGROUND: Per oral endoscopic myotomy (POEM) has emerged as a promising option
for the treatment of achalasia. This study assessed POEM training process,
outcomes, and improvement in quality of life after POEM performed by an
interventional endoscopist (mentor) with trainees. METHODS: We performed a
retrospective review of data for patients who underwent POEM with involvement of
trainees. Trainees were trained in performing mucosotomy, submucosal dissection,
creating submucosal tunnel, identifying gastroesophageal junction, myotomy, and
closure of mucosal incision in a step-by-step fashion. Trainees' performance on
each step was evaluated by the mentor based on several key points in each step.
The short form 36 (SF36) was obtained before and certain times after the primary
POEM procedure was performed. RESULTS: Sixty-two patients, 26 males and 36
females with a mean age of 59 years, who underwent POEM were enrolled. A
checklist included all related items for each step was established. All trainees
obtained competence within 6 cases for each step. 61/62 (98.3%) patients had a
significant improvement in the Eckardt's score post POEM: 9.3 +/- 1.5 prior to
POEM and 2.6 +/- 1.2 after the POEM (P = 0.001) and a decrease in mean lower
esophageal sphincter pressure (LES): pre- and post-procedure mean LES pressures
were 28.5 +/- 11.4 and 12.1 +/- 4.5 mmHg, respectively (P = 0.001). The SF-36
questionnaire demonstrated a significant improvement in quality of life and
comparable with those without trainees in other studies. CONCLUSION: This
preliminary study showed for the first time that training for POEM can be
performed in a step-by-step fashion, learning mucosal incision, submucosal
dissection, myotomy, and mucosal incision closure from an expert interventional
endoscopist without increasing adverse events. The checklist for each step could
be used as an important guide in training POEM. The outcomes of POEM in this
study were similar to those reported by others without trainees. Further multiple
center studies are needed to verify this training process and to establish a
formal training protocol.
PMID- 29380067
TI - A new system of computer-assisted navigation leading to reduction in operating
time in uncemented total hip replacement in a matched population.
AB - Computer-assisted navigation techniques are used to optimise component placement
and alignment in total hip replacement. It has developed in the last 10 years but
despite its advantages only 0.3% of all total hip replacements in England and
Wales are done using computer navigation. One of the reasons for this is that
computer-assisted technology increases operative time. A new method of pelvic
registration has been developed without the need to register the anterior pelvic
plane (BrainLab hip 6.0) which has shown to improve the accuracy of THR. The
purpose of this study was to find out if the new method reduces the operating
time. This was a retrospective analysis of comparing operating time in computer
navigated primary uncemented total hip replacement using two methods of
registration. Group 1 included 128 cases that were performed using BrainLab
versions 2.1-5.1. This version relied on the acquisition of the anterior pelvic
plane for registration. Group 2 included 128 cases that were performed using the
newest navigation software, BrainLab hip 6.0 (registration possible with the
patient in the lateral decubitus position). The operating time was 65.79 (40-98)
minutes using the old method of registration and was 50.87 (33-74) minutes using
the new method of registration. This difference was statistically significant.
The body mass index (BMI) was comparable in both groups. The study supports the
use of new method of registration in improving the operating time in computer
navigated primary uncemented total hip replacements.
PMID- 29380068
TI - Impedance Oscillometry: Emerging Role in the Management of Chronic Respiratory
Disease.
AB - PURPOSE OF REVIEW: Chronic respiratory diseases affecting adults and children are
widely prevalent, so lung function testing is imperative for diagnosis and
management. Spirometry is the traditional standard measure of lung function;
however, certain groups of patients are unable to provide accurate and
reproducible exhalation maneuvers. Consequently, the impedance oscillometry
system (IOS) has been developed as an effort, independent technique to assess
airway function in children and the elderly. To better understand this emerging
modality, the following review will compare IOS with spirometry, examine the
function of the device, provide interpretation strategies, and discuss the
evidence supporting its use in adults and children with chronic lung disease.
RECENT FINDINGS: In a population of symptomatic adults with suspected COPD,
impedance oscillometry resistance measurements correlate with FEV1 and lung
resistance increases with the severity of airflow limitation. In patients with
asthma, IOS is a sensitive measure of airway hyperresponsiveness and
bronchodilator response. Impedance oscillometry is evolving as an alternative
measure to assess lung function pediatric and adult populations.
PMID- 29380070
TI - Publisher Correction: Evaluation of the level of dynamic thiol/disulphide
homeostasis in adolescent patients with newly diagnosed primary hypertension.
AB - Owing to an error in typesetting, the name of the author Atilla Halil Elhan was
rendered wrongly. The original publication has now been corrected in this
respect.
PMID- 29380069
TI - Emotion regulation difficulties in traumatized youth: a meta-analysis and
conceptual review.
AB - This article provides a quantitative and conceptual review of emotion regulation
difficulties in trauma-exposed young people, and informs future directions in the
field. Despite long-standing interest in the influence of emotion regulation
difficulties on different internalizing and externalizing psychiatric disorders
in childhood, several questions remain unresolved with respect to children and
adolescents with PTSD (post-traumatic stress disorder). Meta-analytic data from
adult victims suggest that emotion regulation problems are associated with PTSD,
but this has never been studied in children and young people. We therefore
provide a conceptual review of features related to the phenomenology, assessment,
severity and treatment of emotion regulation difficulties in trauma-exposed
children and young people. We combine this with a meta-analysis of published
literature. We searched studies in Medline, PsychINFO, and Embase databases based
on pre-selected criteria. Eight hundred and eighty-six papers were identified and
41 were included. We found that children and adolescents with a diagnosis of PTSD
reported more emotion regulation difficulties than those who did not develop
PTSD, and that the overall association between the two symptom dimensions was
moderately strong. We identify a number of research priorities: the development
of instruments to assess emotion regulation difficulties in children, the design
of studies that describe its prevalence in young epidemiological traumatized
samples, its predictive role in the onset, severity and persistence of post
traumatic symptoms, and its relevance as a moderator, outcome or treatment target
for young survivors.
PMID- 29380071
TI - Programmed cell death in wheat (Triticum aestivum L.) endosperm cells is affected
by drought stress.
AB - Drought frequently occurs during wheat (Triticum aestivum L.) grain filling. The
objectives of this study were (i) to investigate the effect of post-anthesis
drought on programmed cell death (PCD) in wheat endosperm cells and (ii) to
examine the role of ethylene (ETH) receptors and abscisic acid (ABA) in
regulating wheat endosperm PCD. Two winter wheat cultivars ('Xindong 18' and
'Xindong 22') were used in this study. Grain samples were collected from normal
and drought stressed plants at 5-day intervals between 5 and 35 days post
anthesis. The samples were then compared with respect to cell viability, nuclear
morphometry, cell ultrastructure, DNA integrity, nucleic acid content, and
nuclease activity. Analysis was also conducted about gene transcripts related to
PCD, ETH receptors, and ABA biosynthesis and degradation. Drought stress reduced
cell viability, accelerated nuclear deformation, and increased mitochondrial
dissolution. The activity of nucleic acid hydrolase was greater, and the nucleic
acid concentrations were less in the drought treatments than in the control. As a
result, the peak in DNA fragmentation occurred earlier in the drought treatment.
Drought stress significantly increased the expression of four genes related to
ABA (nced1, nced2, ao1, ao2). In contrast, drought significantly reduced the
expression of four genes related to ETH receptors (ers1, ers2 etr1, etr2) and one
gene related to PCD (dad1). In summary, the results indicated that drought stress
caused PCD to occur earlier in the endosperm of winter wheat.
PMID- 29380072
TI - Sleep Disturbance and Cognitive Dysfunction in Multiple Sclerosis: a Systematic
Review.
AB - PURPOSE OF REVIEW: The present review summarizes recent research on the
association between sleep disturbance and cognitive dysfunction in MS. Assessment
methodology, domain-specific associations between sleep disturbance and cognitive
dysfunction, and implications for future research and treatment are discussed.
RECENT FINDINGS: All 12 studies included in this review found significant
associations between sleep disturbance and cognitive dysfunction; however,
results varied considerably depending on the assessment method used and the
cognitive domain assessed. Self-reported sleep disturbance generally predicted
self-report but not objective measures of cognitive dysfunction. Objective sleep
measures (e.g., polysomnography, actigraphy) generally predicted objective
impairments in processing speed and attention; however, objective sleep
disturbance was more variable in predicting performance in other cognitive
domains (e.g., memory, executive function). Sleep disturbance may help predict
future cognitive decline in MS. Results highlight the need to integrate sleep
assessment into routine MS care. Interventions aimed treating sleep disturbance
may offer promise for improving cognitive dysfunction in MS.
PMID- 29380073
TI - Threshold response and bistability in gene regulation by small noncoding RNA.
AB - In this paper, we study through mathematical modelling the combined effect of
transcriptional and translational regulation by proteins and small noncoding RNAs
(sRNA) in a genetic feedback motif that has an important role in the survival of
E. coli under stress associated with oxygen and energy availability. We show that
subtle changes in this motif can bring in drastically different effects on the
gene expression. In particular, we show that a threshold response in the gene
expression changes to a bistable response as the regulation on sRNA synthesis or
degradation is altered. These results are obtained under deterministic
conditions. Next, we study how the gene expression is altered by additive and
multiplicative noise which might arise due to probabilistic occurrences of
different biochemical events. Using the Fokker-Planck formulation, we obtain
steady-state probability distributions for sRNA concentration for the network
motifs displaying bistability. The probability distributions are found to be
bimodal with two peaks at low and high concentrations of sRNAs. We further study
the variations in the probability distributions under different values of noise
strength and correlations. The results presented here might be of interest for
designing synthetic network for artificial control.
PMID- 29380074
TI - Surface Enrichment and Depletion of the Active Ingredient in Spray Dried
Amorphous Solid Dispersions.
AB - PURPOSE: To study the effects of physicochemical properties of drug and polymer,
as well as the drug-polymer interactions, on the surface composition of SDDs.
METHODS: Ethanol solutions containing a model drug (IMC, NMP or FCZ) and a model
polymer (PVPK12, PVPK30 or PVP-VA) were spray dried, and the surface composition
of SDDs was analyzed by XPS. The surface tensions of pure components and their
solutions were measured using Wilhelmy plate and/or calculated using ACD/Labs.
NMR and DLS were used to obtain the diffusion coefficients of IMC, NMP, PVPK12
and PVPK30 in solvents. Flory-Huggins interaction parameters for selected drug
polymer pairs were obtained using a melting point depression method. RESULTS:
Significant surface enrichment or depletion of the drug was observed in SDDs
depending on the particular drug-polymer combination. With PVP as the dispersion
polymer, IMC and NMP were surface enriched; whereas FCZ, a hydrophilic drug, was
surface depleted. With increasing PVP molecular weight, the surface drug
concentration increased, and the effect was greater in the NMP/PVP and FCZ/PVP
systems than in the IMC/PVP system where strong drug-polymer interaction existed.
Changing the polymer from PVP to PVP-VA reduced the surface concentration of the
drug. CONCLUSIONS: The surface concentration of a SDD can be significantly
different from the bulk concentration. The main results of this work are
consistent with the notion that the relative surface tensions control surface
enrichment or depletion. Besides, the relative diffusion rates of the components
and the strength of their interactions may also affect the surface composition of
the SDDs.
PMID- 29380075
TI - Effect of escitalopram and carbidopa on bone markers in Wistar rats: a
preliminary experimental study.
AB - In view of the opposite effects of gut and brain serotonin in bone, the key role
of Wnt beta/catenin pathway in osteoblastic proliferation and the controversial
bony effects of selective serotonin reuptake inhibitors antidepressants, the
present study investigated the effects of escitalopram alone and in combination
with carbidopa (to block gut-derived serotonin) on markers of bone turnover and
Wnt signaling and micro-CT in male Wistar rats. Escitalopram (2.0 mg/kg, p.o.)
and carbidopa (10 mg/kg, p.o.) were administered daily for 40 days following
which indicators of reduced (dickkopf-1, sclerostin), and increased (alkaline
phosphatase) bone formation and bone resorption markers (receptor activator of
nuclear factor kappaB ligand, tartrate-resistant acid phosphatase 5b) were
determined. Our results indicated that escitalopram adversely affected bone as
indicated by reduced bone formation and enhanced bone resorption. Further, the
effects of escitalopram on bone formation were possibly mediated through gut
serotonin while the mechanisms responsible for effects on resorption seem
unrelated to gut serotonin. The promising effects of carbidopa on bone formation,
as observed in our study, open up exciting possibilities for this drug requiring
further investigations.
PMID- 29380076
TI - Effect of Microenvironmental pH Modulation on the Dissolution Rate and Oral
Absorption of the Salt of a Weak Acid - Case Study of GDC-0810.
AB - PURPOSE: The purpose of this work is to investigate the effect of
microenvironmental pH modulation on the in vitro dissolution rate and oral
absorption of GDC-0810, an oral anti-cancer drug, in human. METHODS: The pH
solubility profile of GDC-0810 free acid and pHmax of its N-Methyl-D-glucamine
(NMG) salt were determined. Precipitation studies were conducted for GDC-0810 NMG
salt at different pH values. GDC-0810 200-mg dose NMG salt tablet formulations
containing different levels of sodium bicarbonate as the pH modifier were tested
for dissolution under the dual pH-dilution scheme. Three tablet formulations were
evaluated in human as a part of a relative bioavailability study. A 200-mg dose
of GDC-0810 was administered QD with low fat food. RESULTS: Intrinsic solubility
of GDC-0810 free acid was found to be extremely low. The pHmax of the NMG salt
suggested a strong tendency for form conversion to the free acid under GI
conditions. In vitro dissolution profiles showed that the dissolution rate and
extent of GDC-0810 increased with increasing the level of sodium bicarbonate in
the formulation. The human PK data showed a similar trend for the geometric mean
of Cmax and AUC0-t for formulations containing 5%, 10%, and 15% sodium
bicarbonate, but the difference is not statistically significant. CONCLUSION:
Incorporation of a basic pH modifier, sodium bicarbonate, in GDC-0810 NMG salt
tablet formulations enhanced in vitro dissolution rate of GDC-0810 via
microenvironmental pH modulation. The human PK data showed no statistically
significant difference in drug exposure from tablets containing 5%, 10%, and 15%
sodium bicarbonate.
PMID- 29380078
TI - Thermophysical properties of nanofluids.
AB - This paper discusses the current state of knowledge of the thermophysical
properties of nanofluids. The viscosity, thermal conductivity and heat transfer
of nanofluids are considered. Experimental and molecular dynamics data are
presented. It is shown that viscosity and thermal conductivity of nanofluids
generally cannot be described by classical theories. The transport coefficients
of nanofluids depend not only on the volume concentration of the particles but
also on their size and material. The viscosity increases with decreasing the
particle size while the thermal conductivity increases with increasing the
particle size. The reasons for this behavior are discussed. The heat transfer
coefficient is determined by the nanofluid flow mode (laminar or turbulent). The
use of the nanofluids as a coolant significantly affects the magnitude of the
heat transfer coefficient. In laminar flow the heat transfer coefficient of
nanofluids in all cases is much more than that of base fluids. It is shown that a
2%-nanofluid intensifies the heat exchange more than twice compared to water. The
effect of using nanofluids in turbulent mode depends not only on the thermal
conductivity of the nanofluid, but also on its viscosity.
PMID- 29380077
TI - Brevibacillus parabrevis MTCC 12105: a potential bacterium for pulp and paper
effluent degradation.
AB - A gram positive, rod shaped, bacterium was isolated from pulp and paper mill
sludge and characterized as Brevibacillus parabrevis (MTCC 12105) by biochemical
tests and 16S rRNA gene sequencing. CD and EOP stage wastewater, collected from a
leading pulp and paper mill situated in North India, was used for degradation
study. Wastewater degradation efficiency of the bacterial isolate was evaluated
by Flask study in batch mode and Reactor study in semi continuous mode. The
isolated bacterium showed a considerable reduction of the colour (59%), chemical
oxygen demand (62%) and lignin (53.8%) content of Kraft paper mill effluent at 37
degrees C after 5 days. During reactor study it reduced 42.6% Lignin, 51.6%
Colour and 60.3% COD (chemical oxygen demand) of the wastewater at 48 h RT
(retention time). The isolate was deposited at MTCC Chandigarh, India with an
accession no. MTCC 12105.
PMID- 29380079
TI - Machine Learning EEG to Predict Cognitive Functioning and Processing Speed Over a
2-Year Period in Multiple Sclerosis Patients and Controls.
AB - Event-related potentials (ERPs) show promise to be objective indicators of
cognitive functioning. The aim of the study was to examine if ERPs recorded
during an oddball task would predict cognitive functioning and information
processing speed in Multiple Sclerosis (MS) patients and controls at the
individual level. Seventy-eight participants (35 MS patients, 43 healthy age
matched controls) completed visual and auditory 2- and 3-stimulus oddball tasks
with 128-channel EEG, and a neuropsychological battery, at baseline (month 0) and
at Months 13 and 26. ERPs from 0 to 700 ms and across the whole scalp were
transformed into 1728 individual spatio-temporal datapoints per participant. A
machine learning method that included penalized linear regression used the entire
spatio-temporal ERP to predict composite scores of both cognitive functioning and
processing speed at baseline (month 0), and months 13 and 26. The results showed
ERPs during the visual oddball tasks could predict cognitive functioning and
information processing speed at baseline and a year later in a sample of MS
patients and healthy controls. In contrast, ERPs during auditory tasks were not
predictive of cognitive performance. These objective neurophysiological
indicators of cognitive functioning and processing speed, and machine learning
methods that can interrogate high-dimensional data, show promise in outcome
prediction.
PMID- 29380080
TI - Reliability of respiratory pressure measurements in ventilated and non-ventilated
patients in ICU: an observational study.
AB - BACKGROUND: Assessment of maximum respiratory pressures is a common practice in
intensive care because it can predict the success of weaning from ventilation.
However, the reliability of measurements through an intubation catheter has not
been compared with standard measurements. The aim of this study was to compare
maximum respiratory pressures measured through an intubation catheter with the
same measurements using a standard mouthpiece in extubated patients. METHODS: A
prospective observational study was carried out in adults who had been under
ventilation for at least 24 h and for whom extubation was planned. Maximal
respiratory pressure measurements were carried out before and 24 h following
extubation. RESULTS: Ninety patients were included in the analyses (median age:
61.5 years, median SAPS2 score: 42.5 and median duration of ventilation: 7 days).
Maximum respiratory pressures measured through the intubation catheter were as
reliable as measurements through a standard mouthpiece (difference in maximal
inspiratory pressure: mean bias = - 2.43 +/- 14.43 cmH2O and difference in
maximal expiratory pressure: mean bias = 1.54 +/- 23.2 cmH2O). CONCLUSION:
Maximum respiratory pressures measured through an intubation catheter were
reliable and similar to standard measures. Clinical trial registration
Retrospectively Registered in ClinicalTrials.gov (NCT02363231).
PMID- 29380081
TI - Visualization of Endoplasmic Reticulum and Mitochondria in Aurantiochytrium
limacinum by the Expression of EGFP with Cell Organelle-Specific
Targeting/Retaining Signals.
AB - Thraustochytrids are single cell marine eukaryotes that produce large amounts of
polyunsaturated fatty acids such as docosahexaenoic acid. In the present study,
we report the visualization of endoplasmic reticulum (ER) and mitochondria in a
type strain of the thraustochytrid, Aurantiochytrium limacinum ATCC MYA-1381,
using the enhanced green fluorescent protein (EGFP) with specific
targeting/retaining signals. We expressed the egfp gene with ER
targeting/retaining signals from A. limacinum calreticulin or BiP/GRP78 in the
thraustochytrid, resulting in the distribution of EGFP signals at the perinuclear
region and near lipid droplets. ER-TrackerTM Red, an authentic fluorescent probe
for the visualization of ER in mammalian cells, also stained the same region. We
observed small lipid droplets generated from the visualized ER in the early
growth phase of cell culture. Expression of the egfp gene with the mitochondria
targeting signal from A. limacinum cytochrome c oxidase resulted in the
localization of EGFP near the plasma membrane. The distribution of EGFP signals
coincided with that of MitoTracker(r) Red CMXRos, which is used to visualize
mitochondria in eukaryotes. The ER and mitochondria of A. limacinum were
visualized for the first time by EGFP with thraustochytrid cell organelle
specific targeting/retaining signals. These results will contribute to
classification of the intracellular localization of proteins expressed in ER and
mitochondria as well as analyses of these cell organelles in thraustochytrids.
PMID- 29380082
TI - Optimizing the flow adjustment of constituent concentrations via LOESS for trend
analysis.
AB - Trend analysis of stream constituent concentrations requires adjustment for
exogenous variables like discharge because concentrations often have variable
relations with flow. To remove the influence of flow on stream water quality
data, an accurate characterization of the relationship between the constituent
and streamflow is needed. One popular method, locally weighted regression
(LOESS), provides an effective means for flow-adjusting concentrations. The LOESS
fit can be tailored to the data via the smoothing parameter (f), so that the user
can avoid overfitting or oversmoothing the data. However, it is a common practice
to use a single f value when flow-adjusting water quality data for trend
analysis. This study provides a robust, automated method for determining the
optimal f value (fopt) for each dataset via an iterative K-fold cross-validation
procedure that minimizes prediction error in LOESS. The method is developed by
analyzing datasets of seven different constituents across 17 sites (119 datasets
total) from a stream monitoring program in northwest Arkansas (USA). We recommend
using 10 iterations of 10-fold cross-validation (10 * 10 CV) in order to select
fopt when flow-adjusting water quality data with LOESS. The use of a default f
value did not produce different trend interpretations for the data used here;
however, the proposed approach may be helpful in other water quality studies
which employ similar statistical fitting methods. Additionally, we provide an
implementation of the method in the R statistical computing environment.
PMID- 29380083
TI - Case series about ex vivo identification of squamous cell carcinomas by laser
induced autofluorescence and Fourier transform infrared spectroscopy.
AB - An ex vivo case series aimed at identification of normal laryngeal tissue from
laryngeal epidermoid squamous keratinized carcinoma by measuring laser-induced
autofluorescence (LIAF) and Fourier transform infrared-attenuated total
reflectance (FTIR-ATR) spectra is presented. The case series results were
obtained for paired samples extracted from three patients (exclusion: macroscopic
changes of normal vocal cord observed during surgery; surgical intervention on
vocal cord, treated only with chemotherapy or radiotherapy for carcinoma;
inclusion: men, aged 57-68, non-smokers). For LIAF analysis, a 375-nm picosecond
pulsed laser diode with 31 MHz pulse repetition rate, 100 ps full-time width at
half-maximum, and average power 0.49 MUW was used. LIAF and FTIR-ATR spectra show
noticeable differences between normal and malignant tissues. LIAF spectra
differed in shape of emitted band, peak position, and band relative intensity of
the two kinds of samples, evidencing hypsochromic shift and mean fluorescence
intensity decrease of (75.42 +/- 3)% in malignant tissue with respect to the
normal one. The lack of 1745 cm-1 band in FTIR-ATR spectra for malignant tissues
could be considered an important indicative of the presence of this kind of
tissue; moreover, it resulted a greater contribution of lipids and proteins in
normal tissue and of collagen in malignant tissue. Penetration depth of the
evanescent wave was about 2 MUm at an angle of 42 degrees . The two spectroscopic
methods are complementary, are applicable for real-time measurements, and may
enhance cancer detection and diagnostics. Results presented in this study
evidence the potential of the two methods for future in vivo studies.
PMID- 29380084
TI - Postgraduate education in dental implantology in the United Kingdom: a review.
AB - BACKGROUND: The qualified dentists in the United Kingdom (UK) are not expected to
be competent in practising implant dentistry without further training in the
subject and there is now greater emphasis on postgraduate training in Dental
Implantology. There are three main education pathways at present, yet their
training standards vary significantly. This study aims to identify UK
postgraduate academic qualifications and continuing professional development
(CPD) courses available in the field of Dental Implantology and evaluates the
current standard of the postgraduate training programmes against the Training
Standards in Implant Dentistry (TSID) guidelines from Faculty of General Dental
Practice (FGDP (UK)). RESULTS: There were eight master level courses with varying
types of qualification and study mode. The mean duration and tuition fee of the
courses were 2.50 years and L23,635.50 per course, respectively. There were eight
postgraduate diploma part-time courses with the mean duration of 2.00 years, and
the mean tuition fee of L20,177.08 per course. The mean duration for two
postgraduate certificate part-time courses was 1.00 year with the mean tuition
fee of L9441.50. However, there were no full-time study options for these
courses. All courses identified stated their compliance with TSID guidelines. The
mean duration for 13 CPD courses identified was 0.94 years and all courses were
delivered in a part-time mode. Eleven of these courses were verifiable CPD
courses, and two courses were providing certificates only. Not all courses were
fully compliant with TSID guidelines. Ten courses clearly stated that they
provide mentoring for implant placements, and the number of supervised cases
varied considerably between 1 and 50. CONCLUSION: Development of FGDP (UK) TSID
guidelines has led to a significant improvement in the quality of postgraduate
education in Dental Implantology in the UK. However, not all courses are fully
compliant with these guidelines and the provision of mentoring for implant
placements also needs to be standardised. Quality-assured training is directly
related to patient safety, and therefore all UK postgraduate training pathways
must ensure their compliance with the current guidelines.
PMID- 29380085
TI - Current perspectives on bone metastases in castrate-resistant prostate cancer.
AB - Prostate cancer is the most frequent noncutaneous cancer occurring in men. On
average, men with localized prostate cancer have a high 10-year survival rate,
and many can be cured. However, men with metastatic castrate-resistant prostate
cancer have incurable disease with poor survival despite intensive therapy. This
unmet need has led to recent advances in therapy aimed at treating bone
metastases resulting from prostate cancer. The bone microenvironment lends itself
to metastases in castrate-resistant prostate cancer, as a result of complex
interactions between the microenvironment and tumor cells. The development of
223radium dichloride (Ra-223) to treat symptomatic bone metastases has improved
survival in men with metastatic castrate-resistant prostate cancer. Moreover, Ra
223 may have effects on the tumor microenvironment that enhance its activity. Ra
223 treatment has been shown to prolong survival, and its effects on the immune
system are under investigation. Because prostate cancer affects a sizable portion
of the adult male population, understanding how it metastasizes to bone is an
important step in advancing therapy. Clinical trials that are underway should
yield new information on whether Ra-223 synergizes effectively with immunotherapy
agents and whether Ra-223 has enhancing effects on the immune system in patients
with prostate cancer.
PMID- 29380086
TI - A case for methodological overhaul and increased study of executive function in
the domestic dog (Canis lupus familiaris).
AB - Executive function (EF) allows for self-regulation of behavior including
maintaining focus in the face of distraction, inhibiting behavior that is
suboptimal or inappropriate in a given context, and updating the contents of
working memory. While EF has been studied extensively in humans, it has only
recently become a topic of research in the domestic dog. In this paper, I argue
for increased study of dog EF by explaining how it might influence the owner-dog
bond, human safety, and dog welfare, as well as reviewing the current literature
dedicated to EF in dogs. In "EF and its Application to "Man's Best Friend"
section, I briefly describe EF and how it is relevant to dog behavior. In
"Previous investigations into EF in dogs" section, I provide a review of the
literature pertaining to EF in dogs, specifically tasks used to assess abilities
like inhibitory control, cognitive flexibility, and working memory capacity. In
"Insights and limitations of previous studies" section, I consider limitations of
existing studies that must be addressed in future research. Finally, in "Future
directions" section, I propose future directions for meaningful research on EF in
dogs.
PMID- 29380087
TI - Issues in data expansion in understanding criticality in biological systems.
AB - At the point of a second-order phase transition also termed as a critical point,
systems display long-range order and their macroscopic behaviors are independent
of the microscopic details making up the system. Due to these properties, it has
long been speculated that biological systems that show similar behavior despite
having very different microscopics, may be operating near a critical point.
Recent methods in neuroscience are making it possible to explore whether
criticality exists in neural networks. Despite being large in size, many datasets
are only a minute sample of the neural system and methods have to be developed to
expand these datasets to study criticality. In this work we develop an analytical
method of expanding a dataset to the large N limit to make statements about the
critical nature of the dataset. We show that different ways of expanding the
dataset while keeping its variance and mean fixed yield different results
regarding criticality. This hence casts doubts on the established procedures for
deducing criticality of biological systems through expansion of finite-sized
datasets.
PMID- 29380088
TI - It is time to move forward into the era of Theranostics.
AB - Radionuclide therapy, which until 15 years ago included only a few approved
therapies, is gaining importance in the treatment of various malignancies. The
future of oncology will not be limited to surgery, chemo-, antibody therapies or
external radiation; it will include targeted therapy with radionuclides, which
will become the standard of care for a variety of malignant diseases in
combination or as an alternative to other therapies. Therefore there is a need to
train Nuclear Oncologists, who are able to approach oncological diseases, promote
development of radiopharmacy, understand the biology of radionuclide treatment,
apply radionuclide treatments and be able to use molecular imaging such as PET/CT
and SPECT/CT for treatment planning and dosimetry.
PMID- 29380089
TI - Young Adult Retrospective Reports of Adverse Childhood Experiences: Prevalence of
Physical, Emotional, and Sexual Abuse in Italy.
AB - This study sought to understand the prevalence of childhood abuse in Italy using
an instrument developed by the International Society for the Prevention of Child
Abuse and Neglect (ISPCAN) and adapted to the Italian context. The study
participants were 312 young adults, 106 males (34%), and 206 females (64%), aged
18-24 years, from various northwest Italian universities and workplaces, using an
ISPCAN Child Abuse Screening Tool retrospective questionnaire (ICAST-R). With
reference to comparative data from other countries, the Italian context reveals a
high incidence of emotional abuse (62%) followed by physical abuse (44%) and
sexual abuse (18%). While males reported more physical abuse, females reported
more exposure to sexual and emotional abuse. Moreover, the validity of the ICAST
instrument was evaluated. The internal consistency for the three subscales was
similar to the findings of previous studies, with Cronbach's alphas ranging from
0.51 for emotional abuse to 0.59 for sexual abuse. We observe that children
undergo forms of emotional and physical maltreatment for disciplinary purposes,
and for this reason, such abuse is excused. Greater prevention measures should be
adopted in this direction. Finally, our study has contributed to the validation
of the ICAST-R instrument for use in the Italian context.
PMID- 29380090
TI - The Relationship Between Psychological Temporal Perspective and HIV/STI Risk
Behaviors Among Male Sex Workers in Mexico City.
AB - Men who have sex with men (MSM) face a disproportionate burden of HIV incidence
and HIV prevalence, particularly young men who have sex with men. The aim of this
article was to analyze the relation between a psychological temporal perspective
and HIV/sexually transmitted infection (STI) risk behaviors among male sex
workers (MSWs), a potentially highly present-oriented group of MSM. A total
sample of 326 MSWs were included and responded to a validated psychological
scale: the Zimbardo's Time Perspective Inventory; they also reported how
frequently they engaged in protective behaviors against HIV and other STI risks
behaviors, including condom use with casual and regular partners, as well as
prior HIV testing. We adjusted structural equation models to analyze the relation
between a psychological temporal perspective and HIV/STI risk behaviors. We found
that orientation toward the past was correlated with decreased condom use with
casual partners (beta = - 0.18; CI95% - 0.23, - 0.12). Future orientation was not
associated with condom use with casual partners. Regarding condom use with
regular partners, past and present orientation were related to lower likelihood
of condom use (beta = - 0.23; CI95% - 0.29, - 0.17; beta = - 0.11; CI95% - 0.19,
0.02), whereas future orientation increased the likelihood of condom use with
regular partners (beta = 0.40; CI95% 0.31, 0.50). Time orientation (past,
present, or future) did not predict the probability of having an HIV test. The
design of HIV/STI prevention programs among vulnerable populations, such as MSM
and MSWs, should consider specific time-frame mechanisms that can importantly
affect sexual risk behavior decisions.
PMID- 29380091
TI - The gastric carcinosarcoma with severe venous invasion: a case report.
AB - BACKGROUND: Gastric carcinosarcoma with severe venous invasion is extremely rare,
and to the best of our knowledge, this is the first reported case. CASE
PRESENTATION: A 79-year-old man visited the Onomichi General Hospital following
abnormal upper gastrointestinal series findings. Laboratory data demonstrated no
anemia, and the serum carcinoembryonic antigen (CEA) and carbohydrate antigen 19
9 (CA19-9) levels were not elevated. Endoscopy identified a Borrmann type III
lesion in the cardiac end of the stomach. Abdominal contrast-enhanced
computerized tomography (CT) indicated that the lesser curvature of the stomach
wall was modestly enhanced with bulky lymph nodes. Pathological biopsy
examination identified a group 5, papillary adenocarcinoma. We diagnosed advanced
gastric cancer with bulky lymph nodes (cT4aN3M0, cStage IIIC). Following
neoadjuvant chemotherapy, the patient underwent open total gastrectomy with D2
lymph node dissection. Histopathologically, the tumor consisted of two
components: a tubular adenocarcinoma and a sarcoma. The tumor cells were mainly
intravenous and were not detected in the gastric wall stroma; this resulted in a
venous invasion. Immunohistochemical analysis revealed that the tumor was
positive for vimentin and partly positive for desmin and cytokeratin CAM5.2. We
diagnosed a true gastric carcinosarcoma with severe venous invasion. Abdominal CT
2 months after surgery showed a low density area in the liver, suggesting
metastasis. CONCLUSIONS: Carcinosarcomas with lymph node metastasis are sometimes
reported, but progression into the vasculature is very rare. We present a case of
carcinosarcoma with unusual progression characteristics.
PMID- 29380092
TI - Clinical Significance of Skeletal Muscle Loss Following Lung Resection for
Cancer: Recovery and Sarcopenia are Linked to Cancer Outcomes.
PMID- 29380093
TI - Survival Prediction in Pancreatic Ductal Adenocarcinoma by Quantitative Computed
Tomography Image Analysis.
AB - BACKGROUND: Pancreatic cancer is a highly lethal cancer with no established a
priori markers of survival. Existing nomograms rely mainly on post-resection data
and are of limited utility in directing surgical management. This study
investigated the use of quantitative computed tomography (CT) features to
preoperatively assess survival for pancreatic ductal adenocarcinoma (PDAC)
patients. METHODS: A prospectively maintained database identified consecutive
chemotherapy-naive patients with CT angiography and resected PDAC between 2009
and 2012. Variation in CT enhancement patterns was extracted from the tumor
region using texture analysis, a quantitative image analysis tool previously
described in the literature. Two continuous survival models were constructed,
with 70% of the data (training set) using Cox regression, first based only on
preoperative serum cancer antigen (CA) 19-9 levels and image features (model A),
and then on CA19-9, image features, and the Brennan score (composite pathology
score; model B). The remaining 30% of the data (test set) were reserved for
independent validation. RESULTS: A total of 161 patients were included in the
analysis. Training and test sets contained 113 and 48 patients, respectively.
Quantitative image features combined with CA19-9 achieved a c-index of 0.69
[integrated Brier score (IBS) 0.224] on the test data, while combining CA19-9,
imaging, and the Brennan score achieved a c-index of 0.74 (IBS 0.200) on the test
data. CONCLUSION: We present two continuous survival prediction models for
resected PDAC patients. Quantitative analysis of CT texture features is
associated with overall survival. Further work includes applying the model to an
external dataset to increase the sample size for training and to determine its
applicability.
PMID- 29380094
TI - "Psychological Boarding" and Community-Based Behavioral Health Crisis
Stabilization.
AB - This exploratory paper presents a case study where a community based mental
health organization forging a partnership with a local hospital system to
establish a crisis stabilization unit (CSU) to address behavioral health
emergency care. The study takes a mixed methods case study approach to address
two research questions; (a) did this approach reduce the overall length of stay
in the hospital emergency departments? (b) What challenges did the taskforce face
in implementing this CSU model? The paper shares recommendation from the
findings.
PMID- 29380095
TI - Study of tensiometric properties, microbiological and collagen content in nile
tilapia skin submitted to different sterilization methods.
AB - Tissue bioengineering development is a global concern and different materials are
studied and created to be safe, effective and with low cost. Nile Tilapia skin
had shown its biological potential as covers for the burn wound. This study
evaluates the tilapia skin histological, collagen properties and tensiometric
resistance, after treatment by different sterilization methods. Tilapia skin
samples were submitted to two sterilization processes: (1) chemical, which
consisted in two 2% chlorhexidin baths, followed by sequential baths in
increasing glycerol concentrations; and (2) radiation, when glycerolized skin
samples were submitted to gamma radiation at 25, 30 and 50 kGy. Microscopic
analyzes were performed through Haematoxylin-eosin and Picrosirius Red under
polarized light. For tensiometric analysis, traction tests were performed.
Glycerol treated skin presented a discrete collagen fibers disorganization within
the deep dermis, while irradiated skin did not show any additional change.
Throughout the steps of chemical sterilization, there was a higher proportion of
collagen with red/yellow birefringence (type I) in the skin samples up to the
first bath in chlorhexidin, when compared to samples after the first two glycerol
baths (P < 0.005). However, there was no difference in relation to total collagen
between groups. In irradiated skin, there was a larger total collagen
preservation when using until 30 kGy (P < 0.005). Tensiometric evaluation did not
show significant differences in relation to maximum load in the groups studied.
We concluded that chemical and radiation (25 and 30 kGy) are efficient methods to
sterilize Nile Tilapia skin without altering its microscopic or tensiometric
characteristics.
PMID- 29380096
TI - The Impact of Acculturation and Racialization on Self-Rated Health Status Among
U.S. Latinos.
AB - We investigate the Hispanic paradox by examining the relationship between
acculturation and health status of Latinos to understand nuances among this
growing heterogeneous population using a 2011 Latino Decisions survey. We find
that acculturation remains an important determinant of Latino health; however,
this varies based on whether the sample is restricted to immigrants or includes
all Latino adults and on the measures of acculturation employed. We find Latino
citizens reported better health than non-citizens; however, other acculturation
measures, such as language use and time in the U.S. do not have a marked effect.
Furthermore, skin color matters only for U.S.-born Latinos. Racialization is
therefore important to consider within the context of the Hispanic paradox. Our
findings suggest that some of the disadvantages stemming from minority status in
the U.S. are more prominent among Latinos who have greater experience with the
racial hierarchy of the U.S. and greater acculturation more broadly.
PMID- 29380097
TI - Depression score changes in response to sleep disordered breathing treatment with
positive airway pressure in a large clinic-based cohort.
AB - OBJECTIVE: The clinical-population impact of positive airway pressure (PAP) on
depressive symptoms in sleep disordered breathing (SDB) awaits clear
documentation. We hypothesized that depressive symptoms improve in response to
PAP treatment in a large clinical setting, and that lower socio-economic status
poses barriers to full therapeutic response. METHOD: We performed a retrospective
cohort study of SDB patients attending a tertiary ambulatory sleep center between
January 1, 2010 and December 31, 2015. Data extracted from electronic health
records included Patient Health Questionnaire-9 (PHQ-9) scores, demographic
characteristics, PAP adherence, and medical history. Paired- and two-sample t
tests were utilized to assess changes in PHQ-9 score according to PAP adherence.
Linear regression models were constructed to evaluate the association of
socioeconomic status (SES) and other clinical variables on PHQ-9 scores. RESULTS:
The cohort consisted of 1981 SDB patients (56.4 +/- 13.3 years; 45.7% female;
76.2% Caucasian). Regardless of adherence, PAP therapy improved PHQ-9 scores (-
2.4 +/- 4.6, p < 0.0001), with more robust responses in patients with baseline
PHQ-9 scores > 10 (- 4.8 +/- 5.7; p < 0.0001). Adherent patients had
significantly greater improvement (- 2.8 +/- 4.4 vs. 1.6 + 4.2, p < 0.0001), and
even greater benefit if baseline PHQ-9 was > 10 (-6.0 +/- 5.3 vs. - 3.8 +/- 4.9,
p < 0.001). Patients from lower socioeconomic status and greater depressive
symptom had worse post-PAP PHQ-9 scores. CONCLUSIONS: PAP therapy and adherence
were associated with improvement in depressive symptom severity in this clinical
cohort. Patients with lower socioeconomic status derived less therapeutic
benefit, suggesting that they faced additional barriers to treatment
effectiveness.
PMID- 29380098
TI - Impact of sleep-related breathing disorder on motor and non-motor symptoms in
multiple system atrophy.
AB - INTRODUCTION: Although several studies suggested that sleep-related breathing
disorder (SRBD) is a frequent symptom of multiple system atrophy (MSA), whether
SRBD has influence on the motor and non-motor symptoms of MSA is unknown.
METHODS: A total of 40 MSA patients and 40 healthy volunteers (HVs) underwent
video-polysomnography (PSG) in the current study. All the MSA individuals were
assessed using the Epworth Sleepiness Scale (ESS), Unified Multiple-System
Atrophy Rating Scale (UMSARS), Hamilton Depression Scale (HAMD), Hamilton Anxiety
Scale, Frontal assessment battery (FAB), Parkinson's Disease Questionnaire-39
(PDQ-39), and the Montreal Cognitive Assessment (MoCA). RESULTS: We found apnea
hypopnea index (AHI) of the MSA patients recorded by PSG was 16.4 +/- 20.2. SRBD
was found in 65% of the MSA patients (26/40), which was significantly higher than
HVs (8/40, 20%) (p = 0.0001). Compared to the MSA patients without SRBD, MSA
individuals with SRBD showed higher total UMSARS, UMSARS-II, FAB, and HAMD
scores, more frequent occurrence of excessive daytime sleepiness, hypopneas,
longer mean times for hypopneas, and obstructive sleep apnea (OSA), as well as
longer time for OSA. This study suggested that SRBD is frequently seen in MSA
patients. CONCLUSION: MSA individuals with SRBD are prone to be severe motor
deficits, depression, frontal lobe dysfunction, and excessive daytime sleepiness.
PMID- 29380099
TI - Commentary: PREMM5 threshold of 2.5% is recommended to improve identification of
PMS2 carriers.
PMID- 29380100
TI - Heterologous co-expression in E. coli of isoamylase genes from cassava Manihot
esculenta Crantz 'KU50' achieves enzyme-active heteromeric complex formation.
AB - KEY MESSAGE: Cloning of two isoamylase genes, MeISA1 and MeISA2, from cassava
(Manihot esculenta Crantz) tubers, accompanied by their co-expression in E. coli
demonstrates a requirement for heteromeric complex formation to achieve
debranching activity. Starch debranching enzyme (DBE) or isoamylase (ISA)
(EC.3.2.1.68), an important enzyme in starch metabolism, catalyses the hydrolysis
of alpha-1,6 glycosidic linkages of amylopectin. Isoforms of ISAs have been
reported in higher plants and algae (Fujita et al. in Planta 208:283-293, 1999;
Hussain et al. in Plant Cell 15:133-149, 2003; Ishizaki et al. in Agric Biol Chem
47:771-779, 1983; Mouille et al. in Plant Cell 8:1353-1366, 1996). In the current
work, cassava ISA genes were isolated from cDNA generated from total RNA from
tubers of Manihot esculanta Crantz cultivar KU50. MeISA1 and MeISA2 were
successfully amplified and cloned into a pETDuet1 vector. The putative MeISA1 and
MeISA2 proteins comprised 763 and 882 amino acids, with substantial similarity to
StISA1 and StISA2 from potato (84.4% and 68.9%, respectively). Recombinant MeISA1
and MeISA2 were co-expressed in Escherichia coli SoluBL21 (DE3). HistrapTM
Purified rMeISA1 and rMeISA2 showed approximate molecular weights of 87 and 99
kDa, respectively, by SDS-PAGE. Debranching activity was only detectable in the
column fractions where both recombinant ISA isoforms were present. The
heteromeric DBE from crude extracts of 4-5 h induced cultures analysed by gel
filtration chromatography and western blot showed combinations of rMeISA1 and
rMeISA2 at ratios of 1:1 to 4:1. Pooled fractions with DBE activity were used for
enzyme characterisation, which showed that the enzyme was specific for
amylopectin, with optimum activity at 37 degrees C and pH 7.0. Enzyme activity
was enhanced by Co2+, Mg2+ and Ca2+, but was strongly inhibited by Cu2+.
Debranched amylopectin products showed chain length distributions typical of
plant DBE.
PMID- 29380101
TI - MR arthrographic demonstration of an unusual multiplication anomaly concerning
the glenohumeral ligaments.
AB - The glenohumeral ligaments (GHLs), localized thickenings of the anterior joint
capsule, are important passive stabilizers of the shoulder joint. A 29-year-old
man was admitted for evaluation of left shoulder pain. The conventional magnetic
resonance (MR) imaging showed a thickened anterior labroligamentous complex. MR
arthrography revealed a multiplication anomaly of all the GHLs. The purpose of
this study was to demonstrate the MR arthrography findings of this unusual
anatomic variation of the GHLs.
PMID- 29380102
TI - Circumportal pancreas: prevalence, subtypes and vascular variations of 55
patients.
AB - PURPOSE: To determine the frequency of circumportal pancreas (CP), and
accompanying ductal and vascular anatomy variations. METHODS: Thin-section
multidetector computed tomography of 6813 consecutive patients was
retrospectively reviewed. Two radiologists evaluated CP presence with consensus.
Concomitant pancreatic ductal and vascular variations were recorded. The course
of the pancreatic duct was classified according to the portal vein as anteportal
and retroportal, and pancreas fusion level classified according to splenic vein
as suprasplenic, infrasplenic and mixed type. RESULTS: A total of 55 (0.8%) CP
cases were detected. Six suprasplenic subtype cases were excluded from the
classification, because the pancreatic ducts were not clearly distinguishable.
Suprasplenic anteportal (45/49, 92%), infrasplenic anteportal (2/49, 4%),
suprasplenic retroportal (1/49, 2%), and mixed anteportal subtypes (1/49, 2%)
were detected. There were vascular variations in 16 cases (29%). 13/16 (81%) of
vascular variations were detected on suprasplenic anteportal subtype. Most of
them were replaced right hepatic artery from the superior mesenteric artery (n:
6). CONCLUSIONS: CP is a rare but important pancreatic fusion anomaly.
Suprasplenic anteportal CP is the most common subtype and other subtypes are very
rare. Replaced right hepatic artery from the superior mesenteric artery is the
most frequent vascular variation associated with CP. Identifying the CP and
defining the pancreatic duct and vascular variations are important to prevent
possible complications in patients undergoing pancreatic surgery.
PMID- 29380103
TI - Anatomical and histological study of the deep neck fasciae: does the alar fascia
exist?
AB - PURPOSE: The aim of this study was to determine whether the alar fascia is a
distinct layer of the deep cervical neck fasciae. The present study also aimed to
elucidate the anatomical limits of this fascia. METHODS: Neck dissections of ten
adult cadavers were performed, layer by layer, in the retropharyngeal region,
under a powered operating microscope. Detailed dissections revealed the
anatomical limits of the deep neck fasciae. Histological descriptions were also
performed on large tissue samples collected from three cervical dissections.
RESULTS: In the ten dissections, three layers of fascia were identified and
dissected in the retropharyngeal region: a visceral fascia, a prevertebral fascia
and an alar fascia. The alar fascia appeared like a connecting band derivative of
the visceral fascia, between both vascular sheaths. It fused completely with the
visceral fascia anteriorly at the level of T2 and with the prevertebral fascia
posteriorly at the level of C1. No sagittal connection between the visceral
fascia and the prevertebral fascia was identified. The stained histological
sections confirmed the presence of the visceral and prevertebral fasciae at the
oropharyngeal level, with a third intermediate layer closely connected with the
visceral fascia. CONCLUSION: The alar fascia is a layer of the cervical neck
fascia connected with the visceral fascia from C1 to T2 levels. The anatomical
limits of this alar fascia and its relationships with the internal carotid artery
are important in the surgical management and the prognosis of deep neck
infections and retropharyngeal lymph node metastases.
PMID- 29380104
TI - Assessment of tautomer distribution using the condensed reaction graph approach.
AB - We report the first direct QSPR modeling of equilibrium constants of tautomeric
transformations (logK T ) in different solvents and at different temperatures,
which do not require intermediate assessment of acidity (basicity) constants for
all tautomeric forms. The key step of the modeling consisted in the merging of
two tautomers in one sole molecular graph ("condensed reaction graph") which
enables to compute molecular descriptors characterizing entire equilibrium. The
support vector regression method was used to build the models. The training set
consisted of 785 transformations belonging to 11 types of tautomeric reactions
with equilibrium constants measured in different solvents and at different
temperatures. The models obtained perform well both in cross-validation (Q2 =
0.81 RMSE = 0.7 logK T units) and on two external test sets. Benchmarking studies
demonstrate that our models outperform results obtained with DFT B3LYP/6-311 ++
G(d,p) and ChemAxon Tautomerizer applicable only in water at room temperature.
PMID- 29380105
TI - Coffee or Tea? A prospective cohort study on the associations of coffee and tea
intake with overall and cause-specific mortality in men versus women.
AB - Coffee and tea intake have been associated with reduced mortality, but no studies
have investigated possible substitution effects. The relationship of mortality
with coffee, tea, and substituting coffee with tea was investigated in the
Netherlands Cohort Study. In 1986, 120,852 men and women aged 55-69 years
provided information on dietary and lifestyle habits. Mortality follow-up until
1996 consisted of linkage to Statistics Netherlands. Multivariate case-cohort
analyses were based on 8665 deaths and 3166 subcohort members with complete data
on coffee, tea and confounders. Higher coffee intake was significantly,
nonlinearly related to lower overall and cause-specific mortality in women. In
men, coffee was significantly positively related to cancer and cardiovascular
mortality, and inversely to respiratory and other causes of death. Tea intake was
significantly, nonlinearly related to lower overall, cancer and cardiovascular
mortality in men, but showed no association with mortality in women. In
substitution analyses, increasing the proportion tea (replacing coffee with tea)
was significantly and nonlinearly related to lower overall, cancer and
cardiovascular mortality in men, but in women higher tea proportions were
positively associated with overall mortality (and most causes of death). This
study suggests that for men, compared to exclusive coffee drinkers, those
drinking 30-50% tea showed the lowest mortality; any tea drinking seemed better
than only coffee. For women, those who drank exclusively coffee or drinking up to
40% tea had the lowest mortality, but those drinking higher percentages of tea
were at increased mortality risk [HR = 1.41 (95% CI 1.01-1.99) for 80-100% tea
compared to exclusive coffee drinkers].
PMID- 29380106
TI - Simultaneous detection of circulating and disseminated tumor cells in primary
breast cancer patients following neoadjuvant chemotherapy.
AB - PURPOSE: Pathological complete response (pCR) is a common endpoint in neoadjuvant
chemotherapy (NACT) of primary breast cancer patients (PBC), but does not address
the systemic prevalence of minimal residual disease. In this study, we compared
pCR with the detection of circulating (CTC) and disseminated tumor cells (DTC)
following NACT, as well as their impact on survival. METHODS: Patients with PBC
receiving NACT and consecutive surgery were eligible for this study. CTCs were
detected using the CellSearch(r) system and DTCs were determined using
immunocytochemistry (cytokeratin staining with the A45-B/B3 antibody). pCR was
defined as ypT0/ypTis and ypN0. RESULTS: 58 patients were included in the
analysis with a median follow-up of 30 months. Of these, 5 (9%) presented with
CTCs and 36 (62%) with DTCs. 16 patients (28%) achieved a pCR. No significant
correlation between CTCs, DTCs and pCR and no statistically significant impact on
disease free (DFS) or overall survival (OS) was apparent. CONCLUSIONS: Both CTCs
and DTCs are detectable after NACT. As we could not show a significant
relationship between CTC detection, DTC detection and pCR, all three methods may
provide independent information regarding treatment response. Since we were
unable to show a significant impact on survival, larger prospective studies that
include CTCs and DTCs are needed. These trials should include the molecular
characterization of primary tumor tissue, CTCs and DTCs to determine whether
these cells are independent subpopulations of malignant cell clones.
PMID- 29380107
TI - Prognostic role of mesenteric lymph nodes involvement in patients undergoing
posterior pelvic exenteration during radical or supra-radical surgery for
advanced ovarian cancer.
AB - PURPOSE: The aim of this retrospective study is to analyze the prognostic role
and the practical implication of mesenteric lymph nodes (MLN) involvements in
advanced ovarian cancer (AOC). METHODS: A total of 429 patients with AOC
underwent surgery between December 2007 and May 2017. We included in the study 83
patients who had primary (PDS) or interval debulking surgery (IDS) for AOC with
bowel resection. Numbers, characteristics and surgical implication of MLN
involvement were considered. RESULTS: Eighty-three patients were submitted to
bowel resection during cytoreduction for AOC. Sixty-seven patients (80.7%)
underwent primary debulking surgery (PDS). Sixteen patients (19.3%) experienced
interval debulking surgery (IDS). 43 cases (51.8%) showed MLN involvement. A
statistic correlation between positive MLN and pelvic lymph nodes (PLN) (p =
0.084), aortic lymph nodes (ALN) (p = 0.008) and bowel infiltration deeper than
serosa (p = 0.043) was found. A longer overall survival (OS) and disease-free
survival was observed in case of negative MLN in the first 20 months of follow
up. No statistical differences between positive and negative MLN in terms of
operative complication, morbidity, Ca-125, type of surgery (radical vs supra
radical), length and site of bowel resection, residual disease and site of
recurrence were observed. CONCLUSIONS: An important correlation between positive
MLN, ALN and PLN was detected; these results suggest a lymphatic spread of
epithelial AOC similar to that of primary bowel cancer. The absence of residual
disease after surgery is an independent prognostic factor; to achieve this result
should be recommended a radical bowel resection during debulking surgery for AOC
with bowel involvement.
PMID- 29380108
TI - Do coverage mandates affect direct-to-consumer advertising for pharmaceuticals?
Evidence from parity laws.
AB - Direct-to-consumer advertising (DTCA) for prescription drugs is a relatively
unique feature of the US health care system and a source of tens of billions of
dollars in annual spending. It has also garnered the attention of researchers and
policymakers interested in its implications for firm and consumer behavior.
However, few economic studies have explored the DTCA response to public policies,
especially those mandating coverage of these products. We use detailed
advertising expenditure data to assess if pharmaceutical firms increase their
marketing efforts after the implementation of relevant state and federal health
insurance laws. We focus on mental health parity statutes and related drug
therapies-a potentially ripe setting for inducing stronger consumer demand. We
find no clear indication that firms expect greater value from DTCA after these
regulatory changes. DTCA appears driven by other considerations (e.g., product
debut); however, it remains a possibility that firms respond to these laws
through other, unobserved channels (e.g., provider detailing).
PMID- 29380109
TI - Laxative effect of repeated Daiokanzoto is attributable to decrease in aquaporin
3 expression in the colon.
AB - Daiokanzoto (DKT) exerts its laxative effect via colonic inflammation caused by
sennoside A in Daio (rhubarb). Previously, we showed that the laxative effect of
sennoside A is related to decreased aquaporin-3 (AQP3) expression in mucosal
epithelial cells due to colonic inflammation. We also found that a combination of
glycyrrhizin, an ingredient in Kanzo (glycyrrhiza), and sennoside A attenuates
the inflammatory response induced by sennoside A and reduces its laxative effect.
These findings indicate that DKT may be a long-term treatment for chronic
constipation, but there is no evidence supporting this hypothesis. In this study,
we analyzed the laxative effect of repeated DKT administration, focusing on AQP3
expression in the colon. After rats were treated for 7 days, decreased AQP3
expression and the onset of diarrhea were observed in the DKT group, but were not
seen in the Daio group either. Although the relative abundance of gut microbiota
after repeated DKT administration was similar to that after control treatment,
Daio reduced Lactobacillaceae, Bifidobacteriaceae, and Bacteroidaceae levels and
markedly increased Lachnospiraceae levels. In this study, we show that DKT has a
sustained laxative effect, even upon repeated use, probably because it maintains
decreased AQP3 expression and gut microbiota homeostasis. This outcome therefore
indicates that DKT can be used as a long-term treatment for chronic constipation.
PMID- 29380110
TI - Fall in thyroid stimulating hormone (TSH) may be an early marker of ipilimumab
induced hypophysitis.
AB - PURPOSE: Hypophysitis develops in up to 19% of melanoma patients treated with
ipilimumab, a cytotoxic T-lymphocyte antigen-4 antibody. Early detection may
avert life-threatening hypopituitarism. We aimed to assess the incidence of
ipilimumab-induced hypophysitis (IH) at a quaternary melanoma referral centre,
and to determine whether cortisol or thyroid stimulating hormone (TSH) monitoring
could predict IH onset. METHODS: We performed a retrospective cohort study of
ipilimumab-treated patients at a quaternary melanoma referral centre in
Australia. The inclusion criteria were patients with metastatic or unresectable
melanoma treated with ipilimumab monotherapy, and cortisol and TSH measurements
prior to >= 2 infusions. The main outcomes were IH incidence and TSH and cortisol
patterns in patients who did and did not develop IH. RESULTS: Of 78 ipilimumab
treated patients, 46 met the study criteria and 9/46 (20%) developed IH at a
median duration of 13.0 weeks (range 7.7-18.1) following ipilimumab initiation.
All patients whose TSH fell >= 80% compared to baseline developed IH, and, in 5/9
patients with IH, TSH fell prior to cortisol fall and IH diagnosis. Pre-cycle-4
TSH was significantly lower in those who developed IH (0.31 vs. 1.73 mIU/L, P =
0.006). TSH fall was detected at a median time of 9.2 (range 7.7-16.4) weeks
after commencing ipilimumab, and a median of 3.6 (range of - 1.4 to 9.7) weeks
before IH diagnosis. There was no difference in TSH between the groups before
cycles 1-3 or in cortisol before cycles 1-4. CONCLUSIONS: TSH fall >= 80% may be
an early marker of IH. Serial TSH measurement during ipilimumab therapy may be an
inexpensive tool to expedite IH diagnosis.
PMID- 29380111
TI - A porencephalic cyst formation in a 6-year-old female with a functioning
ventriculoperitoneal shunt: a case-based review.
AB - INTRODUCTION: Porencephalic cysts and cerebrospinal fluid (CSF) edema around the
intracranial shuntcatheter are rare complications of ventriculoperitoneal shunt
(VPS) surgery. Possible mechanisms leading to a porencephalic cyst formation in a
patient with a VPS include taut ventricle, dysfunction of distalcatheters, and
irreversible damage to the brain parenchyma caused by shunt insertion,
chemotherapy, or radiation. Most of the previous reports were due to shunt
malfunction and treatment consisted of shunt revision or removal. CASE REPORT: We
present a case of porencephalic cyst formation in a 6-year-old female as a result
ofcerebrospinal fluid under-drainage that was promptly improved with shunt valve
adjustment. COCLUSIONS: A heightened index of suspicion is required to prevent
misdiagnosis of porencephalic cysts astumors or abscesses that may lead to
unnecessary surgical explorations. Further research is needed toelucidate the
pathophysiological mechanism that causes a porencephalic cyst formation.
PMID- 29380112
TI - Use of neuroimaging measurements of optic nerve sheath diameter to assess
intracranial pressure in craniosynostosis.
AB - PURPOSE: This study aims to evaluate accuracy of optic nerve sheath diameter
(ONSD) measurements obtained by magnetic resonance imaging (MRI) in patients with
craniosynostosis (CS) for detection of high intracranial pressure (ICP) and to
correlate MRI-derived ONSD measurements with those obtained by computed
tomography (CT) scans in CS patients. METHODS: A retrospective review was
conducted on CS patients who had MRI- and age-matched controls with normal MRI.
Diagnosis of intracranial hypertension was based on presence of papilledema,
direct ICP monitoring, and/or lumbar puncture. The search also included patients
with MRI and CT done within 30 days apart. ONSDs were measured 3 mm behind the
globe on both modalities. RESULTS: The study identified 56 CS patients (mean age
3.8 +/- 3.47 years) and 49 controls (mean age 3.7 +/- 3.62 years). Mean ONSD in
patients with high ICP was significantly higher than in patients without high ICP
(P = 0.0001) and in controls (P < 0.0001). The optimal ONSD threshold for
predicting raised ICP in patients >1 year old was 6 mm (71.4% sensitivity, 89.7%
specificity). Nineteen patients with 38 single-eye MRI/CT pairs were identified.
Substantial agreement between both modalities resulted (r = 0.959, 95% CI 0.923
0.978), and Bland and Altman Plot analysis showed that 95% of measurements fell
within limits of agreement (1.96 SD; +/- 0.6 mm). CONCLUSION: In CS patients,
ONSD measured by MRI represent indirect non-invasive means of ICP assessment.
Both MRI and CT measurements of ONSD gave comparable results, and the use of CT
derived ONSD measurements may give some idea about ICP in CS patients.
PMID- 29380113
TI - Complex congenital atlantoaxial dislocation in an infant: case report.
AB - The authors report the case of congenital atlantoaxial dislocation in a 9-month
old female infant, who presented with progressive quadriparesis and respiratory
failure. The problems in management due to such an early age of presentation,
including atypical clinical presentation, unique radiological findings, limited
management options, and variable clinical outcomes discussed. This is the
youngest case of non-syndromic congenital atlantoaxial dislocation, reported to
date, and is a unique combination of lateral, rotatory, and antero-posterior
atlantoaxial dislocation.
PMID- 29380114
TI - Unique combination of myxopapillary ependymoma and conus lipoma with subcutaneous
extension in an 11-month-old child.
PMID- 29380115
TI - Effects of Diet-Induced Obesity on Tracheal Responsiveness to Methacholine,
Tracheal Visfatin Level, and Lung Histological Changes in Ovalbumin-Sensitized
Female Wistar Rats.
AB - Many studies have shown a close relationship between obesity and asthma severity.
In the present study, the effects of diet-induced obesity were examined on airway
responsiveness to methacholine in addition to visfatin level in female Wistar
rats' tracheae after sensitization with ovalbumin. The rats were divided into
four groups: control with normal diet (ND), ovalbumin (OVA)-sensitized with
normal diet (S + ND), high-fat diet (HFD), and OVA-sensitized with a high-fat
diet (S + HFD). The animals were fed for 8 weeks with standard pelts or high-fat
diet and then sensitized and challenged with OVA or saline for another 4 weeks.
At the end of the study, the tracheae were isolated and assessed for airway
responsiveness and visfatin protein levels. Diet-induced obesity groups developed
increased weight and obesity indices (p < 0.001). After sensitization with OVA
and diet-induced obesity, there were marked leftward shifts in methacholine
concentration-response curves in S + HFD group compared to other groups. Also,
maximum response was the highest (p < 0.05 to p < 0.001), EC50 was the lowest (p
< 0.05 to p < 0.001), and visfatin protein level was the highest (p < 0.05 to p <
0.01) in S + HFD. According to results, diet-induced obesity caused airway
hyperresponsiveness to methacholine and enhanced visfatin protein levels in the
tracheae of ovalbumin-sensitized female rats. Our results suggested that, in
obese ovalbumin-sensitized conditions in female rats, the local production of
adipocytokines, such as visfatin, may be increased, resulting in the
deterioration of inflammation in lungs. This finding shows a possible mechanism
for the altered phenotype in obesity-ovalbumin sensitization conditions in female
rats.
PMID- 29380117
TI - Acinar Cell Cystadenoma of the Pancreas: a Multidisciplinary and Contemporary
Approach.
PMID- 29380116
TI - Diabetes and Abdominal Aortic Calcification-a Systematic Review.
AB - PURPOSE OF REVIEW: A systematic literature review was performed to evaluate
diabetes mellitus (DM) as a risk factor of abdominal aortic calcification (AAC),
and address factors that might contribute to the development of AAC in DM
patients. RECENT FINDINGS: DM is an independent risk factor of AAC development.
Bone metabolism along with lifestyle factors among DM patients makes them more
prone to AAC. Hip and vertebral fractures, high phosphate, smoking, hypertension,
and low osteocalcin could make DM patients prone to AAC. Low levels of high
density lipoprotein (HDL), high low-density lipoprotein (LDL), high total
cholesterol/HDL ratio, low bone mineral density (BMD) may be risk factors, but
the literature is more ambiguous. Body mass index (BMI) does not appear to
increase risk of AAC. High phosphate levels and low osteocalcin levels seem to be
biomarkers of AAC in patients with diabetes. However, the association between DM
and AAC is complicated.
PMID- 29380118
TI - ALPPS for Hepatocellular Carcinoma Is Associated with Decreased Liver Remnant
Growth.
AB - BACKGROUND: Associating liver partition and portal vein ligation for staged
hepatectomy (ALPPS) has been widely described for colorectal liver metastases
with insufficient future liver remnant (FLR). However, its role in hepatocellular
carcinoma (HCC) remains poorly defined and not widely accepted. METHODS: A
retrospective comparison of clinical data, liver volumetry, histological
characteristics, and surgical outcomes between nine HCC and four non-HCC patients
who underwent ALPPS was performed. RESULTS: Patients with HCC were more likely to
have histological evidence of hepatic fibrosis (HCC vs. non-HCC, 66.7 vs. 0%, p =
0.049). Baseline demographic and disease characteristics were otherwise
comparable between both groups. FLR growth after ALPPS-Stage 1 was significantly
less in HCC patients (HCC vs. non-HCC, 154.5 vs. 251.0 ml, p = 0.012). FLR growth
was also significantly decreased in patients with hepatic fibrosis (fibrosis vs.
non-fibrosis, 157.5 vs. 247.5 ml, p = 0.033). There was no difference in post
hepatectomy liver failure (HCC vs. non-HCC, 28.6 vs. 25%, p = 0.721) or 90-day
mortality (HCC vs. non-HCC, 11.1 vs. 0%, p = NS). DISCUSSION: In our study, HCC
patients demonstrated significantly less FLR growth after ALPPS-Stage 1 compared
to non-HCC patients. Hepatic fibrosis was also found to negatively impact FLR
growth. When considering suitability for ALPPS, patients with HCC may benefit
from additional pre-operative assessment of fibrosis.
PMID- 29380119
TI - Granular cell tumor of the pancreas diagnosed by endoscopic ultrasound-guided
fine-needle aspiration.
AB - A 68-year-old woman was referred to our hospital for the treatment of bile duct
stone, pancreatic tumor, and pancreatic cysts. First, bile duct stone was removed
using endoscopic retrograde cholangiopancreatography. By abdominal contrast
enhanced computed tomography, a 12-mm diameter tumor was found in the pancreatic
body. The tumor was isodense compared with the surrounding pancreatic parenchyma
in the non-contrast phase and poorly enhanced in the arterial phase; it exhibited
gradual enhancement from the portal vein phase to the late phase. Numerous
pancreatic cysts were also observed by contrast-enhanced computed tomography. By
magnetic resonance imaging, the tumor was hypointense in T1-weighted images,
isointense in T2-weighted images, and hyperintense in diffusion-weighted images.
By magnetic resonance cholangiopancreatography, the main pancreatic duct was not
dilated, and pancreatic cysts communicated with the main pancreatic duct. The
pancreatic cysts were diagnosed as branch-type intraductal papillary mucinous
neoplasm. Histopathologic assessment of the specimens obtained by endoscopic
ultrasound-guided fine-needle aspiration revealed the tumor as benign pancreatic
granular cell tumor. The patient was followed up without surgical resection. On
contrast-enhanced computed tomography at 6 months after admission, the tumor did
not show any changes in diameter or characteristics.
PMID- 29380120
TI - Altered white matter development in children born very preterm.
AB - Children born very preterm (VPT) at less than 32 weeks' gestational age (GA) are
prone to disrupted white matter maturation and impaired cognitive development.
The aims of the present study were to identify differences in white matter
microstructure and connectivity of children born VPT compared to term-born
children, as well as relations between white matter measures with cognitive
outcomes and early brain injury. Diffusion images and T1-weighted anatomical MR
images were acquired along with developmental assessments in 31 VPT children
(mean GA: 28.76 weeks) and 28 term-born children at 4 years of age. FSL's tract
based spatial statistics was used to create a cohort-specific template and mean
fractional anisotropy (FA) skeleton that was applied to each child's DTI data.
Whole brain deterministic tractography was performed and graph theoretical
measures of connectivity were calculated based on the number of streamlines
between cortical and subcortical nodes derived from the Desikan-Killiany atlas.
Between-group analyses included FSL Randomise for voxel-wise statistics and
permutation testing for connectivity analyses. Within-group analyses between FA
values and graph measures with IQ, language and visual-motor scores as well as
history of white matter injury (WMI) and germinal matrix/intraventricular
haemorrhage (GMH/IVH) were performed. In the children born VPT, FA values within
major white matter tracts were reduced compared to term-born children. Reduced
measures of local strength, clustering coefficient, local and global efficiency
were present in the children born VPT within nodes in the lateral frontal, middle
and superior temporal, cingulate, precuneus and lateral occipital regions. Within
group analyses revealed associations in term-born children between FA, Verbal IQ,
Performance IQ and Full scale IQ within regions of the superior longitudinal
fasciculus, inferior fronto-occipital fasciculus, forceps minor and forceps
major. No associations with outcome were found in the VPT group. Global
efficiency was reduced in the children born VPT with a history of WMI and
GMH/IVH. These findings are evidence for under-developed and less connected white
matter in children born VPT, contributing to our understanding of white matter
development within this population.
PMID- 29380121
TI - Post mortem single-cell labeling with DiI and immunoelectron microscopy unveil
the fine structure of kisspeptin neurons in humans.
AB - Kisspeptin (KP) synthesizing neurons of the hypothalamic infundibular region are
critically involved in the central regulation of fertility; these cells regulate
pulsatile gonadotropin-releasing hormone (GnRH) secretion and mediate sex steroid
feedback signals to GnRH neurons. Fine structural analysis of the human KP system
is complicated by the use of post mortem tissues. To gain better insight into the
neuroanatomy of the somato-dendritic cellular compartment, we introduced the
diolistic labeling of immunohistochemically identified KP neurons using a gene
gun loaded with the lipophilic dye, DiI. Confocal microscopic studies of primary
dendrites in 100-um-thick tissue sections established that 79.3% of KP cells were
bipolar, 14.1% were tripolar, and 6.6% were unipolar. Primary dendrites branched
sparsely, contained numerous appendages (9.1 +/- 1.1 spines/100 um dendrite), and
received rich innervation from GABAergic, glutamatergic, and KP-containing
terminals. KP neuron synaptology was analyzed with immunoelectron microscopy on
perfusion-fixed specimens. KP axons established frequent contacts and classical
synapses on unlabeled, and on KP-immunoreactive somata, dendrites, and spines.
Synapses were asymmetric and the presynaptic structures contained round and
regular synaptic vesicles, in addition to dense-core granules. Although
immunofluorescent studies failed to detect vesicular glutamate transporter
isoforms in KP axons, ultrastructural characteristics of synaptic terminals
suggested use of glutamatergic, in addition to peptidergic, neurotransmission. In
summary, immunofluorescent and DiI labeling of KP neurons in thick hypothalamic
sections and immunoelectron microscopic studies of KP-immunoreactive neurons in
brains perfusion-fixed shortly post mortem allowed us to identify previously
unexplored fine structural features of KP neurons in the mediobasal hypothalamus
of humans.
PMID- 29380122
TI - Video and accelerometer-based motion analysis for automated surgical skills
assessment.
AB - PURPOSE: Basic surgical skills of suturing and knot tying are an essential part
of medical training. Having an automated system for surgical skills assessment
could help save experts time and improve training efficiency. There have been
some recent attempts at automated surgical skills assessment using either video
analysis or acceleration data. In this paper, we present a novel approach for
automated assessment of OSATS-like surgical skills and provide an analysis of
different features on multi-modal data (video and accelerometer data). METHODS:
We conduct a large study for basic surgical skill assessment on a dataset that
contained video and accelerometer data for suturing and knot-tying tasks. We
introduce "entropy-based" features-approximate entropy and cross-approximate
entropy, which quantify the amount of predictability and regularity of
fluctuations in time series data. The proposed features are compared to existing
methods of Sequential Motion Texture, Discrete Cosine Transform and Discrete
Fourier Transform, for surgical skills assessment. RESULTS: We report average
performance of different features across all applicable OSATS-like criteria for
suturing and knot-tying tasks. Our analysis shows that the proposed entropy-based
features outperform previous state-of-the-art methods using video data, achieving
average classification accuracies of 95.1 and 92.2% for suturing and knot tying,
respectively. For accelerometer data, our method performs better for suturing
achieving 86.8% average accuracy. We also show that fusion of video and
acceleration features can improve overall performance for skill assessment.
CONCLUSION: Automated surgical skills assessment can be achieved with high
accuracy using the proposed entropy features. Such a system can significantly
improve the efficiency of surgical training in medical schools and teaching
hospitals.
PMID- 29380123
TI - Impaired motor control in SIDS infants.
PMID- 29380124
TI - Investigating the sex-related geometric variation of the human cranium.
AB - Accurate sexing methods are of great importance in forensic anthropology since
sex assessment is among the principal tasks when examining human skeletal
remains. The present study explores a novel approach in assessing the most
accurate metric traits of the human cranium for sex estimation based on 80
ectocranial landmarks from 176 modern individuals of known age and sex from the
Athens Collection. The purpose of the study is to identify those distance and
angle measurements that can be most effectively used in sex assessment. Three
dimensional landmark coordinates were digitized with a Microscribe 3DX and
analyzed in GNU Octave. An iterative linear discriminant analysis of all possible
combinations of landmarks was performed for each unique set of the 3160 distances
and 246,480 angles. Cross-validated correct classification as well as
multivariate DFA on top performing variables reported 13 craniometric distances
with over 85% classification accuracy, 7 angles over 78%, as well as certain
multivariate combinations yielding over 95%. Linear regression of these variables
with the centroid size was used to assess their relation to the size of the
cranium. In contrast to the use of generalized procrustes analysis (GPA) and
principal component analysis (PCA), which constitute the common analytical work
flow for such data, our method, although computational intensive, produced easily
applicable discriminant functions of high accuracy, while at the same time
explored the maximum of cranial variability.
PMID- 29380125
TI - Towards multi-phase postmortem CT angiography in children: a study on a porcine
model.
AB - PURPOSE: Multi-phase postmortem computed tomography angiography (MPMCTA) is a
growing technique, which is standardized for adults. Application of this protocol
for a children population is not so well defined. Our study aims to adapt the
adult's protocol to children, using a porcine model. MATERIAL AND METHODS: Three
groups of 18 pigs were studied, with a weight distribution between 4 and 48 kg.
Different pump devices were used. Pigs of group I were studied using the
Virtangio(r) machine, whereas pigs of groups II and III were studied using used
the Medrad(r) machine. Study of vascular opacification was possible using a semi
quantitative method based on 26 arterial and 26 venous segments that were
distributed over the entire body from the cephalic extremity to the posterior
pawns. RESULTS: While thoracic, abdominal, and pelvic vascular opacification were
complete for each individual pig in a group, group III showed better vascular
opacification for the cephalic extremity. This was also true for anterior and
posterior pawns vascular opacification. Spearman correlation tests showed a
significant relationship between anthropometric characteristics of pigs,
injection parameters, and percentage of opacified segments. A higher percentage
of opacification was obtained for individuals of lower weights, with
comparatively lower quantities of contrast agent injected. CONCLUSION: Postmortem
computed tomography angiography (PMCTA) was possible for all the individuals,
particularly for small weights (4 kg) using the Medrad(r) machine. However,
further studies are needed to better understand the procedure.
PMID- 29380126
TI - The new TNM-based staging of breast cancer.
AB - This review describes the changes that have been implemented in the Tumor-Node
Metastasis (TNM)-based staging of breast cancers by the new, 8th editions of the
relevant Union for International Cancer Control (UICC) and American Joint
Committee on Cancer (AJCC) publications. After giving a background for TNM being
the common language of cancer staging and related activities like cancer
treatment and registration, it summarizes not only the changes but reviews some
highlights important for pathologists, and lists and comments on the differences
between the publications and diagnostic practices based on them. A section is
dedicated to the prognostic stages of breast carcinomas introduced in the AJCC
Cancer Staging Manual, but not mentioned in the UICC TNM classification of
malignant tumors. A few issues that are not appropriately covered by TNM
according to the authors' view (e.g., multifocal tumors, larger lymph node
metastases identified by molecular methods, the heterogeneous prognosis of M1
defined stage IV disease) close the review with the final thoughts raising the
vision of a potential loss of the common staging language.
PMID- 29380127
TI - Pathologist's assistant (PathA) and his/her role in the surgical pathology
department: a systematic review and a narrative synthesis.
AB - In recent decades, various highly qualified individuals have increasingly
performed tasks that have historically been handled by physicians with the aim of
reducing their workload. Over time, however, these "physician assistants" or
"physician extenders" have gained more and more responsibilities, showing that
specific tasks can be performed equally skilfully by specialised health care
professionals. The pathologist's assistant (PathA) is a highly qualified
technician who works alongside the pathologist and is responsible for the
grossing and autopsies. This profession was developed in the USA, with formal
training programmes starting in 1970 when Dr. Kinney, director of the Department
of Pathology of Duke University, Durham, NC, started the first dedicated course.
Most institutes in the USA and Canada currently employ these technical personnel
for grossing, and numerous papers published over the years demonstrate the
quality of the assistance provided by the PathA, which is equal to or sometimes
even better than the performance of pathologists. The PathA can be employed to
carry out a wide range of tasks to assist the pathologist, such as grossing (the
description and reduction of surgical specimens), judicial autopsies and
administrative and supervisory practices within the laboratory or assistance in
research, although the diagnosis is always the pathologist's responsibility.
Since this role has already been consolidated in North America, part of the
relevant literature is altogether out of date. However, the situation is
different in Europe, where there is an increasing interest in PathA, mainly
because of the benefits of their inclusion in anatomic pathology laboratories. In
the UK, biomedical scientists (BMS, the British equivalent of PathA) are involved
in many tasks both in surgical pathology and in cytopathology, which are
generally performed by medically trained staff. Several papers have been recently
published to highlight the role of BMS with the broader public. This report aimed
to conduct a systematic review of all the articles published about the PathA/BMS
and to perform a narrative synthesis. The results may contribute to the evidence
for including the PAthA/BMS within a surgical pathology laboratory organisation.
PMID- 29380128
TI - Fexapotide triflutate: results of long-term safety and efficacy trials of a novel
injectable therapy for symptomatic prostate enlargement.
AB - PURPOSE: These studies were undertaken to determine if fexapotide triflutate 2.5
mg transrectal injectable (FT) has significant long-term (LT) safety and efficacy
for the treatment of benign prostatic hyperplasia (BPH). METHODS: Two placebo
controlled double-blind randomized parallel group trials with 995 BPH patients at
72 sites treated 3:2 FT:placebo, with open-label FT crossover (CO) re-injection
in 2 trials n = 344 and long-term follow-up (LF) 2-6.75 years (mean 3.58 years,
median 3.67 years; FT re-injection CO mean 4.27 years, median 4.42 years) were
evaluated. 12 months post-treatment patients elected no further treatment,
approved oral medications, FT, or interventional treatment. Primary endpoint
variable was change in Symptom Score (IPSS) at 12 months and at LF. CO primary co
endpoints were 3-year incidence of (1) surgery for BPH in FT treated CO patients
versus patients crossed over to oral BPH medications and (2) surgery or acute
urinary retention in FT-treated CO placebo patients versus placebo patients
crossed over to oral BPH medications. 28 CO secondary endpoints assessed surgical
and symptomatic outcomes in FT reinjected patients versus conventional BPH
medication CO and control subgroups at 2 and 3 years. RESULTS: FT injection had
no significant safety differences from placebo. LF IPSS change from baseline was
higher in FT treated patients compared to placebo (median FT group improvement -
5.2 versus placebo - 3.0, p < 0.0001). LF incidence of AUR (1.08% p = 0.0058) and
prostate cancer (PCa) (1.1% p = 0.0116) were both reduced in FT treated patients.
LF incidence of intervention for BPH was reduced in the FT group versus oral BPH
medications (8.08% versus 27.85% at 3 years, p < 0.0001). LF incidence of
intervention or AUR in placebo CO group with FT versus placebo CO group with oral
medications was reduced (6.07% versus 33.3% at 3 years, p < 0.0001). 28/28
secondary efficacy endpoints were reached in LF CO re-injection studies.
CONCLUSIONS: FT 2.5 mg is a safe and effective transrectal injectable for LT
treatment of BPH. FT treated patients also had reduced need for BPH intervention,
and reduced incidence of PCa and AUR.
PMID- 29380129
TI - Tumor complexity and the impact on MIC and trifecta in robot-assisted partial
nephrectomy: a multi-center study of over 500 cases.
AB - PURPOSE: To demonstrate the surgical feasibility of robot-assisted partial
nephrectomy for complex renal masses with comparison of low/intermediate risk
versus high-risk tumors according to the PADUA score. METHODS: Since 2008, 538
robot-assisted partial nephrectomies were performed at three German robotic
centers. Both the MIC (margin, ischemia, complications) criteria and trifecta
were applied. RESULTS: 60.1% of the tumors were of low and intermediate
complexity (PADUA score 6-9, n = 326, group A), while 39.9% were highly complex
(n = 212, score >= 10, B). Median clinical tumor size was 28 in A versus 37 mm in
B (p < 0.001). There was no significant difference in terms of operative time
(160 vs. 163 min, p = 0.20); ischemia time was slightly longer for B (11 vs. 12
min, p < 0.001). There were no significant differences for intra- (3.4%, A, vs.
6.6%, B, p = 0.10) or postoperative (21.5%, A, vs. 25.5%, B, p = 0.30)
complication rates. There was a median eGFR decrease of - 9.4 (A) versus - 15.1
(B) ml/min (p < 0.001) on discharge. Histopathology revealed an R1 margin rate of
3.4% for the low/intermediate versus 6.1% for the high complexity group (p =
0.14). MIC criteria were fulfilled in 81.9% (A) versus 75.5% (B, p = 0.11) and
trifecta criteria in 74.2% (A) versus 68.93% (B, p = 0.26). CONCLUSIONS:
Complication rates, histopathology results as well as quality criteria as
indicated by MIC and trifecta were similar for high and low complexity groups.
Therefore, robot-assisted partial nephrectomy is a safe and feasible option also
in highly complex tumors.
PMID- 29380130
TI - Prostate cancer detection in patients with prior negative biopsy undergoing
cognitive-, robotic- or in-bore MRI target biopsy.
AB - PURPOSE: To evaluate the detection rate among three different targeted biopsy
approaches of robot-assisted MRI/TRUS fusion (RA-TB), mpMRI in-bore (MRGB),
cognitive fusion guidance biopsy (COG-TB) for the detection of prostate cancer
(PC) and clinically significant PC (csPC). METHODS: Between 2014 and 2016, 156
patients with a lesion on mpMRI, performed in accordance with ESUR guidelines,
due to cancer suspicion or on-going cancer suspicion after prior negative
prostate biopsy, underwent targeted biopsy with RA-TB, MRGB or COG-TB. All
lesions were rated according to PI-RADS v2. We compared detection rates between
techniques. Models were constructed to predict the detection of overall PC and
csPC and using a 1000 boot-strap sample. RESULTS: In the all cohort, 73, 45 and
38 patients underwent RA-TB, MRGB or COG-TB, respectively. Overall PC was found
in 39 (52.42%), 23 (51.11%) and 11 (28.95%) (p = 0.04) patients of RA-TB, MRGB
and COG-TB arm, respectively. As concerning the detection of csPC, it was found
in 26 (35.62%),18 (40.0%) and 9 (23.68%) patients of RA-TB, MRGB and COG-TB arm
(p = 0.27). Model 1 showed that RA-TB [OR: 10.08 (95% CI 1.95-51.97); p < 0.01]
and MRGB [OR: 12.88 (95% CI 2.36-70.25); p < 0.01] were associated with overall
PC detection in TB, while only MRGB was associated with csPC at TB (model 2) [OR:
5.72; (95% CI 1.40-23.35); p < 0.01]. The c-index for model 1 and model 2 was
0.86 and 0.85, respectively. We did not report significant complications between
groups. CONCLUSION: In-bore biopsy and MRI/TRUS fusion-guided biopsy showed
greater accuracy in detecting PC compared to cognitive fusion as modeled in a
newly established normogram.
PMID- 29380131
TI - Increasing the size of ureteral access sheath during retrograde intrarenal
surgery improves surgical efficiency without increasing complications.
AB - PURPOSE: To directly compare intraoperative and post-operative outcomes and
complications between three groups undergoing ureteroscopy: no ureteral access
sheath, 12/14 Fr and larger 14/16 Fr ureteral access sheaths (UAS). METHODS: We
retrospectively reviewed demographic, pre-operative, intraoperative, and post
operative data of 257 patients who underwent ureteroscopy for nephrolithiasis by
a single surgeon from January 2013 through July 2015. Patients were separated
into three groups: no UAS, a 12/14 Fr UAS, or 14/16 Fr UAS. Outcomes included
differences in stone-free rate, post-procedure-related events (PRE), ureteral
injury rate (measured by early post-operative hydronephrosis), and post-operative
complications. RESULTS: A UAS was used in 65.4% (168/257) patients, with 73.8%
(124/168) utilizing a 12/14 Fr UAS and 26.2% (44/168) utilizing a 14/16 Fr UAS.
Those patients in whom a 14/16 Fr UAS was employed had greater stone burden
compared to the 12/14 Fr UAS group (180.8 +/- 18.0 vs. 104 +/- 9.1 mm2, p <
0.001). When comparing 12/14 Fr and 14/16 Fr ureteral access sheaths, there was
no significant difference in ureteral injury rate, complications (10.5 vs. 11.4%,
respectively; p = 0.87), or overall stone-free rate (78.1 vs. 81.3%, p = 0.70).
The mean amount of stone burden treated per minute of operative time was more
than 30% higher in the 14/16 Fr UAS group compared to 12/14 Fr UAS group (2.11
vs. 1.62 mm2/min; p = 0.01). CONCLUSION: The use of a 14/16 Fr UAS allows for
similar stone-free rate and improved operative efficiency with no increased risk
of ureteral injury or post-operative complications when compared to the 12/14 Fr
UAS.
PMID- 29380133
TI - Non-urgent use of emergency departments: populations most likely to overestimate
illness severity.
AB - Patients' overestimation of their illness severity appears to contribute to the
national epidemic of emergency department (ED) overcrowding. This study aims to
elucidate which patient populations are more likely to have a higher estimation
of illness severity (EIS). The investigator surveyed demographic factors of all
non-urgent patients at an academic ED. The patients and physicians were asked to
estimate the patients' illness severity using a 1-10 scale with anchors. The
difference of these values was taken and compared across patient demographic
subgroups using a 2-sample t-test. One hundred and seventeen patients were
surveyed. The mean patient EIS was 5.22 (IQR 4), while the mean physician EIS was
less severe at 7.57 (IQR 3), a difference of 2.35 (p < 0.0001). Patient subgroups
with the highest EIS compared to the physicians' EIS include those who were self
referred (difference of 2.65, p = 0.042), with income <= $25,000 (difference of
2.96, p = 0.004), with less than a college education (difference of 2.83, p =
0.018), and with acute-on-chronic musculoskeletal pain (difference of 4.17, p =
0.001). If we assume the physicians' EIS is closer to the true illness severity,
patients with lower socioeconomic status, lower education status, who were self
referred, and who suffered from acute-on-chronic musculoskeletal pain are more
likely to overestimate their illness severity and may contribute to non-urgent
use of the ED. They may benefit from further education or resources for care to
prevent ED misuse. The large difference of acute-on-chronic musculoskeletal pain
may reflect a physician's bias to underestimate the severity of a patients'
illness in this particular population.
PMID- 29380132
TI - Biological response to self-etch adhesive after partial caries removal in rats.
AB - OBJECTIVES: The purposes of this study were to evaluate a model of slow caries
progression and to investigate the performance of a self-etch adhesive system for
partial caries removal. MATERIALS AND METHODS: Rat molars were infected with
Streptococcus sobrinus 6715 culture. Different time points were analyzed: days
78, 85, and 95 (+/- 2). After this, the samples were processed for morphological
analysis. Additionally, the first molars were restored with zinc oxide and
eugenol (IRMTM; Dentsply; Brazil) or adhesive system (Clearfil SE BondTM; Kuraray
Medical; Japan) 78 days after caries induction. After, 3 or 15 days post
treatment, the animals were euthanized, and their mandibles were processed for
morphological analysis, classified by means of scores, and submitted to
statistical analysis. Subsequently, immunohistochemical analysis was performed
for osteonectin (OSN) and transforming growth factor-beta1 (TGF-beta1)
expression. RESULTS: According to the caries induction model used, on day 95
greater inflammatory infiltration (p < 0.001), and more extensive degradation of
secondary/primary dentin were demonstrated than on day 78 (p < 0.05).
Furthermore, the restorative materials presented similar performance (p > 0.05)
and proved to be fundamental to control the carious lesion. The TGF-beta1 and OSN
were shown to be active during the caries process. CONCLUSIONS: The slow caries
lesion model was feasible for morphological analysis of the dentin-pulp complex.
The self-etch adhesive system triggered no acute inflammatory infiltration or
pulp necrosis, instead it seemed to stimulate early pulp repair. CLINICAL
RELEVANCE: Clearfil SE BondTM applied directly on caries-affected dentin did not
predispose to pulp inflammation; instead, it appeared to provide early biological
benefits.
PMID- 29380134
TI - New insight into defining the lakes of the southern Baltic coastal zone.
AB - There exist many classification systems of hydrographic entities such as lakes
found along the coastlines of seas and oceans. Each system has its advantages and
can be used with some success in the area of protection and management. This
paper aims to evaluate whether the studied lakes are only coastal lakes or rather
bodies of water of a completely different hydrological and hydrochemical nature.
The attempt to create a new classification system of Polish coastal lakes is
related to the incompleteness of lake information in existing classifications.
Thus far, the most frequently used are classifications based solely on lake basin
morphogenesis or hydrochemical properties. The classifications in this paper are
based not only on the magnitude of lake water salinity or hydrochemical analysis
but also on isolation from the Baltic Sea and other sources of water. The key
element of the new classification system for coastal bodies of water is a
departure from the existing system used to classify lakes in Poland and the
introduction of ion-"tracking" methods designed to identify anion and cation
distributions in each body of water of interest. As a result of the work, a new
classification of lakes of the southern Baltic Sea coastal zone was created.
Featured objects such as permanently brackish lakes, brackish lakes that may turn
into freshwater lakes from time to time, freshwater lakes that may turn into
brackish lakes from time to time, freshwater lakes that experience low levels of
salinity due to specific incidents, and permanently freshwater lakes. The authors
have adopted 200 mg Cl- dm-3 as a maximum value of lake water salinity. There are
many conditions that determine the membership of a lake to a particular group,
but the most important is the isolation lakes from the Baltic Sea. Changing a
condition may change the classification of a lake.
PMID- 29380135
TI - Significance of bacterial culturing of prophylactic drainage fluid in the early
postoperative period after liver resection for predicting the development of
surgical site infections.
AB - PURPOSES: The relationship between the results of bacterial drainage fluid
cultures in the early postoperative period after liver resection and the
development of surgical site infections (SSIs) is unclear. We evaluated the
diagnostic value of bacterial cultures of drainage fluid obtained on
postoperative day (POD) 1 after liver resection. METHODS: The cases of all
consecutive patients who underwent elective liver resection from January 2014 to
December 2016 were analyzed. The association between a positive culture result
and the development of SSIs was analyzed. RESULTS: A total of 195 consecutive
patients were studied. Positive drainage fluid cultures were obtained in 6
patients (3.1%). A multivariate analysis revealed that a positive drainage fluid
culture was an independent risk factor for SSIs (odds ratio: 8.04, P = 0.035),
and combined resection of the gastrointestinal tract was a risk factor for a
positive drainage fluid culture (P = 0.006). Among the patients who did not
undergo procedures involving the gastrointestinal tract, there was no association
between drainage fluid culture positivity and SSIs. CONCLUSIONS: The detection of
positive culture results for drainage fluid collected on POD 1 after liver
resection was associated with SSIs. However, among patients who did not undergo
procedures involving the gastrointestinal tract, it was not a predictor of SSIs.
PMID- 29380136
TI - National survey of de novo malignancy after solid organ transplantation in Japan.
AB - PURPOSE: In Japan, there have been no national surveys on the incidence of de
novo malignancy after solid organ transplantation, which is one of the leading
causes of death in transplant recipients. METHODS: A questionnaire was
distributed to institutions that perform solid organ transplantation in Japan,
and clinical information was collected from patients who underwent
transplantation between 2001 and 2010 and who exhibited de novo malignancies.
RESULTS: Nine thousand two hundred ten solid organ transplants (kidney, 49.9%;
liver, 45.9%; heart, 0.9%; lung, 1.2%; pancreas, 1.9%; small intestine, 0.2%)
were performed. Four hundred seventy-nine (5.2%) cases of de novo malignancy were
identified. The transplanted organs of the patients included the kidney (n = 479,
54.8%), liver (n = 186, 38.8%), heart (n = 5, 0.1%), lung (n = 18, 3.8%),
pancreas (n = 9, 1.9%), and small intestine (n = 1, 0.02%). The most common
malignancies were post-transplant lymphoproliferative disorder (n = 87) and
cancers of the kidney (n = 43), stomach (n = 41), large intestine (n = 41), and
lung (n = 36). CONCLUSIONS: This is the first national survey of the incidence of
de novo malignancy in Japan. Further study is required to identify the risk of de
novo malignancy in organ transplant recipients in comparison to the general
population, namely the standardized incidence ratio.
PMID- 29380137
TI - Automated segmentation and detection of increased uptake regions in bone
scintigraphy using SPECT/CT images.
AB - PURPOSE: To develop a method for automated detection of highly integrated sites
in SPECT images using bone information obtained from CT images in bone
scintigraphy. METHODS: Bone regions on CT images were first extracted, and bones
were identified by segmenting multiple regions. Next, regions corresponding to
the bone regions on SPECT images were extracted based on the bone regions on CT
images. Subsequently, increased uptake regions were extracted from the SPECT
image using thresholding and three-dimensional labeling. Last, the ratio of
increased uptake regions to all bone regions was calculated and expressed as a
quantitative index. To verify the efficacy of this method, a basic assessment was
performed using phantom and clinical data. RESULTS: The results of this
analytical method using phantoms created by changing the radioactive
concentrations indicated that regions of increased uptake were detected
regardless of the radioactive concentration. Assessments using clinical data
indicated that detection sensitivity for increased uptake regions was 71% and
that the correlation between manual measurements and automated measurements was
significant (correlation coefficient 0.868). CONCLUSION: These results suggested
that automated detection of increased uptake regions on SPECT images using bone
information obtained from CT images would be possible.
PMID- 29380138
TI - Relationship between collateral circulation and myocardial viability of 18F-FDG
PET/CT subtended by chronic total occluded coronary arteries.
AB - OBJECTIVE: To analyze the relationship between the collateral flow of coronary
chronic total occlusion (CTO) and myocardial viability detected by 18F
fluorodeoxyglucose (FDG) positron emission tomography/computed tomography
(PET/CT) imaging. METHODS: A prospective analysis of 104 patients diagnosed by
coronary angiography. All patients underwent resting myocardial perfusion imaging
and PET/CT within 1 week. The collateral circulation was graded with Rentrop
classification as no or poor collateral circulation in 16 CTO vessels, moderate
collateral circulation in 34 CTO vessels, and good collateral circulation in 69
CTO vessels. Myocardial viability was determined with myocardial perfusion
imaging and PET. The patterns were interpreted as mismatch, match and normal
perfusion and 18F-FDG uptake. RESULTS: There was no significant correlation
between the severity and extent of perfusion defect, myocardial viability and
collateral circulation grade. The myocardial viability was normal in mild and
moderate hypokinetic regions and decreased in severe hypokinetic and akinesis
dyskinesis regions. The presence of collateral circulation was a sensitive (89%)
but not a specific (31%) sign of myocardial viability. CONCLUSIONS: In patients
with CTO, collateral circulation does not seem to be an effective way for
predicting myocardial viability. Further analysis of PET patterns of viable
myocardium is needed to guide further revascularization and predict functional
improvement and survival benefit.
PMID- 29380139
TI - Involuntary and voluntary recall of musical memories: A comparison of temporal
accuracy and emotional responses.
AB - Comparisons between involuntarily and voluntarily retrieved autobiographical
memories have revealed similarities in encoding and maintenance, with differences
in terms of specificity and emotional responses. Our study extended this research
area into the domain of musical memory, which afforded a unique opportunity to
compare the same memory as accessed both involuntarily and voluntarily.
Specifically, we compared instances of involuntary musical imagery (INMI, or
"earworms")-the spontaneous mental recall and repetition of a tune-to deliberate
recall of the same tune as voluntary musical imagery (VMI) in terms of recall
accuracy and emotional responses. Twenty participants completed two 3-day tasks.
In an INMI task, participants recorded information about INMI episodes as they
occurred; in a VMI task, participants were prompted via text message to
deliberately imagine each tune they had previously experienced as INMI. In both
tasks, tempi of the imagined tunes were recorded by tapping to the musical beat
while wearing an accelerometer and additional information (e.g., tune name,
emotion ratings) was logged in a diary. Overall, INMI and VMI tempo measurements
for the same tune were strongly correlated. Tempo recall for tunes that have
definitive, recorded versions was relatively accurate, and tunes that were
retrieved deliberately (VMI) were not recalled more accurately in terms of tempo
than spontaneous and involuntary instances of imagined music (INMI). Some
evidence that INMI elicited stronger emotional responses than VMI was also
revealed. These results demonstrate several parallels to previous literature on
involuntary memories and add new insights on the phenomenology of INMI.
PMID- 29380141
TI - A close-up view of the wood cell wall ultrastructure and its mechanics at
different cutting angles by atomic force microscopy.
AB - MAIN CONCLUSION: AFM measurements on spruce sample cross-sections reveal that the
structural appearance of the S2 layer changes from a network structure to a
concentric lamellar texture depending on the cutting angle. The structural
assembly of wood constituents within the secondary cell wall has been subject of
numerous studies over the last decades, which has resulted in contradicting
models on the spatial arrangement and orientation of the wood macromolecules.
Here, we use multichannel atomic force microscopy by means of quantitative
imaging, to gain new insights into the macromolecular assembly. Cross-sections of
spruce wood, which had been cut at different angles ranging from 0 degrees to 30
degrees were investigated. Strikingly, depending on the cutting angle, the
structural appearance of the S2 layer changed from a network-like structure to a
distinct concentric lamellar texture. This makes us conclude that the often
visualized lamellar organization of the secondary cell wall is not the
consequence of a continuous inherent ring pattern, but rather a result of the
specific surface cross-section appearance of cellulose aggregates at larger
cutting angles. By analyzing the recorded force distance curves in every pixel, a
nano-mechanical characterization of the secondary cell wall was conducted.
Substantially lower indentation modulus values were obtained compared to
nanoindentation values reported in the literature. This is potentially due to a
smaller interaction volume of the probe with a by far less deep indentation.
PMID- 29380142
TI - Therapeutic Inertia and Treatment Intensification.
AB - PURPOSE OF REVIEW: This review aims to emphasize how therapeutic inertia, the
failure of clinicians to intensify treatment when blood pressure rises or remains
above therapeutic goals, contributes to suboptimal blood pressure control in
hypertensive populations. RECENT FINDINGS: Studies reveal that the therapeutic
inertia is quite common and contributes to suboptimal blood pressure control.
Quality improvement programs and standardized approaches to support
antihypertensive treatment intensification are ways to combat therapeutic
inertia. Furthermore, programs that utilize non-physician medical professionals
such as pharmacists and nurses demonstrate promise in mitigating the effects of
this important problem. Therapeutic inertia impedes antihypertensive management
and requires a broad effort to reduce its effects. There is an ongoing need for
renewed focus and research in this area to improve hypertension control.
PMID- 29380144
TI - Contemporary Perspectives in Durable Mechanical Circulatory Support: What Did We
Learn in the Last 3 Years?
AB - PURPOSE OF REVIEW: In this paper, we will review developments in the field of
durable mechanical circulatory support over the past 3 years. RECENT FINDINGS:
The role of left ventricular assist device (LVAD) placement in non-inotrope
dependent ambulatory heart failure patients remains controversial in light of
recent clinical trials. New devices are on the horizon for destination therapy in
advanced heart failure patients. The concept of hemocompatibility and the
calculation of hemocompatibility scores represent a novel approach to common
adverse events. Recent research in mechanical circulatory support has impacted
our approach to durable LVAD therapy and set the stage for further advancements
in the field.
PMID- 29380143
TI - Biomarker responses of Peromyscus leucopus exposed to lead and cadmium in the
Southeast Missouri Lead Mining District.
AB - Biomarker responses and histopathological lesions have been documented in
laboratory mammals exposed to elevated concentrations of lead and cadmium. The
exposure of white-footed mice (Peromyscus leucopus) to these metals and the
potential associated toxic effects were examined at three contaminated sites in
the Southeast Missouri Lead Mining District and at a reference site in MO, USA.
Mice from the contaminated sites showed evidence of oxidative stress and reduced
activity of red blood cell delta-aminolevulinic acid dehydratase (ALAD).
Histological examinations of the liver and kidney, cytologic examination of blood
smears, and biomarkers of lipid peroxidation and DNA damage failed to show
indications of toxic effects from lead. The biomagnification factor of cadmium
(hepatic concentration/soil concentration) at a site with a strongly acid soil
was 44 times the average of the biomagnification factors at two sites with
slightly alkaline soils. The elevated concentrations of cadmium in the mice did
not cause observable toxicity, but were associated with about a 50% decrease in
expected tissue lead concentrations and greater ALAD activity compared to the
activity at the reference site. Lead was associated with a decrease in
concentrations of hepatic glutathione and thiols, whereas cadmium was associated
with an increase. In addition, to support risk assessment efforts, we developed
linear regression models relating both tissue lead dosages (based on a previously
published a laboratory study) and tissue lead concentrations in Peromyscus to
soil lead concentrations.
PMID- 29380145
TI - Performance Assessment of Pharmacotherapy: Results from a Content Validity Survey
of the Psychopharmacotherapy-Structured Clinical Observation (P-SCO) Tool.
AB - OBJECTIVE: The Psychopharmacotherapy-Structured Clinical Observation (P-SCO) tool
is designed to assess performance of a medication management visit and to enhance
feedback. Prior research indicated that the P-SCO was feasible to implement in a
resident clinic and generated behaviorally specific, high-quality feedback. This
research also highlighted problems with some of the instrument's items. This
study seeks to improve the items. METHODS: The authors initially revised the P
SCO items based on the problems identified by a prior study. Next, these items
were iteratively modified by experts in clinical pharmacotherapy and educational
assessment. Forty-five items emerged. Finally, faculty attending an annual
department education retreat rated each item on its relevance (4-point scale) and
provided comments on how the item might be revised. For final inclusion, an item
must have met a quantitative threshold (i.e., content validity index equal to or
greater than 0.8 and the lower end of the asymmetric confidence interval equal to
or greater than 3.0) and received comments that were supportive. RESULTS: Forty
one of the 45 items had strong quantitative support. However, the comments
endorsed lumping a number of items in order to decrease overlap between items and
to shorten the instrument. This process resulted in the further elimination of 15
items. CONCLUSIONS: The revised 26-item P-SCO builds upon prior evidence of
feasibility and utility and now possesses additional evidence of content
validity. The use of the tool should enhance feedback and improve the capacity of
educational programs to assess performance.
PMID- 29380146
TI - Pseudomalignant osteoblastoma of the odontoid process.
AB - INTRODUCTION: The anterior elements of the spine, particularly the odontoid
processes, are a rare location for osteoblastomas. Pseudomalignant osteoblastomas
are themselves rare histologic types and are also extremely rare in this
location. Most osteoblastomas are Enneking stage 2 lesions; less frequently, they
can be more aggressive with extra-capsular extension (Enneking stage 3). En bloc
resection is recommended for aggressive lesions, but the literature is less clear
regarding the approach to stage 2 tumors, particularly those with pseudomalignant
histologic features. CASE REPORT: A 6-year-old male child presented with a type
III pathologic fracture of the odontoid. The fracture healed but upon 6-month
follow-up CT scanning, an expansile lesion was detected. Surgical biopsy revealed
an osteoblastoma which was treated with intralesional excision. Meanwhile, the
excised specimen showed histological features of a pseudomalignant osteoblastoma.
Despite this diagnosis, no further treatment was undertaken. At a 10-year follow
up, the patient was free from pain and had full range of motion of the cervical
spine; no recurrence was detected. CONCLUSION: This unique case of odontoid
osteoblastoma illustrates that malignant behavior may not be predicted only by
the presence of pseudomalignant features on histology.
PMID- 29380147
TI - Meet the ExpertsTM.
PMID- 29380148
TI - Real-time ultrasound-MRI fusion image virtual navigation for locating intraspinal
tumour in a pregnant woman.
AB - BACKGROUND: Standard fluoroscopic guidance (C-arm fluoroscopy) has been routinely
used for intraoperative localization of spinal level for surgical removal of
intraspinal tumour, while it is not suitable for selected patients, e.g. pregnant
women, who need to avoid radiation exposure. Fusion imaging of real-time
ultrasound (US) and magnetic resonance imaging (MRI) is a radiation-free
technique which has been reported to have good localization accuracy in managing
several conditions. CLINICAL PRESENTATION: A 37-year-old pregnant patient,
presented with a progressively aggravating lower back pain for 20 days and was
incapable of lying supine with lower extremities swelling for 1 week, was
referred to our hospital in her 18th week of gestation. Lumbar MRI identified an
L1 level intraspinal lesion, and surgery was planned. To avoid the ionizing
radiation generated by fluoroscopy, volume navigation technique (VNT) based
fusion imaging of US and MRI was used to localize the intraspinal lesion, which
was removed entirely via minimally invasive interlaminar approach. Pathological
examination confirmed the diagnosis of ependymoma of the conus medullaris. Her
symptoms were largely relieved after the operation, and a healthy baby was
delivered at the 40th week of pregnancy. CONCLUSION: We presented the first case
of using VNT based fusion imaging of real-time US/MRI to guide the surgical
resection of an intraspinal tumour. Future study with larger patient number is
needed to validate this technique as an alternative to fluoroscopy in patients
who need to avoid radiation exposure.
PMID- 29380149
TI - Litter size reduction accentuates maternal care and alters behavioral and
physiological phenotypes in rat adult offspring.
AB - Maternal behavior has a substantial impact on the behavioral, endocrine, and
neural development of the pups. This study investigated the effect of altering
the neonatal nutritional environment by modifying the litter size on maternal
care and anxiety- and fear-like behaviors in rats during adulthood. On postnatal
day (PND) 2, litters were adjusted to a small litter (SL) size of three pups per
dam or normal litter (NL) size of 12 pups per dam. Maternal behaviors were scored
daily during lactation (PND2-21). The weight gain, food intake, adiposity, and
biochemical landmarks of offspring rats were evaluated. On PND60, performances in
the open field, elevated plus-maze (EPM), and fear conditioning test were
measured. The reduction of the litter size enhanced maternal care in lactating
rats, increasing the arched-back posture and licking pups. SL offspring exhibited
accelerated weight gain, hyperphagia, increased visceral fat mass, dyslipidemia,
and hyperleptinemia in adulthood. The SL offspring of both sexes showed an
increase in the anti-thigmotactic effect in the open field, an intact anxious
phenotype in the EPM, and a decrease in the time spent freezing during the fear
conditioning test, compared to NL. The neonatal environment as determined by
litter size plays a crucial role in programming the adult metabolic phenotype as
well as behavioral responses to stressful stimuli, with an impact on anxiety-like
and fear behaviors. These behavioral changes in offspring may be, at least in
part, a result of increased maternal care.
PMID- 29380150
TI - Dynamic metabolic control: towards precision engineering of metabolism.
AB - Advances in metabolic engineering have led to the synthesis of a wide variety of
valuable chemicals in microorganisms. The key to commercializing these processes
is the improvement of titer, productivity, yield, and robustness. Traditional
approaches to enhancing production use the "push-pull-block" strategy that
modulates enzyme expression under static control. However, strains are often
optimized for specific laboratory set-up and are sensitive to environmental
fluctuations. Exposure to sub-optimal growth conditions during large-scale
fermentation often reduces their production capacity. Moreover, static control of
engineered pathways may imbalance cofactors or cause the accumulation of toxic
intermediates, which imposes burden on the host and results in decreased
production. To overcome these problems, the last decade has witnessed the
emergence of a new technology that uses synthetic regulation to control
heterologous pathways dynamically, in ways akin to regulatory networks found in
nature. Here, we review natural metabolic control strategies and recent
developments in how they inspire the engineering of dynamically regulated
pathways. We further discuss the challenges of designing and engineering dynamic
control and highlight how model-based design can provide a powerful formalism to
engineer dynamic control circuits, which together with the tools of synthetic
biology, can work to enhance microbial production.
PMID- 29380151
TI - Membrane engineering via trans-unsaturated fatty acids production improves
succinic acid production in Mannheimia succiniciproducens.
AB - Engineering of microorganisms to produce desired bio-products with high titer,
yield, and productivity is often limited by product toxicity. This is also true
for succinic acid (SA), a four carbon dicarboxylic acid of industrial importance.
Acid products often cause product toxicity to cells through several different
factors, membrane damage being one of the primary factors. In this study, cis
trans isomerase from Pseudomonas aeruginosa was expressed in Mannheimia
succiniciproducens to produce trans-unsaturated fatty acid (TUFA) and to
reinforce the cell membrane of M. succiniciproducens. The engineered strain
showed significant decrease in membrane fluidity as production of TUFA enabled
tight packing of fatty acids, which made cells to possess more rigid cell
membrane. As a result, the membrane-engineered M. succiniciproducens strain
showed higher tolerance toward SA and increased production of SA compared with
the control strain without membrane engineering. The membrane engineering
approach employed in this study will be useful for increasing tolerance to, and
consequently enhancing production of acid products.
PMID- 29380153
TI - Rational design of a synthetic Entner-Doudoroff pathway for enhancing glucose
transformation to isobutanol in Escherichia coli.
AB - Isobutanol as a more desirable biofuel has attracted much attention. In our
previous work, an isobutanol-producing strain Escherichia coli LA09 had been
obtained by rational redox status improvement under guidance of the genome-scale
metabolic model. However, the low transformation from sugar to isobutanol is a
limiting factor for isobutanol production by E. coli LA09. In this study, the
intracellular metabolic profiles of the isobutanol-producing E. coli LA09 with
different initial glucose concentrations were investigated and the metabolic
reaction of fructose 6-phosphate to 1, 6-diphosphate fructose in glycolytic
pathway was identified as the rate-limiting step of glucose transformation. Thus,
redesigned carbon catabolism was implemented by altering flux of sugar
metabolism. Here, the heterologous Entner-Doudoroff (ED) pathway from Zymomonas
mobilis was constructed, and the adaptation of upper and lower parts of ED
pathway was further improved with artificial promoters to alleviate the
accumulation of toxic intermediate metabolite 2-keto-3-deoxy-6-phospho-gluconate
(KDPG). Finally, the best isobutanol-producing E. coli ED02 with higher glucose
transformation and isobutanol production was obtained. In the fermentation of
strain E. coli ED02 with 45 g/L initial glucose, the isobutanol titer, yield and
average producing rate were, respectively, increased by 56.8, 47.4 and 88.1% to
13.67 g/L, 0.50 C-mol/C-mol and 0.456 g/(L * h) in a shorter time of 30 h,
compared with that of the starting strain E. coli LA09.
PMID- 29380154
TI - Development and Validation of Segmentation Method for Lung Cancer Volumetry on
Chest CT.
AB - The set of criteria called Response Evaluation Criteria In Solid Tumors (RECIST)
is used to evaluate the remedial effects of lung cancer, whereby the size of a
lesion can be measured in one dimension (diameter). Volumetric evaluation is
desirable for estimating the size of a lesion accurately, but there are several
constraints and limitations to calculating the volume in clinical trials. In this
study, we developed a method to detect lesions automatically, with minimal
intervention by the user, and calculate their volume. Our proposed method, called
a spherical region-growing method (SPRG), uses segmentation that starts from a
seed point set by the user. SPRG is a modification of an existing region-growing
method that is based on a sphere instead of pixels. The SPRG method detects
lesions while preventing leakage to neighboring tissues, because the sphere is
grown, i.e., neighboring voxels are added, only when all the voxels meet the
required conditions. In this study, two radiologists segmented lung tumors using
a manual method and the proposed method, and the results of both methods were
compared. The proposed method showed a high sensitivity of 81.68-84.81% and a
high dice similarity coefficient (DSC) of 0.86-0.88 compared with the manual
method. In addition, the SPRG intraclass correlation coefficient (ICC) was 0.998
(CI 0.997-0.999, p < 0.01), showing that the SPRG method is highly reliable. If
our proposed method is used for segmentation and volumetric measurement of
lesions, then objective and accurate results and shorter data analysis time are
possible.
PMID- 29380152
TI - In vivo biosensors: mechanisms, development, and applications.
AB - In vivo biosensors can recognize and respond to specific cellular stimuli. In
recent years, biosensors have been increasingly used in metabolic engineering and
synthetic biology, because they can be implemented in synthetic circuits to
control the expression of reporter genes in response to specific cellular
stimuli, such as a certain metabolite or a change in pH. There are many types of
natural sensing devices, which can be generally divided into two main categories:
protein-based and nucleic acid-based. Both can be obtained either by directly
mining from natural genetic components or by engineering the existing genetic
components for novel specificity or improved characteristics. A wide range of new
technologies have enabled rapid engineering and discovery of new biosensors,
which are paving the way for a new era of biotechnological progress. Here, we
review recent advances in the design, optimization, and applications of in vivo
biosensors in the field of metabolic engineering and synthetic biology.
PMID- 29380155
TI - A coupled bimodal SPECT-CT imaging and brain kinetics studies of zolmitriptan
encapsulated nanostructured polymeric carriers.
AB - The present investigation deals with preparation and characterization of anti
migraine zolmitriptan (ZMT) nanostructured polymeric carriers for nose to brain
drug targeting. The drug-loaded colloidal nanocarriers of ZMT were prepared by
modified ionic gelation of cationic chitosan with anionic sodium tripolyphosphate
and characterized for particle size, zeta potential, and entrapment efficiency.
Further, in order to investigate nose to brain drug targeting, biodistribution,
and brain kinetics studies were performed using 99mtechnetium radiolabeled
nanocarriers (99mTc-ZMTNP) in Swiss albino mice. The results were compared with
intranasal pure drug solution (99mTc-ZMT) and intravenous nanocarriers (99mTc
ZMTNP). A single photon emission computerized tomography (SPECT) radioimaging
studies were also carried out to visualize and confirm brain uptake of
nanocarriers. The optimized nanocarriers showed particle size of 161 nm,
entrapment efficiency of 80.6%, and zeta potential of + 23.7 mV. The
pharmacokinetic parameters, Cmax, and AUC0-infinity values for ZMT concentration
in the brain expressed as percent radioactivity per gram of brain in intranasal
and intravenous route of administration were calculated. The brain Cmax and AUC0
infinity values found in three groups, intranasal 99mTc-ZMTNP, intranasal 99mTc
ZMT, and intravenous 99mTc-ZMTNP were (0.427 and 1.889), (0.272 and 0.7157), and
(0.204 and 0.9333), respectively. The higher Cmax values of intranasal 99mTc
ZMTNP suggests better brain uptake as compared to other routes of administration.
The significant higher values of nose to brain targeting parameters namely, drug
targeting index (5.57), drug targeting efficiency (557.08%), and nose to brain
drug direct transport (82.05%) confirmed drug targeting to brain via nasal route.
The coupled bimodal SPECT-CT scintigrams confirm the brain uptake of intranasal
99mTc-ZMTNP demonstrating major radioactivity accumulation in brain. This study
conclusively demonstrated the greater uptake of ZMT-loaded nanocarriers by nose
to brain drug targeting, which proves promising drug delivery system.
PMID- 29380156
TI - Dairy product intake and bone properties in 70-year-old men and women.
AB - : In the present population-based study including 70-year-old men and women,
total dairy product intake was associated with a weak positive association with
tibia trabecular and cortical cross-sectional areas. PURPOSE: Milk consumption
has recently been suggested to increase fracture risk. Therefore, we aimed to
investigate associations between dairy product consumption and peripheral bone
properties. Furthermore, we explored whether consumption of milk and fermented
dairy products affected bone properties differently. METHODS: The Healthy Aging
Initiative is a population-based, cross-sectional study investigating the health
of 70-year-old men and women. Out of the 2904 individuals who met the inclusion
criteria, data on self-reported daily dairy product consumption (dl/day),
peripheral quantitative computed tomography (pQCT) examinations at the 4 and 66%
scan sites of the tibia and radius, and dual-energy X-ray absorptiometry (DXA)
scans were collected from 2040 participants. Associations between dairy product
consumption and bone properties were examined using multiple linear regression
models adjusted for sex, muscle area, meal size, dietary protein proportion,
current smoking status, and objectively measured physical activity. RESULTS:
Total dairy product intake was associated with larger trabecular (2.296 (95% CI,
0.552-4.039) mm2, per dl/day increase, p = 0.01) and cortical cross-sectional
areas (CSAs) in the tibia (1.757 (95% CI, 0.683-2.830 mm2, p = 0.001) as measured
by pQCT and higher areal bone mineral density (aBMD) of the radius (3.231 (95%
CI, 0.764-5.698) mg/cm2, p = 0.01) as measured by DXA. No other measurement in
the tibia, radius, femoral neck, or lower spine was associated significantly with
dairy product intake. Bone properties did not differ according to the type of
dairy product consumed. CONCLUSION: No evidence of a negative association between
dairy product consumption and bone health was found. Furthermore, total dairy
product consumption was associated with increased CSAs in the tibia, regardless
of dairy product type. Collectively, our findings indicate the existence of a
weak but significant positive association between dairy product consumption bone
properties in older adults.
PMID- 29380157
TI - Outcomes of surgery on patients with a clinically inapparent inguinal hernia as
diagnosed by ultrasonography.
AB - BACKGROUND: Chronic post-operative inguinal pain (CPIP) is the most significant
complication following inguinal hernia repair. Patients without a palpable hernia
prior to surgery seemed to report more CPIP. Our aim was to evaluate the effects
of surgery on patients with a clinically inapparent inguinal hernia as diagnosed
using ultrasonography. METHODS: A total of 179 hernia repairs in patients with a
positive ultrasonography but negative physical examination were analysed
retrospectively. Patients with recurrent hernias, femoral hernias or previous
surgery to the inguinal canal were excluded. The primary outcome was the presence
of chronic postoperative inguinal pain (pain > 3 months postoperatively). Data on
preoperative complaints, surgical technique and findings during ultrasonography
and surgery were also studied in relation to the development of CPIP. RESULTS: A
quarter (25.1%) of the patients reported chronic postoperative pain. Female
gender (p = 0.03), high BMI (p = 0.04) and atypical symptoms prior to surgery (p
< 0.001) were significant univariate risk factors for developing CPIP. Logistic
regression showed a significant association between atypical symptoms and CPIP
[OR = 6.31, p < 0.001, 95% CI (2.32, 17.16)], which was still present after
correction for the significant univariate variables [OR = 4.23, p = 0.02, 95% CI
(1.26, 14.21)]. CONCLUSION: Patients with a clinically inapparent inguinal hernia
as diagnosed using ultrasonography report a high incidence of CPIP after elective
hernia repair. Patients with atypical groin pain prior to surgery are especially
prone to CPIP. It is questionable whether these hernias should be classified and
treated as symptomatic inguinal hernias. The results advocate taking other causes
of groin pain into consideration before choosing surgical treatment.
PMID- 29380159
TI - Experimental characterization and constitutive modeling of the biomechanical
behavior of male human urethral tissues validated by histological observations.
AB - This work aims at observing the mechanical behavior of the membranous and spongy
portions of urethrae sampled on male cadavers in compliance with French
regulations on postmortem testing, in accordance with the Scientific Council of
body donation center of Grenoble. In this perspective, a thermostatic water tank
was designed to conduct ex vivo planar tension tests in a physiological
environment, i.e., in a saline solution at a temperature of [Formula: see text]
[Formula: see text]. In order to observe the anisotropy of the tissues, the
samples were tested in two directions. Tests consisting of a series of load
unload cycles of increasing amplitudes were performed to highlight their viscous
behavior. The results were then discussed according to the microstructure of
tissue, which was investigated using different staining methods and histological
analysis. The observed behaviors were then fitted using an anisotropic
hyperelastic or a visco-hyperelastic matrix-fiber model.
PMID- 29380158
TI - Incidence, etiology, management, and outcomes of flank hernia: review of
published data.
AB - PURPOSE: To review the published data describing the incidence, etiology,
management, and outcomes of flank hernia. METHODS: A retrospective review of
articles identified with an online search (using the terms "flank hernia", "flank
bulge", "lateral hernia", "retroperitoneal aorta hernia", and "open radical
nephrectomy") was performed. Studies exclusively on lumbar hernia or subcostal
hernia were excluded. RESULTS: All articles retained for analysis (N = 26) were
uncontrolled series or case reports; there were no controlled trials. The
incidence of incisional hernia in the flank was ~ 17% (total patients analyzed =
1,061). Flank hernia repair was accomplished successfully with a variety of
techniques, with overall mean rates of perioperative complications, chronic post
procedure pain, and recurrence equal to 20, 11, and 7%, respectively. Mesh
utilization was universal. CONCLUSIONS: The available data of outcomes of flank
hernia repair are not of high quality, and recommendations essentially consist of
expert opinions. Operative approach (open vs. laparoscopic) and mesh insertion
details have varied, but reasonable results appear possible with a number of
techniques.
PMID- 29380160
TI - PEEP titration: the effect of prone position and abdominal pressure in an ARDS
model.
AB - BACKGROUND: Prone position and PEEP can both improve oxygenation and other
parameters, but their interaction has not been fully described. Limited data
directly compare selection of mechanically "optimal" or "best" PEEP in both
supine and prone positions, either with or without changes in chest wall
compliance. To compare best PEEP in these varied conditions, we used an
experimental ARDS model to compare the mechanical, gas exchange, and hemodynamic
response to PEEP titration in supine and prone position with varied abdominal
pressure. METHODS: Twelve adult swine underwent pulmonary saline lavage and
injurious ventilation to simulate ARDS. We used a reversible model of intra
abdominal hypertension to alter chest wall compliance. Response to PEEP levels of
20,17,14,11, 8, and 5 cmH2O was evaluated under four conditions: supine, high
abdominal pressure; prone, high abdominal pressure; supine, low abdominal
pressure; and prone, low abdominal pressure. Using lung compliance determined
with esophageal pressure, we recorded the "best PEEP" and its corresponding
target value. Data were evaluated for relationships among abdominal pressure,
PEEP, and position using three-way analysis of variance and a linear mixed model
with Tukey adjustment. RESULTS: Prone position and PEEP independently improved
lung compliance (P < .0001). There was no interaction. As expected, intra
abdominal hypertension increased the PEEP needed for the best lung compliance (P
< .0001 supine, P = .007 prone). However, best PEEP was not significantly
different between prone (12.8 +/- 2.4 cmH2O) and supine (11.0 +/- 4.2 cmH2O)
positions when targeting lung compliance CONCLUSIONS: Despite complementary
mechanisms, prone position and appropriate PEEP exert their positive effects on
lung mechanics independently of each other.
PMID- 29380161
TI - Cerebellar involvement in patients withprimary Sjogren's syndrome: diagnosis and
treatment.
AB - The aim of this study is to describe the clinical features of cerebellar
involvement in patients with primary Sjogren's syndrome (pSS). We retrospectively
analyzed the manifestations, treatments, and outcomes in patients with pSS
cerebellar complication in Peking Union Medical College Hospital and cases
reported in literature. Altogether 13 patients were identified. They were 2 males
and 11 females with a mean age at disease onset of 45.2 +/- 14.6 years. Nine
(69.2%) patients went to the clinic because of ataxia, and pSS was not suspected
until accidental screening for autoantibodies. Dysarthria (7, 59.8%), limb tremor
(4, 30.8%), and nystagmus (2, 15.4%) were the rest symptoms related to
cerebellum. Of the patients, 81.8% (9/11) had abnormal cerebrospinal fluid
findings, and 11 patients (84.6%) had cerebellar atrophy in the brain MRI. Dry
eyes and dry mouth were detected in 9 (69.2%) and 7 (59.8%) patients, while
positive objective xerostomia and ocular test in 82.5% (7/8) and 100% (10/10) of
the patients, respectively. Anti-Ro/SSA antibody was positive in 12 (92.3%) and
anti-La/SSB in 6 (46.2%) patients. Glucocorticoids were applied in 12 patients
(92.3%). Cyclophosphamide (3, 20.1%), mycophenolatemofetil (1, 7.7%), and
hydroxychloroquine (4, 30.8%) were chosen as immunosuppressants or anti
inflammatory drug. During a median follow-up of 9 months (range, 1-18 months), 8
(61.5%) patients remained stable, 3 (20.1%) patients were in remission, and 2
(15.4%) patients were in progression. Clinical cerebellar complication secondary
to pSS was rare, and sometimes pSS was not suspected until accidental screening
for autoantibodies. Because the onset of cerebellar manifestation is often
insidious and rapid deteriorates, early diagnosis and empirical aggressive
glucocorticoid treatment is warranted.
PMID- 29380163
TI - Correction to: Tubulointerstitial nephritis-induced hypophosphatemic osteomalacia
in Sjogren's syndrome: a case report and review of the literature.
AB - The Funding statement was omitted from the original publication; the authors have
requested that this be noted and now presented in this article.
PMID- 29380162
TI - Therapeutic response to prednisone in relation to age in polymyalgia rheumatica:
a comparison study.
AB - The aim of this study was to evaluate the impact of age on the therapeutic
response to prednisone. This was a retrospective, monocentric study. We included
patients diagnosed with PMR, according to ACR 2012 criteria. Patients were
classified into two groups according to age (above or below 60 years old). We
registered demographic, clinical, biological, imaging data, and therapeutic
response profile. Local inflammation was evaluated at baseline with PET/CT
imaging score. The treatment was standardised. The main endpoint was a
corticosteroid dependence defined by the recurrence of PMR symptoms and/or the
increase of CRP at two times. We included 14 patients younger than 60 years old
(mean age 54 +/- 0.8) and 28 patients older than 60 (mean age 75.8 +/- 1.5). The
"young PMR" group was mainly male (60 vs 27%; p < 0.05). Both groups were similar
regarding morning stiffness, disease duration, WBC count, and CRP. The intensity
of FDG uptake was lower (score of 16.9 +/- 1.7 vs 26.5 +/- 3.0; p < 0.05), there
were more dependence on corticosteroids (60 vs 20%; p < 0.05) and methotrexate
was more necessary (35 vs 6.5%; p < 0.05) in "young PMR" group. Young patients
diagnosed with PMR are mostly men, are more dependent on corticosteroids, and
have a lower PET-CT score at baseline in comparison to elderly patients diagnosed
with PMR.
PMID- 29380164
TI - Correction to: Effect of daily low dose prednisone, divided or single daily dose,
in the treatment of African Americans with early rheumatoid arthritis.
AB - One of the author's name on this article was incorrectly spelled as "Shuling Li".
The correct spelling is "Shuling Liu" and is now presented correctly in this
article.
PMID- 29380165
TI - Impact of cardiovascular risk factors on the achievement of therapeutic goals in
psoriatic arthritis: is there any association?
AB - Cardiovascular risk factors (CVRFs) have been related to poorer responses to
systemic therapy in psoriatic arthritis (PsA). We aimed to evaluate the potential
association between CVRFs and the achievement of therapeutic goals in PsA
patients receiving systemic therapy. A cross-sectional study was carried out at
25 rheumatology outpatient clinics in Spain. PsA patients with established
disease who were treated with conventional and biologic systemic therapies were
included. The treatment goals measured were minimal disease activity (MDA) and
very low disease activity (VLDA) responses. The relationship between MDA/VLDA and
CVRFs was evaluated by uni- and multivariate models. Of a total of 227 patients,
133 (58.6%) and 26 (11.5%) patients were in MDA and VLDA, respectively. Tobacco
use (crude OR 0.54), sedentary lifestyle (crude OR 1.95), hyperuricemia (crude OR
2.01) and obesity (crude OR 1.54) were related to the likelihood of MDA in the
univariate model (p < 0.25), while in multivariate analysis, a sedentary
lifestyle (OR 3.13, 95%CI 1.50-6.53; p = 0.002) increased the odds of having
reached MDA. Obesity (crude OR 2.2) and dyslipidaemia (crude OR 1.80) were
associated with VLDA in univariate analysis, whereas dyslipidaemia (OR 5.3, 95%CI
1.7-16.6; p = 0.004) increased the odds of VLDA in the multivariate model. We
found no association between the number of CVRFs and the MDA/VLDA responses. In
this cross-sectional, multicentre study, we could not find any relationship
between CVRFs and lower odds of achieving stringent therapeutic goals in PsA. In
any case, patients with psoriatic disease should be encouraged to maintain
healthy lifestyle habits.
PMID- 29380166
TI - Correction to: Henoch-Schonlein purpura nephritis: initial risk factors and
outcomes in a Latin American tertiary center.
AB - One of the author's name on this article was incorrectly spelled as "Sylvia C. L.
Fahrat" . The correct spelling is "Sylvia C. L. Farhat" and is now presented
correctly in this article. The original article has been corrected.
PMID- 29380167
TI - Scores versus clinical profiles in therapeutic decisions: a positive example from
the Italian Medicines Agency (AIFA) decisions in the field of osteoporosis.
PMID- 29380168
TI - Rhipicephalus microplus (Acarina, Ixodidae) in Angola: evidence of its
establishment and expansion.
AB - Livestock constitutes a fundamental asset for the livelihood of rural communities
in Angola. Rhipicephalus microplus (Acarina, Ixodidae) is considered to be the
most important external parasite impacting the cattle industry in the world. The
present work used a cross-sectional study on tick species infesting cattle in
smallholder and commercial farms, to investigate the presence of R. microplus in
Angola. This species was found to be recently established in certain areas of
Cuanza-Sul and Benguela provinces. Furthermore, taking the current understanding
of the pattern of dispersal of R. microplus into account, it is probable that
this species is already present in other areas of the country. Animal movement
without the necessary control measures facilitates the dispersal of this tick
species. Consequently, R. microplus is causing rapid displacement of the native
tick species, Rhipicephalus decoloratus. The presence of R. microplus in Angola
constitutes a serious problem and poses a potential threat to the livestock
sector as well as being an important risk factor for the sustainability of the
livelihood strategies developed by smallholders.
PMID- 29380169
TI - Ticks infesting cattle and humans in the Yungas Biogeographic Province of
Argentina, with notes on the presence of tick-borne bacteria.
AB - This study was performed to determine the tick species that infest cattle and
humans throughout an altitudinal gradient in the Yungas Biogeographic Province of
Argentina. The presence of tick-borne bacteria of the genera Rickettsia,
Ehrlichia and Borrelia in the collected ticks was also evaluated. Samples of
ticks parasitizing cattle and humans were carried out in different seasons.
Questing ticks (adults and nymphs) were collected from vegetation and analyzed to
detect the presence of Rickettsia, Ehrlichia and Borrelia by a battery of
different PCRs. Five species of hard ticks were found parasitizing cattle:
Amblyomma sculptum, Amblyomma tonelliae, Amblyomma hadanii, Haemaphysalis
juxtakochi and Ixodes pararicinus. Amblyomma sculptum (immature and adults), A.
tonelliae (immature and adults), A. hadanii (larvae) and one nymph of I.
pararicinus were found attached to humans. Rickettsia amblyommatis was detected
in one nymph of A. hadanii. DNA of a Borrelia genospecies belonging to the B.
burgdorferi s.l. complex (phylogenetically related to haplotypes previously
reported in Ixodes aragaoi from Uruguay and I. pararicinus from Argentina) was
detected in adults of I. pararicinus. Amblyomma sculptum and I. pararicinus
appear to be the tick species more frequent on cattle in the YBP from Argentina,
and A. sculptum and A. tonelliae, were the main ticks found attached to humans.
The medical importance of the bacteria of the genus Rickettsia and Borrelia
detected in this work remains unknown.
PMID- 29380170
TI - Description of a cryptic thermophilic (pro)phage, CBP1 from Caldibacillus debilis
strain GB1.
AB - This study characterizes a cryptic (pro)phage-related sequence within the
Caldibacillus debilis GB1 genome, designated CBP1.CBP1 is a Siphoviridae-like
genome highly related to GBVS1 from Geobacillus sp. 6k51. The CBP1genome is a
37,315 bp region containing 69 putative ORFs with a GC content of 42% flanked on
both sides by host DNA integrated into the main bacterial chromosome (contig 16).
Bioinformatic analyses identified cassettes of genes within the CBP1 genome that
were similar in function, yet distinct in sequence, from genes previously
identified in GBVS1. All of CBP1 genes had less than 60% amino acid sequence
identity with GBVS1by tBLASTx, with the exception of the TMP repeat gene. CBP1
possessed all the necessary genes to undergo a temperate/lytic phage life cycle,
including excision, replication, structural genes, DNA packaging, and cell lyses.
Proteomic analysis of CBP1 revealed the expression of 5 proteins. One of the
expressed proteins was a transcriptional regulator protein homologous to the
bacteriophage lambda repressor protein (cI) expressed in high amounts from the
CBP1 region, consistent with a lysogenic phage in a repressed state. The CBP1
protein expression profile during host growth provides unique insight into
thermophilic Siphoviridae-like phages in the repressed state within their host
cells.
PMID- 29380171
TI - Java Tea (Orthosiphon stamineus) protected against osteoarthritis by mitigating
inflammation and cartilage degradation: a preclinical study.
AB - The effect of Orthosiphon stamineus aqueous (OSA) extract against osteoarthritis
(OA) was investigated in explant cartilage culture and in postmenopausal OA rat
model. Female rats were bilaterally ovariectomized (OVX). Osteoarthritis was
induced after surgical recovery, by intra-articular injection of monosodium
iodoacetate (MIA) into the right knee. Rats were grouped (n = 8) into: healthy
sham control; non-treated OA; OA + diclofenac (positive control 5 mg/kg); and two
doses OSA (150-300 mg/kg). After 4 weeks' treatment, rats were evaluated for OA
related parameters and biomarkers. The OSA reduced proteoglycan and ROS release
from the cartilage explants under inflammatory (IL-1b) conditions. In the OA
induced rats' cartilages, the OSA downregulated the mRNA expressions for IL
1beta, IL-6, IL-10, TNF-alpha, NF-kappabeta, NOS2, PTGS2, PTGER2, ACAN, COL2A1,
MMP1, MMP13, ADAMTS4, ADAMTS5 and TIMP1, mostly dose-dependently. The OSA reduced
the OA rats' serum levels for PGE2, CTX-II, TNF-alpha, MMP1, MMP13, PIINP, OPG,
RANKL, OC and BALP, but not dose-dependently. The OSA contained polyphenols and
flavonoids (tetramethoxyflavone). The OSA alleviated articular cartilage
degradation, inflammation, collagenase/aggrecanase activities, to improve joint
and subchondral bone structure. O. stamineus mitigated osteoarthritis by
downregulating inflammation, peptidases and aggrecanases, at a dose equivalent to
about 30 mg/kg for humans.
PMID- 29380172
TI - Continued Use of Antiplatelet Agent May Increase the Risk of Delayed Bleeding
After Endoscopic Submucosal Dissection.
PMID- 29380173
TI - Surgery Reduces Risk of Complications Even in High-Risk Veterans After Endoscopic
Therapy for Biliary Stone Disease.
AB - BACKGROUND: In otherwise healthy patients, randomized trials have shown reduced
mortality with cholecystectomy (CCY) when compared to non-operative management
after endoscopic retrograde cholangiopancreatography (ERCP) for biliary stone
disease. These findings may not apply to veterans with multiple comorbidities,
who have an increased risk of postoperative complications. AIMS: Our study
assessed the benefit of CCY among veterans with multiple comorbidities. METHODS:
Medical records of patients undergoing ERCP for biliary stone-related diseases
from July 2008 to December 2016 were reviewed. Among patients who did not undergo
CCY, risk of postoperative complications or death with CCY was estimated using
the American College of Surgeons National Surgery Quality Improvement Program
risk calculator. Charlson comorbidity index (CCI) and American Society of
Anesthesiologists classification system (ASA) were used to assess patient's
functional status. The primary outcome was incidence of recurrent biliary events
or death with non-operative management, compared to estimated risk of serious
postoperative complications or death with CCY. RESULTS: A total of 152 patients
met inclusion criteria, 81 of whom did not undergo CCY. Patients managed non
operatively were older and less medically fit than patients who underwent CCY.
Biliary complications recurred in 23 patients managed non-operatively, including
3 deaths due to cholangitis. Among patients with CCI >= 3 and ASA >= 3 who were
managed non-operatively (n = 43), the risk of serious biliary events was
significantly higher than estimated risk of serious postoperative complications
with laparoscopic CCY (26 vs 5%, p < 0.001). CONCLUSION: Our study suggests that
non-operative management is associated with a higher risk of complications than
laparoscopic CCY, even among veterans with significant comorbidities.
PMID- 29380174
TI - Residual Lesions on Capsule Endoscopy Is Associated with Postoperative Clinical
Recurrence in Patients with Crohn's Disease.
AB - BACKGROUND: In order to optimize postoperative therapy in patients with Crohn's
disease (CD), it is important to detect endoscopic recurrence preceding clinical
recurrence. However, we have little knowledge about how high the rate of residual
lesions is and whether these lesions have an influence on postoperative course or
not. AIMS: To assess residual lesions in small bowel immediately after surgery.
METHODS: Capsule endoscopy (CE) was performed immediately after surgery (< 3
months), and endoscopic activity was assessed using the Lewis score (LS) composed
of the highest tertile score (in first, second, and third tertile) and the
stenosis score (in whole small intestine). The relationship between these
residual lesions and postoperative clinical recurrence was prospectively
evaluated. RESULTS: After assessing patency using a patency capsule, CE was
performed in 25 patients. The mean LS was 751.3, and 84.0% (21/25) had endoscopic
activity. These lesions were detected by preoperative examinations in 0% and by a
serosal side view during surgery in 16.0%. Regarding the cumulative clinical
recurrence rate according to endoscopic severity (normal, mild, and moderate-to
severe) immediately after surgery, no significant difference was found. However,
comparing groups divided according to the highest tertile score, the cumulative
clinical recurrence rate was significantly higher in the group with the highest
third tertile score. Furthermore, patients with ulcers in the third tertile had a
significantly higher recurrence rate. CONCLUSIONS: Many cases with CD had
endoscopic activity immediately after "curative" surgery. These residual lesions,
especially in the distal small intestine, were associated with postoperative
clinical recurrence.
PMID- 29380176
TI - Marital Adjustment and Its Relationship with Religious Orientations Among Iranian
Infertile and Fertile Women: A Cross-Sectional Study.
AB - This study aimed to determine the status of marital adjustment and its
relationship with religious orientations in fertile and infertile women. This
study was conducted on 160 infertile and 320 fertile Muslim Iranian women. There
was significant difference in terms of marital adjustment in two groups. There
was significant relationship between marital adjustments with intrinsic religious
orientation in two groups; however, there was no significant relationship between
marital adjustments with extrinsic religious orientation. The results suggest
that intrinsic religious orientation is likely to increase marital adjustment in
fertile and infertile women. However, further studies should be performed to
assess the association of religious orientations with marital adjustment in other
communities and religions.
PMID- 29380175
TI - Combined and Alternating Topical Steroids and Food Elimination Diet for the
Treatment of Eosinophilic Esophagitis.
AB - BACKGROUND: Few studies have examined combined or alternating treatment
algorithms in eosinophilic esophagitis. AIMS: We conducted a retrospective cohort
study to ascertain the efficacy and adherence to a combined and alternating
treatment approach with topical corticosteroids and 2-food elimination diet for
pediatric EoE. METHODS: Patients were prescribed a 2-food elimination diet (milk
and soy) and topical corticosteroid (fluticasone or oral viscous budesonide) for
3 months, after which the steroid was discontinued and 2-food elimination diet
continued for 3 months. An EGD was performed at baseline, 3 and 6 months.
Clinical, endoscopic, and histologic data were extracted from electronic medical
records. Nonparametric tests assessed adherence and outcomes. RESULTS: Twenty
nine eosinophilic esophagitis cases were included (mean age 11.5 years, 61%
male). Complete adherence to combined therapy and 2-food elimination diet alone
was 75 and 79%, respectively. Median eosinophil counts decreased from 51 to 2
eosinophils/hpf (p < 0.001) after combined treatment and rebounded to 31 (p =
0.07) after 2FED alone. Dysphagia improved after both the combined and 2-food
elimination diet alone treatment approaches (52 vs. 11% and 10%; p = 0.001,
0.005). Nonsignificant improvements in endoscopic findings were documented across
the length of follow-up. CONCLUSIONS: An initial combined treatment approach
resulted in significant improvements in symptoms and histologic findings. While
symptomatic improvements continued with 2-food elimination diet alone, the
histologic improvement was not maintained. While loss to follow-up may obscure
the efficacy of 2-food elimination diet alone, a combined/alternating treatment
approach merits assessment in a larger prospective study.
PMID- 29380177
TI - Prophylactic use of the implantable cardioverter-defibrillator and its effect on
the long-term survival, cardiovascular and sudden cardiac death in nonischemic
cardiomyopathy patients-a systematic review and meta-analysis.
AB - Implantable cardioverter-defibrillator (ICD) has shown to reduce sudden cardiac
death and overall mortality in patients with dilated cardiomyopathy. The recently
published DANISH trial has shown conflicting outcomes on the long-term survival
in patients with prophylactic implantation of ICD in Non-Ischemic Cardiomyopathy
(NICM). Two independent reviewers searched MEDLINE, PUBMED, Ovid, CINAHL,
clinicaltrials.gov , and Cochrane Registry for randomized control trials (RCT's)
comparing ICD to medical treatment (MT). Six RCT's enrolling 3389 patients (ICD =
1554 and MT = 1835) were included for final analysis. The primary outcomes were
mortality comparing ICD to MT, 231 vs 337 (OR = 0.74, CI = 0.62-0.90, p = 0.002,
and I2 = 0%) favoring ICD. On comparing to amiodarone only, there were 47 deaths
in the ICD arm vs 71 deaths in amiodarone arm, (OR = 0.66, CI = 0.44-0.98, p =
0.04, I2 0%), to placebo and usual care only (excluding amiodarone); there were
225 deaths in ICD patients compared to 266 in the placebo and usual care arm, (OR
= 0.73, CI = 0.59-0.92, p = 0.007, I2 = 15%). The results of our analysis from
these six RCTs clearly support the ongoing benefit of prophylactic ICD
implantation and support current recommendations for ICD implantation in NICM
patients. More RCT's at a larger scale are needed to further elucidate benefits
of both ICD and CRT-D in this post PARADIGM era where MT is at a pinnacle in
reducing morbidity and mortality in heart failure patients.
PMID- 29380178
TI - beta-Thalassemia intermedia: a comprehensive overview and novel approaches.
AB - beta-Thalassemia intermedia is a clinical condition of intermediate gravity
between beta-thalassemia minor, the asymptomatic carrier, and beta-thalassemia
major, the transfusion-dependent severe anemia. It is characterized by a
significant clinical polymorphism, which is attributable to its genetic
heterogeneity. Ineffective erythropoiesis, chronic anemia, and iron overload
contribute to the clinical complications of thalassemia intermedia through
stepwise pathophysiological mechanisms. These complications, including
splenomegaly, extramedullary erythropoiesis, iron accumulation, leg ulcers,
thrombophilia, and bone abnormalities can be managed via fetal hemoglobin
induction, occasional transfusions, chelation, and in some cases, stem cell
transplantation. Given its clinical diversity, thalassemia intermedia patients
require tailored approaches to therapy. Here we present an overview and novel
approaches to the genetic basis, pathophysiological mechanisms, clinical
complications, and optimal management of thalassemia intermedia.
PMID- 29380179
TI - Pomalidomide with or without dexamethasone for relapsed/refractory multiple
myeloma in Japan: a retrospective analysis by the Kansai Myeloma Forum.
AB - Determinants of the efficacy and safety of pomalidomide (POM) monotherapy or POM
plus dexamethasone (DEX) (POM/DEX) for relapsed and refractory multiple myeloma
(RRMM) were examined retrospectively in a real-world clinical practice setting in
Japan. The subjects were 108 patients registered with the Kansai Myeloma Forum,
who were treated with either POM or POM/DEX. Of these, 79 (73%), 73 (68%), and 58
(54%) were resistant to bortezomib (BTZ), lenalidomide (LEN), and both BTZ and
LEN, respectively. The median overall survival (OS) was not reached. The median
time to treatment failure (TTF) was 4.4 months. The best response was recorded in
96 patients, with a 31% overall response rate (ORR) and a 79% rate of achieving
at least stable disease. Number of pre-POM regimens >= 5, non-IgG-type M-protein,
and time from initial therapy to POM or POM/DEX therapy < 2 years were associated
with shorter TTF and OS. Frequent (> 10%) severe adverse events included
neutropenia (55.1%), thrombocytopenia (33.7%), anemia (30.6%), febrile
neutropenia (12.2%), fatigue (11.2%), and anorexia (10.2%). In conclusion, POM
and POM/DEX showed substantial efficacy against RRMM, but new combination
therapies with POM are needed to improve efficacy further without causing
hematologic toxicities.
PMID- 29380181
TI - Classical Hodgkin lymphoma type post-transplant lymphoproliferative disorder in a
kidney transplant recipient: a diagnostic pitfall.
AB - We report a case of classical Hodgkin lymphoma type post-transplant
lymphoproliferative disorder (HL-PTLD) after kidney transplantation to highlight
the difficulty of distinguishing this disorder from Hodgkin lymphoma-like PTLD
(HL-like PTLD). Through this case report and literature review, we seek to
clarify definitive pathologic features to differentiate these two conditions. A
38-year-old male kidney transplant recipient who had been receiving
immunosuppressants was admitted to our hospital with unidentified high fever.
Computed tomography images and blood tests indicated a lymphoproliferative
disorder. Abdominal lymph node biopsy was performed, and microscopic examination
revealed the presence of many large atypical cells in a background of dense T
cell accumulation. The large, atypical cells were positive for Epstein-Barr Virus
(EBV)-encoded small RNAs (EBER) in situ hybridization, EBV-LMP1, CD30 and PAX5,
but negative for CD15, CD20 and CD45. Except for CD15-negativity, this
immunohistochemical pattern was consistent with that of classical Hodgkin
lymphoma. By close examination of the above immunoreactivities and the patient's
subsequent chemosensitive clinical course, we finally made a diagnosis of HL
PTLD.
PMID- 29380183
TI - Intravitreal dexamethasone implant with retinal photocoagulation for adult-onset
Coats' disease.
AB - PURPOSE: To report a case of adult-onset Coats' disease with secondary retinal
vasoproliferative tumor managed with dexamethasone intravitreal implant and
retinal photocoagulation. METHODS: Case study. RESULTS: A 41-year-old female with
counting finger vision was diagnosed with Coats' disease with secondary retinal
vasoproliferative tumor in right eye. Fundus examination revealed exudative
retinopathy involving posterior pole and a retinal tumor located in the
inferotemporal quadrant. Optical coherence tomography scan confirmed massive
exudative neurosensory detachment and fundus fluorescein angiography showed areas
of telangiectatic vessels with capillary non-perfusion. Intravitreal injection of
dexamethasone implant was done initially followed by laser photocoagulation when
the detachment resolved. There was significant improvement in patient's visual
acuity with no further recurrence of exudation. CONCLUSION: Intravitreal
dexamethasone implant Ozurdex((r)) (Allergan, Inc., Irvine, Calif., USA) may be
an effective initial therapeutic approach for Coats' disease with massive
exudation.
PMID- 29380184
TI - Ocular Demodex folliculorum: prevalence and associated symptoms in an Irish
population.
AB - PURPOSE: To investigate the prevalence of ocular Demodex folliculorum (DF) in an
Irish population. To validate a modified Ocular Surface Disease Index
questionnaire and employ it to evaluate the relationship between dry eye symptoms
and the presence of DF. METHODS: One hundred and fifty-six subjects were enrolled
in an epidemiological cross-sectional prevalence study. Each subject completed a
novel questionnaire on ocular symptoms and was assessed for the presence of DF.
Data was analysed to assess prevalence and to search for significant links
between each symptom and DF. RESULTS: An overall prevalence of 68% was found.
Total mean number of DF found on microscopic examination was 3.83 mites per
subject (range 0-25). The presence of symptoms was higher among individuals with
DF (P = 0.04). Itch was found to be the symptom most significantly associated
with the presence and number of DF (P = 0.025 and P = 0.035, respectively). The
questionnaire showed good internal consistency (Cronbach's alpha; alpha > 0.7)
and good reliability (Intra-class Correlation Co-efficient; ICC > 0.7). The
sensitivity and specificity of a positive symptom result using the questionnaire
were 70.8% and 46.9%, respectively. CONCLUSION: There is a strong association
between the prevalence of DF and symptoms, in particular itchy eyes. However, not
all patients with DF will be symptomatic. The newly developed questionnaire is a
reliable instrument for measuring change in symptoms over a period of time and
suitable for observing patient reported outcomes in interventional treatment
studies.
PMID- 29380180
TI - Targeting autophagy in lymphomas: a double-edged sword?
AB - Autophagy (also known as macroautophagy) is a lysosomal degradation pathway for
the clearance of cellular materials, which manifests as an adaptive response to
stress stimuli. Over the past decade, numerous studies have linked autophagy with
cancer initiation, progression, and chemoresistance. Autophagy defects in normal
cells facilitate tumorigenesis; paradoxically, enhanced autophagy allows
prolonged survival in cancer cells upon nutrient shortage, low oxygen, or
chemotherapies. However, the mechanism underlying the switch from the
cytoprotective role of autophagy to autophagic cell death remains incompletely
understood. Here, I review the latest advances in understanding the role of
autophagy in lymphomas, current challenges, and future directions.
PMID- 29380182
TI - Novel findings from the Asian Lymphoma Study Group: focus on T and NK-cell
lymphomas.
AB - T and NK-cell lymphomas are aggressive neoplasms with a unique epidemiological
distribution, demonstrating higher prevalence in Asian countries compared to the
West. Through the efforts of international collaboration, significant progress
has been made especially on the biological understanding and clinical management
of rare lymphoma subtypes including NK/T-cell lymphomas and monomorphic
epitheliotropic intestinal T-cell lymphoma. In this review, we summarize the
current status of lymphoma research conducted by the Asian Lymphoma Study Group
and highlight key updates on the advancement of T and NK-cell lymphoma research.
PMID- 29380185
TI - Intravitreal dexamethasone implant as an option for anti-inflammatory therapy of
tuberculosis uveitis.
AB - INTRODUCTION: Tuberculosis-associated uveitis remains a diagnostic and
therapeutic challenge. After diagnosis of tuberculosis and initiation of anti
tuberculosis therapy for tuberculosis uveitis, the clinical responses are
favorable. However, at 4-6 weeks of the therapy, there commonly occurs
paradoxical deterioration due to an increase in inflammation which is often
accompanied by cystoid macular edema. Thus, adjuvant administration of anti
inflammatory regimen should be considered. For this purpose, systemic and
periocular steroids, systemic and intravitreal immunosuppressive agents have been
tested. Nevertheless, there is no report in the literature about intravitreal
dexamethasone slow-release implants for the treatment of this inflammatory
condition. METHODS: Case presentation. RESULTS: We presented a tuberculosis
uveitis case whose ocular inflammation is partially modified by systemic and
periocular steroid injections and then well controlled by the intravitreal
dexamethasone implant. CONCLUSION: Intravitreal dexamethasone implant injection
seems to be a safe and potent option for the treatment of macular edema secondary
to tuberculosis uveitis.
PMID- 29380186
TI - The Effect of Some Natural Essential Oils Against Bovine Mastitis Caused by
Prototheca zopfii Isolates In Vitro.
AB - The aim of the study was to evaluate the effect of essential oils obtained from
Thymus vulgaris L., Origanum vulgare L., Origanum majerana L., Mentha * piperita
L. and Allium ursinum L. against Prototheca zopfii strains that cause
inflammation of the udder (mastitis) in cows. The study was conducted on ten
strains derived from milk samples. The microdilution method was used to determine
the sensitivity of P. zopfii strains to the studied essential oils, and the disk
diffusion method was used to determine the sensitivity to antifungal
chemotherapeutics. The plates were incubated for 48 h at 37 degrees C under
aerobic conditions. All strains of algae were sensitive to the essential oils
marjoram, thyme and oregano and resistant to mint and garlic oils. MIC values
ranged from 0.25 to 1 MUl/ml. Marjoram oil demonstrated the greatest activity,
and oregano oil the weakest. Among the antifungal agents tested, 90% of strains
showed sensitivity to nystatin. One of the tested strains (71/IV) was resistant
to all investigated antifungal agents. The tested essential oils are known to
have anti-algae activity and can be used as natural agents for prophylaxis in
animals, particularly in mastitis-affected cows.
PMID- 29380187
TI - Correction to: Resistance Mechanism in a Terbinafine-Resistant Strain of
Microsporum canis.
AB - In the initial online publication, the name of author Hock Siew Han was given
incorrectly as Han Hock Siew. The original article has been corrected.
PMID- 29380188
TI - Comparative Study of the Effects of Fluconazole and Voriconazole on Candida
glabrata, Candida parapsilosis and Candida rugosa Biofilms.
AB - Infections by non-albicans Candida species are a life-threatening condition, and
formation of biofilms can lead to treatment failure in a clinical setting. This
study was aimed to demonstrate the in vitro antibiofilm activity of fluconazole
(FLU) and voriconazole (VOR) against C. glabrata, C. parapsilosis and C. rugosa
with diverse antifungal susceptibilities to FLU and VOR. The antibiofilm
activities of FLU and VOR in the form of suspension as well as pre-coatings were
assessed by XTT [2,3-bis-(2-methoxy-4-nitro-5-sulfophenyl)-2H-tetrazolium-5
carboxanilide] reduction assay. Morphological and intracellular changes exerted
by the antifungal drugs on Candida cells were examined by scanning electron
microscope (SEM) and transmission electron microscope (TEM). The results of the
antibiofilm activities showed that FLU drug suspension was capable of killing C.
parapsilosis and C. rugosa at minimum inhibitory concentrations (MICs) of 4* MIC
FLU and 256* MIC FLU, respectively. While VOR MICs ranging from 2* to 32* were
capable of killing the biofilms of all Candida spp tested. The antibiofilm
activities of pre-coated FLU were able to kill the biofilms at 1/4* MIC FLU and
1/2* MIC FLU for C. parapsilosis and C. rugosa strains, respectively. While pre
coated VOR was able to kill the biofilms, all three Candida sp at 1/2* MIC VOR.
SEM and TEM examinations showed that FLU and VOR treatments exerted significant
impact on Candida cell with various degrees of morphological changes. In
conclusion, a fourfold reduction in MIC50 of FLU and VOR towards ATCC strains of
C. glabrata, C. rugosa and C. rugosa clinical strain was observed in this study.
PMID- 29380189
TI - Respiratory changes in subclavian vein diameters predicts fluid responsiveness in
intensive care patients: a pilot study.
AB - The present pilot study investigated whether respiratory variation in subclavian
vein (SCV) diameters correlates with fluid responsiveness in mechanically
ventilated patients. Monocentric, prospective clinical study on fluid
responsiveness in adult sedated, mechanically ventilated ICU patient, monitored
with the PiCCOTM system (Pulsion Medical System, Germany), and requiring a fluid
challenge (FC). A 10-min fluid bolus of 500 mL of 0.9% saline was administered.
Cardiac output (CO) and dynamic parameters [stroke volume variation (SVV) and
pulse pressure variation (PPV)] measured by transpulmonary thermodilution and
pulse contour analysis (PiCCOTM) as well as classical hemodynamic parameters were
recorded at baseline and after FC. Fluid responsiveness was described as an
increase in CO of >= 15%. Ultrasound measurements obtained in the subclavian long
axis view were used to calculate the SCVvariability index. A cut-off value for
SCV variation for the prediction of fluid responsiveness was determined using
receiver operating curve (ROC) analysis. Nine of 20 FCs (45%) induced an increase
in CO of >= 15%. At baseline, the SCVvariability index was greater in responders
than in non-responders (34.0 +/- 21.4 vs. 9.0 +/- 5.5; p = 0.0005). Diagnostic
performance for the SCVvariability index revealed a cut-off value of 14 with a
sensitivity of 100% [Confidence interval (CI) 95% (90; 100)] and a specificity of
82% [CI 95% (48; 98)] for the prediction of fluid responsiveness. Other
parameters, such as SVV and PPV, could not predict fluid responsiveness. The
correlation coefficient between CO variation and the SCVvariability index was
0.73 (p < 0.001). The SCVvariability index was a reliable, non-invasive parameter
for the prediction of fluid responsiveness at the bedside of mechanically
ventilated, critically ill patients in this pilot study.
PMID- 29380190
TI - Comparison of cardiac output optimization with an automated closed-loop goal
directed fluid therapy versus non standardized manual fluid administration during
elective abdominal surgery: first prospective randomized controlled trial.
AB - An intraoperative automated closed-loop system for goal-directed fluid therapy
has been successfully tested in silico, in vivo and in a clinical case-control
matching. This trial compared intraoperative cardiac output (CO) in patients
managed with this closed-loop system versus usual practice in an academic medical
center. The closed-loop system was connected to a CO monitoring system and
delivered automated colloid fluid boluses. Moderate to high-risk abdominal
surgical patients were randomized either to the closed-loop or the manual group.
Intraoperative final CO was the primary endpoint. Secondary endpoints were
intraoperative overall mean cardiac index (CI), increase from initial to final
CI, intraoperative fluid volume and postoperative outcomes. From January 2014 to
November 2015, 46 patients were randomized. There was a lower initial CI (2.06
vs. 2.51 l min-1 m-2, p = 0.042) in the closed-loop compared to the control
group. No difference in final CO and in overall mean intraoperative CI was
observed between groups. A significant relative increase from initial to final CI
values was observed in the closed-loop but not the control group (+ 28.6%, p =
0.006 vs. + 1.2%, p = 0.843). No difference was found for intraoperative fluid
management and postoperative outcomes between groups. There was no significant
impact on the primary study endpoint, but this was found in a context of
unexpected lower initial CI in the closed-loop group.Trial registry number ID
RCB/EudraCT: 2013-A00770-45. ClinicalTrials.gov Identifier NCT01950845, date of
registration: 17 September 2013.
PMID- 29380192
TI - Interleukin-13 stimulates production of nitric oxide in cultured human nasal
epithelium.
AB - The diversity and extent of signaling functions of nitric oxide (NO) in cell
physiology as well as its presence and influence as a common component of ambient
air pollution and tobacco smoke are gaining increasing research attention
relative to both health and disease. While cellular NO production is typically
associated with inflammatory cells and processes, the airway epithelium
particularly of the paranasal sinuses, has been documented to be a rich source of
excreted NO. Inasmuch as excreted NO derives from both mucosal and inflammatory
cell sources, distinguishing the individual contribution of these compartments to
total excreted cellular NO is potentially problematic. We simulated an
inflammatory mucosal environment by stimulating human nasal epithelial cultures
with interleukin-13 (IL-13), a mediator produced by eosinophils in asthma,
allergic rhinitis, and sinusitis. While a consistent baseline of NO excretion in
control cultures was documented, widely variable individual responses to IL-13
exposure were observed in companion cultures maintained under identical
conditions and tested at the same time. These studies suggest that cellular NO
excretion by the healthy epithelial mucosa is subject to considerable individual
variability and may be significantly elevated among some individuals in the
presence of IL-13 stimulation.
PMID- 29380191
TI - Reporting of health-related quality of life in randomized controlled trials
involving palliative systemic therapy for esophagogastric cancer: a systematic
review.
AB - BACKGROUND: Health-related quality of life (HRQoL) assessments are increasingly
incorporated into oncological randomized controlled trials (RCTs). The quality of
HRQoL reporting in RCTs concerning palliative systemic treatment for advanced
esophagogastric cancer is currently unknown. Therefore, we conducted a systematic
review to investigate the quality of HRQoL reporting over time. METHODS: PubMed,
CENTRAL and EMBASE were searched for RCTs concerning systemic treatment for
advanced esophagogastric cancer up to February 2017. The Minimum Standard
Checklist for Evaluating HRQoL Outcomes in Cancer Clinical Trials was used to
rate the quality of HRQoL reporting. Univariate and multivariate generalized
linear regression analysis was used to investigate factors affecting the quality
of reporting over time. RESULTS: In total, 37 original RCTs (N = 10,887 patients)
were included. The quality of reporting was classified as 'very limited' in 4
studies (11%), 'limited' in 24 studies (65%), and 'probably robust' in 9 studies
(24%). HRQoL reporting did not improve over time, and it did not improve
following the publication of the CONSORT-PRO statement in 2013. The publication
of HRQoL findings in a separate article and second-line treatment were associated
with better reporting. CONCLUSIONS: HRQoL reporting in RCTs concerning palliative
systemic therapy for advanced esophagogastric cancer is limited and has not
improved over time. This systematic review provides specific recommendations for
authors to improve HRQoL reporting: formulate hypotheses a priori, clearly
describe instrument administration, and handle missing data and interpret
findings appropriately.
PMID- 29380194
TI - Protective Effect of Morphine Against the Oxidant-Induced Injury in H9c2 Cells.
AB - There are some indications that morphine may exert myocardial protective effects
under certain conditions. The aim of the present study was to investigate the
effect of morphine on viability and oxidative state of H9c2 cells (rat
cardiomyoblasts) influenced by oxidative stress that was elicited by exposure to
tert-butyl hydroperoxide (t-BHP). Our experiments showed that pretreatment with
morphine before the addition of t-BHP markedly improved cell viability. Morphine
was able to increase total antioxidant capacity of H9c2 cells and to reduce the
production of reactive oxygen species, protein carbonylation, and lipid
peroxidation. Cellular damage caused by t-BHP was associated with low levels of
p38 MAPK and GSK-3beta phosphorylation. Pretreatment with morphine augmented p38
phosphorylation, and the increased phospho-p38/p38 ratio was preserved even in
the presence of t-BHP. Morphine did not change the level of GSK-3beta
phosphorylation, but interestingly, the phospho-GSK-3beta/GSK-3beta ratio
significantly increased after subsequent incubation with t-BHP. Furthermore,
morphine exposure resulted in upregulation of the antioxidant enzyme catalase.
The protective effect of morphine was abrogated by the addition of the PI3K
inhibitor wortmannin and/or p38 MAPK inhibitor SB203580. It can be concluded that
morphine may protect H9c2 cells against oxidative stress and that this protection
is at least partially mediated through activation of the p38 MAPK and PI3K/GSK
3beta pathways.
PMID- 29380193
TI - The emu oil emulsified in egg lecithin and butylated hydroxytoluene enhanced the
proliferation, stemness gene expression, and in vitro wound healing of adipose
derived stem cells.
AB - In recent decades, mesenchymal stem cells originated from adipose tissue (adipose
derived stem cells, ASCs) have gained increased attention for production of cell
based therapeutics. Emu oil as a natural compound showed antioxidant effects in
previous studies. The goal of this study was to investigate the effect of crude
emu oil on the proliferation, cell cycle progression, stemness genes expression,
and in vitro wound healing potential of ASCs. An emulsion of emu oil was prepared
using egg lecithin and butylated hydroxytoluene to improve bioavailability and
solubility of emu oil in the expansion medium. The ASCs were treated using a
series of emu oil concentrations in emulsion form, diluted in expansion medium
(0.03-3 mg/ml). The emu oil-free emulsion was used as control treatment. The
results revealed that emu oil (1.25 mg/ml) in emulsion form significantly (p <
0.001) increased ASCs proliferation and colony formation. Additionally, emu oil
caused upregulation of stemness marker genes (Sox2, Oct4, Nanog, and Nestin) (p <
0.05). The cell cycle analysis after emu oil treatments showed an increase in the
population of ASCs in S-phase of the cell cycle. Besides, an accelerated in vitro
scratch wound healing was observed in emu oil-treated ASCs. Emu oil enhanced
proliferation, colony formation, stemness genes expression, and in vitro wound
healing of ASCs. These findings suggest that emu oil treatment could maintain the
stemness of ex vivo cultivated ASCs and enhance their regenerative potential.
PMID- 29380195
TI - Assisted Dying in Australia and Limiting Court Involvement in Withdrawal of
Nutrition and Hydration.
PMID- 29380197
TI - Sonochemical degradation of triclosan in water in a multifrequency reactor.
AB - Degradation of triclosan (TCS) by multifrequency ultrasound (US) was studied at
high and low frequencies. Frequency effect on initial degradation rates was
analyzed, and an optimum frequency was found. Power density always has a positive
effect on degradation rates over the whole equipment work range. A reaction
mechanism similar to that proposed by Serpone resulted in a pseudo-linear model
that fitted statistically better than the nonlinear model proposed by Okitsu.
Pulsed US showed a positive effect on degradation rates; however, simultaneous
analysis of the effect of power, frequency, pulse time, and silent time did not
show a clear trend for degradation as a function of pulse US variables. According
to these results and those for degradation in the presence of radical scavengers,
it was concluded that US TCS degradation was taking place in the bubble/liquid
interface. A toxicity test was conducted by Microtox(r), showing a decrease in
toxicity as TCS concentration decreased and increase in toxicity after total
depletion of TCS. Eight possible degradation by-products were identified by GC-MS
analysis, and a degradation pathway was proposed.
PMID- 29380196
TI - Association of detoxification enzymes with butene-fipronil in larvae and adults
of Drosophila melanogaster.
AB - Insecticide resistance is a major challenge in successful insect pest control as
the insects have the ability to develop resistance to various widely used
insecticides. Butene-fipronil is a novel compound with high toxicity to insects
and less toxicity to the non-target organisms. In the present study, the effect
of butene-fipronil alone and in combination with three enzyme inhibitors,
piperonyl butoxide (PBO), diethyl maleate (DEM), and triphenyl phosphate (TPP),
was carried out on larvae and adults of Drosophilia melanogaster. Our results
indicated that the co-toxicity indices of butene-fipronil + PBO, butene-fipronil
+ TPP, and butene-fipronil + DEM mixtures were 437.3, 335.0, and 210.3,
respectively, in the second-instar larvae, while 186.6, 256.2, and 238.5,
respectively, in the adults, indicating synergistic effects. Interestingly,
butene-fipronil increased the expression of CYP28A5 in the larvae; CYP9F2,
CYP304A1, CYP28A5, and CYP318A1 in the female adults; and CYP303A1 and CYP28A5 in
the male adults. Furthermore, high-level expression of Est-7 was observed in the
female adults compared to larvae and male adults. Our results suggest that there
is no difference in butene-fipronil metabolism in larvae and male and female
adults of D. melanogaster.
PMID- 29380198
TI - Identifying external nutrient reduction requirements and potential in the
hypereutrophic Lake Taihu Basin, China.
AB - Reducing external nutrient loads is the first step for controlling
eutrophication. Here, we identified external nutrient reduction requirements and
potential of strategies for achieving reductions to remediate a eutrophic water
body, Lake Taihu, China. A mass balance approach based on the entire lake was
used to identify nutrient reduction requirements; an empirical export coefficient
approach was introduced to estimate the nutrient reduction potential of the
overall program on integrated regulation of Taihu Lake Basin (hereafter referred
to as the "Guideline"). Reduction requirements included external total nitrogen
(TN) and total phosphorus (TP) loads, which should be reduced by 41-55 and 25
50%, respectively, to prevent nutrient accumulation in Lake Taihu and to meet the
planned water quality targets. In 2010, which is the most seriously polluted
calendar year during the 2008-2014 period, the nutrient reduction requirements
were estimated to be 36,819 tons of N and 2442 tons of P, and the potential
nutrient reduction strategies would reduce approximately 25,821 tons of N and
3024 tons of P. Since there is a net N remaining in the reduction requirements,
it should be the focus and deserves more attention in identifying external
nutrient reduction strategies. Moreover, abatement measures outlined in the
Guideline with high P reduction potential required large monetary investments.
Achieving TP reduction requirement using the cost-effective strategy costs about
80.24 million USD. The design of nutrient reduction strategies should be enacted
according to regional and sectoral differences and the cost-effectiveness of
abatement measures.
PMID- 29380199
TI - Acute sensitivity of the killifish Nothobranchius furzeri to a combination of
temperature and reference toxicants (cadmium, chlorpyrifos and 3,4
dichloroaniline).
AB - Aquatic organisms of inland waters are often subjected to a combination of
stressors. Yet, few experiments assess mixed stress effects beyond a select group
of standard model organisms. We studied the joint toxicity of reference toxicants
and increased temperature on the turquoise killifish, Nothobranchius furzeri, a
promising model for ecotoxicological research due to the species' short life
cycle and the production of drought-resistant eggs. The acute sensitivity of the
larval stage (2dph) to three compounds (cadmium, 3,4-dichloroaniline and
chlorpyrifos) was tested in combination with a temperature increase of 4 degrees
C, mimicking global warming. Dose-response relationships were used to calculate
96h-LC50 of 0.28 mg/L (24 degrees C) and 0.39 mg/L (28 degrees C) for cadmium,
96h-LC50 of 9.75 mg/L (24 degrees C) and 6.61 mg/L (28 degrees C) for 3,4
dichloroaniline and 96h-LC50 of 15.4 MUg/L (24 degrees C) and 14.2 MUg/L (28
degrees C) for chlorpyrifos. After 24 h of exposure, the toxicity of all tested
compounds was exacerbated under increased temperature. Furthermore, the
interaction effect of cadmium and temperature could be predicted by the stress
addition model (SAM). This suggests the applicability of the model for fish and
at the same time indicates that the model could be suitable to predict effects of
temperature-toxicant interactions.
PMID- 29380200
TI - Characterization and cancer risk assessment of VOCs in home and school
environments in gran La Plata, Argentina.
AB - Three areas are highlighted in Gran La Plata, Argentina: industrial, urban, and
residential. In this work, the levels of volatile organic compounds (VOCs) in
indoor air of homes and schools in those areas were analyzed, through the use of
passive monitors. The study period is between 2007 and 2010. Higher levels of
VOCs were found in homes and schools in the industrial zone, higher than the
levels corresponding to urban and residential. Taking into account the
relationship between indoor and outdoor levels of VOCs, they have ratios (I/O)
between 1.5 and 10 are evidenced contributions of emission sources of VOCs both
indoor and outdoor. Complementarily, we estimated the life time cancer risk (LCR)
for benzene, styrene, trichloroethylene, and tetrachloroethylene in children who
spend their time mostly in such indoor environments. The results show high LCR
values for benzene, which exceed acceptable values for the US EPA.
PMID- 29380202
TI - A study through batch tests on the analytical determination and the fate and
removal of methamphetamine in the biological treatment of domestic wastewater.
AB - Methamphetamine (MET) is one of the most used illicit drugs in Europe and is
recognized as one of the Emerging Organic Micropollutants. It is discharged into
the sewerage system from different sources and then enters the wastewater
treatment plants. The present study aimed at providing a better knowledge of the
fate of MET through the wastewater treatment plants. The study addressed two
different issues: (1) optimization of the analytical methods for MET
determination in both liquid and sludge phases, focusing on the effects of
potentially interfering substances and (2) investigation on the behaviour of MET
in the biological treatment process, with specific concern for the biomass
activity at different drug concentrations. Results of the study on issue 1
highlighted that the applied analytical method for MET determination (UPLC-MS/MS)
is affected by the main components of wastewater for about 9-23%, which is
comparable with the uncertainties of the method (about +/- 28%). The method
showed also to be repeatable and reliable (recovery > 75%; repeatability < 10
15%; bias uncertainty < 30%), and relatively easy-to-use. Therefore, it can be
considered suitable for measurements on routine base in the WWTPs. Batch tests
conducted to address issue 2 showed total removal of 84, 90, and 96% at 50, 100,
and 200 ng/L initial MET concentration, respectively, for a contact time of 6 h.
The removal process was mainly ascribed to the biological activity of both
heterotrophic and autotrophic bacteria. The pseudo first-order kinetic model
provided the best fitting of the experimental data of the overall biological
processes at all the tested concentrations. Furthermore, the respirometric tests
showed that MET does not induce any inhibition. Adsorption of MET on activated
sludge was always very low.
PMID- 29380201
TI - Effects of air pollution on hospital visits for pneumonia in children: a two-year
analysis from China.
AB - Although the effect of air pollution on respiratory health has been identified,
few studies can be available to evaluate the association of air pollution with
hospital visits for children's pneumonia in China. To explore whether high
concentrations of air pollutants (including PM2.5, PM10, NO2, and SO2) are
related to hospital visits for pneumonia in children, we conducted a population
based time-series study in Ningbo, China, from January 1st, 2014 to November 1st,
2015. We used a generalized additive Poisson regression model to calculate risk
ratios and 95% confidence intervals for the associations of air pollutants and
hospital visits for pneumonia in children and found that these four pollutants
were associated with the increased hospital visits for pneumonia in children
(1.3% for PM2.5, 1.0% for PM10, 2.9% for NO2, 5.0% for SO2 per 10-MUg/m3 increase
in PM2.5, PM10, NO2, and SO2, respectively). Stronger associations were observed
in the cold seasons and among children under 5 years.
PMID- 29380204
TI - CME instructions: Regadenoson use in chronic kidney disease and end-stage renal
disease: A focused review.
PMID- 29380203
TI - Cd and Cu accumulation, translocation and tolerance in Populus alba clone
(Villafranca) in autotrophic in vitro screening.
AB - The present study investigated accumulation, translocation and tolerance of
autotrophic Populus alba clone "Villafranca" in response to excess concentrations
of cadmium (Cd) and copper (Cu) provided to the plants. For this purpose,
increasing concentrations of Cd (0, 5, 50 and 250 MUM) and Cu (0, 5, 50, 250 and
500 MUM) were administered to the growth medium in which micropropagated poplar
plantlets were exposed to metal treatments for 15 days. Filter bags, instead of
the conventional in vitro screening, were applied to improve the experimental
design. Results showed that Cd and Cu increased in shoots and roots at increasing
metal concentration in the medium. The highest Cd content was found in leaves,
while the highest Cu content was found in roots. In "Villafranca", Cu showed
toxic effects on the development of the seedlings, especially at the highest
concentrations, reducing plant dry mass. However, the tolerance index (Ti)
indicated good tolerance in this clone under exposure to excess metal
concentrations, whereas plants had higher translocation factor (Tf). We recommend
in vitro selection of tolerant genotypes, aimed at providing early indication on
accumulation potentiality and tolerance capability in research on plant
sensitivity to excess heavy metal concentrations.
PMID- 29380206
TI - Study on the Structural Effect of Maltoligosaccharides on Cytochrome c Complexes
Stabilities by Native Mass Spectrometry.
AB - Noncovalent interactions between ligands and targeting proteins are essential for
understanding molecular mechanisms of proteins. In this work, we investigated the
interaction of Cytochrome c (Cyt c) with maltoligosaccharides, namely maltose
(Mal II), maltotriose (Mal III), maltotetraose (Mal IV), maltopentaose (Mal V),
maltohexaose (Mal VI) and maltoheptaose (Mal VII). Using electrospray ionization
mass spetrometry (ESI-MS) assay, the 1:1 and 1:2 complexes formed by Cyt c with
maltoligosaccharide ligand were observed. The corresponding association constants
were calculated according to the deconvoluted spectra. The order of the relative
binding affinities of the selected oligosaccharides with Cyt c were as Mal III >
Mal IV > Mal II > Mal V > Mal VI > Mal VII. The results indicated that the
stability of noncovalent protein complexes was intimately correlated to the
molecular structure of bound ligand. The relevant functional groups that could
form H-bonds, electrostatic or hydrophobic forces with protein's amino residues
played an important role for the stability of protein complexes. In addition, the
steric structure of ligand was also critical for an appropriate interaction with
the binding pocket of proteins.
PMID- 29380205
TI - RNA binding protein 24 regulates the translation and replication of hepatitis C
virus.
AB - The secondary structures of hepatitis C virus (HCV) RNA and the cellular proteins
that bind to them are important for modulating both translation and RNA
replication. However, the sets of RNA-binding proteins involved in the regulation
of HCV translation, replication and encapsidation remain unknown. Here, we
identified RNA binding motif protein 24 (RBM24) as a host factor participated in
HCV translation and replication. Knockdown of RBM24 reduced HCV propagation in
Huh7.5.1 cells. An enhanced translation and delayed RNA synthesis during the
early phase of infection was observed in RBM24 silencing cells. However, both
overexpression of RBM24 and recombinant human RBM24 protein suppressed HCV IRES
mediated translation. Further analysis revealed that the assembly of the 80S
ribosome on the HCV IRES was interrupted by RBM24 protein through binding to the
5'-UTR. RBM24 could also interact with HCV Core and enhance the interaction of
Core and 5'-UTR, which suppresses the expression of HCV. Moreover, RBM24 enhanced
the interaction between the 5'- and 3'-UTRs in the HCV genome, which probably
explained its requirement in HCV genome replication. Therefore, RBM24 is a novel
host factor involved in HCV replication and may function at the switch from
translation to replication.
PMID- 29380207
TI - The PDGF pathway in breast cancer is linked to tumour aggressiveness, triple
negative subtype and early recurrence.
AB - PURPOSE: The platelet-derived growth factor (PDGF) signalling pathway is often
dysregulated in cancer and PDGF-receptor expression has been linked to
unfavourable prognostic factors in breast cancer (e.g. ER negativity, high Ki67
and high grade). This study aimed to evaluate the expression of PDGFRalpha,
PDGFRbeta and ligand PDGF-CC in breast cancer in relation to molecular subtypes
and prognosis. METHODS: Protein expression of tumour and/or stromal cell
PDGFRalpha, PDGFRbeta and PDGF-CC was evaluated in primary tumours (N = 489),
synchronous lymph node metastases (N = 135) and asynchronous recurrences (N = 39)
using immunohistochemistry in a prospectively maintained cohort of primary breast
cancer patients included during 1999-2003. Distant recurrence-free interval
(DRFi) was the primary end-point. RESULTS: High expression of all investigated
PDGF family members correlated to increasing Nottingham histopathological grade
and high Ki67. Tumour cells displayed high expression of PDGFRalpha in 20%, and
PDGF-CC in 21% of primary tumours, which correlated with the triple-negative
subtype (TNBC). Patients with high PDGF-CC had inferior prognosis (P = 0.04) in
terms of 5-year DRFi, whereas PDGFRalpha was up-regulated in lymph node
metastasis and recurrences compared to primary tumours. High primary tumour
PDGFRalpha was associated with increased risk of central nervous system (CNS)
recurrence. CONCLUSIONS: High PDGFRalpha and PDGF-CC expression were linked to
breast cancer with an aggressive biological phenotype, e.g. the TNBC subtype, and
high PDGF-CC increased the risk of 5-year distant recurrence. Tumour cell
PDGFRalpha was significantly up-regulated in lymph node metastases and
asynchronous recurrences. Our findings support an active role of the PDGF
signalling pathway in tumour progression.
PMID- 29380208
TI - Machine learning to parse breast pathology reports in Chinese.
AB - INTRODUCTION: Large structured databases of pathology findings are valuable in
deriving new clinical insights. However, they are labor intensive to create and
generally require manual annotation. There has been some work in the
bioinformatics community to support automating this work via machine learning in
English. Our contribution is to provide an automated approach to construct such
structured databases in Chinese, and to set the stage for extraction from other
languages. METHODS: We collected 2104 de-identified Chinese benign and malignant
breast pathology reports from Hunan Cancer Hospital. Physicians with native
Chinese proficiency reviewed the reports and annotated a variety of binary and
numerical pathologic entities. After excluding 78 cases with a bilateral lesion
in the same report, 1216 cases were used as a training set for the algorithm,
which was then refined by 405 development cases. The Natural language processing
algorithm was tested by using the remaining 405 cases to evaluate the machine
learning outcome. The model was used to extract 13 binary entities and 8
numerical entities. RESULTS: When compared to physicians with native Chinese
proficiency, the model showed a per-entity accuracy from 91 to 100% for all
common diagnoses on the test set. The overall accuracy of binary entities was 98%
and of numerical entities was 95%. In a per-report evaluation for binary entities
with more than 100 training cases, 85% of all the testing reports were completely
correct and 11% had an error in 1 out of 22 entities. CONCLUSION: We have
demonstrated that Chinese breast pathology reports can be automatically parsed
into structured data using standard machine learning approaches. The results of
our study demonstrate that techniques effective in parsing English reports can be
scaled to other languages.
PMID- 29380209
TI - Lymph drainage of the upper limb and mammary region to the axilla: anatomical
study in stillborns.
AB - PURPOSE: We studied the lymphatic drainage of the upper limb and mammary region
directing to the axilla to investigate whether independent pathways can be
observed or whether anastomoses and shared drainage occur between them. This
analysis aimed to assess the safety of axillary reverse mapping (ARM) in breast
cancer treatment and to understand the development of lymphedema after sentinel
lymph node biopsy (SLNB) alone. METHODS: Seven unfixed stillborn fetuses were
injected with a modified Gerota mass in the peri-areolar area, palm and dorsum of
the hands, formalin fixed, and then submerged in 10% hydrogen peroxide solution.
Microsurgical dissection was then performed on the subcutaneous cellular tissue
of the upper limb, axillary region, and anterior thorax to expose the lymphatic
vessels and lymph nodes. RESULTS: The dye injected into the upper limb reached
either the lateral axillary group, known to be exclusively responsible for upper
limb drainage, or the anterior group, which is typically related to breast
drainage. There was great proximity among the pathways and lymph nodes.
Communicating lymphatic vessels among these groups of lymph nodes were also found
in all studied cases. DISCUSSION: Lymphedema remains a challenging morbidity in
breast cancer treatment. ARM and SLNB aim to avoid unnecessary damage to the
lymphatic drainage of the upper limb. However, our anatomical study suggests that
ARM may have potential oncological risks because preserved lymph nodes may harbor
malignant cells due their proximity, overlapping drainage pathways, and
connecting lymph vessels among lymph nodes.
PMID- 29380210
TI - A positive move: proton therapy in Australia.
PMID- 29380211
TI - Perceptions of Zika Virus Prevention Among College Students in Florida.
AB - Zika virus in Florida prompted a strong public health response, due to its causal
association with birth defects. While primarily spread by mosquitos, Zika can be
transmitted sexually. The spread of Zika may influence reproductive behaviors
among sexually active persons in Florida. This study examined factors associated
with willingness to change birth control method use in response to Zika virus
among college women and men in Florida. Women and men ages 18-44 at a Florida
university (N = 328) were surveyed about Zika knowledge, beliefs about Zika, use
of contraceptives and condoms, and socio-demographics between November 2016-April
2017. The outcome variable was willingness to change birth control method were
Zika in their area. Logistic regression models in SAS 9.4 were used. Most
participants were women (80%), and 47% were 20-22 years old. Only 27% of
participants said they would change their birth control method if Zika were in
their area. Participants who knew that Zika was sexually transmitted were more
likely to be willing to change their birth control method (aOR = 1.71, 95%CI 1.01
2.91). Participants who agreed or strongly agreed that they were fearful of being
infected with Zika virus were more likely to be willing to change their birth
control methods (aOR = 1.98, 95%CI 1.07-3.67). This study found that, among
Florida college students, Zika beliefs and knowledge were associated with a
willingness to change birth control method in response to Zika. Understanding the
factors that motivate individuals to change reproductive behaviors during an
emerging health issue can help tailor preventative messages.
PMID- 29380212
TI - Decline in Prescription Opioids Attributable to Decreases in Long-Term Use: A
Retrospective Study in the Veterans Health Administration 2010-2016.
AB - BACKGROUND: Improved understanding of temporal trends in short- and long-term
opioid prescribing may inform efforts to curb the opioid epidemic. OBJECTIVE: To
characterize the prevalence of short- and long-term opioid prescribing in the
Veterans Health Administration (VHA) from 2010 to 2016. DESIGN: Observational
cohort study using VHA databases. PARTICIPANTS: All patients receiving at least
one outpatient prescription through the VHA during calendar years 2010 through
2016. MAIN MEASURES: Prevalence of opioid use from 2010 through 2016, stratified
by short-term, intermediate-term, and long-term use. Temporal trends in
discontinuation among existing long-term users and initiation of new long-term
use and the net impact on rates of long-term opioid use. Relative likelihood of
transitioning to long-term opioid use contrasted with use patterns in the prior
year. KEY RESULTS: The prevalence of opioid prescribing was 20.8% in 2010, peaked
at 21.2% in 2012, and declined annually to 16.1% in 2016. Between 2010 and 2016,
reductions in long-term opioid prescribing accounted for 83% of the overall
decline in opioid prescription fills. Comparing data from 2010-2011 to data from
2015-2016, declining rates in new long-term use accounted for more than 90% of
the decreasing prevalence of long-term opioid use in the VHA, whereas increases
in cessation among existing long-term users accounted for less than 10%. The
relative risk of transitioning to long-term use during 2016 was 6.5 (95% CI: 6.4,
6.7) among short-term users and 35.5 (95% CI: 34.8, 36.3) among intermediate
users, relative to patients with no opioid prescriptions filled during 2015.
CONCLUSIONS: Opioid prescribing trends followed similar trajectories in VHA and
non-VHA settings, peaking around 2012 and subsequently declining. However,
changes in long-term opioid prescribing accounted for most of the decline in the
VHA. Recent VA opioid initiatives may be preventing patients from initiating long
term use. This may offer valuable lessons generalizable to other healthcare
systems.
PMID- 29380213
TI - Medical Students' Exposure to the Humanities Correlates with Positive Personal
Qualities and Reduced Burnout: A Multi-Institutional U.S. Survey.
AB - BACKGROUND: Literature, music, theater, and visual arts play an uncertain and
limited role in medical education. One of the arguments often advanced in favor
of teaching the humanities refers to their capacity to foster traits that not
only improve practice, but might also reduce physician burnout-an increasing
scourge in today's medicine. Yet, research remains limited. OBJECTIVE: To test
the hypothesis that medical students with higher exposure to the humanities would
report higher levels of positive physician qualities (e.g., wisdom, empathy, self
efficacy, emotional appraisal, spatial skills), while reporting lower levels of
negative qualities that are detrimental to physician well-being (e.g.,
intolerance of ambiguity, physical fatigue, emotional exhaustion, and cognitive
weariness). DESIGN: An online survey. PARTICIPANTS: All students enrolled at five
U.S. medical schools during the 2014-2015 academic year were invited by email to
take part in our online survey. MAIN MEASURES: Students reported their exposure
to the humanities (e.g., music, literature, theater, visual arts) and completed
rating scales measuring selected personal qualities. KEY RESULTS: In all,
739/3107 medical students completed the survey (23.8%). Regression analyses
revealed that exposure to the humanities was significantly correlated with
positive personal qualities, including empathy (p < 0.001), tolerance for
ambiguity (p < 0.001), wisdom (p < 0.001), emotional appraisal (p = 0.01), self
efficacy (p = 0.02), and spatial skills (p = 0.02), while it was significantly
and inversely correlated with some components of burnout (p = 0.01). Thus, all
hypotheses were statistically significant, with effect sizes ranging from 0.2 to
0.59. CONCLUSIONS: This study confirms the association between exposure to the
humanities and both a higher level of students' positive qualities and a lower
level of adverse traits. These findings may carry implications for medical school
recruitment and curriculum design. "[Science and humanities are] twin berries on
one stem, grievous damage has been done to both in regarding [them]... in any
other light than complemental." (William Osler, Br Med J. 1919;2:1-7).
PMID- 29380214
TI - Rideshare-Based Medical Transportation for Medicaid Patients and Primary Care
Show Rates: A Difference-in-Difference Analysis of a Pilot Program.
AB - BACKGROUND: Transportation to primary care is a well-documented barrier for
patients with Medicaid, despite access to non-emergency medical transportation
(NEMT) benefits. Rideshare services, which offer greater convenience and lower
cost, have been proposed as an NEMT alternative. OBJECTIVE: To evaluate the
impact of rideshare-based medical transportation on the proportion of Medicaid
patients attending scheduled primary care appointments. DESIGN: In one of two
similar practices, all eligible Medicaid patients were offered rideshare-based
transportation ("rideshare practice"). A difference-in-difference analytical
approach using logistic regression with robust standard errors was employed to
compare show rate changes between the rideshare practice and the practice where
rideshare was not offered ("control practice"). PARTICIPANTS: Our study
population included residents of West Philadelphia who were insured by Medicaid
and were established patients at two academic general internal medicine practices
located in the same building. INTERVENTION: We designed a rideshare-based
transportation pilot intervention. Patients were offered the service during their
reminder call 2 days before the appointment, and rides were prescheduled by
research staff. Patients then called research staff to schedule their return trip
home. MAIN MEASURES: We assessed the effect of offering rideshare-based
transportation on appointment show rates by comparing the change in the average
show rate for the rideshare practice, from the baseline period to the
intervention period, with the change at the control practice. KEY RESULTS: At the
control practice, the show rate declined from 60% (146/245) to 51% (34/67). At
the rideshare practice, the show rate improved from 54% (72/134) to 68% (41/60).
In the adjusted model, controlling for patient demographics and provider type,
the odds of showing up for an appointment before and after the intervention
increased 2.57 (1.10-6.00) times more in the rideshare practice than in the
control practice. CONCLUSIONS: Results of this pilot program suggest that
offering a rideshare-based transportation service can increase show rates to
primary care for Medicaid patients.
PMID- 29380215
TI - Capsule Commentary on Rivera-Caravaca et al., Soluble Fibrin Monomer Complex and
Prediction of Cardiovascular Events in Atrial Fibrillation: The Observational
Murcia Atrial Fibrillation Project.
PMID- 29380216
TI - Prediction Model for Two-Year Risk of Opioid Overdose Among Patients Prescribed
Chronic Opioid Therapy.
AB - BACKGROUND: Naloxone is a life-saving opioid antagonist. Chronic pain guidelines
recommend that physicians co-prescribe naloxone to patients at high risk for
opioid overdose. However, clinical tools to efficiently identify patients who
could benefit from naloxone are lacking. OBJECTIVE: To develop and validate an
overdose predictive model which could be used in primary care settings to assess
the need for naloxone. DESIGN: Retrospective cohort. SETTING: Derivation site was
an integrated health system in Colorado; validation site was a safety-net health
system in Colorado. PARTICIPANTS: We developed a predictive model in a cohort of
42,828 patients taking chronic opioid therapy and externally validated the model
in 10,708 patients. MAIN MEASURES: Potential predictors and outcomes (nonfatal
pharmaceutical and heroin overdoses) were extracted from electronic health
records. Fatal overdose outcomes were identified from state vital records. To
match the approximate shelf-life of naloxone, we used Cox proportional hazards
regression to model the 2-year risk of overdose. Calibration and discrimination
were assessed. KEY RESULTS: A five-variable predictive model showed good
calibration and discrimination (bootstrap-corrected c-statistic = 0.73, 95%
confidence interval [CI] 0.69-0.78) in the derivation site, with sensitivity of
66.1% and specificity of 66.6%. In the validation site, the model showed good
discrimination (c-statistic = 0.75, 95% CI 0.70-0.80) and less than ideal
calibration, with sensitivity and specificity of 82.2% and 49.5%, respectively.
CONCLUSIONS: Among patients on chronic opioid therapy, the predictive model
identified 66-82% of all subsequent opioid overdoses. This model is an efficient
screening tool to identify patients who could benefit from naloxone to prevent
overdose deaths. Population differences across the two sites limited calibration
in the validation site.
PMID- 29380217
TI - Physician Perception of Pay Fairness and its Association with Work Satisfaction,
Intent to Leave Practice, and Personal Health.
AB - BACKGROUND: Primary care physicians generally earn less than specialists. Studies
of other occupations have identified perception of pay fairness as a predictor of
work- and life-related outcomes. We evaluated whether physicians' pay fairness
perceptions were associated with their work satisfaction, turnover intention, and
personal health. METHODS: Three thousand five hundred eighty-nine physicians were
surveyed. Agreement with "my total compensation is fair" was used to assess pay
fairness perceptions. Total compensation was self-reported, and we used validated
measures of work satisfaction, likelihood of leaving current practice, and health
status. Hierarchical logistic regressions were used to assess the associations
between pay fairness perceptions and work/life-related outcomes. RESULTS: A total
of 2263 physicians completed surveys. Fifty-seven percent believed their
compensation was fair; there was no difference between physicians in internal
medicine and non-primary care specialties (P = 0.58). Eighty-three percent were
satisfied at work, 70% reported low likelihood of leaving their practice, and 77%
rated their health as very good or excellent. Higher compensation levels were
associated with greater work satisfaction and lower turnover intention, but most
associations became statistically non-significant after adjusting for pay
fairness perceptions. Perceived pay fairness was associated with greater work
satisfaction (OR, 4.90; 95% CI, 3.94-6.08; P < 0.001), lower turnover intention
(OR, 2.46; 95% CI, 2.01-3.01; P < 0.001), and better health (OR, 1.33; 95% CI,
1.08-1.65; P < 0.01). DISCUSSION: Physicians who thought their pay was fair
reported greater work satisfaction, lower likelihood of leaving their practice,
and better overall health. Addressing pay fairness perceptions may be important
for sustaining a satisfied and healthy physician workforce, which is necessary to
deliver high-quality care.
PMID- 29380218
TI - Tracking Progress in Improving Diagnosis: A Framework for Defining Undesirable
Diagnostic Events.
AB - Diagnostic error is a prevalent, harmful, and costly phenomenon. Multiple
national health care and governmental organizations have recently identified the
need to improve diagnostic safety as a high priority. A major barrier, however,
is the lack of standardized, reliable methods for measuring diagnostic safety.
Given the absence of reliable and valid measures for diagnostic errors, we need
methods to help establish some type of baseline diagnostic performance across
health systems, as well as to enable researchers and health systems to determine
the impact of interventions for improving the diagnostic process. Multiple
approaches have been suggested but none widely adopted. We propose a new
framework for identifying "undesirable diagnostic events" (UDEs) that health
systems, professional organizations, and researchers could further define and
develop to enable standardized measurement and reporting related to diagnostic
safety. We propose an outline for UDEs that identifies both conditions prone to
diagnostic error and the contexts of care in which these errors are likely to
occur. Refinement and adoption of this framework across health systems can
facilitate standardized measurement and reporting of diagnostic safety.
PMID- 29380219
TI - Lime-Induced Phytophotodermatitis.
PMID- 29380220
TI - Are Mothers' Working Hours Associated with General and Abdominal Obesity in
Children and Adolescents? The Korean National Health and Nutrition Examination
Survey (2008-2012).
AB - Objectives Despite an increase in the female work force and recent increase in
childhood obesity, the association between working hours of mothers and childhood
obesity as well as how such association differs according to mothers' weight and
intake frequency of energy-dense, nutrition-poor (EDNP) foods remain unclear.
Methods Data from the Korean National Health and Nutrition Examination Survey
(2008-2012) that included samples from 3914 children in 2526 households were
analyzed. Two-level (household-children) mixed-effects modeling was performed to
investigate the association between mothers' working hours and childhood obesity
based on body mass index (BMI) and waist circumference (WC). Results Long working
hours (h) of mothers were associated with both BMI (beta = - 0.14; P = 0.324 for
1-20 h, beta = 0.10; P = 0.334 for <= 21-40 h; beta = 0.09; P = 0.429 for 41-68
h, beta = 0.51; P = 0.015 for >= 69 h) and WC of the child (beta = 0.06; P =
0.809 for 1-20 h; beta = 0.46; P = 0.017 for <= 21-40 h; beta = 0.59; P = 0.004
for 41-68 h, beta = 1.35; P < 0.001 for >= 69 h), and the mean increase was
greater for mothers working >= 69 h compared to those working 0 h. We also
observed that the association between mothers' working hours and child's BMI and
WC was greater for children whose mothers were either overweight or obese and
frequently consumed energy-dense, nutrient-poor foods (EDNP). Conclusions for
Practice Long working hours of mothers are associated with higher BMI and WC in
children. Thus, it is important to improve labor welfare for mothers who work
long hours, and provide interventions to promote good health behaviors in both
children and working mothers.
PMID- 29380221
TI - Chordoid meningioma: a clinico-pathological study of an uncommon variant of
meningioma.
AB - Chordoid meningioma is a rare variant of meningioma, with a higher incidence in
the young and a supposed association with Castleman's syndrome. They have an
aggressive clinical course, and are assigned as WHO grade II meningiomas. To the
best of our knowledge, 284 chordoid meningiomas have been reported in the
literature. This series reporting 33 cases is the third largest series in
published literature from a single Institution. We reviewed Clinico-pathological
characteristics of 33 patients diagnosed with chordoid meningioma between 2001
and 2015 in our institution. Forty-one specimens were available for review of
histopathological and immunohistochemical characteristics. There were 15 men and
18 women with mean age of 36.8 years (median 36 years, range 9-62 years) at
diagnosis with three cases occurring in pediatric age group. The majority were
supratentorial in location with 11 convexity, 1 falcine, 5 parasagittal, 1
intraventricular, skull base involvement in 12 with 4 being petroclival location
and 3 had spinal lesions. Lymphoplasmacytic infiltrates were seen in 23 cases
with majority being T cells. MIB index varied from 1 to 14%. Five patients
received radiotherapy for residual lesion. Two patients died (recurrence-1, post
operative complication-1). Three patients were lost to follow up after surgery.
The mean post-operative follow up period for the remaining was 55.3 months. Seven
patients had recurrence of which three had it twice. This study adds to the pool
of available data for better understanding of this variant of meningioma. These
meningiomas occur in middle age; spinal lesions and pediatric cases are not
uncommon. We did not find any association between surgery, post-operative
radiotherapy and histopathological features with recurrence and survival. Small
number of cases may be responsible for this statistical insignificance.
PMID- 29380222
TI - Cross-Cultural Medical Care Training and Education: a National Survey of
Pediatric Hematology/Oncology Fellows-in-Training and Fellowship Program
Directors.
AB - Pediatric hematologists/oncologists face complex situations such as breaking bad
news, treatment/clinical trials discussions, and end-of-life/hospice care. With
increasing diversity in patient and physician populations, cultural competency
and sensitivity training covering different aspects of pediatric
hematology/oncology (PDHO) care can help improve health care delivery and reduce
disparities. Though it is considered a required component of fellowship training,
there is no clearly defined curriculum meant specifically for PDHO fellows-in
training (PDHO-F). A national online survey of 356 PDHO-F and 67 PDHO program
directors (PDHO-PD) was conducted to assess the educational experience,
perceptions about identifying barriers including one's own biases and trainee
comfort in delivering culturally sensitive care in various PDHO relevant clinical
situations. One hundred and eleven (31.2%) PDHO-F and 27 (40.3%) PDHO-PD
responded. 30.6% of PDHO-F "strongly agreed/agreed" they received comprehensive
cross-cultural communication (CCC) training. The top two teaching methods were
faculty role modeling and informal teaching. Majority of CCC training is in
medical school or residency and only 10.8% of PDHO-F reported that most of their
CCC training was in fellowship. In most clinical situations, there was a modest
direct correlation between the fellow's level of agreement that they received
comprehensive CCC training and their comfort level. Comfort level with some
clinical situations was also significantly different based on year of training.
Fellowship training programs should have CCC curricula which use experiential
learning models and lay the foundation for promoting cultural awareness, self
reflection, and better patient-physician partnerships which can eventually adapt
to and surmount the challenges unique to the physician's chosen field of
practice.
PMID- 29380223
TI - Thirty Years of the Journal of Cancer Education: a Review.
AB - Since its foundation in 1986, the Journal of Cancer Education (JCE) has served as
an important outlet for myriad aspects of cancer education and currently serves
as the official journal of the American and European Associations for Cancer
Education. During its history, the JCE has been under the auspices of five
publishers, with its first full year under the current publisher, Springer, in
2010. Print and distribution metrics from 2010 to present were obtained from
Springer. These were compared to historical data including the first 10 years of
the JCE, published by Dr. Bakemeier in 1995. Since its beginning, the JCE has
consisted of four issues per year. The original contract for 256 pages per year
has increased to an average of 858 pages from 2010 to 2014. In 2015, the JCE
received a total of 344 submissions, up from 339 in 2014, and 262 the year
before. This is a stark contrast to the roughly 44 submission received in 1994.
Over this same period, the overall rejection rate has increased from 30% in 2010
to 45% in 2015. The number of online deals has increased from 347 in 2014 to 361
in 2015 and has been accompanied by a steady increase in the number of full-text
article downloads: 19,000 in 2010 to 58,923 in 2015. Accordingly, the JCE has
seen a pronounced and steady increase in impact factor, rising from 0.52 in 2009
to 1.368 in 2015. Since moving to Springer, the JCE has seen unprecedented
growth, receiving increasing submissions yearly, an increasing number of
subscription deals and online full-text downloads, and a corresponding increase
in impact factor.
PMID- 29380224
TI - Prosthetic valve endocarditis caused by Propionibacterium species: a national
registry-based study of 51 Swedish cases.
AB - Propionibacterium spp. are a rare cause of infective endocarditis (IE). The
diagnosis is difficult because the bacteria are slow-growing and growth in blood
cultures is often misinterpreted as contamination from the skin flora. The aim of
this study was to describe all cases of Propionibacterium spp. endocarditis in
the Swedish national registry of IE. The registry was searched for all cases of
IE from 1995 to 2016 caused by Propionibacterium spp. Data concerning clinical
characteristics, treatment, and outcome were registered. A total of 51 episodes
of definitive prosthetic valve endocarditis (PVE) caused by Propionibacterium
spp. were identified, comprising 8% of cases of PVE during the study period.
Almost all cases (n = 50) were male. The median time from surgery to diagnosis of
IE was 3 years. Most patients were treated mainly with beta-lactams, partly in
combination with aminoglycosides. Benzyl-penicillin was the most frequently used
beta-lactam. A total of 32 patients (63%) underwent surgery. Overall, 47 patients
(92.1%) were cured, 3 (5.9%) suffered relapse, and 1 (2.0%) died during
treatment. IE caused by Propionibacterium spp. almost exclusively affects men
with a prosthetic valve and findings of Propionibacterium spp. in blood cultures
in such patients favors suspicion of a possible diagnosis of IE. In patients with
prosthetic valves, prolonged incubation of blood cultures up to 14 days is
recommended. The prognosis was favorable, although a majority of patients
required cardiac surgery during treatment. Benzyl-penicillin should be the first
line antibiotic treatment option for IE caused by Propionibacterium spp.
PMID- 29380225
TI - Considerable variation of trough beta-lactam concentrations in older adults
hospitalized with infection-a prospective observational study.
AB - In older adults, few studies confirm that adequate concentrations of antibiotics
are achieved using current dosage regimens of intravenous beta-lactam
antibiotics. Our objective was to investigate trough concentrations of
cefotaxime, meropenem, and piperacillin in older adults hospitalized with
infection. We included 102 patients above 70 years of age. Total trough
antibiotic concentrations were measured and related to suggested target
intervals. Information on antibiotic dose, patient characteristics, and 28-day
outcomes were collected from medical records and regression models were fitted.
Trough concentrations for all three antibiotics exhibited considerable variation.
Mean total trough concentrations for cefotaxime, meropenem, and piperacillin were
6.5 mg/L (range 0-44), 3.4 mg/L (range 0-11), and 30.2 mg/L (range 1.2-131),
respectively. When a target range of non-species-related breakpoint - 5* non
species-related breakpoint was applied, only 36% of patients had both values
within the target range. Regression models revealed that severe sepsis was
associated with varying concentration levels and increasing age and diminishing
kidney function with high concentration levels. The study was not powered to
demonstrate consequences in clinical outcomes. Conclusively, in older adults
treated with cefotaxime, meropenem, or piperacillin-tazobactam, trough antibiotic
concentrations varied considerably. Better predictors to guide dosing regimens of
beta-lactam antibiotics or increased use of therapeutic drug monitoring are
potential ways to address such variations.
PMID- 29380226
TI - Infections in liver and lung transplant recipients: a national prospective
cohort.
AB - Infections are a major complication of solid organ transplants (SOTs). This study
aimed to describe recipients' characteristics, and the frequency and etiology of
infections and transplant outcome in liver and lung SOTs, and to investigate
exposures associated to infection and death in liver transplant recipients. The
study population included recipients of SOTs performed in Italy during a 1-year
period in ten Italian lung transplant units and eight liver transplant units.
Data on comorbidities, infections, retransplantation, and death were
prospectively collected using a web-based system, with a 6-month follow-up. The
cumulative incidence of infection was 31.7% and 47.8% in liver and lung
transplants, respectively, with most infections occurring within the first month
after transplantation. Gram-negatives, which were primarily multidrug-resistant,
were the most frequent cause of infection. Death rates were 0.42 per 1000
recipient-days in liver transplants and 1.41 per 1000 recipient-days in lung
transplants. Infection after SOT in adult liver recipients is associated to an
increased risk of death (OR = 13.25; p-value < 0.001). Given the frequency of
infection caused by multidrug-resistant microorganisms in SOT recipients in Italy
and the heavy impact of infections on the transplant outcome, the reinforcement
of surveillance and control activities to prevent the transmission of multidrug
resistant microorganisms in SOT recipients represents a priority. The
implementation of the study protocol in liver and lung transplant units and the
sharing of results have increased the awareness about the threat due to
antimicrobial resistance in the country.
PMID- 29380227
TI - Adherence Measurements in HIV: New Advancements in Pharmacologic Methods and Real
Time Monitoring.
AB - PURPOSE OF REVIEW: In this review, we present new developments in antiretroviral
adherence, focusing on pharmacological measures and real-time adherence
monitoring. In addition, new strategies on how to incorporate these new measures
into research and clinical care are proposed. RECENT FINDINGS: Antiretroviral
drug concentrations in hair and dried blood spots are two novel pharmacological
measures of cumulative drug adherence and exposure that have been recently
evaluated in HIV treatment and pre-exposure prophylaxis. Real-time adherence
monitoring using electronic devices has also proven highly informative, feasible,
and well accepted, offering the possibility for an immediate intervention when
non-adherence is detected. Both approaches offer considerable advantages over
traditional adherence measures in predicting efficacy. New methods to objectively
monitor adherence in real-time and over long time periods have been developed.
Further research is required to better understand how these measures can optimize
adherence and, ultimately, improve clinical outcomes in HIV treatment and
prevention.
PMID- 29380228
TI - Do patients have a say? A narrative review of the development of patient-reported
outcome measures used in elective procedures for coronary revascularisation.
AB - PURPOSE: Patient-reported outcome measures (PROMs) capture health information
from the patient's perspective that can be used when weighing up benefits, risks
and costs of treatment. This is important for elective procedures such as those
for coronary revascularisation. Patients should be involved in the development of
PROMs to accurately capture outcomes that are important for the patient. The aims
of this review are to identify if patients were involved in the development of
cardiovascular-specific PROMs used for assessing outcomes from elective coronary
revascularisation, and to explore what methods were used to capture patient
perspectives. METHODS: PROMs for evaluating outcomes from elective coronary
revascularisation were identified from a previous review and an updated
systematic search. The studies describing the development of the PROMs were
reviewed for information on patient input in their conceptual and/or item
development. RESULTS: 24 PROMs were identified from a previous review and three
additional PROMs were identified from the updated search. Full texts were
obtained for 26 of the 27 PROMs. The 26 studies (11 multidimensional, 15
unidimensional) were reviewed. Only nine studies reported developing PROMs using
patient input. For eight PROMs, the inclusion of patient input could not be
judged due to insufficient information in the full text. CONCLUSIONS: Only nine
of the 26 reviewed PROMs used in elective coronary revascularisation reported
involving patients in their conceptual and/or item development, while patient
input was unclear for eight PROMs. These findings suggest that the patient's
perspective is often overlooked or poorly described in the development of PROMs.
PMID- 29380229
TI - How well do discrete choice experiments predict health choices? A systematic
review and meta-analysis of external validity.
AB - Discrete choice experiments (DCEs) are economic tools that elicit the stated
preferences of respondents. Because of their increasing importance in informing
the design of health products and services, it is critical to understand the
extent to which DCEs give reliable predictions outside of the experimental
context. We systematically reviewed the literature of published DCE studies
comparing predictions to choices made in reality; we extracted individual-level
data to estimate a bivariate mixed-effects model of pooled sensitivity and
specificity. Eight studies met the inclusion criteria, and six of these gave
sufficient data for inclusion in a meta-analysis. Pooled sensitivity and
specificity estimates were 88% (95% CI 81, 92%) and 34% (95% CI 23, 46%),
respectively, and the area under the SROC curve (AUC) was 0.60 (95% CI 0.55,
0.64). Results indicate that DCEs can produce reasonable predictions of health
related behaviors. There is a great need for future research on the external
validity of DCEs, particularly empirical studies assessing predicted and revealed
preferences of a representative sample of participants.
PMID- 29380230
TI - Thyroid Hormone Promotes beta-Catenin Activation and Cell Proliferation in
Colorectal Cancer.
AB - Thyroid hormone status has long been implicated in cancer development. Here we
investigated the role of thyroxine (T4) in colorectal cancer cell lines HCT 116
(APC wild type) and HT-29 (APC mutant), as well as the primary cultures of cancer
cells derived from patients. Cell proliferation was evaluated with standard assay
and proliferation marker expression. beta-Catenin activation was examined
according to nuclear beta-catenin accumulation and beta-catenin target gene
expression. The results showed that T4 increased colorectal cancer cell
proliferation while cell number and viability were elevated by T4 in both
established cell lines and primary cells. Moreover, the transcriptions of
proliferative genes PCNA, CCND1, and c-Myc were enhanced by T4 in the primary
cells. T4 induced nuclear beta-catenin accumulation, as well as high cyclin D1
and c-Myc levels compared to the untreated cells. In addition, the beta-catenin
directed transactivation of CCND1 and c-Myc promoters was also upregulated by T4.
CTNNB1 transcription was raised by T4 in HCT 116, but not in HT-29, while the
boosted beta-catenin levels were observed in both. Lastly, the T4-mediated gene
expression could be averted by the knockdown of beta-catenin. These results
suggested that T4 promotes beta-catenin activation and cell proliferation in
colorectal cancer, indicating that an applicable therapeutic strategy should be
considered.
PMID- 29380231
TI - Riedel's thyroiditis: clinical presentation, treatment and outcomes.
AB - BACKGROUND: Riedel's thyroiditis (RT) is a rare inflammatory disease of the
thyroid gland, causing compression and fibrosis of adjacent tissues. Typically
the goiter is hard and firm. Hoarseness, dyspnea, and dysphagia may be present.
METHODS: We retrospectively reviewed all patients known by us with RT in addition
to all patients with appropriate ICD-10 codes evaluated at the Karolinska
University Hospital 2003-2015. Clinical, biochemical, and histological data of
patients with RT were recorded in detail. Histological preparations were re
examined when available. RESULTS: RT was diagnosed in six patients. Five were
females and the median age at first presentation was 50 years (25-81 years).
Median follow-up time was 3.75 years (1-22 years). At diagnosis five had
hypothyroidism. Four had extrathyroidal manifestations, and one of these had also
distant fibrosis. One patient had a clear IgG4/IgG ratio over 40%. One patient
was treated with tracheostomy, one with isthmectomy and one with total
thyroidectomy. Four had been treated with glucocorticoids, four with tamoxifen,
and two with both drugs. One had also been treated with mycophenolate mofetil
combined with Rituximab. At the end of follow-up four was doing fine, one had
recurrent episodes of inflammation and one had died of possible complications to
RT. CONCLUSION: It is important to recognize RT and give adequate treatment.
Steroids are still the mainstay of therapy but other medications against
fibrosclerosis can be considered. Wakefulness of other fibrosing manifestations
is essential. Immunohistochemistry can show whether IgG-4 plasma cells are
increased which could lead to fibrosis in other organs.
PMID- 29380232
TI - The influence of prehypertension, hypertension, and glycated hemoglobin on the
development of type 2 diabetes mellitus in prediabetes: the Korean Genome and
Epidemiology Study (KoGES).
AB - BACKGROUND: It has been reported that elevated blood pressure (BP) was
significantly associated with the increased risk for type 2 diabetes mellitus
(T2DM). However, there is still limited information about the influence of BP on
the risk for T2DM across the level of glycated hemoglobin (HbA1c). METHOD: In a
cohort of the Korean Genome and Epidemiology Study (KoGES), 2830 non-diabetic
Korean adults with prediabetes defined by HbA1c level of 5.7-6.4% were followed
up for 10 years. Multivariate cox proportional hazards assumption was used to
assess the risk for T2DM according to the baseline BP categories (normal,
prehypertension and hypertension) and HbA1c level (low: 5.7-5.9% and high: 6.0
6.4%). RESULTS: The risk for T2DM significantly increased proportionally to BP
categories (adjusted HR; reference in normal BP, 1.32 [1.10-1.59] in
prehypertension and 1.61 [1.35-1.92] in hypertension). Subgroup analysis
indicated that individuals with high HbA1c had the higher risk for T2DM than
individuals with low HbA1c regardless of BP. Additionally, combined presence of
hypertension and high HbA1c had the highest risk for T2DM (adjusted HR: 3.82
[3.00-4.87]). In each systolic and diastolic BP level, the risk for T2DM
significantly increased from systolic BP >= 130 mmHg (adjusted HRs: 1.39 ([1.15
1.71]) and diastolic BP >= 80 mmHg (adjusted HRs: 1.30 ([1.07-1.58]). CONCLUSION:
BP and HbA1c may be useful tools in identifying individuals with prediabetes more
potentially predisposed to T2DM. Prospective studies should be considered to
examine whether controlling BP actually lowers the risk for T2DM.
PMID- 29380233
TI - p38 differentially regulates ERK, p21, and mitogenic signalling in two pancreatic
carcinoma cell lines.
AB - Whereas the p38 MAP kinase has largely been associated with anti-proliferative
functions, several observations have indicated that it may also have positive
effects on proliferation. In hepatocytes, we have found that p38 has opposing
effects on DNA synthesis when activated by EGF and HGF. Here we have studied the
function of p38 in EGF- and HGF-induced DNA synthesis in the two pancreatic
carcinoma cell lines AsPC-1 and Panc-1. In Panc-1 cells, the MEK inhibitor
PD98059 reduced EGF- and HGF-induced DNA synthesis, while the p38 inhibitor
SB203580 strongly increased the basal DNA synthesis and reduced expression of the
cyclin-dependent kinase inhibitor (CDKI) p21. In contrast, in AsPC-1 cells, EGF-
and HGF-induced DNA synthesis was not significantly reduced by PD98059 but was
inhibited by SB203580. Treatment with SB203580 amplified the sustained ERK
phosphorylation induced by these growth factors and caused a marked upregulation
of the expression of p21, which could be blocked by PD98059. These results
suggest that while DNA synthesis in Panc-1 cells is enhanced by ERK and strongly
suppressed by p38, in AsPC-1 cells, p38 exerts a pro-mitogenic effect through
MEK/ERK-dependent downregulation of p21. Thus, p38 may have suppressive or
stimulatory effects on proliferation depending on the cell type, due to
differential cross-talk between the p38 and MEK/ERK pathways.
PMID- 29380234
TI - Exploring the current and future role of the pharmacists in osteoporosis
screening and management in Malaysia.
AB - Background Several studies have found that pharmacists can assist in screening
and prevention of osteoporosis by referring patients for bone mineral density
scans and counselling on lifestyle changes. In Malaysia, screening osteoporosis
in all elderly women is not mandatory due to its cost. One approach to address
this gap is to develop a pharmacist-led osteoporosis screening and prevention
program. However, there is a paucity of data on the perspectives of Malaysian
pharmacists in this area. Objective To explore the perspective of stakeholders
(policy makers, doctors, pharmacists, nurses and patients) towards the role of
pharmacists in osteoporosis screening and management. Setting A primary care
clinic located within a teaching hospital in Kuala Lumpur, Malaysia. Method
Patients (n = 20), nurses (n = 10), pharmacists (n = 11), doctors (n = 10) and
policy makers (n = 5) were individually interviewed using a semi-structured topic
guide. Purposive sampling was used. Interviews were transcribed verbatim and
analysed using thematic analysis. Main outcome measure Perspective of
stakeholders on the current and future role of pharmacists. Results All
participants perceived pharmacists to be suppliers of medication, although there
was some recognition of roles in providing medication advice. Nonetheless, these
stakeholders were eager for pharmacists to expand their non-dispensing roles
towards counselling, creating awareness and screening of osteoporosis.
Interviewed pharmacists referred to their current role as 'robotic dispensers'
and unanimously agreed to spread out to osteoporosis management role. Conclusion
Under stakeholders there is a willingness to expand the role of pharmacists in
Malaysia to non-dispensing roles, particularly in osteoporosis screening and
management.
PMID- 29380235
TI - Unintended consequences for patients of future personalized pharmacoprinting.
AB - Manufacturing pharmaceuticals by the use of 3D printing is a promising way to
achieve more personalized drug treatment. To effectively use this technology,
patients need to continuously measure their health, and new decisions have to be
taken, for example, regarding the number of daily drugs including how many active
pharmaceutical substances these should contain along with decisions around size,
shape and color. Positive as well as negative effects of pharmacoprinted medicine
on patients are likely to occur. Negative consequences with influence on patient
autonomy and role might include: patients not being capable or interested in
conducting self-monitoring, loosing overview of the medical treatment, reducing
the ability to perform self-regulation, loosing trust in the pharmacoprinted
medicine, and not being interested in taking on a new role in medical decision
making. These issues are discussed in the paper in order to prevent upcoming
challenges in the area of pharmacoprinting.
PMID- 29380236
TI - Drug related problems identified by clinical pharmacist at the Internal Medicine
Ward in Turkey.
AB - Background Drug-related problems (DRPs) interfere with patient optimal
therapeutic outcomes and may be associated with higher morbidity, mortality and
healthcare expenditures. Objective This study aimed to identify DRPs and their
causes in a Turkish hospital. Setting Bakirkoy Dr. Sadi Konuk Teaching and
Research Hospital, Internal Medicine Ward, Istanbul, Turkey. Method Cross
sectional study included a total of 100 patients. Patient demographics,
medications, and history were evaluated. Data regarding recent medications were
analyzed by two clinical pharmacists and an Internal Medicine physician. The DRPs
were identified via V7.0 PCNE classification. Lexicomp(r) was used to assess the
drug-drug interactions. UpToDate(r) recommendations and national guidelines were
applied in the assessment of compliance with approved medication procedures. Main
outcome measures Number and causes of the potential DRPs. Results At least one
potential DRP was seen in 80% of the patients and 163 potential DRPs were
identified (average = 1.6 DRPs/patient). The most common causes of DRPs were
errors in drug selection (44.78%), dose selection (27.61%) and medication
procedures (21.47%). There were significant correlations (p < 0.05) between DRPs
and age (r = 0.4), number of drugs used (r = 0.32), duration of hospitalization
(r = 0.25), renal impairment (r = - 0.34) and inflammation (r = 0.31). Conclusion
The majority of the patients had DRPs. Patients with renal impairment,
inflammation, polypharmacy or an extended hospital stay had a much higher chance
of developing DRPs.
PMID- 29380237
TI - N-3 polyunsaturated fatty acids for prevention of postoperative atrial
fibrillation: updated meta-analysis and systematic review.
AB - BACKGROUND OR PURPOSE: N-3 polyunsaturated fatty acids (PUFA) have been
postulated to have an anti-arrhythmic effect on postoperative atrial fibrillation
(POAF), with conflicting results among studies. This study on pooled data
evaluated the effect of PUFA on POAF among patients undergoing cardiac surgery.
METHODS: The Pubmed, EMBASE, and CENTRAL databases were searched without
restriction on language for randomized controlled trials on the effect of PUFA on
POAF that were published before August 31, 2017. The incidence of POAF was
extracted as primary endpoint. Pooled data were assessed by using a random
effects model. RESULTS: Out of 269 articles identified, 14 studies with 3570
patients were eligible and included in the meta-analysis. PUFA reduced incidence
of POAF (RR 0.84 [95% CI 0.73-0.98], P = 0.03). The funnel plot and fail-safe
number suggested insignificant publication bias. In sensitivity and subgroup
analyses, (1) PUFA was effective in preventing POAF for eicosapentaenoic acid
(EPA)/DHA < 1 (0.51 [0.36-0.73], P = 0.0003) but not EPA/DHA > 1 or unknown; (2)
the efficacy in reducing POAF was apparent when placebo was usual care (0.59
[0.44-0.80], P = 0.0005), but not when placebo was non-fish oils; and (3) PUFA
reduced POAF after CABG (0.68 [0.47-0.97], P = 0.03), but not other cardiac
surgery. CONCLUSIONS: PUFA appears to reduce the incidence of POAF. However, the
said protective effect may be influenced by EPA/DHA ratio, with < 1 appearing
preferable. PUFA efficacy on POAF prevention appeared insignificant when compared
with non-fish oils and only apparent in the setting of CABG alone. Further
studies are needed to confirm the effect of PUFA on POAF and to assess the proper
use of PUFA against POAF.
PMID- 29380238
TI - Activating adenosine A1 receptor accelerates PC12 cell injury via ADORA1/PKC/KATP
pathway after intermittent hypoxia exposure.
AB - Obstructive sleep apnea hypopnea syndrome (OSAHS) is associated with the
neurocognitive deficits as a result of the neuronal cell injury. Previous studies
have shown that adenosine A1 receptor (ADORA1) played an important role against
hypoxia exposure, such as controlling the metabolic recovery in rat hippocampal
slices and increasing the resistance in the combined effects of hypoxia and
hypercapnia. However, little is known about whether ADORA1 takes part in the
course of neuronal cell injury after intermittent hypoxia exposure which was the
main pathological characteristic of OSAHS. The present study is performed to
explore the underlying mechanism of neuronal cell injury which was induced by
intermittent hypoxia exposure in PC12 cells. In our research, we find that the
stimulation of the ADORA1 by CCPA accelerated the injury of PC12 cells as well as
upregulated the expression of PKC, inwardly rectifying potassium channel
6.2(Kir6.2) and sulfonylurea receptor 1(SUR1) while inhibition of the ADORA1 by
DPCPX alleviated the injury of PC12 cells as well as downregulated the expression
of PKC, Kir6.2, and SUR1. Moreover, inhibition of the PKC by CHE, also mitigated
the injury of PC12 cells, suppressed the Kir6.2 and SUR1 expressions induced by
PKC. Taken together, our findings indicate that ADORA1 accelerated PC12 cells
injury after intermittent hypoxia exposure via ADORA1/PKC/KATP signaling pathway.
PMID- 29380239
TI - VEGF/PKD-1 signaling mediates arteriogenic gene expression and angiogenic
responses in reversible human microvascular endothelial cells with extended
lifespan.
AB - Microvascular ECs (MVECs) are an ideal model in angiogenesis research. The aim of
this study was to determine vascular endothelial growth factor (VEGF)/protein
kinase D1 (PKD-1) signaling in expression of arteriogenic genes in human MVECs.
To achieve this aim, we transduced specific SV40 large T antigen and telomerase
into primary human dermal MVECs (HMVEC-D) to establish reversible HMVECs with
extended lifespan (HMVECi-D). HMVECi-D was then exposed to VEGF/VEGF-inducer
GS4012 or transduced with constitutively active protein kinase PKD-1 (PKD-CA).
Quantitative RT-PCR was performed to detect arteriogenic gene expression.
Furthermore, the angiogenic capacity in response to VEGF pathway was evaluated by
Matrigel tube-formation and proliferation assays. We observed that VEGF/PKD-1
signaling axis significantly stimulated the expression of arteriogenic genes and
promoted EC proliferation, along with downregulation of CD36 expression.
Intriguingly, overexpression of PKD-CA also resulted in formation of tip cell
morphology, accompanied by increased mRNA of delta-like ligand 4 (DLL4). In
conclusion, we have successfully established and characterized HMVECi-D, and
showed that VEGF/PKD-1 signaling axis increases angiogenic and arteriogenic gene
expression. These studies suggest that the axis may regulate arteriolar
differentiation through changing MVEC gene expression.
PMID- 29380240
TI - Calcineurin is an important factor involved in glucose uptake in human
adipocytes.
AB - Calcineurin inhibitors are used in immunosuppressive therapy applied after
transplantation, but they are associated with major metabolic side effects
including the development of new onset diabetes. Previously, we have shown that
the calcineurin inhibiting drugs tacrolimus and cyclosporin A reduce adipocyte
and myocyte glucose uptakes by reducing the amount of glucose transporter type 4
(GLUT4) at the cell surface, due to an increased internalization rate. However,
this happens without alteration in total protein and phosphorylation levels of
key proteins involved in insulin signalling or in the total amount of GLUT4. The
present study evaluates possible pathways involved in the altered internalization
of GLUT4 and consequent reduction of glucose uptake provoked by calcineurin
inhibitors in human subcutaneous adipose tissue. Short- and long-term treatments
with tacrolimus, cyclosporin A or another CNI deltamethrin (herbicide) decreased
basal and insulin-dependent glucose uptake in adipocytes, without any additive
effects observed when added together. However, no tacrolimus effects were
observed on glucose uptake when gene transcription and protein translation were
inhibited. Investigation of genes potentially involved in GLUT4 trafficking
showed only a small effect on ARHGEF11 gene expression (p < 0.05). In conlusion,
the specific inhibition of calcineurin, but not that of protein phosphatases,
decreases glucose uptake in human subcutaneous adipocytes, suggesting that
calcineurin is an important regulator of glucose transport. This inhibitory
effect is mediated via gene transcription or protein translation; however,
expression of genes potentially involved in GLUT4 trafficking and endocytosis
appears not to be involved in these effects.
PMID- 29380241
TI - Novel ethanocycloheptono [3,4,5-kl]benzo[a]xanthene induces apoptosis in BEL-7402
cells.
AB - A novel polycyclic bridged-ring xanthene 2 was synthesized by nucleophilic
substitution followed by Michael addition reaction between parent dibenzoxanthene
1 and acetylacetone. The structure of compound 2 was also confirmed by single
crystal X-ray diffraction. We studied the binding activity of this compound with
bovine serum albumin (BSA) by fluorescent and UV-visible spectra. The results
showed that compound had strong binding ability with BSA. Cell viability in five
tumor cell lines was studied by MTT assay. The cytotoxic effect of bridged-ring
xanthene 2 against BEL-7402 cells was examined by morphological analyses and
biochemical assays. Significant nuclear damages of BEL-7402 cells were observed
after cells were treated with compound in a comet assay. The compound also caused
DNA damage and S phase arrest in BEL-7402 cells. The efficient induction of
apoptosis by the compound was confirmed by flow cytometry. Additionally, the
characteristic nuclear and morphological changes during apoptotic cell death were
investigated by fluorescent microscopy. The compound 2 enhanced the reactive
oxygen species (ROS) and decreased the mitochondrial membrane potential. Western
blot assay indicated that the compound can active caspase-3, caspase-7, down
regulate the level of Bcl-2, Bcl-x, and up-regulate the level of pro-apoptosis
protein Bax. The compound 2 induces apoptosis of BEL-7402 cells through a ROS
mediated mitochondrial dysfunction pathway.
PMID- 29380242
TI - Comparison of short-term and medium-term swimming training on cardiodynamics and
coronary flow in high salt-induced hypertensive and normotensive rats.
AB - The aim of present study was to evaluate the effects of 3- and 6-week swimming
exercise on cardiodynamics and coronary flow in high salt-induced hypertensive
and normotensive rats. 80 male Wistar albino rats (6 weeks old) were divided into
8 groups: hypertensive animals that swam for 3 weeks; hypertensive animals that
swam for 6 weeks and their respective sedentary controls; normotensive animals
that swam for 3 weeks; normotensive animals that swam for 6 weeks and their
respective sedentary controls. Hypertensive animals were on high sodium (8% NaCl
solution) diet for 4 weeks, and these animals did not drink tap water during the
experimental protocol. After sacrificing, hearts were isolated and perfused
according to Langendorff technique at gradually increased coronary perfusion
pressure (40-120 cmH2O). The following parameters of cardiac function were
continuously recorded: maximum and minimum rate of pressure development in LV,
systolic, and diastolic left ventricular pressure, and heart rate. Coronary flow
was measured flowmetrically. Findings of the present study may help in better
understanding of short- to medium-term exercise-induced direct effects on cardiac
function and perfusion. Generally viewed, swimming of both durations did not
change myocardial function and perfusion in hypertensive and normotensive
conditions.
PMID- 29380243
TI - Quercetin exerts an inhibitory effect on cellular bioenergetics of the B164A5
murine melanoma cell line.
AB - Modulation of mitochondrial bioenergetics and glycolysis in malignancies has
recently emerged a potential chemotherapeutic strategy since numerous malignant
cells have overcome inhibition of the glycolytic pathway by increasing
mitochondrial ATP production. Quercetin is a flavonoid with antioxidant,
antiangiogenic, and chemoprotective properties but the mitochondrial effects are
less characterized. The present study was purported to assess the effects of
quercetin on the bioenergetic profile of B164A5 murine melanoma cell line. The
oxygen consumption rate (OCR) and extracellular acidification rate (ECAR) were
measured at 24, 48, and 72 h of treatment of B164A5 murine melanoma cells with
increasing concentrations (25, 50, 100, and 150 uM) of quercetin using the
extracellular flux analyzer Seahorse XF24e (Seahorse Agilent). Analysis of
mitochondrial function was performed in the presence of the classic modulators of
the electron transport chain: oligomycin, FCCP, and rotenone. 72-h treatment with
quercetin induced a dose-dependent decrease of all OCR parameters (basal
respiration, proton leak, ATP turnover, maximal respiration, reserve capacity) as
well as of ECAR. At variance, 48-h treatment induced a decrease of OCR and ECAR
when quercetin was applied at 50, 100, and 150 uM, while the 24-h treatment
induced a decrease of bioenergetic parameters only for the highest concentrations
(100 and 150 uM) of the compound. Our data clearly demonstrated that quercetin
elicited dose-dependent inhibitory effect on examined parameters of cellular
bioenergetics that was most potent at 72 h of treatment. Thereby quercetin,
modulating both glycolytic and mitochondrial pathways for ATP production, might
be an efficient approach in killing cancer cells.
PMID- 29380245
TI - Screening of Potential Inhibitor against Coat Protein of Apple Chlorotic Leaf
Spot Virus.
AB - In this study, we analyzed Coat protein (CP) of Apple chlorotic leaf spot virus
(ACLSV), an important latent virus on Apple. Incidence of the virus is upto 60%
in various apple cultivars, affecting yield losses of the order of 10-40%
(depending upon the cultivar). CP plays an important role as the sole building
block of the viral capsid. Homology approach was used to model 193 amino acid
sequence of the coat protein. We used various servers such as ConSurf, TargetS,
OSML, COACH, COFACTOR for the prediction of active site residues in coat protein.
Virtual screening strategy was employed to search potential inhibitors for CP.
Top twenty screened molecules considered for drugability, and toxicity analysis
and one potential molecule was further analyzed by docking analysis. Here, we
reported a potent molecule which could inhibit the formation of viron assembly by
targeting the CP protein of virus.
PMID- 29380244
TI - Immunological impact of Wharton's Jelly mesenchymal stromal cells and natural
killer cell co-culture.
AB - Due to their easier isolation, multilineage potential, and immunomodulatory
capacity, Wharton's Jelly-derived mesenchymal stromal cells (WJ-MSCs) exhibit
promising efficacy in the field of regenerative medicine and immunotherapy.
Characterization of WJ-MSCs-natural killer (NK) cells crosstalk is required for
ameliorating the medicinal value of WJ-MSCs. Here, we revealed that the outcome
of WJ-MSCs-NK cells crosstalk varied according to the type of cytokines (IL-2, IL
12, IL-15 and IL-21) utilized to activate NK cells. Differently activated NK
cells exerted distinct cytotoxicities against WJ-MSCs causing their probable
death. Cell surface ligands (CD112, CD155, ULPB-3) and receptors (LAIR, CD226,
CD314, CD335, CD336 and CD337) governing the interaction between NK cells and
their targets, exhibited altered expression profiles following the co-culture
with WJ-MSCs. Although partly inhibited NK cell proliferation, WJ-MSCs enhanced
activated NK-cell-mediated secretion of IFN-gamma and TNF-alpha. Moreover, WJ
MSCs reinforced NK cells' degranulation as well as secretion of perforin and
granzymes. On the other hand, WJ-MSCs displayed only slight increase in ROS
generation but significant decrease in A1 and C1 serpins expression following co
culture with activated NK cells. Altogether, our results highlight that WJ-MSCs
NK cells interaction may affect both cell type features and, therefore, their
therapeutic properties.
PMID- 29380246
TI - Early Effect of Phonological Information in Korean Visual Word Recognition: An
ERP Investigation with Transposed Letters.
AB - The purpose of this study was to examine the role of phonological information on
visual word recognition by using letter transposition effects. The Korean writing
system gives a unique opportunity to investigate such phenomenon since the
transposition of the beginning consonant (onset) and the end consonant (coda) of
a certain syllable allows one to keep the coda phonology constant while changing
the written alphabetic characters. In this study, 23 participants' ERPs to such
transposition cases were compared with the ERPs to cases that do not maintain
coda phonology while the participants were performing a go/no-go lexical decision
task for visually presented letter strings. The results of the current study
showed that transposed materials with original phonological information produce
less N250 than both the baseline condition and the transposed materials with
different phonological information condition. The results suggest that
phonological information is used early in the lexical process in Korean and early
orthographic processing is influenced by the characteristics of the grapheme to
phoneme conversion process.
PMID- 29380247
TI - Examining the Utility of the New Raney Vocabulary Measure Alongside the WAIS-III.
AB - Psychometric tests related to vocabulary assessments are, for the most part,
restricted in their use by trained professionals and/or are costly. These
restrictions limit their use, especially for research purposes. To circumvent
these limitations, the Raney Vocabulary Measure was created for assessing
vocabulary proficiency, specifically for research purposes. The measure consists
of 30 questions where participants were instructed to choose the best definition
of each word. The purpose of the study was to examine the utility of the new
measure using the highly standardized but protected Wechsler Adult Intelligence
Scale. Results from the linear combination of the subscales revealed the
significant prediction of the Raney Vocabulary Measure, with the Vocabulary
subtest contributing most to the unique variance. These results support that the
test examines vocabulary ability. The current results are promising as the test
would allow for greater accessibility for researchers who do not have access to
restricted psychometric tests.
PMID- 29380248
TI - Iron, Dopamine, and alpha-Synuclein Interactions in at-Risk Dopaminergic Neurons
in Parkinson's Disease.
PMID- 29380249
TI - Effects of Ketamine on Basal Gamma Band Oscillation and Sensory Gating in
Prefrontal Cortex of Awake Rats.
AB - Gamma band oscillation (GBO) and sensory gating (SG) are associated with many
cognitive functions. Ketamine induces deficits of GBO and SG in the prefrontal
cortex (PFC). However, the time-courses of the effects of different doses of
ketamine on GBO power and SG are poorly understood. Studies have indicated that
GBO power and SG have a common substrate for their generation and abnormalities.
In this study, we found that (1) ketamine administration increased GBO power in
the PFC in rats differently in the low- and high-dose groups; (2) auditory SG was
significantly lower than baseline in the 30 mg/kg and 60 mg/kg groups, but not in
the 15 mg/kg and 120 mg/kg groups; and (3) changes in SG and basal GBO power were
significantly correlated in awake rats. These results indicate a relationship
between mechanisms underlying auditory SG and GBO power.
PMID- 29380250
TI - Pre-maxillary hypohyperdontia: a report of two cases.
AB - BACKGROUND: Hypodontia and hyperdontia are considered to be at opposite ends of
the dental development spectrum. Nevertheless, these anomalies may occur
concomitantly (hypohyperdontia). CASE REPORT: This clinical report describes two
case of hypohyperdontia occurring exclusively in the premaxillary region, and the
difficulties associated with its diagnosis and management. Following 24 months of
follow-up examinations, Case 1 developed a sequential supernumerary tooth in the
tooth 35 region. CONCLUSION: Cases of hypohyperdontia can occur exclusively in
the premaxillary region and may be difficult to diagnose. Multidisciplinary
treatment planning is essential to facilitate appropriate management, and long
term follow-up is necessary as sequential supernumerary teeth may develop.
PMID- 29380251
TI - Safety of Adalimumab Dosed Every Week and Every Other Week: Focus on Patients
with Hidradenitis Suppurativa or Psoriasis.
AB - BACKGROUND: Adalimumab is approved for the treatment of hidradenitis suppurativa
(HS), plaque psoriasis, and other inflammatory conditions. OBJECTIVE: Our
objective was to examine the safety of adalimumab administered every other week
(EOW) and every week (EW) in patients with HS and psoriasis and to investigate
informative data from non-dermatologic indications. METHODS: The safety of
adalimumab 40-mg EOW versus EW dosing was examined during placebo-controlled and
open-label study periods in patients with HS (three studies), psoriasis (two
studies), Crohn's disease (six studies), ulcerative colitis (three studies), and
rheumatoid arthritis (one study). RESULTS: No new safety risks or increased rates
of particular adverse events (AEs) were identified with EW dosing. In patients
with HS or psoriasis, the overall safety of adalimumab 40-mg EOW and EW was
generally comparable. In studies of adalimumab for non-dermatologic indications,
including Crohn's disease, ulcerative colitis, and rheumatoid arthritis, the
overall AE rates were similar for EW and EOW dosing. CONCLUSION: In patients with
HS or psoriasis, the safety of adalimumab EW and EOW was comparable and
consistent with the expected adalimumab AE profile. The safety of adalimumab EW
dosing in patients with dermatologic conditions is supported by data comparing
adalimumab EW and EOW dosing for Crohn's disease, ulcerative colitis, and
rheumatoid arthritis. TRIAL REGISTRATION: ClinicalTrials.gov NCT00918255,
NCT01468207, NCT01468233, NCT00645814, NCT00077779, NCT00055497, NCT01070303,
NCT00195715, NCT00348283, NCT00385736, NCT00408629, and NCT00573794.
PMID- 29380252
TI - Newborn Screening Guidelines for Congenital Hypothyroidism in India:
Recommendations of the Indian Society for Pediatric and Adolescent Endocrinology
(ISPAE) - Part I: Screening and Confirmation of Diagnosis.
AB - : The Indian Society for Pediatric and Adolescent Endocrinology has formulated
locally relevant Clinical Practice Guidelines for newborn screening, diagnosis
and management of primary congenital hypothyroidism (CH). RECOMMENDATIONS:
Screening should be done for every newborn using cord blood, or postnatal blood,
ideally at 48 to 72 h of age. On this screen sample, neonates with TSH > 20 mIU/L
serum units (or >34 mIU/L for samples taken between 24 to 48 h of age) should be
recalled for confirmation. For screen TSH > 40 mIU/L, immediate confirmatory
venous T4/FT4 and TSH, and for milder elevation of screen TSH, a second screening
TSH at 7 to 10 d of age, should be taken. Preterm and low birth weight infants
should undergo screening at 48-72 h postnatal age. Sick babies should be screened
at least by 7 d of age. Venous confirmatory TSH >20 mIU/L before age 2 wk and >10
mIU/L after age 2 wk, with low T4 (<10 MUg/dL) or FT4 (<1.17 ng/dL) indicate
primary CH and treatment initiation. Imaging is recommended by radionuclide
scintigraphy and ultrasonography after CH is biochemically confirmed but
treatment should not be delayed till scans are performed. Levothyroxine is
commenced at 10 to 15 MUg/kg in the neonatal period. Serum T4/FT4 is measured at
2 wk and TSH and T4/FT4 at 1 mo, then 2 monthly till 6 mo, 3 monthly from 6 mo-3
y and every 3-6 mo thereafter. Babies with the possibility of transient
congenital hypothyroidism should be re-evaluated at age 3 y, to assess the need
for lifelong therapy.
PMID- 29380253
TI - Engineering Thermostable Microbial Xylanases Toward its Industrial Applications.
AB - Xylanases are one of the important hydrolytic enzymes which hydrolyze the beta-1,
4 xylosidic linkage of the backbone of the xylan polymeric chain which consists
of xylose subunits. Xylanases are mainly found in plant cell walls and are
produced by several kinds of microorganisms such as fungi, bacteria, yeast, and
some protozoans. The fungi are considered as most potent xylanase producers than
that of yeast and bacteria. There is a broad series of industrial applications
for the thermostable xylanase as an industrial enzyme. Thermostable xylanases
have been used in a number of industries such as paper and pulp industry, biofuel
industry, food and feed industry, textile industry, etc. The present review
explores xylanase-substrate interactions using gene-editing tools toward the
comprehension in improvement in industrial stability of xylanases. The various
protein-engineering and metabolic-engineering methods have also been explored to
improve operational stability of xylanase. Thermostable xylanases have also been
used for improvement in animal feed nutritional value. Furthermore, they have
been used directly in bakery and breweries, including a major use in paper and
pulp industry as a biobleaching agent. This present review envisages some of such
applications of thermostable xylanases for their bioengineering.
PMID- 29380254
TI - Management of immune thrombocytopenia in multiple sclerosis patients treated with
alemtuzumab: a Belgian consensus.
AB - Alemtuzumab (Lemtrada(r)) is a humanized monoclonal antibody indicated for the
treatment of adult patients with relapsing-remitting multiple sclerosis with
active disease defined by clinical or imaging features. Alemtuzumab demonstrated
superior efficacy over active comparator in both treatment naive patients and
those with inadequate response to prior therapy. Alemtuzumab is associated with a
consistent and manageable safety and tolerability profile. Treatment with
alemtuzumab for multiple sclerosis increases the risk for autoimmune adverse
events including immune thrombocytopenia (ITP). Complete blood counts with
differential should be obtained prior to initiation of treatment and at monthly
intervals thereafter for 48 months after the last infusion. After this period of
time, testing should be performed based on clinical findings suggestive of ITP.
If ITP onset is confirmed, appropriate medical intervention should be promptly
initiated, including immediate referral to a specialist. This paper presents the
consensus of Belgian multiple sclerosis specialists and hematologists to guide
the treating physician with practical recommendations.
PMID- 29380255
TI - The Teramoto distal tibial oblique osteotomy (DTOO): surgical technique and
applicability for ankle osteoarthritis with varus deformity.
AB - We have devised a medial peri-articular osteotomy, the distal tibial oblique
osteotomy (DTOO), and have used this technique since 1994 for ankle
osteoarthritis of advanced and late stages associated with varus inclination.
This report describes the surgical technique and its applicability. DTOO can be
used for cases of varus ankle osteoarthritis with a range of the ankle joint
movement of at least 10 degrees or more. The osteotomy is obliquely directed cut
across the distal tibia from proximal-medial to distal lateral and is of an
opening-wedge type with the centre of rotation coincident with the centre of the
tibiofibular joint. A laminar spreader instrument is inserted in the osteotomy to
open the wedge until the lateral surface of the talar body is seen on X-ray to be
in contact and congruent with medial articular surface of the lateral malleolus.
Common obstacles which may prevent this contact and congruency are bony spurs
present on the anterior side of fibula or on the lateral side of the tibia; these
require removal. The opening-wedge osteotomy is held in position by an Ilizarov
external fixator or internally fixed with a plate. Bone graft is taken from the
iliac crest and inserted into the open wedge. If, after completion of the
osteotomy, the dorsiflexion angle of the ankle joint does not exceed 0 degrees ,
a Z-lengthening is performed of the Achilles tendon. In the DTOO for ankle
osteoarthritis, the contact area of the ankle joint increases and decreases the
load pressure per unit area. Furthermore, as the width of the ankle mortice is
restored through the realignment of the body of the talus, instability at the
ankle joint decreases. There is additional improvement with restoration of the
inclination of the distal tibial articular surface as this directs the hindfoot
valgus and corrects the alignment of the foot, with consequent improvement of
ankle pain.
PMID- 29380256
TI - A novel treatment approach to infected nonunion of long bones without systemic
antibiotics.
AB - Infected nonunion of long bones may require intravenous antibiotics over a
lengthy period which may result in a high rate of complications. This study aims
to assess the efficacy of local antibiotics used as a replacement to prolonged
intravenous therapy. Thirteen patients with infected nonunion of long bones who
failed at least one previous surgery were included. The infection was treated
through extensive debridement, application of antibiotic-impregnated calcium
sulphate pellets and the bone stabilized with external fixation. These patients
were monitored for union and infection by clinical signs, laboratory values, and
radiographs over a period of 24 months. The results support an eradication of
infection and union in all patients with no antibiotic-associated complications.
Local antibiotic delivery using calcium sulphate pellets provides an effective
method for treatment of nonunion in long bones and is free of the complications
from the intravenous route.
PMID- 29380257
TI - Dietary Supplementation with Chromium Picolinate Influences Serum Glucose and
Immune Response of Brown-Egg Laying Hens.
AB - To investigate the effect of chromium picolinate (CrPic) on egg production
performance, egg quality, blood indices, and immune function of laying hens, 270
23-week-old Beijing Red brown-egg laying hens were randomly assigned to one of
three treatments with six replicates of 15 birds per replicate for each treatment
in a completely randomized design. Laying hens were fed a Cr-unsupplemented corn
soybean meal basal diet (control, containing 0.45 mg Cr/kg by analysis) or the
basal diet supplemented with 0.4 or 0.6 mg Cr/kg from CrPic for 10 weeks. Laying
hens fed diets supplemented with CrPic had a lower (P < 0.05) serum glucose (GLU)
concentration and higher (P < 0.03) serum antibody titer against Newcastle
disease than those fed the control diet at 33 weeks of age. However, supplemental
CrPic had no effect (P > 0.05) on egg production and egg quality of brown-egg
laying hens from 24 to 33 weeks of age. The results from this study indicated
that supplemental CrPic decreased serum GLU and enhanced the immune function of
brown-egg laying hens.
PMID- 29380258
TI - The Influence of Patient-Reported Joint Manifestations on Quality of Life in
Fabry Patients.
AB - Fabry disease, a lysosomal storage disorder, is a rare inborn error of metabolism
caused by deficiency of the enzyme alpha galactosidase A and resulting
accumulation of globotriaosylceramide. The symptoms of Fabry disease are
heterogeneous including renal failure, cardiac hypertrophy, and stroke and may
not be well recognized by non-specialist physicians. Patients with milder, later
onset of disease often have a delay in diagnosis.Fabry patients may suffer
significant neuropathic pain in the extremities (acroparasthesia) but the degree
to which musculoskeletal symptoms contribute to total pain and disability is
unknown. Here, we present a questionnaire study focusing on joint manifestations
and their impact on daily life of patients with Fabry disease.Seventy-seven
patients with Fabry disease and age-matched healthy controls (49 female and 28
male) took part in a survey focused on joint problems, pain, disability, and
quality of life. Joint pain and swelling were reported by 43% of male and 39% of
female Fabry patients. Analysis by age group showed higher prevalence of joint
problems and decreased quality of life, in terms of mobility, activity, pain, and
anxiety, in Fabry patients younger than 50 years compared to healthy controls.
Female Fabry patients had higher fatigue scores compared to control subjects.
Fabry patients reported problems with vigorous daily activities and
gripping.Musculoskeletal symptoms are common in Fabry patients and contribute to
overall pain and decreased quality of life. Awareness of Fabry disease by
physicians may be raised to ensure timely diagnosis of this rare disease.
PMID- 29380259
TI - Beneficial Effect of BH4 Treatment in a 15-Year-Old Boy with Biallelic Mutations
in DNAJC12.
AB - BACKGROUND: Biallelic mutations in DNAJC12 were recently identified as a BH4
responsive cause of hyperphenylalaninemia (HPA). Outcome was only favorable when
treatment was initiated early in life. We report on a 15-year-old boy with HPA
due to a homozygous deletion in DNAJC12 in whom - despite his advanced age -
treatment was initiated. CASE: A boy with developmental delay, an extrapyramidal
movement disorder, and persistently elevated plasma phenylalanine levels was
diagnosed with DNAJC12 deficiency at the age of 15 years. Diagnosis was made upon
exome reanalysis revealing a homozygous 6.9 kb deletion in DNAJC12 which had not
been detected by the standard exome analysis pipeline. Treatment with the BH4
analog sapropterin dihydrochloride (10 mg/kg/day) was initiated and evoked a 50%
reduction of the plasma phenylalanine levels. More strikingly, a marked
improvement in daily functioning and improved exercise tolerance was noted.
Additionally, gait analysis before and after treatment initiation revealed a
partial normalization of his movement disorder. CONCLUSION: Patients with
hyperphenylalaninemia due to DNAJC12 deficiency may benefit from treatment with a
BH4 analog - even when introduced at a later age.
PMID- 29380260
TI - Long-term follow-up in the endovascular treatment of intracranial aneurysms with
flow-diverter stents: update of a single-centre experience.
AB - INTRODUCTION: Flow-diverter stents are becoming a useful tool in treating
patients with intracranial aneurysms with suitable anatomical feature. Purpose of
this study was to evaluate effectiveness and safety of endovascular treatment
with flow-diverting stents (FD) in unruptured intracranial aneurysms. METHODS:
From May 2009 and May 2014, we treated 49 patients with a total of 58 aneurysms,
with FD technique. All patients were treated electively, under general anesthesia
and were administered single antiplatelet drug 5 days before the procedure and
double antiplatelet therapy for 3 months afterwards. Fifteen of the patients were
asymptomatic, eight had headache, thirteen patients presented symptoms due to
mass effect of the aneurysm on CNS structures, twelve were treated due to a post
surgical relapse and one patient presented relapsing TIAs due to distal
embolization from the aneurysm dome. Choice of FD treatment was done according to
aneurysm anatomy (fusiform over saccular, dome/neck ratio < 2) and whenever
conventional treatment (coil embolization) appeared difficult (eg. Large aneurysm
neck, fusiform aneurysms or difficult sac catheterization). We considered a
dome/neck ratio > 2 as the only exclusion criteria. RESULTS: Successful stent
deployment was achieved in 50 procedures out of 52 (94.34%) while overall
mortality was 2% (1/49). Forty-eight patients were evaluated at long-term follow
up for a total of 56 treated aneurysms. At 3 months, follow-up 75% (42/56) of the
aneurysms were excluded from intracranial circulation, at 6 months 80.35% (45/56)
and at 12 months 84% (47/56). Stent patency was observed in 100% of patients at
short and long-term follow-up, with only two cases of intimal hyperplasia at 3
months, without any further complications. CONCLUSIONS: According to our study FD
repair of unruptured intracranial aneurysms appeared to be a safe and effective
technique, especially in selected patients with hostile anatomy for traditional
embolization.
PMID- 29380261
TI - Intracranial arterial calcifications as a prognostic factor for subsequent major
adverse cardiovascular events (MACE).
AB - BACKGROUND: Intracranial arterial calcifications (ICAC) are often detected on
unenhanced CT of patients with an age > 60. However, association with the
subsequent occurrence of major adverse cardiovascular events (MACE) has not yet
been evaluated. PURPOSE: This study aimed at evaluating the association of ICAC
with subsequent MACE and overall mortality. METHODS: In this retrospective, IRB
approved study, we included 175 consecutive patients (89 males, mean age 78.3 +/-
8.5 years) of age > 60 years who underwent an unenhanced CT of the head due to
minor trauma or neurological disorders. Presence of ICAC was determined in seven
intracranial arteries using a semi-quantitative scale, which resulted in the
calcified plaque score (CPS). Clinical follow-up information was obtained by
questionnaires and telephone interviews. MACE was defined as myocardial
infarction or revascularization, stroke or death due to cardiovascular event.
RESULTS: Mean follow-up time was 39.8 +/- 7.8 months, resulting in 579.7 patient
years of follow-up. Overall, 36 MACE occurred during follow-up (annual event rate
= 6.2%/year). Mean CPS was significantly higher in subjects with MACE during
follow-up compared to subjects without MACE (p < 0.01). In 15 patients CPS was 0;
in none of these patients MACE was registered. Kaplan-Meier-analysis revealed
that patients with a low plaque burden (CPS < 5) had a significant longer MACE
free and overall survival than patients with a high plaque burden (CPS >= 5) (p <
0.01). CONCLUSION: Patients with ICAC have an increased risk for future cardio-
or cerebrovascular events. Therefore, ICAC might be a prognostic factor to
determine the risk for these events in older patients.
PMID- 29380262
TI - Multi-slice CT examinations of adult patients at Sudanese hospitals: radiation
exposure based on size-specific dose estimates (SSDE).
AB - The aim of this study was to update the radiation exposure for adult patients
undergoing multi-slice CT (MSCT) examinations using size-specific dose estimates
(SSDE). Console, displayed CTDIvol and scan parameters were retrospectively
recorded for 423 adult patients in seven Sudanese hospitals. Patient torso
diameters were measured using digital calipers on the scanner console. SSDE was
determined based on transverse images (SSDEtrans) and scout radiographs
(SSDEsco). Size-specific conversion factors were used to translate the recorded
CTDIvol into SSDE according to the procedure described in the American
Association of Physicists in Medicine (AAPM) Report 204. In chest CT, mean
CTDIvol, SSDEtrans and SSDEsco ranged: from 4.3 to 47.5 mGy (average: 12.8), 5.5
to 70.3 mGy (average: 18.6) and 5.8 to 63.5 mGy (average: 18.7), respectively. In
abdominal CT, mean CTDIvol, SSDEtrans and SSDEsco ranged: from 4.0 to 74.5 mGy
(average: 16), 5.5 to 152.8 mGy (average: 23.9) and 6.0 to 151.3 mGy (average:
25.21), respectively. Our study highlights the relationships between CT dose and
patient dimensions measured from scout and transverse CT images. The correlations
between the patient size and dose based on scout images were less significant
than that based on transverse images. High dose levels and dose variations among
hospitals reveal the need for standardization of scanning protocols and staff
training on adoption of scanners' dose reduction techniques.
PMID- 29380263
TI - Natively oxidized amino acid residues in the spinach cytochrome b 6 f complex.
AB - The cytochrome b 6 f complex of oxygenic photosynthesis produces substantial
levels of reactive oxygen species (ROS). It has been observed that the ROS
production rate by b 6 f is 10-20 fold higher than that observed for the
analogous respiratory cytochrome bc1 complex. The types of ROS produced (O2*-,
1O2, and, possibly, H2O2) and the site(s) of ROS production within the b 6 f
complex have been the subject of some debate. Proposed sources of ROS have
included the heme b p , PQ p*- (possible sources for O2*-), the Rieske iron
sulfur cluster (possible source of O2*- and/or 1O2), Chl a (possible source of
1O2), and heme c n (possible source of O2*- and/or H2O2). Our working hypothesis
is that amino acid residues proximal to the ROS production sites will be more
susceptible to oxidative modification than distant residues. In the current
study, we have identified natively oxidized amino acid residues in the subunits
of the spinach cytochrome b 6 f complex. The oxidized residues were identified by
tandem mass spectrometry using the MassMatrix Program. Our results indicate that
numerous residues, principally localized near p-side cofactors and Chl a, were
oxidatively modified. We hypothesize that these sites are sources for ROS
generation in the spinach cytochrome b 6 f complex.
PMID- 29380264
TI - Expression and Characterization of Recombinant Sucrose Phosphorylase.
AB - SPase is widely used in the food, cosmetics, and pharmaceutical industries.
Previously, a SPase gene was cloned from Bifidobacterium longum JCM1217 and
constructed into Escherichia coli BL21. In this paper, its expression conditions
were optimized. The results showed that several induction factors determined the
expression efficiency of SPase. The initial cell density, IPTG concentration, and
induction time and temperature significantly (p < 0.01) affected the total
protein content and activity of expressed SPase. The highest expression
efficiency was obtained at an initial cell density of OD600 = 0.5, with 0.05 mM
IPTG, followed by shaking at 180 rpm and incubation at 30 degrees C for 15 h.
The purified SPase had a specific activity of 122.1 U/mg, which was raised by
1.85 -fold more than that before optimization, and its recovery yield was 86%.
Furthermore, SPase also showed higher thermostability. The results of this study
provide essential information for the industrial production of SPase.
PMID- 29380265
TI - Utility of the Spanish version of the Everyday Cognition scale in the diagnosis
of mild cognitive impairment and mild dementia in an older cohort from the
Argentina-ADNI.
AB - INTRODUCTION: The performance of activities of daily living in elderly patients
with memory disorders is directly related to living independently and to
autonomy. Documenting and assessing functional capacity through detailed scales
is important for both diagnostic and treatment recommendations. The Everyday
Cognition (ECog) scale is a relatively new informant-rated measure of cognitive
and functional abilities. In the present study, the discriminant validity of the
ECog scale was evaluated in cognitively intact controls (CN) and in patients with
mild cognitive impairment (MCI) and mild Alzheimer's disease (AD) from the
Argentina-ADNI cohort to establish diagnostic accuracy. In addition, we compared
the sensitivity and specificity of ECog against Functional Assessment
Questionnaire (FAQ) scale to discriminate among the three groups. METHODS: We
evaluated 15 CN, 28 MCI, and 13 mild AD subjects. External, convergent and
divergent validity and internal consistency were examined. RESULTS: The average
total score on the ECog was significantly different across the three diagnostic
syndromes (p < .05). The ECog was more sensitive than FAQ in discriminating
between CN and MCI patients and between MCI and AD subjects. The ECog showed a
strong correlation with FAQ, and moderate correlations with neuropsychological
tests. Cronbach's alpha was .98. CONCLUSIONS: The ECog scale is an efficient
instrument for the differentiation of individuals with mild dementia or MCI from
normal older adults, with good accuracy and good correlation with other tests
measuring daily and cognitive functions. Comparing against FAQ, ECog was more
useful in assessing changes in functionality in MCI patients.
PMID- 29380266
TI - Apparently trivial but serious mistakes: the underestimated risk of a QT
prolongation.
PMID- 29380267
TI - Safety, Tolerability and Pharmacokinetics of the Serotonin 5-HT6 Receptor
Antagonist, SUVN-502, in Healthy Young Adults and Elderly Subjects.
AB - BACKGROUND AND OBJECTIVE: SUVN-502, a selective 5-HT6 receptor antagonist, was
found to be active in preclinical models of cognitive deterioration suggesting a
potential role in the treatment of dementia related to Alzheimer's disease. The
objective of this study was to characterize the safety, tolerability and
pharmacokinetics of SUVN-502 in healthy young adults and elderly subjects
following single and multiple oral doses. METHODS: Single doses (5, 15, 50, 100
and 200 mg SUVN-502) and multiple doses (50, 100 and 130 mg SUVN-502 once daily
for 7 days) were evaluated in healthy young adults and multiple doses (50 and 100
mg SUVN-502 once daily for 14 days) were evaluated in elderly subjects using
randomized, double-blind, placebo-controlled, dose-escalating study designs. The
effect of food, gender and age on SUVN-502 pharmacokinetics (100 mg single dose)
was evaluated using an open-label, two-period, randomized, fed and fasted in a
crossover design. SUVN-502 and M1 (major metabolite of SUVN-502) were monitored
using validated analytical methods. RESULTS: SUVN-502 is safe and well tolerated
up to the highest tested single dose of 200 mg in healthy young adults and
multiple doses up to 130 mg for 7 days and 100 mg for 14 days in healthy young
adults and elderly subjects, respectively. Exposures of SUVN-502 and M1 were more
than dose-proportional over the evaluated dose range. Food and gender did not
have a clinically meaningful effect on SUVN-502 exposure. The mean SUVN-502 total
(AUC0-infinity, and AUC0-last) and peak exposures (Cmax) were 2.9- and 2.2-fold
higher, respectively, in elderly subjects compared to young subjects. Steady
state was achieved for SUVN-502 and M1 within 7 days after once-daily dosing of
SUVN-502. CONCLUSIONS: SUVN-502 exhibited an acceptable safety, tolerability and
pharmacokinetic profile in healthy young adults and elderly subjects. Based on
the above results, 50 and 100 mg once-daily doses of SUVN-502 were advanced to
Phase 2 evaluation in patients with moderate AD.
PMID- 29380268
TI - Rapid Characterization of Insulin Modifications and Sequence Variations by
Proteinase K Digestion and UHPLC-ESI-MS.
AB - Discovery of novel insulin analogs as therapeutics has remained an active area of
research. Compared with native human insulin, insulin analog molecules normally
incorporate either covalent modifications or amino acid sequence variations. From
the drug discovery and development perspective, methods for efficient and
detailed characterization of these primary structural changes are very important.
In this report, we demonstrate that proteinase K digestion coupled with UPLC-ESI
MS analysis provides a simple and rapid approach to characterize the
modifications and sequence variations of insulin molecules. A commercially
available proteinase K digestion kit was used to process recombinant human
insulin (RHI), insulin glargine, and fluorescein isothiocynate-labeled
recombinant human insulin (FITC-RHI) samples. The LC-MS data clearly showed that
RHI and insulin glargine samples can be differentiated, and the FITC
modifications in all three amine sites of the RHI molecule are well
characterized. The end-to-end experiment and data interpretation was achieved
within 60 min. This approach is fast and simple, and can be easily implemented in
early drug discovery laboratories to facilitate research on more advanced insulin
therapeutics. Graphical Abstract ?.
PMID- 29380269
TI - Allocentric Versus Egocentric Spatial Memory in Adults with Autism Spectrum
Disorder.
AB - Individuals with autism spectrum disorder (ASD) present difficulties in forming
relations among items and context. This capacity for relational binding is also
involved in spatial navigation and research on this topic in ASD is scarce and
inconclusive. Using a computerised version of the Morris Water Maze task, ASD
participants showed particular difficulties in performing viewpoint independent
(allocentric) navigation, leaving viewpoint dependent navigation (egocentric)
intact. Further analyses showed that navigation deficits were not related to poor
visual short-term memory or mental rotation in the ASD group. The results further
confirm the need of autistic individuals for support at retrieval and have
important implications for the design of signposts and maps.
PMID- 29380270
TI - Facilitating Neurofeedback in Children with Autism and Intellectual Impairments
Using TAGteach.
AB - Individuals with autism and intellectual impairments tend to be excluded from
research due to their difficulties with methodological compliance. This study
focuses on using Teaching with Acoustic Guidance-TAGteach-to behaviorally prepare
children with autism and a IQ <= 80 to participate in a study on neurofeedback
training (NFT). Seven children (ages 6-8) learned the prerequisite skills
identified in a task analysis in an average of 5 h of TAGteach training,
indicating that this is a feasible method of preparing intellectually-impaired
children with autism to participate in NFT and task-dependent
electroencephalography measures. TAGteach may thus have the potential to augment
this population's ability to participate in less accessible treatments and
behavioral neuroscientific studies.
PMID- 29380271
TI - A Comparative Analysis of the ADOS-G and ADOS-2 Algorithms: Preliminary Findings.
AB - The Autism Diagnostic Observation Schedule (ADOS) is a widely utilized
observational assessment tool for diagnosis of autism spectrum disorders. The
original ADOS was succeeded by the ADOS-G with noted improvements. More recently,
the ADOS-2 was introduced to further increase its diagnostic accuracy. Studies
examining the validity of the ADOS have produced mixed findings, and pooled
relationship trends between the algorithm versions are yet to be analyzed. The
current review seeks to compare the relative merits of the ADOS-G and ADOS-2
algorithms, Modules 1-3. Eight studies met inclusion criteria for the review, and
six were selected for paired comparisons of the sensitivity and specificity of
the ADOS. Results indicate several contradictory findings, underscoring the
importance of further study.
PMID- 29380272
TI - Local Versus Global Processing in Autism: Special Section Editorial.
PMID- 29380273
TI - The Changing Safety Net for Low-Income Parents and Their Children: Structural or
Cyclical Changes in Income Support Policy?
AB - Refundable tax credits and food assistance are the largest transfer programs
available to able-bodied working poor and near-poor families in the United
States, and simultaneous participation in these programs has more than doubled
since the early 2000s. To understand this growth, we construct a series of two
year panels from the 1981-2013 waves of the Current Population Survey Annual
Social and Economic Supplement to estimate the effect of state labor-market
conditions, federal and state transfer program policy choices, and household
demographics governing joint participation in food and refundable tax credit
programs. Overall, changing policy drives much of the increase in the
simultaneous, biennial use of food assistance and refundable tax credits. This
stands in stark contrast from the factors accounting for the growth in food
assistance alone, where cyclical and structural labor market factors account for
at least one-half of the growth, and demographics play a more prominent role.
Moreover, since 2000, the business cycle factors as the leading determinant in
biennial participation decisions in food programs and refundable tax credits,
suggesting a recent strengthening in the relationship between economic conditions
and transfer programs.
PMID- 29380274
TI - Coalescence of droplets laden with insoluble surfactant on a preset liquid film.
AB - A model for the evolution of two droplets laden with insoluble surfactant
coalescing on a preset film is established according to the lubrication theory,
and the coalescence processes are simulated. The role of the surfactant and its
inherent mechanism are investigated, the effects of the Marangoni number, the
preset liquid film thickness and the initial spacing between the two droplets on
the coalescence are examined. The results show that the droplets encounter each
other, gradually overlap, and finally coalesce into a "new" droplet. The
Marangoni effect is beneficial to the convergence of the two inner leading fronts
of the droplets in the early stage, but it hinders the accumulation of the
droplets and subsequent coalescence. Increasing the Marangoni number promotes not
only the aforementioned inhibition, but also the convergence of the two leading
fronts towards the center, which speeds up the coalescence of the surfactant.
Moreover, the diffusion of the surfactant towards the outsides of the droplets is
accelerated; hence, its distribution along the droplet surface is more uniform
after the coalescence. The droplets and the surfactant undertake a longer
"journey" to achieve coalescence when their initial spacing is increased;
increasing the preset film thickness shortens the time of coalescence required.
PMID- 29380275
TI - In Schizophrenia, Depression, Anxiety, and Physiosomatic Symptoms Are Strongly
Related to Psychotic Symptoms and Excitation, Impairments in Episodic Memory, and
Increased Production of Neurotoxic Tryptophan Catabolites: a Multivariate and
Machine Learning Study.
AB - The depression, anxiety and physiosomatic symptoms (DAPS) of schizophrenia are
associated with negative symptoms and changes in tryptophan catabolite (TRYCAT)
patterning. The aim of this study is to delineate the associations between DAPS
and psychosis, hostility, excitation, and mannerism (PHEM) symptoms, cognitive
tests as measured using the Consortium to Establish a Registry for Alzheimer's
Disease (CERAD) and IgA/IgM responses to TRYCATs. We included 40 healthy controls
and 80 participants with schizophrenia. Depression and anxiety symptoms were
measured with The Hamilton Depression (HAM-D) and Anxiety (HAM-A) Rating Scales,
respectively. Physiosomatic symptoms were assessed with the Fibromyalgia and
Chronic Fatigue Syndrome Rating Scale (FF). Negative symptoms as well as CERAD
tests, including Verbal Fluency Test (VFT), Mini-Mental State Examination (MMSE),
Word List Memory (WLM), and WL Delayed Recall were measured, while ratios of IgA
responses to noxious/protective TRYCATs (IgA NOX_PRO) were computed.
Schizophrenia symptoms consisted of two dimensions, a first comprising PHEM and
negative symptoms, and a second DAPS symptoms. A large part of the variance in
DAPS was explained by psychotic symptoms and WLM. Of the variance in HAM-D, 58.9%
was explained by the regression on excitement, IgA NOX_PRO ratio, WLM, and VFT;
29.9% of the variance in HAM-A by psychotic symptoms and IgA NOX/PRO; and 45.5%
of the variance in FF score by psychotic symptoms, IgA NOX/PRO, and WLM. Neural
network modeling shows that PHEM, IgA NOX_PRO, WLM, and MMSE are the dominant
variables predicting DAPS. DAPS appear to be driven by PHEM and negative symptoms
coupled with impairments in episodic memory, especially false memory creation,
while all symptom dimension and cognitive impairments may be driven by an
increased production of noxious TRYCATs, including picolinic, quinolinic, and
xanthurenic acid.
PMID- 29380276
TI - Allowance for boundary sharpening in the determination of diffusion coefficients
by sedimentation velocity: a historical perspective.
AB - This review summarizes endeavors undertaken in the middle of last century to
employ the Lamm equation for quantitative analysis of boundary spreading in
sedimentation velocity experiments on globular proteins, thereby illustrating the
ingenuity required to achieve that goal in an era when an approximate analytical
solution of that nonlinear differential equation of second order provided the
only means for its application. Application of procedures based on that
approximate solution to simulated sedimentation velocity distributions has
revealed a slight disparity (about 3%) between returned and input values of the
diffusion coefficient-a discrepancy comparable with that of estimates obtained by
current simulative analyses based on numerical solution of the Lamm equation.
Although the massive technological developments in the gathering and treatment of
sedimentation velocity data over the past three to four decades have changed
dramatically the manner in which boundary spreading is analyzed, they have not
led to any significant improvement in the accuracy of the diffusion coefficient
thereby deduced.
PMID- 29380277
TI - First trimester pregnancy ultrasound findings as a function of method of
conception in an infertile population.
AB - PURPOSE: The aim of this study was to determine whether first trimester
ultrasound measurements of crown rump length (CRL) and gestational sac diameter
(GSD) differ depending on the method of conception among infertile women. METHOD:
Infertile women, ages 21-50 years old, who conceived viable, singleton
pregnancies via fresh embryo transfer (ET), frozen ET, non-in vitro fertilization
(IVF) fertility treatment, or spontaneously were included in this observational
cohort study at an academic fertility practice. Embryonic growth trajectories
defined by the CRL and GSD at 6 and 8 weeks' gestation were analyzed and compared
among the methods of conception. RESULTS: Crown rump length at 6 weeks' gestation
was smaller for conceptions achieved via fresh ET compared with frozen ET in a
natural cycle (1.50 vs. 2.50 mm, p = 0.017). Crown rump length was smaller at 8
weeks' gestation in conceptions achieved via fresh ET compared to frozen ET in a
programmed cycle (16.13 vs. 17.02 mm, p = 0.039). CONCLUSION: Among infertile
women, embryo growth may differ between fresh and frozen ET as early as 6 and 8
weeks' gestation.
PMID- 29380278
TI - Intra-patient variability in the endometrial receptivity assay (ERA) test.
PMID- 29380279
TI - Whither human IVF? Fertilisable oocytes selected on the basis of follicular
temperature.
AB - Bearing in mind specific parallels between cow and human ovarian physiology, as
noted in the manuscript, we have measured whether the temperature in a pre
ovulatory follicle is cooler than that in adjacent tissues. Using a novel
approach not requiring anaesthetics or surgical procedures, we found that
follicular fluid bathing cow oocytes shortly before ovulation is cooler than the
neighbouring uterine surface and cooler than deep rectal temperature (the
reference body temperature in cattle). By contrast, Graafian follicles of
comparable size and ultrasonic image that do not subsequently ovulate do not have
a reduced antral temperature. Human pre-ovulatory follicles have previously been
reported to be cooler than other ovarian tissues, so the divergence between
ovulatory and non-ovulatory follicle temperature suggests a valuable addition to
selection procedures currently used in human in vitro fertilisation (IVF)
clinics. In future, oocytes to be subjected to IVF might best be those taken from
cooler follicles. Follicular antral temperature could become a more sensitive
indicator of oocyte potential that a purely morphological assessment.
PMID- 29380280
TI - A novel male 2;4;14 complex chromosomal translocation with normal semen
parameters but 100% embryonic aneuploidy.
AB - We report a case of a couple with a history of six spontaneous miscarriages in
which a novel complex chromosomal rearrangement was detected in the male partner
who had a totally normal semen analysis. Preimplantation genetic testing of their
embryos demonstrated 100% aneuploidy.
PMID- 29380281
TI - Retraction Note: Development and In Vitro-In Vivo Characterization of
Chronomodulated Pulsatile Delivery Formulation of Terbutaline Sulphate by Box
Behnken Statistical Design.
AB - This article has been retracted by the journal because the editors have clear
evidence that the scientific findings in this article are unreliable.
PMID- 29380282
TI - Formulation and Evaluation of Organogels Containing Hyaluronan Microparticles for
Topical Delivery of Caffeine.
AB - Cellulite is a dermal disorder including the extracellular matrix, the lymphatic
and microcirculatory systems and the adipose tissue. Caffeine is used as the
active moiety depending its preventive effect on localization of fat in the
cellular structure. Hyaluronic acid (hyaluronan-HA) is a natural constituent of
skin that generates formation and poliferation of new cells having a remarkable
moisturizing ability. The aim of this study is to formulate HA microparticles
loaded with caffeine via spray-drying method. Resulting microparticle
formulations (33.97 +/- 0.3 MUm, span < 2, 88.56 +/- 0.42% encapsulation
efficiency) were distributed in lecithin organogels to maintain the proper
viscosity for topical application. Following the characterization and cell
culture studies, in vitro drug release and ex vivo permeation studies were
performed. The accumulated amount of caffeine was twice higher than the aqueous
solution for the microparticle-loaded organogels at 24 h (8262,673 MUg/cm2versus
4676,691 MUg/cm2). It was related to the sustained behaviour of caffeine release
from the microparticles. As a result, lecithin organogel containing HA
encapsulated microparticles could be considered as suitable candidate
formulations for efficient topical drug delivery system of caffeine. In addition
to that, synergistic effect of this combination appears as a promising approach
for long-acting treatment of cellulite.
PMID- 29380283
TI - Sound changes that lead to seeing longer-lasting shapes.
AB - To survive, people must construct an accurate representation of the world around
them. There is a body of research on visual scene analysis, and a largely
separate literature on auditory scene analysis. The current study follows up
research from the smaller literature on audiovisual scene analysis. Prior work
demonstrated that when there is an abrupt size change to a moving object,
observers tend to see two objects rather than one-the abrupt visual change
enhances visible persistence of the briefly presented different-sized object.
Moreover, if a sequence of tones accompanies the moving object, visible
persistence is enhanced if the tone frequency suddenly changes at the same time
that the object's size changes. Here, we show that although a sound change must
occur at roughly the same time as a visual change to enhance visible persistence,
there is a fairly wide time frame during which the sound change can occur. In
addition, the impact of a sound change on visible persistence is not simply
matter of the physical pattern: The same pattern of sound can enhance visible
persistence or not, depending on how the pattern is itself perceived.
Specifically, a change in a tone's frequency can enhance visible persistence when
it accompanies a visual size change, but the same frequency change will not do so
if the shift is embedded in a larger pattern that makes the change merely a
continuation of alternating frequencies. The current study supports a scene
analysis process that is both multimodal and actively constructive.
PMID- 29380284
TI - 123I-mIBG: Simplicity and reproducibility.
PMID- 29380285
TI - Stronger correlation with myocardial ischemia of high-sensitivity troponin T than
other biomarkers.
AB - BACKGROUND: Acute myocardial infarction (AMI) is considered a major cause of
death and disability. Myocardial perfusion scintigraphy (MPS) as a non-invasive
diagnostic imaging procedure and certain biomarkers associated with myocardial
ischemia (ISCH), such as ischemia-modified albumin (IMA), neuropeptide Y (NPY), N
terminal pro b-type natriuretic peptide (NT-proBNP), and high-sensitivity
troponin T (hsTnT) could probably aid in the detection of myocardial infarction.
METHODS: Between December 2011 and June 2012, we prospectively analyzed patients
who underwent a MPS study with the clinical question of myocardial ISCH. An
exercise test was performed along with a MPS. Blood was drawn from the patients
before exercise and the within 3 minutes from achieving maximum load and was
analyzed for the aforementioned biomarkers. RESULTS: A total of 71 patients (56
men and 15 women) were enrolled with a mean age of 61 +/- 12 years. Twenty-six
patients (36.6%) showed reduced uptake on stress MPS images that normalized at
rest, a finding consistent with ISCH. Between ISCH and non-ISCH groups, only
hsTnT levels showed a significant difference with the highest levels pertaining
to the former group both before (0.0075 ng/ml vs 0.0050 ng/ml, P = 0.023) and
after stress exercise (0.0085 vs 0.0050, P = 0.015). The most prominent
differences were seen in higher stages of the Bruce protocol (stress duration >
9.05 minutes - P < 0.017). None of the IMA, NPY, and NP-pro BNP showed
significant differences in time between the two groups. CONCLUSIONS: Although
IMA, NPY, and NT-pro BNP may not detect minor ischemic myocardial insults, serum
hsTnT holds a greater ability of detecting not only myocardial infarction but
also less severe ischemia. Further studies with larger cohorts of patients are
warranted in order to better define the role of hsTnT as a screening tool for
myocardial ischemia.
PMID- 29380286
TI - Diagnostic value of stress thallium-201/rest technetium-99m-sestamibi sequential
dual isotope high-speed myocardial perfusion imaging for the detection of
haemodynamically relevant coronary artery stenosis.
AB - BACKGROUND: The aim of this study was to determine the diagnostic accuracy of
stress thallium-201/rest technetium-99m-sestamibi sequential dual-isotope high
speed myocardial perfusion imaging (DI-HS-MPI) against invasively determined
fractional flow reserve (FFR). METHODS: Fifty-four consecutive patients
prospectively underwent DI-HS-MPI before invasive coronary angiography. Perfusion
was scored visually by summed stress score on a patient and coronary territory
basis. Significant coronary artery disease (CAD) was defined by the presence of
>= 90% stenosis/occlusion or fractional flow reserve <= 0.80 for coronary
stenosis >= 50%. RESULTS: FFR was measured in 69 of 162 coronary vessels, with
1.28 +/- 0.56 vessels assessed/patient. Sensitivity, specificity, and diagnostic
accuracy of MPI for the detection of significant CAD were 92.8%, 69.2%, and
81.4%, on a patient basis, and 83.7%, 90.4%, and 88.8% by coronary territory.
CONCLUSIONS: DI-HS-MPI accurately detects functionally significant CAD as defined
by using FFR.
PMID- 29380287
TI - Overview of Current Drugs and Molecules in Development for Spinal Muscular
Atrophy Therapy.
AB - Spinal muscular atrophy (SMA) is a neurodegenerative disease primarily
characterized by a loss of spinal motor neurons, leading to progressive paralysis
and premature death in the most severe cases. SMA is caused by homozygous
deletion of the survival motor neuron 1 (SMN1) gene, leading to low levels of SMN
protein. However, a second SMN gene (SMN2) exists, which can be therapeutically
targeted to increase SMN levels. This has recently led to the first disease
modifying therapy for SMA gaining formal approval from the US Food and Drug
Administration (FDA) and European Medicines Agency (EMA). Spinraza (nusinersen)
is a modified antisense oligonucleotide that targets the splicing of SMN2,
leading to increased SMN protein levels, capable of improving clinical phenotypes
in many patients. In addition to Spinraza, several other therapeutic approaches
are currently in various stages of clinical development. These include SMN
dependent small molecule and gene therapy approaches along with SMN-independent
strategies, such as general neuroprotective factors and muscle strength-enhancing
compounds. For each therapy, we provide detailed information on clinical trial
design and pharmacological/safety data where available. Previous clinical studies
are also discussed to provide context on SMA clinical trial development and the
insights these provided for the design of current studies.
PMID- 29380288
TI - Ledipasvir/Sofosbuvir: A Review in Chronic Hepatitis C.
AB - Oral once-daily, fixed-dose, ledipasvir/sofosbuvir (Harvoni(r)) [+/- ribavirin]
is approved in several countries for the treatment of chronic hepatitis C (CHC)
in adults and adolescents aged 12 to < 18 years, with direct-acting antiviral
(DAA) regimens resulting in a paradigm shift in the treatment of the disease. In
the clinical trial and/or clinical practice setting, ledipasvir/sofosbuvir (+/-
ribavirin) was associated with high sustained virological response rates 12 weeks
post-treatment (SVR12) in treatment-naive and -experienced adults and adolescents
with chronic hepatitis C virus (HCV) genotype (GT) 1 infection, including in
those with compensated cirrhosis or who were co-infected with HIV. SVR12 rates in
real-world studies were consistent with those in trials. In other trials,
ledipasvir/sofosbuvir (+/- ribavirin) was associated with high SVR12 rates in
various CHC populations, including patients with HCV GT2, 3, 4, 5 or 6 infection,
cirrhosis, pre and/or post liver or renal transplantation, inherited blood
disorders or failure after prior DAA and/or interferon therapy. Thus,
ledipasvir/sofosbuvir (+/- ribavirin) is a valuable effective and generally well
tolerated option for adolescent and adult patients with HCV GT1, 4, 5 or 6
infection, including those with HIV co-infection or cirrhosis, with evidence also
supporting its use in patients with chronic HCV GT2 or 3 infection.
PMID- 29380290
TI - MenB-FHbp Meningococcal Group B Vaccine (Trumenba(r)): A Review in Active
Immunization in Individuals Aged >= 10 Years.
AB - MenB-FHbp (bivalent rLP2086; Trumenba(r)) is a recombinant protein-based vaccine
targeting Neisseria meningitidis serogroup B (MenB), which has recently been
licensed in the EU for active immunization to prevent invasive disease caused by
MenB in individuals >= 10 years of age. The vaccine, which contains a variant
from each of the two identified subfamilies of the meningococcal surface protein
factor H-binding protein (fHBP), has been licensed in the USA for active
immunization in individuals 10-25 years of age since 2014. This article reviews
the immunogenicity, reactogenicity and tolerability of MenB-FHbp, with a focus on
the EU label and the European setting. As demonstrated in an extensive program of
clinical trials in adolescents and young adults, a two-dose or three-dose series
of MenB-FHbp elicits a strong immune response against a range of MenB test
strains selected to be representative of strains prevalent in Europe and the USA.
Follow-up studies investigating the persistence of the MenB-FHbp immune response
and the effect of a booster dose of the vaccine indicate that a booster dose
should be considered (following a primary vaccine series) in individuals at
continued risk of invasive meningococcal disease. MenB-FHbp vaccine appears to be
moderately reactogenic but, overall, is generally well tolerated, with most
adverse reactions being mild to moderate in severity. Although post-marketing,
population-based data will be required to establish the true effectiveness of the
vaccine, currently available data indicate that MenB-FHbp, in a two-dose or three
dose series, is likely to provide broad protection against MenB strains
circulating in Europe.
PMID- 29380289
TI - Prevention and Treatment of Chronic Postsurgical Pain: A Narrative Review.
AB - Chronic postsurgical pain affects between 5 and 75% of patients, often with an
adverse impact on quality of life. While the transition of acute to chronic pain
is a complex process-involving multiple mechanisms at different levels-the
current strategies for prevention have primarily been restricted to perioperative
pharmacological interventions. In the present paper, we first present an up-to
date narrative literature review of these interventions. In the second section,
we develop several ways by which we could overcome the limitations of the current
approaches and enhance the outcome of our surgical patients, including the better
identification of individual risk factors, tailoring treatment to individual
patients, and improved acute and subacute pain evaluation and management. The
third and final section covers the treatment of established CPSP. Given that
evidence for the current therapeutic options is limited, we need high-quality
trials studying multimodal interventions matched to pain characteristics.
PMID- 29380291
TI - Neural correlates of three cognitive processes involved in theory of mind and
discourse comprehension.
AB - Neuroimaging studies have found that theory of mind (ToM) and discourse
comprehension involve similar brain regions. These brain regions may be
associated with three cognitive components that are necessarily or frequently
involved in ToM and discourse comprehension, including social concept
representation and retrieval, domain-general semantic integration, and domain
specific integration of social semantic contents. Using fMRI, we investigated the
neural correlates of these three cognitive components by exploring how discourse
topic (social/nonsocial) and discourse processing period (ending/beginning)
modulate brain activation in a discourse comprehension (and also ToM) task.
Different sets of brain areas showed sensitivity to discourse topic, discourse
processing period, and the interaction between them, respectively. The most novel
finding was that the right temporoparietal junction and middle temporal gyrus
showed sensitivity to discourse processing period only during social discourse
comprehension, indicating that they selectively contribute to domain-specific
semantic integration. Our finding indicates how different domains of semantic
information are processed and integrated in the brain and provides new insights
into the neural correlates of ToM and discourse comprehension.
PMID- 29380292
TI - Oscillatory brain activity differentially reflects false belief understanding and
complementation syntax processing.
AB - False belief understanding (FBU) enables people to consider conflicting beliefs
about the same situation. While language has been demonstrated to be a correlate
of FBU, there is still controversy about the extent to which a specific aspect of
language, complementation syntax, is a necessary condition for FBU. The present
study tested an important notion from the debate proposing that complementation
syntax task is redundant to FBU measures. Specifically, we examined
electrophysiological correlates of false belief, false complementation, and their
respective true conditions in adults using electroencephalography (EEG), focusing
on indices of oscillatory brain activity and large-scale connectivity. The
results showed strong modulation of parieto-occipital alpha (8-12 Hz) and beta
(13-20 Hz) power by the experimental manipulations, with heightened sustained
alpha power reflective of effortful internal processing observed in the false
compared to the true conditions and reliable beta power reductions sensitive to
mentalizing and/or syntactic demands in the belief versus the complementation
conditions. In addition, higher coupling between parieto-occipital regions and
widespread frontal sites in the beta band was found for the false-belief
condition selectively. The result of divergence in beta oscillatory activity and
in connectivity between false belief and false complementation does not support
the redundancy hypothesis.
PMID- 29380293
TI - Frontal cortex electrophysiology in reward- and punishment-related feedback
processing during advice-guided decision making: An interleaved EEG-DC
stimulation study.
AB - During decision making, individuals are prone to rely on external cues such as
expert advice when the outcome is not known. However, the electrophysiological
correlates associated with outcome uncertainty and the use of expert advice are
not completely understood. The feedback-related negativity (FRN), P3a, and P3b
are event-related brain potentials (ERPs) linked to dissociable stages of
feedback and attentional processing during decision making. Even though these
ERPs are influenced by both reward- and punishment-related feedback, it remains
unclear how extrinsic information during uncertainty modulates these brain
potentials. In this study, the effects of advice cues on decision making were
investigated in two separate experiments. In the first experiment,
electroencephalography (EEG) was recorded in healthy volunteers during a decision
making task in which the participants received reward or punishment feedback
preceded by novice, amateur, or expert advice. The results showed that the P3a
component was significantly influenced by the subjective predictive value of an
advice cue, whereas the FRN and P3b were unaffected by the advice cues. In the
second, sham-controlled experiment, cathodal transcranial direct current
stimulation (ctDCS) was administered in conjunction with EEG in order to explore
the direct contributions of the frontal cortex to these brain potentials. Results
showed no significant change in either advice-following behavior or decision
times. However, ctDCS did decrease FRN amplitudes as compared to sham, with no
effect on the P3a or P3b. Together, these findings suggest that advice
information may act primarily on attention allocation during feedback processing,
whereas the electrophysiological correlates of the detection and updating of
internal prediction models are not affected.
PMID- 29380294
TI - Reduction of implicit cognitive bias with cathodal tDCS to the left prefrontal
cortex.
AB - Implicit associations can interfere with cognitive operations and behavioral
decisions without direct intention. Enhancement of neural activity with anodal
transcranial direct current stimulation (tDCS) was proposed to reduce implicit
associations by means of improved cognitive control. However, a targeted
reduction of distractive implicit associations by inhibitory cathodal tDCS,
recently shown in spatial-numerical associations, provides an interesting
alternative approach to support goal-directed behavior with transcranial brain
stimulation. To test this rationale with a sham-controlled cross-over design, a
standardized Implicit Association Test (IAT) was performed by 24 healthy
participants parallel to 1 mA cathodal or sham tDCS to the left prefrontal
cortex. In this double-classification task, insect versus flower pictures and
negative versus positive words are mapped together onto two shared response keys
with crossed response assignments in separate blocks. Responses were faster when
insect + negative and flower + positive stimuli required the same answer (IAT
effect). Most critically, the IAT effect was reduced during cathodal tDCS as
compared to sham stimulation. Thus, results are consistent with the proposed
stimulation rationale, with previous observations, and complementary to previous
studies using different tDCS configurations.
PMID- 29380295
TI - Nitrile Metabolizing Enzymes in Biocatalysis and Biotransformation.
AB - Nitrile metabolizing enzymes, i.e., aldoxime dehydratase, hydroxynitrile lyase,
nitrilase, nitrile hydratase, and amidase, are the key catalysts in carbon
nitrogen triple bond anabolism and catabolism. Over the past several years, these
enzymes have drawn considerable attention as prominent biocatalysts in academia
and industries because of their wide applications. Research on various aspects of
these biocatalysts, i.e., sources, screening, function, purification, molecular
cloning, structure, and mechanisms, has been conducted, and bioprocesses at
various scales have been designed for the synthesis of myriads of useful
compounds. This review is focused on the potential of nitrile metabolizing
enzymes in the production of commercially important fine chemicals such as
nitriles, carboxylic acids, and amides. A number of opportunities and challenges
of nitrile metabolizing enzymes in bioprocess development for the production of
bulk and fine chemicals are discussed.
PMID- 29380296
TI - A Novel 2-Keto-D-Gluconic Acid High-Producing Strain Arthrobacter globiformis
JUIM02.
AB - 2-Keto-D-gluconic acid (2KGA) is mainly used for industrial production of
erythorbic acid, a food antioxidant. In this study, a 2KGA producing strain
JUIM02 was firstly identified as Arthrobacter globiformis by morphological
observation and 16S rDNA sequencing. The 2KGA synthetic capacity of A.
globiformis JUIM02 was evaluated by both fermentation and bioconversion, with 180
g/L dextrose monohydrate as substrates, in shake flasks and 5 L fermenters. For
fermentation, 2KGA titer, yield, molar yield, and productivity of JUIM02 reached
159.05 g/L, 0.97 g/g, 90.18%, and 6.63 g/L/h in 24 h. For non-sterile and buffer
free bioconversion by free resting cells (~ 3.2 g/L dry cell weight) of JUIM02,
these data were 172.96 g/L, 1.06 g/g, 98.07%, and 5.41 g/L/h in 32 h. Moreover,
JUIM02 resting cells could be repeatedly used. Resting cells stored at 4 degrees
C within 30 days showed stable bioconversion capacity, with 2KGA titers >= 171.50
g/L, yields >= 1.04 g/g, and molar yields >= 97.24%. The 2KGA synthetic pathway
in A. globiformis, which was rarely reported, was also speculated similar to
Pseudomonas and verified preliminarily. In conclusion, A. globiformis JUIM02 is a
promising 2KGA industrial-producing strain suitable for various production
methods and a suitable object for 2KGA metabolism research of A. globiformis.
PMID- 29380299
TI - Jerome Dargent, MD.
PMID- 29380298
TI - Isolation and characterization of the primary epithelial breast cancer cells and
the adjacent normal epithelial cells from Iranian women's breast cancer tumors.
AB - As an experimental model, most studies rely on established human cancer cell
lines; however, some genetical or phenotypical differences exist between these
cells and their original tumor. Therefore, primary cells isolated directly from
tissue are believed to be more biologically relevant tools for studying human and
animal biology. Here, we aimed to isolate primary epithelial cancer and normal
cells from breast tumors of Iranian women, for the first time. Thus, we isolated
the epithelial and fibroblast cells from biopsy samples of patients with breast
cancer based on differential centrifugation followed by culture in selective
media. Normal epithelial cells obtained from the tissue biopsy away from the core
of the tumor, based on the pathological diagnosis. Flow cytometry analysis
indicated the positive immunoreactivity of the isolated epithelial cells against
CD24 and Epithelial Specific Antigen (ESA/EpCAM), while they displayed a
concomitant low expression of CD44 and CD49f. In contrat to fibroblasts, the qPCR
data indicated the expression of luminal intracellular cytokeratin (Ck18) in both
normal and cancer epithelial cells, but there was no expression of
myoepithelial/basal markers, CK5 and vimentin. The epithelial cancer cells were
reactive to cytokeratin 19 (CK19) antibody, whereas the normal epithelial cells
were not. The expression of calmodulin-like protein (CLP) was also lower in the
cancer epithelial cells than in the normal ones. In conclusion, primary
epithelial normal and cancer cells, in addition to the fibroblasts were isolated
and characterized from breast tumor of Iranian patients; and CLP expression is
suggested as a susceptibility marker for breast cancer screening.
PMID- 29380300
TI - Preoperative Prediction of Small Bowel Length Using CT Scan and Tridimensional
Reconstructions: a New Tool in Bariatric Surgery?
AB - PURPOSE: During Roux-en-Y-gastric Bypass, the limb lengths are preoperatively
determined regardless of individual small bowel length (SBL), which presents a
great variability. Few studies highlighted anthropometric factors associated with
SBL, and none attempted to predict SBL preoperatively. OBJECTIVE: The aim of this
study is to evaluate factors correlated to SBL (anthropometric and radiologic)
and to establish a preoperative SBL prediction. MATERIAL AND METHODS: In this
single-center prospective study, 30 adult patients who underwent laparotomy with
a preoperative CT scan were included. Intraoperative SBL measurement was
performed with an umbilical tape. Anthropometric parameters were age, gender,
height, and BMI. 2D radiological measurements consisted of subcutaneous
thickness, abdominal diameters, waist circumference, and mesenteric root length.
3D radiological volumetric reconstructions consisted of whole small bowel and
mesentery (WSBM), lean small bowel and mesentery (LSBM), and fat small bowel and
mesentery (FSBM). RESULTS: Mean intraoperative measurement of SBL was 531 +/- 105
cm. Among the clinical and radiological measurements, the FSBM volume presented
the greatest dispersion. Height (p < 0.02) and LSBM volume (p < 0.01) were
significantly correlated to the SBL in univariate analysis. LSBM volume was the
only measurement significantly associated with SBL in multivariate analysis (p <
0.006). From the multivariate model, a formula was created to predict SBL. The
mean percentage difference between predicted and intraoperative SBL measurements
for all patients was 13.7%, and 8.4% for obese patients. CONCLUSION: LSBM volume
is significantly correlated to the SBL. A preoperative SBL prediction with low
percentage error could be performed with LSBM volume.
PMID- 29380301
TI - AlignTool: The automatic temporal alignment of spoken utterances in German,
Dutch, and British English for psycholinguistic purposes.
AB - In language production research, the latency with which speakers produce a spoken
response to a stimulus and the onset and offset times of words in longer
utterances are key dependent variables. Measuring these variables automatically
often yields partially incorrect results. However, exact measurements through the
visual inspection of the recordings are extremely time-consuming. We present
AlignTool, an open-source alignment tool that establishes preliminarily the onset
and offset times of words and phonemes in spoken utterances using Praat, and
subsequently performs a forced alignment of the spoken utterances and their
orthographic transcriptions in the automatic speech recognition system MAUS.
AlignTool creates a Praat TextGrid file for inspection and manual correction by
the user, if necessary. We evaluated AlignTool's performance with recordings of
single-word and four-word utterances as well as semi-spontaneous speech.
AlignTool performs well with audio signals with an excellent signal-to-noise
ratio, requiring virtually no corrections. For audio signals of lesser quality,
AlignTool still is highly functional but its results may require more frequent
manual corrections. We also found that audio recordings including long silent
intervals tended to pose greater difficulties for AlignTool than recordings
filled with speech, which AlignTool analyzed well overall. We expect that by semi
automatizing the temporal analysis of complex utterances, AlignTool will open new
avenues in language production research.
PMID- 29380297
TI - Epidemiology of fungal infections in China.
AB - With the increasing number of immunocompromised hosts, the epidemiological
characteristics of fungal infections have undergone enormous changes worldwide,
including in China. In this paper, we reviewed the existing data on mycosis
across China to summarize available epidemiological profiles. We found that the
general incidence of superficial fungal infections in China has been stable, but
the incidence of tinea capitis has decreased and the transmission route has
changed. By contrast, the overall incidence of invasive fungal infections has
continued to rise. The occurrence of candidemia caused by Candida species other
than C. albicans and including some uncommon Candida species has increased
recently in China. Infections caused by Aspergillus have also propagated in
recent years, particularly with the emergence of azole-resistant Aspergillus
fumigatus. An increasing trend of cryptococcosis has been noted in China, with
Cryptococcus neoformans var. grubii ST 5 genotype isolates as the predominant
pathogen. Retrospective studies have suggested that the epidemiological
characteristics of Pneumocystis pneumonia in China may be similar to those in
other developing countries. Endemic fungal infections, such as sporotrichosis in
Northeastern China, must arouse research, diagnostic, and treatment vigilance.
Currently, the epidemiological data on mycosis in China are variable and
fragmentary. Thus, a nationwide epidemiological research on fungal infections in
China is an important need for improving the country's health.
PMID- 29380302
TI - Split liver technique with middle hepatic vein reconstruction on livers from
transplant hepatectomies: a useful tool for surgical improvement.
AB - Livers removed during transplant hepatectomies could represent a useful anatomic
ex vivo resource for surgical training, since they are intact and not altered by
post-mortem changes yet. The aim of this study is to investigate the
effectiveness of such kind of surgical training applied on some hepatic surgery
techniques. In the present paper, we focused on split liver operation and middle
hepatic vein (MHV) bipartition/reconstruction, since these procedures have a
quite long learning curve. Seven native livers were submitted to split liver
procedure by a senior resident assisted by a fully trained hepatic surgeon. Pre
splitting ultrasound mapping was compared to pre-operative CT scan. The whole
graft was divided into two hemi-livers and the MHV into two hemi-confluents and
reconstructed by venous or arterial patches obtained by deceased donor iliac
homograft. Water tightness of the anastomosis was confirmed by hydro-pneumatic
test and bench portal perfusion. Reduction in operating time was considered an
indirect indicator of surgical skill improvement. In all cases, the US confirmed
the anatomical distribution of MHV tributaries observed by pre-transplant CT
scan. The "ex situ" splitting procedures and MHV bipartition and reconstruction
were performed in all native livers in the usual time required for liver
transplantation bench surgery (range 50-75 min). Liver grafts removed during
hepatectomy could represent a useful resource of intact organs to perform
surgical training and boost surgical confidence. In our initial experience, the
study of venous drainage of the MHV and application of liver splitting technique
and MHV reconstruction resulted technically feasible.
PMID- 29380304
TI - Nutritional Programming Effects on Development of Metabolic Disorders in Later
Life.
AB - Developmental programming resulting from maternal malnutrition can lead to an
increased risk of metabolic disorders such as obesity, insulin resistance, type 2
diabetes and cardiovascular disorders in the offspring in later life.
Furthermore, many conditions linked with developmental programming are also known
to be associated with the aging process. This review summarizes the available
evidence about the molecular mechanisms underlying these effects, with the
potential to identify novel areas of therapeutic intervention. This could also
lead to the discovery of new treatment options for improved patient outcomes.
PMID- 29380305
TI - Effects of Prenatal Nutrition and the Role of the Placenta in Health and Disease.
AB - Epidemiologic studies identified the linkage between exposures to stresses,
including the type and plane of nutrition in utero with development of disease in
later life. Given the critical roles of the placenta in mediating transport of
nutrients between the mother and fetus and regulation of maternal metabolism,
recent attention has focused on the role of the placenta in mediating the effect
of altered nutritional exposures on the development of disease in later life. In
this chapter we describe the mechanisms of nutrient transport in the placenta,
the influence of placental metabolism on this, and how placental energetics
influence placental function in response to a variety of stressors. Further the
recent "recognition" that the placenta itself has a sex which affects its
function may begin to help elucidate the mechanisms underlying the well-known
dimorphism in development of disease in adult life.
PMID- 29380306
TI - Developmental Origins of Stress and Psychiatric Disorders.
AB - Over the last few decades, evidence has emerged that the pathogenesis of
psychiatric disorders such as schizophrenia can involve perturbations of the
hypothalamic-pituitary-adrenal (HPA) axis and other neuroendocrine systems.
Variations in the manifestation of these effects could be related to differences
in clinical symptoms between affected individuals and to differences in treatment
response. Such effects can also arise from the complex interaction between genes
and environmental factors. Here, we review the effects of maternal stress on
abnormalities in HPA axis regulation and the development of psychiatric disorders
such as schizophrenia. Studies in this area may prove critical for increasing our
understanding of the multidimensional nature of mental disorders and could lead
to the development of improved diagnostics and novel therapeutic approaches for
treating individuals who suffer from these conditions.
PMID- 29380303
TI - Gut Microbiota and the Neuroendocrine System.
AB - The microbial ecosystem that inhabits the gastrointestinal tract of all mammals
the gut microbiota-has been in a symbiotic relationship with its hosts over many
millennia. Thanks to modern technology, the myriad of functions that are
controlled or modulated by the gut microbiota are beginning to unfold. One of the
systems that is emerging to closely interact with the gut microbiota is the
body's major neuroendocrine system that controls various body processes in
response to stress, the hypothalamic-pituitary-adrenal (HPA) axis. This
interaction is of pivotal importance; as various disorders of the microbiota-gut
brain axis are associated with dysregulation of the HPA axis. The present
contribution describes the bidirectional communication between the gut microbiota
and the HPA axis and delineates the potential underlying mechanisms. In this
regard, it is important to note that the communication between the gut microbiota
and the HPA axis is closely interrelated with other systems, such as the immune
system, the intestinal barrier and blood-brain barrier, microbial metabolites,
and gut hormones, as well as the sensory and autonomic nervous systems. These
communication pathways will be exemplified through preclinical models of early
life stress, beneficial roles of probiotics and prebiotics, evidence from germ
free mice, and antibiotic-induced modulation of the gut microbiota.
PMID- 29380307
TI - Proteomic Studies of Psychiatric Disorders.
AB - Many diseases result from programming effects in utero. This chapter describes
recent advances in proteomic studies which have improved our understanding of the
underlying pathophysiological pathways in the major psychiatric disorders,
resulting in the development of potential novel biomarker tests. Such tests
should be based on measurement of blood-based proteins given the ease of
accessibility of this medium and the known connections between the periphery and
the central nervous system. Most importantly, emerging biomarker tests should be
developed on lab-on-a-chip and other handheld devices to enable point-of-care
use. This should help to identify individuals with psychiatric disorders much
sooner than ever before, which will allow more rapid treatment options for the
best possible patient outcomes.
PMID- 29380308
TI - Developmental Origins of Breast Cancer: A Paternal Perspective.
AB - The developmental origins of breast cancer have been considered predominantly
from a maternal perspective. Although accumulating evidence suggests a paternal
programming effect on metabolic diseases, the potential impact of fathers'
experiences on their daughters' breast cancer risk has received less attention.
In this chapter, we focus on the developmental origins of breast cancer and
examine the emerging evidence for a role of fathers' experiences.
PMID- 29380309
TI - Point-of-Care Testing and Personalized Medicine for Metabolic Disorders.
AB - This chapter describes innovations in biomarker testing that can facilitate
earlier and better treatment of patients who suffer from metabolic disorders. The
use of new microfluidic devices along with miniaturized biosensors and
transducers enables analysis of a single drop of a blood within the time frame of
a typical visit to a doctor's office. Steps are underway so that these approaches
will incorporate both biochemical and clinical data, resulting in unique
bioprofiles for each patient. This will allow earlier, personalized, and more
effective therapeutic options. In addition, smartphone apps for self-monitoring
will be used increasingly for the best possible patient outcomes.
PMID- 29380310
TI - Pregnancy and Lactation: A Window of Opportunity to Improve Individual Health.
AB - Human and animal studies indicate that obesity during pregnancy adversely impacts
both maternal health and offspring phenotype predisposing them to chronic
diseases later in life including obesity, dyslipidemia, type 2 diabetes mellitus,
and hypertension. Effective interventions during human pregnancy and/or lactation
are needed to improve both maternal and offspring health. This review addresses
the relationship between adverse perinatal insults and its negative impact on
offspring development and presents some maternal intervention studies such as
diet modification, probiotic consumption, or maternal exercise, to prevent or
alleviate the negative outcomes in both the mother and her child.
PMID- 29380311
TI - Utility of Small Animal Models of Developmental Programming.
AB - Any effective strategy to tackle the global obesity and rising noncommunicable
disease epidemic requires an in-depth understanding of the mechanisms that
underlie these conditions that manifest as a consequence of complex gene
environment interactions. In this context, it is now well established that
alterations in the early life environment, including suboptimal nutrition, can
result in an increased risk for a range of metabolic, cardiovascular, and
behavioral disorders in later life, a process preferentially termed developmental
programming. To date, most of the mechanistic knowledge around the processes
underpinning development programming has been derived from preclinical research
performed mostly, but not exclusively, in laboratory mouse and rat strains. This
review will cover the utility of small animal models in developmental
programming, the limitations of such models, and potential future directions that
are required to fully maximize information derived from preclinical models in
order to effectively translate to clinical use.
PMID- 29380312
TI - Generation of Maternal Obesity Models in Studies of Developmental Programming in
Rodents.
AB - Mother-child cohort studies have established that both pre-pregnancy body mass
index (BMI) and gestational weight gain (GWG) are independently associated with
cardio-metabolic risk factors in juvenile and adult offspring, including systolic
and diastolic blood pressure. In rodent studies maternal obesity confers many
facets of the metabolic syndrome including a persistent sympathy-excitatory
hyperresponsiveness and hypertension acquired in the early stages of development.
Insight from these animal models raises the possibility that early life exposure
to the nutritional and hormonal environment of obesity in pregnancy in humans may
lead to early onset of metabolic syndrome and/or essential hypertension. This
chapter will address the development of rodent models of maternal overnutrition
and obesity, which have proved invaluable in generating testable hypotheses for
clinical translation and the development of intervention strategies to stem the
swelling tide of obesity and its comorbidities predicted for future generations.
PMID- 29380313
TI - Generation of the Maternal Low-Protein Rat Model for Studies of Metabolic
Disorders.
AB - Poor nutrition during pregnancy leads to an increased risk of metabolic disorders
and other diseases in the offspring. This can be modelled in animals through
manipulation of the maternal diet. One such model is the maternal low-protein rat
which gives rise to offspring characterized by insulin resistance. This chapter
gives a detailed protocol for generation of the maternal low-protein rat, which
has been used in the study of several disorders including diabetes and
psychiatric disorders.
PMID- 29380314
TI - Investigation of Paternal Programming of Breast Cancer Risk in Female Offspring
in Rodent Models.
AB - Emerging experimental evidence show that fathers' experiences during
preconception can influence their daughters' risk of developing breast cancer.
Here we describe detailed protocols for investigation in rats and mice of
paternally mediated breast cancer risk programming effects.
PMID- 29380315
TI - Studies of Isolated Peripheral Blood Cells as a Model of Immune Dysfunction.
AB - Peripheral blood mononuclear cells (PBMCs) have been used as a surrogate model of
immune function in studies of multiple medical areas, such as metabolic diseases
and immune dysfunction. This chapter describes a standardized technique for blood
draw and preparation of PBMCs from whole blood using density gradient
centrifugation, followed by cell culture. The main focus is on collection of the
PBMC culture media and extraction of cellular proteins in order to provide the
materials for biomarker studies.
PMID- 29380316
TI - Studies of a Neuronal Cell Line as a Model of Psychiatric Disorders.
AB - Mental disorders are generally characterized by a combination of abnormal
thoughts, perceptions, emotions, behavior, and relationships with others.
Although multiple risk factors, such as genetic and environmental factors and
interaction of these factors, are suggested, the exact etiologies are not known.
On the other hand, it has been strongly suggested that the dopaminergic system is
impaired in a variety of mental disorders. In the described method, the SH-SY5Y
neuroblastoma cell line is differentiated to neuronal cell which expresses NSE,
neuronal marker, and dopamine transporter (DAT) by treatment with all-trans
retinoic acid. SH-SY5Y cells allow investigating neuronal phenotypes of mental
disorders as an in vitro model of these disorders.
PMID- 29380317
TI - Assessment of Placental Transport Function in Studies of Disease Programming.
AB - Environmental conditions during pregnancy affect fetal growth and development and
program the offspring for poor future health. These effects may be mediated by
the placenta, which develops to transfer nutrients from the mother to the fetus
for growth. The ability to measure the unidirectional maternofetal transfer of
non-metabolizable radio-analogues of glucose and amino acid by the placenta in
vivo has thus been invaluable to our understanding of the regulation of fetal
growth, particularly in small animal models. Herein, I describe the method by
which in vivo placental transfer function can be quantified in the mouse, an
animal model widely used in studies of in utero disease programming.
PMID- 29380318
TI - Assessment of Fatty Liver in Models of Disease Programming.
AB - Nonalcoholic fatty liver disease (NAFLD) is currently the most common cause of
chronic liver disease worldwide and is present in a third of the general
population and the majority of individuals with obesity and type 2 diabetes.
Importantly, NAFLD can progress to severe nonalcoholic steatohepatitis (NASH),
associated with liver failure and hepatocellular carcinoma. Recent research
efforts have extensively focused on identifying factors contributing to the
additional "hit" required to promote NALFD disease progression. The maternal
diet, and in particular a high-fat diet (HFD), may be one such hit "priming" the
development of severe fatty liver disease, a notion supported by the increasing
incidence of NAFLD among children and adolescents in Westernized countries. In
recent years, a plethora of key studies have used murine models of maternal
obesity to identify fundamental mechanisms such as lipogenesis, mitochondrial
function, inflammation, and fibrosis that may underlie the developmental priming
of NAFLD. In this chapter, we will address key considerations for constructing
experimental models and both conventional and advanced methods of quantifying
NAFLD disease status.
PMID- 29380319
TI - Capillary Blood Sampling from the Finger.
AB - As the development of point of care testing devices improves, the uptake of
capillary blood sampling from the fingertip across consumer groups and health
professionals is increasing. The method promises to be a relatively safe and
efficient method for monitoring patient health and obtaining research data.
However, if not performed well, this simple technique can result in unreliable
data and unsafe practices with a biological hazard. In this chapter, notes from
the experiences of training undergraduate coursework and postgraduate research
students in the method of capillary blood sampling from the fingertip are
described to inform those considering the implementation of this method in
teaching or research environments.
PMID- 29380320
TI - Physical Activity Assessment in Clinical Studies of Substance Use Disorder.
AB - The therapeutic effect of exercise in promoting mental health is well known, and
there is a growing body of evidence for incorporating physical activity-based
interventions in the management of substance use disorders (SUD). A particular
challenge in this area is a lack of standardized testing protocols between
studies and clear descriptive statistics on the capacity of the SUD patient to
perform exercise. Therefore, an essential starting point for new studies that
seek to incorporate exercise into usual care therapy for SUD is an appropriate
suite of baseline fitness assessments that include measures of aerobic capacity
as well as muscular strength and/or endurance. We present here the methods and
notes of our recent experiences in implementing baseline fitness testing of a
patient population undergoing in-patient treatment for SUD. The tests described
here have been adapted from freely available standardized tests that were
developed for implementation with the general population. It is hoped that these
experiences aid in the development of patient-specific physical activity programs
that assist in the management of SUD.
PMID- 29380321
TI - Cardiopulmonary Exercise Testing.
AB - Cardiopulmonary exercise testing (CPET) is an objective assessment of exercise
capacity. It has become increasingly popular in clinical, research, and athletic
performance settings. CPET allows for investigation of the cardiovascular,
pulmonary, and skeletal muscle systems during exercise-induced stress. The main
variable of maximal oxygen uptake (VO2max) reflects the gold standard measure of
exercise capacity. This chapter will describe the method of performing a graded
maximal CPET with the Vmax 229 Cardiopulmonary Exercise Testing Instrument and
CardioSoft program.
PMID- 29380322
TI - Cardiovascular Assessment in Human Research.
AB - A number of noninvasive tests exist for assessing cardiovascular structure and
function. This chapter describes protocols for flow-mediated dilation (FMD) of
the brachial artery and pulse wave velocity (PWV) and pulse wave analysis (PWA)
for measurement of arterial stiffness. The chapter also describes the different
methodological approaches involved in applying these techniques for optimizing
their validity, comparability, and potential uses as clinical and physiological
research tools.
PMID- 29380323
TI - Nutritional Programming Effects on the Immune System.
AB - The relationship between patterns of early growth and age-associated diseases
such as type 2 diabetes and cardiovascular disease is well established. There is
also strong evidence from both human and animal studies that early environmental
factors such as maternal nutrition may influence lifespan. Interestingly, more
recent studies have demonstrated that nutritional programming in early life
effects immunity, such that altered lifespan can also lead to programmed changes
in immune function. Here we describe the use of immunohistology and flow
cytometry techniques to study two key immune lymphoid organs: one that is
involved in developing immune cells (thymus) and another which is the site of
immune activation (spleen).
PMID- 29380324
TI - Small RNA Sequencing: A Technique for miRNA Profiling.
AB - Identifying microRNA (miRNA) signatures in animal tissues is an essential first
step in studies assessing post-transcriptional regulation of gene expression in
health or disease. Small RNA sequencing (sRNA-Seq) is a next-generation
sequencing-based technology that is currently considered the most powerful and
versatile tool for miRNA profiling. Here, we describe a sRNA-Seq protocol
including RNA purification from mammalian tissues, library preparation, and raw
data analysis.
PMID- 29380325
TI - Pulse-Chase Biosynthetic Radiolabeling of Pancreatic Islets to Measure Beta Cell
Function.
AB - Pulse-chase radiolabeling of cells with radioactive amino acids is a common
method for studying the biosynthesis of proteins. The labeled proteins can then
be immunoprecipitated and analyzed by electrophoresis and gel imaging techniques.
This chapter presents a protocol for the biosynthetic labeling and
immunoprecipitation of pancreatic islet proteins which are known to be affected
in disorders such as diabetes, obesity, and metabolic syndrome.
PMID- 29380326
TI - Rapid and Easy Protocol for Quantification of Next-Generation Sequencing
Libraries.
AB - The emergence of next-generation sequencing (NGS) over the last 10 years has
increased the efficiency of DNA sequencing in terms of speed, ease, and price.
However, the exact quantification of a NGS library is crucial in order to obtain
good data on sequencing platforms developed by the current market leader
Illumina. Different approaches for DNA quantification are available currently and
the most commonly used are based on analysis of the physical properties of the
DNA through spectrophotometric or fluorometric methods. Although these methods
are technically simple, they do not allow exact quantification as can be achieved
using a real-time quantitative PCR (qPCR) approach. A qPCR protocol for DNA
quantification with applications in NGS library preparation studies is presented
here. This can be applied in various fields of study such as medical disorders
resulting from nutritional programming disturbances.
PMID- 29380327
TI - Telomere Length Analysis: A Tool for Dissecting Aging Mechanisms in Developmental
Programming.
AB - Accelerated cellular aging is known to play an important role in the etiology of
phenotypes associated with developmental programming, such as cardiovascular
disease and type 2 diabetes. Telomere length analysis is a powerful tool to
quantify cellular aging. Here we describe a telomere length methodology, refined
to quantify discrete telomere length fragments. We have shown this method to be
more sensitive in detecting small changes in telomere length than the traditional
average telomere length comparisons.
PMID- 29380328
TI - Qualitative and Quantitative NMR Approaches in Blood Serum Lipidomics.
AB - Nuclear magnetic resonance (NMR) spectroscopy in combination with chemometrics
can be applied in the analysis of complex biological samples in many ways. For
example, we can analyze lipids, elucidate their structures, determine their
nutritional values, and determine their distribution in blood serum. As lipids
are not soluble in water, they are transported in blood as lipid-rich self
assembled particles, divided into different density assemblies from high- to very
low-density lipoproteins (HDL to VLDL), or by combining with serum proteins, such
as albumins (human serum albumins (HSA)). Therefore, serum lipids can be analyzed
as they are using only a 1:1 (v/v) dilution with a buffer or deuterated water
prior to analysis by applying 1H NMR or 1H NMR edited-by-diffusion techniques.
Alternatively, lipids can be extracted from the serum using liquid partition
equilibrium and then analyzed using liquid-state NMR techniques. Our chapter
describes protocols that are used for extraction of blood serum lipids and their
quantitative 1H NMR (1H qNMR) analysis in lipid extracts as well as 1H NMR edited
by diffusion for direct blood serum lipid analysis.
PMID- 29380329
TI - In Vivo Electrical Stimulation for the Assessment of Skeletal Muscle Contractile
Function in Murine Models.
AB - Skeletal muscle electrical stimulation is commonly used for clinical purposes,
assisting recovery, preservation, or even improvement of muscle mass and function
in healthy and pathological conditions. Additionally, it is a useful research
tool for evaluation of skeletal muscle contractile function. It may be applied in
vitro, using cell culture or isolated fibers/muscles, and in vivo, using human
subjects or animal models (neuromuscular electrical stimulation - NMES). This
chapter focuses on the electrical stimulation of the sciatic nerve as a research
method for evaluation of the contractile properties of murine hind limb muscles.
Variations of this protocol allow for the assessment of muscle force, fatigue
resistance, contraction and relaxation times, and can be used as a model of
contraction-induced muscle injury, reactive oxygen species production, and muscle
adaptation to contractile activity.
PMID- 29380330
TI - Experimental Model of Skeletal Muscle Laceration in Rats.
AB - This is a modified experimental model previously developed in mouse to study
skeletal muscle laceration in rats. All experimental procedures are performed
during the light period, including anesthesia and surgery. The animals are
randomly distributed into control and injured groups prior to the procedure. This
experimental model can be used to investigate skeletal muscle laceration repair.
PMID- 29380331
TI - Neuropsychiatric Sequelae of Early Nutritional Modifications: A Beginner's Guide
to Behavioral Analysis.
AB - Early parental nutritional interventions during prenatal development have been
shown to result in neuropsychiatric sequelae in the adult offspring. In order to
understand the impact of such nutritional interventions, the behavior of the
animal has to be carefully analyzed. This chapter provides a step-by-step guide
to conduct behavioral tests in adult mice for investigators without specific
expertise or those without the equipment to carry out behavioral studies. We
focus on tests tapping into the main behavioral abnormalities that correspond to
mental illnesses. We describe the materials required and the detailed methods to
conduct global assessment of parameters such as behavioral integrity and general
well-being, psychomotor activity, social behavior, repetitive behavior, anxiety
like behavior, depression-like behavior, short-term spatial working memory, and
spatial reference memory.
PMID- 29380332
TI - Hyperlocomotion Test for Assessing Behavioral Disorders.
AB - Under- or overfeeding during pregnancy can lead to behavioral deficits in the
offspring in later life. Here, we present a protocol for setting up and carrying
out the hyperlocomotion test for assessing behavioral symptoms such as psychosis
or mania. As an example, we use the acute rat phencyclidine-injection model which
exhibits hyperlocomotion and stereotypic behaviors, resembling the positive
symptoms of schizophrenia.
PMID- 29380333
TI - 2D-DIGE Analysis of Eye Lens Proteins as a Measure of Cataract Formation.
AB - This chapter describes the basics of two-dimensional difference gel
electrophoresis (2D-DIGE) for multiplex analysis of two distinct proteomes. The
example given describes the analysis of male and female rat lens soluble proteins
labeled with fluorescent Cy3 and Cy5 dyes in comparison to a pooled standard
labeled with Cy2. After labeling the proteomes are mixed together and
electrophoresed on the same 2D gels. Scanning the gels at wavelengths specific
for each dye allows direct overlay the two different proteomes. Differences in
abundance of specific protein spots can be determined through comparison to the
pooled standard.
PMID- 29380334
TI - Mass Spectrometry Profiling of Pituitary Glands.
AB - Many chronic diseases are associated with hypothalamic-pituitary-adrenal axis
dysfunction. Therefore, proteomic profiling of the pituitary gland has potential
to uncover new information on the underlying pathways affected in these
conditions. This could lead to identification of new biomarkers or drug targets
for development of novel therapeutics. Here we present a protocol for preparation
of pituitary protein extracts and analysis of the major hormones and accessory
proteins using liquid chromatography tandem mass spectrometry (LC-MS/MS). The
same methods can be applied in the study of other tissues of the diffuse
neuroendocrine system.
PMID- 29380335
TI - Multiplex Immunoassay Profiling of Hormones Involved in Metabolic Regulation.
AB - Multiplex immunoassays are used for rapid profiling of biomarker proteins and
small molecules in biological fluids. The advantages over single immunoassays
include lower sample consumption, cost, and labor. This chapter details a
protocol to develop a 5-plex assay for glucagon-like peptide 1, growth hormone,
insulin, leptin, and thyroid-stimulating hormone on the Luminex(r) platform. The
results of the analysis of insulin in normal control subjects are given due to
the important role of this hormone in nutritional programming diseases.
PMID- 29380336
TI - Time-Resolved Fluorescence Assays for Quantification of Insulin Precursors in
Plasma and Serum.
AB - In metabolic diseases such as obesity and type 2 diabetes mellitus, the
conversion of proinsulin to mature insulin can be impaired. This could mean that
insulin molecules with lower activity toward the insulin receptor can be released
under conditions of high metabolic demand, resulting in an inadequate
glucoregulatory response. The chapter describes a fluorescent monoclonal antibody
based protocol for measurement of human proinsulin and the proinsulin conversion
intermediates (split proinsulins). An example assay is presented using serum from
non-diabetic, normal body mass index individuals.
PMID- 29380337
TI - Identification of Neural Stem Cell Biomarkers by Isobaric Tagging for Relative
and Absolute Quantitation (iTRAQ) Mass Spectrometry.
AB - This chapter describes a proteomic analysis of neural progenitor cells using
isobaric tagging for relative and absolute quantitation (iTRAQ) mass
spectrometry. A detailed procedure is described for the isolation, proliferation,
and differentiation of these cells, including a comparative iTRAQ mass
spectrometry analysis of the precursor and differentiated states. In total, there
were changes in the levels of 55 proteins, many of which are not resolved easily
by other proteomic methods. Therefore, this method should be useful for the
identification of important regulatory molecules in the study of other precursor
cells involved in neuronal or metabolic regulation in nutritional programming
diseases.
PMID- 29380338
TI - Lab-on-a-Chip Device for Rapid Measurement of Vitamin D Levels.
AB - Lab-on-a-chip assays allow rapid analysis of one or more molecular analytes on an
automated user-friendly platform. Here we describe a fully automated assay and
readout for measurement of vitamin D levels in less than 15 min using the
Fraunhofer in vitro diagnostics platform. Vitamin D (25-hydroxyvitamin D3
[25(OH)D3]) dilution series in buffer were successfully tested down to 2 ng/mL.
This could be applied in the future as an inexpensive point-of-care analysis for
patients suffering from a variety of conditions marked by vitamin D deficiencies.
PMID- 29380339
TI - Kidney Smartphone Diagnostics.
AB - Here we present a method for a mobile point-of-care (POC) testing of urinary
albumin concentration, a biomarker of kidney damage and cardiovascular disease.
The self-testing strips are meant to be interpreted by means of a smartphone
application. The limits of detection range from 0.15 to 0.30 g/L urinary albumin,
though results below 0.10 g/L are presented in a quantitative manner and
estimates larger than this threshold are shown as categorical variables in a
qualitative manner for increasing urinary albumin concentrations. Calibrated once
under standard conditions, the app enables the user to capture problem samples
and calculate the corresponding concentration. Negative and positive findings
must be interpreted, taking into account the inherent limitations of the method,
and professional health advice must be requested for diagnostic considerations.
Acknowledgment of the association between early life nutrition and long-term
renal health and the adoption of preventive strategies targeting high-risk groups
is key for the reduction of the burden of chronic kidney disease on a global
scale.
PMID- 29380340
TI - A User-Friendly App for Blood Coagulation Disorders.
AB - There is a strong association between a suboptimal maternal environment and
increased risk of developing age-associated diseases such as type 2 diabetes,
obesity, and cardiovascular disease in the offspring. Blood clotting time may be
altered in all of these conditions, and it is also an important factor that
requires monitoring in postoperative and cardiovascular disorder patients who are
on coagulant medications. This chapter describes patient self-management of blood
coagulation activity using a test strip device and the Coagu app. The app can
also be used as a reminder of treatment times and for monitoring the effects of
treatment over time.
PMID- 29380341
TI - Hormonal Smartphone Diagnostics.
AB - Mobile point-of-care diagnostics are paramount for the provision of healthcare.
Hormonal diagnostics are powerful tools to monitor timely changes in human
physiology. Hormone concentrations in serum directly correlate with urine
excretions with minor time delays. Therefore, rapid tests for hormones in urine
have been widely used for decades as means of early diagnostics, particularly in
lateral flow immunoassay formats. However, the challenge of reading and
interpreting these binary tests remains. Here we present a method for utilizing
mobile technologies to quantitatively read and interpret hormonal test strips.
The method demonstrates the detection of a urinary by-product of progesterone,
pregnanediol glucuronide (PdG), and its relation to ovulation and the fertility
cycle.
PMID- 29380342
TI - Risk-Predicting Model for Incident of Essential Hypertension Based on
Environmental and Genetic Factors with Support Vector Machine.
AB - Essential hypertension (EH) has become a major chronic disease around the world.
To build a risk-predicting model for EH can help to interpose people's lifestyle
and dietary habit to decrease the risk of getting EH. In this study, we
constructed a EH risk-predicting model considering both environmental and genetic
factors with support vector machine (SVM). The data were collected through
Epidemiological investigation questionnaire from Beijing Chinese Han population.
After data cleaning, we finally selected 9 environmental factors and 12 genetic
factors to construct the predicting model based on 1200 samples, including 559
essential hypertension patients and 641 controls. Using radial basis kernel
function, predictive accuracy via SVM with function with only environmental
factor and only genetic factor were 72.8 and 54.4%, respectively; after
considering both environmental and genetic factor the accuracy improved to 76.3%.
Using the model via SVM with Laplacian function, the accuracy with only
environmental factor and only genetic factor were 76.9 and 57.7%, respectively;
after combining environmental and genetic factor, the accuracy improved to 80.1%.
The predictive accuracy of SVM model constructed based on Laplacian function was
higher than radial basis kernel function, as well as sensitivity and specificity,
which were 63.3 and 86.7%, respectively. In conclusion, the model based on SVM
with Laplacian kernel function had better performance in predicting risk of
hypertension. And SVM model considering both environmental and genetic factors
had better performance than the model with environmental or genetic factors only.
PMID- 29380343
TI - Prenatal diagnosis and socioeconomic status in the non-invasive prenatal testing
era: A population-based study.
AB - BACKGROUND: Advances in technology can bring great benefits to human health, but
their implementation may be influenced by socioeconomic factors, particularly in
the field of prenatal screening for Down syndrome. AIM: To analyse screening test
indications for, and diagnostic yield of, invasive prenatal diagnostic testing
(PNDx) according to socioeconomic status. METHODS: Retrospective analysis of
population-based data on PNDx and karyotype results for 2014-2015 in the
Australian state of Victoria. Women having PNDx < 25 weeks due to combined first
trimester screening (CFTS), second trimester serum screening (STSS), or
noninvasive prenatal testing (NIPT) results were included. PNDx data were
analysed by indication and maternal Index of Relative Socio-economic Advantage
and Disadvantage (IRSAD), the latter determined by postcode. RESULTS: There were
145 206 births in 2014-2015; 1906 women underwent PNDx for the indication of CFTS
(70.1%), NIPT (17.8%) or STSS (12.0%). Covariates positively associated with NIPT
indicated PNDx, compared with CFTS-indicated testing, were residence in a region
of socioeconomic advantage, metropolitan status and maternal age. Women from the
most advantaged regions had higher adjusted odds ratios (aOR) of NIPT-indicated
testing compared with women from disadvantaged regions (aOR 5.72, 95% CI: 2.95
11.09). The diagnostic yield of PNDx increased with socioeconomic region, from
14% in the lowest IRSAD quintile to 31.2% in the highest (P < 0.0001).
CONCLUSION: Population-based data reveal significant disparities in screening
indications for PNDx and hence, in diagnostic yield, according to socioeconomic
region. This finding may have ethical and policy implications for prenatal
screening in Australia.
PMID- 29380344
TI - Digest: Sexual selection and conflict in a novel environment.
PMID- 29380345
TI - An evaluation of routine antenatal depression screening and psychosocial
assessment in a regional private maternity setting in Australia.
AB - BACKGROUND: There is limited information relating to routine depression screening
and psychosocial assessment programs in private maternity settings in Australia.
AIMS: To describe the psychosocial profile of a sample of private maternity
patients who participated in a depression screening and psychosocial risk
assessment program as part of routine antenatal care, and to explore women's
experience of receiving this component of pregnancy care. MATERIALS AND METHODS:
We conducted a retrospective medical records audit of 455 consecutive women
having a routine psychosocial assessment and referral. Assessment was undertaken
using the Edinburgh Postnatal Depression Scale (EPDS) and the Antenatal Risk
Questionnaire (ANRQ) for psychosocial risk; 101 women completed a feedback survey
about their experience of receiving routine psychosocial care. RESULTS: Of the
87.7% of women who completed both EPDS and ANRQ, 4.3% scored 13 or more on the
EPDS. On the ANRQ, 25.3% of women endorsed one risk factor, 11.6% two risk
factors and 10.5% three or more risk factors. Elevated EPDS scores were
associated with major stresses in the last 12 months, high trait anxiety and
significant past mental health issue/s. Acceptability of depression screening and
psychosocial risk assessment was high. CONCLUSIONS: This study highlights the
need for, and acceptability of, depression and psychosocial assessment in the
private maternity sector. These findings are particularly timely given the
provision of new Medicare Benefits Scheme items for obstetricians to undertake
psychosocial assessment (both antenatally and postnally) in line with recommended
clinical best practice.
PMID- 29380346
TI - The Education of Prescribers for Safe and Effective Use of Medications.
AB - The transformation of medical education, with the disappearance of sequential
lectures in pharmacology, clinical pharmacology, and therapeutics, has left gaps
in skills needed for new physicians and other prescribers to safely incorporate
medications into clinical practice. Brinkman et al. conducted a Delphi study of
European Union (EU) medical school educators and practitioners to identify core
competencies needed to prescribe medications. Their findings offer directions for
learned societies, such as ASCPT, to enhance pharmacology education and practice.
PMID- 29380347
TI - Digest: The Red Queen hypothesis demonstrated by the Daphnia-Caullerya host
parasite system?.
PMID- 29380348
TI - Nickel hypersensitivity following closure of atrial septal defect: A case report
and review of the literature.
AB - We present an unusual case where symptoms of headache and chest pain persisted
for 3 years following the implantation of a septal occluder device for an atrial
septal defect despite endothelialisation of the device. The patient was found to
have nickel hypersensitivity on patch testing. Following the removal of the
device the patient had complete resolution of headaches and chest pain up to 10
months post-explantation.
PMID- 29380349
TI - Acne and hidradenitis suppurativa.
AB - Acne and hidradenitis suppurativa (HS) both centre on hair follicles. They often
occur together as part of the acne tetrad, but are found in distinct
localizations. Acne is primarily defined by the presence of comedones and
inflammatory lesions. However, in HS the intertriginous localization and
chronicity play equally important roles for the diagnosis to the inflammatory
lesions. Genetics, bacteria, environmental factors and innate inflammation have
all been found to play a role in acne and/or HS. Surprisingly, there is little
overlap between the findings so far. The genetics of acne and HS are distinct,
bacteria have not been shown convincingly to play a role in HS, and the important
risk factors obesity and smoking in HS cannot be easily translated to acne. The
one driving factor central to both diseases is innate inflammation, most
strikingly involving interleukin-1. Hence the interleukin-1 family, as already
shown in autoinflammatory conditions associated with acne, could represent
attractive treatment targets.
PMID- 29380350
TI - Retrospective study of rapamycin or rapalog 0.1% cream for facial angiofibromas
in tuberous sclerosis complex: evaluation of treatment effectiveness and cost.
PMID- 29380351
TI - Complex coevolution of wing, tail, and vocal sounds of courting male bee
hummingbirds.
AB - Phenotypic characters with a complex physical basis may have a correspondingly
complex evolutionary history. Males in the "bee" hummingbird clade court females
with sound from tail-feathers, which flutter during display dives. On a phylogeny
of 35 species, flutter sound frequency evolves as a gradual, continuous character
on most branches. But on at least six internal branches fall two types of major,
saltational changes: mode of flutter changes, or the feather that is the sound
source changes, causing frequency to jump from one discrete value to another. In
addition to their tail "instruments," males also court females with sound from
their syrinx and wing feathers, and may transfer or switch instruments over
evolutionary time. In support of this, we found a negative phylogenetic
correlation between presence of wing trills and singing. We hypothesize this
transference occurs because wing trills and vocal songs serve similar functions
and are thus redundant. There are also three independent origins of self
convergence of multiple signals, in which the same species produces both a vocal
(sung) frequency sweep, and a highly similar nonvocal sound. Moreover, production
of vocal, learned song has been lost repeatedly. Male bee hummingbirds court
females with a diverse, coevolving array of acoustic traits.
PMID- 29380352
TI - Regulation of glucose-stimulated insulin secretion by ATPase Inhibitory Factor 1
(IF1).
AB - ATPase Inhibitory factor 1 (IF1) is an endogenous regulator of mitochondrial ATP
synthase, which is involved in cellular metabolism. Although great progress has
been made, biological roles of IF1 and molecular mechanisms of its action are
still to be elucidated. Here, we show that IF1 is present in pancreatic beta
cells, bound to the ATP synthase also under normal physiological conditions. IF1
silencing in model pancreatic beta-cells (INS-1E) increases insulin secretion
over a range of glucose concentrations. The left-shifted dose-response curve
reveals excessive insulin secretion even under low glucose, corresponding to
fasting conditions. A parallel increase in cellular respiration and ATP levels is
observed. To conclude, our results indicate that IF1 is a negative regulator of
insulin secretion involved in pancreatic beta-cell glucose sensing.
PMID- 29380353
TI - Regenerative Solutions for Inherited Diseases.
AB - It is clear that regenerative medicine therapies will dominate the future
landscape, particularly for genetic disorders and other diseases that have been
proven intractable with current therapies. As we explore unique combinations of
rapidly emerging fields-from computational biology to genome editing to molecular
therapeutics-the past goal of ameliorating symptoms of disease is rapidly giving
way to the goal of restoring a patient to health and normal function.
PMID- 29380354
TI - Digest: Shape-shifting in Solanaceae flowers: The influence of pollinators.
PMID- 29380355
TI - Can the Use of Novel Digital Devices Improve the Productivity of Drug
Development?
AB - Pharmaceutical companies have been forever challenged with both rising
development costs and the need to find novel ways to differentiate the candidate
drugs. Recent advances in digital health (DH) technology, including wearables, in
home clinical devices, and sensors, have enabled a growing array of available
data endpoints, making these devices an invaluable tool in clinical programs. The
below analysis focuses on advantages and hurdles in implementation of selected DH
technologies in drug development.
PMID- 29380356
TI - Association between visual inspection of the cervix with acetic acid examination
and high-risk human papillomavirus infection, Chlamydia trachomatis, Neisseria
gonorrhoeae and Trichomonas vaginalis in Papua New Guinea.
AB - BACKGROUND: Papua New Guinea (PNG) has among the highest estimated burdens of
cervical cancer globally but currently has no national cervical screening
program. Visual inspection of the cervix with acetic acid (VIA) is a low-cost
screening strategy endorsed by the World Health Organization that has been
adopted in many low-resource settings but not previously evaluated in PNG. AIM:
To evaluate the association between VIA examination findings and high-risk HPV
(hrHPV) infection; and the impact of concomitant genital Chlamydia trachomatis,
Neisseria gonorrhoeae and Trichomonas vaginalis on the interpretation of VIA
findings. METHODS: A prospective clinical cohort study among women aged 30-59
years attending Well Woman Clinics in PNG. Main outcome measures were VIA
examination findings and laboratory-confirmed hrHPV, C. trachomatis, N.
gonorrhoeae and T. vaginalis. RESULTS: A total of 614 women were enrolled, of
whom 87.5% (537/614) underwent VIA, and 12.5% (77/614) did not due to pre
existing cervicitis or inability to visualise the transformation zone. Among the
537 women who underwent VIA, 21.6% were VIA positive, 63.7% VIA negative, and
14.7% had indeterminate findings. The prevalence of hrHPV infection (n = 614) was
14.7%; C. trachomatis, 7.5%; N. gonorrhoeae, 8.0%; and T. vaginalis, 15.0%. VIA
positive women were more likely to have HPV16 (odds ratio: 5.0; 95%CI: 1.6-15.6;
P = 0.006) but there was no association between HPV18/45, all hrHPV types
(combined), C. trachomatis, N. gonorrhoeae or T. vaginalis. CONCLUSIONS: VIA
positivity was associated with HPV16, but not with other hrHPV infections, nor
with genital C. trachomatis, N. gonorrhoeae or T. vaginalis in this setting.
PMID- 29380357
TI - The association between ragged or incomplete membranes and postpartum
haemorrhage: A retrospective cohort study.
AB - BACKGROUND: The association between an incomplete placenta and postpartum
haemorrhage (PPH) is well documented; however, the significance of ragged or
incomplete membranes has not been explored as an independent risk factor for PPH.
AIMS: To explore the association between the completeness of the amniotic and
chorionic membranes and the risk of PPH, independent to placental status.
MATERIALS AND METHODS: 37 176 birth records were retrospectively extracted from
the period 1 July, 2008 to 30 June, 2016 from the databases of two public
hospitals in Melbourne, Australia. Following application of specific exclusion
and inclusion criteria, including non-complete placentas, 5718 records were
available for analysis. These records were grouped based on membrane status
(complete, ragged or incomplete) and outcome (PPH or no PPH). RESULTS: Primary
PPH rates were 14.8% in women with complete membranes, 20.2% in women with ragged
membranes and 25.8% in women with incomplete membranes. Following statistical
adjustment, the risk ratios for PPH were 1.32 (95% CI: 1.15-1.50) and 1.70 (95%
CI: 1.41-2.04) in women with ragged and incomplete membranes, respectively.
CONCLUSIONS: Both ragged and incomplete membranes were found to be independent
risk factors for primary PPH. This previously un-discussed association has the
potential to influence clinical practice changes, particularly with regard to the
ongoing clinical relevance and use of the terms 'ragged' and 'incomplete'
membranes.
PMID- 29380358
TI - Rapid exacerbation of malignant melanoma during short-term discontinuation of
vemurafenib.
PMID- 29380359
TI - Autologous stem cell transplant and combination immunotherapy of rituximab and
interferon-alpha induces prolonged clinical and molecular remissions in patients
with follicular lymphoma.
PMID- 29380361
TI - Evolution of mutualism from parasitism in experimental virus populations.
AB - While theory suggests conditions under which mutualism may evolve from
parasitism, few studies have observed this transition empirically. Previously, we
evolved Escherichia coli and the filamentous bacteriophage M13 in 96-well
microplates, an environment in which the ancestral phage increased the growth
rate and yield of the ancestral bacteria. In the majority of populations,
mutualism was maintained or even enhanced between phages and coevolving bacteria;
however, these same phages evolved traits that harmed the ancestral E. coli
genotype. Here, we set out to determine if mutualism could evolve from this new
parasitic interaction. To do so, we chose six evolved phage populations from the
original experiment and used them to establish new infections of the ancestral
bacteria. After 20 passages, mutualism evolved in almost all replicates, with the
remainder growing commensally. Many phage populations also evolved to benefit
both their local, evolving bacteria and the ancestral bacteria, though these
phages were less beneficial to their co-occurring hosts than phages that harm the
ancestral bacteria. These results demonstrate the rapid recovery of mutualism
from parasitism, and we discuss how our findings relate to the evolution of
phages that enhance the virulence of bacterial pathogens.
PMID- 29380360
TI - Dorsomedial Prefrontal Activity to Sadness Predicts Later Emotion Suppression and
Depression Severity in Adolescent Girls.
AB - The present study used cross-lagged panel analyses to test longitudinal
associations among emotion regulation, prefrontal cortex (PFC) function, and
depression severity in adolescent girls. The ventromedial and dorsomedial PFC
(vmPFC and dmPFC) were regions of interest given their roles in depression
pathophysiology, self-referential processing, and emotion regulation. At ages 16
and 17, seventy-eight girls completed a neuroimaging scan to assess changes in
vmPFC and dmPFC activation to sad faces, and measures of depressive symptom
severity and emotion regulation. The 1-year cross-lagged effects of dmPFC
activity at age 16 on expressive suppression at age 17 and depressive
symptomatology at age 17 were significant, demonstrating a predictive relation
between dmPFC activity and both suppression and depressive severity.
PMID- 29380362
TI - Post-transplant lymphoproliferative disease with features of cutaneous natural
killer/T-cell lymphoma.
PMID- 29380363
TI - Invasion history alters the behavioural consequences of immune system activation
in cane toads.
AB - Acute activation of the immune system often initiates a suite of behavioural
changes. These "sickness behaviours"-involving lethargy and decreased activity
may be particularly costly on invasion fronts, where evolutionary pressures on
dispersal favour individuals that move large distances. We used a combination of
field and laboratory studies to compare sickness behaviours of cane toads from
populations differing in invasion history. To do this we stimulated immune system
activation by injecting lipopolysaccharide (LPS) to mimic bacterial infection. We
predicted that LPS would result in less severe sickness behaviour in toads from
range-edge populations because they had undergone selection for rapid and
sustained dispersal (activities in conflict with lethargy and decreased
activity). Contrary to our prediction, LPS injection caused a greater reduction
in dispersal-relevant traits in invasion-front individuals than in conspecifics
from the range-core. Our data suggest that the rapid invasion of cane toads
through tropical Australia has seen an evolutionary shift in the magnitude of
sickness behaviour elicited by pathogen infection. The increased sickness
behaviour among range-edge toads suggests a shift away from pathogen tolerance
(seen in range-core populations) towards resistance to pathogen attack. But as a
consequence, when pathogens do become successfully established, toads from
invasion-front populations may have less capacity to tolerate their ill-effects.
PMID- 29380364
TI - Density regulation in Northeast Atlantic fish populations: Density dependence is
stronger in recruitment than in somatic growth.
AB - Population regulation is a central concept in ecology, yet in many cases its
presence and the underlying mechanisms are difficult to demonstrate. The current
paradigm maintains that marine fish populations are predominantly regulated by
density-dependent recruitment. While it is known that density-dependent somatic
growth can be present too, its general importance remains unknown and most
practical applications neglect it. This study aimed to close this gap by for the
first time quantifying and comparing density dependence in growth and recruitment
over a large set of fish populations. We fitted density-dependent models to time
series data on population size, recruitment and age-specific weight from
commercially exploited fish populations in the Northeast Atlantic Ocean and the
Baltic Sea. Data were standardized to enable a direct comparison within and among
populations, and estimated parameters were used to quantify the impact of density
regulation on population biomass. Statistically significant density dependence in
recruitment was detected in a large proportion of populations (70%), whereas for
density dependence in somatic growth the prevalence of density dependence
depended heavily on the method (26% and 69%). Despite age-dependent variability,
the density dependence in recruitment was consistently stronger among age groups
and between alternative approaches that use weight-at-age or weight increments to
assess growth. Estimates of density-dependent reduction in biomass underlined
these results: 97% of populations with statistically significant parameters for
growth and recruitment showed a larger impact of density-dependent recruitment on
population biomass. The results reaffirm the importance of density-dependent
recruitment in marine fishes, yet they also show that density dependence in
somatic growth is not uncommon. Furthermore, the results are important from an
applied perspective because density dependence in somatic growth affects
productivity and catch composition, and therefore the benefits of maintaining
fish populations at specific densities.
PMID- 29380365
TI - Fat feeding facilitates hot bodies, but is resistance futile?
PMID- 29380366
TI - Biodegradable nanoparticles as theranostics of ovarian cancer: an overview.
AB - OBJECTIVES: Above 10 million people are suffering from cancers every year. As per
American Cancer Society, more than 22 440 new cases and 14 080 deaths were
reported from ovarian cancer yearly worldwide. This review explores the current
status, challenges and future perspectives of tumour-targeted theranostic
nanoparticles (NPs). KEY FINDINGS: Most of the ovarian malignancy cases are
uncovered after the disease is in a difficult state due to poor screening
techniques and non-specific symptoms. In this manner, forceful and fruitful
treatment is required that will indicate insignificant lethal impacts to solid
tissue. In the current research, stealth biodegradable NPs are produced as
vehicles for imaging and treatment of ovarian cancer as the controlled and
targeted delivery of chemotherapeutic as well as imaging agents. To enhance the
dependability of the colloidal suspension as well as to increase their
circulation lifetime, NPs are introduced by incorporating the functional
poly(ethylene glycol) on their surface, which also provides a site to conjugation
of focusing on agents to ovarian tissue. SUMMARY: Biodegradable theranostic NPs
can be fabricated and surface engineered without any alteration in drug-loading
capacity, safety and efficacy. These NPs have shown promising results in imaging
as well as treatment of ovarian cancer.
PMID- 29380367
TI - MicroRNA-421 suppresses the apoptosis and autophagy of hippocampal neurons in
epilepsy mice model by inhibition of the TLR/MYD88 pathway.
AB - Epilepsy is a group of neurological disorders characterized by epileptic
seizures. In this study, we aim to explore the role of microRNA-421 (miR-421) in
hippocampal neurons of epilepsy mice via the TLR/MYD88 pathway. Forty mice were
randomly served as the normal and model (established as epilepsy model) groups.
Hippocampal neurons were assigned into seven groups with different transfections.
The RT-qPCR and western blotting were conducted to examine the expression of miR
421 TLR2, TLR4, MYD88, Bax, Bcl-2, p53, Beclin-1, and LC3II/LC3I. Cell
proliferation and apoptosis were detected by MTT and flow cytometry.MYD88 is a
target gene of miR-421. Model mice showed elevated expression of TLR2, TLR4,
MYD88, Bax, p53, Beclin-1, and LC3II/LC3I but reduced expression of miR-421 and
Bcl-2. In vitro experiments reveals that overexpression of miR-421 inhibited the
TLR/MYD88 pathway. Besides, overexpressed miR-421 declined cell apoptosis but
increased cell proliferation. It reveals that miR-421 targeting MYD88 could
inhibit the apoptosis and autophagy of hippocampal neurons in epilepsy mice by
down-regulating the TLR/MYD88 pathway.
PMID- 29380368
TI - Tributyltin induces distinct effects on cortical and trabecular bone in female
C57Bl/6J mice.
AB - The retinoid X receptors (RXR), peroxisome proliferator activated receptor gamma
(PPARgamma), and liver X receptors (LXR) all have been shown to regulate bone
homeostasis. Tributyltin (TBT) is an environmental contaminant that is a dual
RXRalpha/beta and PPARgamma agonist. TBT induces RXR, PPARgamma, and LXR-mediated
gene transcription and suppresses osteoblast differentiation in vitro. Bone
marrow multipotent mesenchymal stromal cells derived from female C57BL/6J mice
were more sensitive to suppression of osteogenesis by TBT than those derived from
male mice. In vivo, oral gavage of 12 week old female, C57Bl/6J mice with 10
mg/kg TBT for 10 weeks resulted in femurs with a smaller cross-sectional area and
thinner cortex. Surprisingly, TBT induced significant increases in trabecular
thickness, number, and bone volume fraction. TBT treatment did not change the
Rankl:Opg RNA ratio in whole bone, and histological analyses showed that
osteoclasts in the trabecular space were minimally reduced. In contrast,
expression of cardiotrophin-1, an osteoblastogenic cytokine secreted by
osteoclasts, increased. In primary bone marrow macrophage cultures, TBT
marginally inhibited the number of osteoclasts that differentiated, in spite of
significantly suppressing expression of osteoclast markers Nfatc1, Acp5, and Ctsk
and resorptive activity. TBT induced expression of RXR- and LXR-dependent genes
in whole bone and in vitro osteoclast cultures. However, only an RXR antagonist,
but not an LXR antagonist, significantly inhibited TBTs ability to suppress
osteoclast differentiation. These results suggest that TBT has distinct effects
on cortical versus trabecular bone, likely resulting from independent effects on
osteoblast and osteoclast differentiation that are mediated through RXR.
PMID- 29380369
TI - Development, current applications and future roles of biorelevant two-stage in
vitro testing in drug development.
AB - OBJECTIVES: Various types of two stage in vitro testing have been used in a
number of experimental settings. In addition to its application in quality
control and for regulatory purposes, two-stage in vitro testing has also been
shown to be a valuable technique to evaluate the supersaturation and
precipitation behavior of poorly soluble drugs during drug development. KEY
FINDINGS: The so-called 'transfer model', which is an example of two-stage
testing, has provided valuable information about the in vivo performance of
poorly soluble, weakly basic drugs by simulating the gastrointestinal drug
transit from the stomach into the small intestine with a peristaltic pump. The
evolution of the transfer model has resulted in various modifications of the
experimental model set-up. Concomitantly, various research groups have developed
simplified approaches to two-stage testing to investigate the supersaturation and
precipitation behavior of weakly basic drugs without the necessity of using a
transfer pump. SUMMARY: Given the diversity among the various two-stage test
methods available today, a more harmonized approach needs to be taken to optimize
the use of two stage testing at different stages of drug development.
PMID- 29380371
TI - Novel application of internal obturator and semitendinosus muscle flaps for
rectal wall repair or reinforcement.
AB - Internal obturator and/or semitendinosus muscle flaps were used to reinforce
primary appositional rectal wall repair in three dogs and one cat in this case
series. All three dogs incurred rectal wall compromise during surgical excision
of anal sac tumours. The cat sustained bite wounds to the perianal region
resulting in abscessation and a rectal tear. Our results indicate that
application of an internal obturator and/or semitendinosus muscle flap can reduce
the risk of rectal wall dehiscence after primary repair, and consequently the
risk of pararectal abscess or rectocutaneous fistula formation.
PMID- 29380370
TI - Endothelial immune activation programmes cell-fate decisions and angiogenesis by
inducing angiogenesis regulator DLL4 through TLR4-ERK-FOXC2 signalling.
AB - KEY POINTS: The mechanisms by which bacteria alter endothelial cell phenotypes
and programme inflammatory angiogenesis remain unclear. In lung endothelial
cells, we demonstrate that toll-like receptor 4 (TLR4) signalling induces
activation of forkhead box protein C2 (FOXC2), a transcriptional factor
implicated in lymphangiogenesis and endothelial specification, in an
extracellular signal-regulated kinase (ERK)-dependent manner. TLR4-ERK-FOXC2
signalling regulates expression of the Notch ligand DLL4 and signals inflammatory
angiogenesis in vivo and in vitro. Our work reveals a novel link between
endothelial immune signalling (TLR pathway) and a vascular transcription factor,
FOXC2, that regulates embryonic vascular development. This mechanism is likely to
be relevant to pathological angiogenesis complicating inflammatory diseases in
humans. ABSTRACT: Endothelial cells (ECs) mediate a specific and robust immune
response to bacteria in sepsis through the activation of toll-like receptor (TLR)
signalling. The mechanisms by which bacterial ligands released during sepsis
programme EC specification and altered angiogenesis remain unclear. We postulated
that the forkhead box protein C2 (FOXC2) transcriptional factor directs EC cell
fate decisions and angiogenesis during TLR signalling. In human lung ECs,
lipopolysaccharide (LPS) induced ERK phosphorylation, FOXC2, and delta-like 4
(DLL4, the master regulator of sprouting angiogenesis expression) in a TLR4
dependent manner. LPS-mediated ERK phosphorylation resulted in FOXC2-ERK protein
ligation, ERK-dependent FOXC2 serine and threonine phosphorylation, and
subsequent activation of DLL4 gene expression. Chemical inhibition of ERK or ERK
2 dominant negative transfection disrupted LPS-mediated FOXC2 phosphorylation and
transcriptional activation of FOXC2. FOXC2-siRNA or ERK-inhibition attenuated LPS
induced DLL4 expression and angiogenic sprouting in vitro. In vivo,
intraperitoneal LPS induced ERK and FOXC2 phosphorylation, FOXC2 binding to DLL4
promoter, and FOXC2/DLL4 expression in the lung. ERK-inhibition suppressed LPS
induced FOXC2 phosphorylation, FOXC2-DLL4 promoter binding, and induction of
FOXC2 and DLL4 in mouse lung ECs. LPS induced aberrant retinal angiogenesis and
DLL4 expression in neonatal mice, which was attenuated with ERK inhibition.
FOXC2+/- mice treated with LPS showed a mitigated increase in FOXC2 and DLL4
compared to FOXC2+/+ mice. These data reveal a new mechanism (TLR4-ERK-FOXC2
DLL4) by which sepsis-induced EC TLR signalling programmes EC specification and
altered angiogenesis.
PMID- 29380372
TI - Hedgehog signaling pathway: Epigenetic regulation and role in disease and cancer
development.
AB - The evolutionarily conserved Hedgehog (Hh) signaling pathway have critical roles
in development and homeostasis of tissues. Under physiological conditions, Hh is
controlled at different levels via stem cell maintenance and tissue regeneration.
Aberrant activation of this signaling pathway may occur in a wide range of human
diseases including different types of cancer. In this review we present a concise
overview on the key genes composing Hh signaling pathway and provide recent
advances on the molecular mechanisms that regulate Hh signaling pathway from
extracellular and receptors to the cytoplasmic and nuclear machinery with a
highlight on the role of microRNAs. Furthermore, we focus on critical studies
demonstrating dysregulation of the Hh pathway in human disease development, and
potential therapeutic implications. Finally, we introduce recent therapeutic
drugs acting as Shh signaling pathway inhibitors, including those in clinical
trials and preclinical studies.
PMID- 29380373
TI - Metformin attenuates folic-acid induced renal fibrosis in mice.
AB - Progressive tubulointerstitial fibrosis has been recognized as a common
pathological process that leads to the progression of all chronic kidney disease
(CKD). Innovative strategies are needed to both prevent and treat CKD.
Inflammatory and fibrotic signaling pathways play central roles in the
progression of CKD regardless of aetiology. Hence, targeting inflammatory and
fibrotic responses holds promise to limit renal fibrosis. Metformin has been the
most prescribed glucose-lowering medicine worldwide, and its potential for many
other therapeutic applications is also being explored intensively. Increasing
evidence indicates metformin may limit renal fibrosis. However, the exact
mechanisms whereby metformin limits renal injury are not fully understood. The
anti-fibrotic effects of metformin, independent of improved glycaemic control was
examined in a folic acid-induced mouse model of nephropathy for 14 days. Human
proximal tubular cells (HK2 cells) exposed to TGF-beta1 were used in in vitro
models to examine mechanistic pathways. Folic acid induced nephropathy was
associated with the overexpression of inflammatory markers MCP-1, F4/80, type IV
collagen, fibronectin and TGF-beta1 compared to control groups, which were
partially attenuated by metformin treatment. In vitro studies confirmed that
metformin inhibited TGF-beta1 induced inflammatory and fibrotic responses through
Smad3, ERK1/2, and P38 pathways in human renal proximal tubular cells. These
results suggest that metoformin attenuates folic acid-induced renal interstitial
fibrogenesis through TGF-beta1 signaling pathways.
PMID- 29380374
TI - Hunger mediates apex predator's risk avoidance response in wildland-urban
interface.
AB - Conflicts between large mammalian predators and humans present a challenge to
conservation efforts, as these events drive human attitudes and policies
concerning predator species. Unfortunately, generalities portrayed in many
empirical carnivore landscape selection studies do not provide an explanation for
a predator's occasional use of residential development preceding a carnivore
human conflict event. In some cases, predators may perceive residential
development as a risk-reward trade-off. We examine whether state-dependent
mortality risk-sensitive foraging can explain an apex carnivore's (Puma concolor)
occasional utilization of residential areas. We assess whether puma balance the
risk and rewards in a system characterized by a gradient of housing densities
ranging from wildland to suburban. Puma GPS location data, characterized as
hunting and feeding locations, were used to assess landscape variables governing
hunting success and hunting site selection. Hunting site selection behaviour was
then analysed conditional on indicators of hunger state. Residential development
provided a high energetic reward to puma based on increases in prey availability
and hunting success rates associated with increased housing density. Despite a
higher energetic reward, hunting site selection analysis indicated that pumas
generally avoided residential development, a landscape type attributed with
higher puma mortality risk. However, when a puma experienced periods of extended
hunger, risk avoidance behaviour towards housing waned. This study demonstrates
that an apex carnivore faces a trade-off between acquiring energetic rewards and
avoiding risks associated with human housing. Periods of hunger can help explain
an apex predator's occasional use of developed landscapes and thus the rare
conflicts in the wildland-urban interface. Apex carnivore movement behaviours in
relation to human conflicts are best understood as a three-player community-level
interaction incorporating wild prey distribution.
PMID- 29380375
TI - Maternal sensitivity to distress, attachment and the development of callous
unemotional traits in young children.
AB - BACKGROUND: Callous-unemotional (CU) traits are characterized by a lack of
responsiveness to the emotions of others, particularly negative emotions. A
parenting environment where the child's own distress emotions are sensitively
responded to may help foster the child's ability to respond to the emotions of
others. We tested whether maternal sensitivity to distress, and other parenting
characteristics, were associated with CU traits over the preschool period, and
examined whether this was mediated via infant attachment status. METHOD: In an
epidemiological cohort, CU traits were assessed at age 2.5, 3.5, and 5.0 years by
mother report. Dimensions of parenting were assessed in free play at age 29 weeks
in a stratified subsample of 272, and attachment status at 14 months (n = 265).
Structural equation modelling with maximum likelihood estimation was used to
examine predictions from parenting dimensions and attachment status. RESULTS: A
parenting factor comprised of sensitivity to distress (n = 207), sensitivity to
non-distress, positive regard toward the infant (or warmth), and intrusiveness,
predicted child CU traits (p = .023). This effect was accounted for mainly by
sensitivity to distress (p = .008) and positive regard (p = .023) which showed a
synergistic effect as evidenced by a significant interaction (p = .01). This
arose because the combination of low sensitivity to distress and low positive
regard created the risk for elevated CU traits. Although sensitivity and positive
regard predicted attachment security and disorganization, there were no
associations between attachment status and CU traits. CONCLUSIONS: The finding of
contributions from both sensitivity to distress and positive regard to reduced CU
traits suggests that children's responsiveness to others' emotions may be
increased by their own mothers' responsiveness to them and their mothers' warmth.
There was no evidence that this was mediated via attachment status. Implications
for intervention and future directions are discussed.
PMID- 29380376
TI - Effects of S-1-propenylcysteine, a sulfur compound in aged garlic extract, on
blood pressure and peripheral circulation in spontaneously hypertensive rats.
AB - OBJECTIVES: This study was designed to investigate the antihypertensive effect of
S-1-propenylcysteine, a characteristic sulfur compound in aged garlic extract,
using a hypertensive rat model. METHODS: The blood pressure and tail blood flow
of both spontaneously hypertensive rats and control Wistar Kyoto rats were
measured by the tail-cuff method and the noncontact laser Doppler method,
respectively, at various times after single oral administration of a test
compound for 24 h. KEY FINDINGS: Treatment with S-1-propenylcysteine (6.5 mg/kg
BW) significantly decreased the systolic blood pressure of spontaneously
hypertensive rat approximately 10% at 3 h after administration, and thereafter,
the systolic blood pressure gradually returned to the baseline level in 24 h. The
effect of S-1-propenylcysteine was dose-dependent and was maximal at the dose of
6.5 mg/kg BW at 3 h. However, the other compounds such as S-allylcysteine and S
allylmercaptocysteine in aged garlic extract were ineffective. In addition, S-1
propenylcysteine had no effect on systolic blood pressure of control Wistar Kyoto
rats. Furthermore, S-1-propenylcysteine significantly increased the blood flow at
3 h after administration at the dose of 6.5 mg/kg BW. CONCLUSIONS: S-1
propenylcysteine is a key constituent of aged garlic extract responsible for its
antihypertensive effect, and the effect of S-1-propenylcysteine involves the
improvement in peripheral circulation.
PMID- 29380378
TI - Auditory DUM neurons in a bush-cricket: A filter bank for carrier frequency.
AB - In bush-crickets the first stage of central auditory processing occurs in the
prothoracic ganglion. About 15 to 50 different auditory dorsal unpaired median
neurons (DUM neurons) exist but they have not been studied in any detail. These
DUM neurons may be classified into seven different morphological types, although,
there is only limited correlation between morphology and physiological responses.
Ninety seven percent of the stained neurons were local, 3% were intersegmental.
About 90% project nearly exclusively into the auditory neuropile, and 45% into
restricted areas therein. Lateral extensions overlap with the axons of primary
auditory sensory neurons close to their branching point. DUM neurons are
typically tuned to frequencies covering the range between 2 and 50 kHz and
thereby may establish a filter bank for carrier frequency. Less than 10% of DUM
neurons have their branches in adjacent and more posterior regions of the
auditory neuropile and are mostly tuned to low frequencies, less sensitive than
the other types and respond to vibration. Thirty five percent of DUM show
indications of inhibition, either through reduced responses at higher
intensities, or by hyperpolarizing responses to sound. Most DUM neurons produce
phasic spike responses preferably at higher intensities. Spikes may be elicited
by intracellular current injection. Preliminary data suggest that auditory DUM
neurons have GABA as transmitter and therefore may inhibit other auditory
interneurons. From all known local auditory neurons, only DUM neurons have
frequency specific responses which appear suited for local processing relevant
for acoustic communication in bush crickets.
PMID- 29380377
TI - Imbalance of synaptic actin dynamics as a key to fragile X syndrome?
AB - Our experiences and memories define who we are, and evidence has accumulated that
memory formation is dependent on functional and structural adaptations of
synaptic structures in our brain. Especially dendritic spines, the postsynaptic
compartments of synapses show a strong structure-to-function relationship and a
high degree of structural plasticity. Although the molecular mechanisms are not
completely understood, it is known that these modifications are highly dependent
on the actin cytoskeleton, the major cytoskeletal component of the spine. Given
the crucial involvement of actin in these mechanisms, dysregulations of spine
actin dynamics (reflected by alterations in dendritic spine morphology) can be
found in a variety of neurological disorders ranging from schizophrenia to
several forms of autism spectrum disorders such as fragile X syndrome (FXS). FXS
is caused by a single mutation leading to an inactivation of the X-linked fragile
X mental retardation 1 gene and loss of its gene product, the RNA-binding protein
fragile X mental retardation protein 1 (FMRP), which normally can be found both
pre- and postsynaptically. FMRP is involved in mRNA transport as well as
regulation of local translation at the synapse, and although hundreds of FMRP
target mRNAs could be identified only a very few interactions between FMRP and
actin-regulating proteins have been reported and validated. In this review we
give an overview of recent work by our lab and others providing evidence that
dysregulated actin dynamics might indeed be at the very base of a deeper
understanding of neurological disorders ranging from cognitive impairment to the
autism spectrum.
PMID- 29380379
TI - High-throughput oxidation screen of antibody-drug conjugates by analytical
protein A chromatography following IdeS digest.
AB - OBJECTIVES: Oxidation of protein therapeutics is a major chemical degradation
pathway which may impact bioactivity, serum half-life and stability. Therefore,
oxidation is a relevant parameter which has to be monitored throughout
formulation development. Methods such as HIC, RPLC and LC/MS achieve a separation
of oxidized and non-oxidized species by differences in hydrophobicity. Antibody
drug conjugates (ADC) although are highly more complex due to the heterogeneity
in linker, drug, drug-to-antibody ratio (DAR) and conjugation site. The
analytical protein A chromatography can provide a simple and fast alternative to
these common methods. METHODS: A miniature analytical protein A chromatography
method in combination with an IdeS digest was developed to analyse ADCs. The IdeS
digest efficiency of an IgG1 was monitored using SEC-HPLC and non-reducing SDS
PAGE. An antibody-fluorescent dye conjugate was conjugated at different dye-to
antibody ratios as model construct to mimic an ADC. KEY FINDINGS: With IdeS, an
almost complete digest of a model IgG1 can be achieved (digested protein amount
>98%). This enables subsequent analytical protein A chromatography, which
consequently eliminates any interference of payload with the stationary phase.
CONCLUSION: A novel high-throughput method for an interchain cysteine-linked ADC
oxidation screens during formulation development was developed.
PMID- 29380380
TI - Cervical myelopathy due to complex Atlanto-axial malformation including partial
atlantal dorsal arch aplasia in a domestic rabbit.
AB - A 1-year-old dwarf rabbit was presented with sub-acute progressive tetraparesis.
Radiography, CT and MRI revealed compressive cervical myelopathy secondary to a
complex atlanto-axial malformation including partial aplasia of the atlantal
dorsal arch, dens malformation, malarticulation and lateral atlanto-occipital
displacement. Owners decided against surgical treatment and elected conservative
treatment including analgesia with non-steroidal anti-inflammatory drugs, cage
rest and physiotherapy. Within 2 months clinical signs deteriorated and the owner
elected euthanasia. Subsequent necropsy confirmed imaging findings. Similar cases
described in humans and dogs suggest that partial aplasia of the dorsal arch of
the atlas might often be an asymptomatic radiologic finding in these species. In
contrast, this first description of a similarly affected rabbit demonstrates that
complex atlanto-axial malformations can cause severe clinical signs.
PMID- 29380381
TI - Prevalence of burnout among nurses in Iran: a systematic review and meta
analysis.
AB - AIM: This study aimed to summarize the available information in the literature to
make an accurate estimate of the prevalence of burnout among Iranian nurses.
BACKGROUND AND INTRODUCTION: Burnout is a work-related stress syndrome that has
negative impact on healthcare providers, patients and healthcare delivery
systems. METHOD: A comprehensive search of literature using international
[PubMed, Scopus and the Institute for Scientific Information (ISI)] and Iranian
scientific data bases [Scientific Information Database (SID), IranMedex and
Magiran] was conducted to identify English and Persian language studies,
published between 2000 and 2016, that examined the prevalence of burnout among
nurses in Iran. The I-squared test and Chi-squared-based Q-test suggested
heterogeneity of reported prevalence among the qualified studies; thus, a random
effects model was applied to estimate the overall prevalence of burnout among
nurses in Iran. RESULTS: Based on 21 selected articles with 4180 participants,
the overall prevalence of burnout among Iranian nurses was estimated to be 36%
[95% confidence interval (CI), 20-53%] in Iran. Meta-regression indicated that
sample size and year of data collection, mean age of samples, female to male
ratio and geographic regions were not statistically significantly associated with
the prevalence of burnout. Also, based on Egger's test and funnel plot, there is
no publication bias among studies included in the analysis. CONCLUSION:
Professional burnout affects more than one-third of nursing staff in Iran; thus,
effective interventions and strategies are required to reduce and prevent burnout
among nurses. IMPLICATION FOR NURSING AND HEALTH POLICY: Due to the negative
consequences of burnout on patients, nurses and organizations, nursing and
healthcare managers should intervene to prevent and reduce burnout among nurses
in Iran. Policy attention should focus on developing effective interventions to
prevent and minimize the burden of burnout among nurses in Iran. Nurses'
involvement in the policy-making process is crucial in the implementation of
effective programs and initiatives tailored to address the higher prevalence of
burnout among Iranian nurses.
PMID- 29380382
TI - Decreases in beetle body size linked to climate change and warming temperatures.
AB - Body size is a fundamental ecological trait and is correlated with population
dynamics, community structure and function, and ecosystem fluxes. Laboratory data
from broad taxonomic groups suggest that a widespread response to a warming world
may be an overall decrease in organism body size. However, given the myriad of
biotic and abiotic factors that can also influence organism body size in the
wild, it is unclear whether results from these laboratory assays hold in nature.
Here we use datasets spanning 30 to 100 years to examine whether the body size of
wild-caught beetles has changed over time, whether body size changes are
correlated with increased temperatures, and we frame these results using
predictions derived from a quantitative review of laboratory responses of 22
beetle species to temperature. We found that 95% of laboratory-reared beetles
decreased in size with increased rearing temperature, with larger-bodied species
shrinking disproportionately more than smaller-bodied beetles. In addition, the
museum datasets revealed that larger-bodied beetle species have decreased in size
over time, that mean beetle body size explains much of the interspecific
variation in beetle responses to temperature, and that long-term beetle size
changes are explained by increases in autumn temperature and decreases in spring
temperature in this region. Our data demonstrate that the relationship between
body size and temperature of wild-caught beetles matches relatively well with
results from laboratory studies, and that variation in this relationship is
largely explained by interspecific variation in mean beetle body size. This long
term beetle dataset is one of the most comprehensive arthropod body size datasets
compiled to date, it improves predictions regarding the shrinking of organisms
with global climate change, and together with the meta-analysis data, call for
new hypotheses to explain why larger-bodied organisms may be more sensitive to
temperature.
PMID- 29380383
TI - CB1 cannabinoid receptor ligands augment the antidepressant-like activity of
biometals (magnesium and zinc) in the behavioural tests.
AB - OBJECTIVE: During the last few decades, endocannabinoid system has emerged as a
novel possible target for antidepressant treatment. Although the medical
literature provides information on the mood-changing effects of CB1 ligands,
little is known about the possible interaction between the simultaneous
activation or inhibition of the CB1 receptor and administration of other agents
that possess antidepressant potential. The main goal of our study was to evaluate
the influence of the CB1 cannabinoid receptor ligands (oleamide - an endogenous
agonist and AM251 - an inverse agonist/antagonist) on the antidepressant-like
activity of biometals (i.e. magnesium and zinc). METHODS: The forced swim test
and the tail suspension test in mice were used to determine the antidepressant
like activity. KEY FINDINGS: Concomitant intraperitoneal administration of per se
inactive doses of oleamide (5 mg/kg) or AM251 (0.25 mg/kg) and the tested
biometals (i.e. magnesium, 10 mg/kg or zinc, 5 mg/kg) shortened the immobility
time of animals in the forced swim test and the tail suspension test. The
observed effect was not associated with an increase in spontaneous locomotor
activity of mice. CONCLUSIONS: The simultaneous modulation of the cannabinoid
system and supplementation of magnesium or zinc produce at least additive
antidepressant-like effect.
PMID- 29380384
TI - Nano-engineering of biomedical prednisolone liposomes: evaluation of the
cytotoxic effect on human colon carcinoma cell lines.
AB - OBJECTIVES: Liposomes have attracted the attention of researchers due to their
potential to act as drug delivery systems for cancer treatment. The present
investigation aimed to develop liposomes loaded with prednisolone base and the
evaluation of the antiproliferative effect on human colon carcinoma cell lines.
METHODS: Liposomes were elaborated by following a reproducible thin film
hydration technique. The physicochemical characterization of liposomes included
photon correlation spectroscopy, microscopy analysis, Fourier transform infrared
spectroscopy, rheological behaviour and electrophoresis. On the basis of these
data and drug loading values, the best formulation was selected. Stability and
drug release properties were also tested. KEY FINDINGS: Resulting liposomes
exhibited optimal physicochemical and stability properties, an excellent
haemocompatibility and direct antiproliferative effect on human colon carcinoma T
84 cell lines. CONCLUSIONS: This study shows direct antitumour effect of
prednisolone liposomal formulation, which opens the door for liposomal
glucocorticoids as novel antitumour agents.
PMID- 29380385
TI - Role of l-arginine/SNAP/NO/cGMP/KATP channel signalling pathway in
antinociceptive effect of alpha-terpineol in mice.
AB - OBJECTIVES: The main purpose of this study was to assess the role of l
arginine/SNAP/NO/cGMP/KATP channel pathway in analgesic effects of alpha
terpineol in mice. METHODS: Male NMRI mice were pretreated intraperitoneally with
NO precursor (l-arginine, 100 mg/kg), NO synthase inhibitor (l-NAME, 30 mg/kg),
NO donor (SNAP, 1 mg/kg), guanylyl cyclase inhibitor (methylene blue, 20 mg/kg),
PDE inhibitor (sildenafil, 0.5 mg/kg), KATP channel blocker (glibenclamide, 10
mg/kg) and naloxone (2 mg/kg) 20 min before the administration of alpha
terpineol. The formalin test was performed 20 min after the administration of
alpha-terpineol, and nociceptive responses of mice were recorded during 30 min.
KEY FINDINGS: A significant and dose-dependent antinociception was produced by
alpha-terpineol (40 and 80 mg/kg) in both the phases of formalin test. The
antinociceptive effect of alpha-terpineol was significantly potentiated by l
arginine in the second phase while significantly antagonized by l-NAME in both
phases of formalin test. Also, SNAP and sildenafil non-significantly enhanced
while methylene blue significantly diminished-the antinociceptive effect of alpha
terpineol in both phases of formalin test. Glibenclamide significantly reversed
the alpha-terpineol-induced antinociception, indicating the involvement of KATP
channels in antinociceptive effect of alpha-terpineol. CONCLUSIONS: These results
indicate that the antinociceptive effect of alpha-terpineol is mediated through l
arginine/SNAP/NO/cGMP/KATP channel pathway.
PMID- 29380386
TI - The detection of higher-order acoustic transitions is reflected in the N1 ERP.
AB - The auditory system features various types of dedicated change detectors enabling
the rapid parsing of auditory stimulation into distinct events. The activity of
such detectors is reflected by the N1 ERP. Interestingly, certain acoustic
transitions show an asymmetric N1 elicitation pattern: whereas first-order
transitions (e.g., a change from a segment of constant frequency to a frequency
glide [c-to-g change]) elicit N1, higher-order transitions (e.g., glide-to
constant [g-to-c] changes) do not. Consensus attributes this asymmetry to the
absence of any available sensory mechanism that is able to rapidly detect higher
order changes. In contrast, our study provides compelling evidence for such a
mechanism. We collected electrophysiological and behavioral data in a transient
detection paradigm. In each condition, a random (50%-50%) sequence of two types
of tones occurred, which did or did not contain a transition (e.g., c-to-g and
constant stimuli or g-to-c and glide tones). Additionally, the rate of pitch
change of the glide varied (i.e., 10 vs. 40 semitones per second) in order to
increase the number of responding neural assemblies. The rate manipulation
modulated transient ERPs and behavioral detection performance for g-to-c
transitions much stronger than for c-to-g transitions. The topographic and
tomographic analyses suggest that the N1 response to c-to-g and also to g-to-c
transitions emerged from the superior temporal gyrus. This strongly supports a
sensory mechanism that allows the fast detection of higher-order changes.
PMID- 29380387
TI - Platelet sequestration with a new-generation autotransfusion device.
AB - BACKGROUND: Autologous platelet-rich plasma (PRP) can be used either to prevent
platelets (PLTs) from lesions during extracorporeal circulation or for wound
therapy, when processed into PLT gel. The aim of this study was to evaluate the
PLT sequestration abilities of a new-generation autotransfusion device. STUDY
DESIGN AND METHODS: In this experimental study the discontinuous, new-generation
autotransfusion device XTRA was evaluated using fresh donor blood. The blood was
processed in four different size bowls (X55, X125, X175, X225 [bowls' size in
mL]) using the device's built-in "PLT sequestration program." PLT functionality
was tested using aggregometry; for PLT activation, beta-thromboglobulin (beta-TG)
and soluble P-selectin levels were determined. Cell damage was assessed by a
morphology score and hypotonic shock response. Additionally, PLTs were tested
after 6 hours to identify storage lesions. RESULTS: Platelet recovery in the PRP
ranged from 39% to 64% and averaged 6.2-fold PLT enrichment as defined by the
increase in PLT concentration. The preparation caused minimal cell damage and a
decrease in cell function by only 10%, but a slight activation was observed
amounting to 9% of the maximal beta-TG release. The efficiency of the
preparation, represented by the PLT recovery rate, increased in a linear fashion
with the increasing bowl sizes being tested. After 6 hours of storage the
prepared PLTs showed an additional 9% loss in function, but only 4% decrease in
viability. CONCLUSION: The autotransfusion device XTRA was capable of high
quality perioperative PRP preparation, and the bowl size was found to have an
influence on the efficiency of the preparation.
PMID- 29380388
TI - Head-to-head comparison of acute and chronic pulmonary vein stenosis for
cryoballoon versus radiofrequency ablation.
AB - BACKGROUND: Cryoballoon (CB) applications to pulmonary veins (PVs) can cause
stenosis just as radiofrequency (RF) energy deliveries. The goal of the present
study was to clarify whether or not there was any difference in the extent of
acute or chronic PV narrowing after PV isolation between the two different energy
sources. METHODS: Consecutive patients with paroxysmal atrial fibrillation who
were scheduled to undergo a PV isolation were randomized 1:1 to receive CB or RF
ablation. The endpoints were any acute PV narrowing assessed with the use of
intracardiac ultrasound during the procedure and PV stenosis measured with
cardiac computed tomography at the 3-month follow-up. RESULTS: An acute reduction
in the luminal area of the left superior PV (mean +/- standard deviation, -6.8 +/
8.7 vs -19.9 +/- 14.7%; P < 0.001) and left inferior PV (-5.1 +/- 20.2 vs -15.3
+/- 11.6%; P = 0.03) was significantly smaller in the CB arm (N = 25) than the RF
arm (N = 25). There was no difference in the extent of PV stenosis 3 months after
the ablation between the arms (0-25% stenosis, 90% vs 88%, 25-50% stenosis, 10%
vs 12%, >50% stenosis, both 0%; P = 0.82). A greater acute PV narrowing was
likely to lead to chronic stenosis in the RF arm (P = 0.004). CONCLUSIONS: CB
ablation may reduce the acute narrowing of the left-sided PVs as compared to RF
ablation.
PMID- 29380389
TI - Phosphorus concentration coordinates a respiratory bypass, synthesis and
exudation of citrate, and the expression of high-affinity phosphorus transporters
in Solanum lycopersicum.
AB - Plants exhibit respiratory bypasses (e.g., the alternative oxidase [AOX]) and
increase the synthesis of carboxylates in their organs (leaves and roots) in
response to phosphorus (P) deficiency, which increases P uptake capacity. They
also show differential expression of high-affinity inorganic phosphorus (Pi)
transporters, thus avoiding P toxicity at a high P availability. The association
between AOX and carboxylate synthesis was tested in Solanum lycopersicum plants
grown at different soil P availability, by using plants grown under P-sufficient
and P-limiting conditions and by applying a short-term (24 hr) P-sufficient pulse
to plants grown under P limitation. Tests were also performed with plants
colonized with arbuscular mycorrhizal fungi, which increased plant P
concentration under reduced P availability. The in vivo activities of AOX and
cytochrome oxidase were measured together with the concentration of carboxylates
and the P concentration in plant organs. Gene transcription of Pi transporters
(LePT1 and LePT2) was also studied. A coordinated response between plant P
concentration with these traits was observed, indicating that a sufficient P
availability in soil led to a suppression of both AOX activity and synthesis of
citrate and a downregulation of the transcription of genes encoding high-affinity
Pi transporters, presumably to avoid P toxicity.
PMID- 29380390
TI - EAACI guidelines on allergen immunotherapy: Executive statement.
PMID- 29380391
TI - RSV-hRV co-infection is a risk factor for recurrent bronchial obstruction and
early sensitization 3 years after bronchiolitis.
AB - To assess risk factors of recurrent bronchial obstruction and allergic
sensitization 3 years after an episode of acute bronchiolitis, whether after
ambulatory care treatment or hospitalization. A monocentric prospective
longitudinal study including infants aged under 1 year with acute bronchiolitis
was performed, with clinical (severity score), biological (serum Krebs von den
Lungen 6 antigen), and viral (14 virus by naso-pharyngeal suction detection)
assessments. Follow-up included a quaterly telephone interview, and a final
clinical examination at 3 years. Biological markers of atopy were also measured
in peripheral blood, including specific IgEs towards aero- and food allergens.
Complete data were available for 154 children. 46.8% of them had recurrent
wheezing (RW). No difference was found according to initial severity, care at
home or in the hospital, respiratory virus involved, or existence of co
infection. A familial history of atopy was identified as a risk factor for
recurrent bronchial obstruction (60% for RW infants versus 39%, P = 0.02), as
living in an apartment (35% versus 15%, P = 0.002). 18.6% of the infants were
sensitized, with 48.1% of them sensitized to aeroallergens and 81.5% to food
allergens. Multivariate analysis confirmed that a familial history of atopy (P =
0.02) and initial co-infection RSV-hRV (P = 0.02) were correlated with the risk
of sensitization to aeroallergens at 3 years. Familial history of atopy and RSV
hRV co-infection are risk factors for recurrent bronchial obstruction and
sensitization.
PMID- 29380393
TI - Leishmania amazonensis induces modulation of costimulatory and surface marker
molecules in human macrophages.
AB - Manipulation of costimulatory and surface molecules that shape the extent of
immune responses by Leishmania is suggested as one of the mechanisms of evading
the host's defences. The experiments reported here were designed to evaluate the
expressions of CD11b, CD11c, CD14, CD18, CD54, CD80, CD86, CD206, MHC class II
and TLR-2 (Toll-like receptor 2) in human macrophages infected with L.
amazonensis. Phenotypic evaluation revealed a negative modulation in CD11b,
CD11c, CD14, CD18, CD54 and MHC class II molecules, depending on the level of
infection. The results showed that as early as 1 hour after infection no
reduction in marker expression occurs, whereas after 24 hours, downregulation of
these molecules was observed in macrophages. No significant changes were observed
in the expressions of CD80, CD86, CD206 and TLR2. Evidence of the differential
modulation of markers expression and that after parasite uptake no reduction in
surface marker expression occurs indicates that parasite internalization is not
involved in the phenomena of down-modulation.
PMID- 29380392
TI - Prediction of the severity of allergic reactions to foods.
AB - BACKGROUND: There is currently considerable uncertainty regarding what the
predictors of the severity of diagnostic or accidental food allergic reactions
are, and to what extent the severity of such reactions can be predicted.
OBJECTIVE: To identify predictors for the severity of diagnostic and accidental
food allergic reactions and to quantify their impact. METHODS: The study
population consisted of children with a double-blind, placebo-controlled food
challenge (DBPCFC)-confirmed food allergy to milk, egg, peanut, cashew nut,
and/or hazelnut. The data were analyzed using multiple linear regression
analysis. Missing values were imputed using multiple imputation techniques. Two
scoring systems were used to determine the severity of the reactions. RESULTS: A
total of 734 children were included. Independent predictors for the severity of
the DBPCFC reaction were age (B = 0.04, P = .001), skin prick test ratio (B =
0.30, P < .001), eliciting dose (B = -0.09, P < .001), level of specific
immunoglobulin E (B = 0.15, P < .001), reaction time during the DBPCFC (B =
0.01, P = .004), and severity of accidental reaction (B = 0.08, P = .015). The
total explained variance of this model was 23.5%, and the eliciting dose only
contributed 4.4% to the model. Independent predictors for more severe accidental
reactions with an explained variance of 7.3% were age (B = 0.03, P = .014), milk
as causative food (B = 0.77, P < .001), cashew as causative food (B = 0.54, P <
.001), history of atopic dermatitis (B = -0.47, P = .006), and severity of DBPCFC
reaction (B = 0.12, P = .003). CONCLUSIONS: The severity of DBPCFCs and
accidental reactions to food remains largely unpredictable. Clinicians should not
use the eliciting dose obtained from a graded food challenge for the purposes of
making risk-related management decisions.
PMID- 29380394
TI - Assessing our current practice: point prevalence studies in transfusion medicine.
PMID- 29380395
TI - Prolonged corrected QT interval in predicting atrial fibrillation: A systematic
review and meta-analysis.
AB - BACKGROUND: Corrected QT interval (QTc) on the electrocardiogram is a marker of
ventricular repolarization. Recent studies have examined its value in predicting
the occurrence of atrial fibrillation (AF). METHODS AND RESULTS: We conducted a
meta-analysis to determine whether alterations in QTc interval are associated
with an increased risk of incident AF. The PUBMED and EMBASE databases were
searched for all studies that evaluated the incident AF associated with prolonged
QTc interval published before December 2016. Sensitivity and subgroup analysis
were subsequently performed. A total of six studies including eight data sets for
prolonged QTc interval were eligible. Subjects with prolonged QTc interval as a
categorical variable had a significantly higher risk of AF during follow-up
(hazard ratio [HR]: 1.16; 95% confidence interval [CI], 1.09-1.24, I2 = 90%)
based on Bazett formula. In continuous variable analysis, we found a
statistically significant risk for AF (HR, 1.17; 95% CI, 1.09-1.25; I2 = 0)
every 10-ms prolongation in QTc. AF type, QTc cut-off value, geographical
location, follow-up duration, and study population may be the possible reasons
for the significant heterogeneity among the studies. CONCLUSIONS: Prolonged QTc
interval is associated with an increased risk of AF. And the potential mechanisms
underlying this cause-and-effect relationship need further investigation.
PMID- 29380396
TI - Molecular genetic identification of isolates of the hepatitis A virus (HAV) from
monkeys at Adler Primate Center.
AB - BACKGROUND: The aim of this study was to assess prevalence and genetic
variability of hepatitis A virus (HAV) isolates in monkeys born and kept at Adler
Primate Center, as well as in imported animals. METHODS: The fecal samples from
various species of monkeys (n = 119) were studied using reverse transcription
seminested PCR, sequencing, and phylogenetic analysis. RESULTS: HAV RNA was
detected in 2 Macaca mulatta and 1 Macaca fascicularis (3.8%) kept at Adler
Primate Center (n = 79) and in 11 (27.5%) Chlorocebus pygerythrus (n = 40)
imported from Tanzania. Phylogenetic analysis demonstrated that all HAV strains
belonged to simian genotype V, but differed from the prototype genotype V strain
(AGM-27) by 5.4%-5.5%. Sequences isolated in this study differed by only 0.1%,
suggesting a common source of infection. CONCLUSIONS: This study demonstrated the
asymptomatic circulation of HAV genotype V among the monkeys at Adler Primate
Center, and it indicated the significant genetic diversity within this HAV
genotype.
PMID- 29380397
TI - Effects of inorganic mercury exposure on histological structure, antioxidant
status and immune response of immune organs in yellow catfish (Pelteobagrus
fulvidraco).
AB - Mercury (Hg) is well recognized as a highly toxic substance to fish.
Nevertheless, little is known about the toxic effects of Hg on immune organs. In
this study, we investigated histology, antioxidant status and immune response of
the spleen and head kidney in yellow catfish following 6 weeks of exposure to
environmentally relevant concentrations of inorganic Hg (2 and 10 MUg l-1 Hg2+ ).
As expected, Hg accumulation and histological injury in both tissues were
observed. Meanwhile, Hg2+ exposure induced oxidative stress, which increased
antioxidant enzyme (superoxide dismutase, catalase and glutathione peroxidase)
activities, glutathione content, anti-hydroxyl radical capacity and the
expression of genes associated with antioxidant (sod1, cat, gpx1, nrf2 and mt)
and stress (hsp70) responses in dose- and tissue-specific manners. In the spleen,
the mRNA levels of immune-related genes (il-1beta, il-8, tnf-alpha, il-10, tgf
beta, lys and c3) were upregulated by Hg2+ exposure. However, in the head kidney,
upregulation of tnf-alpha, il-10 and tgf-beta mRNAs and downregulation of il
1beta and lys expressions were observed, while transcriptions of il-8 and c3 were
remarkably upregulated only in the 2 MUg l-1 group. Overall, our study indicated
that Hg2+ exposure could result in Hg accumulation and thereby induced
histological impairment, oxidative stress and immunotoxicity in immune organs of
yellow catfish, but some enzymes and/or genes involved in antioxidant and immune
systems would be activated to resist Hg2+ -induced damage.
PMID- 29380398
TI - Warming reduces the cover, richness and evenness of lichen-dominated biocrusts
but promotes moss growth: insights from an 8 yr experiment.
AB - Despite the important role that biocrust communities play in maintaining
ecosystem structure and functioning in drylands world-wide, few studies have
evaluated how climate change will affect them. Using data from an 8-yr-old
manipulative field experiment located in central Spain, we evaluated how warming,
rainfall exclusion and their combination affected the dynamics of biocrust
communities in areas that initially had low (< 20%, LIBC plots) and high (> 50%,
HIBC plots) biocrust cover. Warming reduced the richness (35 +/- 6%), diversity
(25 +/- 8%) and cover (82 +/- 5%) of biocrusts in HIBC plots. The presence and
abundance of mosses increased with warming through time in these plots, although
their growth rate was much lower than the rate of lichen death, resulting in a
net loss of biocrust cover. On average, warming caused a decrease in the
abundance (64 +/- 7%) and presence (38 +/- 24%) of species in the HIBC plots.
Over time, lichens and mosses colonized the LIBC plots, but this process was
hampered by warming in the case of lichens. The observed reductions in the cover
and diversity of lichen-dominated biocrusts with warming will lessen the capacity
of drylands such as that studied here to sequester atmospheric CO2 and to provide
other key ecosystem services associated to these communities.
PMID- 29380399
TI - Immunohistochemical assessment of the diagnostic utility of PD-L1: a preliminary
analysis of anti-PD-L1 antibody (SP142) for lymphoproliferative diseases with
tumour and non-malignant Hodgkin-Reed-Sternberg (HRS)-like cells.
AB - AIMS: The programmed death 1 (PD1)/PD1 ligand (PD-L1) axis plays an important
role in tumour cells escape from immune control. PD-L1 immunohistochemistry is a
useful predictor of immunotherapy response, but is still not used widely in the
diagnostic setting. Here we describe results using PD-L1 immunohistochemistry
during routine diagnostics in lymphoma. METHODS AND RESULTS: Ninety-one
lymphoproliferative disease cases sharing tumour and non-malignant Hodgkin-Reed
Sternberg (HRS)-like cells with and without Epstein-Barr virus (EBV) association
were investigated by immunohistochemistry for PD-L1 (clone SP142). PD-L1
expression was present in more than 5% of tumour or non-malignant HRS-like cells
in 100% of EBV+ classical (C) Hodgkin lymphoma (HL) (n = 10) and EBV-negative
nodular sclerosis CHL (n = 8); 40% of EBV+ diffuse large B cell lymphoma, not
otherwise specified (DLBCL-NOS) (n = 20); and 4% of nodal peripheral T cell
lymphoma of follicular helper T cell type (PTCL-TFH) (n = 22). In contrast,
nodular lymphocyte-predominant HL (n = 4), lymphocyte-rich CHL (n = 6), EBV+
hyperplasia (n = 8), plasmablastic lymphoma (n = 3) and anaplastic lymphoma
kinase-negative anaplastic large cell lymphoma (n = 5) seldom exhibited PD-L1 in
their large cells. Assessing PD-L1 positivity in tumour and non-malignant large
cells was helpful in differentiating between CHL versus nodal PTCL-TFH (P <
0.0001) or EBV+ DLBCL-NOS (P = 0.0052) and between EBV+ DLBCL-NOS versus nodal
PTCL-TFH (P = 0.0052), with PD-L1 expression indicating the first diagnosis in
each of those sets. CONCLUSION: Immunohistochemical evaluation of PD-L1
expression in tumour and non-malignant HRS-like large cells may be useful for
assessing either immune escape or immunodeficiency in their pathogenesis.
PMID- 29380400
TI - Ursolic acid derivatives as potential antidiabetic agents: In vitro, in vivo, and
in silico studies.
AB - Hit, Lead & Candidate Discovery Protein tyrosine phosphatase 1B (PTP-1B) has
attracted interest as a novel target for the treatment of type 2 diabetes, this
because its role in the insulin-signaling pathway as a negative regulator. Thus,
the aim of current work was to obtain seven ursolic acid derivatives as potential
antidiabetic agents with PTP-1B inhibition as main mechanism of action.
Furthermore, derivatives 1-7 were submitted in vitro to enzymatic PTP-1B
inhibition being 3, 5, and 7 the most active compounds (IC50 = 5.6, 4.7, and 4.6
MUM, respectively). In addition, results were corroborated with in silico docking
studies with PTP-1B orthosteric site A and extended binding site B, showed that 3
had polar and Van der Waals interactions in both sites with Lys120, Tyr46,
Ser216, Ala217, Ile219, Asp181, Phe182, Gln262, Val49, Met258, and Gly259,
showing a docking score value of -7.48 Kcal/mol, being more specific for site A.
Moreover, compound 7 showed polar interaction with Gln262 and Van der Waals
interactions with Ala217, Phe182, Ile219, Arg45, Tyr46, Arg47, Asp48, and Val49
with a predictive docking score of -6.43 kcal/mol, suggesting that the potential
binding site could be localized in the site B adjacent to the catalytic site A.
Finally, derivatives 2 and 7 (50 mg/kg) were selected to establish their in vivo
antidiabetic effect using a noninsulin-dependent diabetes mice model, showing
significant blood glucose lowering compared with control group (p < .05).
PMID- 29380401
TI - Patients with psoriasis are frequently using sunbeds.
PMID- 29380402
TI - Epothilone D accelerates disease progression in the SOD1G93A mouse model of
amyotrophic lateral sclerosis.
AB - AIMS: Degeneration of the distal neuromuscular circuitry is a hallmark pathology
of Amyotrophic Lateral Sclerosis (ALS). The potential for microtubule dysfunction
to be a critical pathophysiological mechanism in the destruction of this
circuitry is increasingly being appreciated. Stabilization of microtubules to
improve neuronal integrity and pathology has been shown to be a particularly
favourable approach in other neurodegenerative diseases. We present evidence here
that treatment with the microtubule-targeting compound Epothilone D (EpoD) both
positively and negatively affects the spinal neuromuscular circuitry in the
SOD1G93A mouse model of ALS. METHODS: SOD1G93A mice were treated every 5 days
with 2 mg/kg EpoD. Evaluation of motor behaviour, neurological phenotype and
survival was completed, with age-dependent histological characterization also
conducted, using the thy1-YFP mouse. Motor neuron degeneration, axonal integrity,
neuromuscular junction (NMJ) health and gliosis were also assessed. RESULTS: EpoD
treatment prevented loss of the spinal motor neuron soma, and distal axon
degeneration, early in the disease course. This, however, was not associated with
protection of the NMJ synapse and did not improve motor phenotype or clinical
progression. EpoD administration was also found to be neurotoxic at later disease
stages. This was evidenced by accelerated motor neuron cell body loss, increasing
gliosis, and was associated with detrimental outcomes to motor behaviour,
clinical assessment and survival. CONCLUSIONS: The results suggest that EpoD
accelerates disease progression in the SOD1G93A mouse model of ALS, and
highlights that the pathophysiological involvement of microtubules in ALS is an
evolving and underappreciated phenomenon.
PMID- 29380403
TI - Simultaneous detection of barrier- and immune-related gene variations in patients
with atopic dermatitis by reverse blot hybridization assay.
AB - BACKGROUND: Hereditary factors are involved in the pathogenesis of atopic
dermatitis (AD). However, AD-related gene variations are significantly different
across ethnicities. AIM: To identify mutations and single-nucleotide
polymorphisms (SNPs) in barrier- or immune-related genes from Korean patients
with AD and compare the variations with those observed in nonatopic healthy
controls (HCs), and to use novel reverse blot hybridization assay (REBA) for AD
related gene variants. METHODS: We carried out REBA to simultaneously detect
variations in genes related to barrier or immune function, namely, FLG, SPINK5,
KLK7, DEFB1, TNFalpha, KDR, FCER1A, IL4, IL5,IL5RA, IL9, IL10, IL12, IL12R, IL13
and IL18, from Korean patients with AD, and compared the variation to that in
nonatopic healthy controls. RESULTS: The homozygous mutants of KLK7 and SPINK5
2475, and the heterozygous mutants of FLG 3321delA, SPINK5-1156, DEFB1, KDR,
IL5RA, IL9 and IL12RB1 were significantly more frequent in AD. It has been
predicted that the larger the number of gene variants, the higher the odds ratio
of AD prevalence; however, we did not find any significant correlation between
the number of gene variants and AD severity. CONCLUSION: Using REBA, we
identified more genetic variants that can predict AD occurrence. We also verified
that REBA can be used to easily and accurately detect multiple AD-related gene
variants simultaneously. In addition, we identified a correlation between KLK7
mutation and AD in Koreans, which is the first such report, to our knowledge.
PMID- 29380404
TI - Contrast-Enhanced Ultrasonography Versus Contrast-Enhanced Computed Tomography
for Assessment of Residual Tumor From Hepatocellular Carcinoma Treated With
Transarterial Chemoembolization: A Meta-analysis.
AB - OBJECTIVES: This study reviewed the literature to directly evaluate the
diagnostic performance of contrast-enhanced ultrasonography (CEUS) versus
contrast-enhanced computed tomography (CECT) for assessing residual tumors of
hepatocellular carcinoma treated with transarterial chemoembolization. METHODS:
PubMed, Embase, the Cochrane Library, and the China National Knowledge
Infrastructure were searched through April 30, 2017. The pooled sensitivity,
specificity, positive predictive value, negative predictive value, positive
likelihood ratio, negative likelihood ratio, diagnostic odds ratio, and summary
receiver operating characteristic curve were calculated and compared to examine
the diagnostic performance of CEUS versus CECT. RESULTS: A total of 11 studies,
including 421 patients and 491 nodules were analyzed. The pooled diagnostic
performances of CEUS versus CECT were as follows: (1) sensitivity (95% confidence
interval), 0.97 (0.95-0.99) versus 0.72 (0.67-0.76); (2) specificity, 0.86 (0.74
0.94) versus 0.99 (0.95-1.00); (3) positive predictive value, 0.97 (0.95-0.99)
versus 1.00 (0.98-1.00); (4) negative predictive value, 0.90 (0.83-0.95) versus
0.51 (0.44-0.58); (5) positive likelihood ratio, 7.79 (4.73-12.82) versus 12.50
(5.74-27.20); (6) negative likelihood ratio, 0.05 (0.03-0.09) versus 0.35 (0.26
0.48); (7) diagnostic odds ratio, 150.56 (57.03-397.49) versus 35.54 (14.89
84.83); and (8) area under the summary receiver operating characteristic curve,
0.9875 versus 0.9239. The sensitivity and negative predictive value of CEUS were
significantly higher than those of CECT (both P < .001). The specificity and
positive predictive value of CECT were significantly higher than those of CEUS
(both P < .05). CONCLUSIONS: Contrast-enhanced US, with better sensitivity and
negative predictive value versus CECT, was an effective method for exclusion of
residual tumors after transarterial chemoembolization. Contrast-enhanced CT, with
higher specificity than CEUS, is a valid approach for identifying residual
tumors.
PMID- 29380405
TI - The potential of radiolabeled chemotherapeutics in tumor diagnosis: Preliminary
investigations with 68 Ga-gemcitabine.
AB - Preclinical Research & Development Gemcitabine, a nucleoside analog, is a well
known chemotherapeutic drug that is used either alone or with other agents to
treat a wide variety of cancers. The aim of the present work was to evaluate the
potential of 68 Ga-labeled gemcitabine for its application in positron emission
tomography (PET) imaging of tumorous lesions. Gemcitabine was coupled with p-NCS
benzyl-DOTA in order to facilitate radiolabeling with 68 Ga. The gemcitabine-p
NCS-benzyl-DOTA was radiolabeled with 68 Ga, obtained from a 68 Ge/68 Ga
radionuclide generator. The radiolabeled product was characterized by high
performance liquid chromatography (HPLC) and its tumor specificity was evaluated
by biodistribution studies in Swiss mice bearing fibrosarcoma tumors. Preliminary
bioevaluation study showed good tumor uptake within 1 hr post-administration
[2.5% Injected Activity (IA) per g of tumor] with rapid renal clearance (>90% IA)
and a high tumor to muscle ratio. 68 Ga-gemcitabine may have potential as a PET
agent for tumor imaging.
PMID- 29380406
TI - Foveal vision power errors induced by spectacle lenses designed to correct
peripheral refractive errors.
AB - PURPOSE: Radial Refractive Gradient (RRG) spectacles are lenses specifically
designed to minimize peripheral hyperopic defocus typically found in conventional
spectacles. Our goals were: (1) to demonstrate a method to design such lenses;
and (2) to quantify the exact foveal vision power errors induced by them.
METHODS: The design procedure was based on a point-by-point sequential surface
construction algorithm that designs a front aspheric surface (back surface is
spherical) to achieve a given overall tangential focal length of the lens. A
peripheral refraction model was built based on average peripheral refractive
errors from a set of eyes. We designed four negative lenses with optical powers:
2.5, -5.0, -7.5 and -10.0 D, so that the tangential focal length of the lens
matches the retinal conjugate surface. RESULTS: The lenses induce very small
sagittal power errors in a wide range of off-axis field angles (30 degrees ),
solving the problem of peripheral hyperopic defocus. However, such designs
introduce non-negligible mean power errors (above 0.25 D from 7 degrees , 6.8
degrees , 7.1 degrees and 7.8 degrees for the -2.5, -5.0, -7.5 and -10.0 D
lenses, respectively) for foveal vision in a rotating eye. CONCLUSION: Our
results show the unavoidable errors introduced by RRG spectacles when used for
dynamic foveal vision. The described method offers valuable information towards
determining the best trade-off between controlling power errors for peripheral
and foveal vision.
PMID- 29380407
TI - WHO Global Consultation on Public Health Intervention against Early Childhood
Caries.
AB - Early Childhood Caries (ECC) is prevalent around the world, but in particular the
disease is growing rapidly in low- and middle-income countries in parallel with
changing diet and lifestyles. In many countries, ECC is often left untreated, a
condition which leads to pain and adversely affects general health, growth and
development, and quality of life of children, their families and their
communities. Importantly, ECC is also a global public health burden, medically,
socially and economically. In many countries, a substantial number of children
require general anaesthesia for the treatment of caries in their primary teeth
(usually extractions), and this has considerable cost and social implications. A
WHO Global Consultation with oral health experts on "Public Health Intervention
against Early Childhood Caries" was held on 26-28 January 2016 in Bangkok
(Thailand) to identify public health solutions and to highlight their
applicability to low- and middle-income countries. After a 3-day consultation,
participants agreed on specific recommendations for further action. National
health authorities should develop strategies and implement interventions aimed at
preventing and controlling ECC. These should align with existing international
initiatives such as the Sixtieth World Health Assembly Resolution WHA 60.17 Oral
health: action plan for promotion and integrated disease prevention, WHO
Guideline on Sugars and WHO breastfeeding recommendation. ECC prevention and
control interventions should be integrated into existing primary healthcare
systems. WHO public health principles must be considered when tackling the effect
of social determinants in ECC. Initiatives aimed at modifying behaviour should
focus on families and communities. The involvement of communities in health
promotion, and population-directed and individual fluoride administration for the
prevention and control of ECC is essential. Surveillance and research, including
cost-effectiveness studies, should be conducted to evaluate interventions aimed
at preventing ECC in different population groups.
PMID- 29380408
TI - Analysing the impact of myopia on the Stiles-Crawford effect of the first kind
using a digital micromirror device.
AB - PURPOSE: Photoreceptor light acceptance is closely tied to the Stiles-Crawford
effect of the first kind (SCE-I). Whether the SCE-I plays a role in myopic
development remains unclear although a reduction in directionality has been
predicted for high myopia. The purpose of this study is to analyse the
relationship between foveal SCE-I directionality, axial eye length, and defocus
for emmetropic subjects wearing ophthalmic trial lenses during psychophysical
measurements and for myopic subjects with their natural correction. METHOD: A
novel uniaxial flicker system has been implemented making use of a Digital
Micromirror Device (DMD) to flicker between a 2.3 visual degrees circular
reference and a set of circular test patterns in a monocular Maxwellian view at
0.5 Hz. The brightness of the test is adjusted by the duty cycle of the projected
light to an upper limit of 22 727 Hz. The wavelength and bandwidth are set by a
tuneable liquid-crystal filter centred at 550 nm. A total of four measurement
series for 11 pupil entrance points have been realized for the right eye of 6
emmetropic and 10 myopic subjects whose pupils were dilated with tropicamide.
Five of the emmetropic subjects wore ophthalmic trial lenses in the range of -3
to +9 dioptres to mimic hyperopic to highly myopic vision and resulting
visibility plots have been fitted to a Gaussian SCE-I function. In turn, the
myopic subjects wore their natural correction during the analysis of the SCE-I.
All subjects had their axial eye length determined with an ultrasound device.
RESULTS: A SCE-I directionality parameter in the range of 0.03 to 0.06/mm2 was
found for the emmetropic subjects with corrected vision in fair agreement to
values in the literature. The results also revealed a marked reduction in
directionality in the range from 16% to 30% with every 3 dioptre increase of
simulated myopia, as well as a 10% increased directionality in simulated
hyperopic eyes. For both emmetropic and myopic subjects, a decrease in
directionality with increase in axial length was found in agreement with
theoretical expectations. CONCLUSION: The study confirms a clear link between SCE
I directionality, uncorrected defocus, and axial eye length. This may play a role
for emmetropization and thus myopic progression as cone photoreceptors capture
light from a wider pupil area in elongated eyes due to a geometrical scaling.
PMID- 29380409
TI - Morning surge in blood pressure using a random-effects multiple-component cosinor
model.
AB - Blood pressure (BP) fluctuates throughout the day. The pattern it follows
represents one of the most important circadian rhythms in the human body. For
example, morning BP surge has been suggested as a potential risk factor for
cardiovascular events occurring in the morning, but the accurate quantification
of this phenomenon remains a challenge. Here, we outline a novel method to
quantify morning surge. We demonstrate how the most commonly used method to model
24-hour BP, the single cosinor approach, can be extended to a multiple-component
cosinor random-effects model. We outline how this model can be used to obtain a
measure of morning BP surge by obtaining derivatives of the model fit. The model
is compared with a functional principal component analysis that determines the
main components of variability in the data. Data from the Mitchelstown Study, a
population-based study of Irish adults (n = 2047), were used where a subsample
(1207) underwent 24-hour ambulatory blood pressure monitoring. We demonstrate
that our 2-component model provided a significant improvement in fit compared
with a single model and a similar fit to a more complex model captured by b
splines using functional principal component analysis. The estimate of the
average maximum slope was 2.857 mmHg/30 min (bootstrap estimates; 95% CI: 2.855
2.858 mmHg/30 min). Simulation results allowed us to quantify the between
individual SD in maximum slopes, which was 1.02 mmHg/30 min. By obtaining
derivatives we have demonstrated a novel approach to quantify morning BP surge
and its variation between individuals. This is the first demonstration of cosinor
approach to obtain a measure of morning surge.
PMID- 29380411
TI - Electron microscopy of Drosophila garland cell nephrocytes: Optimal preparation,
immunostaining and STEM tomography.
AB - Due to its structural and molecular similarities to mammalian podocytes, the
Drosophila nephrocyte emerged as a model system to study podocyte development and
associated diseases. Similar to podocytes, nephrocytes establish a slit diaphragm
between foot process-like structures in order to filter the hemolymph. One major
obstacle in nephrocyte research is the distinct visualization of this subcellular
structure to assess its integrity. Therefore, we developed a specialized
dissection and fixation protocol, including high pressure freezing and freeze
substitution techniques, to improve the preservation of the intricate
ultrastructural details necessary for electron microscopic assessment. By means
of scanning transmission electron microscopy (STEM) tomography, a three
dimensional dataset was generated to further understand the complex architecture
of the nephrocyte channel system. Moreover, a staining protocol for
immunolabeling of ultrathin sections of Epon-embedded nephrocytes is discussed,
which allows the reliable detection of GFP-tagged fusion proteins combined with
superior sample preservation. Due to the growing number of available GFP-trap fly
lines, this approach is widely applicable for high resolution localization
studies in wild type and mutant nephrocytes.
PMID- 29380410
TI - Ra-224 labeling of calcium carbonate microparticles for internal alpha-therapy:
Preparation, stability, and biodistribution in mice.
AB - Internal therapy with alpha-emitters should be well suited for micrometastatic
disease. Radium-224 emits multiple alpha-particles through its decay and has a
convenient 3.6 days of half-life. Despite its attractive properties, the use of
224 Ra has been limited to bone-seeking applications because it cannot be stably
bound to a targeting molecule. Alternative delivery systems for 224 Ra are
therefore of considerable interest. In this study, calcium carbonate
microparticles are proposed as carriers for 224 Ra, designed for local therapy of
disseminated cancers in cavitary regions, such as peritoneal carcinomatosis.
Calcium carbonate microparticles were radiolabeled by precipitation of 224 Ra on
the particle surface, resulting in high labeling efficiencies for both 224 Ra and
daughter 212 Pb and retention of more than 95% of these nuclides for up to 1 week
in vitro. The biodistribution after intraperitoneal administration of the 224 Ra
labeled CaCO3 microparticles in immunodeficient mice revealed that the
radioactivity mainly remained in the peritoneal cavity. In addition, the systemic
distribution of 224 Ra was found to be strongly dependent on the amount of
administered microparticles, with a reduced skeletal uptake of 224 Ra with
increasing dose. The results altogether suggest that the 224 Ra-labeled CaCO3
microparticles have promising properties for use as a localized internal alpha
therapy of cavitary cancers.
PMID- 29380412
TI - From Drug Safety to Drug Security: A Contemporary Shift in the Policing of
Health.
AB - The counterfeiting of medication is increasingly seen as a major threat to
health, especially in the light of both the everyday reliance on and a broadening
of world-wide access to pharmaceuticals. Exaggerated or real, this threat has
inaugurated, this article argues, a shift from a drug safety regime to a drug
security regime that governs the flow of pharmaceuticals and brings together
markets, police, and health actors in new ways. This entails a shift from soft
disciplinary means aimed at incremental and continued inclusion of defaulters, to
one of drastically sovereign measures of exclusion and banishment aimed at fake
goods and the people associated with them, in the name of health. Through a multi
sited ethnographic study, this article shows how such new drug security efforts
play themselves out especially in (South) Africa, highlighting a modus operandi
of spectacular performativity and of working through suspicion and association
rather than factuality, producing value less so for those in need of health than
for a petty security industry itself.
PMID- 29380413
TI - Non-invasive assessments for liver fibrosis: The crystal ball we long for.
AB - Non-invasive assessment of liver fibrosis has been one of the most rapidly
advancing fields in hepatology in the last decade. Progressive liver fibrosis
results in cirrhosis, hepatocellular carcinoma (HCC), and various liver-related
complications in essentially all chronic liver diseases. Assessment of liver
fibrosis allows clinicians to determine the prognosis, need of treatment, disease
progression, and response to treatment in patients with chronic liver disease.
Liver biopsy has been the gold standard in last few decades and most adopted
diagnostic tool in clinical trials. Nonetheless, it is impractical to apply the
test in a large number of patients or to do it serially. Hence, various non
invasive assessments have been developed and adopted in some international
management guidelines. Liver stiffness measurement (LSM) with transient
elastography is one of the most widely validated non-invasive assessments for
liver fibrosis. It is an accurate and reproducible method to predict advanced
fibrosis in chronic hepatitis B. Using transient elastography, it is possible to
perform repeated liver fibrosis assessments on a large number of asymptomatic
patients. The key challenge of his tool is the confounding effect of alanine
aminotransferase (ALT) level, such that decrease in LSM may only reflect ALT
normalization, hence not accurate enough to indicate regression of liver
fibrosis. This may be partially handled by combining LSM with a serum-based
formula, which is independent of ALT such as the Forns index and enhanced liver
fibrosis test. An LSM-based HCC risk score is useful to prioritize patients for
HCC surveillance.
PMID- 29380414
TI - Isotropic resolution diffusion tensor imaging of lumbosacral and sciatic nerves
using a phase-corrected diffusion-prepared 3D turbo spin echo.
AB - PURPOSE: To perform in vivo isotropic-resolution diffusion tensor imaging (DTI)
of lumbosacral and sciatic nerves with a phase-navigated diffusion-prepared (DP)
3D turbo spin echo (TSE) acquisition and modified reconstruction incorporating
intershot phase-error correction and to investigate the improvement on image
quality and diffusion quantification with the proposed phase correction. METHODS:
Phase-navigated DP 3D TSE included magnitude stabilizers to minimize motion and
eddy-current effects on the signal magnitude. Phase navigation of motion-induced
phase errors was introduced before readout in 3D TSE. DTI of lower back nerves
was performed in vivo using 3D TSE and single-shot echo planar imaging (ss-EPI)
in 13 subjects. Diffusion data were phase-corrected per kz plane with respect to
T2 -weighted data. The effects of motion-induced phase errors on DTI
quantification was assessed for 3D TSE and compared with ss-EPI. RESULTS: Non
phase-corrected 3D TSE resulted in artifacts in diffusion-weighted images and
overestimated DTI parameters in the sciatic nerve (mean diffusivity [MD] = 2.06
+/- 0.45). Phase correction of 3D TSE DTI data resulted in reductions in all DTI
parameters (MD = 1.73 +/- 0.26) of statistical significance (P <= 0.001) and in
closer agreement with ss-EPI DTI parameters (MD = 1.62 +/- 0.21). CONCLUSION: DP
3D TSE with phase correction allows distortion-free isotropic diffusion imaging
of lower back nerves with robustness to motion-induced artifacts and DTI
quantification errors. Magn Reson Med 80:609-618, 2018. (c) 2018 The Authors
Magnetic Resonance in Medicine published by Wiley Periodicals, Inc. on behalf of
International Society for Magnetic Resonance in Medicine. This is an open access
article under the terms of the Creative Commons Attribution NonCommercial
License, which permits use, distribution and reproduction in any medium, provided
the original work is properly cited and is not used for commercial purposes.
PMID- 29380415
TI - Sofosbuvir plus ribavirin with or without peginterferon for the treatment of
hepatitis C virus: Results from a phase 3b study in China.
AB - BACKGROUND AND AIM: Sofosbuvir is a nucleotide analog inhibitor of the hepatitis
C virus (HCV) NS5B RNA polymerase with pangenotypic potency. This phase 3b study
evaluated the safety and efficacy of sofosbuvir + ribavirin +/- peginterferon in
Chinese patients infected with HCV genotype 1, 2, 3, or 6. METHODS: Patients with
genotype 1 or 6 received sofosbuvir + peginterferon/ribavirin for 12 weeks or
sofosbuvir + ribavirin for 24 weeks, depending on prior treatment and interferon
eligibility. Patients with genotype 2 or 3 received sofosbuvir + ribavirin for 12
or 24 weeks, respectively. The primary endpoint was sustained virologic response
at 12 weeks after the end of treatment (SVR12). RESULTS: Of 389 patients, 42% had
genotype 1, 16% genotype 2, 32% genotype 3, and 9% genotype 6. Half were male,
58% were treatment-naive, and 15% had cirrhosis. SVR12 rates for patients
receiving 12 weeks of sofosbuvir + peginterferon/ribavirin were 94% (95%
confidence interval [CI], 87-98%) for HCV genotype 1 and 97% (95% CI, 84-100%)
for genotype 6. SVR12 rates for those receiving sofosbuvir + ribavirin for 24
weeks were 95% (95% CI, 87-99%) for genotype 1, 100% (95% CI, 40-100%) for
genotype 6, and 95% (95% CI, 90-98%) for genotype 3. For genotype 2 patients
receiving sofosbuvir + ribavirin for 12 weeks, the SVR12 rate was 92% (95% CI, 83
97%). Twenty patients (5%) relapsed. Ten (3%) experienced serious adverse events.
Three (< 1%) discontinued treatment because of adverse events, of whom one died
because of treatment-unrelated adverse events. CONCLUSIONS: Sofosbuvir-based
regimens were highly effective and safe in Chinese patients with HCV genotype 1,
2, 3, or 6, suggesting sofosbuvir could serve as the backbone for HCV treatment
in China irrespective of genotype.
PMID- 29380417
TI - Toward an integrative molecular approach to wildlife disease.
AB - Pathogens pose serious threats to human health, agricultural investment, and
biodiversity conservation through the emergence of zoonoses, spillover to
domestic livestock, and epizootic outbreaks. As such, wildlife managers are often
tasked with mitigating the negative effects of disease. Yet, parasites form a
major component of biodiversity that often persist. This is due to logistical
challenges of implementing management strategies and to insufficient
understanding of host-parasite dynamics. We advocate for an inclusive
understanding of molecular diversity in driving parasite infection and variable
host disease states in wildlife systems. More specifically, we examine the roles
of genetic, epigenetic, and commensal microbial variation in disease
pathogenesis. These include mechanisms underlying parasite virulence and host
resistance and tolerance, and the development, regulation, and parasite
subversion of immune pathways, among other processes. Case studies of devil
facial tumor disease in Tasmanian devils (Sarcophilus harrisii) and
chytridiomycosis in globally distributed amphibians exemplify the broad range of
questions that can be addressed by examining different facets of molecular
diversity. For particularly complex systems, integrative molecular analyses
present a promising frontier that can provide critical insights necessary to
elucidate disease dynamics operating across scales. These insights enable more
accurate risk assessment, reconstruction of transmission pathways, discernment of
optimal intervention strategies, and development of more effective and
ecologically sound treatments that minimize damage to the host population and
environment. Such measures are crucial when mitigating threats posed by wildlife
disease to humans, domestic animals, and species of conservation concern.
PMID- 29380416
TI - Astrocytes expressing ALS-linked mutant FUS induce motor neuron death through
release of tumor necrosis factor-alpha.
AB - Mutations in fused in sarcoma (FUS) are linked to amyotrophic lateral sclerosis
(ALS), a fatal neurodegenerative disease affecting both upper and lower motor
neurons. While it is established that astrocytes contribute to the death of motor
neurons in ALS, the specific contribution of mutant FUS (mutFUS) through
astrocytes has not yet been studied. Here, we used primary astrocytes expressing
a N-terminally GFP tagged R521G mutant or wild-type FUS (WTFUS) and show that
mutFUS-expressing astrocytes undergo astrogliosis, damage co-cultured motor
neurons via activation of an inflammatory response and produce conditioned medium
(ACM) that is toxic to motor neurons in isolation. Time lapse imaging shows that
motor neuron cultures exposed to mutFUS ACM, but not WTFUS ACM, undergo
significant cell loss, which is preceded by progressive degeneration of neurites.
We found that Tumor Necrosis Factor-Alpha (TNFalpha) is secreted into ACM of
mutFUS-expressing astrocytes. Accordingly, mutFUS astrocyte-mediated motor neuron
toxicity is blocked by targeting soluble TNFalpha with neutralizing antibodies.
We also found that mutant astrocytes trigger changes to motor neuron AMPA
receptors (AMPAR) that render them susceptible to excitotoxicity and AMPAR
mediated cell death. Our data provide the first evidence of astrocytic
involvement in FUS-ALS, identify TNFalpha as a mediator of this toxicity, and
provide several potential therapeutic targets to protect motor neurons in FUS
linked ALS.
PMID- 29380418
TI - Spontaneous up-regulation of SIRT1 during osteogenesis contributes to stem cells'
resistance to oxidative stress.
AB - Osteogenic differentiation of bone marrow-derived mesenchymal stem cells (BM
MSCs) is a central event in bone formation. However, oxidative stress has a
deleterious impact on BM-MSC osteogenesis. In this study, we hypothesized that
oxidative stress influenced BM-MSC osteogenesis differently in the early or late
stages, in which silent information regulator type 1 (SIRT1) played a critical
role. A continuous exposure to sublethal concentrations of hydrogen peroxide (H2
O2 ), ranging from 25 to 100 uM for 21 days, resulted in the complete inhibition
of BM-MSC osteogenesis. We found that a 7-day treatment with H2 O2 inhibited the
lineage commitment of BM-MSCs toward osteoblasts, as evidenced by a significant
reduction of alkaline phosphatase activity (a typical marker for early
osteogenesis). However, moderate oxidative stress did not affect late
differentiated BM-MSCs, as there were comparable levels of matrix mineralization
(a typical marker for late osteogenesis). In addition, we observed a spontaneous
up-regulation of SIRT1 and intracellular antioxidant enzymes such as superoxide
dismutase 2, catalase, and glutathione peroxidase 1, which accounted for the
enhanced resistance to oxidative stress upon osteogenic differentiation.
Activation of SIRT1 by resveratrol rescued the effect of H2 O2 on early
differentiated BM-MSCs and inhibition of SIRT1 by nicotinamide intensified the
effect of H2 O2 on late-differentiated BM-MSCs, indicating that the SIRT1
mediated pathway was actively involved in MSC osteogenesis and antioxidant
mechanisms. Our findings uncovered the relationship between SIRT1 and resistance
to H2 O2 -induced oxidative stress during BM-MSC osteogenesis, which could
provide a new strategy for protecting MSCs from extracellular oxidative stress.
PMID- 29380419
TI - Astroglial major histocompatibility complex class I following immune activation
leads to behavioral and neuropathological changes.
AB - In the central nervous system, major histocompatibility complex class I (MHCI)
molecules are mainly expressed in neurons, and neuronal MHCI have roles in
synapse elimination and plasticity. However, the pathophysiological significance
of astroglial MHCI remains unclear. We herein demonstrate that MHCI expression is
up-regulated in astrocytes in the medial prefrontal cortex (mPFC) following
systemic immune activation by an intraperitoneal injection of polyinosinic
polycytidylic acid (polyI:C) or hydrodynamic interferon (IFN)-gamma gene delivery
in male C57/BL6J mice. In cultured astrocytes, MHCI/H-2D largely co-localized
with exosomes. To investigate the role of astroglial MHCI, H-2D, or sH-2D was
expressed in the mPFC of male C57/BL6J mice using an adeno-associated virus
vector under the control of a glial fibrillary acidic protein promoter. The
expression of astroglial MHCI in the mPFC impaired sociability and recognition
memory in mice. Regarding neuropathological changes, MHCI expression in
astrocytes significantly activated microglial cells, decreased parvalbumin
positive cell numbers, and reduced dendritic spine density in the mPFC. A
treatment with GW4869 that impairs exosome synthesis ameliorated these behavioral
and neuropathological changes. These results suggest that the overexpression of
MHCI in astrocytes affects microglial proliferation as well as neuronal numbers
and spine densities, thereby leading to social and cognitive deficits in mice,
possibly via exosomes created by astrocytes.
PMID- 29380420
TI - Bicuspidization of the morphological tricuspid aortic valve with ascending aorta
replacement in a 5-year-old child.
AB - Ascending aortic aneurysms are rare in children and may lead to aortic
insufficiency, dissection, and/or rupture. We present a 5-year-old child
diagnosed with an ascending aortic aneurysm and severe aortic insufficiency,
successfully treated with ascending aortic replacement with an aortic valve
repair using a bicuspidation technique.
PMID- 29380421
TI - The long non-coding RNA H19 rs217727 polymorphism is associated with PE
susceptibility.
AB - H19 is an imprinted gene transcribing a long noncoding RNA which was previously
reported to be involved in some diseases. However, the association between the
H19 polymorphisms and Pre-eclampsia (PE) susceptibility has remained elusive.
This study aimed to evaluate the association between three H19 haplotype SNPs
(rs3741219, rs217727, and rs2107425) and the risk of PE. The present case control
study consisted of 193 PE women and 201 controls. The H19 rs3741219 and rs217727
polymorphisms were genotyped with PCR-RFLP (Polymerase chain reaction-restriction
fragment length polymorphism) and the H19 rs2107425 polymorphism with ARMS-PCR
(Amplification refractory mutation system) methods. The frequency of alleles and
genotypes of H19 rs3741219 and rs2107425 polymorphisms did not differ between PE
women and controls. The frequency of the H19 rs217727T allele was significantly
higher in PE women (P < 0.0001). The H19 rs217727 polymorphism was associated
with higher PE susceptibility in the Co-dominant (OR = 12.1, 95% CI = 5.7-24.5, P
< 0.0001 for CT genotype and OR = 29.7, 95% CI = 12.9-68.1, P < 0.0001 for TT
genotype), Dominant (OR = 15.1, 95% CI = 7.5-30.3, P = P < 0.0001), Recessive (OR
= 4.5, 95% CI = 2.6-7.9, P = < 0.0001), and Over-dominant (OR = 2.1, 95% CI = 1.4
3.1, P = 0.0006) models. Furthermore, the CCC, TCT, TCC, and CCT haplotypes of
H19 rs3741219, rs217727, rs2107425 were associated with lower risk of PE;
however, the CTC, TTC, and TTT haplotypes were associated with higher risk of PE.
In conclusion, the present study found the relationship between H19 rs217727 but
not rs3741219 and rs2107425 polymorphisms and PE susceptibility. In addition, the
CTC, TTC, and TTT haplotypes were associated with the higher risk of PE.
PMID- 29380423
TI - Improved tolerance to off-resonance in spectral-spatial EPI of hyperpolarized [1
13 C]pyruvate and metabolites.
AB - PURPOSE: For 13 C echo-planar imaging (EPI) with spectral-spatial excitation,
main field inhomogeneity can result in reduced flip angle and spatial artifacts.
A hybrid time-resolved pulse sequence, multi-echo spectral-spatial EPI, is
proposed combining broader spectral-spatial passbands for greater off-resonance
tolerance with a multi-echo acquisition to separate signals from potentially co
excited resonances. METHODS: The performance of the imaging sequence and the
reconstruction pipeline were evaluated for 1 H imaging using a series of
increasingly dilute 1,4-dioxane solutions and for 13 C imaging using an ethylene
glycol phantom. Hyperpolarized [1-13 C]pyruvate was administered to two healthy
rats. Multi-echo data of the rat kidneys were acquired to test realistic cases of
off-resonance. RESULTS: Analysis of separated images of water and 1,4-dioxane
following multi-echo signal decomposition showed water-to-dioxane 1 H signal
ratios that were in agreement with the independent measurements by 1 H
spectroscopy for all four concentrations of 1,4-dioxane. The 13 C signal ratio of
two co-excited resonances of ethylene glycol was accurately recovered after
correction for the spectral profile of the redesigned spectral-spatial pulse. In
vivo, successful separation of lactate and pyruvate-hydrate signals was achieved
for all except the early time points during which signal variations exceeded the
temporal resolution of the multi-echo acquisition. CONCLUSION: Improved tolerance
to off-resonance in the new 13 C data acquisition pipeline was demonstrated in
vitro and in vivo. Magn Reson Med 80:925-934, 2018. (c) 2018 International
Society for Magnetic Resonance in Medicine.
PMID- 29380424
TI - Mild phenotype of junctional epidermolysis bullosa with pyloric atresia due to a
novel mutation of the ITGB4 gene.
PMID- 29380425
TI - Case of bullous pemphigoid associated with teneligliptin accompanied by severe
mucous membrane involvement.
PMID- 29380422
TI - MicroRNA-31 is required for astrocyte specification.
AB - Previously, we determined microRNA-31 (miR-31) is a noncoding tumor suppressive
gene frequently deleted in glioblastoma (GBM); miR-31 suppresses tumor growth, in
part, by limiting the activity of NF-kappaB. Herein, we expand our previous
studies by characterizing the role of miR-31 during neural precursor cell (NPC)
to astrocyte differentiation. We demonstrate that miR-31 expression and activity
is suppressed in NPCs by stem cell factors such as Lin28, c-Myc, SOX2 and Oct4.
However, during astrocytogenesis, miR-31 is induced by STAT3 and SMAD1/5/8, which
mediate astrocyte differentiation. We determined miR-31 is required for terminal
astrocyte differentiation, and that the loss of miR-31 impairs this process
and/or prevents astrocyte maturation. We demonstrate that miR-31 promotes
astrocyte development, in part, by reducing the levels of Lin28, a stem cell
factor implicated in NPC renewal. These data suggest that miR-31 deletions may
disrupt astrocyte development and/or homeostasis.
PMID- 29380426
TI - Calcified mediastinal mass found during aortic valve replacement.
PMID- 29380427
TI - Real-time correction of respiration-induced distortions in the human spinal cord
using a 24-channel shim array.
AB - PURPOSE: To reduce respiration-induced magnetic field distortions and the
attendant image artifacts in echo-planar imaging (EPI) of the human spinal cord.
METHODS: Using a custom-designed 24-channel shim array, shim updates were issued
in real time based on a concurrently monitored respiratory trace and a pair of
gradient echo (GRE) field maps acquired during an initial training phase. Proof
of-concept application in GRE-EPI was conducted in 6 subjects. RESULTS: Over the
thoracic spinal cord, real-time shimming reduced respiration-induced distortions
in the EPI by 48.2% +/- 12.2% and increased the mean temporal signal-to-noise
ratio by 15.7% +/- 7.9%. CONCLUSION: Real-time shim adjustment substantially
reduces spatiotemporal B0 field variation, opening the door to more robust
imaging and spectroscopy investigations of the spinal cord. Magn Reson Med 80:935
946, 2018. (c) 2018 International Society for Magnetic Resonance in Medicine.
PMID- 29380428
TI - Impact of glucose and lipid markers on the correlation of calculated and
enzymatic measured low-density lipoprotein cholesterol in diabetic patients with
coronary artery disease.
AB - BACKGROUND AND AIMS: Low-density lipoprotein cholesterol (LDL-C) is widely
estimated by Friedewald equation (FE) and Enzymatic test (ET), which are affected
by several factors. The aim of this study was to observe the impact of diabetic
lipid and glucose patterns on the correlation between FE LDL-C (F-LDL) and ET LDL
C (E-LDL) in patients with coronary artery disease (CAD). METHODS AND RESULTS: A
total of 8155 CAD patients were consecutively enrolled and their lipid profiles
were measured. The impacts of triglyceride (TG), glycosylated hemoglobin A1c
(HbA1c), and high-density lipoprotein cholesterol (HDL-C) on the correlation of F
LDL and E-LDL were examined. The difference value (DV) between F-LDL and E-LDL
was compared using ANOVA test. The CAD patients with DM were elder and had higher
body mass index, plasma TG compared with those without DM (P < .05 separately).
In the whole population, F-LDL was lower than E-LDL but showed a high correlation
with E-LDL (r = .970, P = .000). Moreover, as the TG concentrations increased,
the DV increased accordingly but the correlation between F-LDL and E-LDL
decreased (P < .01). The similar trend was also found in both DM and non-DM
patients comparing with different TG groups. However, in patients with DM, there
was no significant difference of DV in different HbA1c groups or HDL-C
concentrations (P > .05). CONCLUSION: Although F-LDL might underestimate the
value of LDL-C, the correlation between F-LDL and E-LDL was clinically acceptable
(r = .97), suggesting the LDL-C values measured by two methods were similarly
reliable in CAD patients with or without DM.
PMID- 29380429
TI - The strength of weight-bearing bones is similar in amenorrheic and eumenorrheic
elite long-distance runners.
AB - Regular intense endurance exercise can lead to amenorrhea with possible adverse
consequences for bone health. We compared whole body and regional bone strength
and skeletal muscle characteristics between amenorrheic (AA: n = 14) and
eumenorrheic (EA: n = 15) elite adult female long-distance runners and
nonathletic controls (C: n = 15). Participants completed 3-day food diaries, dual
energy X-ray absorptiometry (DXA), magnetic resonance imaging (MRI), peripheral
quantitative computed tomography (pQCT), and isometric maximal voluntary knee
extension contraction (MVC). Both athlete groups had a higher caloric intake than
controls, with no significant difference between athlete groups. DXA revealed
lower bone mineral density (BMD) at the trunk, rib, pelvis, and lumbar spine in
the AA than EA and C. pQCT showed greater bone size in the radius and tibia in EA
and AA than C. The radius and tibia of AA had a larger endocortical circumference
than C. Tibia bone mass and moments of inertia (Ix and Iy) were greater in AA and
EA than C, whereas in the radius, only the proximal Iy was larger in EA than C.
Knee extensor MVC did not differ significantly between groups. Amenorrheic adult
female elite long-distance runners had lower BMD in the trunk, lumbar spine,
ribs, and pelvis than eumenorrheic athletes and controls. The radius and tibia
bone size and strength indicators were similar in amenorrheic and eumenorrheic
athletes, suggesting that long bones of the limbs differ in their response to
amenorrhea from bones in the trunk.
PMID- 29380430
TI - Material Design of p-Type Transparent Amorphous Semiconductor, Cu-Sn-I.
AB - Transparent amorphous semiconductors (TAS) that can be fabricated at low
temperature are key materials in the practical application of transparent
flexible electronics. Although various n-type TAS materials with excellent
performance, such as amorphous In-Ga-Zn-O (a-IGZO), are already known, no
complementary p-type TAS has been realized to date. Here, a material design
concept for p-type TAS materials is proposed utilizing the pseudo s-orbital
nature of spatially spreading iodine 5p orbitals and amorphous Sn-containing CuI
(a-CuSnI) thin film is reported as an example. The resulting a-CuSnI thin films
fabricated by spin coating at low temperature (140 degrees C) have a smooth
surface. The Hall mobility increases with the hole concentration and the largest
mobility of ~9 cm2 V-1 s-1 is obtained, which is comparable with that of
conventional n-type TAS.
PMID- 29380431
TI - Mesoscale Block Copolymers.
AB - Materials composed of well-defined mesoscale building blocks are ubiquitous in
nature, with noted ability to assemble into hierarchical structures possessing
exceptional physical and mechanical properties. Fabrication of similar synthetic
mesoscale structures will offer opportunities for precise conformational tuning
toward advantageous bulk properties, such as increased toughness or elastic
modulus. This requires new materials designs to be discovered to impart such
structural control. Here, the preparation of mesoscale polymers is achieved by
solution fabrication of functional polymers containing photoinduced chemical
triggers. Subsequent photopatterning affords mesoscale block copolymers composed
of distinct segments of alternating chemical composition. When dispersed in
appropriate solvents, selected segments form helices to generate architectures
resembling block copolymers, but on an optically observable size scale. This
approach provides a platform for producing mesoscale geometries with structural
control and potential for driving materials assembly comparable to examples found
in nature.
PMID- 29380432
TI - High Detectivity and Transparent Few-Layer MoS2 /Glassy-Graphene Heterostructure
Photodetectors.
AB - Layered van der Waals heterostructures have attracted considerable attention
recently, due to their unique properties both inherited from individual two
dimensional (2D) components and imparted from their interactions. Here, a novel
few-layer MoS2 /glassy-graphene heterostructure, synthesized by a layer-by-layer
transfer technique, and its application as transparent photodetectors are
reported for the first time. Instead of a traditional Schottky junction, coherent
ohmic contact is formed at the interface between the MoS2 and the glassy-graphene
nanosheets. The device exhibits pronounced wavelength selectivity as illuminated
by monochromatic lights. A responsivity of 12.3 mA W-1 and detectivity of 1.8 *
1010 Jones are obtained from the photodetector under 532 nm light illumination.
Density functional theory calculations reveal the impact of specific carbon
atomic arrangement in the glassy-graphene on the electronic band structure. It is
demonstrated that the band alignment of the layered heterostructures can be
manipulated by lattice engineering of 2D nanosheets to enhance optoelectronic
performance.
PMID- 29380433
TI - A note on a precursor of behavioral momentum.
AB - This is a historical note on a precursor of the concept of behavioral momentum in
the late 1950s and early 1960s, in particular, Charles B. description of it in
terms of behavioral durability. The note is based largely on two email exchanges
we had with John A. (Tony) Nevin, who offered insights on behavioral momentum as
a term and a concept that are fit to be public on the occasion of this issue of
the Journal of the Experimental Analysis of Behavior in his honor. Nevin
addressed graduate work at Columbia University, the Newtonian analogy, the term
behavioral momentum, and precursors of his work that are now lost in history.
Ferster's description, though, was more compellingly modern than the others and
the one first based in research on human operant behavior.
PMID- 29380435
TI - Nevin's momentum.
PMID- 29380434
TI - Persistence and relapse of reinforced behavioral variability.
AB - The present study examined persistence and relapse of reinforced behavioral
variability in pigeons. Pigeons emitted four-response sequences across two keys.
Sequences produced food according to a lag schedule, in which a response sequence
was followed by food if it differed from a certain number of previous sequences.
In Experiment 1, food was delivered for sequences that satisfied a lag schedule
in both components of a multiple schedule. When reinforcement was removed for one
component (i.e., extinction), levels of behavioral variability decreased for only
that component. In Experiment 2, food was delivered for sequences satisfying a
lag schedule in one component of a multiple schedule. In the other component,
food was delivered at the same rate, but without the lag variability requirement
(i.e., yoked). Following extinction, levels of behavioral variability returned to
baseline for both components after response-independent food delivery (i.e.,
reinstatement). In Experiment 3, one group of pigeons responded on a lag
variability schedule, and the other group responded on a lag repetition schedule.
For both groups, levels of behavioral variability increased when alternative
reinforcement was suspended (i.e., resurgence). In each experiment, we observed
some evidence for extinction-induced response variability and for variability as
an operant dimension of behavior.
PMID- 29380436
TI - Additional free reinforcers increase persistence of problem behavior in a
clinical context: A partial replication of laboratory findings.
AB - Behavioral momentum theory is a quantitative framework used to characterize the
persistence of behavior during response disruptors as a function of baseline
stimulus-reinforcer relations. Results of several investigations have shown that
alternative reinforcement can increase the resistance to change of a target
response during extinction. In the present study, concomitant variable-interval
fixed-time schedules of reinforcement for problem behavior were employed to
simulate naturalistic situations involving the superimposition of response
independent reinforcers on a baseline schedule of reinforcement for problem
behavior, as in the common use of noncontingent reinforcement treatments.
Resistance to change of problem behavior was assessed during postsession periods
of extinction by comparing response rates in extinction following sessions with
and without additional reinforcer deliveries arranged by fixed-time schedules.
For 2 out of 3 participants, problem behavior tended to be more resistant to
extinction following periods in which additional fixed-time reinforcers were
delivered. These results are discussed in terms of potential effects of
noncontingent reinforcement on problem behavior when the intervention is
discontinued or implemented without good treatment integrity.
PMID- 29380437
TI - On the predictive validity of behavioral momentum theory for mitigating
resurgence of problem behavior.
AB - We summarize the results of four recent translational studies from our lab that
used the predictions of behavioral momentum theory to inform the development of
more durable treatments for destructive behavior. Treatments informed by
behavioral momentum theory generally showed better suppression of target
responding during an extinction challenge than did a comparison treatment. We
reanalyze data from each of the four studies to show that this general finding is
apparent both at the aggregate (i.e., proportion of baseline response rates
averaged across participants) and within participant (i.e., percentage reduction
in proportion of baseline response rates, difference in raw response rates during
the extinction challenge). Interestingly, participants who experienced multiple
cycles of the extinction challenge generally showed less differentiation in
target responding between the treatment informed by behavioral momentum theory
and the comparison treatment. Overall results suggest that applications of
behavioral momentum theory can substantially improve the durability of common
treatments for destructive behavior.
PMID- 29380438
TI - Homeobox transcription factor DLX4 is not necessary for skin development and
homeostasis.
AB - Dlx4 is a member of a family of homeobox genes with homology to Drosophila distal
less (dll) gene. We show that Dlx4 expression pattern partially overlaps with its
cis-linked gene Dlx3 during mouse development as well as in neonatal and adult
skin. In mice, Dlx4 is expressed in the branchial arches, embryonic limbs,
digits, nose, hair follicle and in the basal and suprabasal layers of mouse
interfollicular epidermis. We show that inactivation of Dlx4 in mice did not
result in any overtly gross pathology. Skin development, homeostasis and response
to TPA treatment were similar in mice with loss of Dlx4 compared to wild-type
counterparts.
PMID- 29380439
TI - Precise Molecular Sieving Architectures with Janus Pathways for Both Polar and
Nonpolar Molecules.
AB - Precise molecular sieving architectures with Janus superhighways are constructed
via a molecularly engineered interfacial reaction between cyclodextrin (CD) and
trimesoyl chloride (TMC). Interestingly, the CD/TMC nanofilms constructed with
both hydrophobic inner cavities and hydrophilic channels exhibit exceptionally
high permeances for both polar and nonpolar solvents. The precise molecular
sieving functions are determined by the type of CD building blocks and the inner
cavities of intrinsic 3D hollow bowls. Positron annihilation spectroscopy (PAS)
confirms that a larger inner CD cavity tends to generate a larger free volume and
higher microporosity. Based on the rejection ratio of various dyes, the estimated
molecular weight cutoff of CD/TMC nanofilms follows the trend of alpha-CD/TMC
(320 Da) 0.50). Subgroup analysis on
patients undergoing HTx after ventricular assistance device (VAD) implantation
(i.e. bridge-to-transplantation) (n = 36) showed an IMPACT AUC = 0.72 (P <
0.001). In this single-centre cohort, existing heart failure risk scores were
adequate to predict waiting-list mortality. Post-HTx mortality risk scores were
not, except in the VAD subgroup.
PMID- 29380445
TI - Self-reported non-adherence to immunosuppressive medication in adult lung
transplant recipients-A single-center cross-sectional study.
AB - BACKGROUND: Non-adherence to immunosuppressive treatment following solid organ
transplantation is common and often associated with poorer outcomes. Non
adherence is difficult to assess, and barriers to adherence in lung transplant
(LTx) recipients remain to be elucidated. METHODS: A single-center cross
sectional observational study of all LTx recipients attending our department
between 07/2013 and 05/2014 was performed. Non-adherence was assessed using
patient self-reporting, including Basel Assessment of Adherence with
Immunosuppressive Medication Scale (BAASIS) along with healthcare worker (HCW)
judgment and reasons for non-adherence by the Immunosuppressive Therapy Barriers
Scale. RESULTS: A total of 138/504 patients (27.4%) self-reported non-adherence
to immunosuppressive medication. HCW scored 96/504 patients (19.1%) as poorly
adherent. Self-reported non-adherence increased with increasing interval after
transplantation. The main reason for non-adherence was punctuality (75%), with
only 11% reporting drug holidays. Explanations for non-adherence were primarily
related to self-organization and difficulties incorporating medication into daily
routine. There were no significant differences in medication knowledge or
variation in trough levels. CONCLUSIONS: This study confirms that non-adherence
in LTx recipients is frequent according to self-report. Barriers are self
organization and difficulties incorporating medication into daily routine. Social
and behavioral support is needed to overcome non-adherence. (ClinicalTrials.gov
number: NCT01889017).
PMID- 29380447
TI - Differential impact of CX3CL1 on lung cancer prognosis in smokers and non
smokers.
AB - CX3CL1 is a unique chemokine, expressed in both soluble and membrane bound forms,
which mediates different biological activities. Recent studies have revealed the
potential of CX3CL1 signaling pathway as a target for the treatment of
inflammation and cancer. The correlation between expression of CX3CL1 and
prognosis of patients varies among cancers. In this study, based on CX3CL1
immunohistochemistry in non-small cell lung cancer, CX3CL1 levels were positively
associated with cancer stage (Pearson chi-square, P = 0.048) and lymph node
status (P = 0.033). Interestingly, survival effects of CX3CL1 were only observed
in patients with smoking history and adenocarcinoma (AD, log rank, P = 0.027),
but not in patients with squamous cell carcinoma (SQ). The median survival time
of patients with smoking history and low level CX3CL1 expressing AD was 1538
days, while that of patients with smoking history and high level CX3CL1
expressing AD was 396 days. Cox regression models showed adverse effects of high
CX3CL1 levels only in AD patients with smoking history (hazard ratio = 3.01, p =
0.034), but not in AD patients without smoking history or in SQ patients with
smoking history. The results of this study suggest that CX3CL1 plays different
roles in lung tumorigenesis in smokers and non-smokers, and different CX3CL1
based therapeutic strategies are needed depending on patient smoking status and
tumor type. Furthermore, high level of CX3CL1 expression enhances nodal
metastasis by activating JNK & MMP2/MMP9 activity in lung cancer cells.
PMID- 29380446
TI - SH2B1 promotes epithelial-mesenchymal transition through the IRS1/beta-catenin
signaling axis in lung adenocarcinoma.
AB - Lung adenocarcinoma (LADC), the most prevalent type of human lung cancer, is
characterized by many molecular abnormalities. SH2B1, a member of the SH2-domain
containing family, have recently been shown to act as tumor activators in
multiple cancers, including LADC. However, the mechanisms underlying SH2B1
overexpression are not completely understood. Here, we reported that SH2B1
expression levels were significantly upregulated and positively associated with
EMT markers and poor patient survival in LADC specimens. Modulation of SH2B1
levels had distinct effects on cell proliferation, cell cycle, migration,
invasion, and morphology in A549 and H1299 cells in vitro and in vivo. At the
molecular level, overexpression of SH2B1 resulted in the upregulation of the EMT
markers, especially induced beta-catenin accumulation and activated beta-catenin
signaling to promote LADC cell proliferation and metastasis, while silencing
SH2B1 had the opposite effect. Furthermore, ectopic expression of SH2B1 in H1299
cells increased IRS1 expression level. Reduced expression of IRS1 considerably
inhibited H1299 cell proliferation, migration, and invasion which were driven by
SH2B1 overexpression. Collectively, these results provide unequivocal evidence to
establish that SH2B1-IRS1-beta-catenin axis is required for promoting EMT, and
might prove to be a promising strategy for restraining tumor progression in LADC
patients.
PMID- 29380448
TI - Longitudinal Assessment of Denture Maintenance Needs in an Overdenture
Population.
AB - PURPOSE: To evaluate the longitudinal denture maintenance needs of a tooth
supported overdenture population. MATERIALS AND METHODS: This prospective cohort
study was composed of patients who had received tooth-supported overdentures from
1974 to 1994 in the Department of Prosthodontics, University of Iowa. There were
272 persons with 662 abutments who fulfilled the inclusion criteria. To simplify
analysis and reduce the number of confounding variables, only those participants
wearing complete maxillary dentures and opposing complete mandibular overdentures
supported by teeth #22 and #27 were included in this analysis. The denture
maintenance needs of these participants were identified and related to age,
gender, length of time wearing overdentures, number of medications, and denture
wearing habits. Descriptive statistics and bivariate analyses were used for the
statistical analysis (alpha = 0.05). RESULTS: Of the 91 participants (mean age =
59.5 +/- 10.1 years; 63.7% male) who fulfilled the inclusion criteria at
baseline, 48.4% took 1 to 3 medications daily, and 84.6% wore their dentures day
and night. The percentages of participants who needed one or more of the
following denture treatments were: 91.2% denture base adjustments, 76.9%
laboratory processed relines, 61.5% denture remakes, 33% laboratory remount and
occlusal adjustment, 22% repaired bases, and 19.8% needed denture teeth replaced.
Bivariate analyses indicated that participants who were 65 years and older needed
more denture adjustments than patients younger than 65 years (p = 0.0343).
Participants who had worn overdentures for more than 5 years were more likely to
require denture remakes (p = 0.0304). Participants who wore their dentures only
during the day were less likely to require repairs (p = 0.0403). Participants who
did not take any medications were significantly less likely to require denture
base repairs (p = 0.0258). For the 35 participants who returned for recalls at
all 4 time points, males were more likely to need denture teeth repaired or
replaced (p = 0.0335) and those aged 50 to 64 were more likely to need 2 or more
adjustments (p = 0.0311). No overdenture abutments were lost by the participants
in this study. CONCLUSIONS: According to the results of this study, age,
medication usage, denture-wearing habits, and age of the overdentures were
significant factors associated with denture maintenance needs. Persons wearing
overdentures need regular recalls, because they have continuing maintenance
needs.
PMID- 29380449
TI - A self-caricature of michelangelo buonarroti hidden in the portrait of vittoria
colonna.
AB - The specialized literature has described how the great anatomist par excellence,
Michelangelo Buonarroti (1475-1564), like many other renowned artists of his
time, included a self-portrait in many of his works. This article presents novel
evidence that Michelangelo inserted his self-portrait into a sketch of his close
friend, Vittoria Colonna (1490-1547). This work, made by Michelangelo in 1525, is
currently in the collection of the British Museum in London, England. This self
portrait of Michelangelo can serve as a tool for analyzing the artist's probable
bodily dimensions and even his state of health during this period of his life.
Clin. Anat. 31:335-338, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29380450
TI - Fibrillization of beta-Amyloid Peptides via Chemically Modulated Pathway.
AB - The aggregation of beta-amyloid peptides is closely associated with Alzheimer's
disease. We have used liposomes to modulate the early aggregation events of 40
residue beta-amyloid peptides. The spatial confinement provided by liposomes
leads to the formation of nonfibrillar aggregates of beta-amyloid peptides. These
on-pathway beta-sheet intermediates were used to seed the fibrillization of the
monomer peptides. Solid-state NMR spectroscopy revealed that the resultant
fibrils have a more uniform structure than those formed in liposome-free
solution.
PMID- 29380451
TI - Human T-lymphotropic virus type 1 infection and solid organ transplantation.
AB - HTLV infection appears to be more common among renal transplant candidates than
in the related general population. HTLV-1-associated diseases may occur in
carriers who are transplanted but there is insufficient evidence to confirm
whether these occur more frequently as a result of the associated
immunosuppression. Consequently, pre-existing HTLV-1 infection should not be
considered a contra-indication to transplantation. The risk of transmission of
HTLV-1 through solid organ transplantation from a confirmed infected donor is
unknown. There are anecdotes of multiple infections from a single donor.
Biologically due to the significant volume of blood and the lack of storage,
transmission would be expected to be higher than following blood transfusion. The
rate of subsequent disease is unknown, but there are now 11 reports of HAM and 2
of ATL occurring within 4 years of transplantation associated infection. There
are insufficient data to know whether the time from infection to onset of disease
and the rate of progression differ from transmission through other routes, but
early onset and rapid progression is a concern. Responses to enhanced
immunosuppression for the treatment of HAM are variable. The risk of HTLV-1
associated disease in exchange for a life-saving major organ transplantation from
an infected donor might be considered worth taking by some HTLV-1 uninfected
patients. Peri-transplantation antiretroviral prophylaxis with zidovudine and
raltegravir is biologically sound but therapeutically unproven. The risks related
to HTLV-1 infection appear to preclude the use of any other tissue. All
transplant donors should be screened for HTLV-1 infection regardless of perceived
risk.
PMID- 29380453
TI - When neuroscience met clinical pathology: partitioning experimental variation to
aid data interpretation in neuroscience.
AB - In animal experiments, neuroscientists typically assess the effectiveness of
interventions by comparing the average response of groups of treated and
untreated animals. While providing useful insights, focusing only on group
effects risks overemphasis of small, statistically significant but
physiologically unimportant, differences. Such differences can be created by
analytical variability or physiological within-individual variation, especially
if the number of animals in each group is small enough that one or two outlier
values can have considerable impact on the summary measures for the group.
Physicians face a similar dilemma when comparing two results from the same
patient. To determine whether the change between two values reflects disease
progression or known analytical and physiological variation, the magnitude of the
difference between two results is compared to the reference change value. These
values are generated by quantifying analytical and within-individual variation,
and differences between two results from the same patient are considered
clinically meaningful only if they exceed the combined effect of these two
sources of 'noise'. In this article, we describe how the reference change
interval can be applied within neuroscience. This form of analysis provides a
measure of outcome at an individual level that complements traditional group
level comparisons, and therefore, introduction of this technique into
neuroscience can enrich interpretation of experimental data. It can also
safeguard against some of the possible misinterpretations that may occur during
analysis of the small experimental groups that are common in neuroscience and, by
illuminating analytical error, may aid in design of more efficient experimental
methods.
PMID- 29380454
TI - Low levels of hybridization in two species of African driver ants.
AB - Hybridization in ants can have consequences different from those observed in most
other species, with many of the potential deleterious effects being mitigated due
to haplodiploidy and eusociality. In some species where colonies are either
headed by multiple queens or single queens that mate with many males,
hybridization is associated with genetic caste determination, where hybrids
develop into workers and purebred individuals develop into queens. A previous
study suggested that hybridization occurs between two Dorylus army ant species
with multiply mated queens. However, the extent and exact pattern of
hybridization have remained unclear, and its possible effect on caste
determination has not been investigated. In this study, we aimed to determine the
extent and direction of hybridization by measuring how frequently hybrids occur
in colonies of both species, and to investigate the possibility of genetic caste
determination. We show that hybridization is bidirectional and occurs at equal
rates in both species. Hybrid workers make up only 1-2% of the population, and
successful interspecific matings represent approximately 2% of all matings in
both species. This shows that, although interspecific matings that give rise to
worker offspring occur regularly, they are much rarer than intraspecific mating.
Finally, we find no evidence of an association between hybridization and genetic
caste determination in this population. This means that genetic caste
determination is not a necessary outcome of hybridization in ants, even in
species where queens mate with multiple males.
PMID- 29380455
TI - Fluctuating selection and its (elusive) evolutionary consequences in a wild
rodent population.
AB - Temporal fluctuations in the strength and direction of selection are often
proposed as a mechanism that slows down evolution, both over geological and
contemporary timescales. Both the prevalence of fluctuating selection and its
relevance for evolutionary dynamics remain poorly understood however, especially
on contemporary timescales: unbiased empirical estimates of variation in
selection are scarce, and the question of how much of the variation in selection
translates into variation in genetic change has largely been ignored. Using long
term individual-based data for a wild rodent population, we quantify the
magnitude of fluctuating selection on body size. Subsequently, we estimate the
evolutionary dynamics of size and test for a link between fluctuating selection
and evolution. We show that, over the past 11 years, phenotypic selection on body
size has fluctuated significantly. However, the strength and direction of genetic
change have remained largely constant over the study period; that is, the rate of
genetic change was similar in years where selection favoured heavier vs. lighter
individuals. This result suggests that over shorter timescales, fluctuating
selection does not necessarily translate into fluctuating evolution. Importantly
however, individual-based simulations show that the correlation between
fluctuating selection and fluctuating evolution can be obscured by the effect of
drift, and that substantially more data are required for a precise and accurate
estimate of this correlation. We identify new challenges in measuring the
coupling between selection and evolution, and provide methods and guidelines to
overcome them.
PMID- 29380452
TI - Engineered control of enzyme structural dynamics and function.
AB - Enzymes undergo a range of internal motions from local, active site fluctuations
to large-scale, global conformational changes. These motions are often important
for enzyme function, including in ligand binding and dissociation and even
preparing the active site for chemical catalysis. Protein engineering efforts
have been directed towards manipulating enzyme structural dynamics and
conformational changes, including targeting specific amino acid interactions and
creation of chimeric enzymes with new regulatory functions. Post-translational
covalent modification can provide an additional level of enzyme control. These
studies have not only provided insights into the functional role of protein
motions, but they offer opportunities to create stimulus-responsive enzymes.
These enzymes can be engineered to respond to a number of external stimuli,
including light, pH, and the presence of novel allosteric modulators. Altogether,
the ability to engineer and control enzyme structural dynamics can provide new
tools for biotechnology and medicine.
PMID- 29380456
TI - Uterine natural killer cell progenitor populations predict successful
implantation in women with endometriosis-associated infertility.
AB - PROBLEM: Uterine natural killer (uNK) cells play a critical role early in
gestation. As we previously identified altered uNK cell development in
endometriosis-associated infertility, we herein sought to characterize natural
killer (NK) cell profiles in endometriosis that may predict embryo implantation.
METHOD OF STUDY: Study participants had a surgical diagnosis of endometriosis
associated infertility. Endometrial tissue and peripheral blood were obtained
from 58 women. Thirty-three patients underwent artificial reproductive technology
(IVF, ICSI, or IUI) within a mean of 9.5 months of surgery. NK and hematopoietic
progenitor cells from endometrium and blood were analyzed by flow cytometry.
Successful implantation was defined as a positive pregnancy test. RESULTS: In
successful implantation, populations of endometrial CD34+ hematopoietic stem
cells were higher (3.97% vs 0.69%; P < .0004), and coexpression of NK cell marker
CD56 was increased (81.1% vs 60.9%; P < .034) compared with patients who had
failed implantation. In contrast, levels of blood NK progenitors were similar in
both groups. CONCLUSION: Our study revealed that uterine NK progenitor cell
populations are markedly different in patients with endometriosis who proceed to
successful or failed embryo implantation and may define a novel predictor of
implantation success. Our findings also highlight the fundamental differences
inherent in NK cell repertoires between blood and uterine compartments.
PMID- 29380458
TI - Two paths diverged in the brain, Ray Guillery chose the one less studied.
PMID- 29380459
TI - Early blindness is associated with increased volume of the uncinate fasciculus.
AB - Growing evidence demonstrates dramatic structural and functional neuroplastic
changes in individuals born with early-onset blindness. For example, cross-modal
sensory processing at the level of the occipital cortex appears to be associated
with adaptive behaviors in the blind. However, detailed studies examining the
structural properties of key white matter pathways in other regions of the brain
remain limited. Given that blind individuals rely heavily on their sense of
hearing, we examined the structural properties of two important pathways involved
with auditory processing, namely the uncinate and arcuate fasciculi. High angular
resolution diffusion imaging (HARDI) tractography was used to examine structural
parameters (i.e., tract volume and quantitative anisotropy, or QA) of these two
fasciculi in a sample of 13 early blind individuals and 14 normally sighted
controls. Compared to controls, early blind individuals showed a significant
increase in the volume of the left uncinate fasciculus. A small area of increased
QA was also observed halfway along the right arcuate fasciculus in the blind
group. These findings contribute to our knowledge regarding the broad
neuroplastic changes associated with profound early blindness.
PMID- 29380457
TI - New statin use and left ventricular structure: Estimating long-term associations
in the Multi-Ethnic Study of Atherosclerosis (MESA).
AB - PURPOSE: Only small and short-term studies have evaluated statins in relation to
changes in heart structure. We estimated the association between new statin use
and 10-year remodeling of the left ventricle. METHODS: The Multi-Ethnic Study of
Atherosclerosis collected data on statin use over approximately 10 years,
conducting cardiac magnetic resonance (CMR) imaging at baseline and the 10-year
exam. Participants were free of baseline cardiovascular disease, and we excluded
users of statins at baseline. Statin initiation was defined as a report of
current use at any of the 4 subsequent exams. Primary outcomes were the change in
left ventricular mass index (LVMI; % predicted by height, weight, and sex) and
mass-to-volume ratio. Associations were estimated in a propensity score-matched
analysis. RESULTS: A total of 3113 participants (53% female; 40% European
American, 25% African-American, 22% Hispanic-American, and 13% Chinese-American)
were eligible; 2431 returned for follow-up CMR imaging after a median of 9.4
years. Statin therapy (moderate dose, 76%) was started by 36% of participants (N
= 872). We excluded 42 participants with incident myocardial infarction. Compared
with nonuse, statin use was associated with less 10-year progression in LVMI (
2.35 percentage points; 95% CI, -4.24 to -0.47; P = .01) and mass-to-volume ratio
(-0.03 absolute difference; 95% CI, -0.07 to -0.00; P = .02); effects were small
in magnitude. A dose response was observed: Higher statin dose was associated
with less LVMI progression. CONCLUSIONS: In contrast to previous small studies,
we found very modest associations between statin use and indices of left
ventricular remodeling over 10 years in this prospective study of a diverse
cohort.
PMID- 29380460
TI - Unlicensed medicines use: a UK guideline analysis using AGREE II.
AB - OBJECTIVES: There is widespread use of unlicensed medicines within primary and
secondary care but little information is available around how these medicines are
used. This analysis examines and evaluates the content and quality of relevant
guidance documentation currently in use within the UK. METHODS: Guidance
documents were identified through a literature search as well as email requests
to pharmacy organisations throughout the UK. Unlicensed medicine documentation
suitable for inclusion in the analysis underwent thematic analysis and quality
assessment using the AGREE II tool. KEY FINDINGS: Thematic analysis of 52
guidelines revealed four parent themes: (1) Professional responsibility (2) Usage
practicalities (3) Risk versus benefit (4) Controlling use. There was variability
in scores across the AGREE II domains with areas covering Scope and Purpose and
Clarity of Presentation scoring well. Conversely, an area needing attention was
Rigour of Development. CONCLUSION: Healthcare organisations would benefit from
agreeing a 'core content' for the development of unlicensed medicines guidelines
to ensure consistency and the presence of robust operating systems to deliver
safe, effective treatment to all NHS patients.
PMID- 29380462
TI - Cancer prevention-the feasibility and acceptability of promoting breast cancer
risk reduction in the screening setting through a lifestyle magazine.
AB - Cancer prevention and early detection strategies are fundamental to reducing
breast cancer burden. Offering prevention guidance on modifiable risk factors
within early detection settings is rare. We aimed to evaluate the acceptability
of a magazine focused on lifestyle and cancer prevention for use in breast
screening clinics. A lifestyle magazine was developed and distributed within two
breast screening settings in the West of Scotland over a 2-month period. Women
were either offered the magazine on arrival or in a self-service format. Uptake
was recorded by NHS staff. Women's views were sought via an evaluation
questionnaire. Staff were interviewed on their experiences of intervention
delivery. Uptake was greatest when offered to attendees (95% vs. 20% self
service). The evaluation questionnaire response rate was 17.3%. Almost 60% of
respondents reported an increased knowledge about breast cancer and lifestyle and
felt motivated to find out more about cancer prevention and 40% expressed
intentions to make lifestyle changes. Over 90% of respondents thought lifestyle
factors were important in breast cancer prevention. Staff feedback was positive,
indicating no detrimental effects on workloads. In conclusion, a cancer
prevention lifestyle magazine can be successfully delivered in the breast
screening setting and deserves further exploration for roll out.
PMID- 29380461
TI - Quantitative susceptibility mapping (QSM) as a means to monitor cerebral hematoma
treatment.
AB - BACKGROUND: Quantitative susceptibility mapping (QSM) offers a consistent
hemorrhage volume measurement independent of imaging parameters. PURPOSE: To
investigate the magnetic susceptibility of intracerebral hemorrhage (ICH) as a
quantitative measurement for monitoring treatment in hematoma patients. STUDY
TYPE: Prospective. POPULATION: Twenty-six patients with acute ICH were recruited
and enrolled in treatment including surgery or medication (mannitol) for 1 week.
FIELD STRENGTH/SEQUENCE: A 3D gradient echo sequence at 3.0T. ASSESSMENT: The
hematoma volumes on computed tomography (CT) and QSM were calculated and used for
correlation analysis. Magnetic susceptibility changes from pre- to posttreatment
were calculated and compared to the National Institutes of Health stroke scale
(NIHSS) measure of neurological deficit for each patient. STATISTICAL TESTS: Mean
susceptibility values were calculated over each region of interest (ROI). A one
sample t-test was used to assess the changes of total volumes and mean magnetic
susceptibility of ICH identified between pre- and posttreatment images (P < 0.05
was considered significant) and the Bland-Altman analysis with 95% limits of
agreement (average difference, +/-1.96 SD of the difference). Regression of
volume measurements on QSM vs. CT and fitted linear regression of mean
susceptibility vs. CT signal intensity for hematoma regions were conducted in all
patients. RESULTS: Good correlation was found between hemorrhage volumes
calculated from CT and QSM (CT volume = 0.94*QSM volume, r = 0.98). Comparison of
QSM pre- and posttreatment showed that the mean ICH volume was reduced by a
statistically insignificant amount from 5.74 cm3 to 5.45 cm3 (P = 0.21), while
mean magnetic susceptibility was reduced significantly from 0.48 ppm to 0.38 ppm
(P = 0.004). A significant positive association was found between changes in
magnetic susceptibility values and NIHSS following hematoma treatment (P < 0.01).
DATA CONCLUSIONS: QSM in hematoma assessment, as compared with CT, offers a
comparably accurate volume measurement; however, susceptibility measurements may
enable improved monitoring of ICH treatment compared to volume measurements
alone. LEVEL OF EVIDENCE: 2 Technical Efficacy: Stage 2 J. Magn. Reson. Imaging
2018;48:907-915.
PMID- 29380463
TI - Clinicopathologic implications of TNFAIP3/A20 deletions in extranodal NK/T-cell
lymphoma.
AB - The A20/Tumor necrosis factor-alpha-induced protein 3 (A20/TNFAIP3) is a negative
regulator of NF-kappaB signaling. We analyzed the clinicopathologic implications
of A20 deletions in extranodal NK/T-cell lymphoma (NKTL). Fluorescence in situ
hybridization analysis of the A20 gene was performed using archived formalin
fixed tissues in 49 cases of NKTL. Among the 49 NKTL patients (median age, 48 y
[10-79]), stage I-II (75% [36/48]) and upper aerodigestive tract (UAT)-origin
(84% [41/49]) were predominant. All A20 deletions were monoallelic and found in
cases with UAT-origin, accounting for 18% (9/49) of all NKTLs and 22% (9/41) of
UAT-origin. In univariate analysis, overall survival (OS) and progression-free
survival (PFS) were associated with stage, international prognostic index (IPI),
B symptoms and number of extranodal sites, and OS with performance status and non
UAT-origin, but none with A20 deletion. In multivariate analysis, IPI predicted
OS (P = .008 [HR = 23.4]) and PFS (P = .005 [HR = 34.0]). Risk was divided by B
symptoms (P = .001 [OS]; P = .034 [PFS]) in low IPI subset (n = 36), and by A20
deletion (P = .029 [PFS]) in high IPI subset (n = 13). These results suggest a
clinicopathologic implication of A20 in progression of NKTL.
PMID- 29380464
TI - Hypothalamic and liver transcriptome from two crucial life-history stages in a
migratory songbird.
AB - NEW FINDINGS: What is the central question of this study? What are the molecular
underpinnings of the seasonal adaptation in a latitudinal migratory songbird?
What is the main finding and its importance? We found changes in mRNA levels
after a photoperiod-induced alteration of seasonal state in a captive long
distance latitudinal avian migrant. The hypothalamus and liver transcriptomes
revealed genes involved in the regulatory and functional pathways between non
migratory and migratory states. Our results provide insights into mechanisms
underlying homeostasis during seasonal changes that are conserved across most
species, including humans. ABSTRACT: Very little is understood about genetic
mechanisms underlying the onset of spring migration in latitudinal avian
migrants. To gain insight into the genetic architecture of the hypothalamus and
liver tissues of a long-distance migrant, we examined and compared the
transcriptome profile of captive night-migratory black-headed buntings (Emberiza
melanocephala) between photoperiod-induced winter non-migratory (WnM) and spring
migratory (SM) life-history states under short and long days, respectively. High
throughput 454 pyrosequenced transcripts were mapped initially with reference to
the genome of two phylogenetically close species, Taeniopygia guttata and
Ficedula albicollis. The F. albicollis genome gave higher annotation results and
was used for further analysis. A total of 216 (78 in hypothalamus; 138 in liver)
genes were found to be expressed differentially between the WnM and SM life
history states. These genes were enriched for physiological pathways that might
be involved in the regulation of seasonal migrations in birds. For example, genes
for the ATP binding pathway in the hypothalamus were expressed at a significantly
higher level in SM than in the WnM life-history state. Likewise, upregulated
genes associated with the myelin sheath and focal adhesion were enriched in the
hypothalamus, and those with cell-to-cell junction, intracellular protein
transport, calcium ion transport and small GTPase-mediated signal transduction
were enriched in the liver. Many of these genes are a part of physiological
pathways potentially involved in the regulation of seasonal migration in birds.
These results show molecular changes at the regulatory and metabolic levels
associated with seasonal transitions in a long-distance migrant and provide the
basis for future studies aimed at unravelling the genetic control of migration in
birds.
PMID- 29380465
TI - Simultaneous Binding of Hybrid Molecules Constructed with Dual DNA-Binding
Components to a G-Quadruplex and Its Proximal Duplex.
AB - A G-quadruplex (quadruplex) is a nucleic acid secondary structure adopted by
guanine-rich sequences and is considered to be relevant to various
pharmacological and biological contexts. Although a number of researchers have
endeavored to discover and develop quadruplex-interactive molecules, poor ligand
designability originating from topological similarity of the skeleton of diverse
quadruplexes has remained a bottleneck for gaining specificity for individual
quadruplexes. This work reports on hybrid molecules that were constructed with
dual DNA-binding components, a cyclic imidazole/lysine polyamide (cIKP), and a
hairpin pyrrole/imidazole polyamide (hPIP), with the aim toward specific
quadruplex targeting by reading out the local duplex DNA sequence adjacent to
designated quadruplexes in the genome. By means of circular dichroism (CD),
fluorescence resonance energy transfer (FRET), surface plasmon resonance (SPR),
and NMR techniques, we showed the dual and simultaneous recognition of the
respective segment via hybrid molecules, and the synergistic and mutual effect of
each binding component that was appropriately linked on higher binding affinity
and modest sequence specificity. Monitoring quadruplex and duplex imino protons
of the quadruplex/duplex motif titrated with hybrid molecules clearly revealed
distinct features of the binding of hybrid molecules to the respective segments
upon their simultaneous recognition. A series of the systematic and detailed
binding assays described here showed that the concept of simultaneous recognition
of quadruplex and its proximal duplex by hybrid molecules constructed with the
dual DNA-binding components may provide a new strategy for ligand design,
enabling targeting of a large variety of designated quadruplexes at specific
genome locations.
PMID- 29380466
TI - Polyvalent Display of Biomolecules on Live Cells.
AB - Surface display of biomolecules on live cells offers new opportunities to treat
human diseases and perform basic studies. Existing methods are primarily focused
on monovalent functionalization, that is, the display of single biomolecules
across the cell surface. Here we show that the surface of live cells can be
functionalized to display polyvalent biomolecular structures through two-step
reactions under physiological conditions. This polyvalent functionalization
enables the cell surface to recognize the microenvironment one order of magnitude
more effectively than with monovalent functionalization. Thus, polyvalent display
of biomolecules on live cells holds great potential for various biological and
biomedical applications.
PMID- 29380467
TI - Concentration-Gradient-Method for sulphur and strontium isotope ratio
determination by quadrupole-based inductively coupled plasma mass spectrometry in
gypsum.
AB - RATIONALE: The concentration-gradient-method (CGM) was previously introduced as a
precise and accurate method for isotope ratio determination by quadrupole-based
inductively coupled plasma mass spectrometry (ICP-QMS). The investigation of its
potential and advantages in the analysis of analytes with a poor signal-noise
ratio (S/N) is important to establish routine isotope ratio analysis industrial
applications on these widely used instruments. METHODS: The CGM was applied on
isotope measurements of Sr near its limit of quantification (LOQ) and of sulphur
where there was a massively interfered 32 S isotope signal in gypsum samples of
different origin, in order to demonstrate the advantages of the CGM over the
commonly used measurement and evaluation approach. The comparison between the CGM
and the classical measurement and evaluation approach was performed with high and
low concentration Sr standard solutions, to prove the robustness of the isotope
ratio determination. RESULTS: In both cases the CGM reached a recovery rate of
approximately 103 %, whereas the classical approach became increasingly
inaccurate at lower S/N (recovery of 123 %). In the case of sulphur isotope ratio
determination only the CGM enabled a differentiation between geogenic and flue
gas desulphurisation plant-originated gypsum samples. CONCLUSIONS: The robustness
of the CGM approach was illustrated for gypsum samples with trace strontium
concentration and its general applicability to the determination of sulphur
isotope ratios by means of quadrupole-based ICP-MS was demonstrated using the
example of sulphur in gypsum.
PMID- 29380468
TI - Trends in pediatric liver transplant donors and deceased donor circumstance of
death in the United States, 2002-2015.
AB - While much of the discussion regarding expanding the donor pool for pediatric
liver transplantation has surrounded the use of technical variant grafts, little
attention has been directed toward changes in the deceased donor population. The
aim of this study was to investigate trends in the circumstance of the death of
deceased donors used for pediatric liver transplantation. All pediatric liver
transplant recipients transplanted between 2002 and 2015 were identified in the
UNOS database and were categorized based on the donor circumstance of death.
There was no significant correlation between year of transplantation and number
of pediatric liver transplants performed, pediatric donors, split livers, or
living donors. There was a significant downward trend in donors from motor
vehicle fatalities and an upward trend in suicide, non-MVA, and death due to
natural causes. There was also an upward trend in drowning, one of the most
common mechanisms of death among non-MVA in 2015. While the number of donors who
died in MVA has fallen, the number of deceased donors who died from suicide,
natural causes, and non-MVA, especially drowning, has increased, maintaining the
overall number of pediatric deceased donor livers transplanted.
PMID- 29380470
TI - Hemispheric asymmetries in the orientation and location of the lateral geniculate
nucleus in dyslexia.
AB - Human brain asymmetry reflects normal specialization of functional roles and may
derive from evolutionary, hereditary, developmental, experiential, and
pathological factors (Toga & Thompson, 2003). Geschwind and Galaburda (1985)
suggested that processing difficulties in dyslexia are due to structural
differences between hemispheres. Because of its potential significance to the
controversial magnocellular theory of dyslexia, we investigated hemispheric
differences in the human lateral geniculate nucleus (LGN), the primary visual
relay and control nucleus in the thalamus, in subjects with dyslexia compared to
normal readers. We acquired and averaged multiple high-resolution proton density
(PD) weighted structural magnetic resonance imaging (MRI) volumes to measure in
detail the anatomical boundaries of the LGN in each hemisphere. We observed
hemispheric asymmetries in the orientation of the nucleus in subjects with
dyslexia that were absent in controls. We also found differences in the location
of the LGN between hemispheres in controls but not in subjects with dyslexia.
Neither the precise anatomical differences in the LGN nor their functional
consequences are known, nor is it clear whether the differences might be causes
or effects of dyslexia.
PMID- 29380471
TI - Management of Challenging Esthetic Anterior Cases with Limited Restorative Space:
A Clinical Report.
AB - With careful restorative planning and surgical placement, dental implants can be
used to support and retain a wide range of esthetic prostheses. When implant
planning or surgical executions are less than ideal, however, the resulting
restorative space can be a significant obstacle to successful treatment. The aim
of this article is to describe the use of a customized anterior bar to support a
partial overdenture prosthesis for a youthful patient with a high smile line and
a limited restorative space of 6 mm. Details of the treatment and an illustration
of the customized design are also presented.
PMID- 29380469
TI - Emergence of the neural network underlying phonological processing from the
prereading to the emergent reading stage: A longitudinal study.
AB - Numerous studies have shown that phonological skills are critical for successful
reading acquisition. However, how the brain network supporting phonological
processing evolves and how it supports the initial course of learning to read is
largely unknown. Here, for the first time, we characterized the emergence of the
phonological network in 28 children over three stages (prereading, beginning
reading, and emergent reading) longitudinally. Across these three time points,
decreases in neural activation in the left inferior parietal cortex (LIPC) were
observed during an audiovisual phonological processing task, suggesting a
specialization process in response to reading instruction/experience.
Furthermore, using the LIPC as the seed, a functional network consisting of the
left inferior frontal, left posterior occipitotemporal, and right angular gyri
was identified. The connection strength in this network co-developed with the
growth of phonological skills. Moreover, children with above-average gains in
phonological processing showed a significant developmental increase in connection
strength in this network longitudinally, while children with below-average gains
in phonological processing exhibited the opposite trajectory. Finally, the
connection strength between the LIPC and the left posterior occipitotemporal
cortex at the prereading level significantly predicted reading performance at the
emergent reading stage. Our findings highlight the importance of the early
emerging phonological network for reading development, providing direct evidence
for the Interactive Specialization Theory and neurodevelopmental models of
reading.
PMID- 29380472
TI - Conformation-Enabled Total Syntheses of Ohmyungsamycins A and B and Structural
Revision of Ohmyungsamycin B.
AB - The first total syntheses of the bioactive cyclodepsipeptides ohmyungsamycin A
and B are described. Key features of our synthesis include the concise
preparation of a linear cyclization precursor that consists of N-methyl amides
and non-proteinogenic amino acids, and its macrolactamization from a bent
conformation. The proposed structure of ohmyungsamycin B was revised based on its
synthesis. The cyclic core of the ohmyungsamycins was shown to be responsible for
the excellent antituberculosis activity, and ohmyungsamycin variants with
truncated chains were evaluated for their biological activity.
PMID- 29380473
TI - Size-Selective Exclusion Effects of Liquid Crystalline Tactoids on Nanoparticles:
A Separation Method.
AB - Liquid crystalline tactoids are anisotropic microdroplets existing in isotropic
phases. We studied the structure and evolution of tactoids in the presence of
doping nanoparticles by electron microscopy at the resolution of individual
mesogens and observed size-selective exclusion effects of liquid crystalline
tactoids on foreign nanoparticles. We applied this principle to the separation of
polymer nanospheres, gold nanoparticles, and magnetic nanoparticles by size.
These results indicate a new way to size-selectively separate nanoparticles using
lyotropic liquid crystals, in which nanoparticles smaller than a threshold size
will be selectively transferred from the disordered phase into the ordered phase
by tactoids during the phase separation process.
PMID- 29380474
TI - Mental health assessment: Inference, explanation, and coherence.
AB - Mental health professionals such as psychiatrists and psychotherapists assess
their patients by identifying disorders that explain their symptoms. This
assessment requires an inference to the best explanation that compares different
disorders with respect to how well they explain the available evidence. Such
comparisons are captured by the theory of explanatory coherence that states 7
principles for evaluating competing hypotheses in the light of evidence. The
computational model ECHO shows how explanatory coherence can be efficiently
computed. We show the applicability of explanatory coherence to mental health
assessment by modelling a case of psychiatric interviewing and a case of
psychotherapeutic evaluation. We argue that this approach is more plausible than
Bayesian inference and hermeneutic interpretation.
PMID- 29380475
TI - Is there an optimal organ acceptance rate for pediatric heart transplantation: "A
sweet spot"?
AB - Despite a limited supply of donors, potential donor hearts are often declined for
subjective concerns regarding organ quality. This analysis will investigate the
relationship between donor heart AR and patient outcome at pediatric transplant
centers. The UNOS database was used to identify all match runs for pediatric
candidates (age < 18 years) from 2008 through March 2015 in which a heart offer
was ultimately placed. Centers which received >=10 offers/y were included (10 634
offers, 38 centers). Transplant centers were stratified based on their AR: low
(<20%, n = 13), medium (20%-40%, n = 16), or high (>40%, n = 9). Low AR centers
experienced worse negative WL outcome compared with medium (P = .022) and high (P
= .004) AR centers. Low AR centers had similar post-transplant graft survival to
medium (P = .311) or high (P = .393) AR centers; however, medium AR centers had
better post-transplant graft survival than high AR centers (P = .037). E-F
survival from listing regardless of transplant was worse for low AR centers
compared with medium (P < .001) or high (P = .001) AR centers. Low AR centers
experience worse WL outcomes without improvement in post-transplant outcomes.
High AR centers experience higher post-transplant graft failure than medium AR
centers. AR of 20%-40% appears to have optimal WL and post-transplant outcomes.
PMID- 29380476
TI - Light-Activated Control of Translation by Enzymatic Covalent mRNA Labeling.
AB - Activation of cellular protein expression upon visible-light photocleavage of
small-molecule caging groups covalently attached to the 5' untranslated region
(5' UTR) of an mRNA was achieved. These photocleavable caging groups are
conjugated to in vitro transcribed mRNA (IVT-mRNA) through RNA
transglycosylation, an enzymatic process in which a bacterial tRNA guanine
transglycosylase (TGT) exchanges a guanine nucleobase in a specific 17-nucleotide
motif (Tag) for synthetic pre-queuosine1 (preQ1 ) derivatives. The caging groups
severely reduce mRNA translation efficiency when strategically placed in the 5'
UTR. Using this method, we demonstrate the successful spatiotemporal
photoregulation of gene expression with single-cell precision. Our method can be
applied to therapeutically relevant chemically modified mRNA (mod-mRNA)
transcripts. This strategy provides a modular and efficient approach for
developing synthetic gene regulatory circuits, biotechnological applications, and
therapeutic discovery.
PMID- 29380477
TI - Thinking about complexity in health: A systematic review of the key systems
thinking and complexity ideas in health.
AB - RATIONALE, AIMS, AND OBJECTIVES: As the Sustainable Development Goals are rolled
out worldwide, development leaders will be looking to the experiences of the past
to improve implementation in the future. Systems thinking and complexity science
(ST/CS) propose that health and the health system are composed of dynamic actors
constantly evolving in response to each other and their context. While offering
practical guidance for steering the next development agenda, there is no
consensus as to how these important ideas are discussed in relation to health.
This systematic review sought to identify and describe some of the key terms,
concepts, and methods in recent ST/CS literature. METHOD: Using the search terms
"systems thinkin * AND health OR complexity theor* AND health OR complex adaptive
system* AND health," we identified 516 relevant full texts out of 3982 titles
across the search period (2002-2015). RESULTS: The peak number of articles were
published in 2014 (83) with journals specifically focused on medicine/healthcare
(265) and particularly the Journal of Evaluation in Clinical Practice (37)
representing the largest number by volume. Dynamic/dynamical systems (n = 332),
emergence (n = 294), complex adaptive system(s) (n = 270), and
interdependent/interconnected (n = 263) were the most common terms with systems
dynamic modelling (58) and agent-based modelling (43) as the most common methods.
CONCLUSIONS: The review offered several important conclusions. First, while there
was no core ST/CS "canon," certain terms appeared frequently across the reviewed
texts. Second, even as these ideas are gaining traction in academic and
practitioner communities, most are concentrated in a few journals. Finally,
articles on ST/CS remain largely theoretical illustrating the need for further
study and practical application. Given the challenge posed by the next phase of
development, gaining a better understanding of ST/CS ideas and their use may lead
to improvements in the implementation and practice of the Sustainable Development
Goals.
PMID- 29380478
TI - A Comparison of Care Delivered in Hospital-based and Freestanding Emergency
Departments.
AB - OBJECTIVE: We compare case mix, hospitalization rates, length of stay (LOS), and
resource use in independent freestanding emergency departments (FSEDs) and
hospital-based emergency departments (H-EDs). METHODS: Data from 74 FSEDs (2013
2015) in Texas and Colorado were compared to H-ED data from the 2013-2014
National Hospital Ambulatory Medical Care Survey. In the unrestricted sample,
large differences in visit characteristics (e.g., payer and case mix) were found
between patients that use FSEDs compared to H-EDs. Therefore, we restricted our
analysis to patients commonly treated in both settings (<65 years, privately
insured, nonambulance) and used inverse propensity score weighting (IPW) to
balance the two settings on observable patient characteristics. We then compared
ED LOS and as well as hospital admission rates and resource utilization rates in
the IPW-weighted samples. RESULTS: Before balancing, FSEDs saw more young adults
(age 25-44) and fewer older adults (age 45-64) than H-EDs. FSED patients had
fewer comorbidities, more injuries and respiratory infections, and fewer
diagnoses of chest or abdominal pain. In balanced samples, LOS for FSED visits
was 46% shorter (60 minutes) than H-ED patients. Hospital admission rates were
37% lower overall (95% confidence interval = -51% to -23%) in FSEDs and varied
considerably by primary discharge diagnosis. X-ray and electrocardiogram use was
significantly lower at FSEDs while others measures of resource utilization were
similar (ultrasound, computed tomography scans, and laboratory tests).
CONCLUSION: In this sample of FSEDs, a greater proportion of younger patients
with fewer comorbidities and more injuries and respiratory system diseases were
evaluated, and almost all patients had private health insurance. When restricted
to < 65 years, privately insured, and nonambulance patients in both samples, LOS
was considerably shorter and hospital admission rates lower at FSEDs, as well as
the use of some diagnostic testing. This study is limited as diagnoses codes may
not fully capture severity and patients who perceived greater need of hospital
admission may have chosen a H-ED over FSEDs.
PMID- 29380479
TI - Safety and efficacy of foscarnet for the management of ganciclovir-resistant or
refractory cytomegalovirus infections: A single-center study.
AB - BACKGROUND: Infection with cytomegalovirus (CMV) is an important cause of
morbidity and mortality following solid organ transplantation. Resistance to
ganciclovir can rarely develop via mutations in UL97 or UL54. There are limited
published studies assessing the safety and efficacy of foscarnet for the
management of ganciclovir-resistant or refractory cytomegalovirus infection and
many centers are reluctant to utilize this important therapy because of concerns
about toxicity. METHODS: Solid organ recipients transplanted between January 1,
2006 and December 31, 2014 who received at least 1 dose of foscarnet were
retrospectively reviewed to assess treatment outcomes, tolerability, and safety
of foscarnet. RESULTS: Ten of 31 (32.3%) patients who received foscarnet during
the study period died during treatment with foscarnet, whereas all 21 surviving
recipients successfully cleared infection. Of these surviving patients, 3 (14.3%)
developed significant renal dysfunction, defined as >25% decline in estimated
glomerular filtration rate during treatment; one-third had definitive renal
biopsy results consistent with foscarnet-induced toxicity. CONCLUSION: Although
mortality was high in this population, foscarnet use, with proper precautions,
was generally safe and significant renal dysfunction was lower than previously
reported in other sources, even with extended use.
PMID- 29380480
TI - Recommendations for pharmacological clinical trials in children with functional
constipation: The Rome foundation pediatric subcommittee on clinical trials.
AB - BACKGROUND: Evidence for the efficacy of commonly used drugs in the treatment of
childhood functional constipation (FC) is scarce, studies are often of low
quality and study designs are heterogeneous. Thus, recommendations for the design
of clinical trials in childhood FC are needed. PURPOSE: Members of the Rome
Foundation and a member of the Pediatric Committee of the European Medicines
Agency formed a committee to create recommendations for the design of clinical
trials in children with FC. KEY RECOMMENDATIONS: This committee recommends
conducting randomized, double-blind, placebo-controlled, parallel-group clinical
trials to assess the efficacy of new drugs for the treatment of childhood FC.
Pediatric study participants should be included based on fulfilling the Rome IV
criteria for FC. A treatment free run-in period for baseline assessment is
recommended. The trial duration should be at least 8 weeks. Treatment success is
defined as no longer meeting the Rome IV criteria for FC. Stool consistency
should be reported based on the Bristol Stool Scale. Endpoints of drug efficacy
need to be tailored to the developmental age of the patient population.
PMID- 29380482
TI - In Reply to the Letter to the Editor from Anderson et al.: An Induced Pluripotent
Stem Cell Patient Specific Model of Complement Factor H (Y402H) Polymorphism
Displays Characteristic Features of Age-Related Macular Degeneration and
Indicates a Beneficial Role for UV Light Exposure.
PMID- 29380483
TI - Effect of Different Laser Treatments on the Bond Strength of Intracanal Fiber
Posts Cemented with a Self-Adhesive Resin Cement.
AB - PURPOSE: To evaluate the influence of laser-activated irrigation by Er:YAG and
Er:YSGG (LAI) protocols and Nd:YAG laser irradiation on the bond strength of self
adhesively cemented fiber posts to root canal dentine. MATERIALS AND METHODS: The
study sample consisted of 84 human single-rooted permanent teeth instrumented
with ProTaper Next technique. After obturation, post space preparations were
created for fiber-reinforced composite posts. The prepared specimens were divided
according to the laser treatment of the post space preparations: group 1: LAI
(Er:YAG) + saline solution (pulse energy: 20 mJ, repetition rate: 15 Hz); group
2: LAI (Er:YAG) + QMiX solution (pulse energy: 20 mJ, repetition rate: 15 Hz);
group 3: LAI (Er,Cr:YSGG) + saline solution (pulse energy: 62.5 mJ, 20 Hz); group
4: LAI (Er,Cr:YSGG) + QMiX (pulse energy: 62.5 mJ, 20 Hz); Nd:YAG laser (pulse
energy: 100 mJ, 10 Hz). Fiber-reinforced posts were cemented with a self-adhesive
cement. The bond strength was evaluated by the push-out bond strength test, and
the mode of failure was determined under a stereomicroscope. Kruskal-Wallis test
was used for the intergroup comparative analysis with 5% level of significance.
RESULTS: The highest bond strength was recorded in the Er:YAG + QMiX group (mean
3.401 MPa) (p < 0.05), followed by the Er,Cr:YSGG and the Er:YAG + saline
solution (mean 1.111 MPa and 1.094 MPa, respectively), which did not differ
significantly (p = 0.232). The irradiation with the Nd:YAG laser caused similar
bond strength as the Er,Cr:YSGG + QMiX (p = 0.942). CONCLUSION: All laser
protocols enhanced the bond strength of the self-adhesive cement in root canals
compared to only saline irrigation. The bond strength of the self-adhesive cement
depended on the laser parameters and irrigant used for the LAI.
PMID- 29380484
TI - Deja Vu.
PMID- 29380485
TI - Neuromodulation with single-element transcranial focused ultrasound in human
thalamus.
AB - Transcranial focused ultrasound (tFUS) has proven capable of stimulating cortical
tissue in humans. tFUS confers high spatial resolutions with deep focal lengths
and as such, has the potential to noninvasively modulate neural targets deep to
the cortex in humans. We test the ability of single-element tFUS to noninvasively
modulate unilateral thalamus in humans. Participants (N = 40) underwent either
tFUS or sham neuromodulation targeted at the unilateral sensory thalamus that
contains the ventro-posterior lateral (VPL) nucleus of thalamus. Somatosensory
evoked potentials (SEPs) were recorded from scalp electrodes contralateral to
median nerve stimulation. Activity of the unilateral sensory thalamus was indexed
by the P14 SEP generated in the VPL nucleus and cortical somatosensory activity
by subsequent inflexions of the SEP and through time/frequency analysis.
Participants also under went tactile behavioral assessment during either the tFUS
or sham condition in a separate experiment. A detailed acoustic model using
computed tomography (CT) and magnetic resonance imaging (MRI) is also presented
to assess the effect of individual skull morphology for single-element deep brain
neuromodulation in humans. tFUS targeted at unilateral sensory thalamus inhibited
the amplitude of the P14 SEP as compared to sham. There is evidence of
translation of this effect to time windows of the EEG commensurate with SI and
SII activities. These results were accompanied by alpha and beta power
attenuation as well as time-locked gamma power inhibition. Furthermore,
participants performed significantly worse than chance on a discrimination task
during tFUS stimulation.
PMID- 29380486
TI - Brief report: Exploring the benefits of a peer-tutored physical education
programme among high school students with intellectual disability.
AB - BACKGROUND: The purpose of this study was to explore possible benefits of a peer
tutored physical education programme (PTPE) in comparison with school physical
education (SPE) in high school students with intellectual disability. METHOD:
Nineteen students with intellectual disabilities (15 boys, mean age 17.4 +/- 1.7
years) were monitored during three PTPE and three SPE classes. A factorial RM
ANOVA was used to test differences on objective measured physical activity (PA),
enjoyment and exertion during the two conditions, considering participants'
weight condition as independent factor. RESULTS: During PTPE, participants
reported higher light intensity PA, enjoyment and exertion than during SPE.
Participants with overweight showed less inactive time and higher light intensity
PA during PTPE than during SPE. CONCLUSIONS: The peer-tutored programme was
beneficial for adolescents with intellectual disability, particularly for those
in overweight condition. The higher enjoyment found during PTPE may encourage
exercise participation of students with intellectual disability.
PMID- 29380487
TI - Effect of Silane Heat Treatment by Laser on the Bond Strength of a Repair
Composite to Feldspathic Porcelain.
AB - PURPOSE: Ceramic restoration fracture may occur in the oral cavity. Intraoral
repair of fractured porcelain could be advantageous to both patient and dentist.
The aim of this study was to evaluate the effect of heat treatment of the silane
coupling agent by Er:YAG and CO2 lasers on the microshear bond strength of a
repair composite to feldspathic porcelain. MATERIALS AND METHODS: Sixty ceramic
blocks were prepared and randomly divided into six groups (n = 10): (i) HF +
silane (HS); (ii) silane + CO2 laser (SC); (iii) CO2 laser + silane (CS); (iv)
silane + Er:YAG laser (SE); (v) Er:YAG laser + silane (ES); (vi) bur + HF +
silane (BuHS). An adhesive resin was applied to the prepared ceramic surfaces and
light-cured. Two transparent plastic tubes were placed perpendicularly to each
ceramic block. The composite resin was then placed on the treated ceramic surface
and light-cured for 40 seconds. The bonded blocks were stored in distilled water
at 37 degrees C for 24 hours and subjected to 3000 thermocycles. Microshear bond
strength (MUSBS) tests were performed using a wire and loop method. Data were
analyzed using one-way ANOVA and Duncan's multiple range tests (p <= 0.05).
RESULTS: The comparison of the mean MUSBS values showed no significant
differences between the ES and HS groups (p = 0.914). On the other hand, the
specimens in these groups exhibited significantly higher bond strengths than
those in the other groups (p < 0.01). The mean MUSBS of the BuHS group was
statistically similar to that of CS and SE groups (p > 0.05). The MUSBS for the
SC group was significantly lower than that of the other groups (p < 0.01), with
the exception of the CS group (p = 0.674). CONCLUSIONS: Treatment with Er:YAG
laser prior to silane application can be as effective as HF etching. Heat
treatment of silane by CO2 or Er:YAG lasers does not improve the bond strength
between feldspathic porcelain and composite resin.
PMID- 29380488
TI - Validation and Clinical Utility of the hERG IC50:Cmax Ratio to Determine the Risk
of Drug-Induced Torsades de Pointes: A Meta-Analysis.
AB - BACKGROUND: Use of the QT interval corrected for heart rate (QTc) on the
electrocardiogram (ECG) to predict torsades de pointes (TdP) risk from culprit
drugs is neither sensitive nor specific. The ratio of the half-maximum inhibitory
concentration of the hERG channel (hERG IC50) to the peak serum concentration of
unbound drug (Cmax ) is used during drug development to screen out chemical
entities likely to cause TdP. PURPOSE: To validate the use of the hERG IC50:Cmax
ratio to predict TdP risk from a culprit drug by its correlation with TdP
incidence. DATA SOURCES: Medline (between 1966 and March 2017) was accessed for
hERG IC50 and Cmax values from the antihistamine, fluoroquinolone, and
antipsychotic classes to identify cases of drug-induced TdP. Exposure to a
culprit drug was estimated from annual revenues reported by the manufacturer.
STUDY SELECTION: Inclusion criteria for TdP cases were provision of an ECG
tracing that demonstrated QTc prolongation with TdP and normal serum values of
potassium, calcium, and magnesium. Cases reported in patients with a prior rhythm
disturbance and those involving a drug interaction were excluded. DATA EXTRACTION
AND SYNTHESIS: The Meta-Analysis of Observational Studies in Epidemiology
checklist was used for epidemiological data extraction by two authors. MAIN
OUTCOME AND MEASURE: Negligible risk drugs were defined by an hERG IC50:Cmax
ratio that correlated with less than a 5% chance of one TdP event for every 100
million exposures (relative risk [RR] 1.0). RESULTS: The hERG IC50:Cmax ratio
correlated with TdP risk (0.312; 95% confidence interval 0.205-0.476, p<0.0001),
a ratio of 80 (RR 1.0). The RR from olanzapine is on par with loratadine;
ziprasidone is comparable with ciprofloxacin. Drugs with an RR greater than 50
include astemizole, risperidone, haloperidol, and thioridazine. CONCLUSIONS: The
hERG IC50:Cmax ratio was correlated with TdP incidence for culprit drugs. This
validation provides support for the potential use of the hERG IC50:Cmax ratio for
clinical decision making in instances of drug selection where TdP risk is a
concern.
PMID- 29380490
TI - Evaluation of primary stability in modified implants: Analysis by resonance
frequency and insertion torque.
AB - BACKGROUND: Changes in the macrogeometry of dental implants are known to
influence primary stability and the osseointegration process. PURPOSE: The
purpose of the present in vitro study was to evaluate the mechanical behavior of
geometric changes in the apex region of dental implants. METHODS: Thirty-five
cylindrical dental implants (Titamax Ti; Neodent) were machined at the apical
third to reproduce the experimental groups: without apical cut (Wc), apical bi
split cut, apical tri-split cut, apical quadri-split cut (Qs). One (control
group) (Titamax Ti Ex) (n = 7) without any modifications was added. The implants
had the same final dimensions (4.1 x 11 mm2 ). All implants were inserted into
artificial bone blocks and were evaluated by insertion torque and resonance
frequency by ISQ values (Osstell). Two-tailed analysis of variance (One-way
ANOVA) and Tukey's post-test (P < .05). RESULTS: Control and Qs implants showed a
significant increase of the insertion torque (P < .001). For the resonance
frequency, Wc and (control) implants had the greatest ISQ values. However,
there's no significant difference between (control) and Qs for the ISQ values (P
< .001). CONCLUSION: Within the limitations of the present study, the proposed
geometries at the apical third of dental implants greatly influenced its
insertion torque and primary stability in vitro.
PMID- 29380491
TI - Extracorporeal photopheresis as second-line treatment therapy in life-threatening
primary graft dysfunction following lung transplantation.
AB - ECP is an established "second-line" treatment for CLAD/BOS. Recently, ECP was
used for the first time in an adolescent CF patient as a "second-line" treatment
therapy in life-threatening primary graft dysfunction following lung
transplantation who deteriorated despite extensive treatment including ECMO and
ATG. Within 10 days after initiation of ECP twice weekly, allograft function and
clinical status improved significantly and the patient was weaned from mechanical
ventilation support. ECP has been continued every 2 weeks since. Two hundred days
after lung transplantation, the patient has an acceptable allograft function
(FEV1 67%) and no signs of allograft rejection. We advocate that use of ECP and
its immunomodulatory effects should be evaluated in the early period following
lung transplantation.
PMID- 29380489
TI - Linking late cognitive outcome with glioma surgery location using resection
cavity maps.
AB - Patients with a diffuse glioma may experience cognitive decline or improvement
upon resective surgery. To examine the impact of glioma location, cognitive
alteration after glioma surgery was quantified and related to voxel-based
resection probability maps. A total of 59 consecutive patients (range 18-67 years
of age) who had resective surgery between 2006 and 2011 for a supratentorial
nonenhancing diffuse glioma (grade I-III, WHO 2007) were included in this
observational cohort study. Standardized neuropsychological examination and MRI
were obtained before and after surgery. Intraoperative stimulation mapping guided
resections towards neurological functions (language, sensorimotor function, and
visual fields). Maps of resected regions were constructed in standard space.
These resection cavity maps were compared between patients with and without new
cognitive deficits (z-score difference >1.5 SD between baseline and one year
after resection), using a voxel-wise randomization test and calculation of false
discovery rates. Brain regions significantly associated with cognitive decline
were classified in standard cortical and subcortical anatomy. Cognitive
improvement in any domain occurred in 10 (17%) patients, cognitive decline in any
domain in 25 (42%), and decline in more than one domain in 10 (17%). The most
frequently affected subdomains were attention in 10 (17%) patients and
information processing speed in 9 (15%). Resection regions associated with
decline in more than one domain were predominantly located in the right
hemisphere. For attention decline, no specific region could be identified. For
decline in information speed, several regions were found, including the frontal
pole and the corpus callosum. Cognitive decline after resective surgery of
diffuse glioma is prevalent, in particular, in patients with a tumor located in
the right hemisphere without cognitive function mapping.
PMID- 29380492
TI - A genome-wide association study for fat-related traits computed by image analysis
in Japanese Black cattle.
AB - The objective of this study was to identify genomic regions associated with fat
related traits using a Japanese Black cattle population in Hyogo. From 1836
animals, those with high or low values were selected on the basis of corrected
phenotype and then pooled into high and low groups (n = 100 each), respectively.
DNA pool-based genome-wide association study (GWAS) was performed using Illumina
BovineSNP50 BeadChip v2 with three replicate assays for each pooled sample. GWAS
detected that two single nucleotide polymorphisms (SNPs) on BTA7 (ARS-BFGL-NGS
35463 and Hapmap23838-BTA-163815) and one SNP on BTA12 (ARS-BFGL-NGS-2915)
significantly affected fat percentage (FAR). The significance of ARS-BFGL-NGS
35463 on BTA7 was confirmed by individual genotyping in all pooled samples.
Moreover, association analysis between SNP and FAR in 803 Japanese Black cattle
revealed a significant effect of SNP on FAR. Thus, further investigation of these
regions is required to identify FAR-associated genes and mutations, which can
lead to the development of DNA markers for marker-assisted selection for the
genetic improvement of beef quality.
PMID- 29380493
TI - A Water-Soluble Warped Nanographene: Synthesis and Applications for Photoinduced
Cell Death.
AB - Nanographene, a small piece of graphene, has attracted unprecedented interest
across diverse scientific disciplines particularly in organic electronics. The
biological applications of nanographenes, such as bioimaging, cancer therapies
and drug delivery, provide significant opportunities for breakthroughs in the
field. However, the intrinsic aggregation behavior and low solubility of
nanographenes, which stem from their flat structures, hamper their development
for bioapplications. Herein, we report a water-soluble warped nanographene (WNG)
that can be easily synthesized by sequential regioselective C-H borylation and
cross-coupling reactions of the saddle-shaped WNG core structure. The saddle
shaped structure and hydrophilic tetraethylene glycol chains impart high water
solubility to the WNG. The water-soluble WNG possesses a range of promising
properties including good photostability and low cytotoxicity. Moreover, the
water-soluble WNG was successfully internalized into HeLa cells and promoted
photoinduced cell death.
PMID- 29380494
TI - Effect of pH on Abeta42 Monomer and Fibril-like Oligomers-Decoding in Silico of
the Roles of pK Values of Charged Residues.
AB - Amyloid beta-peptide (Abeta) is the key to developing Alzheimer's disease.
Experiments have confirmed that different acidity influences directly not only
the structural morphology and population of Abeta oligomers, but also the
toxicity. The atomic-level association between the pH, charged residues, and
Abeta properties remains obscure. Herein, conformational changes of Abeta42
monomer, fibril-like trimer, and pentamer in the medium pH range of 4.0-7.5 are
studied. The results reveal that, as the pH changes from 7.5 to the isoelectric
pH, His6, His13, and His14 are protonated in turn, successively approach the
center of mass of folded Abeta monomer, trigger ionic interactions and changes of
neighboring turns (Asp7-Ser8, His14-Lys16) and even a distant one (Leu34-Met35),
as well as concomitant changes of secondary structure, and promote the
conformation transition from unfolded to folded. This observation discloses that
protonation can convert these charged residues from originally hydrophilic to
"hydrophobic-like". For fibril-like oligomers, the pH susceptibility essentially
stems from the pK values of charged residues in the context of the Abeta fibril,
and in turn one can predict the dynamic behavior of these residues in the
processes of dissociation or stabilization of a fibril by comparing the pK values
of residues involved in salt bridges in the normal state with those in the
current context. This idea is justified by two fibril models and appears to be
applicable to other peptides and their fibril systems.
PMID- 29380496
TI - Selective Reductive Elimination at Alkyl Palladium(IV) by Dissociative Ligand
Ionization: Catalytic C(sp3 )-H Amination to Azetidines.
AB - A palladium(II)-catalyzed gamma-C-H amination of cyclic alkyl amines to deliver
highly substituted azetidines is reported. The use of a benziodoxole tosylate
oxidant in combination with AgOAc was found to be crucial for controlling a
selective reductive elimination pathway to the azetidines. The process is
tolerant of a range of functional groups, including structural features derived
from chiral alpha-amino alcohols, and leads to the diastereoselective formation
of enantiopure azetidines.
PMID- 29380495
TI - Characterizing the distinct structural changes associated with self-reported knee
injury among individuals with incident knee osteoarthritis: Data from the
osteoarthritis initiative.
AB - We aimed to characterize the agreement between distinct structural changes on
magnetic resonance (MR) imaging and self-reported injury in the 12 months leading
to incident common or accelerated knee osteoarthritis (KOA). We conducted a
descriptive study using data from baseline and the first 4 annual visits of the
Osteoarthritis Initiative. Knees had no radiographic KOA at baseline (Kellgren
Lawrence [KL]<2). We classified two groups: (1) accelerated KOA: a knee developed
advanced-stage KOA (KL = 3 or 4) within 48 months and (2) common KOA: a knee
increased in radiographic severity (excluding those with accelerated KOA). Adults
were 1:1 matched based on sex. The index visit was when a person met the
accelerated or common KOA criteria. We limited our sample to people with MR
images and self-reported injury data at index visit and year prior. Among 226
people, we found fair agreement between self-reported injuries and distinct
structural changes (kappa = 0.24 to 0.31). Most distinct structural changes were
medial meniscal pathology. No distinct structural changes (e.g., root or radial
tears) appeared to differ between adults who reported or did not report an
injury; except, all subchondral fractures occurred in adults who developed
accelerated KOA and reported an injury. While there is fair agreement between
self-reported knee injuries and distinct structural changes, there is some
discordance. Self-reported injury may represent a different construct from
distinct structural changes that occur after joint trauma. Clin. Anat. 31:330
334, 2018. (c) 2018 Wiley Periodicals, Inc.
PMID- 29380497
TI - Preterm premature rupture of membranes is a collateral effect of improvement in
perinatal outcomes following fetoscopic coagulation of chorionic vessels for twin
twin transfusion syndrome: a retrospective observational study of 1092 cases.
AB - OBJECTIVES: Preterm premature rupture of membranes (PPROM) is a leading
complication following fetoscopic laser coagulation (FLC) for twin-twin
transfusion syndrome (TTTS). Our primary objective was to describe the impact of
improvements in surgical technique on survival and rate of PPROM over time. The
secondary objective was to assess potential risk factors for PPROM. DESIGN AND
SETTING: Single-centre retrospective observational study. POPULATION: 1092
consecutive cases of TTTS operated by FLC between 2000 and 2016, with a 6.8% rate
of loss to follow up. METHODS: The incidence of PPROM and potential risk factors
were analysed using competing risks models. MAIN OUTCOME MEASURES: PPROM,
neonatal survival and neurological damage at 28 days. RESULTS: PPROM <32 weeks
increased from 15 to 40% between 2000 and 2016 along with an overall improvement
of perinatal outcomes: dual survival rose from 42 to 66% whereas dual losses
dropped two-fold, from 19 to 9%. Gestational age at surgery at <17 weeks was a
significant risk-factor for PPROM, with an additional risk of 10% within the
first week of surgery. Although early PPROM at <20 weeks carried a 56% risk of
miscarriage, the occurrence of PPROM at >20 weeks did not affect survival,
despite an increase in preterm birth at <32 weeks. CONCLUSIONS: With significant
improvement in perinatal outcomes, possibly related to improvements in surgical
technique, postoperative complications have shifted to non-lethal obstetric
complications such as PPROM, with rather reassuring postnatal outcomes, despite
an increase in preterm birth and, potentially, morbidity. Early surgeries (<17
weeks) are at higher risk of postoperative PPROM. TWEETABLE ABSTRACT: Following
laser/TTTS, rates of PPROM increased with perinatal survival; surgeries at <17
weeks are at highest risk.
PMID- 29380498
TI - An outbreak of acute hepatitis A among young adult men: clinical features and HIV
coinfection rate from a large teaching hospital in Rome, Italy.
AB - OBJECTIVES: Italy is a low-incidence region for hepatitis A; however, during the
last 2 years an increase in the incidence of hepatitis A virus (HAV) infection
was reported in Europe. The aim of this study was to describe this recent
outbreak. METHODS: We retrospectively analysed all cases of acute hepatitis A
diagnosed at our laboratory between January 2010 and June 2017. We evaluated the
following variables at the time of diagnosis: sex, age, nationality, glutamic
oxaloacetic transaminase (GOT/AST), glutamic pyruvic transaminase (GPT/ALT),
bilirubin concentration, international normalized ratio (INR) and the presence or
absence of anti-HIV-1/2 antibodies. Hospitalization was also considered. We
analysed these parameters using the chi2 test and Mann-Whitney U-test. RESULTS: A
total of 225 cases were analysed; 82.7% were in male patients, 94.2% were in
Italians and the median age of the patients was 36.4 years. At diagnosis, the
median GOT value was 306 U/L, the median GPT was 1389 U/L, and the median total
bilirubin value was 5.88 mg/dL. Hospitalization was required for 142 patients,
with a median duration of hospital stay of 8.5 days. In 2016-2017 we registered
141 cases, with a higher prevalence of male patients, higher GPT values and a
higher prevalence of patients aged 20-39 years compared with older (2010-2015)
cases. Homosexual intercourse was reported as the HAV risk factor in 70.2% of
patients. HIV serology was available for 120 patients: 24 were HIV-positive, four
of whom represented new diagnoses. HIV-positive patients showed lower bilirubin
and GPT values and fewer hospitalizations than HIV-negative patients.
CONCLUSIONS: In 2016-2017, we saw a rise in the number of hepatitis A cases, with
a higher prevalence of adult male patients. No significant differences regarding
the prevalence of HIV coinfection emerged.
PMID- 29380499
TI - Removal of vancomycin administered during dialysis by a high-flux dialyzer.
AB - INTRODUCTION: Hemodialysis patients frequently receive vancomycin for treatment
of gram-positive bacterial infections. This drug is most conveniently
administered in outpatient dialysis units during the hemodialysis treatment.
However, there is a paucity of data on the removal of vancomycin by high-flux
polyamide dialyzers. METHODS: This is a prospective crossover study in which
seven uninfected chronic hemodialysis patients at three dialysis units received
vancomycin 1 gram intravenously over one hour immediately after the dialysis
treatment (Phase 1), and vancomycin 1.5 grams during the last hour of dialysis
treatment using a polyarylethersulfone, polyvinylpyrrolidone, polyamide high-flux
(Polyflux 24R) dialyzer (Phase 2). There was a three-week washout period between
phases. Serial serum vancomycin concentrations were used to determine the removal
of vancomycin when administered during dialysis. FINDINGS: Dialysis removed 35 +/
15% (range 18-56%) of the vancomycin dose when administered during the last hour
of dialysis. The calculated area under the curve (AUC) of vancomycin levels for 0
44.5 hours from the start of infusion were similar between the two phases
(AUCPhase 1 884 +/- 124 mg-hr/L, mean +/- SD; AUCPhase 2 856 +/- 208 mg-hr/L;
P=0.72). Serum vancomycin concentrations immediately prior to the next dialysis
treatment following vancomycin administration were also similar between the two
phases (13.1 +/- 2.7 mg/L in Phase 1 and 12.3 +/- 3.3 mg/L in Phase 2; P=0.55).
DISCUSSION: When using a polyarylethersulfone, polyvinylpyrrolidone, and
polyamide high-flux HD membrane with a 24R Polyflux dialyzer, vancomycin can be
administered during the last hour of dialysis if the dose that is prescribed for
intra-dialysis dosing is empirically increased to account for intra-dialytic drug
removal.
PMID- 29380500
TI - Validation and standardization of the Brazilian version of the Medication Regimen
Complexity Index for older adults in primary care.
AB - AIM: To validate and standardize the Brazilian version of the Medication Regimen
Complexity Index (MRCI) for older adults in primary healthcare. METHODS: A cross
sectional methodological study was carried out with elderly patients attending
primary healthcare centers in southeastern Brazil. Convergent validity was tested
by correlating MRCI scores with medication number. Divergent validity was tested
by correlating MRCI scores with age, sex, cognition, and basic and instrumental
activities of daily living. Reliability was assessed by interrater and test
retest reliability. Regarding standardization, percentiles were calculated for
the total MRCI scores. RESULTS: A total of 227 older adults were included, with a
mean age of 71.4 years (standard deviation 7.5 years) and mostly women (70.9%).
There was a correlation between MRCI scores and medication number (rho = 0.890; P
= 0.000). There was no correlation between MRCI scores and age (P = 0.192), sex
(P = 0.052), cognition (P = 0.369), and basic (P = 0.682) and instrumental (P =
0.582) activities of daily living. High interrater (intraclass correlation
coefficient = 0.98; rho = 0.991) and test-retest (intraclass correlation
coefficient = 0.996; rho = 0.985) reliability was obtained. The following
complexity was considered: low, MRCI <= 9.0 points; average 0.9 < MRCI <= 16.5
points; and high, MRCI > 16.5 points. CONCLUSIONS: MRCI showed satisfactory
psychometric qualities for measuring regimen complexity of older adults attending
the primary healthcare centers evaluated. Standardization might increase the
applicability of MRCI to the health research context. Geriatr Gerontol Int 2018;
18: 853-859.
PMID- 29380501
TI - Dynamic Interconversion between Boroxine Cages Based on Pyridine Ligation.
AB - Dynamic interconversion between large covalent organic cages was achieved simply
by heating or acid/base treatment. A mixture of the boroxine cages 12-mer and 15
mer was cleanly converted into a pyridine adduct of the 9-mer boroxine cage upon
treatment with pyridine, and the geometry of N-coordinated boron atoms changed
from trigonal to tetrahedral. The reverse reaction was achieved by heating or
acid treatment. In this process, the larger boroxine cages 12-mer and 15-mer were
found to be entropically favored owing to the release of free pyridine molecules
from 9-mer?6 Py.
PMID- 29380502
TI - Development and validation of the canine visual function instrument.
AB - OBJECTIVE: In this study, we created the Canine Visual Function Instrument (CVFI)
and tested its validity and reliability for assessing the quality of vision of
dogs. METHODS: We used Cronbach's alpha to test the strength of the consistency
of the questions in the instrument and factor analysis to assess whether the
questions achieved unidimensionality in the measurement of dogs' vision quality.
RESULTS: Our analysis shows that the CVFI is a valid and reliable tool to seek
self-reported observations about dogs' vision quality from owners. Our results
also show that the vision quality scale has high empirical validity and therefore
can be used to determine whether a dog has normal vision or moderate or serious
visual impairments. CONCLUSION: The CVFI might have important practical
applications in the field of veterinary ophthalmology in two important ways.
First, it can be used to determine whether the treatment of dogs with visual
impairment improves vision quality. Second, it can be used as a warning system to
alert owners about their dogs' quality of vision.
PMID- 29380503
TI - Oral function as an indexing parameter for mild cognitive impairment in older
adults.
AB - AIM: To investigate the association between mild cognitive impairment (MCI) and
oral status, and to develop an oral-based screening index for MCI. METHODS: A
cross-sectional study was carried out in a total of 5104 community-dwelling
adults (aged >=65 years) from the Obu Study of Health Promotion for the Elderly.
Screening for MCI included a standardized personal interview, Mini-Mental State
Examination, Geriatrics and Gerontology-Functional Assessment Tool (which
included 8 tasks used to assess logical memory, word list memory, attention and
executive function, processing speed, and visuospatial skill) and oral status.
RESULTS: In our study, 930 individuals were diagnosed with MCI, whereas the
remaining 2669 were not. Both men and women with MCI showed a significantly lower
number of functional and present teeth, poorer oral diadochokinesis, and less
palpation of masseter muscle tension (P < 0.01). Discriminant analysis of
participants with MCI, between categories of pertinence and non-pertinence,
showed significant associations for age, sex, educational background, handgrip
strength, Geriatric Depression Scale score, Mini-Mental State Examination score,
history of heart disease, albumin level and oral diadochokinesis representing
oral motor skill. Although the diagnosis rate of our screening index for MCI was
not high, it was in the acceptable range as a screening index. CONCLUSIONS: Oral
motor skill, such as lip movement, might be impaired in patients with MCI.
Detecting decreasing oral motor dexterity supports the early detection of MCI,
and might be key to improve the prognosis of dementia. Geriatr Gerontol Int 2018;
18: 790-798.
PMID- 29380504
TI - Quasi-static strength and fractography analysis of two dental implants
manufactured by direct metal laser sintering.
AB - BACKGROUND: New manufacturing methods was developed to improve the tissues
integration with the titanium alloy pieces. OBJECTIVE: The present in vitro study
was to assess the resistance and fracture mode after applied a quasi-static
compressive force on the two dental implants manufactured by direct metal laser
sintering. MATERIALS AND METHODS: Twenty dental implants manufactured by direct
metal laser sintering, using titanium alloy (Ti-6Al-4V) granules in two designs
(n = 10 per group): Conventional dental implant (group Imp1) two-piece implant
design, where the surgical implant and prosthetic abutment are two separate
components and, the one-piece implant (group Imp2), where the surgical implant
and prosthetic abutment are one integral piece. All samples were subjected to
quasi-static loading at a 30 degrees angle to the implant axis in a universal
testing machine. RESULTS: The mean fracture strengths were 1269.2 +/- 128.8 N for
the group Imp1 and, 1259.5 +/- 115.1 N for the group Imp2, without statistical
differences (P = .8722). In both groups, the fracture surface does not present
crack between the compact core and the superficial (less dense and porous) part
of the implants. CONCLUSIONS: Based on the measured resistance data for the two
implant models manufactured by direct metal laser sintering tested in the present
study, we can suggest that they have adequate capacity to withstand the
masticatory loads.
PMID- 29380505
TI - Tandem Radical Cyclization for the Construction of Difluoro-Containing Oxindoles
and Quinoline-2,4-diones.
AB - Cu-catalyzed direct difluoromethylation of activated alkenes through a
difluoromethyl radical addition/cyclization to afford difluorinated oxindoles and
quinoline-2,4-diones has been developed. This method provides convenient access
to a variety of oxindoles and quinoline-2,4-diones under mild conditions via a
proposed tandem radical cyclization process, while tolerating various functional
groups well. Moreover, a facile method to construct diverse difluorinated
quinoline-2,4-diones by visible-light photoredox catalysis under mild conditions
was presented.
PMID- 29380506
TI - Sulfur isotope's signal of nanopyrites enclosed in 2.7 Ga stromatolitic organic
remains reveal microbial sulfate reduction.
AB - Microbial sulfate reduction (MSR) is thought to have operated very early on Earth
and is often invoked to explain the occurrence of sedimentary sulfides in the
rock record. Sedimentary sulfides can also form from sulfides produced
abiotically during late diagenesis or metamorphism. As both biotic and abiotic
processes contribute to the bulk of sedimentary sulfides, tracing back the
original microbial signature from the earliest Earth record is challenging. We
present in situ sulfur isotope data from nanopyrites occurring in carbonaceous
remains lining the domical shape of stromatolite knobs of the 2.7-Gyr-old
Tumbiana Formation (Western Australia). The analyzed nanopyrites show a large
range of delta34 S values of about 840/00 (from -33.70/00 to +50.40/00). The
recognition that a large delta34 S range of 800/00 is found in individual
carbonaceous-rich layers support the interpretation that the nanopyrites were
formed in microbial mats through MSR by a Rayleigh distillation process during
early diagenesis. An active microbial cycling of sulfur during formation of the
stromatolite may have facilitated the mixing of different sulfur pools
(atmospheric and hydrothermal) and explain the weak mass independent signature
(MIF-S) recorded in the Tumbiana Formation. These results confirm that MSR
participated actively to the biogeochemical cycling of sulfur during the
Neoarchean and support previous models suggesting anaerobic oxidation of methane
using sulfate in the Tumbiana environment.
PMID- 29380507
TI - Exposure of helices alpha4 and alpha5 is required for insecticidal activity of
Cry2Ab by promoting assembly of a prepore oligomeric structure.
AB - Cry2Ab, a pore-forming toxin derived from Bacillus thuringiensis, is widely used
as a bio-insecticide to control lepidopteran pests around the world. A previous
study revealed that proteolytic activation of Cry2Ab by Plutella xylostella
midgut juice was essential for its insecticidal activity against P. xylostella,
although the exact molecular mechanism remained unknown. Here, we demonstrated
for the first time that proteolysis of Cry2Ab uncovered an active region (the
helices alpha4 and alpha5 in Domain I), which was required for the mode of action
of Cry2Ab. Either the masking or the removal of helices alpha4 and alpha5
mediated the pesticidal activity of Cry2Ab. The exposure of helices alpha4 and
alpha5 did not facilitate the binding of Cry2Ab to P. xylostella midgut receptors
but did induce Cry2Ab monomer to aggregate and assemble a 250-kDa prepore
oligomer. Site-directed mutagenesis assay was performed to generate Cry2Ab
mutants site directed on the helices alpha4 and alpha5, and bioassays suggested
that some Cry2Ab variants that could not form oligomers had significantly lowered
their toxicities against P. xylostella. Taken together, our data highlight the
importance of helices alpha4 and alpha5 in the mode of action of Cry2Ab and could
lead to more detailed studies on the insecticidal activity of Cry2Ab.
PMID- 29380508
TI - Pneumonia risks in bedridden patients receiving oral care and their screening
tool: Malnutrition and urinary tract infection-induced inflammation.
AB - AIM: Pneumonia develops in bedridden patients even when they are receiving oral
care. However, the pneumonia risk in bedridden patients remains unclear, and no
screening tool has been developed to assess this risk by using daily hospital
data. METHODS: We retrospectively examined pneumonia risk factors by analyzing
the records of 102 bedridden patients receiving oral care. RESULTS: Body mass
index, peripheral blood hemoglobin, and serum concentrations of total protein,
albumin, total cholesterol and uric acid in the pneumonia group (n = 51; mean age
73.4 years) were lower than those in the non-pneumonia group (n = 51; mean age
68.1 years). In the univariate analysis, body mass index; leukocytosis; high C
reactive protein; low levels of hemoglobin, total protein and albumin (<3.5
g/dL); and urine bacteria were associated with the development of pneumonia.
Furthermore, in the multivariate analysis, low levels of albumin and urine
bacteria were independently associated with pneumonia. We developed a bedridden
patient pneumonia risk (BPPR) score using these two risk factors to assess
pneumonia risk. We applied scores of zero (0) or one (1) according to the absence
or presence of the two risk factors and summed the scores in each patient. The
proportion of pneumonia patients increased with increasing BPPR score when the
patients were divided into three groups - low, moderate and high risk - according
to the BPPR score (0, 1 or 2, respectively). CONCLUSIONS: Malnutrition, urinary
tract infection-induced inflammation and anemia were associated with pneumonia in
bedridden patients. BPPR scoring might be useful for assessing pneumonia risk and
managing affected patients. Geriatr Gerontol Int 2018; 18: 714-722.
PMID- 29380509
TI - Zirconium-Porphyrin-Based Metal-Organic Framework Hollow Nanotubes for
Immobilization of Noble-Metal Single Atoms.
AB - Single atoms immobilized on metal-organic frameworks (MOFs) with unique
nanostructures have drawn tremendous attention in the application of catalysis
but remain a great challenge. Various single noble-metal atoms have now been
successfully anchored on the well-defined anchoring sites of the zirconium
porphyrin MOF hollow nanotubes, which are probed by aberration-corrected scanning
transmission electron microscopy and synchrotron-radiation-based X-ray absorption
fine-structure spectroscopy. Owing to the hollow structure and excellent
photoelectrochemical performance, the HNTM-Ir/Pt exhibits outstanding catalytic
activity in the visible-light photocatalytic H2 evolution via water splitting.
The single atom immobilized on MOFs with hollow structures are expected to pave
the way to expand the potential applications of MOFs.
PMID- 29380510
TI - Bone and soft tissue outcomes, risk factors, and complications of implant
supported prostheses: 5-Years RCT with different abutment types and loading
protocols.
AB - BACKGROUND: Data on risk factors and complications after long-term implant
treatment is limited. The aims were to evaluate the role of various fixation
modes and to analyze complications and risks that affect long-term use of implant
supported partial fixed dental prostheses. MATERIALS AND METHODS: Fifty partially
edentulous subjects received three Branemark TiUniteTM implants. Superstructures
were attached directly at implant level (IL) or via abutments: machined surface
(AM) and an oxidized surface (AOX, TiUniteTM). Implants were immediately loaded
(test) or unloaded for 3 months (control). Examinations occurred over a 5-year
period. RESULTS: Forty-four subjects were re-examined after 5 years. Cumulative
survival rates in test and control groups were 93.9% and 97.0%, respectively.
Marginal bone loss (MBL; Mean [SEM]) was significantly lower at superstructures
connected to AM (1.61 [0.25] mm) than at sites with no abutment IL (2.14 [0.17]
mm). Peri-implantitis occurred in 9.1% of subjects and in 4.0% of implants.
Multiple linear regression indicated that increased probing pocket depth (PPD),
periodontal disease experience, deteriorating health, and light smoking (<=10
cigarettes/day) predict greater MBL, whereas increased buccal soft tissue
thickness and higher ISQ predict lower MBL. CONCLUSIONS: The results show that
MBL was influenced by the connection type. A machined abutment, instead of
connecting the superstructure directly at the implant level, was beneficial. The
following factors influenced MBL: PPD, periodontal disease experience,
deteriorating health, light smoking, buccal soft tissue thickness, and ISQ. The
results on peri-implantitis underscore the need for long-term maintenance care.
Further, the abutment material surface properties constitute additional target
for strategies to minimize MBL.
PMID- 29380511
TI - Content analysis of consumer information about knee arthroscopy in Australia.
AB - BACKGROUND: Despite the availability of consistent guidelines recommending
against arthroscopic treatment for people with symptomatic knee osteoarthritis,
Australian data indicate continued use of this treatment modality. A paucity of
easy to understand and reliable consumer information about knee arthroscopy may
be one explanatory factor. The aim of this study was to determine whether
consumer information about knee arthroscopy available in Australia is adequate to
inform evidence-based decision-making for people with symptomatic osteoarthritis.
METHODS: We performed a content analysis of consumer information about knee
arthroscopy for symptomatic osteoarthritis. Information sources were identified
from the Australian Commission on Quality and Safety in Health Care and Internet
searches conducted 20-28 May 2015. Search terms were 'knee arthroscopy', 'knee
pain', 'osteoarthritis knee' and 'meniscal tear', and 'orthopaedic surgeon'
linked to each Australian capital city. Two independent reviewers selected
documents for inclusion and extracted data. Main outcomes were specific advice
regarding use of arthroscopic treatment for people with knee osteoarthritis,
mention of guidelines, and/or supporting evidence. RESULTS: Ninety-three
documents were analyzed (44 were a paragraph or less). Only eight made a clear
recommendation against use of arthroscopy for all/most people with knee
osteoarthritis. None included an explicit statement attributed to a guideline,
while only six provided any research evidence to support their advice. Wikipedia
provided the most valid information but it may be incomprehensible to the average
reader. CONCLUSION: Currently available consumer information about knee
arthroscopy in Australia may be inadequate to help people with symptomatic knee
osteoarthritis make informed decisions about this treatment.
PMID- 29380512
TI - An experimental and numerical study on tactile neuroimaging: A novel minimally
invasive technique for intraoperative brain imaging.
AB - BACKGROUND: The success of tumour neurosurgery is highly dependent on the ability
to accurately localize the operative target, which may shift during the
operation. Performing intraoperative brain imaging is crucial in minimally
invasive neurosurgery to detect the effect of brain shift on the tumour's
location, and to maximize the efficiency of tumour resection. METHOD: The major
objective of this research is to introduce tactile neuroimaging as a novel
minimally invasive technique for intraoperative brain imaging. To investigate the
feasibility of the proposed method, an experimental and numerical study was first
performed on silicone phantoms mimicking the brain tissue with a tumour. Then the
study was extended to a clinical model with the meningioma tumour. RESULTS: The
stress distribution on the brain surface has high potential to intraoperatively
localize the tumour. CONCLUSION: Results suggest that tactile neuroimaging can be
used to provide non-invasive and real-time intraoperative data on a tumour's
features.
PMID- 29380513
TI - A novel cell line generated using the CRISPR/Cas9 technology as universal quality
control material for KRAS G12V mutation testing.
AB - BACKGROUND: KRAS mutations are the key indicator for EGFR monoclonal antibody
targeted therapy and acquired drug resistance, and their accurate detection is
critical to the clinical decision-making of colorectal cancer. However, no proper
quality control material is available for the current detection methods,
particularly next-generation sequencing (NGS). The ideal quality control material
for NGS needs to provide both the tumor mutation gene and the matched background
genomic DNA, which is uncataloged in public databases, to accurately distinguish
germline polymorphisms and somatic mutations. METHODS: We developed a novel KRAS
G12V mutant cell line using the clustered regularly interspaced short palindromic
repeat (CRISPR)/CRISPR-associated protein 9 (Cas9) technique to make up for the
deficiencies in existing quality control material and further validated the
feasibility of the cell line as quality control material by amplification
refractory mutation system (ARMS), Sanger sequencing, digital PCR (dPCR), and
NGS. RESULTS: We verified that the edited cell line specifically had the G12V
mutation, and the validation results presented a high consistency among the four
methods of detection. The three cell lines screened contained the G12V mutation
and the mutation allele fractions of G12V-1, G12V-2, and G12V-3 were 52.01%,
82.06%, and 17.29%, respectively. CONCLUSION: The novel KRAS G12V cell line
generated using the CRISPR/Cas9 gene editing system is suitable as a quality
control material for all current detection methods and provides a new direction
in the development of quality control material.
PMID- 29380514
TI - High-Purity Inorganic Perovskite Films for Solar Cells with 9.72 % Efficiency.
AB - All-inorganic perovskite solar cells with high efficiency and improved stability
are promising for commercialization. A multistep solution-processing method was
developed to fabricate high-purity inorganic CsPbBr3 perovskite films for use in
efficient solar cells. By tuning the number of deposition cycles (n) of a CsBr
solution, the phase conversion from CsPb2 Br5 (n <=3), to CsPbBr3 (n=4), and Cs4
PbBr6 (n>=5) was optimized to achieve vertical- and monolayer-aligned grains.
Upon interfacial modification with graphene quantum dots, the all-inorganic
perovskite solar cell (without a hole-transporting layer) achieved a power
conversion efficiency (PCE) as high as 9.72 % under standard solar illumination
conditions. Under challenging conditions, such as 90 % relative humidity (RH) at
25 degrees C or 80 degrees C at zero humidity, the optimized device retained 87
% PCE over 130 days or 95 % over 40 days, compared to the initial efficiency.
PMID- 29380515
TI - Acute hemodialysis in a young man with severe symptomatic hyponatremia and kidney
injury.
AB - A 35-year-old man presented with severe hypo-osmolar hyponatremia (serum sodium
99 mmol/L), profound nonoliguric renal failure (serum creatinine 1240 MUmol/L),
and nephrotic range proteinuria. Computed tomography of the abdomen revealed
nephromegaly and no obstruction. The patient was admitted to the intensive care
unit (ICU) and conventional hemodialysis was initiated. To avoid rapid sodium
correction, we prescribed concurrent dialysate flow, a low dialysate sodium
concentration, a small surface area dialyzer, and a low blood flow rate. We
infused dextrose 5% water into the venous return line and adjusted the infusion
rate according to hourly sodium concentration. The rate of sodium correction was
7.7 mmol/day over the first 3 days of admission. A subsequent renal biopsy
revealed focal segmental glomerulosclerosis and interstitial infiltration with
extranodal NK/T-cell lymphoma nasal type. The patient died of massive lower
gastrointestinal bleeding secondary to lymphomatous involvement day 19 in the
ICU. In the setting of acute kidney injury requiring renal replacement therapy
and concomitant severe hyponatremia, it is challenging to avoid overcorrection of
serum sodium. We describe several key prescription modifications to conventional
hemodialysis, factors that affect sodium diffusion at the level of the dialyzer
membrane, and the importance of frequent laboratory monitoring.
PMID- 29380517
TI - Therapeutic efficacy of low-dose (25 mg) mirabegron therapy for patients with
mild to moderate overactive bladder symptoms due to central nervous system
diseases.
AB - OBJECTIVE: The incidence of overactive bladder (OAB) increases with age,
especially in patients with central nervous system (CNS) disorders such as
cerebrovascular accident (CVA) and Parkinson's disease (PD). Mirabegron is a
novel medication for the treatment of OAB. The present study investigated the
therapeutic effect of mirabegron on OAB patients with CNS diseases. METHODS:
Patients with CVA, PD, dementia, and OAB symptoms were consecutively enrolled in
the study group, and mirabegron 25 mg q.d. was prescribed. Clinical effects,
evaluated using the Overactive Bladder Symptom Score (OABSS), Urinary Sensation
Scale (USS), International Prostate Symptom Score (IPSS), and Patient Perception
of Bladder Condition (PPBC), as well as urodynamic parameters and adverse events
were assessed at baseline and 4 and 12 weeks after treatment. RESULTS: In all, 44
patients (mean [+/- SD] age 77.7 +/- 9.49 years) with OAB due to CVA (n = 27), PD
(n = 6), and dementia (n = 11) were included in the present prospective study.
Mirabegron resulted in significant improvements in symptom scores on the OABSS (P
= .02), USS (P = .009), total IPSS (P = .002), Storage and Voiding domains of the
IPSS (P = .001 and .017, respectively), and PPBC (P = .001). No significant
changes were noted in post-void residual, maximum flow rate, and voided volume
after treatment. Only 5 patients dropped out due to poor therapeutic efficacy and
shifted to antimuscarinics. Three patients complained of adverse effects,
including dizziness and dysuria. No patient complained of urine retention or
constipation. CONCLUSION: Mirabegron 25 mg once daily effectively decreased
urgency symptoms in elderly OAB patients with CNS lesions after the 12-week
treatment period. The adverse events were mild and only noted in a few cases.
PMID- 29380518
TI - Ocular anatomy of the black pacu (Colossoma macropomum): gross, histologic, and
diagnostic imaging.
AB - OBJECTIVE: To describe the ocular anatomy of the black pacu (Colossoma
macropomum), a freshwater teleost fish of the Amazon River basin, including an
unusual choroid laden with adipose tissue. PROCEDURES: Three adult black pacu
were anesthetized and examined clinically and with ocular ultrasonography, then
euthanized. Three fish were euthanized and their heads imaged immediately
postmortem using computed tomography. One fish was euthanized and its exenterated
eyes imaged by high-resolution magnetic resonance imaging. The exenterated eyes
of all seven fish were fixed in formalin; eyes from three fish were examined
grossly and histologically. Additionally, archived histologic sections from two
smaller black pacu specimens were examined. RESULTS: Findings were consistent
among the ocular imaging modalities used. Intrinsic to the sclera were
circumferential ossicles and scleral cartilage. The lens was spherical and
protruded through the ovoid pupil with an aphakic space inferiorly when the
accommodative mechanism was relaxed under anesthesia. Both a small falciform
process and epiretinal vasculature were present in the posterior segment. The
retina was cone-rich, and processes of the retinal pigment epithelium enveloped
the photoreceptor outer segments. Remarkably, the choroid occupied one-third of
the anteroposterior length of the globe; histology confirmed that the bulk of the
choroid was composed of adipose tissue. CONCLUSIONS: The eye of the pacu overall
is typical of teleosts but has the notable and consistent finding of a
substantive store of choroidal fat of unknown function.
PMID- 29380516
TI - Response to the BRAF/MEK inhibitors dabrafenib/trametinib in an adolescent with a
BRAF V600E mutated anaplastic ganglioglioma intolerant to vemurafenib.
AB - Efficacy of BRAF V600E targeted therapies in brain tumors harboring the mutation
has been shown in several case reports and is currently being studied in larger
clinical trials. Monotherapy with vemurafenib has been associated with
significant side effects, including rashes, papillomas, and squamous cell
carcinomas. Here we describe an adolescent female with anaplastic ganglioglioma
and significant skin reaction to vemurafenib with subsequent tumor response and
tolerance to the BRAF/MEK inhibitor combination of dabrafenib and trametinib
without recurrence of previous reaction.
PMID- 29380520
TI - Rapid-onset obesity with hypothalamic dysfunction, hypoventilation, and autonomic
dysregulation associated with neuroblastoma.
PMID- 29380519
TI - Development of Pseudomonas aeruginosa Lectin LecA Inhibitor by using Bivalent
Galactosides Supported on Polyproline Peptide Scaffolds.
AB - LecA is a galactose-binding tetrameric lectin from Pseudomonas aeruginosa
involved in infection and biofilm formation. The emergent antibiotic resistance
of P. aeruginosa has made LecA a promising pharmaceutical target to treat such
infections. To develop LecA inhibitors, we exploit the unique helical structure
of polyproline peptides to create a scaffold that controls the galactoside
positions to fit their binding sites on LecA. With a modular scaffold design,
both the galactoside ligands and the inter-ligand distance can be altered
conveniently. We prepared scaffolds with spacings of 9, 18, 27, and 36 A for
ligand conjugation and found that glycopeptides with galactosides ligands three
helical turns (27 A) apart best fit LecA. In addition, we tested different
galactose derivatives on the selected scaffold (27 A) to improve the binding
avidity to LecA. The results validate a new multivalent scaffold design and
provide useful information for LecA inhibitor development.
PMID- 29380521
TI - Treatment of a pediatric patient with MET-amplified signet ring cell
adenocarcinoma of the stomach with crizotinib.
PMID- 29380522
TI - Synthesis and Photocatalytic Application of Stable Lead-Free Cs2 AgBiBr6
Perovskite Nanocrystals.
AB - Lead halide perovskite nanocrystals (NCs) have demonstrated great potential as
appealing candidates for advanced optoelectronic applications. However, the
toxicity of lead and the intrinsic instability toward moisture hinder their mass
production and commercialization. Herein, to solve such thorny problems, novel
lead-free Cs2 AgBiBr6 double perovskite NCs fabricated via a simple hot-injection
method are reported, which exhibit impressive stability in moisture, light, and
temperature. Such materials are then applied into photocatalytic CO2 reduction,
achieving a total electron consumption of 105 umol g-1 under AM 1.5G illumination
for 6 h. This study offers a reliable avenue for Cs2 AgBiBr6 perovskite
nanocrystals preparation, which holds a great potential in the further
photochemical applications.
PMID- 29380523
TI - DCD donor hemodynamics as predictor of outcome after kidney transplantation.
AB - Insufficient hemodynamics during agonal phase-ie, the period between withdrawal
of life-sustaining treatment and circulatory arrest-in Maastricht category III
circulatory-death donors (DCD) potentially exacerbate ischemia/reperfusion
injury. We included 409 Dutch adult recipients of DCD donor kidneys transplanted
between 2006 and 2014. Peripheral oxygen saturation (SpO2-with pulse oximetry at
the fingertip) and systolic blood pressure (SBP-with arterial catheter) were
measured during agonal phase, and were dichotomized into minutes of SpO2 > 60% or
SpO2 < 60%, and minutes of SBP > 80 mmHg or SBP < 80 mmHg. Outcome measures were
and primary non-function (PNF), delayed graft function (DGF), and three-year
graft survival. Primary non-function (PNF) rate was 6.6%, delayed graft function
(DGF) rate was 67%, and graft survival at three years was 76%. Longer periods of
agonal phase (median 16 min [IQR 11-23]) contributed significantly to an
increased risk of DGF (P = .012), but not to PNF (P = .071) and graft failure (P
= .528). Multiple logistic regression analysis showed that an increase from 7 to
20 minutes in period of SBP < 80 mmHg was associated with 2.19 times the odds
(95% CI 1.08-4.46, P = .030) for DGF. In conclusion, duration of agonal phase is
associated with early transplant outcome. SBP < 80 mmHg during agonal phase shows
a better discrimination for transplant outcome than SpO2 < 60% does.
PMID- 29380524
TI - Noninvasive encapsulated follicular variant of papillary thyroid carcinoma:
Should it also be reclassified in children?
AB - BACKGROUND: Noninvasive encapsulated follicular variant of papillary thyroid
carcinoma (noniEFVPTC) has low risk of adverse outcome in adults, warranting
reclassification as noninvasive follicular thyroid neoplasm with papillary-like
nuclear features (NIFTP). In children, thyroid nodules have higher risk of
malignancy and it is unknown if encapsulated FVPTC (EFVPTC) and infiltrative
FVPTC (IFVPTC) tumors have different behavior. We analyzed the clinicopathologic
features of follicular variant of papillary thyroid carcinoma (FVPTC) subtypes in
our pediatric population to determine if noniEFVPTC has an indolent course as
reported in adults. PROCEDURE: We retrospectively studied all patients diagnosed
with FVPTC at our institution. The clinicopathologic characteristics of the
histologic subtypes were compared. RESULTS: Eighteen patients were identified,
all treated with total thyroidectomy. No significant differences in age, sex,
tumor size, focality, or prior malignancy were detected between subtypes.
Extrathyroidal extension had significantly higher incidence in IFVPTC (5/8)
compared with EFVPTC (1/10, P = 0.03), translating in significantly more T3
tumors within IFVPTC subtype (5/8), whereas most EFVPTC cases had T1 staging
(6/10, T1 vs. T3, P = 0.05). EFVPTC had significantly lower rate of lymph node
involvement (N1 in 2/8) compared with IFVPTC (N1 in 8/8, P = 0.003). Only one
patient diagnosed with IFVPTC developed extranodal recurrence. When noniEFVPTC
and iEFVPTC were separately compared, the noninvasive form showed no propensity
for invasive growth (T3 staging: 0/4 vs. 2/6), lymph node metastasis (N1: 0/3 vs.
2/5) or extranodal recurrence. CONCLUSION: In children, noniEFVPTC/NIFTP has
indolent behavior, warranting consideration of less aggressive management,
similar to adults.
PMID- 29380525
TI - New practical methods to obtain crucial data in performing diagnostic meta
analysis of the published literature.
AB - AIM: Found some new methods that can be used to obtain values such as true
positives (TP), false negatives (FN), false positives (FP), and true negatives
(TN) which did not provide in diagnostic meta-analysis indirectly. METHODS: Using
mathematical deduction and programming calculus. RESULTS: We have succeeded in
increasing the number of articles that can be used from two(228 patients) to
five(469 patients) by using our new method. CONCLUSION: Using these methods, it
can greatly increase the number of the inclusion articles, as well as the number
of inclusion patients, which will contribute to improve the persuasiveness and
comprehensiveness of diagnostic meta-analysis.
PMID- 29380526
TI - Early outcomes and patterns of failure following proton therapy for nonmetastatic
intracranial nongerminomatous germ cell tumors.
AB - BACKGROUND: Although dosimetric comparisons demonstrate the advantage of proton
therapy (PT) over conventional radiotherapy for nongerminomatous germ cell tumors
(NGGCT), clinical outcome data for this rare tumor are lacking. We sought to
evaluate outcomes for children with NGGCT treated with PT. METHODS: Between 2007
and 2016, 14 children (median age 11, range, 5-19 years) with nonmetastatic NGGCT
were treated with PT after induction chemotherapy. Most (8/14) were mixed germ
cell. Five of 14 patients had complete resection of their primary tumor before
radiation. Off study, eight patients received 36 Gy (RBE [relative biological
effectiveness]) craniospinal irradiation (CSI). On study, two patients received
30.6 Gy (RBE) whole-ventricle irradiation and four received focal radiation
alone. All patients received a total dose of 54 Gy (RBE) to the tumor/tumor bed.
RESULTS: At a median follow-up of 2.8 years, all patients were alive with no
local recurrences. Three-year progression-free survival was 86%. Both metastatic
recurrences occurred in patients treated with focal radiation alone; one with an
immature teratoma developed an isolated spinal recurrence 5 months after
treatment. Another with a mixed germ cell tumor developed a multifocal
ventricular and shunt tract recurrence 7 months after treatment. Serious toxicity
was minimal, including cataracts and hormone deficiency, and limited to children
who received CSI. CONCLUSION: Early outcomes in children treated for NGGCT
suggest the high conformality of PT does not compromise disease control and
yields low toxicity. This pattern of failure data adds to growing evidence
suggesting chemotherapy followed by focal radiotherapy alone is inadequate in
controlling localized NGGCT.
PMID- 29380527
TI - The Sphingosine 1-Phosphate Analogue FTY720 Alleviates Seizure-induced
Overexpression of P-Glycoprotein in Rat Hippocampus.
AB - Overexpression of P-glycoprotein (P-gp) in the brain is an important factor
leading to drug-resistant epilepsy. Clinical use of P-gp inhibitors is limited by
their systemic toxicity. In this study, we tested the hypothesis that FTY720, a
sphingosine 1-phosphate (S1P) analogue used for treating multiple sclerosis,
modulates the up-regulation of P-gp and improves brain delivery of phenytoin
(PHT) through S1P receptor 1 in the hippocampus of a pilocarpine-induced rat
model of status epilepticus (SE). We administered vehicle, FTY720 or FTY720+ W146
(an S1P receptor 1 antagonist) to SE rats. Forty-eight hours after SE, we
dissected the hippocampus and measured P-gp expression, NF-kappaB activity and
levels of inflammatory mediators (TNF-alpha and COX-2) by Western blotting and
enzyme-linked immunosorbent assay. We also measured hippocampal and plasma
concentrations of PHT 30, 60, 90, 120 and 180 min. after an intraperitoneal
injection of PHT (50 mg/kg) 48 hr after SE, using microdialysis and high
performance liquid chromatography. FTY720 alleviated the overexpression of
hippocampal P-gp in SE rats and reduced NF-kappaB activity and TNF-alpha and COX
2 expression, and W146 blocked the effects of FTY720. Furthermore, SE rats that
received FTY720 showed significantly greater hippocampal extracellular PHT
concentrations than those that received vehicle, and W146 abolished this effect.
Our results suggest that FTY720 alleviates seizure-induced overexpression of P-gp
by inhibiting S1P receptor 1-mediated inflammation in rat hippocampus and
improves PHT delivery to brain. FTY720 shows potential as an adjuvant therapy for
drug-resistant epilepsy.
PMID- 29380528
TI - Efficacy of management strategies for aromatase inhibitor-induced arthralgia in
breast cancer patients: a systematic review.
AB - Aromatase inhibitors are the gold standard in the treatment of hormone receptor
positive breast cancer, but lead to an arthralgia syndrome which is implicated in
13-22% of noncompliance. This is the first systematic review of the efficacy of
existing management strategies for this side effect. Eligible studies were
retrieved from computer searches of Medline, Cochrane and Embase and the
significant intervention groups were identified. The risk of bias of selected
studies was evaluated and the relevant data items were extracted, summarized and
analyzed. A total of 21 studies were discussed in this review representing 12
different interventions. While several trials had positive findings, the major
methodological limitations of the studies meant that no definitive evidence could
be found supporting any of the interventions. Future trials are needed which
address the methodological problems identified in this review. Exercise holds
promise for future research based on its proven benefit in breast cancer.
PMID- 29380530
TI - "How I approach..."-A new series in Pediatric Blood & Cancer.
PMID- 29380529
TI - Physical frailty after liver transplantation.
AB - Frailty is prevalent in liver transplant candidates, but little is known of what
happens to frailty after liver transplantation. We analyzed data for 214 adult
liver transplant recipients who had >=1 frailty assessment using the Liver
Frailty Index (LFI) at 3- (n = 178), 6- (n = 139), or 12- (n = 107) months
posttransplant (higher values=more frail). "Frail" and "robust" were defined as
LFI >=4.5 and <3.2. Median pre-liver transplant LFI was 3.7, and was worse at 3
months (3.9; P = .02), similar at 6 months (3.7; P = .07), and improved at 12
months (3.4; P < .001). The percentage who were robust pre- and 3-, 6-, and 12
months posttransplant were 25%, 14%, 28%, and 37%; the percentage frail were 21%,
21%, 10%, and 7%. In univariable analysis, each 0.1 pretransplant LFI point more
frail was associated with a decreased odds of being robust at 3- (odds ratio [OR]
0.75), 6- (OR 0.77), and 12-months (OR 0.90) posttransplant (P <= .001), which
did not change substantially with multivariable adjustment. In conclusion,
frailty worsens 3 months posttransplant and improves modestly by 12 months, but
fewer than 2 of 5 patients achieve robustness. Pretransplant LFI was a potent
predictor of posttransplant robustness. Aggressive interventions aimed at
preventing frailty pretransplant are urgently needed to maximize physical health
after liver transplantation.
PMID- 29380531
TI - Does cochlear implant brand influence patient satisfaction? A survey of 102
cochlear implant users.
PMID- 29380532
TI - Energy Transfer in Dye-Coupled Lanthanide-Doped Nanoparticles: From Design to
Application.
AB - Surface modification with organic dye molecules is a useful strategy to
manipulate the optical properties of lanthanide-doped nanoparticles (LnNPs). It
enables energy transfer between dyes and LnNPs, which provides unprecedented
possibilities to gain new optical phenomena from the dye-LnNPs composite systems.
This has led to a wide range of emerging applications, such as biosensing, drug
delivery, gene targeting, information storage, and photon energy conversion.
Herein, the mechanism of energy transfer and the structural-dependent energy
transfer properties in dye-coupled LnNPs are reviewed. The design strategies for
achieving effective dye-LnNP functionalization are presented. Recent advances in
these composite nanomaterials in biomedicine and energy conversion applications
are highlighted.
PMID- 29380533
TI - Analysis of anti-melanoma differentiation-associated gene 5 antibody in Hong Kong
Chinese patients with idiopathic inflammatory myopathies: diagnostic utility and
clinical correlations.
AB - AIM: To compare the prevalence of the anti-melanoma differentiation-associated
gene 5 antibody (anti-MDA5 Ab) in Hong Kong Chinese patients with dermatomyositis
(DM) and polymyositis (PM); in addition, to examine the association of anti-MDA5
Ab and the clinical characteristics of these patients. METHODS: Twenty
consecutive existing patients with DM being followed up at the Rheumatology
Clinic of Kwong Wah Hospital, Hong Kong were recruited. Twenty patients with PM
were recruited from the same clinic as the controls. A commercial line blot
immunoassay was used to detect the anti-MDA5 Ab in all the participants. The
frequencies of anti-MDA-5 Ab in the two groups were compared. The clinical
characteristics of the patients with and without the antibody were analyzed.
RESULTS: Anti-MDA5 Ab was found in 30% of patients with DM but not in patients
with PM. All patients with the antibody exhibited the clinically amyopathic DM
(CADM) phenotype. These patients were predominantly male, younger and with
shorter disease duration. Anti-MDA5 Ab was significantly associated with rapidly
progressive interstitial lung disease (RP-ILD) and digital ulcers. No
statistically significant association was found between other disease or
treatment variables and the antibody. CONCLUSION: Anti-MDA5 Ab is found
exclusively in DM patients of the CADM subtype and is associated with RP-ILD and
digital ulcers, suggesting that examination of this antibody is clinically useful
in Hong Kong Chinese patients with idiopathic inflammatory myopathies. However,
further studies are required to assess its prognostic significance, and to
explore the difference of its presentations in various populations.
PMID- 29380534
TI - Serum-based six-miRNA signature as a potential marker for EC diagnosis:
Comparison with TCGA miRNAseq dataset and identification of miRNA-mRNA target
pairs by integrated analysis of TCGA miRNAseq and RNAseq datasets.
AB - AIM: To evaluate the diagnostic potential of a six microRNAs (miRNAs) panel
consisting of miR-21, miR-144, miR-107, miR-342, miR-93 and miR-152 for
esophageal cancer (EC) detection. METHODS: The expression of miRNAs was analyzed
in EC sera samples using quantitative real-time PCR. Risk score analysis was
performed and linear regression models were then fitted to generate the six-miRNA
panel. In addition, we made an effort to identify significantly dysregulated
miRNAs and mRNAs in EC using the Cancer Genome Atlas (TCGA) miRNAseq and RNAseq
datasets, respectively. Further, we identified significantly correlated miRNA
mRNA target pairs by integrating TCGA EC miRNAseq dataset with RNAseq dataset.
RESULTS: The panel of circulating miRNAs showed enhanced sensitivity (87.5%) and
specificity (90.48%) in terms of discriminating EC patients from normal subjects
(area under the curve [AUC] = 0.968). Pathway enrichment analysis for potential
targets of six miRNAs revealed 48 significant (P < 0.05) pathways, viz. pathways
in cancer, mRNA surveillance, MAPK, Wnt, mTOR signaling, and so on. The
expression data for mRNAs and miRNAs, downloaded from TCGA database, lead to
identification of 2309 differentially expressed genes and 189 miRNAs. Gene
ontology and pathway enrichment analysis showed that cell-cycle processes were
most significantly enriched for differentially expressed mRNA. Integrated
analysis of TCGA miRNAseq and RNAseq datasets resulted in identification of 53
063 significantly and negatively correlated miRNA-mRNA pairs. CONCLUSION: In
summary, a novel and highly sensitive signature of serum miRNAs was identified
for EC detection. Moreover, this is the first report identifying miRNA-mRNA
target pairs from EC TCGA dataset, thus providing a comprehensive resource for
understanding the interactions existing between miRNA and their target mRNAs in
EC.
PMID- 29380535
TI - Parent perspectives on information about late effects of childhood cancer
treatment and their role in initial treatment decision making.
AB - BACKGROUND: Though most childhood cancer survivors experience late effects of
treatment, we know little about parent preferences for late effects information
during therapy, or how parents weigh late effects when making treatment
decisions. Our objective was to explore how parents of children with cancer
consider late effects in initial treatment decision making and during active
cancer treatment. METHODS: Semistructured interviews were conducted with 12
parents of children with cancer who were actively receiving treatment at Dana
Farber/Boston Children's Cancer and Blood Disorders Center. Interviews were audio
recorded, transcribed verbatim, and qualitatively analyzed using thematic
analysis. RESULTS: Ten of 12 parents reported that they had to decide between two
or more treatment options for their child's cancer. Of those, 50% (5/10)
considered late effects to be an important factor in their decision making. Most
parents wanted early and detailed information about their child's risk of late
effects to make treatment decisions and to feel prepared for the future. However,
a few parents felt too overwhelmed to focus on late effects at diagnosis. While
many recalled extensive late effects information in informed consent discussions,
some parents felt these issues were minimally addressed. CONCLUSION: Parents
desire detailed information about late effects to make informed treatment
decisions and prepare for the future. Despite the role of late effects in
treatment decision making, some parents feel that late effects are either
inadequately addressed or too overwhelming to process at diagnosis. Parents may
benefit from early assessment of their information needs and a return to these
issues over time.
PMID- 29380536
TI - Cellulose synthase 'class specific regions' are intrinsically disordered and
functionally undifferentiated.
AB - Cellulose synthases (CESAs) are glycosyltransferases that catalyze formation of
cellulose microfibrils in plant cell walls. Seed plant CESA isoforms cluster in
six phylogenetic clades, whose non-interchangeable members play distinct roles
within cellulose synthesis complexes (CSCs). A 'class specific region' (CSR),
with higher sequence similarity within versus between functional CESA classes,
has been suggested to contribute to specific activities or interactions of
different isoforms. We investigated CESA isoform specificity in the moss,
Physcomitrella patens (Hedw.) B. S. G. to gain evolutionary insights into CESA
structure/function relationships. Like seed plants, P. patens has oligomeric
rosette-type CSCs, but the PpCESAs diverged independently and form a separate
CESA clade. We showed that P. patens has two functionally distinct CESAs classes,
based on the ability to complement the gametophore-negative phenotype of a
ppcesa5 knockout line. Thus, non-interchangeable CESA classes evolved separately
in mosses and seed plants. However, testing of chimeric moss CESA genes for
complementation demonstrated that functional class-specificity is not determined
by the CSR. Sequence analysis and computational modeling showed that the CSR is
intrinsically disordered and contains predicted molecular recognition features,
consistent with a possible role in CESA oligomerization and explaining the
evolution of class-specific sequences without selection for class-specific
function.
PMID- 29380537
TI - Identification of a small-molecule ligand of beta-arrestin1 as an inhibitor of
stromal fibroblast cell migration accelerated by cancer cells.
AB - Stromal fibroblasts, which occupy a major portion of the tumor microenvironment,
play an important role in cancer metastasis. Thus, targeting of these fibroblasts
activated by cancer cells (carcinoma-associated fibroblasts; CAFs) might aid in
the improved treatment of cancer metastasis. NIH3T3 fibroblasts cocultured with
MCF7 cells displayed enhanced migration compared to NIH3T3 fibroblasts cultured
alone. We used this system to identify the small-molecule inhibitors responsible
for their enhanced migration, a characteristic of CAFs. We selected beta
arrestin1, which showed high expression in cocultured cells, as a molecular
target for such inhibitors. Cofilin, a protein downstream of beta-arrestin1, is
activated/dephosphorylated in this condition. The small-molecule ligands of beta
arrestin1 obtained by chemical array were then examined using a wound healing
coculture assay. RKN5755 was identified as a selective inhibitor of activated
fibroblasts. RKN5755 inhibited the enhanced migration of fibroblasts cocultured
with cancer cells by binding to beta-arrestin1 and interfering with beta
arrestin1-mediated cofilin signaling pathways. Therefore, these results
demonstrate the role of beta-arrestin1 in the activation of fibroblasts and
inhibiting this protein by small molecule inhibitor might be a potential
therapeutic target for the stromal fibroblast activation (cancer-stroma
interaction).
PMID- 29380538
TI - Determining the prevalence of vestibular screening failures in pediatric cancer
patients whose therapies include radiation to the head/neck and platin-based
therapies: A pilot study.
AB - BACKGROUND: Sensorineural hearing loss due to ototoxic cancer therapy is well
established; effects on the vestibular system are unknown. We examined the
feasibility of implementing vestibular screens for pediatric cancer survivors
exposed to ototoxic agents. The prevalence of screening failures is reported.
METHODS: Cancer survivors who were 6-17 years, at least 1-month posttreatment,
and received ototoxic therapy (radiation to the head/neck, cisplatin,
carboplatin) were eligible. Screening measures included (1) Pediatric Vestibular
Symptom Questionnaire, (2) Modified Clinical Test of Sensory Interaction on
Balance, and (3) Dynamic Visual Acuity. RESULTS: Vestibular screening failures
were observed in 30 participants (60%). Patients with a brain tumor diagnosis
were at increased risk for failures compared to nonbrain tumor patients (74.2%
vs. 36.8%, P = 0.009). Patients who underwent brain surgery were at increased
risk for failures compared to patients without brain surgery (71% vs. 42%, P =
0.043). Patients with a longer duration between end of treatment and vestibular
screening had a reduced risk of failures, with an almost 20% decrease for each
year between the time points (odds ratio = 0.812; 95% confidence interval: 0.683
0.964, P = 0.018). Receiving carboplatin correlated with a decreased risk of
failure (P = 0.016), due to a negative correlation with other clinical risk
factors (diagnosis of a brain tumor, major brain surgery) that are associated
with vestibular screening failure. CONCLUSION: Vestibular screening failures are
highly prevalent in childhood cancer survivors who received ototoxic therapy.
Broad screening of this population and further characterization of these patients
are warranted.
PMID- 29380539
TI - Cross talk between beta subunits, intracellular Ca2+ signaling, and SNAREs in the
modulation of CaV 2.1 channel steady-state inactivation.
AB - Modulation of CaV 2.1 channel activity plays a key role in interneuronal
communication and synaptic plasticity. SNAREs interact with a specific synprint
site at the second intracellular loop (LII-III) of the CaV 2.1 pore-forming
alpha1A subunit to optimize neurotransmitter release from presynaptic terminals
by allowing secretory vesicles docking near the Ca2+ entry pathway, and by
modulating the voltage dependence of channel steady-state inactivation. Ca2+
influx through CaV 2.1 also promotes channel inactivation. This process seems to
involve Ca2+ -calmodulin interaction with two adjacent sites in the alpha1A
carboxyl tail (C-tail) (the IQ-like motif and the Calmodulin-Binding Domain (CBD)
site), and contributes to long-term potentiation and spatial learning and memory.
Besides, binding of regulatory beta subunits to the alpha interaction domain
(AID) at the first intracellular loop (LI-II) of alpha1A determines the degree of
channel inactivation by both voltage and Ca2+ . Here, we explore the cross talk
between beta subunits, Ca2+ , and syntaxin-1A-modulated CaV 2.1 inactivation,
highlighting the alpha1A domains involved in such process. beta3 -containing CaV
2.1 channels show syntaxin-1A-modulated but no Ca2+ -dependent steady-state
inactivation. Conversely, beta2a -containing CaV 2.1 channels show Ca2+
dependent but not syntaxin-1A-modulated steady-state inactivation. A LI-II
deletion confers Ca2+ -dependent inactivation and prevents modulation by syntaxin
1A in beta3 -containing CaV 2.1 channels. Mutation of the IQ-like motif, unlike
CBD deletion, abolishes Ca2+ -dependent inactivation and confers modulation by
syntaxin-1A in beta2a -containing CaV 2.1 channels. Altogether, these results
suggest that LI-II structural modifications determine the regulation of CaV 2.1
steady-state inactivation either by Ca2+ or by SNAREs but not by both.
PMID- 29380540
TI - X-Shaped alpha-FeOOH with Enhanced Charge Separation for Visible-Light-Driven
Photocatalytic Overall Water Splitting.
AB - Photocatalytic overall water splitting (POWS) is a promising route for converting
solar energy into green and sustainable energy. Herein, we report a facile
hydrothermal approach for the fabrication of x-shaped alpha-FeOOH photocatalysts
containing high-index facets for POWS. The x-shaped alpha-FeOOH photocatalysts
exhibited enhanced visible-light-driven POWS activities in comparison with that
of FeOOH without x-structures, with a maximum H2 and O2 evolution rate of 9.2 and
4.7 MUmol h-1 g-1 , respectively. The morphology and particle size of the alpha
FeOOH could be controlled by adjusting the NH4 F concentration in the precursors.
The photodeposition of Pt and RuO2 on the x-shaped alpha-FeOOH revealed the
specially separated reduction and oxidation centers on the surface of alpha
FeOOH, with the oxidation-active sites selectively located on the edges of the
alpha-FeOOH x-structures. Electrochemical experiments further affirmed the
enhanced charge separation in the x-shaped alpha-FeOOH. The smaller particle size
and unique x-shape of the alpha-FeOOH photocatalyst were shown to enhance the
POWS performance owing to the large specific surface area, high proportion of
exposed high-index facets, high electron-transfer efficiency and effective
separation of the photogenerated electron-hole pairs. The current study revealed
that the x-shaped alpha-FeOOH products could serve as cost-effective and stable
photocatalysts for POWS.
PMID- 29380541
TI - Molecular Design of Phenanthrenequinone Derivatives as Organic Cathode Materials.
AB - Conjugated carbonyl compounds have become the most promising type of organic
electrode materials for rechargeable Li-ion batteries because only they can
achieve simultaneously high energy density, high cycling stability, and high
power density. In this work, we have performed first-principles density
functional theory (DFT) calculations to explore the fundamental rules of how the
electronic structure and redox properties of a typical conjugated carbonyl
compound, phenanthrenequinone (PQ), are modified by adjusting the heteroaromatic
building blocks. Such a molecular design strategy allows for the improvement in
discharge potential while the specific capacity remains nearly unchanged. The
correlation between the electronic structures and redox properties for the
designed PQ derivatives is systematically discussed. It is demonstrated that the
discharge potential of the PQ derivatives depends strongly on the frontier
orbital levels, the electric potential, and the Li-bonding configurations. The
electrostatic potential (ESP) maps show visible displays of molecular electric
structures and can be applied to understand how the redox properties of the PQ
derivatives are modified by the heteroaromatic building blocks.
PMID- 29380542
TI - Effectiveness and acceptability of continuous glucose monitoring for type 2
diabetes management: A narrative review.
AB - The present narrative review discusses the role of continuous glucose monitoring
(CGM) in glycemic and weight control, and lifestyle behavior adherence in adults
with type 2 diabetes. A literature search from January 2001 to November 2017 was
carried out (MEDLINE, CINAHL, Web of Science and Scopus). Eligible studies were
trials evaluating the use of CGM with the aim of achieving glucose control or
lifestyle-related treatment adherence over a period of >=8 weeks in adults with
type 2 diabetes compared with usual care or another comparison intervention, or
observational trials reporting CGM user experience. A total of 5,542 participants
were recruited into 11 studies (eight randomized controlled trials [n = 5,346]
and three observational studies [n = 196]). The sample size ranged 6-4,678
participants, the mean age was 51.7-60.0 years and diabetes duration was 2.1-19.2
years, with high heterogeneity between studies. Overall, the available evidence
showed, compared with traditional self-monitoring of blood glucose levels, CGM
promoted greater reductions in glycated hemoglobin, bodyweight and caloric
intake; higher adherence rating to a personal eating plan; and increases in
physical activity. High compliance to CGM wear-time and device calibration was
reported (>90%). The addition of lifestyle and/or behavioral counseling to CGM
appeared to further potentiate these improvements. Preliminary evidence suggests
that CGM use promotes glycemic and weight control, and lifestyle behavior
adherence in adults with type 2 diabetes. These benefits might be further
enhanced with integration of diet, exercise, and glucose excursion education and
counseling. However, specific attributes of effective interventions and the
application of CGM information for promoting improved outcomes and healthier
choices remain unclear.
PMID- 29380543
TI - Mechanochemical Decomposition of Crystalline Cellulose in the Presence of
Protonated Layered Niobium Molybdate Solid Acid Catalyst.
AB - Direct depolymerization of crystalline cellulose into water-soluble sugars by
solvent-free ball milling was examined in the presence of a strongly acidic
layered metal oxide, HNbMoO6 , resulting in full conversion with 72 % yield of
water-soluble sugars. Measurements by 13 C cross-polarization magic angle
spinning NMR spectroscopy and X-ray diffraction revealed that amorphization of
cellulose occurred rapidly within 10 min. Scanning electron microscopy equipped
with an energy dispersive X-ray indicated that the substrate and the catalyst
were well mixed during milling. The time course of the product distribution
showed that most of the resultant water-soluble sugars were produced not by
successive degradation of oligosaccharides but by direct depolymerization of
cellulose chains. The products included glucose, mannose, and cello-oligomers, as
well as anhydrosugars. Addition of small amounts of polar solvents increased the
sugar yield, whereas further addition of water decreased the selectivity to
anhydrosugars. Calculations of the mechanical energy required for the ball
milling process showed that 0.02 % was utilized for the chemical transformation
under the conditions examined in this study.
PMID- 29380545
TI - Diffusion Kurtosis Imaging Shows Similar Cerebral Axonal Damage in Patients with
HIV Infection and Multiple Sclerosis.
AB - BACKGROUND AND PURPOSE: In this pilot study, we sought to investigate the
pathological changes in the white matter (WM) of medically complex, combination
antiretroviral therapy (cART)-treated patients with human immunodeficiency virus
(HIV), comparing them to patients with long-standing, secondary progressive
multiple sclerosis (SPMS). METHODS: Using diffusion kurtosis imaging (DKI)
derived WM tract integrity (WMTI) metrics, 15 HIV and 15 age- and sex-matched
SPMS patients with similar disease duration underwent magnetic resonance imaging
analysis. Maps of WMTI metrics were created. Tract-based spatial statistics
analysis of the whole brain and regions of interest analysis of the corpus
callosum (CC) and the anterior thalamic radiations (ATRs) were performed and the
derived WMTI metrics were compared between the groups of patients. RESULTS:
Axonal water fraction, an index of chronic axonal loss, showed similarities
between HIV and the chronic MS patients in all regions; in contrast, tortuosity,
a measure more sensitive to myelin loss, was regionally variable. In addition, in
HIV patients, WMTI metrics of the CC and left ATR were associated with cognitive
test scores, suggesting clinical relevance for these measures of WM damage.
CONCLUSIONS: We conclude that DKI-derived WMTI metrics may be a valuable tool in
assessing the WM changes of medically complex HIV-infected individuals. While not
powered to examine potential etiologies of WM changes in this pilot sample,
regional variations in WMTI metrics were seen. When contrasted with changes
consequent to chronic MS of similar duration, HIV and its comorbidities appear to
result in similar degrees of axonal damage, but regionally variable amounts of
myelin loss and extraxonal abnormality.
PMID- 29380546
TI - Icosahedral Pt-Ni Nanocrystalline Electrocatalyst: Growth Mechanism and Oxygen
Reduction Activity.
AB - Engineering the structure of Pt alloy offers an effective way to the design of
high performance electrocatalysts. Herein, we synthesize a sandwich-structured,
icosahedral Pt2.1 Ni catalyst through a hot injection method. Its growth involves
three steps: 1) burst nucleation of Pt atoms to form a Pt-enriched core, 2)
heterogeneous nucleation of Ni atoms onto the Pt core to form a Ni-enriched
interlayer, and 3) kinetic controlled growth of a Pt-enriched shell. The Pt
enriched core protects the nanostructure from collapse and mitigates the strain
change caused by lattice mismatch, and thus enhances the stability of the
structure. The Ni-enriched interlayer induces the electronic modification of the
outermost Pt shell, and in turn tunes the activity. The Pt-enriched shell
provides more active sites through the exposure of (1 1 1) facets and retards the
dissolution of Ni atoms. As a result, this sandwich-structure enables impressive
electrocatalytic activity (0.91 mA cm-2 and 0.32 AmgPt-1 @ 0.9 V) and duability.
PMID- 29380544
TI - Folate action in nervous system development and disease.
AB - The vitamin folic acid has been recognized as a crucial environmental factor for
nervous system development. From the early fetal stages of the formation of the
presumptive spinal cord and brain to the maturation and maintenance of the
nervous system during infancy and childhood, folate levels and its
supplementation have been considered influential in the clinical outcome of
infants and children affected by neurological diseases. Despite the vast
epidemiological information recorded on folate function and neural tube defects,
neural development and neurodegenerative diseases, the mechanisms of folate
action in the developing neural tissue have remained elusive. Here we compiled
studies that argue for a unique role for folate in nervous system development and
function and its consequences to neural disease and repair. (c) 2018 Wiley
Periodicals, Inc. Develop Neurobiol 78: 391-402, 2018.
PMID- 29380547
TI - Assessment of the American Joint Commission on Cancer 8th Edition Staging System
for Patients with Pancreatic Neuroendocrine Tumors: A Surveillance, Epidemiology,
and End Results analysis.
AB - Although several staging systems have been proposed for pancreatic neuroendocrine
tumors (pNETs), the optimal staging system remains unclear. Here, we aimed to
assess the application of the newly revised 8th edition American Joint Committee
on Cancer (AJCC) staging system for exocrine pancreatic carcinoma (EPC) to pNETs,
in comparison with that of other staging systems. We identified pNETs patients
from the Surveillance, Epidemiology, and End Results (SEER) database (2004-2014).
Overall survival was analyzed using Kaplan-Meier curves with the log-rank test.
The predictive accuracy of each staging system was assessed by the concordance
index (c-index). Cox proportional hazards regression was conducted to calculate
the impact of different stages. In total, 2424 patients with pNETs, including
2350 who underwent resection, were identified using SEER data. Patients with
different stages were evenly stratified based on the 8th edition AJCC staging
system for EPC. Kaplan-Meier curves were well separated in all patients and
patients with resection using the 8th edition AJCC staging system for EPC.
Moreover, the hazard ratio increased with worsening disease stage. The c-index of
the 8th edition AJCC staging system for EPC was similar to that of the other
systems. For pNETs patients, the 8th edition AJCC staging system for EPC exhibits
good prognostic discrimination among different stages in both all patients and
those with resection.
PMID- 29380548
TI - Corneal confocal microscopy detects severe small fiber neuropathy in diabetic
patients with Charcot neuroarthropathy.
AB - AIMS/INTRODUCTION: The aim of the present study was to identify the extent of
small fiber neuropathy in diabetic patients with Charcot neuroarthropathy (CN).
MATERIALS AND METHODS: A total of 20 patients with CN were compared with 20 age-
and diabetes duration-matched patients with type 2 diabetes and 20 age-matched
control participants. All patients underwent corneal confocal microscopy with
quantification of corneal nerve morphology and assessment for vibration
perception threshold, and a subset of patients with CN underwent assessment of
sudomotor function and neuropathic pain. RESULTS: In patients with CN compared
with type 2 diabetes patients and control participants, there was a significant
reduction in corneal nerve fiber density (14.94 +/- 8.23 vs 23.86 +/- 7.71, P =
0.004 vs 34.84 +/- 9.13, P < 0.001), corneal nerve branch density (18.61 +/- 16.7
vs 41.62 +/- 22.67, P = 0.032 vs 76.47 +/- 38.44, P < 0.001) and corneal nerve
fiber length (8.40 +/- 4.83 vs 14.87 +/- 4.76, P = 0.001 vs 21.24 +/- 6.48, P <
0.001), electrochemical skin conductance on the feet (20.57 +/- 13.99 vs 61.50 +/
22.26, P < 0.001 vs 76.23 +/- 12.01, P < 0.001) and hands (30.86 +/- 18.10 vs
61.13 +/- 19.14, P = 0.001 vs 68.31 +/- 11.96, P < 0.001), and a significant
increase in the vibration perception threshold in the feet (38.46 +/- 15.10 vs
14.15 +/- 10.25, P < 0.001 vs 7.75 +/- 4.01, P < 0.001). CONCLUSIONS: Patients
with diabetes and CN have severe large and particularly small fiber neuropathy.
PMID- 29380549
TI - Enantioselective N-Heterocyclic Carbene Catalysis via the Dienyl Acyl Azolium.
AB - Herein we report the enantioselective N-heterocyclic carbene catalyzed (4+2)
annulation of the dienyl acyl azolium with enolates. The reaction exploits
readily accessible acyl fluorides and TMS enol ethers to give a range of highly
enantio- and diastereo-enriched cyclohexenes (most >97:3 er and >20:1 dr). The
reaction was found to require high nucleophilicity NHC catalysts with mechanistic
studies supporting a stepwise 1,6-addition/beta-lactonization.
PMID- 29380550
TI - Distinct predictive impact of FISH abnormality in proteasome inhibitors and
immunomodulatory agents response: redefining high-risk multiple myeloma in Asian
patients.
AB - For risk-adaptive therapeutic approaches in multiple myeloma (MM) treatment, we
analyzed treatment outcome according to in situ hybridization (FISH) profiles to
investigate the prognostic and predictive values of structural variations in a
large series of Asian population. A total of 565 newly diagnosed patients with
multiple myeloma between January 2005 and June 2015 were evaluated. FISH results
showed del(17p13) in 8.8% (29/331), del(13q14) in 35.5% (184/519), t(14;16) in
2.5% (8/326), t(4;14) in 27.9% (109/390), IgH rearrangement in 47.7% (248/520),
and +1q21 in 40.8% (211/517). The presence of del(17p13), IgH rearrangement, and
t(14;16) was associated with worse overall survival. Interestingly, however, the
presence of t(4;14) conferred little prognostic impact. Treatment-specific
analysis revealed the presence of del(17p13), t(14;16), IgH rearrangement, and
trisomy 1q21 was predictive of unsatisfactory response to bortezomib. On the
other hand, patients with del(13q14) and del(9p21) were less likely to benefit
from lenalidomide. Autologous stem cell transplantation (autoSCT) was less
effective in patients with del(17p13), t(14;16), and trisomy 1q21. Predictive
values of del(17p13) and t(14;16) to bortezomib and autoSCT are seemingly
universal, but predictive marker del(13q14) and del(9p21) for lenalidomide
response appears ethnicity-specific. Thus, FISH profiles in MM treatment should
be interpreted with regards to patient's ethnicity.
PMID- 29380551
TI - Targeted deletion of RIC8A in mouse neural precursor cells interferes with the
development of the brain, eyes, and muscles.
AB - Autosomal recessive disorders such as Fukuyama congenital muscular dystrophy,
Walker-Warburg syndrome, and the muscle-eye-brain disease are characterized by
defects in the development of patient's brain, eyes, and skeletal muscles. These
syndromes are accompanied by brain malformations like type II lissencephaly in
the cerebral cortex with characteristic overmigrations of neurons through the
breaches of the pial basement membrane. The signaling pathways activated by
laminin receptors, dystroglycan and integrins, control the integrity of the
basement membrane, and their malfunctioning may underlie the pathologies found in
the rise of defects reminiscent of these syndromes. Similar defects in
corticogenesis and neuromuscular disorders were found in mice when RIC8A was
specifically removed from neural precursor cells. RIC8A regulates a subset of G
protein alpha subunits and in several model organisms, it has been reported to
participate in the control of cell division, signaling, and migration. Here, we
studied the role of RIC8A in the development of the brain, muscles, and eyes of
the neural precursor-specific conditional Ric8a knockout mice. The absence of
RIC8A severely affected the attachment and positioning of radial glial processes,
Cajal-Retzius' cells, and the arachnoid trabeculae, and these mice displayed
additional defects in the lens, skeletal muscles, and heart development. All the
discovered defects might be linked to aberrancies in cell adhesion and migration,
suggesting that RIC8A has a crucial role in the regulation of cell-extracellular
matrix interactions and that its removal leads to the phenotype characteristic to
type II lissencephaly-associated diseases. (c) 2018 Wiley Periodicals, Inc.
Develop Neurobiol 78: 374-390, 2018.
PMID- 29380552
TI - Outbreak of Salmonella Typhimurium associated with feeder rodents.
AB - In December 2012, an increase in human Salmonella Typhimurium cases was
identified in the province of Ontario, Canada launching an outbreak
investigation. The outbreak spanned 3 years (2012-2014), with 134 cases reported
from five Canadian provinces. There was a substantial burden of illness among
children: 45% of cases were children 12 years old or under, and 23% of cases were
under 5 years old. Epidemiologic, traceback and laboratory findings linked this
outbreak to feeder rodents (used to feed snakes) supplied by a network of rodent
breeders in Ontario. Cases likely acquired their illness through either direct or
indirect contact with feeder rodents. This investigation not only contributes to
the weight of evidence on the risk that feeder rodents pose, but also underscores
the importance of investigating indirect animal contact and associated risks,
especially for high-risk individuals.
PMID- 29380553
TI - Development and validation of risk models to predict the 7-year risk of type 2
diabetes: The Japan Epidemiology Collaboration on Occupational Health Study.
AB - AIMS/INTRODUCTION: We previously developed a 3-year diabetes risk score in the
working population. The objective of the present study was to develop and
validate flexible risk models that can predict the risk of diabetes for any
arbitrary time-point during 7 years. MATERIALS AND METHODS: The participants were
46,198 Japanese employees aged 30-59 years, without diabetes at baseline and with
a maximum follow-up period of 8 years. Incident diabetes was defined according to
the American Diabetes Association criteria. With routine health checkup data
(age, sex, abdominal obesity, body mass index, smoking status, hypertension
status, dyslipidemia, glycated hemoglobin and fasting plasma glucose), we
developed non-invasive and invasive risk models based on the Cox proportional
hazards regression model among a random two-thirds of the participants, and used
another one-third for validation. RESULTS: The range of the area under the
receiver operating characteristic curve increased from 0.73 (95% confidence
interval 0.72-0.74) for the non-invasive prediction model to 0.89 (95% confidence
interval 0.89-0.90) for the invasive prediction model containing dyslipidemia,
glycated hemoglobin and fasting plasma glucose. The invasive models showed
improved integrated discrimination and reclassification performance, as compared
with the non-invasive model. Calibration appeared good between the predicted and
observed risks. These models performed well in the validation cohort.
CONCLUSIONS: The present non-invasive and invasive models for the prediction of
diabetes risk up to 7 years showed fair and excellent performance, respectively.
The invasive models can be used to identify high-risk individuals, who would
benefit greatly from lifestyle modification for the prevention or delay of
diabetes.
PMID- 29380554
TI - Did parents have it right all along? Parents, risk, and living kidney donation:
Revisiting the arguments for and against parental living donation of kidneys.
AB - Historically, living kidney donation has been justified in part by our belief
that living donors face minimal risks of subsequent disease. Recent research has
brought that presumption into question, particularly for younger donors including
parents. In light of this finding, we re-examine many of the traditional
arguments both for and against the practice of parental living kidney donation.
We then propose an alternative framework in which the burden of having a child
with end-stage kidney disease can be considered as an illness experienced by the
potential donor parent. We believe this allows a more straightforward, as well as
more accurate, assessment of the risks and benefits of donation for the potential
parental donor. This assessment might then be used to best inform the decision
whether or not to proceed with kidney donation using a shared decision-making
model, while reflecting the appropriate ethical roles of both the potential donor
and the transplantation program.
PMID- 29380555
TI - The double-edged sword of endoplasmic reticulum stress in uremic sarcopenia
through myogenesis perturbation.
AB - BACKGROUND: Sarcopenia is the age-related degeneration characterized with the
decline of skeletal muscle mass, strength, and function. The imbalance of protein
synthesis and degradation which jeopardizes immune, hormone regulation, and
muscle-motor neuron connection is the main cause of sarcopenia. There is limited
knowledge regarding molecular mechanism of sarcopenia. As the endoplasmic
reticulum is the control centre of the protein syntheses and degradation, we
hypothesized that endoplasmic reticulum stress and unfolded protein response
(UPR) play an important in the development of sarcopenia. Understanding the
sarcopenia molecular mechanisms may benefit the therapeutic diagnosis and
treatment in the future. METHODS: Mouse myoblast C2C12 cells are exposed to
designated time and concentration of indoxyl sulfate (IS), a uremic toxin of
chronic kidney disease. The proliferation, differentiation, and the expression of
atrogin 1 are examined. The protein and mRNA expression of IS treated-C2C12 cells
are inspected to distinguish the role of ER stress and oxidative stress
underlying the sarcopenia. RESULTS: Indoxyl sulfate inhibits myoblast
differentiation. We demonstrate that as the number of multi-nuclei myotube
decreased, the differentiation markers including myoD, myoG, and myosin heavy
chain are also suppressed. Indoxyl sulfate inhibits myoblast proliferation and
induces the myotubular atrophy marker atrogin-1 protein expression. Indoxyl
sulfate stimulates eIF2alpha phosphorylation and XBP1 mRNA splicing in UPR.
Interestingly, the oxidative stress is related to eIF2alpha phosphorylation but
not XBP1 mRNA splicing. The eIF2alpha phosphorylation triggered by IS reduces
myoD, myoG, and myosin heavy chain protein expression, which represents the anti
myogenic modulation on the early differentiation event. The XBP1 mRNA splicing
induced by IS, however, is considered the adaptive response to restore the
myogenic differentiation. CONCLUSIONS: Our studies indicated that the ER stress
and UPR modulation are critical in the chronic kidney disease uremic toxin
accumulated sarcopenia model. We believe that UPR-related signals showed great
potential in clinical application.
PMID- 29380556
TI - Pediatric ABO-incompatible kidney transplantation: Evolving with the advancing
apheresis technology: A single-center experience.
AB - Recent literature has endorsed favorable outcomes following ABOi kidney
transplantation in pediatric population. Nevertheless, reluctance to pursue an
ABOi still remains pervasive. This could be ascribed to various legitimate
reasons, namely less extensive pediatric ABOi data, technical difficulties
encountered during PP, cost restraints, and concerns regarding higher rates of
antibody-mediated rejection, infectious complications, and post-transplant
lymphoproliferative disorder as compared to adults. However, given the similar
excellent outcomes of both ABOi and ABOc kidney transplantation, clinicians
should consider this option sooner if a compatible donor or swap is not
available. Here, we describe the outcomes of three pediatric ABOi performed at
our institute in India (from 2014 till now), wherein distinct apheresis
modalities had been employed in each desensitization protocol, and our techniques
evolved with advancing science in apheresis. This case series includes India's
first published pediatric ABO-incompatible transplant (Case 2) and the youngest
child to undergo ABO-incompatible renal transplant in SAARC nations (Case 3).
PMID- 29380557
TI - hmSOD1 gene mutation-induced disturbance in iron metabolism is mediated by
impairment of Akt signalling pathway.
AB - BACKGROUND: Recently, skeletal muscle atrophy, impairment of iron metabolism, and
insulin signalling have been reported in rats suffering from amyotrophic lateral
sclerosis (ALS). However, the interrelationship between these changes has not
been studied. We hypothesize that an impaired Akt-FOXO3a signalling pathway
triggers changes in the iron metabolism in the muscles of transgenic animals.
METHODS: In the present study, we used transgenic rats bearing the G93A hmSOD1
gene and their non-transgenic littermates. The study was performed on the muscles
taken from animals at three different stages of the disease: asymptomatic (ALS
I), the onset of the disease (ALS II), and the terminal stage of the disease (ALS
III). In order to study the molecular mechanism of changes in iron metabolism, we
used SH-SY5Y and C2C12 cell lines stably transfected with pcDNA3.1, SOD1 WT and
SOD1 G93A, or FOXO3a TM-ER. RESULTS: A significant decrease in P-Akt level and
changes in iron metabolism were observed even in the group of ALS I animals. This
was accompanied by an increase in the active form of FOXO3a, up-regulation of
atrogin-1, and catalase. However, significant muscle atrophy was observed in ALS
II animals. An increase in ferritin L and H was accompanied by a rise in PCBP1
and APP protein levels. In SH-SY5Y cells stably expressing SOD1 or SOD1 G93A, we
observed elevated levels of ferritin L and H and non-haem iron. Interestingly,
insulin treatment significantly down-regulated ferritin L and H proteins in the
cell. Conversely, cells transfected with small interfering RNA against Akt 1, 2,
3, respectively, showed a significant increase in the ferritin and FOXO3a levels.
In order to assess the role of FOXO3a in the ferritin expression, we constructed
a line of SH-SY5Y cells that expressed a fusion protein made of FOXO3a fused at
the C-terminus with the ligand-binding domain of the oestrogen receptor (TM-ER)
being activated by 4-hydroxytamoxifen. Treatment of the cells with 4
hydroxytamoxifen significantly up-regulated ferritin L and H proteins level.
CONCLUSIONS: Our data suggest that impairment of insulin signalling and iron
metabolism in the skeletal muscle precedes muscle atrophy and is mediated by
changes in Akt/FOXO3a signalling pathways.
PMID- 29380559
TI - Fixed-dose combination of sofosbuvir and ledipasvir in the treatment of hepatitis
C infection in patients on hemodialysis: Report of an initial experience.
PMID- 29380558
TI - Evaluation of the UVB-screening capacity and restorative effects exerted by
farnesol gel on UVB-caused sunburn.
AB - Farnesol, a natural 15-carbon organic compound, has various microbiological and
cellular activities. It has been found to exert apoptosis-inducing effects
against carcinoma cells as well as antiallergic and anti-inflammatory effects in
vivo. In the current study, a series of formulations composed of various
concentrations of hydroxypropyl methylcellulose (HPMC) with the addition of
hyaluronan (HA) and xanthan gum (XG) was designed to evaluate the UVB-screening
and H2 O2 -eliminating effects of farnesol in normal fibroblasts. Farnesol at
0.005, 0.0075, and 0.01% exhibited significant capacity for H2 O2 scavenging; at
0.0025%, it showed insignificant effects. Under 120-min UVB exposure, screening
with plural gel composed of 0.0025% farnesol, 0.5% HA, and 0.5% XG containing
1.5% or 2% HPMC retained normal fibroblast viability. After 60-min exposure to
UVB, screening with plural gel composed of farnesol, HA, XG, and 0.5%, 1.0%,
1.5%, or 2% HPMC decreased the ratio of the G1 phase and increased ratio of the S
phase in comparison with the accumulated cell cycle of the normal fibroblasts
without screening. The gel with 2% HPMC displayed the strongest cell cycle
reversal ability. In vivo histopathological results showed that the prepared
plural gels with 0.5% or 2% HPMC and farnesol, HA, and XG had greater
antiphotoaging and reparative effects against UVB-induced changes and damage in
the skin. In conclusion, the current in vitro and in vivo results demonstrated
that the prepared plural composed of 0.0025% farnesol, 0.5% HA, 0.5% XG, and 2%
HPMC possessed the greatest UVB-screening capacity and the strongest restorative
effects on UVB-induced sunburned skin.
PMID- 29380560
TI - Solution-Processable Balanced Ambipolar Field-Effect Transistors Based on
Carbonyl-Regulated Copolymers.
AB - It is very important to develop ambipolar field effect transistors to construct
complementary circuits. To obtain balanced hole- and electron-transport
properties, one of the key issues is to regulate the energy levels of the
frontier orbitals of the semiconductor materials by structural tailoring, so that
they match well with the electrode Fermi levels. Five conjugated copolymers were
synthesized and exhibited low LUMO energy levels and narrow bandgaps on account
of the strong electron-withdrawing effect of the carbonyl groups. Polymer thin
film transistors were prepared by using a solution method and exhibited high and
balanced hole and electron mobility of up to 0.46 cm2 V-1 s-1 , which suggested
that these copolymers are promising ambipolar semiconductor materials.
PMID- 29380561
TI - Doxycycline attenuates chronic intermittent hypoxia-induced atrial fibrosis in
rats.
AB - INTRODUCTION: Atrial structural remodeling in the form of fibrosis contributes to
the arrhythmic substrate in atrial fibrillation (AF). The aim of this study was
to investigate the effects of doxycycline on chronic intermittent hypoxia (CIH)
induced atrial fibrosis and the pathophysiological mechanisms underlying such
changes. METHODS: A total of 30 Sprague Dawley rats were randomized into three
groups: control group, CIH group, and CIH with doxycycline treatment (CIH-D)
group. CIH lasted 5 hours per day for 4 weeks. CIH-D rats were administrated
doxycycline for 4 weeks, while they received CIH. Masson's trichrome staining was
used to determine collagen deposit in the atrial myocardium. Protein and mRNA
levels of Matrix Metalloproteinase-2 (MMP-2) and -9 (MMP-9), microRNA-21 (miR-21)
and its downstream target Sprouty1 (Spry1), and extracellular signal-regulated
kinases 1/2 (ERK1/2) were measured using Western blotting or real-time qRT-PCR,
respectively. RESULTS: Compared to the control group, the CIH group showed higher
interstitial collagen fraction, increased MMP-9, miR-21, and p-ERK1/2 levels, and
decreased MMP-2 and Spry1 levels. Doxycycline treatment attenuated CIH-induced
atrial fibrosis, reduced MMP-2, MMP-9, miR-21, and p-ERK1/2, and increased Spry1.
CONCLUSIONS: CIH treatment induced significant atrial fibrosis in our rat model,
which was attenuated by doxycycline. These changes can be explained by
alterations in the MMP and miR-21/ERK signaling pathways.
PMID- 29380562
TI - The differences on efficacy of oxaliplatin in locally advanced colon cancer
between mucinous and nonmucinous adenocarcinoma.
AB - Until now, it remains unclear how to best use the histological subtype in
clinical practice. This study aimed to compare differences in the efficacy of
postoperative chemotherapy among different histological subtypes of colon
adenocarcinomas. Using the Surveillance, Epidemiology, and End Results-Medicare
database, 51,200 patients with stage II or III primary colon carcinomas who
underwent resection for curative intent between 1992 and 2008 were included. The
survival benefit was evaluated using a Cox proportional hazards model,
interaction analyses, and propensity score-matched techniques. There was no
significant difference in survival for low-risk stage II mucinous adenocarcinoma
(MA) or nonmucinous adenocarcinoma (NMA) between 5-FU and oxaliplatin-treated
groups (P = 0.387 for MA, P = 0.629 for NMA). Patients with high-risk stage II
NMA who received the oxaliplatin chemotherapy regimen had significantly improved
cancer-specific survival (CSS) compared with the 5-FU group (P = 0.004), while
those with MA saw no improvement (P = 0.690). For stage III tumors, patients with
NMA who received the oxaliplatin chemotherapy regimen had significantly improved
CSS compared with the 5-FU group (P < 0.001), while those with MA saw no
improvement (P = 0.300). There were significant interactions between chemotherapy
regimen and histological subtype. For patients with resected colon cancer who
received 5-FU-based postoperative chemotherapy, oxaliplatin chemotherapy prolongs
CSS for stage III and high-risk stage II NMA. Conversely, there was no similar
improvement with addition of oxaliplatin for patients with stage III or stage II
MA.
PMID- 29380563
TI - CD34+ Cell Transplantation Improves Right Ventricular Function in Patients with
Nonischemic Dilated Cardiomyopathy.
AB - We investigated the effects of CD34+ cell therapy on right ventricular (RV)
function in patients with nonischemic dilated cardiomyopathy (DCM). We enrolled
60 patients with DCM who were randomized to CD34+ cell therapy (Stem Cells (SC)
Group n = 30), or no cell therapy (Controls, n = 30). The SC Group received
granulocyte-colony stimulating factor, and CD34+ cells were collected by
apheresis and injected transendocardially. Patients were followed for 6 months.
At baseline, the groups did not differ in age, gender, left ventricular ejection
fraction, N-terminal probrain natriuretic peptide, or parameters of RV function.
At 6 months, we found a significant improvement in RV function in the SC Group
(tricuspid annular plane systolic excursion [TAPSE]: +0.44 +/- 0.64 cm, p = .001;
peak systolic tissue Doppler velocity of tricuspid annulus [St]: +1.5 +/- 2.1
cm/s; p = .001; percent of fractional area change [FAC]: +8.6% +/- 5%, p = .01),
but not in Controls (TAPSE: -0.07 +/- 0.32 cm, p = .40; St: -0.1 +/- 1.2 cm/s; p
= .44; FAC: -1.2% +/- 3.2%, p = .50). On repeat electroanatomical mapping, we
found an improvement in interventricular septum viability in 19 of 30 patients
from the SC Group; this correlated with the improvements in RV function (13/19 in
the improved septum group versus 3/11 in the remaining cohort, p = .029). These
results suggest that patients with DCM, changes in RV function correlate with
changes of viability of interventricular septum. CD34+ cell therapy appears to be
associated with improved right ventricular function in this patient cohort.
(Clinical Trial Registration Information: www.clinicaltrials.gov; NCT02248532).
Stem Cells Translational Medicine 2018;7:168-172.
PMID- 29380565
TI - Deep-tissue temperature mapping by multi-illumination photoacoustic tomography
aided by a diffusion optical model: a numerical study.
AB - Temperature mapping during thermotherapy can help precisely control the heating
process, both temporally and spatially, to efficiently kill the tumor cells and
prevent the healthy tissues from heating damage. Photoacoustic tomography (PAT)
has been used for noninvasive temperature mapping with high sensitivity, based on
the linear correlation between the tissue's Gruneisen parameter and temperature.
However, limited by the tissue's unknown optical properties and thus the optical
fluence at depths beyond the optical diffusion limit, the reported PAT
thermometry usually takes a ratiometric measurement at different temperatures and
thus cannot provide absolute measurements. Moreover, ratiometric measurement over
time at different temperatures has to assume that the tissue's optical properties
do not change with temperatures, which is usually not valid due to the
temperature-induced hemodynamic changes. We propose an optical-diffusion-model
enhanced PAT temperature mapping that can obtain the absolute temperature
distribution in deep tissue, without the need of multiple measurements at
different temperatures. Based on the initial acoustic pressure reconstructed from
multi-illumination photoacoustic signals, both the local optical fluence and the
optical parameters including absorption and scattering coefficients are first
estimated by the optical-diffusion model, then the temperature distribution is
obtained from the reconstructed Gruneisen parameters. We have developed a
mathematic model for the multi-illumination PAT of absolute temperatures, and our
two-dimensional numerical simulations have shown the feasibility of this new
method. The proposed absolute temperature mapping method may set the technical
foundation for better temperature control in deep tissue in thermotherapy.
PMID- 29380564
TI - In Situ Tissue Regeneration of Renal Tissue Induced by Collagen Hydrogel
Injection.
AB - Host stem/progenitor cells can be mobilized and recruited to a target location
using biomaterials, and these cells may be used for in situ tissue regeneration.
The objective of this study was to investigate whether host biologic resources
could be used to regenerate renal tissue in situ. Collagen hydrogel was injected
into the kidneys of normal mice, and rat kidneys that had sustained
ischemia/reperfusion injury. After injection, the kidneys of both animal models
were examined up to 4 weeks for host tissue response. The infiltrating host cells
present within the injection regions expressed renal stem/progenitor cell
markers, PAX-2, CD24, and CD133, as well as mesenchymal stem cell marker, CD44.
The regenerated renal structures were identified by immunohistochemistry for
renal cell specific markers, including synaptopodin and CD31 for glomeruli and
cytokeratin and neprilysin for tubules. Quantitatively, the number of glomeruli
found in the injected regions was significantly higher when compared to normal
regions of renal cortex. This phenomenon occurred in normal and ischemic injured
kidneys. Furthermore, the renal function after ischemia/reperfusion injury was
recovered after collagen hydrogel injection. These results demonstrate that
introduction of biomaterials into the kidney is able to facilitate the
regeneration of glomerular and tubular structures in normal and injured kidneys.
Such an approach has the potential to become a simple and effective treatment for
patients with renal failure. Stem Cells Translational Medicine 2018;7:241-250.
PMID- 29380566
TI - [Recent advances in directed evolution].
AB - Screening is the bottleneck of directed evolution. In order to address this
problem, a series of novel semi-rational designed strategies have been developed
based on combinatorial active-site saturation test and iterative saturation
mutagenesis, including single code saturation mutagenesis, double code saturation
mutagenesis and triple code saturation mutagenesis. By creation of "small and
smart" high qualified mutant libraries and combinatorial mutagenesis of specific
sites, these new strategies have been successfully applied in multiparameter
optimization, e.g. stereo/regioselectivity and activity. This review summarized
recent advances in directed evolution and its applications in biocatalysis field.
PMID- 29380567
TI - [Metabolic engineering of (E)-beta-farnesene synthase genes for aphid-resistant
genetically modified plants].
AB - Aphids are major agricultural pests that cause significant yield losses of crops
each year. (E)-beta-farnesene (EbetaF), as the main component of the aphid alarm
pheromones, can interrupt aphid feeding and cause other conspecies in the
vicinity to become agitated or disperse from their host plant. Furthermore,
EbetaF can function as a kairomone in attracting aphid predators. EbetaF synthase
genes, which encode enzymes that convert farnesyl diphosphate (FPP) to the
acyclic sesquiterpene EbetaF, have been isolated and characterized from
peppermint (Mentha * piperita and Mentha asiatica), Yuzu (Citrus junos), Douglas
fir (Pseudotsuga menziesii), sweet wormwood (Artemisia annua) and chamomile
(Matricaria recutita), respectively. Transgenic plant overexpressing EbetaF
synthase genes has been one of the most efficient strategies for aphid
management. In this review, the current statuses of transgenic plants engineered
for aphid resistance were summarized. The plant-derived EbetaF synthase genes
with their potential roles in aphid management via genetic-modified (GM)
approaches were reviewed. The existing problem in GM plants with EbetaF synthase
gene, such as low EbetaF emission was usually detected in the transgenic plant,
was discussed and the development direction in this area was proposed.
PMID- 29380568
TI - [Advances in metabolic engineering for the microbial production of naturally
occurring terpenes-limonene and bisabolene: a mini review].
AB - Limonene (C10H16) and bisabolene (C15H24) are both naturally occurring terpenes
in plants. Depending on the number of C5 units, limonene and bisabolene are
recognized as representative monoterpenes and sesquiterpenes, respectively.
Limonene and bisabolene are important pharmaceutical and nutraceutical products
used in the prevention and treatment of cancer and many other diseases. In
addition, they can be used as starting materials to produce a range of
commercially valuable products, such as pharmaceuticals, nutraceuticals,
cosmetics, and biofuels. The low abundance or yield of limonene and bisabolene in
plants renders their isolation from plant sources non-economically viable.
Isolation of limonene and bisabolene from plants also suffers from low efficiency
and often requires harsh reaction conditions, prolonged reaction times, and
expensive equipment cost. Recently, the rapid developments in metabolic
engineering of microbes provide a promising alternative route for producing these
plant natural products. Therefore, producing limonene and bisabolene by
engineering microbial cells into microbial factories is becoming an attractive
alternative approach that can overcome the bottlenecks, making it more
sustainable, environmentally friendly and economically competitive. Here, we
reviewed the status of metabolic engineering of microbes that produce limonene
and bisabolene including microbial hosts, key enzymes, metabolic pathways and
engineering of limonene/bisabolene biosynthesis. Furthermore, key challenges and
future perspectives were discussed.
PMID- 29380569
TI - [Status and advances of long-acting factor VIII].
AB - Current treatment for hemophilia A is based on replacement therapy that is the
most effective method by using recombinant clotting factor FVIII (rFVIII).
Although the safety and effectiveness of replacement therapy has been proved by
clinical practice for the last decades, FVIII products are temporally limited
because of a short half-life and requiring prophylactic injections frequently for
most patients, usually three times per week or every other day. Frequent
intravenous injection not only brings physical pain to the patient, but also
produces FVIII antibodies that seriously affect the treatment effect. In this
paper, we review the present status, research progress and main problems of the
long-acting recombinant factor VIII.
PMID- 29380570
TI - [Development of an ELISA for identification of immunodominant protein antigens of
Mycoplasma hyopneumoniae].
AB - We developed a method to identify serological humoral immunodominant proteinic
antigen of Mycoplasma hyopneumoniae (Mhp). After constructing the recombinant
plasmid pGEX-6P-1-mhp366 and transforming it into Escherichia coli BL21(DE3), the
recombinant GST-Mhp366 protein was expressed successfully. The lysates of the
recombinant GST-Mhp366 and genetic engineering GST were added into glutathione
coated plates and reacted with 17 positive sera or 13 negative sera. Meanwhile,
the optimization of experimental conditions, including coated antigen, blocking
buffer, dilutions of sera and second antibody were determined. The optimal
concentration of the coated antigen was the original bacteria lysates without
dilution, and the optimal blocking buffer contained 10% FBS and 2.5% skim milk in
PBS. Besides, the working concentration of serum samples and the HRP-tagged
rabbit anti-pig IgG secondary antibody were 1:500 and 1:40 000, respectively.
Thus, an indirect ELISA was established for identification of immunodominant
protein antigens of Mhp. Meanwhile, this method was confirmed by the identified
serological humoral immunodominant proteinic antigen Mhp156 and Mhp364. This
method can be used for identification of the candidate vaccine antigens on a
genome-wide scale. Furthermore, it can lay the foundation for identifying the
candidate vaccine antigens through colostra and the nasal mucosal secretions.
PMID- 29380571
TI - [Effect of MIG1 and SNF1 deletion on simultaneous utilization of glucose and
xylose by Saccharomyces cerevisiae].
AB - Mig1 and Snf1 are two key regulatory factors involved in glucose repression of
Saccharomyces cerevisiae. To enhance simultaneous utilization of glucose and
xylose by engineered S. cerevisiae, single and double deletion strains of MIG1
and SNF1 were constructed. Combining shake flask fermentations and transcriptome
analysis by RNA-Seq, the mechanism of Mig1 and Snf1 hierarchically regulating
differentially expressed genes that might affect simultaneous utilization of
glucose and xylose were elucidated. MIG1 deletion did not show any significant
effect on co-utilization of mixed sugars. SNF1 deletion facilitated xylose
consumption in mixed sugars as well as co-utilization of glucose and xylose,
which might be due to that the SNF1 deletion resulted in the de-repression of
some genes under nitrogen catabolite repression, thereby favorable to the
utilization of nitrogen nutrient. Further deletion of MIG1 gene in the SNF1
deletion strain resulted in the de-repression of more genes under nitrogen
catabolite repression and up-regulation of genes involved in carbon central
metabolism. Compared with wild type strain, the MIG1 and SNF1 double deletion
strain could co-utilize glucose and xylose, and accelerate ethanol accumulation,
although this strain consumed glucose faster and xylose slower. Taken together,
the MIG1 and SNF1 deletions resulted in up-regulation of genes under nitrogen
catabolite repression, which could be beneficial to simultaneous utilization of
glucose and xylose. Mig1 and Snf1 might be involved in the hierarchical
regulatory network of genes under nitrogen catabolite repression. Dissection of
this regulatory network could provide further insights to new targets for
improving co-utilization of glucose and xylose.
PMID- 29380572
TI - [A highly active GH11 xylanase from Penicillium sp. L1 with potential
applications in xylo-oligosaccharide production].
AB - Xylanase is a high-profile glycoside hydrolase with applications in brewing,
feed, pharmacy and bioenergy industries, but most of xylanases are in active
below 30 C. In order to obtain low temperature active xylanase, a xylanase gene,
XYN11A, was cloned from Penicillium sp. L1 and expressed in Pichia pastoris
GS115. After purification and enzyme assay, optimal pH and temperature were
determined to be 3.5 to 4.0 and 55 C. This enzyme was stable at acid and neutral
condition (pH 1.0 to 7.0) or under the treatment of 40 C for 1 hour. This
xylanase displayed strong resistance to all tested ions and chemicals.
Noteworthily, XYN11A maintained a higher activity of 6 700 U/mg than a lot of
GH11 xylanase, and demonstrated higher activity (24% to 58%) at lower temperature
from 20 to 40 C. After beechwood xylan hydrolysis for 16 h, the hydrolysates
consisted mainly of xylobiose, xylotriose and xylotetraose and barely of xylose,
thus XYN11A could be used for the production of prebiotic xylooligosaccharide.
Possessing the features of acidophilic, highly active at lower temperature and
oligosaccharide production, XYN11A demonstrated great potential in food and feed
industrials.
PMID- 29380573
TI - [Expression and characterization of juvenile hormone binding protein Bmtol gene
in silkworm, Bombyx mori].
AB - The head of the silkworm is a nerve center and a sense organ, contains antennaes
and sensory hair, feels the outside signal, and responds to the external signal
delivered to the brain. Juvenile hormone is mainly synthesized and secreted by
corpora allata, and it needs to be played with the aid of the hormone binding
protein, because the juvenile hormone binding protein is the carrier of juvenile
hormone transport and plays a functional in vivo, they have an extremely
important function in insects. The objective of this study is to screened and
identify a novel BmTOL proteins that it has a conserved structure of the juvenile
hormone binding protein family by SilkDB and NCBI database. Its coding gene
number is BGIBMGA003404 (GenBank Accession No. KY681053). We also expressed the
recombinant protein using the prokaryotic expression system, and then
successfully purified the recombinant protein by Ni-NTA chromatography column to
generate the polyclonal antibodies. The expression patterns analysis in various
tissues showed that both in transcriptional and protein levels Bmtol was higher
expressed in head. Furthermore, the expression level of Bmtol gene was higher in
newly exuviated silkworm, and expression level of Bmtol gene was lower from at 3
days 5th instar to 7 days pupa, began to increase after the moth.
Immunohistochemistry showed that BmTOL protein was localized in the cortex,
antennaes and brain of the head, It may be related to the information
transmission of the head, and provides an important source of information for the
growth and development of silkworm.
PMID- 29380574
TI - [Effect of extracellular cyclophilin A on inflammatory response and anti
inflammatory activity of antibody against cyclophilin A].
AB - Cyclophilin A (CypA) is a member of peptidyl prolylisomerases (PPIase) family.
CypA is best known as a ubiquitously distributed intracellular protein. It has
also been shown to be secreted by cells in response to inflammatory stimuli and
oxidative stress. Extracellular CypA (eCypA) interacts with CD147 to initiate
inflammatory responses via recruiting leucocytes into inflamed tissue.
Recombinant CypA was expressed in Escherichia coli and then purified using
Superdex 75TM 16/60. The results of Real-time PCR and ELISA showed that the
expression levels of proinflammatory cytokines, such as IL-1beta, secreted by
eCypA stimulated BMDM were significantly up-regulated, indicating that eCypA
played an important role in promoting inflammatory responses. In addition, anti
CypA antibody was prepared using purified CypA protein for therapeutic evaluation
in a mouse model of LPS-induced acute lung inflammation. Antibody-treated mice
showed reduced lung injury and the expression levels of IL-1beta in the lung
tissue and blood were decreased significantly, indicating that anti-CypA antibody
exerted a potent anti-inflammatory activity. Our findings provide a potential
therapeutic antibody for inflammation-mediated diseases.
PMID- 29380575
TI - [Preparation and identification of polyclonal antibodies against Moraxella
catarrhalis UspA1].
AB - To prepare polyclonal antibodies (PcAb) against UspA1 of Moraxella catarrhalis
(Mc), we used bioinformatic analysis to determine the surface exposed region in
this protein that holds the antigen epitopes. Then the corresponding coding
sequences for this fragment was artificially synthesized according to the codon
usage of Escherichia coli. The gene fragment was then subcloned into the
prokaryotic expression vector pET-28a(+) and expressed in E. coli rosseta (DE3),
and then the recombinant UspA1-His proteins were purified. Two New Zealand white
rabbits were immunized with this protein to prepare antiserum. The resulting PcAb
was then purified from the antiserum with Protein A affinity column. The results
of fluorescence antibody assay, enzyme linked immunosorbent assay and Western
blotting analysis showed that the PcAb could specifically recognize the surface
exposed region of UspA1 on Mc. The preparation of the PcAb laid a foundation of
further development of rapid detection technique for M. catarrhalis.
PMID- 29380576
TI - [Construction of CD36 gene silencing cell lines by lentivirus-mediated RNA
interference and the effect on protein expression of caveolin-1].
AB - CD36, the major scavenger receptor, is intimately involved in the uptake of oxLDL
in macrophages. To further study the function of CD36 in macrophages, we
constructed CD36 gene silence cell lines (J774A.1) by lentivirus-mediated RNA
interference technique, and analyzed the effect of CD36 in caveolin-1 protein
expression. At first, 5 shRNA fragments were designed and synthesized according
to the coding sequence (CDS) region of CD36 gene. Next, the CD36-shRNA was
inserted into lentiviral vector to yield pLKO.1-CD36-shRNA plasmid. After DNA
sequencing, the pLKO.1-CD36-shRNA plasmid and psiCHECK-II-CD36 were co
transfected into the 293T cells to screen the efficient CD36-shRNA. The efficient
CD36-shRNA plasmid and the helper plasmid were co-transfected into the 293T cells
to package the lentivirus, and then infected the J774A.1 cells. After screening
by puromycin, CD36 gene silence cell lines (J774A.1) was established. Western
blotting and confocal fluorescence microscopy results showed that the CD36
silencing efficiency in the gene silence cell line was 90%. Accompanied by a
decrease in CD36 protein on cell surface, oxLDL binding to CD36 was significantly
inhibited, indicating that the CD36 gene silence cell line is successfully
established. Finally, the oxLDL stimulation and inhibitor experiments results
showed that the CD36 knockdown significantly suppresses the phosphorylation of
JNK and ERK, thereby inhibiting the oxLDL-induced caveolin-1 protein expression,
demonstrating that CD36 modulates the caveolin-1 protein expression through the
JNK/ERK-mediated signaling transduction.
PMID- 29380577
TI - [Annotation of the mobilomes of nine teleost species].
AB - In this study, the mobilomes of nine teleost species were annotated by
bioinformatics methods. Both of the mobilome size and constitute displayed a
significant difference in 9 species of teleost fishes. The species of mobilome
content ranking from high to low were zebrafish, medaka, tilapia, coelacanth,
platyfish, cod, stickleback, tetradon and fugu. Mobilome content and genome size
were positively correlated. The DNA transposons displayed higher diversity and
larger variation in teleost (0.50% to 38.37%), was a major determinant of
differences in teleost mobilomes, and hAT and Tc/Mariner superfamily were the
major DNA transposons in teleost. RNA transposons also exhibited high diversity
in teleost, LINE transposons accounted for 0.53% to 5.75% teleost genomic
sequences, and 14 superfamilies were detected. L1, L2, RTE and Rex
retrotransposons obtained significant amplification. While LTR displayed low
amplification in most teleost with less than 2% of genome coverages, except in
zebrafish and stickleback, where LTR reachs 5.58% and 2.51% of genome coverages
respectively. And 6 LTR superfamilies (Copia, DIRS, ERV, Gypsy, Ngaro and Pao)
were detected in the teleost, and Gypsy exhibits obvious amplication among them.
While the SINE represents the weakest ampification types in teleost, only within
zebrafish and coelacanth, it represents 3.28% and 5.64% of genome coverages, in
the other 7 teleost, it occupies less than 1% of genomes, and tRNA, 5S and MIR
families of SINE have a certain degree of amplification in some teleosts. This
study shows that the teleost display high diversity and large variation of
mobilome, there is a strong correlation with the size variations of genomes and
mobilome contents in teleost, mobilome is an important factor in determining the
teleost genome size.
PMID- 29380578
TI - [Tandem expression and activity determination of antibacterial peptide Spinosan-C
from Paa spinosa].
AB - Antibacterial peptide can be easily degraded by protease and has the lethal
effect on the host Escherichia coli. In order to solve these problems and further
improve the expression ability of the Escherichia coli system, the antimicrobial
peptide Spinosan-C of Paa spinosa was studied. First, the codon of Spinosan-C was
optimized according to E. coli codon usage frequency. Then, the 8 multimeric
Spinosan-C gene (8*Spinosan-C) was synthesized and cloned into prokaryotic
expression vector pET-28a. The fusion antimicrobial peptide 8*Spinosan-C was
further highly expressed in Escherichia coli strain Rosetta. The recombinant
8*Spinosan-C protein was then purified and cleaved specially by formic acid to
generate the Spinosan-C monomer. Antibacterial test in vitro suggested that the
cleaved Spinosan-C monomer had antibacterial bioactivity against the test
bacteria. This study provides a technical reference for the largescale
preparation of frog antimicrobial peptides.
PMID- 29380579
TI - [Synthesis of vitamin K2 by isopentenyl transferase NovA in Pichia pastoris
Gpn12].
AB - The effect of methanol addition on the heterologous expression of isoprenyl
transferase NovQ was studied in Pichia pastoris Gpn12, with menadione and
isopentenol as precursors to catalyze vitamin K2 (MK-3) synthesis. The expression
of NovQ increased by 36% when 2% methanol was added every 24 h. The influence of
initial pH, temperature, methanol addition, precursors (menadione, isopentenol)
addition, catalytic time and cetyltrimethyl-ammonium bromide (CTAB) addition were
explored in the P. pastoris whole-cell catalytic synthesis process of MK-3 in
shaking flask. Three significant factors were then studied by response surface
method. The optimal catalytic conditions obtained were as follows: catalytic
temperature 31.56 C, menadione 295.54 mg/L, catalytic time 15.87 h. Consistent
with the response surface prediction results, the optimized yield of MK-3 reached
98.47 mg/L in shaking flask, 35% higher than that of the control group. On this
basis, the production in a 30-L fermenter reached 189.67 mg/L when the cell
catalyst of 220 g/L (dry weight) was used to catalyze the synthesis for 24 h.
This method laid the foundation for the large-scale production of MK-3 by P.
pastoris Gpn12.
PMID- 29380580
TI - Extraglottic airway devices: is the classification in generations really
informative of properties and safety?
PMID- 29380581
TI - Heuristic to systematic approach to difficult airways prediction. Is it time to
change habits?
PMID- 29380583
TI - The uncertainty of prognostication following intracerebral hemorrhage.
PMID- 29380582
TI - Novel anticoagulants and liver transplantation: is thromboelastography the key?
PMID- 29380584
TI - The safe use of I-gel for tracheostomy in neurodegenerative diseases.
PMID- 29380585
TI - Positive end-expiratory pressure and recruitment maneuvers in obese patients:
should we chase oxygenation?
PMID- 29380586
TI - We still lack an effective method to identify the best supraglottic airway
device.
PMID- 29380587
TI - Dose matters: optimized dosing is part of optimized care.
PMID- 29380588
TI - Neuraxial anesthesia and septic meningitis: please be reminded.
PMID- 29380589
TI - SCIENTISTS and SCIENCE ADVOCATES: Dr. Younes Karimi (1929-2008), the Prominent
Iranian Physician in the Field of Plague and Other Infectious Diseases
PMID- 29380590
TI - Dilute Au-Containing Ag Nanosponges as a Highly Active and Durable
Electrocatalyst for Oxygen Reduction and Alcohol Oxidation Reactions.
AB - Zero-dimensional nanoparticles (NPs) have been demonstrated as the promising
class of catalysts for various chemical and electrochemical reactions. However,
the emerging Au-Ag NP catalysts suffer from single functionality, limited
activity enhancement, and unsatisfactory stability problems. Here, we report a
facile kinetically controlled solution method to prepare a new class of Au-Ag
nanoporous sponges (NSs) composed of three-dimensional networks without using
additional stabilizing agents at room temperature. The unexpected shift of the d
band center in our Au-Ag NSs was observed for the first time in Au-Ag bimetallic
systems, which effectively activates the Au-Ag NSs for electrochemical reactions.
The robust electronic effect coupled with abundant accessible active sites from
the hierarchically porous architecture make the bare Au-Ag NSs a superior
multifunctional catalyst for oxygen reduction, ethylene glycol (EG) oxidation,
and glucose oxidation reactions compared to the commercial Pt/C electrocatalyst
in alkaline medium. The optimized AuAg3.2 NSs deliver a mass activity of 1.26 A
mgAu-1 toward oxygen reduction reaction, which is ~8.2 times as high as that of
the Pt/C electrocatalyst, simultaneously showing outstanding stability with
negligible activity decay after 10 000 cycles. For the anodic reactions, these
AuAg3.2 NSs show extremely high activity and stability toward both EG and glucose
catalytic oxidation reactions with a higher mass activity of 7.58 and 1.48 A mgAu
1, about 3- and 18.5-fold enhancement than Pt/C, respectively. This work provides
important insights into the structural design, performance optimization, and cost
reduction to promote the practical applications of liquid fuel cells.
PMID- 29380591
TI - Inkjet-Printed Multiwavelength Thermoplasmonic Images for Anticounterfeiting
Applications.
AB - Inkjet printing of thermoplasmonic nanoparticles enables instantaneous, large
area heat pattern generation upon light illumination from distance. By printing
multiple metal nanoparticles of different shapes overlaid, we can fabricate
multiwavelength thermoplasmonic images, which generate different heat patterns
from a single printed image depending on the wavelength choice of light. In this
work, we propose a novel multiwavelength thermoplasmonic image printing process
that can be used for anticounterfeit technology. With this technology, "printed
thermoplasmonic labels" allow fully secured anticounterfeit inspection procedure.
Input stimulus of near-infrared or infrared light illumination and output signal
reading of thermal patterns can be both completely invisible. Wavelength
selective photothermal effect also enables the encryption of the contained
information, which adds more complexity and thus higher security.
PMID- 29380592
TI - Neonicotinoid Residues in Fruits and Vegetables: An Integrated Dietary Exposure
Assessment Approach.
AB - Neonicotinoids have become the most widely used insecticides in the world since
introduced in the mid 1990s, yet the extent of human exposure and health impacts
is not fully understood. In this study, the residues were analyzed of seven
neonicotinoids in fruit and vegetable samples collected from two cross-sectional
studies: the U.S. Congressional Cafeteria study (USCC) and the Hangzhou China
(HZC) study. We then employed a relative potency factor method to integrate all
neonicotinoids in each food sample using the respective reference dose values as
the basis for summation. The findings were compared with data published by the
U.S. Department of Agriculture Pesticide Data Program (USDA/PDP). Imidacloprid
and thiamethoxam were the most commonly detected neonicotinoids in fruits and
vegetables with 66 and 51% detection in the HZC study and 52 and 53% detection in
the USCC study, respectively. The overall frequency of detection for
neonicotinoids in the USDA/PDP samples was much lower than those reported here
for the USCC or HZC studies, with imidacloprid being the most frequently detected
neonicotinoid at 7.3%. The high frequencies of neonicotinoid detection in fruits
and vegetables in the USCC and HZC studies give us a snapshot of the ubiquity of
neonicotinoid use in global agriculture and make it clear that neonicotinoids
have become part of the dietary staple, with possible health implications for
individuals.
PMID- 29380593
TI - Efficient and Reproducible CH3NH3PbI3 Perovskite Layer Prepared Using a Binary
Solvent Containing a Cyclic Urea Additive.
AB - An efficient CH3NH3PbI3 perovskite solar cell whose performance is reproducible
and shows reduced dependence on the processing conditions is fabricated using the
cyclic urea compound 1,3-dimethyl-2-imidazolidinone (DMI) as an additive to the
precursor solution of CH3NH3PbI3. X-ray diffraction analysis reveals that DMI
weakly coordinates with PbI2 and forms a CH3NH3PbI3 film (film-DMI) with no
intermediate phase. The surface of annealed film-DMI (film-DMI-A) was smooth,
with an average crystal size of 1 MUm. Photoluminescence and transient
photovoltage measurements show that film-DMI-A exhibits a longer carrier lifetime
than a CH3NH3PbI3 film prepared using the strongly coordinating additive dimethyl
sulfoxide (DMSO) (film-DMSO-A) because of the reduced number of defect sites in
film-DMI-A. A solar cell based on film-DMI-A exhibits a higher power conversion
efficiency (17.6%) than that of a cell based on film-DMSO-A (15.8%). Furthermore,
the performance of the film-DMI-A solar cell is less sensitive to the ratio
between PbI2 and DMI, and film-DMI can be fabricated under a high relative
humidity of 55%.
PMID- 29380594
TI - High-Efficiency and High-Color-Rendering-Index Semitransparent Polymer Solar
Cells Induced by Photonic Crystals and Surface Plasmon Resonance.
AB - Semitransparent polymer solar cells (ST-PSCs) show attractive potential in power
generating windows or building-integrated photovoltaics. However, the development
of ST-PSCs is lagging behind opaque PSCs because of the contradiction between
device efficiency and transmission. Herein, Ag/Au alloy nanoparticles and
photonic crystals (PCs) were simultaneously introduced into ST-PSCs, acting
compatibly as localized surface plasmon resonances and distributed Bragg
reflectors to enhance light absorption and transmission. As a result, ST-PSCs
based on a hybrid PTB7-Th:PC71BM active layer contribute an efficiency as high as
7.13 +/- 0.15% and an average visible transmission beyond 20%, which are superior
to most of the reported results. Furthermore, PCs can partly compensate valley
range of transmission by balancing reflection and transmission regions, yielding
a high color rendering index of 95. We believe that the idea of two light
management methods compatibly enhancing the performance of ST-PSCs can offer a
promising path to develop photovoltaic applications.
PMID- 29380595
TI - Spectrometer-Free Plasmonic Biosensing with Metal-Insulator-Metal Nanocup Arrays.
AB - The development of high performing and accessible sensors is crucial to future
point-of-care diagnostic sensing systems. Here, we report on a gold-titanium
dioxide-gold metal-insulator-metal plasmonic nanocup array device for
spectrometer-free refractometric sensing with a performance exceeding
conventional surface plasmon resonance sensors. This device shows distinct
spectral properties such that a superstrate refractive index increase causes a
transmission intensity increase at the peak resonance wavelength. There is no
spectral shift at this peak and there are spectral regions with no transmission
intensity change, which can be used as internal device references. The sensing
mechanism, plasmon-cavity coupling optimization, and material properties are
studied using electromagnetic simulations. The optimal device structure is
determined using simulation and experimental parameter sweeps to tune the cavity
confinement and the resonance coupling. An experimental sensitivity of 800
DeltaT%/RIU is demonstrated. Spectrometer-free, imaged-based detection is also
carried out for the cancer biomarker carcinoembryonic antigen with a 10 ng/mL
limit of detection. The high performance and distinct spectral features of this
metal-insulator-metal plasmonic nanocup array make this device promising for
future portable optical sensing systems with minimal instrumentation
requirements.
PMID- 29380596
TI - 99mTc-Labeled RGD-Polyethylenimine Conjugates with Entrapped Gold Nanoparticles
in the Cavities for Dual-Mode SPECT/CT Imaging of Hepatic Carcinoma.
AB - We report the construction and characterization of 99mTc-labeled arginine-glycine
aspartic acid (RGD)-polyethylenimine (PEI) conjugates with entrapped gold
nanoparticles in the cavities (RGD-99mTc-Au PENPs) for dual-mode single-photon
emission computed tomography (SPECT)/computed tomography (CT) imaging of an
orthotopic hepatic carcinoma model. In this study, PEI was successively decorated
with diethylenetriaminepentaacetic acid, poly(ethylene glycol) (PEG), and
PEGylated RGD segments, and was utilized as an effective nanoplatform to entrap
Au NPs and to be labeled with 99mTc. We showed that the designed RGD-99mTc-Au
PENPs displayed desirable colloidal stability and radiostability, and
cytocompatibility in the investigated concentration range, and could be
specifically uptaken by alphavbeta3 integrin-overexpressing liver cancer cells in
vitro. In vivo CT and SPECT imaging results indicated that the particles were
able to be accumulated within an orthotopic hepatic carcinoma and displayed both
CT and SPECT contrast enhancement in the tumor tissue. With the proven
biocompatibility in vivo via histological examinations, the designed RGD-99mTc-Au
PENPs may be potentially employed as an effective nanoprobe for a highly
efficient dual-mode SPECT/CT imaging of various alphavbeta3 integrin
overexpressing tumors.
PMID- 29380597
TI - From Production to Consumption: A Coupled Human-Environmental Nitrogen Flow
Analysis in China.
AB - Anthropogenic inputs of reactive nitrogen (Nr) provide sufficient food, energy,
and industrial products to meet human demands; however, only a fraction of Nr is
consumed as food and nonfood goods, and the rest is lost to the environment and
negatively affects ecosystems. High-resolution studies of nitrogen flows are
invaluable to increase nitrogen use efficiencies and reduce environmental
emissions. In this study, a comprehensive substance flow analysis of nitrogen for
China in 2014 is presented. Based on the conceptual framework, which highlights
the key roles of human drivers, the analysis of the synthetic ammonia supply and
demand balance shows that 75% of ammonia is used for agricultural purposes.
Moreover, the life cycle analysis of food nitrogen shows that human food
consumption accounts for approximately 7% of the total Nr inputs. A quantitative
analysis of pollutant emissions shows that industrial and crop production are the
main sources of atmospheric emissions, while livestock farming and crop
production are the main sources of water emissions. Finally, we investigate four
scenarios (efficiency improvement, high recycling rate, nitrogen oxide emission
reduction, and a combined scenario) and provide relevant policy recommendations
(large farm size, standardized agricultural production model, flue gas
denitration, etc.) for improving nitrogen management practices.
PMID- 29380598
TI - Proposal of a Linear Retention Index System for Improving Identification
Reliability of Triacylglycerol Profiles in Lipid Samples by Liquid Chromatography
Methods.
AB - The retention index system was proposed in 1958 by Kovats. It is based on the
correlation between the retention time of the analytes and the ones of a series
of reference standards, making retention data dependent on the chromatographic
phenomenon only, viz., on the three-term interaction analyte-stationary phase
mobile phase. Particularly in GC, because the mobile phase has a negligible
influence, the retention of the analytes depends almost entirely on the
stationary phase and it is as independent as possible from operating conditions.
This makes retention index databases usable in samples identification at both the
intra- and interlaboratory levels. The scope of this research was to create a
similar identification system in LC, where the identification of unknowns is
still a challenge because of the unavailability of spectral databases related to
the low reproducibility of mass spectra generated by means of the atmospheric
pressure ionization technique normally interfaced to LC. Lipid compounds,
specifically triacylglycerols, were selected as target analytes mainly due to
their regular chromatographic LC profile under reversed phase conditions. The odd
carbon chain number triacylglycerol series from trinonain to trinonadecanoin was
chosen as the basis of the retention index scale and a database of 209
triacylglycerols was built. For this purpose an ultra high-performance LC method,
able to maximize the baseline separation of triacylglycerols in different real
world samples (vegetable oils, fish, and milk samples) was developed.
PMID- 29380599
TI - Enzymatic Formation of an Injectable Hydrogel from a Glycopeptide as a Biomimetic
Scaffold for Vascularization.
AB - The construction of functional vascular networks in regenerative tissues is a
crucial technology in tissue engineering to ensure the sufficient supply of
nutrients. Although natural hydrogels are highly prevalent in fabricating three
dimensional scaffolds to induce neovascular growth, their widespread
applicability was limited by the potential risk of immunogenicity or pathogen
transmission. Therefore, developing hydrogels with good biocompatibility and cell
affinity is highly desirable for fabricating alternative matrices for tissue
regeneration applications. Herein, we report the generation of a new kind of
hydrogel from supramolecular assembling of a synthetic glycopeptide to mimic the
glycosylated microenvironment of extracellular matrix. In the presence of a
tyrosine phosphate group, this molecule can undergo supramolecular self
assembling and gelation triggered by alkaline phosphatase under physiological
conditions. Following supramolecular self-assembling, the glycopeptide gelator
tended to form nanofilament structures displaying a high density of glucose
moieties on their surface for endothelial cell adhesion and proliferation. On
further incorporation with deferoxamine (DFO), the self-assembled hydrogel can
serve as a reservoir for sustainably releasing DFO and inducing endothelial cell
capillary morphogenesis in vitro. After subcutaneous injection in mice, the
glycopeptide hydrogel encapsulating DFO can work as an effective matrix to
trigger the generation of new blood capillaries in vivo.
PMID- 29380600
TI - Bioinspired Supramolecular Lubricating Hydrogel Induced by Shear Force.
AB - Bioinspired lubricating materials are great challenge toward artificial joints.
In this contribution, we synthesize a bioinspired hydrogel by combining a
thixotropic supramolecular network and polymer double network, exhibiting a
unique shear-responsive lubricating property. The disassembly of the N
fluorenylmethoxycarbonyl-l-tryptophan supramolecular network triggered by shear
force will endow lubricating function to the hydrogel; meanwhile PAAm and PVA
double network acts as the supporting skeleton with high mechanical property.
This work will bring new insight on the design of artificial lubricating joint.
PMID- 29380601
TI - Fiber-Optic Bio-sniffer (Biochemical Gas Sensor) Using Reverse Reaction of
Alcohol Dehydrogenase for Exhaled Acetaldehyde.
AB - Volatile organic compounds (VOCs) exhaled in breath have huge potential as
indicators of diseases and metabolisms. Application of breath analysis for
disease screening and metabolism assessment is expected since breath samples can
be noninvasively collected and measured. In this research, a highly sensitive and
selective biochemical gas sensor (bio-sniffer) for gaseous acetaldehyde (AcH) was
developed. In the AcH bio-sniffer, a reverse reaction of alcohol dehydrogenase
(ADH) was employed for reducing AcH to ethanol and simultaneously consuming a
coenzyme, reduced form of nicotinamide adenine dinucleotide (NADH). The
concentration of AcH can be quantified by fluorescence detection of NADH that was
consumed by reverse reaction of ADH. The AcH bio-sniffer was composed of an
ultraviolet light-emitting diode (UV-LED) as an excitation light source, a
photomultiplier tube (PMT) as a fluorescence detector, and an optical fiber
probe, and these three components were connected with a bifurcated optical fiber.
A gas-sensing region of the fiber probe was developed with a flow-cell and an ADH
immobilized membrane. In the experiment, after optimization of the enzyme
reaction conditions, the selectivity and dynamic range of the AcH bio-sniffer
were investigated. The AcH bio-sniffer showed a short measurement time (within 2
min) and a broad dynamic range for determination of gaseous AcH, 0.02-10 ppm,
which encompassed a typical AcH concentration in exhaled breath (1.2-6.0 ppm).
Also, the AcH bio-sniffer exhibited a high selectivity to gaseous AcH based on
the specificity of ADH. The sensor outputs were observed only from AcH-contained
standard gaseous samples. Finally, the AcH bio-sniffer was applied to measure the
concentration of AcH in exhaled breath from healthy subjects after ingestion of
alcohol. As a result, a significant difference of AcH concentration between
subjects with different aldehyde dehydrogenase type 2 (ALDH2) phenotypes was
observed. The AcH bio-sniffer can be used for breath measurement, and further, an
application of breath analysis-based disease screening or metabolism assessment
can be expected due to the versatility of its detection principle, which allows
it to measure other VOCs by using NADH-dependent dehydrogenases.
PMID- 29380602
TI - Resource Demand Scenarios for the Major Metals.
AB - The growth in metal use in the past few decades raises concern that supplies may
be insufficient to meet demands in the future. From the perspective of historical
and current use data for seven major metals-iron, manganese, aluminum, copper,
nickel, zinc, and lead-we have generated several scenarios of potential metal
demand from 2010 to 2050 under alternative patterns of global development. We
have also compared those demands with various assessments of potential supply to
midcentury. Five conclusions emerge: (1) The calculated demand for each of the
seven metals doubles or triples relative to 2010 levels by midcentury; (2) The
largest demand increases relate to a scenario in which increasingly equitable
values and institutions prevail throughout the world; (3) The metal recycling
flows in the scenarios meet only a modest fraction of future metals demand for
the next few decades; (4) In the case of copper, zinc, and perhaps lead, supply
may be unlikely to meet demand by about midcentury under the current use patterns
of the respective metals; (5) Increased rates of demand for metals imply
substantial new energy provisioning, leading to increases in overall global
energy demand of 21-37%. These results imply that extensive technological
transformations and governmental initiatives could be needed over the next
several decades in order that regional and global development and associated
metal demand are not to be constrained by limited metal supply.
PMID- 29380603
TI - Enantioselective Trapping of Oxonium Ylides by 3-Hydroxyisoindolinones via a
Formal SN1 Pathway for Construction of Contiguous Quaternary Stereocenters.
AB - An enantioselective Rh(II)/chiral phosphoric acid co-catalyzed three-component
reaction via trapping of oxonium ylides with 3-hydroxyisoindolinones by a formal
SN1 pathway is described. This reaction allows for the efficient synthesis of
isoindolinone derivatives with two contiguous quaternary stereogenic centers in
high yields (up to 93%) with excellent enantioselectivities and moderate
diastereoselectivities under mild reaction conditions.
PMID- 29380604
TI - Ruthenium-Catalyzed Hydrogenation of Carbocyclic Aromatic Amines: Access to
Chiral Exocyclic Amines.
AB - The first highly enantioselective hydrogenation of carbocyclic aromatic amines
has been successfully realized using in situ-generated chiral ruthenium complex
as catalyst, affording facile access to chiral exocyclic amines with up to 98%
ee.
PMID- 29380605
TI - Click, Release, and Fluoresce: A Chemical Strategy for a Cascade Prodrug System
for Codelivery of Carbon Monoxide, a Drug Payload, and a Fluorescent Reporter.
AB - A chemical strategy was developed wherein a single trigger sets in motion a three
reaction cascade leading to the release of more than one drug-component in
sequence with the generation of a fluorescent side product for easy monitoring.
As a proof of concept, codelivery of CO with the antibiotic metronidazole was
demonstrated.
PMID- 29380606
TI - Ferroelectric Control of the Spin Texture in GeTe.
AB - The electric and nonvolatile control of the spin texture in semiconductors would
represent a fundamental step toward novel electronic devices combining memory and
computing functionalities. Recently, GeTe has been theoretically proposed as the
father compound of a new class of materials, namely ferroelectric Rashba
semiconductors. They display bulk bands with giant Rashba-like splitting due to
the inversion symmetry breaking arising from the ferroelectric polarization, thus
allowing for the ferroelectric control of the spin. Here, we provide the
experimental demonstration of the correlation between ferroelectricity and spin
texture. A surface-engineering strategy is used to set two opposite predefined
uniform ferroelectric polarizations, inward and outward, as monitored by
piezoresponse force microscopy. Spin and angular resolved photoemission
experiments show that these GeTe(111) surfaces display opposite sense of
circulation of spin in bulk Rashba bands. Furthermore, we demonstrate the
crafting of nonvolatile ferroelectric patterns in GeTe films at the nanoscale by
using the conductive tip of an atomic force microscope. Based on the intimate
link between ferroelectric polarization and spin in GeTe, ferroelectric
patterning paves the way to the investigation of devices with engineered spin
configurations.
PMID- 29380607
TI - Measuring Microtubule Supertwist and Defects by Three-Dimensional-Force-Clamp
Tracking of Single Kinesin-1 Motors.
AB - Three-dimensional (3D) nanometer tracking of single biomolecules provides
important information about their biological function. However, existing
microscopy approaches often have only limited spatial or temporal precision and
do not allow the application of defined loads. Here, we developed and applied a
high-precision 3D-optical-tweezers force clamp to track in vitro the 3D motion of
single kinesin-1 motor proteins along microtubules. To provide the motors with
unimpeded access to the whole microtubule lattice, we mounted the microtubules on
topographic surface features generated by UV-nanoimprint lithography. Because
kinesin-1 motors processively move along individual protofilaments, we could
determine the number of protofilaments the microtubules were composed of by
measuring the helical pitches of motor movement on supertwisted microtubules.
Moreover, we were able to identify defects in microtubules, most likely arising
from local changes in the protofilament number. While it is hypothesized that
microtubule supertwist and defects can severely influence the function of motors
and other microtubule-associated proteins, the presented method allows for the
first time to fully map the microtubule lattice in situ. This mapping allows the
correlation of motor-filament interactions with the microtubule fine-structure.
With the additional ability to apply loads, we expect our 3D-optical-tweezers
force clamp to become a valuable tool for obtaining a wide range of information
from other biological systems, inaccessible by two-dimensional and/or ensemble
measurements.
PMID- 29380608
TI - Enzymatic Synthesis of GDP-alpha-l-fucofuranose by MtdL and Hyg20.
AB - Two mutases, MtdL and Hyg20, are reported. Both are able to functionally drive
the biosynthesis of GDP-alpha-l-fucofuranose. Both enzymes catalyze similar
functions, catalytically enabling the bidirectional reaction between GDP-beta-l
fucopyranose and GDP-alpha-l-fucofuranose using only divalent cations as
cofactors. This realization is but one of a number of important insights into
fucofuranose biosynthesis presented herein.
PMID- 29380609
TI - Staying true to our values.
AB - With the JCR published last summer, the IF of the EJPD reached 0.683! This is the
highest value that the journal has ever reached and it shows a clear progression
compared to the 2015 value of 0.421. This event, occurred shortly after the death
of professor Giuliano Falcolini, led me to reflect and read again his editorial
published in the issue N.3, 2011 of the EJPD. In that editorial professor
Falcolini communicated with joy and enthusiasm ( ... we were honoured and
thrilled to see our European Journal of Paediatric Dentistry included in the list
...) to the whole dental scientific world that the journal had been awarded the
IF (the editorial can be read in full at http://www.ejpd.eu/bibliografia_detail.
asp? id=399). I believe that reading again what he wrote at the time is the best
way to remember him and to be aware of the solid foundations that professor
Falcolini has laid in order to succeed both as journal and scientific society.
The enthusiasm, joy, and desire to do well, together with the rigorous scientific
competence with which professor Falcolini led the EJPD in those years are the
same values that the whole SIOI group will continue to follow in order to reach
new and higher goals.
PMID- 29380610
TI - In vitro performance of ultrasound enamel preparation compared with classical bur
preparation on pit and fissure sealing.
AB - AIM: Fissure sealants are effective in preventing caries. The aim of this in
vitro study was to evaluate the effects of two different enamel surface
preparation techniques for pit and fissure sealing. MATERIALS AND METHODS: Sixty
extracted sound third molars were used. For each tooth, the mesial half of the
occlusal fissures was treated with ultrasound diamond tip T1 mounted on an
ultrasonic handpiece, while the distal half with conventional diamond bur. The
teeth were randomly divided into 2 groups (n = 30/each). Group 1 samples were
stored in distilled water at 4 degrees C. For group 2 samples, sealing of
occlusal fissures was performed according to standard procedures. Bucco-lingual
cuts parallel to the long axis of the tooth were made in order to separate the
two different types of preparations. The effects of the executed procedures were
assessed with SEM. RESULTS: Surfaces prepared with ultrasound system showed the
presence of residual debris and appeared more irregular than surfaces prepared
with traditional bur system. Furthermore, images showed the presence of cracks on
the bottom and on the walls of the ultrasound prepared fissures. CONCLUSION:
Conventional bur surface treatment showed a better performance when compared to
ultrasound preparation and could probably ensure superior sealant retention.
PMID- 29380611
TI - Evaluation of the relationship between obesity, dental caries and periodontal
disease in adolescents.
AB - AIM: To assess the prevalence of caries, oral hygiene quality and periodontal
disease in a cohort of obese adolescents compared to a control group. MATERIALS
AND METHODS: Study Design: cross-sectional study conducted on 204 subjects (age
range 10-16 years). Ninety obese subjects (BMI >90) and 114 normal-weight
subjects (BMI <75) were visited at the Bambino Gesu Children's Hospital and in a
junior high school in Rome, respectively. An ad hoc questionnaire (investigating
demographic and oral health behaviour data) was filled in by patients and their
caregivers. Accurate oral examinations were conducted. The Decayed-Missing-Filled
Teeth/Surfaces Index in both permanent (DMFT/DMFS) and primary dentition
(dmft/dmfs), Gingival Bleeding Index (GBI), Visible Plaque Index (VPI), and
Probing Depth (PD) were recorded. STATISTICS: data analysis was carried out using
the Statistical Package for the Social Sciences (SPSS 21.0; SPSS IBM, New York,
NY). The data of the two groups were compared by means of Student's t Test or the
Mann-Whitney test for numerical data and the Chi-square test for categorical
data. RESULTS: Patients affected by obesity, compared with controls, presented
less compromised teeth in the primary dentition (dmft obese: 0.30 ±+/- 1.12;
normal-weight: 1.00 ± 1.90; P<0.001) and less compromised dental surfaces
(dmfs obese: 0.51 ± 2.14; normal-weight: 1.61 ± 3.10; P<0.001).
Furthermore obese patients showed minor gingival inflammation with less bleeding
on probing (GBI) (obese: 23.95 ± 21.43; normal-weight: 38.17± 24.37;
P<0.001), and less probing depth in a greater number of sites (PPD ≤ 3)
(obese: 101.92 ± 9.27; normal-weight: 97.28 ± 12.13; P<0.001).
Moreover, the obese group showed a better oral hygiene (VPI) (obese: 25.69
±25.83; normal-weight: 37.72 ±24.34; P<0.001). CONCLUSION: In our
study, obese adolescents showed a better oral hygiene, fewer compromised teeth
and better periodontal health when compared with normal-weight patients.
PMID- 29380612
TI - Analysis of clinical studies related to apexification techniques.
AB - AIM: The aim of this study was to gather all the clinical studies regarding
apexification and artificial apical barrier techniques, point out the possible
differences of the clinical procedures and investigate how these are changing
over time. MATERIALS AND METHODS: An electronic search was carried out in PubMed,
covering the period from March 1968 to July 2015. More articles were retrieved by
hand-searching or by the reference section of the included articles. Specific
criteria were set in order to determine the relevance of each study. RESULTS: One
hundred and thirty eight articles were included, 53% of them concerned
apexification with MTA plug. Long term apexification studies demonstrated 13% for
a single change of the intracanal medicament and 85% for two or more. In 13% of
the studies concerning artificial apical plug, the procedure included a single
visit. Calcium hydroxide was left in the root canal for 3-12 months in 59% of the
long term apexification studies, for 12-24 in 42% and for 24 months or more in
10%. CONCLUSION: Both techniques can lead to favourable clinical outcomes. There
is a tendency for the artificial apical barrier apexification over the years,
which usually includes the use of intracanal medicament for a short time.
PMID- 29380613
TI - Evaluation of antibiotic mix in Non-instrumentation Endodontic Treatment of
necrotic primary molars.
AB - AIM: To compare the clinical and radiographic success rates of an antibiotic mix
consisting of metronidazole, minocycline and ciprofloxacin (3Mix-MP) and another
mix where minocycline was replaced with clindamycin (3Mix-MP-R) in non
instrumentation endodontic treatment (NIET) of necrotic primary molars and to
determine the effect of root resorption on the success of the treatment.
MATERIALS AND METHODS: Forty-two necrotic mandibular primary molars from 22
healthy children were randomly assigned to either mixture. Blinded clinical
evaluation was conducted after 1, 3, 6 and 12 months by the operator, and blinded
radiographic evaluation was conducted at 6 and 12 months follow-ups by other two
investigators with inter-examiner reproducibility of 0.95. RESULTS: Overall
success rates of 3Mix-MP and 3Mix-MP-R were 80.96% and 76.20% respectively, with
no statistically significant difference. Radiographically, resorption of more
than one third of the root length had a lower failure rate with no statistically
significant difference (p<0.5). CONCLUSION: Primary teeth with necrotic pulp can
be treated with 3Mix-MP or 3Mix-MP-R irrespective of the degree of root
resorption.
PMID- 29380614
TI - Pharyngeal airway changes in pre-pubertal children with Class II malocclusion
after Frankel-2 treatment.
AB - AIM: To evaluate two-dimensional changes in pharyngeal airway dimensions in pre
pubertal children with a Class II malocclusion treated with a Fränkel-2
appliance compared to a matched non-treated control sample. MATERIALS AND
METHODS: Lateral cephalograms obtained from 28 consecutively treated pre-pubertal
children before (T0) and after (T1) a one-year Fränkel-2 treatment were
analysed. Fränkel-2 appliance was used for at least 18 hr/day during 12
months. The control group was matched as closely as possible. All the cases
presented normal facial growth pattern. Sagittal and vertical cephalometric
measurements assessing maxillary and mandibular skeletal positions, as well as
sagittal pharyngeal airway dimensions, were calculated. Intraclass correlation
coefficient was calculated in order to determine reliability. Differences based
on age for all the outcome variables at T0 were compared with an independent t
test. A MANOVA was used thereafter to determine if any factors and their
interactions were associated with changes in the outcome variables. Differences
between T1 and T0 were evaluated with either a t-student test or a Mann Whitney U
test. RESULTS: At T0 differences between groups were noted for several variables.
These differences were considered during the follow-up statistical analysis.
Changes between groups after treatment (T1-T0) were noted for SNB, PNS to Ba,
McNamara Low and Middle to S (increase in treatment group), and ANB and AD1 to Ba
(decrease in treatment group). CONCLUSIONS: Some pharyngeal two-dimensional
airway dimensions changed in Class II malocclusion pre-pubertal patients during a
one-year treatment with Fränkel-2 appliances.
PMID- 29380615
TI - External Cervical Resorption: a case report.
AB - AIM: External cervical resorption is a form of root resorption which begins in
the cervical region of the tooth and spreads out in the thickness of the dentin
in an irregular way; clinically, it may be not visible and, as it is generally
asymptomatic before involvement of the pulp, it is often an occasional finding in
radiographic examination. Several factors are related to its aetiology. This
paper reports a case of external cervical resorption in a mandibular right first
molar of a 17-year-old patient; the tooth was extracted and histological analysis
was performed. MATERIALS AND METHODS: Radiographic examination showed a
progressive external cervical resorption of the lower right first molar;
extension of the lesion and pulp involvement indicated tooth extraction; the
sample underwent histological analysis. RESULTS: The histological study confirmed
the presence of vascular connective tissue in the resorptive lacunae, invading
the dentin from the external surface of the root, and perforations from the
defect into the pulp; it was also noticed the presence of bone-like tissue.
PMID- 29380616
TI - Effects of rapid vs slow maxillary expansion on nasal cavity dimensions in
growing subjects: a methodological and reproducibility study.
AB - AIM: To evaluate the methodological feasibility of a RCT comparing skeletal
changes of nasal cavity size obtained with RME and SME, assessed via CBCT.
MATERIALS AND METHODS: Twenty Caucasian children with a mean age of 10.4 years
were recruited and allocated to receive RME (10 subjects, mean age 10.4 years) or
SME (10 subjects, mean age 10.5 years). INCLUSION CRITERIA: constricted maxillary
arch, upper and lower first molars erupted, unilateral or bilateral posterior
crossbite. EXCLUSION CRITERIA: age above 15 years, history of previous
orthodontic treatment, periodontal disease, systemic disease affecting
craniofacial growth, or craniofacial congenital syndrome. CBCT examinations were
performed before treatment (T0) and 7 months after expander removal (T1). Changes
of nasal width (NW), palatal width (PW) and total nasal volume (TNV) were
assessed; palatal and nasal expansion was also calculated as a percentage of the
increase of intermolar width IMW (PW% and NW%). RESULTS: The correlation between
the first and the second readings ranged from 0.991 to 0.995 for linear
measurements and was of 0.915 for volumetric measurements. The method error, as
described by the value of ?, was in general less than 0.3 mm for linear
measurements and 0.372 cm³ for volumetric measurements. All linear
transverse skeletal and dental measurements and the nasal volume increased with
both RME and SME protocols. CONCLUSION: The reported methodology can be
reasonably used to investigate the transverse dimension of nasal cavity. The PW%
and NW% parameters more accurately described the efficacy of the two expansion
protocols as compared to their corresponding absolute measurement (PW and NW).
PMID- 29380617
TI - Reliability and validity of the Italian versions of the Children's Fear Survey
Schedule - Dental Subscale and the Modified Child Dental Anxiety Scale.
AB - AIM: Children's dental fear and anxiety (DFA) causes significant problems in
clinical practice. The 15-item Children's Fear Survey Schedule - Dental Subscale
(CFSS-DS) and the 8-item Modified Child Dental Anxiety Scale (MCDAS) are the most
widely used measures of dental fear in children. The aim of this study is to
examine the reliability and validity of the Italian versions of the CFSS-DS and
MCDAS, also in comparison with a simple visual analogue scale (VAS). MATERIALS
AND METHODS: The CFSS-DS and MCDAS were translated into Italian by a consensus
panel of experts and administered to 210 dental patients aged 4-11 years from
three Italian Institutions. Internal reliability was assessed using the
Cronbach's alpha correlation. A sub-sample of 60 children was selected for test
retest analysis. CFSS-DS and MCDAS, plus a VAS scale, rated both by children and
parents, were validated using as gold standard the 4-item Frankl scale for
behaviours assessed by dentists. RESULTS: Mean CFSS-DS score was 30.8 (SD: 11.1)
and mean MCDAS score was 17.9 (SD: 7.2), significantly higher among children aged
4-7 years and among children at their first dental visit. The alpha value for
internal reliability was 0.90 (95%, CI= 0.88-0.92) for CFSS-DS and 0.87 (95%
CI=0.85-0.90) for MCDAS. Both CFSS-DS and MCDAS showed good test-retest
reliability (rsp= 0.80; p<0.001 for both scales). CFSS-DS and MCDAS predicted a
Frankl score ≤2 (i.e., indicating children with an uncooperative behaviour)
with a fair accuracy (AUC=0.69 and AUC=0.68, respectively). The VAS scale was
more effective in predicting a negative behaviour (AUC=0.78). The scales self
reported by children were only slightly more accurate than those reported by
parents. CONCLUSION: The Italian versions of the CFSS-DS and MCDAS are valid and
reliable tools for the assessment of dental fear in Italian children aged 4-11
years. A simple, one-item VAS, and dental fear and anxiety evaluation by parents
may be valid and quick alternatives to multi-item indices to predict an
uncooperative children behaviour.
PMID- 29380618
TI - Reasons of repeat dental treatment under general anaesthesia: A retrospective
study.
AB - AIM: The purpose of this chart review study was to investigate the common factors
that exist in paediatric patients requiring a repeat dental treatment under
general anaesthesia (GA2) within four years after the initial dental treatment
under general anaesthesia (GA1). MATERIALS AND METHODS: The Electronic Health
Records of one to 12 year-old children who received dental treatment under
general anaesthesia (GA) between April 2004 and October 2009 were identified and
analysed by a single examiner. Children who had GA2, within a four year period
following GA1 were categorised as cases. Children who had only one dental
treatment under GA were considered the control pool. Each case was matched to
three controls based on sex and age range at GA1 of ± 6 months. Other
recorded variables included: date of birth, date of GAs (GA1 and GA2 for cases;
GA1 for controls), type of payment, dmfs before GA1, dental treatments provided
under GA, return of 1-week post-GA1 follow-up, frequency of recare/recall visits
following one-year post-GA1 visit and the type and frequency of post GA1
emergency visits. RESULTS: Out of 581 subjects, 29 (4.99%) cases were matched to
87 controls. Medically compromised patients had four times the risk of GA2. At
GA1, cases received statistically significant less sealants (p=0.026), less
extractions (p<0.0001), and more composite restorations (p=0.0002) compared to
controls. CONCLUSION: Medically compromised children and children treated with
more composites and fewer sealants and extractions at their initial dental
treatment under general anaesthesia were more likely to have a repeat dental
treatment under general anaesthesia within 4 years.
PMID- 29380619
TI - The prevalence of ankyloglossia in 302 newborns with breastfeeding problems and
sucking difficulties in Barcelona: a descriptive study.
AB - AIM: Oral and maxillofacial development is influenced by the lingual frenulum and
also affects breathing, occlusion, sucking, swallowing, speech, among others.
Ankyloglossia in the newborn may result in breastfeeding difficulties: maternal
nipple pain and/or erosion or mastitis, poor weight gain and excessively long
breastfeeds. The main objective of this work is to study the prevalence of
ankyloglossia in newborns with breastfeeding difficulties. MATERIALS AND METHODS:
This is a transversal descriptive study of 302 patients, between 0 and 6 months,
who attended the hospital as a result of breastfeeding difficulties. All patients
with sucking problems and ankyloglossia were included in this study and followed
the multidisciplinary treatment protocol made up of the services of
Breastfeeding, Speech Therapy and Orofacial Rehabilitation and Oral and
Maxillofacial Surgery. RESULTS: 1,102 newborns were seen at the paediatric
service of Hospital de Nens, Barcelona (Spain) during 2 years; 302 had
breastfeeding difficulties and of these, 171 were diagnosed with ankyloglossia
(60 girls and 111 boys). Coryllos Grade 3 ankyloglossia was the most prevalent
(59.6%) type; 85 infants (49.7%) were exclusively breastfed and 26 (50.35%) were
mixed fed (formula and breastfeeding). Only 43 patients had a family history of
tongue-tie (25.1%). CONCLUSION: Ankyloglossia linked to breastfeeding
difficulties must be treated by a multidisciplinary team. We have found a high
prevalence of the condition since the population studied are newborns with
sucking problems. If a frenotomy is necessary, we recommend stimulating suction
with myofunctional therapy before and after surgery to avoid scar retraction.
PMID- 29380620
TI - Parental Oral Health Literacy of children with severe early childhood caries in
Hong Kong.
AB - AIM: To assess the parental oral health literacy of children with severe early
childhood caries. MATERIALS AND METHODS: A total of 315 children diagnosed with
severe early childhood caries, and their parents were recruited to participate in
the study. A clinical examination was conducted to assess the children's oral
health status. Their parents were asked to complete a Hong Kong Oral Health
Literacy Assessment Task for Paediatric Dentistry (HKOHLAT-P) questionnaire.
RESULTS: There were 311 complete responses (98.7% response rate). The mean age of
children was 4.7 years (standard deviation (SD) =0.8). The mean decayed, missing
filled teeth (dmft) score was 10.2 (SD = 4.5). Most of the children had unfilled
dental caries (98.7%). About 72.7% of the parents had an education level of up to
secondary school. More than half (61.7%) had a monthly family income of below HKD
20,000. Parents with a lower education level and income had a significantly lower
HKOHLAT-P score (p<0.001). Multiple regression analyses showed that a higher
family income (p<0.05) and education level (p<0.05) predicted higher HKOHLAT-P
scores. CONCLUSION: The parental oral health literacy of children with severe
early childhood caries was associated with their socio-economic background. Oral
health education should be targeted towards the lower socio-economic groups.
PMID- 29380621
TI - Paediatric laser dentistry. Part 4: Soft tissue laser applications.
AB - AIM: Lasers can provide effective soft tissues applications in children. All the
wavelengths produce incision and vaporisation of oral tissues, together with a
high bactericidal effect. The haemosthatic effect varys according to the
wavelength used, and the choice of a visibile, near, medium or far infrared laser
allows a better interaction with specific targets, gingiva, mucosa, frenum, or
oral pathology.
PMID- 29380623
TI - Improved cookstoves and their effect on carbon monoxide levels in San Lucas
Toliman, Guatemala.
AB - INTRODUCTION: Installation of ventilated cookstoves has been shown to improve 24
h carbon monoxide (CO) and particulate exposure in the Guatemalan highlands.
However, a survey of villagers around San Lucas Toliman found much higher than
expected CO levels. Our purpose is to evaluate the effects of improved cookstoves
on CO levels in these villagers. METHODS: This is cross sectional observational
study in six rural communities. Blood carboxyhemoglobin (SpCO) was measured at
three different times during the day. Stove type and location, as well as any
respiratory, eye, or general symptoms reported were recorded. RESULTS: 122
patients were included. CO levels were much higher than would be expected in a
non-smoking population, with an average level of 4.6 +/- 2.3 percent. There was
no significant correlation in CO level and stove type or in CO level and time of
day. Reported frequency of respiratory and eye symptoms (dyspnea, p = 0.03;
cough, p = 0.01; burning eyes, p = 0.001; and excessive tearing, p = 0.001) did
vary significantly between improved and unimproved stove groups. CONCLUSION: This
study found high average SpCO levels in all villagers. This suggests that some
contributor other than cookstoves may be an additional driver of individual CO
exposure in this area.
PMID- 29380624
TI - Having a Regular Primary Care Provider Is Associated With Improved Markers of
Well-Being Among Children With Attention-Deficit Hyperactivity Disorder.
AB - We examined the association between having a regular primary care physician (PCP)
and measures of flourishing and academic success in children with attention
deficit hyperactivity disorder (ADHD). We performed a cross-sectional study using
data from the 2011-2012 National Survey of Children's Health. Children aged 6 to
17 years with a diagnosis of ADHD were included in the study (n = 8173). The
exposure was whether the guardian identified a regular PCP for their child. The
outcomes were parental-reported measures of child well-being and academic
performance. Among the study population, 8.9% reported no regular PCP. These
children were found to be significantly less likely to finish assigned tasks
(adjusted odds ratio [OR] = 0.52, 95% confidence interval [CI] = 0.35-0.79), care
about school (adjusted OR = 0.62, 95% CI = 0.38-0.92), and finish homework
(adjusted OR = 0.58, 95% CI = 0.36-0.88). There were no differences in other
examined outcomes. Enhancing longitudinal care for this population may optimize
their academic performance.
PMID- 29380622
TI - Atropisomerism in medicinal chemistry: challenges and opportunities.
AB - Atropisomerism is a dynamic type of axial chirality that is ubiquitous in
medicinal chemistry. There are several examples of stable atropisomeric US FDA
approved drugs and experimental compounds, and in each case the atropisomers of
these compounds possess drastically different biological activities. Rapidly
interconverting atropisomerism is even more prevalent, and while such compounds
are typically considered achiral, they bind their protein targets in an
atroposelective fashion, with the nonrelevant atropisomer contributing little to
the desired activities. It has been recently demonstrated that various properties
of an interconverting atropisomer can be modulated through the synthesis of
atropisomer stable and pure analogs. Herein we discuss examples of atropisomerism
in drug discovery as well as challenges and opportunities moving forward.
PMID- 29380625
TI - Design, synthesis and evaluation of 1,4-benzodioxine derivatives as novel
platelet aggregation inhibitors.
AB - AIM: To find novel platelet aggregation inhibitors, two new series of 1,4
benzodioxine derivatives were synthesized and screened for the ability to inhibit
platelet aggregation. MATERIALS & METHODS: The synthesized compounds were
evaluated for antiplatelet aggregation activity using human blood platelet and
GPIIb/IIIa antagonistic activity. RESULTS: Compound 9-2p showed significant
antiplatelet activity with the IC50 values of 41.7 and 22.2 MUM induced by ADP
and thrombin, respectively, more potent than that of LX2421. Compound 9-2p
exhibited GPIIb/IIIa antagonistic activity with the IC50 value of 2.3 MUM, as
potent as RGDs. In vivo study showed that 9-2p displayed remarkable
antithrombotic activity, more effective than LX2421, but less effective than
tirofiban. CONCLUSION: Compound 9-2p showed moderate antiplatelet activity and
antithrombotic activity, which could be further optimized based on the target of
GPIIb/IIIa.
PMID- 29380626
TI - Does message framing affect changes in behavioural intentions in people with
psoriasis? A randomized exploratory study examining health risk communication.
AB - Message framing is important in health communication research to encourage
behaviour change. Psoriasis, a long-term inflammatory skin condition, has
additional comorbidities including high levels of anxiety and cardiovascular
disease (CVD), making message framing particularly important. This experimental
study aimed to: (1) identify whether health messages about psoriasis presented as
either gain- or loss-framed were more effective for prompting changes in
behavioural intentions (BI), (2) examine whether BI were driven by a desire to
improve psoriasis or reduce CVD risk; (3) examine emotional reactions to message
frame; and (4) examine predictors of BI. A two by two experiment examined the
effects on BI of message frame (loss vs. gain) and message focus (psoriasis
symptom reduction vs. CVD risk reduction). Participants with psoriasis (n = 217)
were randomly allocated to one of four evidence-based health messages related to
either smoking, alcohol, diet or physical activity, using an online
questionnaire. BI was the primary outcome. Analysis of variance tests and
hierarchical multiple regression analyses were conducted. A significant frame by
focus interaction was found for BI to reduce alcohol intake (p = .023); loss
framed messages were more effective for CVD risk reduction information, whilst
gain-framed messages were more effective for psoriasis symptom reduction
information. Message framing effects were not found for BI for increased physical
activity and improving diet. High CVD risk was a significant predictor of
increased BI for both alcohol reduction (beta = .290, p < .01) and increased
physical activity (beta = -.231, p < .001). Message framing may be an important
factor to consider depending on the health benefit emphasised (disease symptom
reduction or CVD risk reduction) and patient-stated priorities. Condition
specific health messages in psoriasis populations may increase the likelihood of
message effectiveness for alcohol reduction.
PMID- 29380627
TI - The benefits of in silico modeling to identify possible small-molecule drugs and
their off-target interactions.
AB - The research into the use of small molecules as drugs continues to be a key
driver in the development of molecular databases, computer-aided drug design
software and collaborative platforms. The evolution of computational approaches
is driven by the essential criteria that a drug molecule has to fulfill, from the
affinity to targets to minimal side effects while having adequate absorption,
distribution, metabolism, and excretion (ADME) properties. A combination of
ligand- and structure-based drug development approaches is already used to obtain
consensus predictions of small molecule activities and their off-target
interactions. Further integration of these methods into easy-to-use workflows
informed by systems biology could realize the full potential of available data in
the drug discovery and reduce the attrition of drug candidates.
PMID- 29380628
TI - Risk Factors for Failed Nonoperative Treatment and Rerupture in Acute Achilles
Tendon Rupture.
AB - BACKGROUND: Nonoperative treatment is feasible in most patients with acute
Achilles tendon rupture. Risk factors associated with failed nonoperative
treatment are poorly understood. We investigated risk factors associated with
rerupture after nonoperative treatment and otherwise failed nonoperative
treatment of Achilles tendon rupture. METHODS: All patients diagnosed with acute
Achilles tendon rupture between January 2009 and June 2016 and who underwent 8
weeks of nonoperative treatment with functional rehabilitation were included in
the study. Patients with rerupture or otherwise failed nonoperative treatment
were identified retrospectively. Time to rerupture and association of age, sex,
time from injury, diabetes, and visits to the physiotherapist for cases of
reruptures and otherwise failed nonoperative treatment were investigated. A total
of 210 patients were included in the study. RESULTS: Fifteen patients sustained a
rerupture. Rerupture incidence was 7.1%. Incidence of late reruptures, those
occurring after return to daily activities at 12 weeks, was 1.9%. Six patients
had otherwise failed nonoperative treatment. Median time to rerupture was 23 days
(6 to 61) after the end of the treatment. The incidence of all-cause failure was
10.0%. Male gender was associated with reruptures ( P = .013) and failed
nonoperative treatment for any reason ( P = .029). CONCLUSION: It is important to
highlight the increased risk of rerupture in male patients during the first month
after the end of the nonoperative treatment. Age alone, even in male patients,
was a poor indication for operative treatment since it did not predict early
failure. Further studies will hopefully clarify the influence of activity level
on the risk of rerupture. LEVEL OF EVIDENCE: Level IV, retrospective case series.
PMID- 29380629
TI - Cholinergic alterations by exposure to pesticides used in control vector: Guppies
fish (Poecilia reticulta) as biological model.
AB - Spinosad and temephos are two of the most used pesticides in Mexico for the
control of vector causing disease such as dengue, chikungunya and Zika. The aim
of this study was to compare the neurotoxic effects of these two pesticides using
guppy fish (Poecilia reticulata) as a model organism. Guppies were exposed for 7
and 21 days to technical grade temephos and spinosad at 1.0 and 0.07 g/L,
respectively, (10 and 0.5 mg/L of active substance; concentrations recommended by
the Ministery of Health of the State (Secretaria de Salud de Nayarit (SSN)
Mexico)). Subsequently, acetylcholinesterase activity (AChE) and acetylcholine
concentrations (ACh) in muscle tissue were determined. Temephos exposure
decreased AChE activity and increased ACh concentration, whereas exposure to
spinosad only increased ACh concentration. Though cholinergic alterations were
more severe in fish exposed to temephos, both pesticides were equally lethal
during the first seven days after exposure. Nonetheless, temephos was more lethal
after 21 days.
PMID- 29380631
TI - Corrigendum.
PMID- 29380630
TI - ICRP Publication 137: Occupational Intakes of Radionuclides: Part 3.
AB - Abstract -: The 2007 Recommendations of the International Commission on
Radiological Protection (ICRP, 2007) introduced changes that affect the
calculation of effective dose, and implied a revision of the dose coefficients
for internal exposure, published previously in the Publication 30 series (ICRP,
1979, 1980, 1981, 1988) and Publication 68 (ICRP, 1994). In addition, new data
are now available that support an update of the radionuclide-specific information
given in Publications 54 and 78 (ICRP, 1988a, 1997b) for the design of monitoring
programmes and retrospective assessment of occupational internal doses. Provision
of new biokinetic models, dose coefficients, monitoring methods, and bioassay
data was performed by Committee 2, Task Group 21 on Internal Dosimetry, and Task
Group 4 on Dose Calculations. A new series, the Occupational Intakes of
Radionuclides (OIR) series, will replace the Publication 30 series and
Publications 54, 68, and 78. OIR Part 1 has been issued (ICRP, 2015), and
describes the assessment of internal occupational exposure to radionuclides,
biokinetic and dosimetric models, methods of individual and workplace monitoring,
and general aspects of retrospective dose assessment. OIR Part 2 (ICRP, 2016),
this current publication and upcoming publications in the OIR series (Parts 4 and
5) provide data on individual elements and their radioisotopes, including
information on chemical forms encountered in the workplace; a list of principal
radioisotopes and their physical half-lives and decay modes; the parameter values
of the reference biokinetic model; and data on monitoring techniques for the
radioisotopes encountered most commonly in workplaces. Reviews of data on
inhalation, ingestion, and systemic biokinetics are also provided for most of the
elements. Dosimetric data provided in the printed publications of the OIR series
include tables of committed effective dose per intake (Sv Bq-1 intake) for
inhalation and ingestion, tables of committed effective dose per content (Sv Bq-1
measurement) for inhalation, and graphs of retention and excretion data per Bq
intake for inhalation. These data are provided for all absorption types and for
the most common isotope(s) of each element. The electronic annex that accompanies
the OIR series of publications contains a comprehensive set of committed
effective and equivalent dose coefficients, committed effective dose per content
functions, and reference bioassay functions. Data are provided for inhalation,
ingestion, and direct input to blood. This third publication in the series
provides the above data for the following elements: ruthenium (Ru), antimony
(Sb), tellurium (Te), iodine (I), caesium (Cs), barium (Ba), iridium (Ir), lead
(Pb), bismuth (Bi), polonium (Po), radon (Rn), radium (Ra), thorium (Th), and
uranium (U).
PMID- 29380633
TI - Implementing a Produce Prescription Program for Hypertensive Patients in Safety
Net Clinics.
AB - INTRODUCTION: Although community-clinical linkages can improve chronic disease
management, little is known regarding strategies for program implementation. We
describe implementation of a unique produce prescription program for patients
with hypertension (PRxHTN) involving 3 safety net clinics and 20 farmers' markets
(FMs). STRATEGY: Safety net clinics were invited to participate, and provider
leads received assistance in (1) developing a process flow to screen for food
insecurity among hypertensive adults for program referral, (2) integrating the
program into their electronic health record for scheduling, and (3) counseling
patients on PRxHTN/FM use. Research staff met with clinics twice monthly. FM
managers were trained on maintaining PRxHTN voucher redemption logs. DISCUSSION:
A total of 7 diverse providers screened 266 patients over 3 months; 224 were
enrolled. Twelve FM, including one newly established at a clinic through provider
FM manager collaboration, redeemed over $14,500 of the $10 PRxHTN vouchers. We
describe several strategies that can be used to prepare for and overcome
implementation challenges including organizational and staff selection,
facilitative administration, and clinical training and consultation. CONCLUSION:
The PRxHTN program offers a flexible implementation process allowing clinics to
successfully adapt their workflow to suit their staffing and resources.
PMID- 29380632
TI - Model reduction methodology for computational simulations of endovascular repair.
AB - Endovascular aneurysm repair (EVAR) is a current alternative treatment for
thoracic and abdominal aortic aneurysms, but is still sometimes compromised by
possible complications such as device migration or endoleaks. In order to assist
clinicians in preventing these complications, finite element analysis (FEA) is a
promising tool. However, the strong material and geometrical nonlinearities added
to the complex multiple contacts result in costly finite-element models. To
reduce this computational cost, we establish here an alternative and systematic
methodology to simplify the computational simulations of stent-grafts (SG) based
on FEA. The model reduction methodology relies on equivalent shell models with
appropriate geometrical and mechanical parameters. It simplifies significantly
the contact interactions but still shows very good agreement with a complete
reference finite-element model. Finally, the computational time for EVAR
simulations is reduced of a factor 6-10. An application is shown for the
deployment of a SG during thoracic endovascular repair, showing that the
developed methodology is both effective and accurate to determine the final
position of the deployed SG inside the aneurysm.
PMID- 29380634
TI - Trialing the Community-Based Collaborative Action Research Framework: Supporting
Rural Health Through a Community Health Needs Assessment.
AB - PURPOSE: To describe the application of the Community-Based Collaborative Action
Research (CBCAR) framework to uplift rural community voices while conducting a
community health needs assessment (CHNA) by formulating a partnership between a
critical access hospital, public health agency, school of nursing, and community
members to improve societal health of this rural community. METHOD: This
prospective explorative study used the CBCAR framework in the design, collection,
and analysis of the data. The framework phases include: Partnership, dialogue,
pattern recognition, dialogue on meaning of pattern, insight into action, and
reflecting on evolving pattern. DISCUSSION: Hospital and public health agency
leaders learned how to use the CBCAR framework when conducting a CHNA to meet
Affordable Care Act federal requirements. Closing the community engagement gap
helped ensure all voices were heard, maximized intellectual capital, synergized
efforts, improved communication by establishing trust, aligned resources with
initiatives, and diminished power struggles regarding rural health. CONCLUSION:
The CBCAR framework facilitated community engagement and promoted critical
dialogue where community voices were heard. A sustainable community-based
collaborative was formed. The project increased the critical access hospital's
capacity to conduct a CHNA. The collaborative's decision-making capacity was
challenged and ultimately strengthened as efforts continue to be made to address
rural health.
PMID- 29380635
TI - Recent advances on Toll-like receptor 4 modulation: new therapeutic perspectives.
AB - Activation or inhibition of TLR4 by small molecules will provide in the next few
years a new generation of therapeutics. TLR4 stimulation (agonism) by high
affinity ligands mimicking lipid A gave vaccine adjuvants with improved
specificity and efficacy that have been licensed and entered into the market.
TLR4 inhibition (antagonism) prevents cytokine production at a very early stage;
this is in principle a more efficient method to block inflammatory diseases
compared to cytokines neutralization by antibodies. Advances in TLR4 modulation
by drug-like small molecules achieved in the last years are reviewed. Recently
discovered TLR4 agonists and antagonists of natural and synthetic origin are
presented, and their mechanism of action and structure-activity relationship are
discussed.
PMID- 29380636
TI - Synthesis of novel quinine analogs and evaluation of their effects on Trypanosoma
cruzi.
AB - AIM: Chagas disease is a tropical disease caused by the hemoflagellate protozoan
Trypanosoma cruzi. There is no vaccine for Chagas disease and available drugs
(e.g., benznidazole) are effective only during the acute phase, displaying a
variable curative activity in the established chronic form of the disease. New
leads with high efficacy and better toxicity profiles are urgently required.
Materials & methods: A library of novel quinine derivatives was synthesized using
Heck chemistry and evaluated against the various developmental forms of T. cruzi.
RESULTS AND CONCLUSION: Several novel quinine analogs with trypanocidal activity
have been identified with the para-nitro-substituted derivative displaying a
submicromolar IC50, which is 83-times lower than quinine and three-times lower
than benznidazole. Transmission electron microscopy analysis demonstrated that
these compounds induced a marked vacuolization of the kinetoplast of
intracellular amastigotes and cell-derived trypomastigotes.
PMID- 29380637
TI - Case Analysis of Factors Contributing to Patient Falls.
AB - Falls are a constant risk for patients in acute-care hospitals, which can lead to
serious consequences. The purpose of this study was to examine hospital fall case
studies and to learn the contributing factors for patient falls. This was
achieved by conducting a secondary analysis of 11 fall case studies obtained from
two previous studies. The fall cases used the Senior Falls Investigative
Methodology (SFIM) approach, which provided detailed analysis of the
circumstances surrounding the falls. A total of 549 contributing factors were
identified in the 11 case studies, where major categories were classified
according to the four different layers of defenses using Reason's Swiss Cheese
Model of Accident Causation (organizational factors, supervision, preconditions,
and unsafe acts). Hospital policies, reduced supervision, disease processes, the
environment, and patients transferring without assistance dominated the reasons
for increased risk. Additional strategies were recommended for all layers of
defense to reduce patient falls.
PMID- 29380638
TI - Mental health and psychosocial characteristics of breast augmentation patients.
AB - Cosmetic breast surgery experience and a wide range of mental health,
psychosocial, and sociodemographic variables were assessed in the population
based Norwegian Mother and Child Cohort Study ( N = 78,252). Breast augmentation
patients reported elevated mental health problems. Factors in the domains of
physical appearance, drug use, relationships, and exposure to abuse were all
related to breast augmentation. Adjusting for such factors reduced the
relationships between breast augmentation surgery and all mental health problems
to non-significance. Results indicate that the increased prevalence of mental
health problems among breast augmentation patients has to be understood from
multiple perspectives involving several psychosocial domains.
PMID- 29380639
TI - Novel applications for an established antimalarial drug: tumoricidal activity of
quinacrine.
AB - Quinacrine (QC), a synthetic antimalarial drug, was consistently used worldwide
to combat malaria during the last century. Interestingly, later studies revealed
that it also displays various additional properties, specifically antitumor
activity. QC's antitumor activity occurs via a variety of pathways, including DNA
intercalation, angiogenesis inhibition, signal transduction regulation, cell
cycle arrest and autophagy induction. In combination with traditional therapies
such as chemotherapy and radiotherapy, QC has also displayed synergistic effects
against tumors, which may open promising therapeutic avenues. However, the
breadth and complexity of its antitumor mechanisms have not yet been fully
elucidated. In this review, we have systematically categorized QC's reported
antitumor mechanisms from recent studies, to enable a deeper understanding of its
antitumor activity.
PMID- 29380640
TI - Associations between primary tumor RAS, BRAF and PIK3CA mutation status and
metastatic site in patients with chemo-resistant metastatic colorectal cancer.
AB - BACKGROUND: Several studies have investigated correlations between metastatic
pattern and mutation status in patients with colorectal cancer (CRC). However,
most of the studies were small and heterogeneously designed and further research
is needed to confirm previous results. In this study, we investigated the
association between RAS (KRAS or NRAS), BRAF, PIK3CA mutations and metastatic
pattern in patients with metastatic (m) CRC. MATERIAL AND METHODS: This study
reviewed Danish biobank and database of patients with mCRC who received cetuximab
and irinotecan, independent of RAS mutation status, after fluoropyrimidine,
oxaliplatin and irinotecan treatment failure. The database contained information
regarding tumor mutation status of KRAS, NRAS, BRAF and PIK3CA genes. RESULTS:
Totally, 448 patients were included. On multivariate analyses, RAS mutations were
significantly associated with increased odds of having lung metastases at
diagnosis of mCRC (odds ratio (OR) = 2.04; 95% confidence interval (CI) = 1.32
3.17), and PIK3CA mutations with decreased odds of peritoneal metastases at
diagnosis of mCRC (OR = 0.10; 95%CI = 0.01-0.79). On multivariate analyses of the
hazard of developing metastases at any time during follow-up, RAS mutations were
significantly associated with increased hazard of lung (hazard ratio (HR) = 1.34;
95%CI = 1.04-1.72) and ovarian metastases (HR = 3.12; 95%CI = 1.05-9.24), BRAF
V600E mutation was associated with increased hazard of skin metastases (HR =
6.82; 95%CI = 1.86-25.02) and PIK3CA mutations with decreased hazard of
peritoneal metastases (HR = 0.31; 95%CI = 0.11-0.86). CONCLUSIONS: This study
indicated that in patients with mCRC, RAS mutations are associated with increased
risk of lung and ovary metastases. BRAF V600E is associated with increased risk
of skin metastases, and PIK3CA mutation with decreased risk of peritoneal
metastases.
PMID- 29380641
TI - Saccharomyces cerevisiae-based probiotic as novel anti-fungal and anti
inflammatory agent for therapy of vaginal candidiasis.
AB - Previously we demonstrated that the treatment with live Saccharomyces cerevisiae
exerts beneficial therapeutic effects against vaginal candidiasis. Here, we
address potential mechanisms particularly examining the probiotic capacity to
modulate both fungus and host-related factors. We show that the S. cerevisiae
based probiotic markedly affects the expression of virulence traits of Candida
albicans such as aspartyl proteinases (SAPs) as well as hyphae-associated
proteins Hwp1 and Ece1 in the vaginal cavity. On the host side, the probiotic
suppression of the influx of neutrophils caused by the fungus into the vaginas of
the mice is likely related to: (1) lower production of interleukin-8; and (2)
inhibition of SAPs expression. However, these neutrophils displayed reactive
oxygen species hyperproduction and increased killing activity as compared to the
neutrophils of placebo-treated mice. There was no evidence of any cytotoxic
effect by the probiotic, either when used in vivo on vaginal epithelial cell and
organ architecture, or in in vitro in human vaginal epithelium. Inactivated yeast
cells did not affect any of the factors above. In summary, the data suggest that
the beneficial effect exerted by this S. cerevisiae-based probiotic is the result
of its interference with the expression of fungus virulence factors coupled with
the modulation of the inflammatory response of the host.
PMID- 29380642
TI - Appropriate dose of Lactobacillus buchneri supplement improves intestinal
microbiota and prevents diarrhoea in weaning Rex rabbits.
AB - This study examined the effects on intestinal microbiota and diarrhoea of
Lactobacillus buchneri supplementation to the diet of weaning Rex rabbits. To
this end, rabbits were treated with L. buchneri at two different doses (LC: 104
cfu/g diet and HC: 105 cfu/g diet) for 4 weeks. PCR-DGGE was used to determine
the diversity of the intestinal microbiota, while real-time PCR permitted the
detection of individual bacterial species. ELISA and real-time PCR allowed the
identification of numerous cytokines in the intestinal tissues. Zonula occludens
1, polymeric immunoglobulin receptor and immunoglobulin A genes were examined to
evaluate intestinal barriers. Results showed that the biodiversity of the
intestinal microbiota of weaning Rex rabbits improved in the whole tract of the
treated groups. The abundance of most detected bacterial species was highly
increased in the duodenum, jejunum and ileum after L. buchneri administration.
The species abundance in the HC group was more increased than in the LC group
when compared to the control. Although the abundance of Enterobacteriaceae
exhibited a different pattern, Escherichia coli was inhibited in all treatment
groups. Toll-like receptor (TLR)2 and TLR4 genes were down-regulated in all
intestinal tissues as the microbiota changed. In the LC group, the secretion of
the inflammatory cytokine tumour necrosis factor-alpha was reduced, the gene
expression of the anti-inflammatory cytokine interleukin (IL)-4 was up-regulated
and the expression of intestinal-barrier-related genes was enhanced. Conversely,
IL-4 expression was increased and the expression of other tested genes did not
change in the HC group. The beneficial effects of LC were greater than those of
HC or the control in terms of improving the daily weight gain and survival rate
of weaning Rex rabbits and reducing their diarrhoea rate. Therefore, 104 cfu/g L.
buchneri treatment improved the microbiota of weaning Rex rabbits and prevented
diarrhoea in these animals.
PMID- 29380643
TI - Gut microbiota modulates type I interferon and antibody-mediated immune responses
in chickens infected with influenza virus subtype H9N2.
AB - Commensal gut microbes play a critical role in shaping host defences against
pathogens, including influenza viruses. The current study was conducted to assess
the role and mechanisms of action of commensal gut microbiota on the innate and
antibody-mediated responses of layer chickens against influenza virus subtype
H9N2. A total of 104 one-day-old specific pathogen free chickens were assigned to
either of the four treatments, which included two levels of antibiotics treatment
(ABX- and ABX+) and two levels of H9N2 virus infection (H9N2- and H9N2+). At day
17 of age, chickens in the H9N2+ group were infected via the oral-nasal route
with 400 MUl of 107 TCID50/ml (200 MUl/each route). Oropharyngeal and cloacal
swabs at days 1, 3, 5, 7 and 9 post-infection (p.i.) for virus shedding, tissue
samples at 12 h, 24 h and 36 h p.i. for mRNA measurement, and serum samples at
days 7 and 14 p.i. for hemagglutination inhibition (HI) assay and IgG antibodies
were collected. Virus shedding analysis showed that antibiotic treated (depleted)
H9N2 virus infected chickens showed a significantly higher oropharyngeal virus
shedding at all time points, and cloacal shedding at days 3 and 5 p.i. compared
to control treated (undepleted)-H9N2 infected chickens. Analysis of mRNA
expression showed that infection of depleted chickens with H9N2 virus resulted in
significantly down-regulated type I interferon responses both in the respiratory
and gastrointestinal tracts compared to undepleted-H9N2 infected chickens.
However, antibody-mediated immune response analysis showed a significantly higher
HI antibody titre and IgG levels in the serum of chickens depleted with
antibiotics and infected with H9N2 virus compared to undepleted-H9N2 infected
chickens. In conclusion, findings from the current study suggest that the gut
microbiota of chickens plays an important role in the initiation of innate
responses against influenza virus infection, while the antibody-mediated immune
response remains unaffected.
PMID- 29380644
TI - Impact of beneficial bacteria supplementation on the gut microbiota, colony
development and productivity of Apis mellifera L.
AB - Honey bees are important pollinators of several crops and ecosystems, having a
great ecological and economic value. In Europe, the restricted use of chemicals
and therapeutic agents in the beekeeping sector has stimulated the search for
natural alternatives with a special focus on gut symbionts. The modulation of the
gut microbiota has been recognised as a practical and successful approach in the
entomological field for the management of insect-related problems. To date, only
a few studies have investigated the effect of bacterial supplementation on the
health status of colonies, colony productivity and gut symbionts. To this
purpose, a preparation of sugar syrup containing bifidobacteria and lactobacilli
isolated from bee gut was sprayed on the frames of an apiary located in open
field once a week for four weeks. Treated and control hives were monitored for
two months for brood extension, honey and pollen harvest. The presence of
beneficial gut microorganisms within bee gut was investigated with denaturing
gradient gel electrophoresis and next generation sequencing. The administered
bacteria led to a significant increase of brood population (46.2%), pollen
(53.4%) and harvestable honey in honey supers (59.21%). Analysis of the gut
microbiota on the new generation of bees in treated hives showed an increase in
relative abundance of Acetobacteraceae and Bifidobacterium spp., which are known
to be involved in bee nutrition and protection.
PMID- 29380646
TI - A simple risk score based on sleep quality for predicting depressive symptoms in
menopausal women: a multicenter study.
AB - OBJECTIVE: We aim to establish a simple risk score based on sleep quality for
predicting depressive symptoms in menopausal women through a cross-sectional
investigation. MATERIALS AND METHODS: A total of 705 Chinese women aged 40-60
years were included in this cross-sectional investigation of a self-report
questionnaire from the Physical Examination Center at Shanghai Jiao Tong
University Affiliated Sixth People's Hospital. Depressive symptoms and sleep
quality were evaluated using self-rating depressive symptom scale (SDS)and the
Pittsburgh Sleep Quality Index (PSQI), respectively. After setting up a logistic
regression model and computing the odds ratio value, we further calculated and
predicted the risk score for depressive symptoms and then established a risk
score system. Finally, we validated the risk score in two investigations
(Validation 1 and Validation 2). RESULTS: The risk scoring system for predicting
depressive symptoms included a history of cancer, subjective sleep quality, sleep
disorder and daytime dysfunction, with total scores ranging from 0-35 points. The
receiver operating characteristic (ROC) sample curve was 0.801 (95% CI 0.753
0.848). The Area Under the Curve (AUC) was 0.832 (95% CI 0.738-0.925) in
Validation 1 and 0.799 (95%CI 0.667-0.930) in Validation 2. The best cutoff point
for depressive symptoms in the sample population was >=8 points, and the
sensitivity and specificity were 0.698 and 0.824, respectively. The sensitivity
was 0.775 and 0.667 in Validation 1 and Validation 2, respectively. CONCLUSIONS:
The risk score for predicting depressive symptoms in menopausal women based on
sleep is convenient. Because there is no complex assessment of multiple scales
requiredIt may be a preliminary screening tool for depressive symptoms in
menopausal women.
PMID- 29380645
TI - Variations in diet cause alterations in microbiota and metabolites that follow
changes in disease severity in a multiple sclerosis model.
AB - Multiple sclerosis (MS) is a metabolically demanding disease involving immune
mediated destruction of myelin in the central nervous system. We previously
demonstrated a significant alteration in disease course in the experimental
autoimmune encephalomyelitis (EAE) preclinical model of MS due to diet. Based on
the established crosstalk between metabolism and gut microbiota, we took an
unbiased sampling of microbiota, in the stool, and metabolites, in the serum and
stool, from mice (Mus musculus) on the two different diets, the Teklad global soy
protein-free extruded rodent diet (irradiated diet) and the Teklad sterilisable
rodent diet (autoclaved diet). Within the microbiota, the genus Lactobacillus was
found to be inversely correlated with EAE severity. Therapeutic treatment with
Lactobacillus paracasei resulted in a significant reduction in the incidence of
disease, clinical scores and the amount of weight loss in EAE mice. Within the
metabolites, we identified shifts in glycolysis and the tricarboxylic acid cycle
that may explain the differences in disease severity between the different diets
in EAE. This work begins to elucidate the relationship between diet, microbiota
and metabolism in the EAE preclinical model of MS and identifies targets for
further study with the goal to more specifically probe the complex metabolic
interaction at play in EAE that may have translational relevance to MS patients.
PMID- 29380647
TI - Antifungal activity of clinical Lactobacillus strains against Candida albicans
biofilms: identification of potential probiotic candidates to prevent oral
candidiasis.
AB - This study isolated Lactobacillus strains from caries-free subjects and evaluated
the inhibitory effects directly on three strains of C. albicans, two clinical
strains and one reference strain. Thirty Lactobacillus strains were isolated and
evaluated for antimicrobial activity against in vitro C. albicans biofilms. L.
paracasei 28.4, L. rhamnosus 5.2 and L. fermentum 20.4 isolates exhibited the
most significant inhibitory activity against C. albicans. Co-incubation between
these microorganisms resulted in deterrence of biofilm development and
retardation of hyphal formation. The hindrance of biofilm development was
characterized by the downregulated expression of C. albicans biofilm-specific
genes (ALS3, HWP1, EFG1 and CPH1). L. paracasei 28.4, L. rhamnosus 5.2 and L.
fermentum 20.4 demonstrated the ability to exert antifungal activity through the
inhibition of C. albicans biofilms.
PMID- 29380648
TI - Fort da: Fancy words for a patient's fuck you or exploring subject permanence in
the therapeutic clinical encounter.
PMID- 29380649
TI - Characterizing the good-enough Balint group: A work in progress.
PMID- 29380650
TI - Demystifying Balint culture and its impact: An autoethnographic analysis.
AB - Balint groups are a structured group process designed for physicians and other
helping professionals to provide feedback to each other concerning troublesome
patient encounters. The process of Balint groups has survived and even thrived
for more than a half century since their inception, suggesting their inherent
value. However, measuring the impact in order to communicate the nature of that
value has been elusive. A recent literature review highlighted the equivocal
nature of research results and suggested that well-designed qualitative research
may be more effective in selecting target measures which could result in better
evidence for this group process. This paper describes such an effort using a
qualitative analysis of the author's own reflections about significant moments
and learnings while participating in Balint groups.
PMID- 29380651
TI - Non-Decaying postsynaptics potentials and delayed spikes in hippocampal pyramidal
neurons generated by a zero slope conductance created by the persistent Na+
current.
AB - The negative slope conductance created by the persistent sodium current (INaP)
prolongs the decay phase of excitatory postsynaptic potentials (EPSPs). In a
recent study, we demonstrated that this effect was due to an increase of the
membrane time constant. When the negative slope conductance opposes completely
the positive slope conductances of the other currents it creates a zero slope
conductance region. In this region the membrane time constant is infinite and the
decay phase of the EPSPs is virtually absent. Here we show that non-decaying
EPSPs are present in CA1 hippocampal pyramidal cells in the zero slope
conductance region, in the suprathreshold range of membrane potential. Na+
channel block with tetrodotoxin abolishes the non-decaying EPSPs. Interestingly,
the non-decaying EPSPs are observed only in response to artificial excitatory
postsynaptic currents (aEPSCs) of small amplitude, and not in response to aEPSCs
of big amplitude. We also observed concomitantly delayed spikes with long
latencies and high variability only in response to small amplitude aEPSCs. Our
results showed that in CA1 pyramidal neurons INaP creates non-decaying EPSPs and
delayed spikes in the subthreshold range of membrane potentials, which could
potentiate synaptic integration of synaptic potentials coming from distal regions
of the dendritic tree.
PMID- 29380652
TI - Shifting Perceptions of Consequences of IPV Among Beneficiaries of Indashyikirwa:
An IPV Prevention Program in Rwanda.
AB - Indashyikirwa is a Rwandan program that seeks to prevent intimate partner
violence (IPV) and support healthy, equitable relationships. A fundamental
program aspect is a 5-month curriculum among heterosexual couples designed to
identify the causes and consequences of economic, emotional, physical, and sexual
IPV, and build skills to manage triggers of IPV. The program also trained opinion
leaders to more effectively prevent and respond to IPV, and established women's
safe spaces to educate women about their rights, refer or accompany women who
wish to report abuse or seek services. Drawing on 30 interviews with couples and
9 interviews with opinion leaders before and after completing the Indashyikirwa
trainings, this article highlights beneficiaries' perceived consequences of IPV,
and how such perceptions were influenced through the Indashyikirwa program.
Interviews were conducted in Kinyarwanda, recorded, translated, and transcribed
into English and analyzed thematically. The data reveal a depth of understanding
of consequences of various forms of IPV. Although several participants justified
more minor forms of men's physical IPV, such as slapping, severe consequences of
physical IPV were most readily identified and sanctioned. Various harms of
emotional and economic IPV were reported, yet these forms of IPV were typically
less socially sanctioned or identified as IPV. Conceptions of sexual IPV were
influenced by inequitable gender norms, and not typically recognized as a
violation under the law. Although the data do not yet demonstrate the long-term
impact, collectively identifying the overlapping consequences and underlying
power inequalities for all forms of IPV, the legal rights protecting against
various forms of IPV, and the benefits of nonviolent, equitable relationships,
appeared to be helpful to shift perceptions of consequences of IPV. Implications
of the findings for the program and broader IPV prevention are identified.
PMID- 29380653
TI - Psychopathic Traits and Sexual Coercion Against Relationship Partners in Men and
Women.
AB - The present study examined the relationships between psychopathic traits, gender,
and sexual coercion perpetration in the specific context of intimate partner
relationships. Community-dwelling adult men and women with recent criminal or
substance-use histories ( N = 300) completed self-report measures of psychopathic
personality traits (interpersonal, affective, lifestyle, and antisocial facets),
sexual coercion perpetrated within their most recent relationship, and other
relevant behaviors including physical intimate partner violence perpetration and
sexual coercion victimization. Results of regression analyses showed that the
relationship between psychopathic trait facets and sexual coercion was moderated
by gender, with effects varying based on level of coercion severity.
Specifically, women who showed high levels of interpersonal psychopathic traits
(superficial charm, social dominance) were more likely to engage in any sexual
coercion and minor severity sexual coercion than women with low levels of
interpersonal traits. For severe coercion, women who showed low levels of
affective psychopathic traits (coldness, lack of empathy) or high levels of
antisocial psychopathic traits (aggressiveness, criminality) were more likely to
be sexually coercive than other women. Relationships between the four
psychopathic trait facets and sexual coercion were more modest and nonsignificant
for men at any level of coercion severity. Results are discussed in the context
of sociocultural factors that may influence the role of psychopathic traits on
sexual coercion as a function of gender and relationship contexts, including
traditional sexual scripts, the cultural role of women as sexual gatekeepers, and
beliefs about token resistance.
PMID- 29380654
TI - How is internal migration reshaping metropolitan populations in Latin America? A
new method and new evidence.
AB - Internal migration is a key driver of patterns of human settlement and socio
economic development, but little is known about its compositional impacts.
Exploiting the wide availability of census data, we propose a method to quantify
the internal migration impacts on local population structures, and estimate these
impacts for eight large Latin American cities. We show that internal migration
generally had small feminizing, downgrading educational, and demographic window
effects: reducing the local sex ratio, lowering the average years of schooling,
and raising the share of working-age population due to an increased young adult
population. Over time, a rise in the proportion of males and a drop in the share
of the young adult population moving into cities reduced the feminizing and
demographic window effects. Concurrently, a rise in the average years of
schooling associated with people moving into cities attenuated the downgrading
impact of internal migration on local education levels.
PMID- 29380655
TI - Status of Endovascular Aneurysm Sealing After 5 Years of Commercial Use.
AB - Endovascular aneurysm sealing (EVAS) using the Nellix Endovascular Sealing System
was introduced commercially in 2013 with the aim of reducing the incidences of
reintervention and late complications observed after conventional endovascular
aneurysm repair (EVAR). In the 5 years since its commercial launch, the Nellix
system has evolved in terms of its structure, instructions for use, and delivery
technique. Complications, including migration and proximal endoleak, have been
recognized and treatment strategies developed, although durability remains to be
demonstrated. Prospective multicenter trials have shown a low incidence of type I
endoleak and reintervention at 1-year follow-up, comparable to conventional EVAR
with modern devices. Since the aneurysm sac is "actively managed" with EVAS,
similar to open surgical repair, type II endoleaks are rare with the Nellix
system. Unexpected benefits of active sac management with EVAS include reduced
incidences of postimplantation syndrome and cardiac complications, with low all
cause mortality at 1 year. Less neck dilatation compared to EVAR has also been
observed. The Nellix device and procedure continue to evolve, and further
prospective studies are indicated. This article analyzes the current EVAS
evidence to update our knowledge of this technique in the management of abdominal
aortic aneurysm.
PMID- 29380656
TI - Comparing time-based and event-based prospective memory over short delays.
AB - The current study compared monitoring in time- and event-based prospective memory
(PM). Time- and event-based non-focal task instructions were given after a
baseline block of a lexical decision ongoing task. Delay between instruction and
presentation of PM cue/time was manipulated between-subjects to examine
monitoring across short delays (1-6 min). Longer delays decreased performance in
the event-based task, but not in the time-based task. This accuracy decline was
accompanied by a decline in monitoring (as measured by PM cost to the ongoing
task in the trials immediately before the PM cue was presented) between the 1 and
3 min delays. Monitoring was only evident for the time-based task at the 6 min
delay as measured by PM cost to the ongoing task. Clock checks were also not
affected by delay, but did increase in frequency as the response time neared.
These results suggest that delay from the time of intention formation decreases
both accuracy and monitoring in event-based tasks, but does not decrease accuracy
or monitoring in time-based tasks.
PMID- 29380658
TI - Mothers' Grief Experiences of Losing Their Only Child in the 2008 Sichuan
Earthquake: A Qualitative Longitudinal Study.
AB - The purpose of this study is to explore bereaved mothers' 2-year experiences of
losing their only child in the 2008 Sichuan earthquake. Taking an interpretative
phenomenological approach, this study interviewed six bereaved mothers four times
(6 months, 12 months, 18 months, and 24 months) in Dujiangyan area in Sichuan
Province. The findings suggest that these mothers' personal grief experiences
evolved: initially, anger toward the cause of their children's deaths, following
despair of meaningless life, guilt and regret, and finally yearning. Although
their yearning and missing ebbed after 2 years, these mothers still had
unresolved grief. These mothers also faced strained marital relationships and
additional pressure from social interactions. This study illuminates that these
mothers' personal grief experiences and their coping strategies corresponded to
Chinese family and sociocultural context.
PMID- 29380657
TI - Long-Term maintenance of anomia treatment effects in primary progressive aphasia.
AB - This study examined the maintenance of anomia treatment effects in primary
progressive aphasia (PPA). Following baseline testing, a phonological treatment
and an orthographic treatment were administered over the course of six months.
The treatment stimuli consisted of nouns that were consistently named correctly
at baseline (Prophylaxis items) and/or nouns that were consistently named
incorrectly at baseline (Remediation items). Naming accuracy was measured at
baseline, and it was measured at 1 month, 8 months, and 15 months post-treatment.
The change in naming accuracy from baseline to each post-treatment evaluation was
calculated within each treatment condition, and within a matched untrained
condition. The change in naming accuracy was then compared between the three
conditions. The results of these analyses indicate that phonological and
orthographic treatments are both effective in the Prophylaxis and Remediation of
anomia in all three variants of PPA. For Prophylaxis items, some of the effects
of each treatment can persist for as long as 15 months post-treatment. These long
term treatment effects were more robust in the orthographic treatment condition
and for participants with the semantic variant of PPA.
PMID- 29380659
TI - The Impact on Mental Health of Losing an Only Child and the Influence of Social
Support and Resilience.
AB - The number of parents who have lost their only child (PLOCs) has increased
annually with the implementation of the birth control policy in mainland China.
This study aimed to investigate the mental health status of PLOCs and the
influence of social support and resilience. Study 1 recruited 100 PLOCs and 88
nonbereaved parents, and compared differences in depression, anxiety, and
loneliness. Study 2 investigated the influence of social support and resilience
on the mental health of PLOCs via a mediating model. Results indicate that PLOCs
reported more anxiety and depression symptoms than nonbereaved counterparts.
Perceived close family support, objective support, and resilience negatively
predicted anxiety and depression. In addition, perceived close family support was
found to influence mental health via resilience. The current findings reveal that
losing an only child has long-term negative impacts on the mental health of
PLOCs. However, perceived close family support and objective support can protect
their mental health either directly or indirectly via resilience.
PMID- 29380660
TI - Validity of Brief Cognitive Assessment Tool modifications for older adults with
visual and motor limitations.
AB - INTRODUCTION: The prevalence of cognitive, sensory, and physical impairments is
expected to grow alongside increasing life expectancy. These chronic conditions
pose challenges for geriatric assessment. We examined whether Brief Cognitive
Assessment Tool (BCAT) modifications to accommodate visual and motor limitations
would retain strong validity for identifying mild cognitive impairment (MCI) and
dementia. METHOD: Psychometric analyses were performed on archival data (N = 458)
from community-dwelling older adults and residents of assisted living facilities
and nursing homes in Maryland, USA (age >= 50 years). Participants completed a
brief testing battery, including the BCAT, and were assigned a cognitive
diagnosis (normal cognition, MCI, mild dementia, moderate dementia, severe
dementia) by licensed clinical psychologists. RESULTS: Receiver operator
characteristic curve analyses evidenced excellent diagnostic validity of BCAT
modification cutoffs for identifying the cognitive categories. Contextual memory
and executive control factors, which explained over 80% of variance in cognitive
diagnoses, may account for the preservation of validity despite BCAT
modifications. CONCLUSIONS: The results indicate strong psychometric evidence for
the BCAT modifications and provide cutoffs for identifying MCI and staging
dementia. For clinicians, the score guidelines are preferable to the guesswork
involved with adjusting cutoffs to accommodate visual and motor limitations.
PMID- 29380661
TI - Evaluation of wound healing effect of alginate films containin g Aloe vera ( Aloe
barbadensis Miller) gel.
AB - A new alginate dressing with Aloe vera gel was developed and used to study its
cutaneous healing effect in vivo by surgical wounds in Wistar rats. The aloe
alginate film was characterized by Fourier-transform infrared spectroscopy,
mechanical properties, scanning electron microscopy, hydration rate, and calcium
release. The aloe-alginate film demonstrated desirable physical and mechanical
characteristics for wound dressing application. The animals were divided in three
groups (n = 20): gauze bandage, alginate film, and aloe-alginate film. The
histological analysis on the 3rd, 7th, 14th, and 22nd days after the treatment
showed that the aloe-alginate films modulated the inflammatory phase and
decreased the quantity of macrophages when compared to the alginate film group
and the control (gauze bandage). The evaluation of collagen fibers showed a
decrease in type III and increase in type I fibers on group treated with aloe
alginate film suggesting an improvement in the progression of the healing
process.
PMID- 29380662
TI - Vancomycin-impregnated electrospun polycaprolactone (PCL) membrane for the
treatment of infected bone defects: An animal study.
AB - There is no consensus for the management of critical infected bone defects. The
purpose of this study was to produce a vancomycin-impregnated electrospun
polycaprolactone (PCL) membrane for the treatment of infected critical bone
defects, and test it in a rabbit model. Electrospinning produced a resorbable PCL
fiber membrane containing vancomycin approximately 1 mm in thickness, with a pore
diameter of <10 MUm. Femur defects were made in the limbs of 18 rabbits and
infected with Staphylococcus aureus. The rabbits were divided into three groups
according to treatment: (1) Experimental group: rabbit freeze-dried allogeneic
bone graft and the vancomycin-PCL membrane. (2) Control group 1: bone graft. (3)
Control group 2: vancomycin-PCL membrane only. Culture showed no difference in
osteoclast activity between the three groups. Transwell testing showed that
almost no fibroblasts passed through the membrane during the first 24 h, but some
fibroblasts were able to pass it after 72 h. At 12 weeks after surgery, there was
significantly less inflammatory cell infiltration in the experimental compared to
the control groups. New bone formation and fracture bone callus were greater in
the experimental group than control groups. We thus conclude the resorbable
electrospun vancomycin-impregnated PCL membrane was effective at controlling bone
infection, and in the regeneration of bone in a critical bone defect animal
model.
PMID- 29380664
TI - Wernicke-Korsakoff syndrome as a rare phenotype of sporadic Creutzfeldt-Jakob
disease.
AB - We reported the case of a patient with Wernicke-Korsakoff syndrome (WKs) as an
early clinical manifestation of sporadic Creutzfeld-Jakob disease (sCJD). The 66
year-old female complained of dizziness and imbalance which mostly occurred while
walking. A neurological examination revealed a triad of symptoms characteristic
for WKs such as gaze paresis, ataxia of limbs and trunk as well as memory
disturbances with confabulations. The disturbances increased during the course of
the disease, which led to the death of the patient four months after the
appearance of the signs. The patient was finally diagnosed with sCJD disease. The
most useful ancillary examination results supporting sCJD diagnosis were brain
diffusion DWI MRI (diffusion weighted magnetic resonance imaging) and the
presence of 14-3-3 protein in CSF (cerebrospinal fluid). Since that manifestation
of sCJD is very unique other causes should be taken into consideration while
making a final diagnosis.
PMID- 29380665
TI - Repeated cocaine exposure dysregulates BDNF expression and signaling in the
mesocorticolimbic pathway of the adolescent rat.
AB - OBJECTIVES: Long-term abstinence following cocaine exposure up-regulates brain
derived neurotrophic factor (BDNF) expression in the mesocorticolimbic pathway.
Given the increased vulnerability to drug abuse typical of adolescence, we
hypothesized that changes in BDNF expression may become manifest early after the
end of cocaine treatment in the adolescent brain. METHODS: Rats received cocaine
injections from postnatal day 28 (PND28) to PND42 and the mesocorticolimbic
expression of BDNF was measured by real-time PCR and Western blotting at PND43.
RESULTS: In the ventral tegmental area, BDNF-tropomyosin receptor kinase B
(TrKappaB) expression and phosphorylation are enhanced while the intracellular
signaling is unaltered. In the nucleus accumbens (NAc) shell and core, BDNF and
its signaling were down-regulated. In the prelimbic (PL) cortex, we found reduced
BDNF expression and increased phosphoprylation of TrKappaB, ERK and AKT. In the
infralimbic (IL) cortex, increased BDNF expression was coupled with reduced
activity and expression of its downstream targets. To evaluate the role of
glutamate on BDNF-independent changes, we investigated the expression of the
transporter GLT-1 and the activation of the NMDA receptor subunit GluN2B, which
were both increased in the PL cortex while reduced in the IL cortex. CONCLUSIONS:
Our results show that adolescent cocaine exposure modulates BDNF system early
after treatment in the mesocorticolimbic pathway, identifying a complex but
specific set of changes that could provide clues for treatment.
PMID- 29380666
TI - The health choices book: Learning to think carefully about treatments The health
choices book: Learning to think carefully about treatments. A health science book
for primary school children.
PMID- 29380667
TI - Skin Diseases in the Era of Highly Active Antiretroviral Therapy: A Retrospective
Study of 534 Patients.
AB - BACKGROUND: With the increasing number of HIV-infected patients receiving highly
active antiretroviral therapy (HAART), the shift in their dermatologic profile
becomes less characteristic of AIDS-defining illnesses. METHODS: Retrospective
review of mucocutaneous pathology among patients seen at HIV-Dermatology Clinic
from January 2009 to December 2013. RESULTS: Among 534 patients, there were 68.4%
males and 31.6% females, with 8.7-year average duration of infection; 82.8% were
receiving HAART. Kaposi sarcoma was the only relatively frequent AIDS-defining
disease. Fungal and viral infections were common, with human papilloma virus
(HPV) as the most frequent overall. Benign and premalignant tumors were
associated with HAART and CD4 >200/mm3 ( P < .05). Psoriasis was prevalent among
patients without HAART ( P < .05). Prurigo was associated with lower CD4 count (
P < .001). CONCLUSION: Patients receiving HAART are faced with chronic skin
problems such as benign and premalignant tumors, and HPV infection adds to their
neoplastic predisposition. Further research is recommended to develop protocols
for treating psoriasis and screening for HPV-associated neoplasia among patients.
PMID- 29380668
TI - Whether Patients Want It or Not, Physician Recommendations Will Convince Them to
Accept HIV Testing.
AB - Physicians are not routinely offering patients HIV testing, partly due to
perceived patient discomfort with discussing HIV. This study assessed patients'
comfort level and whether physician recommendations can overcome any discomfort
that does exist. In a publicly funded primary care clinic, we administered a
survey exploring patient facilitators to HIV testing, with 266 patients answering
the 2 main survey questions of interest. Most participants wanted their physician
to offer HIV testing (n = 175; 65.8%). Even among participants who did not want
their physician to offer HIV testing (n = 91), over half (n = 54; 59.3%) reported
they would "likely" or "very likely" accept HIV testing if their physician
recommended it. Based on our findings, not only are negative attitudes about HIV
testing among patients uncommon but physician recommendations may be able to
convince patients to receive HIV testing in spite of patients stating they do not
want the test.
PMID- 29380669
TI - Cerebral blood flow measured by positron emission tomography during normothermic
cardiopulmonary bypass: an experimental porcine study.
AB - BACKGROUND: Mean arterial blood pressure (MAP) and/or pump flow during
normothermic cardiopulmonary bypass (CPB) are the most important factors of
cerebral perfusion. The aim of this study was to explore the influence of CPB
blood flow on cerebral blood flow (CBF) measured by dynamic positron emission
tomography (PET) using 15O-labelled water with no pharmacological interventions
to maintain the MAP. METHODS: Eight pigs (69-71 kg) were connected to
normothermic CPB. After 60 minutes (min) with a CPB pump flow of 60 mL/kg/min,
the pigs were changed to either 35 mL/kg/min or 47.5 mL/kg/min for 60 min and,
thereafter, all the pigs returned to 60 mL/kg/min for another 60 min. The MAP was
measured continuously and the CBF was measured by positron emission tomography
(PET) during spontaneous circulation and at each CPB pump flow after 30 min of
steady state. RESULTS: Two pigs were excluded due to complications. CBF increased
from spontaneous circulation to a CPB pump flow of 60 mL/kg/min. A reduction in
CPB pump flow to 47.5 mL/kg/min (n=3) resulted in only minor changes in CBF while
a reduction to 35 mL/kg/min (n=3) caused a pronounced change (correlation
coefficient (R2) 0.56). A return of CPB pump flow to 60 mL/kg/min was followed by
an increase in CBF, except in the one pig with the lowest CBF during low flow
(R2=0.44). CBF and MAP were not correlated (R2=0.20). CONCLUSION: In this
experimental porcine study, a relationship was observed between pump flow and CBF
under normothermic low-flow CPB. The effect of low pump flow on MAP showed
substantial variations, with no correlation between CBF and MAP.
PMID- 29380670
TI - A type 6 secretion system (T6SS) encoded gene within Salmonella enterica serovar
Enteritidis contributes to virulence.
AB - Bacteria interact with their host through protein secretion systems and surface
structures. Pathogenic bacteria encode protein secretion systems that promote the
invasion of the host's tissue, the evasion of the host's immune response, the
thwarting microbial competitors, and ultimately survival within the host. For
motile bacteria, the presence of extracellular flagella provides the host with a
structural motif used for activation of the immune system. Within this issue of
Virulence, the article "Identification of a novel gene in ROD9 island of
Salmonella Enteritidis involved in the alteration of virulence-associated protein
expression" describes the contribution of a gene, SEN1005, toward host-pathogen
interaction. The authors demonstrate the contribution of SEN1005 to cell culture
bioassays and infection in a mouse model of colitis. In each tested scenario,
deletion of SEN1005 results in a phenotypic defect that was complemented by
providing the SEN1005 gene in trans. SEN1005 contributes to the expression of
known virulence factors within SPI-1, flagellar and chemotaxis genes, and heat
shock/chaperone genes. Although much work is needed to fully elucidate the
function of SEN1005, this work contributes toward our understanding of the
genetic factors used by Salmonella to cause foodborne illnesses.
PMID- 29380671
TI - SSTR2 associated with neuronal apoptosis after intracerebral hemorrhage in adult
rats.
AB - Objective SSTR2 is a member of superfamily of SST receptor (SSTR), and widely
expressed in the brain; however, the knowledge of its functions in area adjacent
to hematoma after intracerebral hemorrhage (ICH) is still limited. Method The
role of SSTR2 in the processes of ICH was explored by conducting an ICH rat
model. Western blot and immunohistochemistry were employed to examine the level
of SSTR2 in area adjacent to hematoma after ICH. Immunofluorescent staining was
used to observe the spatial correlation of SSTR2 with cellular types adjacent to
hematoma after ICH. RNA interference specific to SSTR2 was adopted in PC12 cells
to clarify the causal correlation between SSTR2 and neuronal activities. Results
Increased expression of SSTR2 was observed and restricted to the neurons adjacent
to hematoma following ICH. Immunofluorescent staining showed that SSTR2 was
significant increased in neurons, but not astrocytes or microglia. Increasing
SSTR2 level was found to be accompanied by the up-regulation of activated caspase
3 and the down-expression of p-Akt in a time-dependent manner. What's more, using
SSTR2 RNA interference (SSTR2-RNAi) in PC12 cells, we indicated that SSTR2 might
have a pro-apoptotic role in neurons. Conclusion We speculated that SSTR2 might
exert its pro-apoptotic function in neurons through inhibiting Akt activity
following ICH.
PMID- 29380672
TI - Hierarchical virtual screening of the dual MMP-2/HDAC-6 inhibitors from natural
products based on pharmacophore models and molecular docking.
AB - The dual-target inhibitors tend to improve the response rate in treating tumors,
comparing with the single-target inhibitors. Matrix metalloproteinase-2 (MMP-2)
and histone deacetylase-6 (HDAC-6) are attractive targets for cancer therapy. In
this study, the hierarchical virtual screening of dual MMP-2/HDAC-6 inhibitors
from natural products is investigated. The pharmacophore model of MMP-2
inhibitors is built based on ligands, but the pharmacophore model of HDAC-6
inhibitors is built based on the experimental crystal structures of multiple
receptor-ligand complexes. The reliability of these two pharmacophore models is
validated subsequently. The hierarchical virtual screening, combining these two
different pharmacophore models of MMP-2 and HDAC-6 inhibitors with molecular
docking, is carried out to identify the dual MMP-2/HDAC-6 inhibitors from a
database of natural products. The four potential dual MMP-2/HDAC-6 inhibitors of
natural products, STOCK1 N-46177, STOCK1 N-52245, STOCK1 N-55477, and STOCK1 N
69706, are found. The studies of binding modes show that the screened four
natural products can simultaneously well bind with the MMP-2 and HDAC-6 active
sites by different kinds of interactions, to inhibit the MMP-2 and HDAC-6
activities. In addition, the ADMET properties of screened four natural products
are assessed. These found dual MMP-2/HDAC-6 inhibitors of natural products could
serve as the lead compounds for designing the new dual MMP-2/HDAC-6 inhibitors
having higher biological activities by carrying out structural modifications and
optimizations in the future studies.
PMID- 29380673
TI - The new watchdogs' vision of science: A roundtable with Ivan Oransky ( Retraction
Watch) and Brandon Stell ( PubPeer).
AB - On March 3rd, 2016, the authors of this note hosted a conference entitled
'Destabilized Science' at the University of California, Los Angeles, to which we
invited two representatives of core actors within the new science watchdog pack:
Ivan Oransky, co-founder in 2010 of Retraction Watch, and Brandon Stell, co
founder in 2012 of PubPeer. After the formal conference, we organized a
roundtable to discuss these invitees' experience and their vision of contemporary
science. Mario Biagioli (University of California, Davis), Michael Chwe (UCLA)
and Aaron Panofsky (UCLA) participated to the conversation. An edited transcript
of the discussion and a short podcast version are being published on
Transmissions ( ssstransmissions.org ) the new blog associated with Social
Studies of Science.
PMID- 29380674
TI - Identification of the hot spot residues for pyridine derivative inhibitor
CCT251455 and ATP substrate binding on monopolar spindle 1 (MPS1) kinase by
molecular dynamic simulation.
AB - Protein kinase monopolar spindle 1 plays an important role in spindle assembly
checkpoint at the onset of mitosis. Over expression of MPS1 correlated with a
wide range of human tumors makes it an attractive target for finding an effective
and specific inhibitor. In this work, we performed molecular dynamics simulations
of protein MPS1 itself as well as protein bound systems with the inhibitor and
natural substrate based on crystal structures. The reported orally bioavailable 1
h-pyrrolo [3,2-c] pyridine inhibitors of MPS1 maintained stable binding in the
catalytic site, while natural substrate ATP could not stay. Comparative study of
stability and flexibility of three systems reveals position shifting of beta
sheet region within the catalytic site, which indicates inhibition mechanism was
through stabilizing the beta-sheet region. Binding free energies calculated with
MM-GB/PBSA method shows different binding affinity for inhibitor and ATP.
Finally, interactions between protein and inhibitor during molecular dynamic
simulations were measured and counted. Residue Gly605 and Leu654 were suggested
as important hot spots for stable binding of inhibitor by molecular dynamic
simulation. Our results reveal an important position shifting within catalytic
site for non-inhibited proteins. Together with hot spots found by molecular
dynamic simulation, the results provide important information of inhibition
mechanism and will be referenced for designing novel inhibitors.
PMID- 29380675
TI - Multiscale simulation of the interaction of calreticulin-thrombospondin-1 complex
with a model membrane microdomain.
AB - Cell surface calreticulin (CRT) binding to thrombospondin-1 (TSP1), regulates
cell adhesion, migration, anoikis resistance, and collagen production. Due to the
essential role of membrane microdomains in CRT-mediated focal adhesion
disassembly, we previously studied the effect of raft-like bilayers on TSP1-CRT
interactions with all-atom molecular dynamics (AAMD) simulations. However, the
simulated systems of protein on the surface of the bilayer(s) in the explicit
solvent are too large for long timescale AAMD simulations due to computational
expense. In this study, we adopted a multiscale modeling approach of combining
AAMD, coarse-grained molecule dynamics (CGMD), and reversed AAMD (REV AAMD)
simulations to investigate the interactions of single CRT or of the TSP1-CRT
complex with a membrane microdomain at microsecond timescale. Results showed that
CRT conformational stabilization by binding of TSP1 in AAMD simulation was
undetectable in CGMD simulation, but it was recovered in REV AAMD simulation.
Similarly, interactions of the CRT N-domain and TSP1 with the membrane
microdomain were lost in CGMD simulations but they were re-gained in the REV AAMD
simulations. There was the higher coordination of the CRT P-domain in the TSP1
CRT complex with the lipid components of membrane microdomain compared to that of
single CRT, which could directly affect the conformation of CRT and further
mediate CRT recruitment of LDL receptor-related protein for signaling events.
This study provides structural and molecular insights into TSP1-CRT interactions
in a membrane microdomain environment and demonstrates the feasibility of using
multiscale simulations to investigate the interactions between protein and
membrane microdomains at a long timescale.
PMID- 29380677
TI - Editor's Corner.
PMID- 29380676
TI - The current role of glycopeptides in the treatment of methicillin-resistant
Staphylococcus aureus (MRSA) infections in not neutropenic adults: the viewpoint
of a group of Italian experts.
AB - Staphylococcus aureus is still an important problem in clinical and therapeutic
area, worldwide. In Italy, in recent years, methicillin resistance remained
stable, yet considerably high, the percentage of strains of MRSA being around
40%. It was deemed interesting and timely to carry out a consensus conference
using the RAND/UCLA method to collect the opinion of a group of experts in
infectious diseases on the role of glycopeptides in the management of MRSA
infections within several clinical scenarios and namely in pneumonia, bacteremia
and endocarditis, joint replacement infections, skin and soft tissue infections,
diabetic foot, abdominal infections and central nervous system infections. The
scenarios proposed by the Scientific Committee have been validated by a group of
experts in infectious diseases and then voted in three meetings of infectious
disease specialists. The results obtained on each individual condition were
analyzed and therapeutic recommendations on each of these were released.
PMID- 29380678
TI - Clinical Cancer Advances 2018: Annual Report on Progress Against Cancer From the
American Society of Clinical Oncology.
AB - A MESSAGE FROM ASCO'S PRESIDENT I remember when ASCO first conceived of
publishing an annual report on the most transformative research occurring in
cancer care. Thirteen reports later, the progress we have chronicled is
remarkable, and this year is no different. The research featured in ASCO's
Clinical Cancer Advances 2018 report underscores the impressive gains in our
understanding of cancer and in our ability to tailor treatments to tumors'
genetic makeup. The ASCO 2018 Advance of the Year, adoptive cell immunotherapy,
allows clinicians to genetically reprogram patients' own immune cells to find and
attack cancer cells throughout the body. Chimeric antigen receptor (CAR) T-cell
therapy-a type of adoptive cell immunotherapy-has led to remarkable results in
young patients with acute lymphoblastic leukemia (ALL) and in adults with
lymphoma and multiple myeloma. Researchers are also exploring this approach in
other types of cancer. This advance would not be possible without robust federal
investment in cancer research. The first clinical trial of CAR T-cell therapy in
children with ALL was funded, in part, by grants from the National Cancer
Institute (NCI), and researchers at the NCI Center for Cancer Research were the
first to report on possible CAR T-cell therapy for multiple myeloma. These
discoveries follow decades of prior research on immunology and cancer biology,
much of which was supported by federal dollars. In fact, many advances that are
highlighted in the 2018 Clinical Cancer Advances report were made possible thanks
to our nation's support for biomedical research. Funding from the US National
Institutes of Health and the NCI helps researchers pursue critical patient care
questions and addresses vital, unmet needs that private industry has little
incentive to take on. Federally supported cancer research generates the
biomedical innovations that fuel the development and availability of new and
improved treatments for patients. We need sustained federal research investment
to accelerate the discovery of the next generation of cancer treatments. Another
major trend in this year's report is progress in precision medicine approaches to
treat cancer. Although precision medicine offers promise to people with cancer
and their families, that promise is only as good as our ability to make these
treatments available to all patients. My presidential theme, "Delivering
Discoveries: Expanding the Reach of Precision Medicine," focuses on tackling this
formidable challenge so that new targeted therapies are accessible to anyone who
faces a cancer diagnosis. By improving access to high-quality care, harnessing
big data on patient outcomes from across the globe, and pursuing innovative
clinical trials, I am optimistic that we will speed the delivery of these most
promising treatments to more patients. Sincerely, Bruce E. Johnson, FASCO ASCO
President, 2017 to 2018.
PMID- 29380679
TI - Effect of Motion Perception on Intertemporal Choice Is Associated With the
Altered Time Perception.
AB - Intertemporal choice refers to the choice between receiving a small immediate
reward or a large delayed one. Previous studies have indicated that time
perception plays a critical role in the intertemporal choice, and it could be
affected by the features of the target stimulus in the time reproduction task,
such as speed of movement and state of motion. However, there is no evidence
about whether backward or forward motion perception could alter the intertemporal
choice. Thus, in our current study, 29 participants were asked to perform two
tasks in a random order. One was the intertemporal choice task after viewing
videos containing moving elements with forward/backward directions as well as
stationary ones, and another was the time perception task. We found that the
discounting rate in intertemporal choice was significantly larger in backward
motion condition than in both forward motion and stationary conditions,
indicating that backward motion perception made participants more myopic
(specifically, more likely to choose the smaller immediate reward instead of the
large delayed one) during their decision-makings. Meanwhile, participants
overestimated the temporal duration in a time perception task in backward motion
condition compared to the other two conditions. Furthermore, the Pearson's
correlation analysis showed that the changes of the intertemporal choice induced
by backward motion perception could be associated with the altered time
perception. As far as we know, we provide the first evidence on influence of
motion perception on the intertemporal choice as well as its possible cognitive
correlates, which extend previous studies on cognitive basis of the intertemporal
choices.
PMID- 29380680
TI - Power Moderates the Effects of Social Dominance Orientation on Punishment: An
Experimental Analysis.
AB - In this study, it was hypothesized that experimentally manipulated levels of
power would moderate the association of dispositional social dominance
orientation (SDO) with preferences for harsh punishment. In particular, we
expected to detect a stronger effect for dispositional SDO in the low-power
condition, relying on the notion that low power enhances sensitivity to threats
to the status quo, and that high SDO individuals are particularly motivated to
enforce hierarchy-enhancing measures as punishment. SDO scores were measured two
months prior to the experiment, and then immediately after the experimental
session. As expected, preexperimental SDO interacted with the power manipulation.
We found stronger preexperimental SDO effects on punishment among low-power
participants. We also anticipated and found that individuals high in SDO
increased further their postexperimental SDO scores if assigned to a high-power
condition. The discussion focuses on the importance of SDO effects among low
status groups and on how situational roles shape dispositional self-descriptions.
PMID- 29380681
TI - Multidimensionality of the Langner Symptom Survey and Replication of a Cutoff
Score in Emerging Adults.
AB - This study investigated the dimensionality of the Langner Symptom Survey and
replicated a recent finding regarding a clinically validated cutoff score in
emerging adults. Nine hundred thirteen (631 females and 282 males) students at a
private university in the Midwestern United States participated online as part of
a larger study and completed the Langner Symptom Survey and a demographic
questionnaire. Results from exploratory principal components and confirmatory
factor analyses provided support for both a six- and three-factor model of the
Langner Symptom Survey, with the three-factor model offering marginally better
confirmatory fit indices and greater parsimony of interpretation. A cutoff score
of 5 denoting clinically significant psychological distress and need for
treatment was supported through analysis of receiver-operating characteristic,
sensitivity, specificity, and total classification accuracy based on
psychological service utilization, and this result successfully replicated a
recently published finding. The Langner Symptom Survey may function as a
multidimensional measure of psychological distress and need for treatment in
emerging adults that may need a lexical update.
PMID- 29380682
TI - The effect of chronic conditions and multi-morbidity on self-assessed health in
Russia.
AB - AIMS: Chronic disorders, such as cardiovascular disease, cancer, respiratory
diseases and diabetes, are the leading cause of mortality globally, representing
68% of all recorded deaths. The incidence of chronic disease and multiple chronic
disease is rising across the world, but relatively little is known about the
impact of multi-morbidities on the life experiences of those individuals who
encounter them. In this paper, we examine and quantify the relationship between
chronic illness, multi-morbidity and the individual self-assessed health of the
Russian population using individual-level Russian data and a novel quantitative
technique. METHODS: We apply a partial proportional odds framework to a rich data
set incorporating demographic, socio-economic and health indicators in Russia.
RESULTS: We find that individuals with chronic conditions report significantly
lower levels of health than those without chronic conditions, but that the
strength of the effect is much more pronounced for males than for females (e.g.
neurological disease: odds ratio [OR]=4.81 for men; OR=1.86 for women)). As the
number of co-morbidities increases, there is a dramatic decrease in the
likelihood of reporting good health for both males and females, but for males
there is a greater increase in the likelihood of reporting bad health (OR=49.31
for males with >=5 diseases; OR=28.05 for females). CONCLUSIONS: More than 40% of
Russians currently live with multi-morbidity, and this group is at the highest
risk of reporting poor self-rated health. This research adds to the body of
evidence demonstrating the challenges facing health-care systems as new patterns
of disease take hold in contemporary society.
PMID- 29380683
TI - Resting-state fMR evidence of network reorganization induced by navigated
transcranial magnetic repetitive stimulation in phantom limb pain.
AB - Objectives Repetitive transcranial magnetic stimulation (rTMS) is a promising
tool for treatment of chronic pain. We describe the use of navigated rTMS to
treat a patient affected by phantom limb pain (PLP) and to modulate brain
functional connectivity. We reviewed the literature on the use of rTMS as a tool
for relieving central pain by promoting brain plasticity. Methods A 69-year-old
patient came to our observation blaming severe pain (Visual Analog scale, VAS,
score 9) to a phantom right lower limb. We mapped left primary motor area (PMA)
by navigated TMS and assessed connectivity with resting-state functional MR
(rsfMR). The patient underwent 30-days navigated rTMS treatment. We applied low
frequency stimulation (1 Hz) over the primary somatosensory area (PSA) and high
frequency stimulation (10 Hz) over PMA and dorsolateral prefrontal cortex (DLPFC)
of the left hemisphere. Results This strategy allowed a pain relief with a
reduction of 5 points of the VAS score after 1 month. Post-treatment rsfMR showed
increased connectivity, mainly in the sensory-motor network and the unaffected
hemisphere (P < 0.05). Discussion This report represents a proof-of-concept that
navigated rTMS can be effectively used to stimulate selected brain areas in PLP
patients in order to promote brain connectivity, and that rsfMR is a useful tool
able to analyze functional results. In the literature, we found data supporting
the assumption that, in patients affected by PLP, a reduced connectivity in
interhemispherical and sensory-motor network plays a role in generating pain and
that rTMS has the potential to restore impaired connectivity.
PMID- 29380684
TI - Relative orientation of collagen molecules within a fibril: a homology model for
homo sapiens type I collagen.
AB - Type I collagen is an essential extracellular protein that plays an important
structural role in tissues that require high tensile strength. However, owing to
the molecule's size, to date no experimental structural data are available for
the Homo sapiens species. Therefore, there is a real need to develop a reliable
homology model and a method to study the packing of the collagen molecules within
the fibril. Through the use of the homology model and implementation of a novel
simulation technique, we have ascertained the orientations of the collagen
molecules within a fibril, which is currently below the resolution limit of
experimental techniques. The longitudinal orientation of collagen molecules
within a fibril has a significant effect on the mechanical and biological
properties of the fibril, owing to the different amino acid side chains available
at the interface between the molecules.
PMID- 29380685
TI - The (Non)Impact of the 2015 Paris Terrorist Attacks on Political Attitudes.
AB - Previous research has consistently found widespread attitudinal impacts of
terrorist attacks. Using data from the European Social Survey, which was
conducting interviews in 11 countries when the Charlie Hebdo attacks happened in
January 2015, I compare respondents from before and after the shootings to test
whether the event shifted public opinion on several topics. There is no evidence
of average impacts across a range of issues, from xenophobia to ideological self
placement and immigration policy preferences. Data collected when the Paris
November 2015 shootings happened also present no evidence of public opinion
change on immigration and refugee policy matters in France, but there appears to
be an effect in other countries-which varies according to contextual
vulnerability. Results suggest that views on immigration and immigrants have, to
a certain extent, stabilized in Europe and are less susceptible to shifts from
dramatic events.
PMID- 29380687
TI - Disclosure of sexual orientation by gay, bisexual and other men who have sex with
men to healthcare.
PMID- 29380686
TI - Behavioral Adjustment Moderates the Link Between Neuroticism and Biological
Health Risk: A U.S.-Japan Comparison Study.
AB - Neuroticism, a broad personality trait linked to negative emotions, is
consistently linked to ill health when self-report is used to assess health.
However, when health risk is assessed with biomarkers, the evidence is
inconsistent. Here, we tested the hypothesis that the association between
neuroticism and biological health risk is moderated by behavioral adjustment, a
propensity to flexibly adjust behaviors to environmental contingencies. Using a
U.S.-Japan cross-cultural survey, we found that neuroticism was linked to lower
biological health risk for those who are high, but not low, in behavioral
adjustment. Importantly, Japanese were higher in behavioral adjustment than
European Americans, and as predicted by this cultural difference, neuroticism was
linked to lower biological health risk for Japanese but not for European
Americans. Finally, consistent with prior evidence, neuroticism was associated
with worse self-reported health regardless of behavioral adjustment or culture.
Discussion focused on the significance of identifying sociocultural correlates of
biological health.
PMID- 29380689
TI - Chemometric Analysis for the Classification of some Groups of Drugs with
Divergent Pharmacological Activity on the Basis of some Chromatographic and
Molecular Modeling Parameters.
AB - AIM AND OBJECTIVE: In this study, chemometric methods as correlation analysis,
cluster analysis (CA), principal component analysis (PCA), and factor analysis
(FA) have been used to reduce the number of chromatographic parameters
(logk/logkw) and various (e.g., 0D, 1D, 2D, 3D) structural descriptors for three
different groups of drugs, such as 12 analgesic drugs, 11 cardiovascular drugs
and 36 "other" compounds and especially to choose the most important data of
them. MATERIAL AND METHODS: All chemometric analyses have been carried out,
graphically presented and also discussed for each group of drugs. At first,
compounds' structural and chromatographic parameters were correlated. The best
results of correlation analysis were as follows: correlation coefficients like R
= 0.93, R = 0.88, R = 0.91 for cardiac medications, analgesic drugs, and 36
"other" compounds, respectively. Next, part of molecular and HPLC experimental
data from each group of drugs were submitted to FA/PCA and CA techniques.
RESULTS: Almost all results obtained by FA or PCA, and total data variance, from
all analyzed parameters (experimental and calculated) were explained by first
two/three factors: 84.28%, 76.38 %, 69.71% for cardiovascular drugs, for
analgesic drugs and for 36 "other" compounds, respectively. Compounds clustering
by CA method had similar characteristic as those obtained by FA/PCA. In our
paper, statistical classification of mentioned drugs performed has been widely
characterized and discussed in case of their molecular structure and
pharmacological activity. CONCLUSION: Proposed QSAR strategy of reduced number of
parameters could be useful starting point for further statistical analysis as
well as support for designing new drugs and predicting their possible activity.
PMID- 29380690
TI - Protein Sequence Comparison and DNA-binding Protein Identification with
Generalized PseAAC and Graphical Representation.
AB - AIM AND OBJECTIVE: The rapid increase in the amount of protein sequence data
available leads to an urgent need for novel computational algorithms to analyze
and compare these sequences. This study is undertaken to develop an efficient
computational approach for timely encoding protein sequences and extracting the
hidden information. METHODS: Based on two physicochemical properties of amino
acids, a protein primary sequence was converted into a three-letter sequence, and
then a graph without loops and multiple edges and its geometric line adjacency
matrix were obtained. A generalized PseAAC (pseudo amino acid composition) model
was thus constructed to characterize a protein sequence numerically. RESULTS: By
using the proposed mathematical descriptor of a protein sequence, similarity
comparisons among beta-globin proteins of 17 species and 72 spike proteins of
coronaviruses were made, respectively. The resulting clusters agreed well with
the established taxonomic groups. In addition, a generalized PseAAC based SVM
(support vector machine) model was developed to identify DNA-binding proteins.
Experiment results showed that our method performed better than DNAbinder, DNA
Prot, iDNA-Prot and enDNA-Prot by 3.29-10.44% in terms of ACC, 0.056-0.206 in
terms of MCC, and 1.45-15.76% in terms of F1M. When the benchmark dataset was
expanded with negative samples, the presented approach outperformed the four
previous methods with improvement in the range of 2.49-19.12% in terms of ACC,
0.05-0.32 in terms of MCC, and 3.82- 33.85% in terms of F1M. CONCLUSION: These
results suggested that the generalized PseAAC model was very efficient for
comparison and analysis of protein sequences, and very competitive in identifying
DNA-binding proteins.
PMID- 29380691
TI - Advances in Nanostructure-mediated Hyperthermia in Tumor Therapies.
AB - BACKGROUND: Hyperthermia has been proposed as a promising treatment modality for
its advantageous profiles such as mini/non-invasiveness, good tolerability and
cost-effectiveness. Quick development of nanotechnology in recent years has
greatly broadened the application area of hyperthermia and endowed it with
attractive new functions. This review aims to present an overview of different
nanostructures mediated hyperthermia in terms of external stimuli source.
METHODS: We performed to review for the development and current status of
nanostructure-mediated hyperthermia, by searching MEDLINE, EMBASE, and Cochrane
Library database for identification of relevant articles. RESULTS: In the present
study, the systemic results of hyperthermia mediated by nanostructures were
researched, and five different kinds of external sources were found and listed in
this review. The brief mechanism and commonly explored nanostructures were
introduced and then combined therapies of nanostructure-mediated hyperthermia
stimulated by different external sources were investigated. Finally, challenges
with current nanostructures mediated hyperthermia were discussed in order to give
advice to the future development of nanostructure-mediated hyperthermia.
CONCLUSIONS: Despite all the achievements the new technology of nanostructure
mediated hyperthermia have made in pre-clinical animal experiments, there are
still much to be pursued in the further development to be biocompatible,
effective and precise.
PMID- 29380692
TI - Cross-regulatory circuit between AHR and microbiota.
AB - The gut microbes have a close symbiotic relationship with their host.
Interactions between host and the microbiota affect the nutritional,
immunological, and physiological status of the host. The aryl hydrocarbon
receptor (AHR) is a ligand activated transcription factor that mediates the
toxicity of xenobiotics. Recently, the relationship between the gut microbiota
and AHR has attracted the attention of many researchers. The AHR influences the
intestinal microbiota population and mediates host-microbe homeostasis.
Interestingly, the gut microbiota also produces ligands of AHR from bacterial
metabolism and thereby activates the AHR signaling pathway. This review presents
current knowledge of the cross-regulatory circuit between the AHR and intestinal
microbiota.
PMID- 29380693
TI - Hepatitis C Virus Mediated Metastasis in Hepatocellular Carcinoma as a
Therapeutic Target for Cancer Management.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is one of the most common causes of
cancer associated deaths. Prognosis is relatively poor in cases where Hepatitis C
Virus (HCV) is associated as causative agent, mainly due to increased risk of
metastasis. Metastasis is the major cause of all cancer related deaths. METHODS:
We reviewed reports linking expression of HCV encoded proteins with changes in
cellular functions. We also compared reports on HCV-induced HCC with those on non
viral and Hepatitis B Virus (HBV) induced HCC. Novel therapeutic approaches for
handling metastatic HCC were also reviewed. RESULTS: HCV infection is associated
with expression of multiple pro-metastatic factors in HCC patients. HCV encoded
proteins can directly induce pro-metastasis cellular functions. HCV-induced HCC
has a greater chance of recurrence than any non-viral and Hepatitis B Virus (HBV)
induced HCC. Recent advances in understanding of evolutionary dynamics of tumor
argue that trying to prevent spreading of cancer may ultimately prove to be a
better approach than striving to cure it. Inhibiting the metastasis can thereby
substantially increase the survival period in patients. Host cell protein Nm23-H1
is a known suppressor of tumor metastasis and has been shown to be modulated by
proteins encoded by different viruses associated with cancers. CONCLUSION: Nm23
H1 is an important therapeutic target for virus mediated malignancies. This
review is an attempt to summarize the current state of understanding of cancer
cell metastasis in HCV induced tumors, and argues for approaches based on
targeting host and viral factors critical for cancer metastasis as therapeutic
targets.
PMID- 29380694
TI - Herbal Immunomodulators - A Remedial Panacea for Designing and Developing
Effective Drugs and Medicines: Current Scenario and Future Prospects.
AB - BACKGROUND: Constant exposure to various stressors, such as immune pressure,
rapidly increasing population, deleterious changes in the ecosystem, climate
change, infection with emerging and re-emerging pathogens, and fast-paced
lifestyle, is a critical factor in the globally increasing incidences of
immunocompromising health conditions, as well as stress. Synthetic
chemotherapeutic agents, which are widely available in the commercial market, may
be highly efficacious, but most are immunosuppressive and exert many side
effects. METHODS: Herein, we comprehensively reviewed current literature from
various scientific databases such as Bentham Science, PubMed, Scopus, Elsevier,
Springer, etc. The inclusion/exclusion criteria based on literature with high
importance was adopted to analyze and compile salient information from the
authentic bibliographic sources. RESULTS: Undoubtedly, the pivotal
characteristics of immunostimulants and immunomodulators in the maintenance of
the health and productivity of humans, as well as animals, cannot be overlooked.
Numerous herbs used in ethnoveterinary medicine can be successfully employed as
adjuvant rehabilitators to negate the deleterious effects of chemotherapeutics.
The sources of these medicinal remedies are part of long traditions in different
regions of the world, such as Indian Ayurveda and Traditional Chinese Medicine,
which have been developed through empirical experience. Traditional medicine
employs a holistic approach to the prevention of disease, and traditional herbal
medicines are a source of many components with a high therapeutic value that are
used in modern allopathic medicine. Globally, many studies have been conducted on
these herbs and have revealed unique active constituents that activate the innate
immune system through the stimulation of macrophages and lymphocytes, and
modulation of the cytokine profile, which leads to a state of alertness with a
subsequent reduction in the incidence of infection. Immunomodulatory constituents
with herbal origins are termed as phytochemicals, including flavonoids,
glycosides, polysaccharides, terpenoids, essential oils, various bitters, and
alkaloids; all these compounds exert vital, multidimensional effects. Efforts
have focused on screening plant preparations to identify adjuvant immune
properties; furthermore, several potent phytol adjuvants have been experimentally
proven to downregulate inflammatory reactions in addition to enhance specific
adaptive responses to vaccines. CONCLUSIONS: In summary, this review summarizes
the current status and future prospects regarding the immunomodulatory potential
of various herbs and plants and their promising utility for designing and
developing effective drugs and medicines in safeguarding the health of humans,
animals, and poultry.
PMID- 29380695
TI - How to Control Asthma with Personalized Management: Where Do We Stand Now?
AB - Asthma is one of the most significant diseases worldwide and causes overwhelming
costs physically and economically. The heterogeneity of asthma has been a hot
topic, and an increasing amount of research has been conducted on this issue. The
study of asthma has revealed various groups of asthma patients who share
phenotypic characteristics that naturally elicit the need for personalized asthma
therapy. Clinical evidence has shown that a 'one size fits all' approach does not
apply to all asthma patients because of the large variability in treatment
responses to asthma medication. Attention should be given to patients who do not
respond to normal treatment to avoid increasing the risk of exacerbation and
meaningless costs. An increasing amount of pharmacogenetics research, genotype
based trials and precision medicine trials have been conducted to investigate
this problem. Our review will focus on the three most widely used treatments for
asthma management, which are b-adrenergic receptor agonists, inhaled
corticosteroids (ICS) and anti-leukotriene modifiers. We summarize the existing
loci reported in the literature that are potentially associated with drug
responses to typically used medications, suggesting that a genetic test with high
predictive accuracy could predict therapeutic responses, and proper management
can be achieved in asthma patients. This personalized approach to curative
medicine should make way for the realization of personalized preventive and
predictive medicine in the coming years.
PMID- 29380696
TI - Kisspeptin and its effect on mammalian spermatogensis.
AB - Kisspeptin and its receptor, GPR54, are regarded as key regulators of and
catalysts for male puberty onset, and also fundamental gatekeepers of
spermatogenesis in mammals. Consequently, the loss function of kisspeptin or
GPR54 leads to a symptom of hypogonadotropic hypogonadism (HH) in human and HH
accompanied by lower gonadotrophic hormone levels, smaller testes, impaired
spermatogenesis and abnormal sexual maturation in mice. Besides its well-
recognized functions in hypothalamus before and during puberty, accumulating data
strongly support kisspeptin production in tests, and participation in somatic and
germ cell development and sperm functions as well. This review summarizes the
published data from animal models and humans in in vivo and in vitro studies
regarding the testicular activity of kisspeptins to conserve male fertility.
Kisspeptin might be a new potential therapeutic target for the treatment of male
fertility defects and disorders.
PMID- 29380697
TI - Medicinal and Therapeutic Potential of Herbs and Plant Metabolites / Extracts
Countering Viral Pathogens - Current Knowledge and Future Prospects.
AB - BACKGROUND: Recently, there has been a remarkable progress in the field of
antiviral herbal therapy owing to increasing concerns about the development of
drug resistance and limited advances in the field of antiviral drug discovery. In
almost all countries, medicinal plants have been used widely throughout history
for the treatment of diseases and infections as traditional healing remedies due
to their broad therapeutic spectrum and minimal or no side effects. As synthetic
antiviral drugs are not available against most of the viral agents, hence all
possible efforts have been focused on the search for new drugs and
complementary/alternative medicines from different herbal formulations. METHODS:
We have retrieved the related information from the online published resources
(Medline, PubMed, Pub- Med Central, Science Direct and other scientific
databases); which were further analyzed and compiled. RESULTS: Medicinal plants
contain extractable biochemical and bioactive compounds, which can target certain
viruses or can cure or prevent several viral diseases and infections. Despite
their long history of use, the research and scientific evidences regarding the
use of medicinal plants and natural products as prophylactics, therapeutics, and
their health multiple beneficial applications have only gained momentum in past
few decades. Many scientific studies have been undertaken, which range from the
separation of active substances to the comprehension of the therapeutic
mechanisms of antiviral herbs, their potent applications in the neutralization of
viral pathogens and clinical trials. Consequently, hundreds of herbs and plant
metabolites have been screened, identified, and tested for their antiviral
activities; fortunately, some have shown significant medicinal activity in the
amelioration or prevention of various viral diseases in both preclinical and
clinical studies. CONCLUSION: This review addresses the scientific significance
of various herbal formulations of different medicinal plants and their extracts,
which have shown promise or been proven effective for the treatment of diseases
caused by various viral pathogens, including emerging and re-emerging viruses
that infect humans, animals, poultry and fish.
PMID- 29380699
TI - Relationship between CNS and Immunology: Correlation with Psychology.
AB - BACKGROUND: Higher animals, especially the human beings have the privilege of
employing advanced central nervous system (CNS) as well as the evolved immune
system to ward off various onslaughts throughout their life. Alterations in
inflammatory and neural regulatory pathways lead to several disorders that are
now becoming the cause of concern across the world. Deregulation in bidirectional
network, particularly in aging population, leads to several neurodegenerative
diseases such as dementia as a one of the major characteristics. OBJECTIVE:
Interestingly, research updates have signified the role of abrupt immune
regulation in several brain diseases, establishing a link between altered immune
system and CNS related diseases. In the later period of life, the altered immune
response in the pathogenesis of major psychiatric disorders, has become more
visible. In the present manuscript, we present a synopsis on the linkage of CNS
and immune system with respect to psychology, with the aim to further understand
the biological machinery of psychoneuroimmunological disorders. The immune system
of human being plays an important role in keeping pathogen onslaughts on bay.
CONCLUSION: Our manuscript concludes a close relationship between emotion and
psychology to diseases and immunology, proclaiming the need of providing enhanced
attention on mechanistic aspect of psychoneuroimmunological disorders.
PMID- 29380700
TI - Role of Interleukin 28B Polymorphisms in Response to Interferon Based Therapy for
Hepatitis C Virus Clearance.
AB - BACKGROUND: Interleukin-28B (IL28B) locus on a human chromosomal region mapped to
19q13 execute immune defense against viruses. During Hepatitis C Virus (HCV)
infection the IL28B has a promising role in deciding the consequence of infection
for spontaneous clearance of viruses or causing chronic liver infection.
Treatment of chronic hepatitis C includes use of direct acting antivirals,
Pegylated-Interferon (PEG-IFN) and Ribavirin (RBV) therapy. Also, interferon free
regimens are suggested to be useful in resistant patients. Numerous reports
including Genome-Wide Association Studies (GWAS), comprehensive meta-analysis and
independent case-control studies in different population have revealed the
association between certain Il-28B polymorphisms and response to the PEGIFN- RBV
therapy in patients infected with HCV. METHOD: We searched all peer-reviewed
relevant and recent literature manually for the present review. CONCLUSION: The
GWAS studies have revealed an important role of IL28B in HCV infection, which was
supported by many independent studies and meta-analysis by different groups in
different ethnicities. IL28B genotyping may be use as predictors of response for
IFN-based therapy and personalized treatment of hepatitis C patient.
PMID- 29380701
TI - The expression of dopamine receptors gene and their potential role in targeting
breast cancer cells with selective agonist and antagonist drugs. Could it be the
novel insight to therapy?
AB - BACKGROUND: Breast cancer is one of the common causes of mortality for women in
Iran and other parts of the world. The substantial increasing rate of breast
cancer in both developed and developing countries warns the scientists to provide
more preventive steps and therapeutic measures. This study is conducted to
investigate the impact of neurotransmitters (e.g., Dopamine) through their
receptors and the importance of cancers via damaging immune system. It also
evaluates dopamine receptors gene expression in the women with breast cancer at
stages II or III and dopamine receptor D2 (DRD2) related agonist and antagonist
drug effects on human breast cancer cells, including MCF-7 and SKBR-3. METHODS:
The patients were categorized into two groups: 30 native patients who were
diagnosed with breast cancer at stages II and III, with the mean age of 44.6
years and they were reported to have the experience of a chronic stress or
unpleasant life event. The second group included 30 individuals with the mean age
of 39 years as the control group. In order to determine the RNA concentration in
all samples, the RNA samples were extracted and cDNA was synthesized. The MCF-7
cells and SKBR-3 cells were treated with dopamine receptors agonists and
antagonists. The MTT test was conducted to identify oxidative and reductive
enzymes and to specify appropriate dosage at four concentrations of dopamine and
Cabergoline on MCF-7 and SKBR-3 cells. Immunofluorescence staining was done by
the use of a mixed dye containing acridine orange and ethidiume bromide on
account of differentiating between apoptotic and necrotic cells. Flow cytometry
assay was an applied method to differentiate necrotic from apoptotic cells.
RESULTS: Sixty seven and thirty three percent of the patients were related to
stages II and III, respectively. About sixty three percent of the patients
expressed ER, while fifty seven percent expressed PR. Thirty seven percent of the
patients were identified as HER-2 positive. All types of D2-receptors were
expressed in PBMC of patients with breast cancer and healthy individuals. The
expression of the whole dopamine receptor subtypes (DRD2-DRD4) was carried out on
MCF-7 cell line. The results of RT-PCR confirmed the expression of DRD2 on SKBR-3
cells, whereas the other types of D2- receptors did not have an expression The
remarkable differences in gene expression rates between patients and healthy
individuals were revealed in the result of the Real-time PCR analysis. The over
expression in DRD2 and DRD4 genes of PBMCs was observed in the patients with
breast cancer at stages II and III. The great amount of apoptosis and necrosis
occurred after the treatment of MCF-7 cells by Cabergoline from 25 to 100 umolL-1
concentrations. CONCLUSION: This study revealed the features of dopamine
receptors associated with apoptosis induction in breast cancer cells. Moreover,
the use of D2-agonist based on dopamine receptors expression in various breast
tumoral cells could be promising as a new insight of complementary therapy in
breast cancer.
PMID- 29380698
TI - Pharmacokinetics, Pharmacodynamics and Pharmacogenetics of Tacrolimus in Kidney
Transplantation.
AB - BACKGROUND: Tacrolimus (Tac, or FK506), a calcineurin inhibitor (CNI), is the
first-line immunosuppressant which consists of the footstone as immunosuppressive
regimens in kidney transplantation. However, the drug toxicity and the
significant differences of pharmacokinetics (PK) and pharmacodynamics (PD) among
individuals are hidden troubles for clinical application. Recently, emerging
evidences of Tac pharmacogenetics (PG) regarding drug absorption, metabolism,
disposition, excretion and response are discovered for better understanding of
this drug. METHOD: We reviewed the published articles regarding the Tac PG and
its effects on PK and PD in kidney transplantation. In addition, we summarized
information on polygenic algorithms. RESULTS: The polymorphism of genes encoding
metabolic enzymes and transporters related to Tac were largely investigated, but
the results were inconsistent. In addition to CYP3A4, CYP3A5 and P-gp (also known
as ABCB1), single nucleotide polymorphisms (SNPs) might also affect the PK and PD
parameters of Tac. CONCLUSION: The correlation between Tac PK, PD and PG is very
complex. Although many factors need to be verified, it is envisaged that thorough
understanding of PG may assist clinicians to predict the optimal starting dosage,
help adjust the maintenance regimen, as well as identify high risk patients for
adverse effects or drug inefficacy.
PMID- 29380702
TI - Biological and Genetic Features of Neuroblastoma and Their Clinical Importance.
AB - Neuroblastoma derived from primitive cells of the sympathetic nervous system
typically develops in the adrenal medulla or paraspinal ganglia. Neuroblastoma
usually occurs sporadically, but familial cases are also observed. ALK and PHOX2B
germline mutations can cause hereditary neuroblastoma, while a common genetic
variation in chromosome 6p22 is associated to sporadic neuroblastoma. However,
the aetiology of sporadic neuroblastoma is not exactly known. This embryonic
malignancy generally represents the second most common solid tumour after central
nervous system tumours throughout the world in childhood. Neuroblastoma is a
complex disease that has different clinical courses, from metastatic spread to
spontaneous regression. Spontaneous regression can occur without therapy in
primary or metastatic site. Potential regression mechanisms primarily involve
apoptosis, hypermethylation of subtelomeric DNA, immune response and Nerve Growth
Factor (NGF) deprivation. Neuroblastoma is a heterogeneous tumour that can show
many different chromosomal abnormalities; e.g. MYCN amplification, 1p deletion,
unbalanced translocations involving chromosome 17, aneuploidies and Loss of
Heterozygosity (LOH) events. Tyrosine kinase receptors TrkA, TrkB and TrkC, their
ligands NGF, Brain-derived Neurotrophic Factor (BDNF) and Neurotrophin-3 (NT-3),
and Aurora Kinase A (AURKA) play a regulatory role in differentiation, apoptosis,
cell proliferation, tumourigenesis, angiogenesis or metastasis of neuroblastoma.
TrkA expression is associated with differentiation or regression, depending on
presence or absence of NGF, whereas TrkB and BDNF are mostly expressed in
aggressive neuroblastomas with MYCN amplification. MYCN is amplified in 18-38% of
neuroblastoma cases. MYCN amplification mechanism remains to be completely
clarified. This paper reviews the biological/genetic features and their clinical
importance in neuroblastoma.
PMID- 29380703
TI - Structural Basis of Antisickling Effects of Selected FDA Approved Drugs: A Drug
Repurposing Study.
AB - INTRODUCTION: Sickle cell disease is characterized by a point mutation involving
substitution of glutamic acid at position 6 to valine. Encoded in this
hydrophobic mutation is both an intrinsic capacity for the beta globin molecules
to assemble into thermodynamically favoured polymeric states as well as a
rational way of interrupting the aggregation. METHODS: In this work, starting
with a theoretical model that employs occlusive binding onto the beta globin
aggregation surface and using a range of computational methods and an effective
energy for screening, a number of FDA approved drugs with computed aggregation
inhibitory activities were identified. RESULTS AND CONCLUSION: The validity of
the model was confirmed using sickling tests, after which pharmacophore models as
well the structural basis for the observed antisickling effects were identified.
PMID- 29380704
TI - The Influence of Ageing and Gender in Musculoskeletal Stem Cell.
AB - BACKGROUND: Multipotent Mesenchymal Stromal Cells (MSCs) are the most common type
of stem cell used in the regeneration of skeletal tissue. These cells have
multipotent, angiogenic, paracrine, anti-fibrotic and immunological properties.
They are readily available, easily isolated and have many therapeutic
applications. The ageing population is on the increase and with this comes an
upsurge in medical conditions that may benefit from novel stem cell-based
therapies to treat ageingassociated diseases. Given that autologous implantations
have shown to be beneficial in these targeted therapies, questions are raised
regarding the effect of ageing and gender on the efficacy of stem cells.
OBJECTIVE: In this review, we look at the ageing process and look at the effects
of ageing and gender on the characterization, proliferation and differentiation
of mesenchymal stem cells. We also discuss future therapeutic strategies that may
be used to overcome these effects and cell senescence. CONCLUSION: Advancements
in modern technology and experimental techniques have provided an insight into
how ageing affects properties of MSCs. However, it is evident that further work
needs to be conducted due to many mixed reviews and conflicting data. Given that
the human life expectancy is expected to increase the topic of cell ageing and
therapeutic applications will remain a hot topic in years to come.
PMID- 29380705
TI - The Use of Electrospun Scaffolds in Musculoskeletal Tissue Engineering: A Focus
on Tendon and the Rotator Cuff.
AB - INTRODUCTION: Rotator Cuff tears affect 15% of 60 year olds and carry a
significant social and financial burden. Current operative techniques and repair
adjuncts are associated with unacceptably high failure rates, stimulating
investigation into novel tissue engineering and regenerative medicine (TERM)
approaches in the field of rotator cuff surgery. In this review we explore the
most recent advances in the field of electrospinning, focussing on proposed
tissue-engineered solutions in tendon, specifically the rotator cuff. METHODS:
The MEDLINE/PubMed database was reviewed for English language papers and
publication date within the last 5 years, using the search string
"electrospinning AND tendon". RESULTS: Of 38 results, eighteen studies were
included in the final analysis. Common themes identified included (1)
drug/biological molecule delivery (2) using novel and biological materials in
manufacture (3) increased mechanical strengths of materials, and, (4) techniques
to improve the nanotopographical properties - of electrospun scaffolds. Human
tissue was used in less than 15% of studies to determine cytocompatibility.
Varying study designs were observed often employing differing outcome measures
making direct comparisons and conclusions challenging. CONCLUSION: This review
summarises the most current scientific knowledge in the study of TERM in tendon
and the rotator cuff field and electrospinning techniques. We found that as
knowledge of the pathology behind rotator cuff tears is furthered, specific
molecules, mechanical properties and nanotopographical features are being
incorporated into electrospun scaffolds.
PMID- 29380706
TI - Anticancer Activity Study of Chromone and Coumarin Hybrids using Electrical
Impedance Spectroscopy.
AB - AIMS: Oncology treatments aim at selective toxicity for tumor (compared to
normal) cells, and chromone- coumarin hybrids have shown such activity. METHODS:
In this study, we test a novel series of synthetic chromone and coumarin
derivatives (1-9) for cytotoxic activity against a panel of tumor cell lines (MCF
7, A549, HepG2, HTC-116, B16 and Caco-2) opposed to non-tumor cells (HEK-293t).
Electrical impedance spectroscopy was used to monitor cell viability in real
time. RESULTS: Compound 8 showed the most potent activity, and it significantly
diminished cancer cell proliferation and viability in different cell lines. It
induced apoptosis in a dose-dependent manner, as shown by Western blot and flow
cytometry. CONCLUSION: Electrical impedance spectroscopy appears to be a
convenient tool for in vitro cytotoxicity analysis, which could be useful for
identifying drug effects and side effects during early phases of drug discovery
and development.
PMID- 29380707
TI - Bacterial Conjunctivitis in Childhood: Etiology, Clinical Manifestations,
Diagnosis, and Management.
AB - BACKGROUND: Bacterial conjunctivitis is a common reason for children to be seen
in pediatric practices. A correct diagnosis is important so that appropriate
treatment can be instituted. OBJECTIVE: To provide an update on the evaluation,
diagnosis, and treatment of bacterial conjunctivitis in children. METHODS: A
PubMed search was completed in Clinical Queries using the key term "bacterial
conjunctivitis". Patents were searched using the key term "bacterial
conjunctivitis" from www.freepatentsonline.com and www.google.com/patents.
RESULTS: In the neonatal period, bacterial conjunctivitis is rare and the most
common cause of organism is Staphylococcus aureus, followed by Chlamydia
trachomatis. In infants and older children, bacterial conjunctivitis is most
often caused by Haemophilus influenzae, Streptococcus pneumoniae, and Moraxella
catarrhalis. Clinically, bacterial conjunctivitis is characterized by a purulent
eye discharge, or sticky eyes on awakening, a foreign body sensation and
conjunctival injection (pink eye). The diagnosis is made clinically. Cultures are
unnecessary. Some authors suggest a watchful observation approach as most cases
of bacterial conjunctivitis are self-limited. A Cochrane review suggests the use
of antibiotic eye drops is associated with modestly improved rates of clinical
and microbiological remission as compared to the use of placebo. Various
investigators have also disclosed patents for the treatment of conjunctivitis.
CONCLUSION: The present consensus supports the use of topical antibiotics for
bacterial conjunctivitis. Topical antibiotics shorten the course of the disease,
reduce discomfort, prevent person-to-person transmission and reduce the rate of
reinfection.
PMID- 29380708
TI - Technology of RNA Interference in Advanced Medicine.
AB - BACKGROUND: RNA interference (RNAi) and related pathways involving small
interfering RNAs (siRNAs), microRNAs (miRNAs), and PIWI-interacting RNAs (piRNAs)
regulate processes such as antiviral defense, genome surveillance,
heterochromatin formation, and gene expression in animals, plants, and fungi.
Studies on RNAi have revealed a two-step mechanism: (i) Degradation of dsRNA into
small interfering RNAs (siRNAs), 21 to 25 nucleotides long, by an RNase III-like
activity. (ii) The siRNAs join an RNase complex, RISC (RNA-induced silencing
complex), which acts on the mRNA and degrades it. OBJECTIVE: Molecular structures
of Dicer, Argonaute proteins, and RNA-bound complexes have offered insights into
the underlying mechanisms of RNA-silencing pathways. METHODS: Sequence specific
gene silencing using small interfering RNA (siRNA) is now being evaluated as a
novel therapeutic strategy. RESULTS: Recently, promising data have been obtained
from clinical trials for the treatment of respiratory syncytial virus and age
related macular degeneration. The exact mechanism of the RNAi pathways is still
unclear. CONCLUSION: Our review summarizes the RNAi pathways and the known
functions of siRNAs, miRNAs, and piRNAs in lower and higher organisms (mostly
focusing on mammals) and discusses the potential applications of RNAi.
PMID- 29380709
TI - Formulation and Evaluation of Niosomal in situ Nasal Gel of a Serotonin Receptor
Agonist, Buspirone Hydrochloride for the Brain Delivery via Intranasal Route.
AB - BACKGROUND: Buspirone Hydrochloride is an anxiolytic agent and serotonin receptor
agonist belonging to azaspirodecanedione class of compounds used in the treatment
of anxiety disorders. It has short half-life (2-3h) and low oral bioavailability
(4%) due to extensive first pass metabolism. OBJECTIVE: The nasal mucosa has
several advantages viz., large surface area, porous endothelial membrane, high
blood flow, avoidance of first-pass metabolism and ready accessibility that lead
to faster and higher drug absorption. Keeping these facts in mind, the objective
of the present study was to develop Buspirone hydrochloride loaded niosomal in
situ nasal gel. METHODS: Buspirone hydrochloride niosomal in situ nasal gel was
formulated, optimized and evaluated with the objective to deliver drug to the
brain via intranasal route. Niosomes were prepared by thin film evaporation
method and optimized using32 factorial design. Niosomes were characterized for
particle size, zeta potential, entrapment efficiency and in vitro drug release.
Buspirone hydrochloride loaded niosomes were further incorporated into Carbopol
934P and HPMC K4M liquid gelling system for the formation of in situ gel. The
resultant solution was assessed for various parameters, viz., gelling time,
gelling capacity, viscosity at pH 5 and pH 6. RESULTS: The vesicle size of all
niosomal suspension batches ranges between 168.3 -310.5 nm. The vesicle size of
optimized niosomal suspension F5 batch is 181.9+/-0.36nm. For F5 batch, the value
of zeta potential was found to be -15.4 mV; this specifies that prepared niosomes
have sufficient surface charge to prevent aggregation of the vesicles. %
entrapment efficiency for all batches was found in the range 72.44+/-0.18% to
87.7+/-0.66%. The cumulative percent release of niosomal suspension ranges from
66.34+/-0.39 to 84.26+/-0.26%. Ex vivo permeation of Buspirone hydrochloride
through the sheep nasal mucosa showed that 83.49% w/w drug permeated after 8 h.
The SEM and Zeta potential studies showed the formation of stable vesicles.
CONCLUSION: Thus, the application of niosomes proved the potential for intranasal
delivery of Buspirone hydrochloride over the conventional gel formulations.
Overall intranasal drug delivery for Buspirone hydrochloride has been
successfully developed.
PMID- 29380710
TI - Spirituality, social support, and flexibility among older adults: a five-year
longitudinal study.
AB - OBJECTIVES: The objectives of the study were to examine the trajectory of
spirituality among older adults, to investigate the roles of gender and religion
on the developmental trajectory of spirituality, and to explore whether the
linear growth of spirituality accelerated or decelerated at time points at which
the participants reported high scores of social support and flexibility. DESIGN:
A five-year longitudinal study. SETTING: The research used data from a
longitudinal study, which follows a non-institutionalized older adults cohort of
residents from France. The data used in this paper were collected at three time
points (T1: 2007; T2: 2009; T3: 2012). PARTICIPANTS: A total of 567 participants
were included in the analysis (59.44% female; M age = 75.90, SD = 5.12).
MEASUREMENTS: Multilevel growth curve analysis was used measuring spirituality,
satisfaction with social support, and flexibility. RESULTS: The results indicated
the following: (1) stability of spirituality over time, (2) older women reported
higher levels of spirituality than older men, and those who had a religion
reported higher scores of spirituality than their counterparts who had no
religion (these effects were strong and clinically meaningful), (3) older adults
who reported higher levels of social support and flexibility also reported higher
levels of spirituality, and (4) the slope of spirituality seemed to accelerate at
time points at which participants also had higher levels of social support and
flexibility (these effects were rather small but of theoretical interest).
CONCLUSION: The results of the present study help to improve the understanding of
the potential benefit of encouraging the spiritual aspects of life.
PMID- 29380711
TI - A Simple Method for the Cross-Section Area Determination of Single Profiled
Fibers and Its Application.
AB - One of the critical prerequisites for accurately measuring the mechanical
properties of profiled fibers is the precise determination of their cross
sectional areas (CSAs). In this study, a new method is established for
determining a single profiled fibers' CSA based on the frozen section method and
digital photo, pixel-ratio method (FS-DP). FS-DP is used to obtain a transverse
section of a fiber, by acquiring an image of the cross section using optical
microscopy or scanning electron microscopy, and then calculating the CSA using
Photoshop. Using FS-DP, it was found that the shape of a fiber of silk changes
little in a range of 50 MUm, but varies considerably over a range of 1 m, while
the CSA of cocoon silk (900 m) first increases and then decreases. Mechanical
property tests showed that the elongation, strength, elastic modulus, and
toughness values of the cocoon silk are consistent with those reported
previously. Additionally, FS-DP was also used to observe other profiled fibers.
The application tests indicated that FS-DP can be used to quickly and accurately
obtain the CSA of a single profiled fiber, and that it is suitable for the large
scale determination and analysis of the mechanical properties of profiled fibers.
PMID- 29380712
TI - History of intranasal splints.
AB - OBJECTIVE: Intranasal splints have long been utilised as a post-operative adjunct
in septoplasty, intended to reduce the risk of adhesions and haematoma formation,
and to maintain alignment during healing. METHODS: A Medline literature review of
the history and evolution of intranasal splint materials and designs was
performed. Advantages and disadvantages of various splints are discussed.
RESULTS: Intranasal splints fashioned from X-ray film were first reported in
1955. Since then, a variety of materials have been utilised, including
polyethylene coffee cup lids, samarium cobalt magnets and dental utility wax.
Most contemporary splints are produced from silicon rubber or
polytetrafluoroethylene (Teflon). Designs have varied in thickness, flexibility,
shape, absorption and the inclusion of built-in airway tubes. Future directions
in splint materials and designs are discussed. CONCLUSION: Intranasal splints
have steadily evolved since 1955, with numerous novel innovations. Despite their
simplicity, they play an important role in nasal surgery and will continue to
evolve over time.
PMID- 29380713
TI - A pharmacopsychometric overview of major depressive episodes in positive
psychiatry.
AB - : ABSTRACTBackground:The use of the pharmacopsychometric triangle to enhance
patient-reported well-being as the ultimate goal of treatment has most
intensively been studied in patients with a major depressive episode. METHODS:
The review is structured on the pharmacopsychometric triangle in which the
desired clinical effect of an antidepressive medication is balanced against the
undesired side effects induced by this medication in terms of restored well
being. As a biological treatment, the antidepressive medication is compared
clinically with both electroconvulsive therapy and psychological treatment.
RESULTS: In the process of this review, evidence from a dose-response study in
patients suffering from a major depressive episode with an adequate duration and
symptom severity has demonstrated that the dose-response relationship emerged
when using the patient-reported well-being outcome rather than the symptomatic
reduction as outcome. CONCLUSION: The pharmacopsychometric triangle is in
patients with major depressive episodes providing important information within
positive psychiatry.
PMID- 29380714
TI - Osteoporosis guideline awareness among Iranian family physicians: results of a
knowledge, attitudes, and practices survey.
AB - : AimThis study investigates the knowledge, attitudes, and practices (KAP) of
family physicians in Iran, regarding osteoporosis and their experience with
national osteoporosis guideline. BACKGROUND: Osteoporosis is a relatively
preventable, chronic and progressive disease. Family physicians play a crucial
role in relieving the burden of care. METHODS: This cross-sectional study was
addressed at all qualified family physicians who registered at urban family
physicians and referral system program. Data collection included demographics,
professional experience, and knowledge of guidelines based on a standardized KAP
questionnaire. Student's t-test was used to measure the associations between KAP
scores and demographic, professional experience variables.FindingsThe response
rate was 72% (540/750). Based on Bloom's cut off scale, family physicians
knowledge and practice scores were in moderate level, and only 14 and 38.5% of
them had good knowledge and practice, respectively. Attitude score was in good
level, and 64.1% of participants had positive attitude. Mean score of knowledge
and practice were higher significantly among family physicians that practice in
public settings. Family physicians, who completed osteoporosis training courses,
had higher attitude score (P=0.03). Only 23.5% of family physicians were aware of
the existence of national osteoporosis guideline. CONCLUSION: Although most
family physicians believed in the importance of preventive measures, however,
limited number of them had good knowledge and practice regarding osteoporosis and
less than a quarter were aware of national guideline. This is a clear need to
disseminate the guideline more effectively, make greater use of efficient
training methods.
PMID- 29380715
TI - The need to support caregivers during pediatric bone marrow transplantation
(BMT): A case report.
AB - : ABSTRACTObjective:Pediatric bone marrow transplants represent a medically
stressful, potentially traumatic experience for children and caregivers, and
psychological support for parental caregivers is paramount to their long-term
well-being. However, many medical centers do not have protocols in place to
sustain caregiver well-being during these distressing experiences. METHOD: We
report on a case of a 10-month-old infant with Wiskott Aldrich Syndrome who was
hospitalized for bone marrow transplantation. RESULT: We describe the significant
burden that fell upon caregivers during and after a bone marrow transplantation.
SIGNIFICANCE OF RESULTS: This case helped guide our suggestions to improve care
for caregivers. Several logistical hurdles could be overcome to alleviate some of
these burdens. We suggest that a child psychologist or psychiatrist should be on
patient care teams and be attentive to parental stress, impairments, or
impediments to self-care, and signs of emergency of mental illness in this
setting of medical trauma. Additionally, promotion of sleep hygiene and linkage
to support systems can maximize resiliency. Finally, we believe that hospital
administrators should partner with clinicians to facilitate routine support
during highly stressful transitions of care.
PMID- 29380716
TI - Spatiotemporal heterogeneity of malnutrition indicators in children under 5 years
of age in Bangladesh, 1999-2011.
AB - OBJECTIVE: To examine changes in the spatial clustering of malnutrition in
children under 5 years of age (under-5s) for the period 1999 to 2011 in
Bangladesh. DESIGN: We used data from four nationally representative Demographic
and Health Surveys (DHS) conducted in 1999-2000, 2004, 2007 and 2011 in
Bangladesh involving a total of 24 211 under-5s located in 1661 primary sampling
units (PSU; geographical unit of analysis) throughout Bangladesh. The prevalence
of stunting (height/length-for-age Z-score <-2), underweight (weight-for-age Z
score <-2) and wasting (weight-for-height/length Z-score <-2) at each PSU site
and for each survey year were estimated based on the WHO child growth standard.
The extent of spatial clustering was quantified using semivariograms. SETTING:
Whole of Bangladesh. SUBJECTS: Children under 5 years of age. RESULTS: Our
results demonstrate that in 1999-2000 most PSU throughout Bangladesh experienced
stunting, underweight and wasting prevalence which exceeded the WHO thresholds.
By 2011, this situation improved, although in two of the six divisions (Barisal
and Sylhet) PSU still exhibited higher levels of malnutrition compared with other
divisions of the country. The pattern of spatial clustering for stunting,
underweight and wasting also changed between 1999 and 2011 both at national and
sub-national (division) levels. CONCLUSIONS: We identified divisions where
malnutrition indicators (stunting, underweight and wasting) remain highly
clustered and other divisions where they are more widely spread in Bangladesh.
This has important implications on how interventions for malnutrition need to be
delivered (geographically targeted interventions v. random interventions) within
each division of the country.
PMID- 29380717
TI - The Mediterranean diet, an environmentally friendly option: evidence from the
Seguimiento Universidad de Navarra (SUN) cohort.
AB - OBJECTIVE: How food is produced and consumed has consequences for ecosystems,
such as resource use and greenhouse gas (GHG) emission among others. The
Mediterranean diet (MedDiet) was proposed as a sustainable dietary model, due to
its nutritional, environmental, economic and sociocultural dimensions. However,
further evidence is needed. Thus, our objective was to evaluate the impact on
resource (land, water and energy) use and GHG emission of better adherence to the
MedDiet in a Mediterranean Spanish cohort. DESIGN: We analysed the dietary
pattern of participants through a validated FFQ. The outcomes were land use,
water and energy consumption and GHG emission according to MedDiet adherence. The
specific environmental footprints of food item production and processing were
obtained from different available life-cycle assessments. SETTING: Spanish
university graduates. SUBJECTS: Participants (n 20 363) in the Seguimiento
Universidad de Navarra (SUN) cohort. RESULTS: Better adherence to the MedDiet was
associated with lower land use (-0.71 (95 % CI -0.76, -0.66) m2/d), water
consumption (-58.88 (95 % CI -90.12, -27.64) litres/d), energy consumption (-0.86
(95 % CI -1.01, -0.70) MJ/d) and GHG emission (-0.73 (95 % CI -0.78, -0.69) kg
CO2e/d). A statistically significant linear trend (P<0.05) was observed in all
these analyses. CONCLUSIONS: In this Mediterranean cohort, better adherence to
the MedDiet was an eco-friendly option according to resource consumption and GHG
emission.
PMID- 29380718
TI - Antidepressant switching patterns in the elderly.
AB - : ABSTRACTBackground:Switching between antidepressants is complex due to
potential adverse outcomes such as serotonin syndrome and antidepressant
discontinuation syndrome, yet switching is often required due to non-response to
initial treatment. This study aimed to examine the patterns and extent of
antidepressant switching in a cohort of older adults in long-term residential
care. METHODS: A cohort study of medication supply data from 6011 aged care
residents in 60 long-term care facilities was conducted. Incident antidepressant
users were followed for 12 months and their patterns of antidepressant use
determined. The type of switching from and to different antidepressant classes
was determined according to National and International recommendations for
antidepressant switching. RESULTS: In total, 11% (n = 44) of the residents were
initiated on an antidepressant medication (n = 402) switched to a different
antidepressant agent within 12 months. Residents commenced on a SNRI or TCA were
most likely to switch antidepressants (17% in each group). Almost half of the
switches (n = 21, 48% of all switches) were not implemented according to
guideline recommendations. Direct switch and taper followed by wash out and
switch, accounted for all of the inappropriate switching (29% and 71%,
respectfully), with half occurring to mirtazapine (N = 7) or from mirtazapine (N
= 3). CONCLUSIONS: Over one in 10 long-term aged care residents who commence an
antidepressant will switch to a different antidepressant within 12 months.
Current antidepressant switching practices in long-term residential aged care may
be increasing the risk of harm associated with antidepressant switching, with
around half of all switches not following current guideline recommendations.
PMID- 29380719
TI - Impact of diabetes on caregiver stress in patients with Alzheimer's disease: data
from the ICTUS study.
AB - : ABSTRACTBackground:To estimate the impact of comorbid diabetes on caregiver
stress in Alzheimer's disease (AD) patients from the Impact of Cholinergic
Treatment Use (ICTUS) study. METHODS: Using the Data from the ICTUS study,
diabetes mellitus (DM) was recorded at baseline and caregiver burden was assessed
twice per year using the Zarit Burden Interview (ZBI) scale. The three-factorial
model of ZBI (the effect on the social and personal life of caregivers, the
psychological burden and the feelings of guilt) was adopted. Linear mixed models
were used to examine the relation between DM and the scores of ZBI. RESULTS: The
present analyses were conducted on 1,264 AD subjects. A total of 156 patients
(12.3%) had DM with taking antidiabetic medication and/or self-report of a
history. At baseline, the caregivers of patients with or without DM had similar
ZBI global scores and similar scores of three different factors of ZBI.
Unadjusted and adjusted models both indicated that ZBI global score increased
over a 24-month follow-up without significant effect of DM. Similarly, unadjusted
model showed that DM was not determining any significant difference in the score
of any factor. However, adjusted model indicated that in diabetic patients, the
scores of the social and personal life of caregivers and the psychological burden
increased more slowly than those in non-diabetic patients (p = 0.04 and 0.01,
respectively). CONCLUSIONS: DM may affect the caregivers' daily social and
personal life and psychological burden in AD patients. It is necessary for
further research.
PMID- 29380720
TI - Risk factors of geriatric depression in rural China based on a generalized
estimating equation.
AB - : ABSTRACTBackground:The number of elderly individuals living in China is
increasing rapidly. The aim of this study was to examine the potential risk
factors of geriatric depression in rural areas. METHODS: A repeated cross
sectional study was conducted between January 2015 and October 2016 in rural
China. Nine hundred forty-five elderly individuals were included in both
investigations. A generalized estimating equation (GEE) was used to examine the
relationships between geriatric depression and socio-demographics, the number of
chronic diseases, ADL (Activity of Daily Living) disability, cognitive
impairment, and anxiety. RESULTS: Among the participants, the majority was female
(61.4%) and illiterate (81.5%) and had a general economic status (63.0%) and more
than two kinds of chronic diseases (62.9%). The bivariate analysis indicated that
geriatric depression was associated with social support, education level,
economic status, ADL disability, anxiety disorders, and cognitive impairment at
both survey time points. The GEE results showed that poor economic status (OR =
8.294, p < 0.001), the presence of more than two chronic diseases (OR = 1.681, p
= 0.048), ADL disability (OR = 2.184, p < 0.001), cognitive impairment (OR =
1.921, p < 0.001), and anxiety (OR = 5.434, p < 0.001) were risk factors for
geriatric depression in rural China; better social support (OR = 0.924, 95% CI =
0.899-0.949, p < 0.001) was found to be a protective factor. CONCLUSIONS:
Geriatric depression in rural China was associated with several socio
demographic, physical, and mental factors. Targeted interventions are essential
to improve the psychological health of aged individuals in rural China.
PMID- 29380721
TI - ASSOCIATION BETWEEN HIV AWARENESS FACTORS, HEALTH FACILITY CHARACTERISTICS AND
RISKY SEXUAL BEHAVIOUR AMONG YOUNG WOMEN IN ZOMBA DISTRICT, MALAWI.
AB - The objective of this study was to examine the association between multilevel
factors related to HIV awareness and risky sexual behaviour among young women in
Zomba district, Malawi. Secondary analyses of the Schooling, Income, and Health
Risk (SIHR) study were undertaken. Four outcomes related to risky sexual
behaviour were examined among young women: if participants had ever had sex,
consistent condom use and two scores measuring risk related to partner history
and age during sexual activity. Independent variables included individual-level
factors such as education and rural/urban residence, as well as higher-level
factors such as household's highest level of education and health facility
characteristics. Regression models with cluster-robust standard errors and
multilevel regression models were used to estimate associations; analyses were
stratified into two strata by school enrolment status, i.e. whether the women
were in school (N=1407) or had dropped out of school (N=407) at baseline of the
SIHR study. For both strata, increasing age and residing within 16 km of an urban
centre ('near rural' residence) increased the odds of ever having sex; lower
educational achievement was associated with lower age during sexual activity. A
history of pregnancy was associated with lower odds of condom use and riskier
partner history. For women in school at baseline, lower household education was
associated with higher odds of ever having sex (OR=1.48; 95% CI: 1.06, 2.07);
near-rural and far-rural (<=16 km and >16km from urban centre, respectively)
residence were associated with decreased odds of condom use (OR=0.47; 95% CI:
0.28, 0.78; and OR=0.27; 95% CI: 0.11, 0.65, respectively). For those not in
school at baseline, lower household education was associated with lower age
during sexual activity (beta=0.31, 95% CI: 0.05, 0.58). Also for women not in
school, the use of private or non-governmental health facilities was associated
with decreased odds of condom use (OR=0.51, 95% CI: 0.39, 0.67) and higher age
during sexual activity (beta=-0.30, 95% CI: -0.52, -0.09). While individual
factors were associated with risky sexual behaviour in both strata, contextual
factors differed.
PMID- 29380722
TI - Sickness absence: a systematic review and meta-analysis of psychological
treatments for individuals on sick leave due to common mental disorders.
AB - Sick leave due to common mental disorders (CMDs) increase rapidly and present a
major societal challenge. The overall effect of psychological interventions to
reduce sick leave and symptoms has not been sufficiently investigated and there
is a need for a systematic review and meta-analysis of the field. The aim of the
present meta-analysis was to calculate the effect size of psychological
interventions for CMDs on sick leave and psychiatric symptoms based on all
published randomized controlled trials. Methodological quality, the risk of bias
and publication bias were also assessed. The literature searches gave 2240 hits
and 45 studies were included. The psychological interventions were more effective
than care as usual on both reduced sick leave (g = 0.15) and symptoms (g = 0.21).
There was no significant difference in effect between work focused interventions,
problem-solving therapy, cognitive behavioural therapy or collaborative care. We
conclude that psychological interventions are more effective than care as usual
to reduce sick leave and symptoms but the effect sizes are small. More research
is needed on psychological interventions that evaluate effects on sick leave.
Consensual measures of sick leave should be established and quality of
psychotherapy for patients on sick leave should be improved.
PMID- 29380723
TI - "It's Like a Phantom Disease": Patient Perspectives on Access to Treatment for
Chagas Disease in the United States.
AB - Chagas disease (CD) affects > 6 million people globally, including > 300,000 in
the United States. Although early detection and etiological treatment prevents
chronic complications from CD, < 1% of U.S. cases have been diagnosed and
treated. This study explores access to etiological treatment from the perspective
of patients with CD. In semi-structured interviews with 50 Latin American-born
patients of the Center of Excellence for Chagas Disease at the Olive View-UCLA
Medical Center, we collected demographic information and asked patients about
their experiences managing the disease and accessing treatment. Patients were
highly marginalized, with 63.4% living below the U.S. poverty line, 60% lacking a
high school education, and only 12% with private insurance coverage. The main
barriers to accessing health care for CD were lack of providers, precarious
insurance coverage, low provider awareness, transportation difficulties, and
limited time off. Increasing access to diagnosis and treatment will not only
require a dramatic increase in provider and public education, but also
development of programs which are financially, linguistically, politically, and
geographically accessible to patients.
PMID- 29380724
TI - Relationships among Common Illness Symptoms and the Protective Effect of
Breastfeeding in Early Childhood in MAL-ED: An Eight-Country Cohort Study.
AB - Children in low-income countries experience multiple illness symptoms in early
childhood. Breastfeeding is protective against diarrhea and respiratory
infections, and these illnesses are thought to be risk factors of one another,
but these relationships have not been explored simultaneously. In the eight-site
MAL-ED study, 1,731 infants were enrolled near birth and followed for 2 years. We
collected symptoms and diet information through twice-weekly household visits.
Poisson regression was used to determine if recent illness history was associated
with incidence of diarrhea or acute lower respiratory infections (ALRI),
accounting for exclusive breastfeeding. Recent diarrhea was associated with
higher risk of incident diarrhea after the first 6 months of life (relative risk
[RR] 1.10, 95% confidence interval [CI] 1.04, 1.16) and with higher risk of
incident ALRI in the 3- to 5-month period (RR 1.23, 95% CI 1.03, 1.47). Fever was
a consistent risk factor for both diarrhea and ALRI. Exclusive breastfeeding 0-6
months was protective against diarrhea (0-2 months: RR 0.39, 95% CI 0.32, 0.49; 3
5 months: RR 0.83, 95% CI 0.75, 0.93) and ALRI (3-5 months: RR 0.81, 95% CI 0.68,
0.98). Children with recent illness who were exclusively breastfed were half as
likely as those not exclusively breastfed to experience diarrhea in the first 3
months of life. Recent illness was associated with greater risk of new illness,
causing illnesses to cluster within children, indicating that specific illness
prevention programs may have benefits for preventing other childhood illnesses.
The results also underscore the importance of exclusive breastfeeding in the
first 6 months of life for disease prevention.
PMID- 29380727
TI - The need for collaborative research in transplantation medicine: illustrated by
the immunosuppression conversion trials.
PMID- 29380726
TI - Genetic background and therapeutic response in generalized pustular psoriasis
patients treated with granulocyte and monocyte adsorption apheresis.
PMID- 29380725
TI - Neuronal activity determines distinct gliotransmitter release from a single
astrocyte.
AB - Accumulating evidence indicates that astrocytes are actively involved in brain
function by regulating synaptic activity and plasticity. Different
gliotransmitters, such as glutamate, ATP, GABA or D-serine, released form
astrocytes have been shown to induce different forms of synaptic regulation.
However, whether a single astrocyte may release different gliotransmitters is
unknown. Here we show that mouse hippocampal astrocytes activated by endogenous
(neuron-released endocannabinoids or GABA) or exogenous (single astrocyte Ca2+
uncaging) stimuli modulate putative single CA3-CA1 hippocampal synapses. The
astrocyte-mediated synaptic modulation was biphasic and consisted of an initial
glutamate-mediated potentiation followed by a purinergic-mediated depression of
neurotransmitter release. The temporal dynamic properties of this biphasic
synaptic regulation depended on the firing frequency and duration of the neuronal
activity that stimulated astrocytes. Present results indicate that single
astrocytes can decode neuronal activity and, in response, release distinct
gliotransmitters to differentially regulate neurotransmission at putative single
synapses.
PMID- 29380728
TI - Conversion from tacrolimus to everolimus with complete and early glucocorticoid
withdrawal after kidney transplantation: a randomised trial.
AB - BACKGROUND: While conversion from cyclosporine to everolimus is well documented,
conversion from tacrolimus has been poorly studied. In this randomised,
controlled trial the safety and tolerability of switching from tacrolimus to
everolimus with glucocorticoid withdrawal after living-donor kidney
transplantation was studied. METHODS: A total of 194 patients were planned to be
randomised 1:1 to either continue tacrolimus or to convert to everolimus at month
3 after transplantation. At randomisation, all patients received tacrolimus,
mycophenolate mofetil and prednisolone. Everolimus was started in a dose of 1.5
mg twice daily, aiming for predose concentrations of 4-7 ng/ml. Prednisolone was
gradually withdrawn in both groups. RESULTS: The trial was stopped prematurely
after the inclusion of 60 patients. The interim analysis showed an unacceptably
high rejection rate in the everolimus group as compared with the control group:
30.0% vs. 6.7% (95% CI: 0.047-0.420; p = 0.045). An additional 8 patients stopped
everolimus because of toxicity. At the end of follow-up (month 12) only 12 (40%)
patients assigned to everolimus were still on the study drug. CONCLUSIONS:
Conversion from tacrolimus to everolimusbased immunosuppression with withdrawal
of prednisolone three months after kidney transplantation results in an
unacceptably high risk of acute rejection and causes considerable toxicity. Based
on our findings, such a switch strategy cannot be recommended.
PMID- 29380729
TI - Addition of simethicone improves small bowel capsule endoscopy visualisation
quality.
AB - BACKGROUND: Small bowel capsule endoscopy (SBCE) is an important diagnostic tool
for small-bowel diseases but its quality may be hampered by intraluminal gas.
This study evaluated the added value of the anti-foaming agent, simethicone, to a
bowel preparation with polyethylene glycol (PEG) on the quality of small bowel
visualisation and its use in the Netherlands. METHODS: This was a retrospective,
single-blind, cohort study. Patients in the PEG group only received PEG prior to
SBCE. Patients in the PEG-S group ingested additional simethicone. Two
investigators assessed the quality of small-bowel visualisation using a four
point scale for 'intraluminal gas' and 'faecal contamination'. By means of a
survey, the use of anti-foaming agents was assessed in a random sample of 16
Dutch hospitals performing SBCE. RESULTS: The quality of small bowel
visualisation in the PEG group (n = 33) was significantly more limited by
intraluminal gas when compared with the PEG-S group (n = 31): proximal segment
83.3% in PEG group vs. 18.5% in PEG-S group (p < 0.01), distal segment 66.7% vs.
18.5% respectively (p < 0.01). No difference was observed in the amount of faecal
contamination (proximal segment 80.0% PEG vs. 59.3% PEG-S, p = 0.2; distal
segment 90.0% PEG vs. 85.2% PEG-S, p = 0.7), mean small bowel transit times (4.0
PEG vs. 3.9 hours PEG-S, p = 0.7) and diagnostic yield (43.3% PEG vs. 22.2% PEG
S, p = 0.16). Frequency of anti-foaming agent use in the Netherlands was low
(3/16, 18.8%). CONCLUSION: Simethicone is of added value to a PEG bowel
preparation in improving the quality of visualisation of the small bowel by
reducing intraluminal gas. At present, the use of anti-foaming agents in SBCE
preparation is not standard practice in the Netherlands.
PMID- 29380730
TI - Hurry up, it's quiet in the emergency department.
AB - INTRODUCTION: Emergency department (ED) crowding is a contemporary problem.
Solutions are multiple, but often involve a lengthy implementation process and/or
substantial funding. Therefore, it is important that in the meanwhile, we aim to
identify simple strategies, focussing on optimising efficiency of the available
resources, which can be adopted in the ED here and now. METHODS: We made a
careful analysis of inflow, throughput and outflow data of all 24,823 patients
visiting the ED of a large teaching hospital in the year 2015, and looked in more
detail at the 10 days with the longest average throughput times. RESULTS: The
average throughput time during the study period was 130 minutes. The time between
inflow and outflow peaks was well beyond the average daily ED throughput time,
indicating that the 'midday surge in patient arrivals could not be handled
adequately by the ED system. For the 10 days with the longest average throughput
times, we found a very distinctive pattern, with a backlog of patients building
up in the morning hours when maximum bed capacity had not yet been reached. This
backlog had consequences during a significant part of the day. CONCLUSION:
Improved timing of internal efforts in the ED based on careful analysis of ED
performance data should be an integral part of a system approach to prevent ED
crowding.
PMID- 29380731
TI - Glucarpidase treatment for methotrexate intoxication: a case report and review of
the literature.
AB - High-dose methotrexate (MTX) induced acute kidney injury can lead to sustained
high systemic MTX levels and severe toxicity. A 39-year-old man with
lymphoblastic T-cell lymphoma was admitted to our intensive care unit with
elevated serum creatinine and prolonged high serum MTX levels. Standard
supportive care was complemented by the addition of a relatively novel agent,
glucarpidase, which rapidly lowered the extracellular levels of MTX. Several case
series support this effect of glucarpidase, but no randomised controlled trial
has been performed to show this leads to better outcome. Furthermore,
glucarpidase might negatively affect leucovorin rescue therapy. Lastly,
glucarpidase carries a significant financial burden. Based on the current
evidence we cannot recommend glucarpidase until further research elucidates its
role in the treatment of MTX toxicity. There is no randomised clinical evidence
to support its use in severe cases and theoretical evidence suggests that after
prolonged exposure to high MTX levels glucarpidase administration is unable to
reverse high intracellular MTX. We recommend that new randomised controlled
studies be aimed at early administration of glucarpidase in patients with high
MTX levels shortly after administration to prevent direct toxic effects of MTX on
kidney function and further uptake into cells.
PMID- 29380733
TI - Pancytopenia in a young girl with skin lesions.
PMID- 29380732
TI - Fulminant presentation of oral mucosal leishmaniasis as severe stomatitis and
periodontitis.
AB - This case report shows an atypical presentation of mucosal leishmaniasis infantum
in the oral cavity resulting in severe stomatitis and periodontitis. The patient
was immunocompromised because of rheumatoid arthritis for which he used
prednisone and methotrexate. He was treated with intravenous liposomal
amphotericin B and recovered within four weeks.
PMID- 29380734
TI - Answer to Photo Quiz: Pancytopenia in a young girl with skin lesions.
PMID- 29380735
TI - From dentist to internist.
PMID- 29380736
TI - Answer to Photo Quiz: From dentist to internist.
PMID- 29380738
TI - Answer to Photo Quiz: Fever, abdominal erythema and subcutaneous emphysema.
PMID- 29380737
TI - Fever, abdominal erythema and subcutaneous emphysema.
PMID- 29380739
TI - Management of community-acquired pneumonia in adults: 2016 guideline update from
the Dutch Working Party on Antibiotic Policy (SWAB) and Dutch Association of
Chest Physicians (NVALT).
AB - The Dutch Working Party on Antibiotic Policy in collaboration with the Dutch
Association of Chest Physicians, the Dutch Society for Intensive Care and the
Dutch College of General Practitioners have updated their evidence-based
guidelines on the diagnosis and treatment of community-acquired pneumonia (CAP)
in adults who present to the hospital. This 2016 update focuses on new data on
the aetiological and radiological diagnosis of CAP, severity classification
methods, initial antibiotic treatment in patients with severe CAP and the role of
adjunctive corticosteroids. Other parts overlap with the 2011 guideline. Apart
from the Q fever outbreak in the Netherlands (2007-2010) no other shifts in the
most common causative agents of CAP or in their resistance patterns were observed
in the last five years. Low-dose CT scanning may ultimately replace the
conventional chest X-ray; however, at present, there is insufficient evidence to
advocate the use of CT scanning as the new standard in patients evaluated for
CAP. A pneumococcal urine antigen test is now recommended for all patients
presenting with severe CAP; a positive test result can help streamline therapy
once clinical stability has been reached and no other pathogens have been
detected. Coverage for atypical microorganisms is no longer recommended in
empirical treatment of severe CAP in the non-intensive care setting. For these
patients (with CURB-65 score >2 or Pneumonia Severity Index score of 5) empirical
therapy with a 2nd/3rd generation cephalosporin is recommended, because of the
relatively high incidence of Gram-negative bacteria, and to a lesser extent S.
aureus. Corticosteroids are not recommended as adjunctive therapy for CAP.
PMID- 29380740
TI - Determination of Debye Temperatures and Lamb-Mossbauer Factors for
LnFeO3 Orthoferrite Perovskites (Ln = La, Nd, Sm, Eu, Gd).
AB - Lanthanide orthoferrites have wide-ranging industrial uses including solar,
catalytic and electronic applications. Here a series of lanthanide orthoferrite
perovskites, LnFeO3 (Ln = La; Nd; Sm; Eu; Gd), prepared through a
standard stoichiometric wet ball milling route using oxide precursors, has been
studied. Characterisation through X-ray diffraction and X-ray fluorescence
confirmed the synthesis of phase-pure or near-pure LnFeO3 compounds.
57Fe Mossbauer spectroscopy was performed over a temperature range of
10 K to 293 K to observe hyperfine structure and to enable calculation of the
recoil-free fraction and Debye temperature (thetaD) of each orthoferrite. Debye
temperatures (Ln = La 474 K; Nd 459 K; Sm 457 K; Eu 452 K; Gd 473 K) and recoil
free fractions (Ln = La 0.827; Nd 0.817; Sm 0.816; Eu 0.812; Gd 0.826) were
approximated through minimising the difference in the temperature dependent
experimental Centre Shift (CS) and theoretical Isomer Shift (IS), by allowing the
Debye temperature and Isomer Shift values to vary. This method of minimising the
difference between theoretical and actual values yields Debye temperatures
consistent with results from other studies determined through thermal analysis
methods. This displays the ability of variable-temperature Mossbauer spectroscopy
to approximate Debye temperatures and recoil-free fractions, whilst observing
temperature induced transitions over the temperature range observed. X-ray
diffraction and Rietveld refinement show an inverse relationship between FeO6
octahedral volume and approximated Debye temperatures. Raman spectroscopy show an
increase in the band positions attributed to soft modes of Ag symmetry,
Ag(3) and Ag(5) from La to GdFeO3 corresponding
to octahedral rotations and tilts in the [010] and [101] planes respectively.
PMID- 29380741
TI - Pass-band reconfigurable spoof surface plasmon polaritons.
AB - In this paper, we introduce a new scheme to construct the band-pass tunable
filter based on the band-pass reconfigurable spoof surface plasmon polaritons
(SPPs), whose cut-off frequencies at both sides of the passband can be tuned
through changing the direct current (DC) bias of varactors. Compared to
traditional technology (e.g. microstrip filters), the spoof SPP structure can
provide more tight field confinement and more significant field enhancement,
which is extremely valuable for many system applications. In order to achieve
this scheme, we proposed a specially designed SPP filter integrated with
varactors and DC bias feeding structure to support the spoof SPP passband
reconfiguration. Furthermore, the full-wave simulated result verifies the
outstanding performance on both efficiency and reconfiguration, which has the
potential to be widely used in advanced intelligent systems.
PMID- 29380742
TI - Priming and cryopreservation of microencapsulated marrow stromal cells as a
strategy for intervertebral disc regeneration.
AB - A challenge in using stromal cells for intervertebral disc (IVD) regeneration is
their limited differentiation capacity in vivo without exogenous growth factor
(GF) supplementation. Priming of stromal cells prior to transplantation may offer
a feasible strategy to overcome this limitation. Furthermore, the ability to
cryopreserve cells could help alleviate logistical issues associated with storage
and transport. With these critical translational challenges in mind, we aimed to
develop a strategy involving priming and subsequent cryopreservation of
microencapsulated bone marrow stromal cells (BMSCs). In phase one, we utilised
the electrohydrodynamic atomisation process to fabricate BMSC-encapsulated
microcapsules that were primed with TGF-beta3 for 14 d after which they were
cultured for a further 21 d under basal or GF supplemented media conditions.
Results showed that priming induced differentiation of BMSC microcapsules such
that they synthesised significant amounts of sGAG (61.9 +/- 2.0 MUg and 55.3 +/-
6.1 MUg for low and high cell densities) and collagen (24.4 +/- 1.9 MUg and 55.3
+/- 4.6 MUg for low and high cell densities) in continued culture without GF
supplementation compared to Unprimed microcapsules. Phase two of this work
assessed the extracellular matrix forming capacity of Primed BMSC microcapsules
over 21 d after cryopreservation. Notably, primed and cryopreserved BMSCs
successfully retained the ability to synthesise both sGAG (24.8 +/- 2.7 MUg and
75.1 +/- 11.6 MUg for low and high cell densities) and collagen (26.4 +/- 7.8 MUg
and 93.1 +/- 10.2 MUg for low and high cell densities) post-cryopreservation.
These findings demonstrate the significant potential of priming and
cryopreservation approaches for IVD repair and could possibly open new horizons
for pre-designed, 'off-the-shelf' injectable therapeutics.
PMID- 29380743
TI - Radiation dose from percutaneous transluminal coronary angioplasty procedure
performed using a flat detector for different clinical angiographic projections.
AB - The radiation dose from complex cardiac procedures is of concern due to the
lengthy fluoroscopic screening time and vessel complexities. This study intends
to assess radiation dose based on angiographic projection and vessel complexities
for clinical protocols used in the performance of percutaneous transluminal
coronary angioplasty (PTCA). Dose-area product (DAP), reference air kerma (K a,r)
and real-time monitoring of tube potentials and tube current for each
angiographic projection and dose setting were evaluated for 66 patients who
underwent PTCA using a flat detector system. The mean DAP and cumulative K a,r
were 32.71 Gy cm2 (0.57 Gy), 51.24 Gy cm2 (0.9 Gy) and 102.03 Gy cm2 (1.77 Gy)
for single-, double- and triple-vessel PTCA, respectively. Among commonly used
angiographic projections, left anterior oblique 45 degrees -caudal 35 degrees
reached 2 Gy in 55 min using a low-dose fluoroscopy setting and 21 min for a
medium-dose setting. Use of a low-dose setting for fluoroscopic screening showed
a radiation dose reduction of 39% compared with a medium-dose setting.
PMID- 29380744
TI - Automated EEG sleep staging in the term-age baby using a generative modelling
approach.
AB - OBJECTIVE: We develop a method for automated four-state sleep classification of
preterm and term-born babies at term-age of 38-40 weeks postmenstrual age (the
age since the last menstrual cycle of the mother) using multichannel
electroencephalogram (EEG) recordings. At this critical age, EEG differentiates
from broader quiet sleep (QS) and active sleep (AS) stages to four, more complex
states, and the quality and timing of this differentiation is indicative of the
level of brain development. However, existing methods for automated sleep
classification remain focussed only on QS and AS sleep classification. APPROACH:
EEG features were calculated from 16 EEG recordings, in 30 s epochs, and
personalized feature scaling used to correct for some of the inter-recording
variability, by standardizing each recording's feature data using its mean and
standard deviation. Hidden Markov models (HMMs) and Gaussian mixture models
(GMMs) were trained, with the HMM incorporating knowledge of the sleep state
transition probabilities. Performance of the GMM and HMM (with and without
scaling) were compared, and Cohen's kappa agreement calculated between the
estimates and clinicians' visual labels. MAIN RESULTS: For four-state
classification, the HMM proved superior to the GMM. With the inclusion of
personalized feature scaling, mean kappa (+/-standard deviation) was 0.62 (+/
0.16) compared to the GMM value of 0.55 (+/-0.15). Without feature scaling,
kappas for the HMM and GMM dropped to 0.56 (+/-0.18) and 0.51 (+/-0.15),
respectively. SIGNIFICANCE: This is the first study to present a successful
method for the automated staging of four states in term-age sleep using
multichannel EEG. Results suggested a benefit in incorporating transition
information using an HMM, and correcting for inter-recording variability through
personalized feature scaling. Determining the timing and quality of these states
are indicative of developmental delays in both preterm and term-born babies that
may lead to learning problems by school age.
PMID- 29380745
TI - Thermo-solutal growth of an anisotropic dendrite with six-fold symmetry.
AB - A stable growth of dendritic crystal with the six-fold crystalline anisotropy is
analyzed in a binary nonisothermal mixture. A selection criterion representing a
relationship between the dendrite tip velocity and its tip diameter is derived on
the basis of morphological stability analysis and solvability theory. A complete
set of nonlinear equations, consisting of the selection criterion and
undercooling balance condition, which determines implicit dependencies of the
dendrite tip velocity and tip diameter as functions of the total undercooling, is
formulated. Exact analytical solutions of these nonlinear equations are found in
a parametric form. Asymptotic solutions describing the crystal growth at small
Peclet numbers are determined. Theoretical predictions are compared with
experimental data obtained for ice dendrites growing in binary water
ethylenglycol solutions as well as in pure water.
PMID- 29380746
TI - Mathematical optimization of high dose-rate brachytherapy-derivation of a linear
penalty model from a dose-volume model.
AB - High dose-rate brachytherapy is a method for cancer treatment where the radiation
source is placed within the body, inside or close to a tumour. For dose planning,
mathematical optimization techniques are being used in practice and the most
common approach is to use a linear model which penalizes deviations from
specified dose limits for the tumour and for nearby organs. This linear penalty
model is easy to solve, but its weakness lies in the poor correlation of its
objective value and the dose-volume objectives that are used clinically to
evaluate dose distributions. Furthermore, the model contains parameters that have
no clear clinical interpretation. Another approach for dose planning is to solve
mixed-integer optimization models with explicit dose-volume constraints which
include parameters that directly correspond to dose-volume objectives, and which
are therefore tangible. The two mentioned models take the overall goals for dose
planning into account in fundamentally different ways. We show that there is,
however, a mathematical relationship between them by deriving a linear penalty
model from a dose-volume model. This relationship has not been established before
and improves the understanding of the linear penalty model. In particular, the
parameters of the linear penalty model can be interpreted as dual variables in
the dose-volume model.
PMID- 29380747
TI - Quantum anomalous Hall phase in a one-dimensional optical lattice.
AB - We propose to simulate and detect quantum anomalous Hall phase with ultracold
atoms in a one-dimensional optical lattice, with the other synthetic dimension
being realized by modulating spin-orbit coupling. We show that the system
manifests a topologically nontrivial phase with two chiral edge states which can
be readily detected in this synthetic two-dimensional system. Moreover, it is
interesting that at the phase transition point there is a flat energy band and
this system can also be in a topologically nontrivial phase with two Fermi zero
modes existing at the boundaries by considering the synthetic dimension as a
modulated parameter. We also show how to measure these topological phases
experimentally in ultracold atoms. Another model with a random Rashba and
Dresselhaus spin-orbit coupling strength is also found to exhibit topological
nontrivial phase, and the impact of the disorder to the system is revealed.
PMID- 29380748
TI - Studies on the high-temperature ferroelectric transition of multiferroic
hexagonal manganite RMnO3.
AB - Hexagonal manganites are multiferroic materials with two highly-dissimilar phase
transitions: a ferroelectric transition (from P63/mmc to P63cm) at a temperature
higher than 1000 K and an antiferromagnetic transition at T N = 65-130 K.
Despite its critical relevance to the intriguing ferroelectric domain physics,
the details of the ferroelectric transition are not well known to date primarily
because of the ultra-high transition temperature. Using high-temperature x-ray
diffraction experiments, we show that the ferroelectric transition is a single
transition of abrupt order and R-Op displacement is the primary order parameter.
This structural transition is then simultaneously accompanied by MnO5 tilting and
the subsequent development of electric polarization.
PMID- 29380749
TI - Injectable hydrogels of optimized acellular nerve for injection in the injured
spinal cord.
AB - OBJECTIVE: Spinal cord injury (SCI) affects a quarter million individuals in the
United States, and there is currently no clinical treatment. Both fresh and
acellular peripheral nerve grafts can induce spinal axon regeneration and support
functional recovery in experimental injury models. Nonetheless, a scaffold that
can be injected into a spinal contusion would be far less invasive to apply. We
aimed to develop the first injectable acellular nerve graft for promoting repair
after contusion SCI. APPROACH: We report a method to enzymatically solubilize
optimized acellular (OA) nerve-a decellularized peripheral nerve graft developed
in our laboratory and currently used clinically-to obtain an injectable solution
that undergoes thermal gelation under physiological conditions. We quantified
multiple physical and compositional properties of this novel material as well as
tested its efficacy at acute and chronic time points following cervical contusion
SCI. MAIN RESULTS: This injectable optimized acellular (iOA) nerve graft retains
native chemical cues such as collagens and glycosaminoglycans. By varying
hydrogel concentration, the rheological properties and compressive modulus of iOA
were similar to that previous reported for rat central nervous tissue. iOA
solution was compatible with rat Schwann cells in culture, and hydrogel injection
into a rat cervical contusion model significantly reduced the ratio of M1:M2
macrophages after one week, favoring regenerative phenotypes (p < 0.05).
Furthermore, while iOA treatment did not affect locomotor or respiratory recovery
over an eight week period, the percentage of axonal coverage increased at the
distal tissue interface (p < 0.05), suggesting enhanced axonal extension within
this region. SIGNIFICANCE: Our data indicate that this novel injectable form of
acellular nerve grafts is amenable for use after contusion SCI and may bolster a
simultaneous therapy by acutely modulating the inflammatory milieu and supporting
axonal growth.
PMID- 29380750
TI - 3D prompt gamma imaging for proton beam range verification.
AB - We tested the ability of a single Compton camera (CC) to produce 3-dimensional
(3D) images of prompt gammas (PGs) emitted during the irradiation of a tissue
equivalent plastic phantom with proton pencil beams for clinical doses delivered
at clinical dose rates. PG measurements were made with a small prototype CC
placed at three different locations along the proton beam path. We evaluated the
ability of the CC to produce images at each location for two clinical scenarios:
(1) the delivery of a single 2 Gy pencil beam from a hypo-fractionated treatment
(~9 * 108 protons), and (2) a single pencil beam from a standard treatment (~1
* 108 protons). Additionally, the data measured at each location were combined
to simulate measurements with a larger scale, clinical CC and its ability to
image shifts in the Bragg peak (BP) range for both clinical scenarios. With our
prototype CC, the location of the distal end of the BP could be seen with the CC
placed up to 4 cm proximal or distal to the BP distal falloff. Using the data
from the simulated full scale clinical CC, 3D images of the PG emission were
produced with the delivery of as few as 1 * 108 protons, and shifts in the
proton beam range as small as 2 mm could be detected for delivery of a 2 Gy spot.
From these results we conclude that 3D PG imaging for proton range verification
under clinical beam delivery conditions is possible with a single CC.
PMID- 29380751
TI - Efficacy of topical 5% fluorouracil needling in vitiligo.
PMID- 29380752
TI - Solitary dome-shaped erythematous lump of long duration on the palm.
PMID- 29380753
TI - Retinal vasoproliferative tumor - A proposal for classification.
PMID- 29380754
TI - Diabetic retinopathy screening: Telemedicine, the way to go!
PMID- 29380755
TI - Traumatic retrobulbar hemorrhage?
PMID- 29380756
TI - Anatomy of cornea and ocular surface.
AB - Important functions of cornea in the eye include protecting the structures inside
the eye, contributing to the refractive power of the eye, and focusing light rays
on the retina with minimum scatter and optical degradation. Considerable advances
have taken place in understanding the organization of collagen in the corneal
stroma and its clinical significance. In this review, the structure and function
of various components of cornea and ocular surface are presented.
PMID- 29380757
TI - Cornea and anterior eye assessment with slit lamp biomicroscopy, specular
microscopy, confocal microscopy, and ultrasound biomicroscopy.
AB - Current corneal assessment technologies make the process of corneal evaluation
extremely fast and simple, and several devices and technologies show signs that
help in identification of different diseases thereby, helping in diagnosis,
management, and follow-up of patients. The purpose of this review is to present
and update readers on the evaluation of cornea and ocular surface. This first
part reviews a description of slit lamp biomicroscopy (SLB), endothelial specular
microscopy, confocal microscopy, and ultrasound biomicroscopy examination
techniques and the second part describes the corneal topography and tomography,
providing up-to-date information on the clinical recommendations of these
techniques in eye care practice. Although the SLB is a traditional technique, it
is of paramount importance in clinical diagnosis and compulsory when an eye test
is conducted in primary or specialist eye care practice. Different techniques
allow the early diagnosis of many diseases, especially when clinical signs have
not yet become apparent and visible with SLB. These techniques also allow for
patient follow-up in several clinical conditions or diseases, facilitating
clinical decisions and improving knowledge regarding the corneal anatomy.
PMID- 29380758
TI - Clinical signs in cornea and ocular surface.
AB - A careful examination of cornea and ocular surface eliciting the basic signs will
help a clinician toward an accurate diagnosis. Flipping the upper lid or pulling
the lower lid to look at the inferior fornix may help to pick up any subtle
clinical sign. Meticulous documentation by diffuse and slit view will help in
following up the disease. Eyelids and ocular surface are evaluated externally and
by slit lamp. Slit-lamp examination with the use of the stains such as
fluorescein, rose bengal, or lissamine green provides extensive knowledge about
the ocular surface. Tests of tear production are also detailed herein. This
review is intended to help the eye practitioners in eliciting common clinical
signs seen in cornea and ocular surface diseases.
PMID- 29380759
TI - Prevalence and risk factors of dry eye disease in North India: Ocular surface
disease index-based cross-sectional hospital study.
AB - PURPOSE: This study aims to study the prevalence of DED and analyze risk factors
in North Indian population. METHODS: This was a cross-section hospital-based,
observational study. Cases enrolled over 2 years (systematic random sampling)
were administered ocular surface disease index questionnaire to evaluate the
prevalence and risk factors of DED. Schirmer's test and tear break-up time were
performed only in the subset of patients giving consent. Categorical data were
assessed with Chi-square/Fisher's Exact test, and odds ratio was analyzed using
bivariate and multivariate logistic regression. P < 0.05 was statistically
significant. RESULTS: A total of 15,625 patients were screened. The prevalence of
DED was 32% (5000/15625); 9.9% (496/5000) had mild DED; 61.2% (3060/5000) had
moderate DED; and 28.9% (1444/5000) had severe DED. Age group of 21-40 years,
male sex, urban region, and desk job were associated with increased risk of DED.
Hours of visual display terminal (VDT) usage significantly correlated with DED (P
< 0.001), and 89.98% of patients with 4 h or more of VDT use had severe dry eye.
Cigarette smoking and contact lens usage had increased odds of developing severe
DED (P < 0.001). Objective tests were undertaken in 552 patients; of these, 81.3%
(449/552) had severe DED. CONCLUSIONS: The prevalence of DED in North India is
32%, with the age group of 21-40 years affected most commonly. VDT use, smoking,
and contact lens use were associated with increased odds of developing DED.
PMID- 29380760
TI - Interface healing and its correlation with visual recovery and quality of vision
following small incision lenticule extraction.
AB - PURPOSE: To study the time course of interface healing and its correlation with
visual acuity, modulation transfer function (MTF), and aberrations after myopic
small-incision lenticule extraction (SMILE) correction. METHODS: Seventy-eight
eyes of 78 patients (1 eye per patient) with a mean age of 25.7 years and mean
spherical equivalent (SE) of -3.74D, undergoing bilateral SMILE procedure, were
included in this study. On postoperative day 1, 2 weeks, and 3 months, dilated
retroillumination photographs were taken and morphology of corneal interface was
graded by comparing them with 5 standard templates representing 5 grades of
interface roughness (IRG): IRG - 0 (clear), IRG - 1 (mild), IRG - 2 (moderate),
IRG - 3 (severe), and IRG - 4 (severe IRG with Bowman's folds in visual axis).
Pearson's correlations were computed to study correlation associations, and
Wilcoxon signed-rank test was used for intragroup comparison of means. P <= 0.05
was considered statistically significant. RESULTS: At 3 months, 90.70% eyes were
Grade 0 while 9.30% eyes still had Grade 2 interface granularity. Mean IRG
significantly improved from 2.47 +/- 0.57 at day 1 to 0.62 +/- 0.53 at 3 months
(P = 0.00). At day 1, pre-SE showed a significant positive correlation with IRG;
however, mean postoperative corrected distant visual acuity (CDVA, in decimal),
corneal Strehl ratio (SR), and MTF showed weak but significant negative
correlation with IRG (r2 = 0.28 for SE, -0.052 for CDVA, -0.017 for SR, and -0.39
for MTF, respectively, P < 0.05 for all correlations). At 2 weeks and 3 months,
corneal MTF continued to show a significant negative correlation, whereas other
parameters did not show any correlation with IRG. CONCLUSION: Visual quality and
corneal MTF may be significantly affected by the IRG in the immediate
postoperative period after SMILE and may take 3 months or more for complete
recovery.
PMID- 29380761
TI - Effect of transfer of donor corneal tissue from McCarey-Kaufmann medium to
Optisol-GS on corneal endothelium.
AB - PURPOSE: The purpose of this study is to evaluate the effect of transfer of donor
corneal tissue from McCarey-Kaufmann (MK) medium to Optisol-GS on corneal
endothelium. METHODS: This was a prospective, randomized comparative study.
Twenty paired human donor corneal tissues of optical quality were retrieved. One
tissue of the pair was preserved in Optisol-GS preservative medium (Group A) and
other tissue of the pair in MK medium (Group B) at the time of corneoscleral disc
excision. Within 12 h of retrieval, each cornea was evaluated using slit-lamp
biomicroscopic examination and specular microscopic analysis. Group B corneas
were transferred to Optisol-GS medium within 48-53 h of retrieval. Specular
analysis of the paired corneas was repeated 3 h after transferring to Optisol-GS.
On day 7 of storage, specular analysis of both the tissues was repeated. RESULTS:
The average age of the donor at the time of death was 29 years (16-68 years). The
reduction in endothelial cell count, from baseline, in Groups A and B was 5.5%
and 5.8% (P = 0.938) on the 3rd day and 8.2% and 12.6% (P = 0.025) on the 7th
day, respectively, postretrieval. The coefficient of variation (CV) increased by
36% (P = 0.021) and hexagonality reduced by 19% (P = 0.007) on day 7. All tissues
retained an endothelial cell density higher than the accepted critical level for
penetrating keratoplasty. CONCLUSION: Significant endothelial cell loss was noted
while transferring tissues from one medium to another, necessitating the need for
reevaluation of transferred tissues before utilization.
PMID- 29380762
TI - Effect of transfer of donor corneal tissue from McCarey-Kaufman medium to Optisol
on corneal endothelium.
PMID- 29380763
TI - Application of polycaprolactone nanofibers as patch graft in ophthalmology.
AB - PURPOSE: The purpose of the study was to evaluate tissue reaction to
polycaprolactone (PCL) nanofiber patches in the cornea, conjunctiva, and anterior
chamber (AC) in rabbit eyes and to assess their biocompatibility for use as patch
grafts. METHODS: Two 100 MU PCL patches were implanted under the conjunctiva and
in the corneal stroma of one albino New Zealand rabbit, and pathologic evaluation
was done after 3 weeks. In the next step, two PCL patches were implanted; one in
the corneal stroma and the other in the AC of two rabbits followed by pathologic
evaluation after 3 months. RESULTS: On slit-lamp examination, there was minimum
inflammation in all cases. Pathologic examination showed that the contact and
probably merging between the host tissue and PCL fibers were achieved with
minimal tissue reaction. CONCLUSION: As a biocompatible material, PCL nanofibers
seem to be a promising modality for the repair of different tissue defects
including melting, thinning, and perforation. They may also be a suitable
material for manufacturing keratoprostheses.
PMID- 29380764
TI - Identification of a novel frameshift mutation in PAX6 gene and the clinical
management in an Asian Indian aniridia family.
AB - PURPOSE: This study aimed to characterize an Asian Indian aniridia family for
both the phenotype and genotype of the disease for a better clinical management.
METHODS: The phenotype and genotype of the affected and unaffected individuals in
the aniridia family were evaluated. The subjects underwent a standard ophthalmic
evaluation followed by molecular screening of PAX6 gene in the peripheral blood
for mutation detection. RESULTS: The three affected individuals had aniridia with
several common features and an uncommon presentation of bilateral congenital
ptosis. Two affected siblings, a brother and a sister, had aniridia, nystagmus,
ptosis, increase in central corneal thickness, cataract, and foveal hypoplasia.
The sister had features of glaucoma. The offspring of the sister had all the
features except cataract and rise in intraocular pressure. Mutation screening of
PAX6 gene helped in identifying a novel heterozygous pathogenic variation g.
31801757dupG (c. 216-19dupG) that resulted in a frameshift mutation that extended
into exon 7. Based on the evaluation and diagnostic testing, the family was
clinically managed along with genetic counselling. CONCLUSION: Molecular
diagnostic testing helps in genetic counseling of the family with aniridia to
understand the nature of the disease and detection of complications early for
better management.
PMID- 29380765
TI - Analysis of yield of retinal imaging in a rural diabetes eye care model.
AB - PURPOSE: The aim of this study is to analyze the yield of retinal images obtained
in a rural diabetes eye care model. METHODS: An analysis of a sample of
nonmydriatic fundus photography (NMFP) of posterior segment ophthalmic images,
obtained by an indigenous equipment (3 nethra-Forus Royal), was done in a
district-wide rural diabetic retinopathy (DR) screening program; a trained
optometrist did the initial image grading. DR and diabetic macular edema (DME)
were classified based on international DR and DME severity scale. The agreement
between the optometrist and retina specialist was very good (kappa = 0.932;
standard error = 0.030; 95% confidence interval = 0.874-0.991). RESULTS:
Posterior segment images of 2000 eyes of 1000 people with diabetes mellitus (DM)
were graded. The mean age of the participants was 55.7 +/- 11.5 standard
deviation years. Nearly 42% of the screened participants (n = 420/1000) needed
referral. The most common referable posterior segment abnormality was DR (8.2%).
The proportion of people with any form of DR was seen in 110/1225 eyes, and sight
threatening DR was seen in 35/1225 eyes. About 62% of posterior segment images
were gradable. The reasons for ungradable posterior segment images (34%) were
small pupil, unfocused/partially available field of images, and cataract.
CONCLUSION: A NMFP model was able to detect referable posterior segment
abnormalities in a rural diabetes eye care program. Reasons found for
ungradability of images in the present study can be addressed while designing
future DR screening programs in the rural areas.
PMID- 29380766
TI - Transcleral approach for closing retinal tears using DuraSealTM hydrogel sealant.
AB - PURPOSE: The aim of this study was to evaluate an innovative approach for closing
retinal tears using DuraSealTM (DS) hydrogel sealant in a rabbit model. METHODS:
Retinal detachment with a small tear was performed on 20 New Zealand rabbits.
Thereafter, rabbits were divided into two groups; the experimental group received
a transscleral injection of 0.1 ml DS into the subretinal space whereas the
control group received sham injection of saline. Eyes were clinically evaluated
using indirect ophthalmoscopy, retinal function was recorded in ten rabbits by
electroretinography and the sealant's toxicity was evaluated histopathologically.
RESULTS: We found that the DS hydrogel was easily injected transsclerally into
the subretinal space of the detached retinas with no major complications. Retinal
reattachment was seen in both groups within 2 weeks with no toxicity to the
sensory retina. There were no significant differences in retinal function between
groups. CONCLUSION: Subretinal injection of hydrogel through a transscleral route
is easy to perform and may open a new avenue in the treatment of retinal
detachment. However, the efficacy of the DS as a tamponade for sealing retinal
tear is yet to be definite. Long-term clinical, functional, and toxicological
studies are needed to evaluate its full potential for clinical applications.
PMID- 29380767
TI - Diathermy to tissue adhesives for retinal tears: The future of retinal
reattachment surgery.
PMID- 29380768
TI - Clinical features and treatment outcomes of vasoproliferative tumors in Indian
participants.
AB - PURPOSE: The aim of the study was to describe the clinical features and treatment
outcomes of vasoproliferative tumors (VPT) in Indian participants. METHODS: This
study design was a retrospective case series in a tertiary eye care center. Case
records of patients diagnosed with VPT from 2011 to 2015 were reviewed, and their
demographic details, clinical presentation, and treatment outcomes were
documented. Baseline and follow-up visual acuity and tumor dimensions were
statistically compared by applying paired t-test. Statistical analysis used SPSS
version 14. RESULTS: Twenty-two tumors from 19 eyes of 17 patients were included.
Mean age at presentation was 43.5 years (range: 15-68 years). Mean presenting
best-corrected visual acuity (BCVA) was + 1.10 logMAR. Sixty-eight percent eyes
had secondary tumors. Most common association of secondary VPT was Coats disease
followed by retinal vasculitis, polypoidal choroidal vasculopathy, familial
exudative vitreoretinopathy, and traumatic chorioretinopathy. Ten tumors (45%)
involved the inferior quadrant. Tumor-associated features were intra/subretinal
exudates, vitritis, subretinal fluid, vitreous hemorrhage, preretinal fibrosis,
epiretinal membrane, and subretinal blood. Treatment included cryotherapy,
intravitreal or oral steroids, laser photocoagulation, cryotherapy with
encirclage, cryotherapy with anti-vascular endothelial growth factor, and
observation. Complications included tumor recurrence, retinal detachment, raised
intraocular pressure, neovascularization of iris, and cataract. Ninety-five
percent VPT regressed at mean 21 months (Median: 17 months; Range: 3-64 months).
Mean final BCVA was + 1.21 logMAR. CONCLUSION: VPTs are commonly unilateral,
unifocal, and located anterior to equator in inferior fundus. Secondary tumors
are more common than primary tumors. Treatment achieves tumor regression in
majority of cases.
PMID- 29380769
TI - A study on the contamination of injection bevacizumab on storage of multidose
vials.
AB - PURPOSE: The aim of this study is to establish the safety of storage and reuse of
bevacizumab vials for 1 week with multiple vial punctures. METHODS: This was an
experimental microbiological study conducted at tertiary care hospital. The study
samples included bevacizumab vials that had been used for injecting patients by
the pooling method. Vials were stored and sampled in a manner that replicated
their proposed clinical use. Contamination of vials was evaluated on the basis of
microbial culture and validated by positive and negative controls. The
probability of obtaining such results purely by chance was calculated. RESULTS: A
total of 210 samples from 30 vials were evaluated along with 210 positive and 210
negative controls. No growth was seen in any of the bevacizumab samples. The
probability of obtaining 210 consecutive sterile samples just by chance is <5.547
* 10-6 (0.000005547). CONCLUSION: The vials showed no contamination on storage
for 7 days in an ordinary refrigerator. Thus, we conclude that the rate of
contamination of bevacizumab vials on storage for 7 days in a refrigerator is
likely to be insignificant. The results need to be validated by other studies
replicating this protocol.
PMID- 29380770
TI - A 3-year prospective study on ocular injuries with tennis or cricket ball while
playing cricket: A case series.
AB - PURPOSE: The purpose of this study is to study the clinical features, visual
outcome, management, and ocular complications of ocular injury, following trauma
with tennis or cricket ball. METHODS: A prospective, noncomparative case study of
patients having injury with tennis/cricket ball while playing cricket was
conducted between January 2013 and April 2016. Seventy-six eyes of 76 patients
were studied. Presenting vision, age, gender, time since injury, general and
ocular examination, intraocular pressure, indirect ophthalmoscopy, B scan, and X
ray/computed tomography scan findings were noted. Patients were managed medically
or surgically as per the need and followed up at least for 6 months. RESULTS:
Seventy-six eyes of 76 patients were studied. All cases were male, except two.
Majority (80.2%) were <25 years. Median presenting visual acuity (VA) was 6/36
and median final VA was 6/18. Significant findings in the decreasing order of
frequency were sphincter tear (26.3%), retinal detachment (23.6%), angle
recession (18.4%), choroidal rupture (17.1%), and Berlin's edema (15.7%). Most of
the cases (69.7%) were managed medically. Only 30.2% cases needed surgical
intervention. Final visual outcome in our study was depended on initial VA (P =
0.000). It was also correlating with presenting clinical feature (P = 0.010) and
type of intervention (medical/surgical) (P = 0.001). CONCLUSION: Cricket-related
ocular injury generally has a poor prognosis with most cases being closed globe
injury; retinal detachment is the most common vision-threatening presentation. In
spite of being a common event, cricket-related injury is sparingly documented and
hence needs further studies for proper documentation, prognostication, and
formulation of definitive management plan.
PMID- 29380772
TI - Assessing the outcomes of mini-Monoka stent dilatation for primary punctal
stenosis using the lacrimal symptom questionnaire.
AB - PURPOSE: The objective of this study was to assess the quality of outcomes of
mini-Monoka stent dilatation for punctal stenosis using the lacrimal symptom (Lac
Q) questionnaire. METHODS: Prospective interventional case series of 45 eyes of
25 consecutive patients who underwent mini-Monoka stent dilatation for primary
punctal stenosis were included in the study. The stents were extubated at 4
weeks. The Lac-Q questionnaire was administered preoperatively and at 12 weeks
and 24 weeks following the intervention. Outcomes assessed were anatomical
success, functional success, and changes in the questionnaire scores including
total, social impact, and lacrimal symptom scores. Statistical analysis was
performed using the Wilcoxon signed-rank test, and the probability of obtaining a
certain score was calculated using the multinomial log-linear model. P < 0.05 was
considered as significant. RESULTS: Monoka stents were performed for 45 eyes. A
total of 75 questionnaire responses were analyzed. At the last follow-up of 24
weeks, the anatomical and functional success rates were 93.3% (42/45). The
changes in the mean total score (7.68 preoperatively to 0.82 at 24-week follow
up) were statistically significant (P <= 0.001). The mean social impact scores
showed significant improvement postoperatively from 4.06 to 0.53 (P <= 0.001).
The mean lacrimal symptom score changed from a preoperative value of 3.62 to 0.28
(P <= 0.001) at the last follow-up. Postoperative scoring correlated well with
the anatomical and functional success rates. The symptom scores corroborated with
changes in the clinical outcomes. CONCLUSION: Mini-Monoka stent dilatation is a
very effective intervention for the management of primary punctal stenosis, and
Lac-Q questionnaire is a simple and useful tool to evaluate the quality of
outcomes in such cases.
PMID- 29380771
TI - Ocular manifestations of isolated corneal bee sting injury, management
strategies, and clinical outcomes.
AB - PURPOSE: The purpose of this study is to describe the presenting features,
management strategies, and clinical outcome following bee sting injury to the
cornea. METHODS: Retrospective case series involving 11 eyes of 11 patients with
corneal bee sting injuries who presented over a period of 2 years. Nine of these
11 eyes had the presence of intact bee stinger in the cornea, which was removed
immediately under an operating microscope and sent for microbiological and
histopathological evaluation. The patients were managed as per the individual
treatment protocol of the respective treating physicians. RESULTS: Six eyes
(54.5%) had a good clinical outcome (best-corrected visual acuity [BCVA] >20/40)
with medical therapy alone with no need for surgical intervention. Five eyes
(45.5%) had a poor clinical outcome (BCVA <20/40) with medical therapy and
required surgery; of which three required a combined penetrating keratoplasty
with cataract surgery, while one required isolated cataract surgery and one
underwent penetrating keratoplasty. Glaucoma was present in 3/5 eyes undergoing
surgery, one of which required a trabeculectomy. Five of the six eyes who had a
good clinical outcome with medical therapy alone had been treated with
concomitant oral steroids, along with topical antibiotic-steroid combination
therapy. CONCLUSION: Oral corticosteroid supplementation to the topical steroid
antibiotic treatment in patients with corneal bee sting injury where corneal
involvement and anterior reaction is severe at presentation or inflammation not
ameliorating with topical steroids alone prevents serious vision-threatening
complications such as corneal decompensation, cataract, and glaucoma.
PMID- 29380773
TI - The Mini-Monoka punctocanaliculoplasty.
PMID- 29380775
TI - Correction of upper eyelid entropion: Modified techniques are most welcome.
PMID- 29380774
TI - Upper eyelid levator-recession and anterior lamella repositioning through the
grey-line: Avoiding a skin-crease incision.
AB - PURPOSE: This study aims to report a case series of upper eyelid cicatricial
margin entropion with retraction, corrected through a grey-line approach only. We
remind readers of the grey-line approach to levator recession (LR) and lamellar
repositioning surgery. METHODS: A retrospective review of clinic notes and
photographs of patients who underwent grey-line split (GLS), LR, release of
orbital septum, recession of levator, advancement of posterior lamella and
anterior lamellar repositioning without a skin crease incision, from December
2015 to December 2016. Indications for surgery included mild-to-moderate
cicatricial margin upper eyelid entropion, tarsal curling, and meibomian gland
inversion. Patients requiring spacer interposition to lengthen the posterior
lamella were excluded from the study. Parameters of the study included lid margin
position, lid height, ocular surface health and symptom improvement. RESULTS:
Eleven eyelids of eight patients were included in the study, and underwent the
procedure described. Lid margin position measured as the marginal reflex distance
lowered (improved) in 72.7% of patients. Lid margin eversion was achieved in all
eyes (100%). Corneal punctate epithelial erosions markedly improved, being
present in 72.7% of patients preoperatively, and only 9.1% of patients
postoperatively. Eight of eleven eyes showed symptomatic improvement, with six
(54.5%) being completely asymptomatic and two achieving partial relief. An added
observation was a pretarsal show asymmetry in some patients which improved in
36.4% of surgeries postoperatively. CONCLUSION: Upper eyelid LR with GLS and
anterior lamella repositioning can all be performed through the plane of the
split, avoiding a skin incision. Normal lid margin apposition was achieved in all
eyes with 91% demonstrating a clear cornea and 72% having symptomatic
improvement.
PMID- 29380776
TI - A pilot study regarding basic knowledge of "cortical visual impairment in
children" among ophthalmologists.
AB - PURPOSE: A pilot study was done to evaluate knowledge regarding "cortical visual
impairment (CVI) in children" among ophthalmologists. METHODS: This study was
conducted during the annual conference of a zonal ophthalmological society. All
ophthalmologists who attended the conference were requested to participate in
this study. Those who agreed were given a validated questionnaire to assess
knowledge regarding CVI. Cronbach's alpha of the questionnaire was 0.6.
Participants were asked to respond to multiple choice questions by choosing the
single best option. The responses obtained were then evaluated. RESULTS: The
total number of registered delegates in the conference was 448. A total of 103
ophthalmologists showed interest to participate in the study with a response rate
of 22.9%. Only 89/103 interested delegates were included in the study as
remaining were unaware of CVI. No participant gave correct answers to all
questions. Although more than 80% of them knew the most common association (87%)
and site of pathology (84%), only 52% were sure about clinical features and even
lesser respondents (39%) knew that magnetic resonance imaging is the correct
investigation of choice. The majority responded correctly that these children
need eye examination (89%) and can be managed by rehabilitation through
multidisciplinary approach (82%), but only 58% could recognize differential
diagnoses and had a correct idea regarding the prognosis of CVI. There was no
correlation between the number of patients diagnosed per month by the respondent
with knowledge of the disease. CONCLUSION: In this pilot study, ophthalmologists
were found to have limited knowledge regarding clinical features, investigation,
differential diagnosis, and visual prognosis of CVI in children. There is a need
to improve awareness regarding CVI among ophthalmologists.
PMID- 29380777
TI - Are children with low vision adapted to the visual environment in classrooms of
mainstream schools?
AB - PURPOSE: The study aimed to evaluate the classroom environment of children with
low vision and provide recommendations to reduce visual stress, with focus on
mainstream schooling. METHODS: The medical records of 110 children (5-17 years)
seen in low vision clinic during 1 year period (2015) at a tertiary care center
in south India were extracted. The visual function levels of children were
compared to the details of their classroom environment. The study evaluated and
recommended the chalkboard visual task size and viewing distance required for
children with mild, moderate, and severe visual impairment (VI). RESULTS: The
major causes of low vision based on the site of abnormality and etiology were
retinal (80%) and hereditary (67%) conditions, respectively, in children with
mild (n = 18), moderate (n = 72), and severe (n = 20) VI. Many of the children
(72%) had difficulty in viewing chalkboard and common strategies used for better
visibility included copying from friends (47%) and going closer to chalkboard
(42%). To view the chalkboard with reduced visual stress, a child with mild VI
can be seated at a maximum distance of 4.3 m from the chalkboard, with the
minimum size of visual task (height of lowercase letter writing on chalkboard)
recommended to be 3 cm. For 3/60-6/60 range, the maximum viewing distance with
the visual task size of 4 cm is recommended to be 85 cm to 1.7 m. CONCLUSION:
Simple modifications of the visual task size and seating arrangements can aid
children with low vision with better visibility of chalkboard and reduced visual
stress to manage in mainstream schools.
PMID- 29380778
TI - Expert comments on: Are children with low vision adapted to the visual
environment in classrooms of mainstream schools?
PMID- 29380779
TI - Pebble beach artifact: An apparent multicolor imaging maculopathy due to corneal
desiccation.
PMID- 29380780
TI - Inverted macular hole edges following an inverted internal limiting membrane
transplantation surgery for large macular hole.
PMID- 29380781
TI - Tuberous sclerosis complex with Best's vitelliform macular dystrophy: A combined
presentation.
PMID- 29380782
TI - Documentation of active bleed from retinal neovascularization during fluorescein
angiography.
PMID- 29380783
TI - Serendipitous use of light source of operating microscope in endoscopic
dacryocystorhinostomy.
PMID- 29380784
TI - "Comet-tail" lesions of pseudoxanthoma elasticum.
PMID- 29380785
TI - Management challenge: Optic disc granuloma in pulmonary tuberculosis.
PMID- 29380786
TI - Melanorrhea: Noncontiguous spread of palpebral conjunctival melanoma to the
nasolacrimal duct.
AB - A 42-year-old Asian Indian male with a history of conjunctival melanoma in the
left eye presented with a recurrent tumor in the upper tarsal conjunctiva. The
tumor was completely excised under margin control, followed by two-staged eyelid
reconstruction. During the second stage of the eyelid reconstruction, a brown
colored discharge was noted at the punctum, which on cytology was confirmatory of
melanoma. Left dacryocystectomy with en bloc nasolacrimal duct (NLD) excision was
performed. Histopathology demonstrated infiltration of the NLD by the tumor with
no presence of melanoma in the lacrimal sac. Lacrimal oncorrhea is a term used to
describe tumor spread by free-floating cells in the tear film. All conjunctival
tumors carry a risk of tumor spread by oncorrhea.
PMID- 29380787
TI - Primary squamous cell carcinoma arising from palpebral conjunctiva: A rare
presentation.
AB - Squamous cell carcinoma (SCC) of the conjunctiva usually arises from the limbal
conjunctiva. Rarely, it can arise from the palpebral conjunctiva. We report a
case of primary conjunctival SCC of the palpebral conjunctiva which was treated
successfully.
PMID- 29380788
TI - Reactivation of herpes simplex viral keratitis following the botulinum toxin
injection.
AB - We describe a case of 55-year-old male farmer presented with recurrent corneal
abrasions with a spastic entropion in the left eye. Superior cornea showed
typical nummular opacities suggestive resolved herpetic eye diseases. On further
enquiry, he had similar episodes in the past. Contralateral eye was essentially
normal. Following the botulinum toxin injection for the management of spastic
entropion, subject developed reactivation of herpetic necrotizing stromal
keratitis. Diagnostic corneal scrapings were negative for herpes simplex virus-1
antigen by immunofluorescence assay and for DNA by molecular techniques. The case
was successfully managed with topical steroids and antiviral medications.
PMID- 29380789
TI - An unusual hydrops in keratoconus.
AB - A young 19-year-old male was referred for the management of acute hydrops in the
left eye. During follow-up, other eye cornea developed edematous bands as if
hydrops was developing at two parallel sites. Edema progressed very slowly and
new edema bands continued to develop. This creeping type of hydrops took 4 months
to develop into typical hydrops. To the best of our knowledge, hydrops has always
been reported to develop in an acute manner and at a single site. Our case is
unusual because hydrops progressed very slowly and developed at multiple sites in
a creeping manner.
PMID- 29380790
TI - Unusual ulcerative keratitis caused by Prototheca wickerhamii in a diabetic
patient.
AB - The purpose of the study was to report a case of ulcerative keratitis caused by
an unusual algae Prototheca wickerhamii in a diabetic patient. This study design
was a case report. A 46-year-old male, who was a known diabetic for 3 years, had
an injury to the left cornea with the sparks of fire from wielding at work that
developed into an ulcerative keratitis over a period of next 3 months as the
patient was not on any medication. Corneal scraping culture report and Vitek 2
system investigation result confirmed it to be a P. wickerhamii infection. The
patient was started on intensive topical 1% voriconazole and 5% natamycin for 1
month and with no improvement subsequently underwent penetrating keratoplasty. No
recurrence of infection postoperatively was noted. This opportunistic algae
rarely known to cause human eye infections is so far reported in either patients
with severe systemic immunosuppression causing posterior segment eye involvement
or as postcorneal surgery infections. We report an ulcerative keratitis by P.
wickerhamii in a diabetic patient post corneal trauma with no prior ocular
surgery.
PMID- 29380791
TI - High-resolution optical coherence tomography in a case of descemetocele managed
with amniotic membrane transplantation.
AB - Amniotic membrane transplantation is a useful in the management of corneal melts
and descemetocele. We describe high-resolution anterior segment optical coherence
tomography (OCT) (Optovue) in a patient with descemetocele who was managed
surgically with amniotic membrane transplantation. A 60-year-old female presented
with a corneal melt in the right eye. She was a case of rheumatoid arthritis and
was on systemic treatment with immunomodulators. Slit lamp examination revealed a
severe thinning paracentrally. High-resolution OCT was performed at the site of
descemetocele. She underwent amniotic membrane transplantation using fibrin glue
and bandage contact lens application. At 6 weeks postoperative, the bandage
contact lens was removed. The area of thinning healed with scarring. OCT at the
healed site revealed stable surface and an increase in stromal thickness to 281
MU this case describes the utility of amniotic membrane in the healing of sterile
corneal melts by providing tectonic support and its integration in the stroma.
The stromal healing and increased thickness at the site of descemetocele could be
delineated on high-resolution OCT imaging.
PMID- 29380792
TI - Systemic diffuse large B-cell lymphoma masquerading as neovascular glaucoma.
AB - We describe a case of spontaneous hyphema associated with anterior uveitis
presents in a 69-year old female as the prominent sign of the intraocular spread
of systemic diffuse large B-cell lymphoma (DLBCL). She had a history of diabetes
and initially misdiagnosed as neovascular glaucoma. Clinical history of systemic
lymphoma, characteristic findings on B-scan ultrasonography and magnetic
resonance imaging scan, and identification of atypical lymphoid cells in aqueous
sample established the diagnosis of intraocular metastasis of systemic DLBCL.
Therefore, this report highlights that life-threatening malignant systemic
lymphoma may masquerade as anterior segment ocular inflammation or neovascular
glaucoma.
PMID- 29380793
TI - Quantitative polymerase chain reaction analysis of serpiginous choroiditis with
biopsy-proven testicular tuberculosis.
AB - We report a case of a 47-year-old male patient presenting with diminution of
vision in the left eye. The left eye fundus showed yellowish lesions with
indistinct geographical margin extending over the posterior pole just abutting
the macula, suggestive of diffuse choroiditis. The patient gave a history of
testicular swelling for the past 2 years. Aqueous tap for polymerase chain
reaction analysis was positive for IS6110 mycobacterial tuberculosis (TB) genome,
and a biopsy of testicular sac was suggestive of tubercular epididymitis. A
diagnosis of TB-multifocal serpiginoid choroiditis was established and was
managed with anti-tubercular therapy and systemic steroids.
PMID- 29380794
TI - Real-time polymerase chain reaction in acute retinal necrosis following
encephalitis.
AB - Acute retinal necrosis (ARN) following herpes simplex encephalitis (HSE) in an
immunocompetent patient is a rare condition. Quantitative real-time polymerase
chain reaction (qPCR) has made it possible to identify and quantify viral genome.
We report a case of ARN following HSE managed with the help of qPCR. A 45-year
old man developed ARN following HSE and was treated with intravenous acyclovir
and intravitreal foscarnet. The retinitis did not respond initially and the qPCR
demonstrated a rise in the number of copies of the HSV-1 viral genome. With
continued treatment with intravenous acyclovir and intravitreal ganciclovir, the
retinitis healed and the qPCR confirmed a reduction in the viral load. qPCR has a
high sensitivity and specificity for HSV and is a useful tool for diagnosis and
treatment of viral retinitis.
PMID- 29380795
TI - Multimodal imaging characteristics of hydroxychloroquine retinopathy.
AB - Hydroxychloroquine (HCQ) is known to cause retinal toxicity. Early detection of
the toxicity is necessary to stop the drug in time. Multicolor imaging (MC) is a
new noninvasive retinal imaging modality that simultaneously acquires three
reflectance images of the retina using three individual lasers producing a
composite image, thereby allowing analysis of changes at various levels within
the retina. It is a new and promising addition to the retinal imaging armory. MC
characteristics of HCQ toxicity are hitherto unreported. A 61-year-old female
presented with history of HCQ intake (400 mg/day) for the last 6 years. She had
retinopathy in both eyes. Multicolor composite image showed circumscribed
perifoveal arcuate area of darkening, and infrared reflectance showed speckled
hyperreflecetance in both eyes. MC imaging shows definite changes in HCQ
toxicity, and it might emerge as a possible screening tool in future.
PMID- 29380796
TI - Torpedo maculopathy: A primary choroidal capillary abnormality?
AB - A 26-year-old healthy male patient's fundus revealed findings consistent with
torpedo maculopathy. Swept-source optical coherence tomography (OCT) showed a
dome-shaped elevation of the retina at the level of ellipsoid zone. On OCT
angiography segmented at the level of the choriocapillaris, a cluster of
convoluted fine vessels was seen, and further, deeper scans of the larger
choroidal vessels showed a slower flow. From these observations along with the
embryological correlation of choriocapillaris development, a possibility of an
abnormality preventing proper fenestration of the choriocapillaris along the
horizontal raphe being responsible for this anomaly is suggested.
PMID- 29380797
TI - Torpedo maculopathy with multifocal central serous chorioretinopathy: A rare case
report.
AB - We present a very rare case of torpedo maculopathy (TM) with multifocal central
serous chorioretinopathy. A 26-year-old male presented with painless loss of
vision in the right eye of 2 months duration. Clinical examination showed a
torpedo-shaped lesion temporal to fovea and subretinal fluid in foveal center.
Fluorescein angiography showed multifocal leaks. Optical coherence tomography
showed an optically clear space/neurosensory detachment at the site of lesion.
Patient underwent focal laser to the leaks. TM is a rare congenital disorder
detected accidentally during routine fundus examination. It is usually unilateral
and does not affect vision.
PMID- 29380798
TI - Bilateral arterial occlusions masking retinitis in a HIV-positive male.
AB - We report an interesting case of 36-year-old HIV-positive male with uveitis,
cilioretinal artery occlusion in OD, and superotemporal branch retinal artery
occlusion in OS. Hypercoagulability, cardiovascular, and rheumatologic workups
were unremarkable. Aqueous taps were negative for toxoplasma, viruses, and MTb by
multiplex polymerase chain reaction. Patches of retinitis were seen on clearing
of retinal edema. Serology was positive for toxoplasma and rickettsia. Management
included doxycycline, azithromycin, bactrim DS, and oral steroids. Vision
improvement to 6/60 and 6/24 in OD and OS refer to the right eye and left eye,
respectively, were noted at 4-month follow-up. Infections should be considered in
arterial occlusions associated with inflammation in HIV-positive individuals.
PMID- 29380800
TI - An overlap case of Parry-Romberg syndrome and en coup de sabre with striking
ocular involvement and anti-double-stranded DNA positivity.
AB - Parry-Romberg syndrome (PRS) may overlap localized scleroderma (morphea) lesions
with linear depression (en coup de sabre [ECDS]). Overlap case with PRS and ECDS
was presented. Enophthalmos, uveitis, ocular torticollis, keratic linear
precipitates, and anti-double-stranded DNA positivity were identified.
Subendothelial keratic precipitates detected by an in vivo laser scanning
confocal microscopy were the first profiled in the literature. Patients must be
evaluated and followed up carefully by their clinics to prevent misdiagnosis and
unnecessary procedures such as surgery of ocular torticollis as muscular
torticollis.
PMID- 29380799
TI - Relative anterior microphthalmos in oculodentodigital dysplasia.
AB - Here, we report a patient with oculodentodigital dysplasia (ODDD) caused by the
c. 413G>A, p.Gly138Asp mutation in the gap junction protein alpha-1 gene. The
patient suffered from characteristic dysmorphic features of ODDD.
Ophthalmological investigation disclosed microcornea and a shallow anterior
chamber, as expected. Surprisingly, the patient had a normal axial length and
moderate myopia on both eyes. To the best of our knowledge, this is the first
report on ODDD associated with relative anterior microphthalmos and myopia.
PMID- 29380801
TI - Time for introspection.
PMID- 29380802
TI - Increasing the reliability of health information on internet.
PMID- 29380803
TI - Keratoplasty in congenital primary aphakia.
PMID- 29380804
TI - Our experience with strabismus surgery under topical anesthesia performed at a
tertiary eye care center.
PMID- 29380805
TI - Comment on: Femtosecond laser-assisted cataract surgery versus 2.2-mm clear
corneal phacoemulsification.
PMID- 29380806
TI - Reply to comment on: Femtosecond laser-assisted cataract surgery versus 2.2 mm
clear corneal phacoemulsification.
PMID- 29380807
TI - Comment on: Fungal keratitis: The Aravind Experience.
PMID- 29380808
TI - Reply to Comment on: Fungal keratitis: The Aravind Experience.
PMID- 29380809
TI - Comment on: Systemic analysis of ocular trauma by a new proposed ocular trauma
classification.
PMID- 29380810
TI - Comment on: Aflibercept for recurrent or recalcitrant polypoidal choroidal
vasculopathy in Indian eyes: Early experience.
PMID- 29380811
TI - Reply to comment on: Systematic analysis of ocular trauma by a new proposed
ocular trauma classification.
PMID- 29380812
TI - Reply to comment on: Aflibercept for recurrent or recalcitrant polypoidal
choroidal vasculopathy in Indian eyes: Early experience.
PMID- 29380813
TI - Comment on: Orbital dermatofibrosarcoma protuberans with frontal and ethmoid
sinus involvement: A case report and brief review of literature.
PMID- 29380814
TI - Reply to comment on: Orbital dermatofibrosarcoma protuberans with frontal and
ethmoid sinus involvement: A case report and brief review of literature.
PMID- 29380815
TI - Enhancement of Choriocapillaris Visualization in En Face Swept-Source Optical
Coherence Tomography Angiography Images.
AB - BACKGROUND Visualization of the choriocapillaris vessels using swept-source
optical coherence tomography is a novel technique. However, en face images
obtained with current commercial equipment using this method are still unclear.
Therefore, using freely available image processing software, we have been able to
enhance and clearly visualize single choriocapillaris blood vessels. MATERIAL AND
METHODS We examined 4 swept-source optical coherence tomography en face images of
the choriocapillaris in 2 male patients in both eyes and processed them using
Image J software. RESULTS In our study, all images displayed clearly individual
choriocapillaris vessels. CONCLUSIONS Implementation of this technique
significantly improves visualization of the choriocapillaris. Further studies
need to be carried out in order to validate this method of enhancement.
PMID- 29380816
TI - Kidneys and women's health: key challenges and considerations.
AB - The theme of World Kidney Day 2018 is 'kidneys and women's health: include,
value, empower'. To mark this event, Nature Reviews Nephrology asked four leading
researchers to discuss key considerations related to women's kidney health,
including specific risk factors, as well as the main challenges and barriers to
care for women with kidney disease and how these might be overcome. They also
discuss policies and systems that could be implemented to improve the kidney
health of women and their offspring and the areas of research that are needed to
improve the outcomes of kidney disease in women.
PMID- 29380819
TI - Variation in Pathologist Classification of Colorectal Adenomas and Serrated
Polyps.
AB - OBJECTIVES: Endoscopist quality measures such as adenoma detection rate (ADR) and
serrated polyp detection rates (SPDRs) depend on pathologist classification of
histology. Although variation in pathologic interpretation is recognized, we add
to the literature by quantifying the impact of pathologic variability on
endoscopist performance. METHODS: We used natural language processing to abstract
relevant data from colonoscopy and related pathology reports performed over 2
years at four clinical sites. We quantified each pathologist's likelihood of
classifying polyp specimens as adenomas or serrated polyps. We estimated the
impact on endoscopists' ADR and SPDR of sending their specimens to pathologists
with higher or lower classification rates. RESULTS: We observed 85,526
colonoscopies performed by 119 endoscopists; 50,453 had a polyp specimen, which
were analyzed by 48 pathologists. There was greater variation across pathologists
in classification of serrated polyps than in classification of adenomas. We
estimate the endoscopist's average SPDR would be 0.5% if all their specimens were
analyzed by the pathologist in our sample with the lowest classification rate and
12.0% if all their specimens were analyzed by the pathologist with the highest
classification rate. In contrast, the endoscopist's average ADR would be 28.5%
and 42.4% if their specimens were analyzed by the pathologist with lowest and
highest classification rate, respectively. CONCLUSIONS: There is significant
variation in pathologic interpretation, which more substantially affects
endoscopist SPDR than ADR.
PMID- 29380818
TI - Renin cells in homeostasis, regeneration and immune defence mechanisms.
AB - An accumulating body of evidence suggests that renin-expressing cells have
developed throughout evolution as a mechanism to preserve blood pressure and
fluid volume homeostasis as well as to counteract a number of homeostatic and
immunological threats. In the developing embryo, renin precursor cells emerge in
multiple tissues, where they differentiate into a variety of cell types. The
function of those precursors and their progeny is beginning to be unravelled. In
the developing kidney, renin-expressing cells control the morphogenesis and
branching of the renal arterial tree. The cells do not seem to fully
differentiate but instead retain a degree of developmental plasticity or
molecular memory, which enables them to regenerate injured glomeruli or to alter
their phenotype to control blood pressure and fluid-electrolyte homeostasis. In
haematopoietic tissues, renin-expressing cells might regulate bone marrow
differentiation and participate in a circulating leukocyte renin-angiotensin
system, which acts as a defence mechanism against infections or tissue injury.
Furthermore, renin-expressing cells have an intricate lineage and functional
relationship with erythropoietin-producing cells and are therefore central to two
endocrine systems - the renin-angiotensin and erythropoietin systems - that
sustain life by controlling fluid volume and composition, perfusion pressure and
oxygen delivery to tissues. However, loss of the homeostatic control of these
systems following dysregulation of renin-expressing cells can be detrimental,
with serious pathological events.
PMID- 29380820
TI - Severity and Outcomes of Upper Gastrointestinal Bleeding With Bloody Vs. Coffee
Grounds Hematemesis.
AB - OBJECTIVES: Numerous reviews indicate bloody hematemesis signifies more severe
bleeding than coffee-grounds hematemesis. We assessed severity and outcomes
related to bleeding symptoms in a prospective study. METHODS: Consecutive
patients presenting with hematemesis or melena were categorized as bloody emesis
(N=1209), coffee-grounds emesis without bloody emesis (N=701), or melena without
hematemesis (N=1069). We assessed bleeding severity (pulse, blood pressure) and
predictors of outcome (hemoglobin, risk stratification scores) at presentation,
and outcomes of bleeding episodes. The primary outcome was a composite of
transfusion, intervention, or mortality. RESULTS: Bloody and coffee-grounds
emesis were similar in pulse >=100 beats/min (35 vs. 37%), systolic blood
pressure <=100 mm Hg (12 vs. 12%), and hemoglobin <=100 g/l (25 vs. 27%). Risk
stratification scores were lower with bloody emesis. The composite end point was
34.7 vs. 38.2% for bloody vs. coffee-grounds emesis; mortality was 6.6 vs. 9.3%.
Hemostatic intervention was more common (19.4 vs. 14.4%) with bloody emesis (due
to a higher frequency of varices necessitating endoscopic therapy), as was
rebleeding (7.8 vs. 4.5%). Outcomes were worse with hematemesis plus melena vs.
isolated hematemesis for bloody (composite: 62.4 vs. 25.6%; hemostatic
intervention: 36.5 vs. 13.8%) and coffee-grounds emesis (composite: 59.1 vs.
27.1%; hemostatic intervention: 26.4 vs. 8.1%). CONCLUSIONS: Bloody emesis is not
associated with more severe bleeding episodes at presentation or higher mortality
than coffee-grounds emesis, but is associated with modestly higher rates of
hemostatic intervention and rebleeding. Outcomes with hematemesis are worsened
with concurrent melena. The presence of bloody emesis plus melena potentially
could be considered in decisions regarding timing of endoscopy.
PMID- 29380817
TI - Sex-specific differences in hypertension and associated cardiovascular disease.
AB - Although intrinsic mechanisms that regulate arterial blood pressure (BP) are
similar in men and women, marked variations exist at the molecular, cellular and
tissue levels. These physiological disparities between the sexes likely
contribute to differences in disease onset, susceptibility, prevalence and
treatment responses. Key systems that are important in the development of
hypertension and cardiovascular disease (CVD), including the sympathetic nervous
system, the renin-angiotensin-aldosterone system and the immune system, are
differentially activated in males and females. Biological age also contributes to
sexual dimorphism, as premenopausal women experience a higher degree of
cardioprotection than men of similar age. Furthermore, sex hormones such as
oestrogen and testosterone as well as sex chromosome complement likely contribute
to sex differences in BP and CVD. At the cellular level, differences in cell
senescence pathways may contribute to increased longevity in women and may also
limit organ damage caused by hypertension. In addition, many lifestyle and
environmental factors - such as smoking, alcohol consumption and diet - may
influence BP and CVD in a sex-specific manner. Evidence suggests that
cardioprotection in women is lost under conditions of obesity and type 2 diabetes
mellitus. Treatment strategies for hypertension and CVD that are tailored
according to sex could lead to improved outcomes for affected patients.
PMID- 29380821
TI - Randomized Double-Blind Placebo-Controlled Crossover Trial for the Diagnosis of
Non-Celiac Gluten Sensitivity in Children.
AB - OBJECTIVES: Non-celiac gluten sensitivity (NCGS) is characterized by intestinal
and extra-intestinal symptoms that are related to the ingestion of gluten in
subjects who are not affected by either celiac disease (CD) or wheat allergy
(WA). In this multicenter study, we aim for the first time to evaluate the
prevalence of NCGS in pediatric subjects with chronic functional gastrointestinal
symptoms associated with gluten ingestion using a double-blind placebo-controlled
(DBPC) gluten challenge with crossover. METHODS: Among 1,114 children with
chronic gastrointestinal symptoms (negative CD and WA), those exhibiting a
positive correlation between symptoms and gluten ingestion were eligible for a
diagnostic challenge including the following phases: run-in, open gluten-free
diet (GFD) and DBPC crossover gluten challenge. Patients were randomized to
gluten (10 g/daily) and placebo (rice starch) for 2 weeks each, separated by a
washout week. The gluten challenge was considered positive in the presence of a
minimum 30% decrease of global visual analogue scale between gluten and placebo.
RESULTS: Out of 1,114 children, 96.7% did not exhibit any correlation with gluten
ingestion. Thirty-six children were eligible; after the run-in and open GFD, 28
patients entered the gluten challenge. Eleven children (39.2%; 95% CI: 23.6
53.6%) tested positive. CONCLUSIONS: This is the first demonstration of the
existence of NCGS in children that reinforce the need for a DBPC for the
diagnosis as the diagnosis is ruled out in >60% of cases. The registration
identifier in ClinicalsTrials.gov is NCT02431585.
PMID- 29380822
TI - Hospitalizations for Autoimmune Hepatitis Disproportionately Affect Black and
Latino Americans.
AB - OBJECTIVES: The healthcare burden of autoimmune hepatitis (AIH) in the United
States has not been characterized. We previously showed that AIH
disproportionately affects people of color in a single hospital system. The
current study aimed to determine whether the same disparity occurs nationwide.
METHODS: We analyzed hospitalizations with a primary discharge diagnosis
corresponding to the ICD-9 code for AIH in the National Inpatient Sample between
2008 and 2012. For each racial/ethnic group, we calculated the AIH
hospitalization rate per 100,000 population and per 100,000 all-cause
hospitalizations, then calculated a risk ratio compared to the reference rate
among whites. We used multivariable logistic regression models to assess for
racial disparities and to identify predictors of in-hospital mortality during AIH
hospitalizations. RESULTS: The national rate of AIH hospitalization was 0.73
hospitalizations per 100,000 population. Blacks and Latinos were hospitalized for
AIH at a rate 69% (P<0.001) and 20% higher (P<0.001) than whites, respectively.
After controlling for age, gender, payer, residence, zip code income, region, and
cirrhosis, black race was a statistically significant predictor for mortality
during AIH hospitalizations (odds ratio (OR) 2.81, 95% confidence interval (CI)
1.43, 5.47). CONCLUSIONS: Hospitalizations for AIH disproportionately affect
black and Latino Americans. Black race is independently associated with higher
odds of death during hospitalizations for AIH. This racial disparity may be
related to biological, genetic, environmental, socioeconomic, and healthcare
access and quality factors.
PMID- 29380823
TI - Efficacy and Tolerability of Guanylate Cyclase-C Agonists for Irritable Bowel
Syndrome with Constipation and Chronic Idiopathic Constipation: A Systematic
Review and Meta-Analysis.
AB - OBJECTIVES: Linaclotide and plecanatide are guanylate cyclase-C (GCC) agonists
for the treatment of chronic idiopathic constipation (CIC) and irritable bowel
syndrome with constipation (IBS-C). Our objective is to evaluate the efficacy and
tolerability of GCC agonists based on data from multiple randomized controlled
trials (RCTs). METHODS: We searched PubMED, EMBASE, Cochrane databases,
clinicaltrials.gov, major conference abstracts, Food and Drug Administration
(FDA) websites, and United States Securities and Exchange Commission filings of
drug sponsors to identify RCTs of CIC or IBS-C patients. We assessed efficacy
based on FDA-approved composite responder endpoints, diarrhea as an adverse
event, and study withdrawal owing to diarrhea for each therapy. Trial results
were pooled using DerSimonian and Laird random effects model of meta-analysis and
exact logistic regression when appropriate with 95% confidence intervals. Meta
regression was performed to compare outcomes between therapies adjusting for
placebo event rate. RESULTS: Eight linaclotide trials (five CIC; three IBS-C) and
seven plecanatide trials (four CIC; three IBS-C) evaluating 10,369 patients met
inclusion criteria. FDA publications documented that different definitions for
diarrhea were used in linaclotide vs. plecanatide trials. Both drugs were
efficacious in treating CIC (linaclotide 72 MUg (Odds ratio (OR)=3.11, 95% CI
1.81-5.34); linaclotide 145 MUg (OR=3.25, 2.15-4.91); plecanatide 3 mg (OR=1.99,
1.57-2.51)) and IBS-C (linaclotide 290 MUg (OR=2.43, 1.48-3.98); plecanatide 3 mg
(OR=1.87, 1.47-2.38); plecanatide 6 mg (OR=1.92, 1.48-2.48)). Diarrhea occurred
in excess of placebo in treating CIC (linaclotide 72 MUg (OR=3.07, 1.97-4.77);
linaclotide 145 MUg (OR=3.70, 2.69-5.10); plecanatide 3 mg (OR=3.86, 1.83-8.12))
and IBS-C (linaclotide 290 MUg (OR=8.02, 5.20-12.37); plecanatide 3 mg (OR=5.55,
1.62-19.00); plecanatide 6 mg (OR=4.13, 1.57-10.83)). Based on meta-regression,
there were no statistically significant differences between therapies in odds
ratios for efficacy, diarrhea, or diarrhea-related study withdrawals.
CONCLUSIONS: Both linaclotide and plecanatide demonstrate similar efficacy and
tolerability in treating IBS-C and CIC. No differences in odds of diarrhea were
seen between linaclotide and plecanatide.
PMID- 29380824
TI - Problem-based learning in primary care at University of Ribeirao Preto (UNAERP)
in Brazil.
PMID- 29380825
TI - Characterization of the binding interactions between EvaGreen dye and dsDNA.
AB - Understanding the dsDNA.EG binding interaction is important because the EvaGreen
(EG) dye is increasingly used in real-time quantitative polymerase chain
reaction, high resolution melting analysis, and routine quantification of DNA. In
this work, a binding isotherm for the interactions of EG with duplex DNA (poly
dA17.poly-dT17) has been determined from the absorption and fluorescence spectra
of the EG and dsDNA.EG complex. The isotherm has a sigmoidal shape and can be
modeled with the Hill equation, indicating positive cooperativity for the binding
interaction. A Scatchard plot of the binding data yields a concave-down curve in
agreement with the Hill analysis of the binding isotherm for a positive
cooperative binding interaction. Analysis of the Scatchard plot with the modified
McGhee and von Hippel model for a finite one-dimensional homogeneous lattice and
nonspecific binding of ligands to duplex DNA yields the intrinsic binding
constant, the number of lattice sites occluded by a bound ligand, and the
cooperativity parameter of 3.6 * 105 M-1, 4.0, and 8.1, respectively. The
occluded site size of 4 indicates that moieties of the EG intercalate into the
adjacent base pairs of the duplex DNA with a gap of 1 intercalation site between
EG binding sites, as expected for a bifunctional molecule. Interestingly, at high
[EG]/[base pair], the intercalation is disrupted. A model is proposed based on
the fluorescence spectrum where the formation of anti-parallel stacked chains of
EGs bound externally to the duplex DNA occur at these high ratios.
PMID- 29380826
TI - A highly stable MnII phosphonate as a highly efficient catalyst for CO2 fixation
under ambient conditions.
AB - With coordinatively unsaturated metal centers decorating the channel walls, a
MnII phosphonate exhibits highly efficient and substrate size-selective catalysis
in the context of CO2 chemical conversion into cyclic carbonates under 1 atm
pressure and at room temperature, proving to be a promising heterogeneous
catalyst in an eco-friendly and energy efficient way.
PMID- 29380827
TI - A label-free fluorescence assay for hydrogen peroxide and glucose based on the
bifunctional MIL-53(Fe) nanozyme.
AB - A label-free nanozyme MIL-53(Fe) with the dual-function of catalyzing and
emitting fluorescence was utilized for turn-on fluorescence detection of hydrogen
peroxide and glucose. The proposed strategy provides a cost-effective, safe and
sensitive method for the design and development of multiple enzyme cascade assays
for various biomolecules.
PMID- 29380828
TI - Photoassociation of ultracold NaLi.
AB - We perform photoassociation spectroscopy in an ultracold 23Na-6Li mixture to
study the c3Sigma+ excited triplet molecular potential. We observe 50 vibrational
states and their substructure to an accuracy of 20 MHz, and provide line strength
data from photoassociation loss measurements. An analysis of the vibrational line
positions using near-dissociation expansions and a full potential fit is
presented. This is the first observation of the c3Sigma+ potential, as well as
photoassociation in the NaLi system.
PMID- 29380832
TI - Modulating PtPt metal-metal interactions through conformationally switchable
molecular tweezer/guest complexation.
AB - Herein a new organoplatinum(ii)-based molecular tweezer has been designed and
synthesized, which displays pH-responsive mechanical transformation from the "U"-
to "W"-shaped conformation. Pt(ii)Pt(ii) metal-metal interactions have been
successfully incorporated into the resulting molecular tweezer/guest recognition
motifs and self-assembled polymers, which can be modulated in response to pH
variation.
PMID- 29380840
TI - Probing the excited state dynamics of Venus: origin of dual-emission in
fluorescent proteins.
AB - Fluorescent proteins exhibit interesting excited state photochemistry, leading to
bright fluorescence emission that renders their versatile biological role and
wide use as biomarkers. A molecular-level mechanism of the excited state dynamics
is desirable to pinpoint the origin of the bright fluorescence of these proteins.
Here we present studies on a yellow fluorescent protein variant, Venus, and
investigate the photophysics behind the dual fluorescence emission upon UV
excitation. Based on our studies, we propose that the unique nature of the
potential energy surface is responsible for the observation of minor fluorescence
in Venus which is not seen in wild type GFP.
PMID- 29380844
TI - Editorial: Geriatrics in the 21st Century.
PMID- 29380846
TI - Mini Nutritional Assessment Short-Form (MNA-SF) Predicts Institutionalisation in
an Intermediate Post-Acute Care Setting.
AB - OBJECTIVES: The aim of the study was to investigate whether nutritional status as
measured by the Mini Nutritional Assessment Short-Form (MNA-SF) predicts level of
care of the discharge destination after post-acute care in a nursing home.
DESIGN: Cohort study. SETTING: Post-acute intermediate care nursing home, 19-bed
unit with increased multidisciplinary staff. PARTICIPANTS: Patients >=70 years in
post-acute care (N=900) following an acute admission to the hospital.
MEASUREMENTS: The predictive value of nutritional status, as measured by the MNA
SF, on discharge destination was analysed by means of a multinomial logistic
regression model with the MNA-SF as the independent variable, discharge
destination as the dependent variable and age and living situation as co
variates. The participants were grouped into three categories according to their
discharge destination: home, other institution or readmitted to the hospital.
RESULTS: A higher score on the MNA-SF significantly predicted a reduced risk of
discharge to institution (adjusted OR=0.90 (95% CI=0.84;0.97), p=0.003).
CONCLUSION: Nutritional status, as measured by the MNA-SF, predicted discharge
destination from an intermediate care nursing home following acute
hospitalisation. The findings underscore the value of nutritional assessment as
part of overall clinical assessment and care planning prior to discharge. The
findings may also imply that interventions to improve nutritional status could
increase patients' ability to return home, as opposed to further
institutionalisation, after hospitalisation.
PMID- 29380845
TI - Occurrence of Malnutrition and Associated Factors in Community-Dwelling Older
Adults: Those with a Recent Diagnosis of Cancer Are at Higher Risk.
AB - OBJECTIVES: In older adults, nutritional health is essential for good quality of
life and living independently at home. Especially in cancer patients,
malnutrition is common and known to complicate treatment. This study aims to
evaluate the nutritional status and its associated factors in community-dwelling
older adults with and without cancer. DESIGN: This is an observational study.
SETTING: This study focuses on older community-dwelling people. PARTICIPANTS:
This study included older people with and without cancer (>=70 years). Cancer
patients included patients with a new diagnosis of breast, lung, prostate, or
colorectal cancer. MEASUREMENTS: Data collection included measures of nutritional
status, quality of life, depression, fatigue, distress and functional status. We
used multivariate logistic regression analysis to assess the association between
personal characteristics and malnutrition. RESULTS: Data were available for 657
people; 383 people without cancer and 274 with a cancer diagnosis. Overall,
malnutrition was detected in 245 (37.5%) people; in cancer patients this was
66.1%. Multivariate analysis showed that having cancer (OR 14.4, 95% CI: 8.01 -
23.3), being male (OR 2.38, 95% CI: 1.49 - 3.70), having depression (OR 13.5, 95%
CI: 6.02-30.0), distress (OR 2.60, 95% CI: 1.55 - 4.37) and impaired instrumental
activities of daily living (IADL) (OR 2.63, 95% CI: 1.63 - 4.24) were associated
with a higher risk of malnutrition. CONCLUSION: The prevalence of malnutrition in
community-dwelling older people is high, particularly in patients with cancer.
Benchmarking and routine screening of older patients may be helpful strategies to
increase awareness of (risk of) malnutrition among professionals.
PMID- 29380847
TI - Development and Psychometric Testing of a Novel Food Service Satisfaction
Questionnaire for Food Service Staff of Aged Care Homes.
AB - BACKGROUND: Food service staff are integral to delivery of quality food in aged
care homes yet measurement of their satisfaction is unable to be performed due to
an absence of a valid and reliable questionnaire. The aim of this study was to
develop and perform psychometric testing for a new Food Service Satisfaction
Questionnaire developed in Australia specifically for use by food service staff
working in residential aged care homes (Flinders FSSQFSAC). METHODS: A mixed
methods design utilizing both a qualitative (in-depth interviews, focus groups)
and a quantitative approach (cross sectional survey) was used. Content validity
was determined from focus groups and interviews with food service staff currently
working in aged care homes, related questionnaires from the literature and
consultation with an expert panel. The questionnaire was tested for construct
validity and internal consistency using data from food service staff currently
working in aged care homes that responded to an electronic invitation circulated
to Australian aged care homes using a national database of email addresses.
Construct validity was tested via principle components analysis and internal
consistency through Cronbach's alpha. Temporal stability of the questionnaire was
determined from food service staff undertaking the Flinders FSSQFSAC on two
occasions, two weeks apart, and analysed using Pearson's correlations. RESULTS:
Content validity for the Flinders FSSQFSAC was established from a panel of
experts and stakeholders. Principle components analysis revealed food service
staff satisfaction was represented by 61-items divided into eight domains: job
satisfaction (alpha=0.832), food quality (alpha=0.871), staff training
(alpha=0.922), consultation (alpha=0.840), eating environment (alpha=0.777),
reliability (alpha=0.695), family expectations (alpha=0.781) and resident
relationships (alpha=0.429), establishing construct validity in all domains, and
internal consistency in all (alpha>0.5) except for "resident relationships"
(alpha=0.429). Test-retest reliability coefficients ranged from 0.276 to 0.826
dependent on domain, with test-retest reliability established in seven domains at
r>0.4; an exception was "reliability" at r=0.276. CONCLUSIONS: The newly
developed Flinders FSSQFSAC has acceptable validity and reliability and thereby
the potential to measure satisfaction of food service staff working in
residential aged care homes, identify areas for strategic change, measure
improvements and in turn, improve the satisfaction and quality of life of both
food service staff and residents of aged care homes.
PMID- 29380848
TI - Tea Consumption Is Associated with Increased Bone Strength in Middle-Aged and
Elderly Chinese Women.
AB - OBJECTIVES: Previous studies found that tea consumption was related to a
reduction in the risks of some chronic diseases, but limited data are available
on bone health. This study aimed to examine the associations of tea consumption
with hip bone strength in Chinese women. DESIGN: Cross-sectional study. SETTING:
The participants were from the ongoing Guangzhou Nutrition and Health Study. This
was a cohort study started in 2008. The examination data conducted between June
2010 and December 2013 were used. PARTICIPANTS: A total of 1,495 Chinese women
aged more than 40 years were included. MEASUREMENTS: Tea consumption, socio
demographic information and lifestyle habits were collected by a face-to-face
questionnaire. Hip bone mineral density (BMD) and geometric parameters, i.e.
cross-sectional area (CSA), section modulus (Z) and buckling ratio (BR), were
generated by dual-energy X-ray absorptiometry. The associations of tea
consumption with bone phenotypes were detected by analysis of covariance and
multiple linear regression models after adjusting for age, body mass index, years
since menopause, physical activity, dietary-protein intake, dietary-calcium
intake, calcium tablet intake, drinking status and smoking status. RESULTS: Tea
drinkers (n = 732) had approximately 1.9% higher BMD (p < 0.05) and 3.6% lower BR
(p < 0.05) than non-tea drinkers (n = 763). The dose-response relationships of
BMD, BR or CSA with total tea consumption were identified (p-trend < 0.05). Tea
drinking was found to be a significant and independent predictor of BMD (beta =
0.068, p < 0.05) or BR (beta = -0.079, p < 0.05). CONCLUSION: Tea consumption was
associated with increased bone strength in middle-aged and elderly Chinese women.
PMID- 29380849
TI - Association of Long-Term Adherence to the MIND Diet with Cognitive Function and
Cognitive Decline in American Women.
AB - OBJECTIVES: There is increasing attention for dietary patterns as a potential
strategy to prevent cognitive decline. We examined the association between
adherence to a recently developed Mediterranean-DASH Intervention for
Neurodegenerative Delay (MIND) diet with cognitive function and cognitive
decline, taking into account the interaction between the apolipoprotein E
epsilon4 genotype and the MIND diet. DESIGN: Population-based prospective cohort
study. PARTICIPANTS: A total of 16,058 older women aged 70 and over from the
Nurses' Health Study. MEASUREMENTS: Dietary intake was assessed five times
between 1984 and 1998 with a 116-item Food Frequency Questionnaire. The MIND
score includes ten brain-healthy foods and five unhealthy foods. Cognition was
assessed four times by telephone from 1995 to 2001 (baseline) with the Telephone
Interview for Cognitive Status (TICS) and by calculating composite scores of
verbal memory and global cognition. Linear regression modelling and linear mixed
modelling were used to examine the associations of adherence to the MIND diet
with average cognitive function and cognitive change over six years,
respectively. RESULTS: Greater long-term adherence to the MIND diet was
associated with a better verbal memory score (multivariable-adjusted mean
differences between extreme MIND quintiles=0.04 (95%CI 0.01-0.07), p
trend=0.006), but not with cognitive decline over 6 years in global cognition,
verbal memory or TICS. CONCLUSION: Long-term adherence to the MIND diet was
moderately associated with better verbal memory in later life. Future studies
should address this association within populations at greater risk of cognitive
decline.
PMID- 29380850
TI - A Comparison of Food Portion Size Estimation by Older Adults, Young Adults and
Nutritionists.
AB - OBJECTIVES: To investigate the ability of older adults, younger adults and
nutritionists to assess portion size using traditional methods versus a computer
based method. This was to inform the development of a novel dietary assessment
method for older adults "The NANA system". DESIGN: Older and younger adults
assessed the portion size of self-served portions of foods from a buffet style
set up using traditional and computerised portion size assessment aids.
Nutritionists assessed the portion size of foods from digital photographs using
computerised portion size aids. These estimates were compared to known weights of
foods using univariate analyses of covariance (ANCOVA). SETTING: The University
of Sheffield, United Kingdom. SUBJECTS: Forty older adults (aged 65 years and
over), 41 younger adults (aged between 18 and 40 years) and 25 nutritionists.
RESULTS: There was little difference in the abilities of older and younger adults
to assess portion size using both assessment aids with the exception of small
pieces morphology. Even though the methods were not directly comparable among the
test groups, there was less variability in portion size estimates made by the
nutritionists. CONCLUSION: Older adults and younger adults are similar in their
ability to assess food portion size and demonstrate wide variability of
estimation compared to the ability of nutritionists to estimate portion size from
photographs. The results suggest that the use of photographs of meals consumed
for portion size assessment by a nutritionist may improve the accuracy of dietary
assessment. Improved portion size assessment aids are required for all age
groups.
PMID- 29380851
TI - Effects of Milk Proteins Supplementation in Older Adults Undergoing Resistance
Training: A Meta-Analysis of Randomized Control Trials.
AB - BACKGROUND: Older adults experience age-related physiological changes that affect
body weight and body composition. In general, nutrition and exercise have been
identified as potent stimulators of protein synthesis in skeletal muscle. Milk
proteins are excellent sources of all the essential amino acids and may represent
an ideal protein source to promote muscle anabolism in older adults undergoing
resistance training. However, several randomized control trials (RCTs) have
yielded mixed results on the effects of milk proteins supplementation in
combination with resistance training on body weight and composition. METHODS:
PubMed, Web of Science and Cochrane databases were searched for literature that
evaluated the effects of milk proteins supplementation on body weight and
composition among older adults (age >= 60 years) undergoing resistance training
up to September 2016. A random-effects model was used to calculate the pooled
estimates and 95% confidence intervals (CIs) of effect sizes. RESULTS: The final
analysis included 10 RCTs involving 574 participants (mean age range from 60 to
80.8 years). Overall, the combination of milk proteins supplementation and
resistance training did not have significant effect on fat mass (0.30, 95% CI
0.25, 0.86 kg) or body weight (1.02, 95% CI: -0.01, 2.04 kg). However, a positive
effect of milk proteins supplementation paired with resistance training on fat
free mass was observed (0.74, 95% CI 0.30, 1.17 kg). Greater fat-free mass gains
were observed in studies that included more than 55 participants (0.73, 95% CI
0.30, 1.16 kg), and in studies that enrolled participants with aging-related
medical conditions (1.60, 95% CI 0.92, 2.28 kg). There was no statistical
evidence of publication bias among the studies. CONCLUSION: Our findings provide
evidence that supplementation of milk protein, in combination with resistance
training, is effective to elicit fat-free mass gain in older adults.
PMID- 29380852
TI - Physical Activity Types and Health-Related Quality of Life among Middle-Aged and
Elderly Adults: The Rotterdam Study.
AB - OBJECTIVES: Physical activity (PA) is associated with health-related quality of
life (HRQL). The specific PA types that provide beneficial effects in an older
population remain unclear. We assessed the association of total PA, walking,
cycling, domestic work, sports and gardening with HRQL in middle-aged and elderly
adults. DESIGN: Cross-sectional study. SETTING: Rotterdam, the Netherlands.
PARTICIPANTS: 5,554 participants, with a mean age of 69 years. MEASUREMENTS:
Total PA was categorized in five groups to evaluate the dose-response effect of
PA and specific PA types were categorized in tertiles. HRQL was measured with the
EuroQoL 5-dimension. The outcome of every HRQL domain (i.e. mobility, self-care,
daily activities, pain and mood) was expressed as having any problems versus not
having problems. Logistic and linear regression analyses were used, adjusting for
confounders, to examine associations of total PA and PA types with HRQL domains.
RESULTS: In both middle-aged (<65 years) and elderly adults (>65 years), we found
a dose-response association between total PA and better HRQL (i.e. lower odds of
having problems in HRQL domains). In the middle-aged, sports was the only PA type
associated with lower odds of having problems with all HRQL domains. In the
elderly, all PA types were associated with less problems with HRQL domains, but
cycling contributed most to the beneficial effect. CONCLUSIONS: Total PA was
associated with better HRQL. Sports and cycling were the activity types that
contributed most to this association in the middle-aged and elderly,
respectively. Since PA levels tend to decline with aging, cycling and sports
should be promoted with the aim to improve HRQL.
PMID- 29380853
TI - Oral Health Indicators for Risk of Malnutrition in Elders.
AB - OBJECTIVES: Using both clinical parameters and subjective measures of oral
health, this study aimed to identify useful oral health indicators for the risk
of malnutrition in elders. DESIGN: Cross-sectional study. SETTING: Five community
centers run by non-government organizations (NGOs). PARTICIPANTS: 195 community
dwelling elders (65 or above). MEASUREMENTS: An interviewer-administered
questionnaire was completed to collect information on elders' socio-demographic
background and oral health perception and practice. Their number of teeth, number
of occluding tooth pairs, dental caries, and periodontal condition were examined.
General Oral Health Assessment Index (GOHAI), an instrument for assessing oral
health related quality of life (OHQoL), was used as a subjective measure of oral
health. The elders' nutritional status was evaluated by using the Mini
Nutritional Assessment (MNA). RESULTS: The mean (SD) DFT was 3.3 (3.1). Over 60%
of elders had periodontal pockets; 33% had fewer than 20 teeth and 6% were
edentulous. The mean (SD) of occluding tooth pairs was 7.1 (4.8). The mean (SD)
total GOHAI score was 56.4 (8.0); 60% reported negative impact of oral health on
their quality of life. The mean (SD) MNA score was 25.0 (2.9); 30% had
malnutrition or were at risk. After controlling for socio-demographic factors,
none of the clinical indicators (dental caries, periodontal status, number of
teeth, and number of occluding tooth pairs) were associated with risk of
malnutrition (all p>0.05). Poorer OHQoL indicated a higher chance for
malnutrition in both adjusted models (OR of 0.914; 95% CI of 0.850-0.982; p=0.014
and OR of 0.915; 95% CI of 0.852-0.984; p=0.017). Tooth loss and untreated
decayed teeth (DT) were significant/marginally significant determinants of poor
OHQoL. CONCLUSION: Elders' tooth loss and unmet treatment need for dental caries
were associated with compromised quality of life, which indicated increased
likelihood for malnutrition.
PMID- 29380854
TI - Association between Body Mass Index and All-Cause Mortality among Oldest Old
Chinese.
AB - OBJECTIVES: To examine the association between BMI and all-cause mortality in the
oldest old (>=80 years). DESIGN: The study used a prospective cohort study
design. SETTING: Chinese Longitudinal Healthy Longevity Survey (CLHLS) between
1998/99 and 2011. POPULATION: 8026 participants aged 80 years and older were
followed every two to three years. MEASUREMENTS: Body weight and knee height were
measured. Height was calculated based on knee height using a validated equation.
Deaths were ascertained from family members during follow-up. RESULTS: The mean
BMI was 19.8 (SD 4.5) kg/m2. The prevalence of underweight, overweight and obese
was 37.5%, 10.2% and 4.4%, respectively. There were 5962 deaths during 29503
person-years of follow-up. Compared with normal weight, underweight was
associated with a higher mortality risk (HRs: 1.20 (95%CI 1.13-1.27) but
overweight (HR 0.89 (95%CI 0.81-0.99)) were associated with a lower risk. Obesity
had a HR 0.91 (95%CI 0.78-1.05) for mortality. CONCLUSION: Among oldest old
Chinese, underweight is associated with an increased risk of all-cause mortality
but overweight is associated with a reduced risk. Interventions to reduce
undernutrition should be given priority among the oldest old Chinese.
PMID- 29380855
TI - Single-Arm Resistance Training Study to Determine the Relationship between
Training Outcomes and Muscle Growth Factor mRNAs in Older Adults Consuming
Numerous Medications and Supplements.
AB - OBJECTIVES: Determine if the muscle mRNA levels of three growth factors (insulin
like growth factor-1 [IGF1], ciliary neurotropic factor [CNTF], and vascular
endothelial growth factor-D [VEGFD]) are correlated with muscle size and strength
gains from resistance exercise while piloting a training program in older adults
taking medications and supplements for age-associated problems. DESIGN: Single
arm prospective study. SETTING: US Veterans Affairs hospital. PARTICIPANTS: Older
(70+/-6 yrs) male Veterans (N=14) of US military service. INTERVENTION: Thirty
five sessions of high-intensity (80% one-rep max) resistance training including
leg press, knee curl, and knee extension to target the thigh muscles.
MEASUREMENTS: Vastus lateralis biopsies were collected and body composition
(DEXA) was determined pre- and post-training. Simple Pearson correlations were
used to compare training outcomes to growth factor mRNA levels and other
independent variables such as medication and supplement use. RESULTS: Average
strength increase for the group was >= 25% for each exercise. Subjects averaged
taking numerous medications (N=5+/-3) and supplements (N=2+/-2). Of the growth
factors, a significant correlation (R>0.7, P<=0.003) was only found between pre
training VEGFD and gains in lean thigh mass and extension strength. Mass and
strength gains were also correlated with use of alpha-1 antagonists (R=0.55,
P=0.04) and pre-training lean mass (R=0.56, P=0.04), respectively. CONCLUSIONS:
Muscle VEGFD, muscle mass, and use of alpha-1 antagonists may be predisposing
factors that influence the response to training in this population of older
adults but additional investigation is required to determine if these
relationships are due to muscle angiogenesis and blood supply.
PMID- 29380856
TI - Peripheral Blood Leukocyte Telomere Length Is Associated with Age but Not Renal
Function: A Cross-Sectional Follow-Up Study.
AB - OBJECTIVES: We aimed to evaluate the relationship between baseline renal function
and changes in telomere length in Han Chinese. METHODS: The telomere restriction
fragment (TRF) length of leukocytes in the peripheral blood was measured in
healthy volunteers recruited in 2014. The estimated glomerular filtration rate
(eGFR) was calculated based on serum creatinine (Scr) and serum cystatin C (CysC)
eGFRcys and eGFRScr-cys through the Cockcroft-Gault formula (eGFRC-G) or the
Chronic Kidney Disease Epidemiology Collaboration (CKD-EPI / eGFRCKD-EPI)
equation. The correlation between telomere length changes over time and renal
function was analyzed. RESULTS: Leukocyte TRF lengths were negatively correlated
to age (r = -0.393, p < 0.001) and serum CysC (r = -0.180, p < 0.01), while
positively associated with eGFRCKD-EPI, eGFRC-G, eGFRcys, and eGFRScr-cys (r =
0.182, 0.122, 0.290, and 0.254 respectively, p < 0.01). The 3-year change of
telomere length was 46 bp/years. When adjusted for age, the associations between
telomere length changes and baseline, subsequent TRF lengths, and serum CysC were
no longer present. No association was observed between TRF length changes and
renal function. CONCLUSION: The rate of telomere length changes was affected by
age and baseline telomere length. The telomere length changes might be important
markers for aging.
PMID- 29380858
TI - Dementia and Death After Stroke in Older Adults During a 10-year Follow-up:
Results from a Competing Risk Model.
AB - OBJECTIVES: To investigate the association between stroke and incident dementia
in the presence of a competing risk of death. METHODS: This study used the
National Health Insurance Service-Senior (NHIS-Senior) claim database from 2002
to 2013 (n = 22,792). Stroke (I69.0-I69.9) and dementia (F01-F03, G30, G31.1)
patients were defined by the International Classification of Disease 10th
revision. The association of stroke with dementia or death was assessed with Cox
proportional hazards model and competing-risk model. RESULTS: During the 10-year
follow-up period, there were 1,307 dementia events (5.7%) and 9,272 deaths
(40.7%). In the Cox model, the adjusted hazard ratio (HR) for dementia was 2.37
times higher in those who experienced strokes, compared with the non-stroke group
(95% CI: 2.23, 2.51). In the presence of death as competing event, stroke was
associated with an elevated dementia incidence (HR = 2.06, 95% CI: 1.92, 2.20).
CONCLUSION: Stroke was significantly associated with an increased risk of
incident dementia, with the magnitude of the association being attenuated in the
competing risk model.
PMID- 29380857
TI - The Impact of Dietary Factors on Indices of Chronic Disease in Older People: A
Systematic Review.
AB - OBJECTIVES: There has been little evaluation of the evidence relating dietary
factors to functional capacity in older adults. The aims were to i) conduct a
systematic review of studies assessing dietary factors in relation to six key
functional indicators which impact on quality of life in adults >=65 yrs: non
fatal cardiovascular events, cognition, mental health, falls and fractures,
physical health (muscle mass, strength) and frailty; and ii) assess if there was
sufficient evidence to devise food-based dietary recommendations. DESIGN:
Systematic review. PARTICIPANTS: Cross-sectional and prospective cohort studies
were included together with intervention studies that evaluated food/drink
interventions (excluding supplements). Evidence base statements were determined
according to the GRADE (Grades of Recommendation, Assessment, Development and
Evaluation) levels of evidence criteria (Grades (A-excellent; B-good; C
satisfactory; D-poor). RESULTS: There was good evidence that the Mediterranean
type diet (MD) reduced the risk of non-fatal cardiovascular events (Grade B) and
reduced cognitive decline (Grade B). There was some evidence indicating that a MD
decreases the likelihood of frailty (Grade C), consistent but weaker evidence
that >=3 servings/d of vegetables is associated with reduced cognitive decline
(Grade D), a modest increase in protein may be associated with improved cognition
(Grade C) and decreased frailty (Grade C), and that protein plus resistance
exercise training in frail elderly may enhance physical strength (Grade C).
CONCLUSION: It is recommended that older adults adopt the characteristics of a
Mediterranean type diet such as including olive oil and eating >=3 servings/d of
vegetables to reduce their risk of chronic disease, impaired cognition and
frailty. Consumption of dietary protein above the current dietary requirements
would be recommended to reduce risk of frailty and impaired cognition. A modest
increase in dietary protein when combined with resistance exercise would be
recommended to help maintain muscle mass and strength and to enhance functional
capacity.
PMID- 29380859
TI - Comparative Study for the Association of Mitochondrial Haplogroup F+ and
Metabolic Syndrome between Longevity and Control Population in Guangxi Zhuang
Autonomous Region, China.
AB - BACKGROUND: Our previous study suggested that mitochondrial haplogroup F (mtDNA
F) was a longevity-associated biomarker, but the effect of mitochondrial
haplogroup F on longevity individuals with metabolic syndrome (MetS) was not
clear. Thus we explored the association between mtDNA F and MetS among longevity
and control population in Guangxi Zhuang Autonomous Region, China. METHOD: A
total of 793 individuals consisting of 307 long-lived participants and 486 local
healthy controls were involved in this study. Genotypes of mtDNA F were amplified
by polymerase chain reaction and Sanger sequenced. MetS was defined according to
the revised National Cholesterol Education Program's Adult Treatment Panel III
(NCEP ATPIII ) criteria. RESULTS: The prevalence of MetS in longevity group
(28.0%) was higher than that (18.5%) in control group (P=0.002). Through the case
control stratify analysis, the prevalence of MetS in mtDNA F+ longevity
individuals (29.8%) was 4.6 fold higher than that (5.3%) in local control group
(P<0.001). However, after further longevity-only analysis, no association between
MetS and mtDNA F+ in longevity group was observed (P=0.167). Following same
analysis of two variables in control group, we found that the prevalence of MetS
in mtDNA F- (95.8%) was higher than that in mtDNA F+ (5.3%); conversely, the
prevalence of non-metabolic syndrome (NMetS) in mtDNA F+ (94.7%) was markedly
higher than that in mtDNA F- (4.2%) (P<0.001). CONCLUSION: We demonstrated that
mtDNA F+ , as a molecuar biomarker, might not only confer beneficial effect to
resistance against MetS but also function as a positive factor for long-life span
among the population in Guangxi Zhuang Autonomous Region, China.
PMID- 29380860
TI - Ultraviolet light and melanoma.
AB - Melanoma is a clinically heterogeneous disease, and current strategies for
treatment of the primary tumour are based on pathological criteria alone. In the
recent past, several DNA-sequencing and RNA-sequencing studies of primary and
advanced melanoma samples have identified unique relationships between somatic
mutations, genomic aberrations, and the genetic fingerprint of ultraviolet
radiation (UVR). The recurrent patterns of genomic alterations reveal different
disease pathways, drug targets and mechanisms limiting drug response. Here, we
examine the known associations between the molecular categories of melanoma and
the multidimensional UVR damage. Copyright (c) 2018 Pathological Society of Great
Britain and Ireland. Published by John Wiley & Sons, Ltd.
PMID- 29380861
TI - All-electron relativistic computations on the low-lying electronic states, bond
length, and vibrational frequency of CeF diatomic molecule with spin-orbit
coupling effects.
AB - Ab initio all-electron computations have been carried out for Ce+ and CeF,
including the electron correlation, scalar relativistic, and spin-orbit coupling
effects in a quantitative manner. First, the n-electron valence state second
order multireference perturbation theory (NEVPT2) and spin-orbit configuration
interaction (SOCI) based on the state-averaged restricted active space
multiconfigurational self-consistent field (SA-RASSCF) and state-averaged
complete active space multiconfigurational self-consistent field (SA-CASSCF)
wavefunctions have been applied to evaluations of the low-lying energy levels of
Ce+ with [Xe]4f1 5d1 6s1 and [Xe]4f1 5d2 configurations, to test the accuracy of
several all-electron relativistic basis sets. It is shown that the mixing of
quartet and doublet states is essential to reproduce the excitation energies.
Then, SA-RASSCF(CASSCF)/NEVPT2 + SOCI computations with the Sapporo(-DKH3)-2012
QZP basis set were carried out to determine the energy levels of the low-lying
electronic states of CeF. The calculated excitation energies, bond length, and
vibrational frequency are shown to be in good agreement with the available
experimental data. (c) 2018 Wiley Periodicals, Inc.
PMID- 29380862
TI - Initial validation of the Burden of Disease in Atopic Eczema instrument, a
quality-of-life measure for adult atopic dermatitis.
PMID- 29380863
TI - Catechol 2,3-dioxygenase from a new phenolic compound degrader Thauera sp. K11:
purification and biochemical characterization.
AB - Catechol 2,3-dioxygenase (C23O) from a new phenolic compound degrader Thauera sp.
K11 was purified and characterized. The native form of the enzyme was determined
as a homotetramer with a molecular weight of 140 kDa, and its isoelectric point
was close to 6.4. One iron per enzyme subunit was detected using atom absorption
spectroscopy, and the effective size of C23O in its dilute solution (0.2 g L-1 ,
pH 8.0) was 14.5 nm. The optimal pH and temperature were 8.4 and 45 degrees C,
respectively. The addition of Mg2+ , Cu2+ , Fe2+ , and Mn2+ could improve the
enzyme activity, while Ag+ was found to be a strong inhibitor. C23O was stable in
alkali conditions (pH 7.6-11.0) and thermostable below 50 degrees C. The final
purified C23O had a sheet content of 53%, consistent with the theoretical value.
This showed that the purified catechol 2,3-dioxygenase folded with a reasonable
secondary structure.
PMID- 29380864
TI - The treatment outcomes and dose de-escalation of desloratadine up-dosing in
chronic spontaneous urticaria.
AB - BACKGROUND: An increase in dosages up to fourfold of second generation
antihistamines is recommended for recalcitrant chronic spontaneous urticaria
(CSU). No regimen guidelines about dose de-escalation, however, are mentioned
once the disease is controlled. OBJECTIVE: To demonstrate the treatment outcomes
and dose reduction in desloratadine assessed using the urticarial activity score
over 7 consecutive days. METHODS: Medical records of all patients with CSU
treated with desloratadine were collected retrospectively during a period from
January 2010 to December 2013. RESULTS: Sixty-seven (94.4%) patients had
remission of the disease with variable doses of desloratadine. The patients who
had CSU concomitant with antithyroid antibodies or high erythrocyte sedimentation
rates had a greater tendency not to respond to the standard dose. Once the
disease was completely controlled, 67 patients finished the treatment, but 63
(94%) patients had recurrent symptoms. Sixty-three patients took the same dose
that induced the response for a further 4 weeks before stopping or reducing the
dose, 41/63 (65.1%), however developed urticaria again. Forty-one patients took
the same dose for a further 8 weeks; only 2/41 (4.9%) patients developed the rash
again. The mean follow-up period after the disease was controlled was 7.5 months.
CONCLUSION: Most patients need higher than the standard doses to obtain
remission. Once the disease is completely controlled, however, maintenance of the
same dosage for at least 8 weeks before dose reduction is suggested in order to
avoid recurrence of the symptoms.
PMID- 29380865
TI - Nasopharyngeal sialoceles in 11 brachycephalic dogs.
AB - OBJECTIVE: To report clinical features, diagnosis, surgical treatment, and
outcomes of brachycephalic dogs with nasopharyngeal sialoceles (NPS). STUDY
DESIGN: Retrospective case series. ANIMALS: Eleven brachycephalic dogs with NPS.
METHODS: Diagnosis of NPS was based on identification of cystic nasopharyngeal
masses containing saliva-like mucoid material on retroflexed endoscopy. Biopsies
were obtained to support the diagnosis. Surgical deroofing was performed under
endoscopic guidance, and endoscopic examination was repeated at least 3 months
after surgery. The owners rated the outcome of surgery via a follow-up telephone
inquiry. RESULTS: Pugs (n = 8), French bulldogs (n = 2), and English bulldogs (n
= 1) were included in this study. Eight of the NPS were ventral, 6 were
obstructive, and 2 were multiple; both the obstructive and the multiple
sialoceles were ventral. Surgical deroofing of the sialoceles was performed under
endoscopic guidance with biopsy forceps in 5 cases and by diode laser in 6 cases.
No recurrence was diagnosed. Ten of 11 owners reported that they were satisfied
with the outcome. CONCLUSIONS AND CLINICAL SIGNIFICANCE: NPS may be an uncommon
consequence of chronic nonphysiologic mechanical stress causing changes in minor
nasopharyngeal salivary glands. Direct visualization by nasopharyngoscopy is the
best technique for detection of NPS, and we advise routine examination of the
nasopharynx by endoscope in all brachycephalic dogs before performing surgery.
Either endoscopic guided biopsy forceps or diode laser surgery can be used for
noninvasive, rapid, and effective resolution of NPS in all of the dogs.
PMID- 29380867
TI - Asthma- and IgE-associated polymorphisms affect expression of TH 17 genes.
PMID- 29380866
TI - Evaluation of a method to assess digitally recorded surgical skills of novice
veterinary students.
AB - OBJECTIVE: To evaluate a method to assess surgical skills of veterinary students
that is based on digital recording of their performance during closure of a
celiotomy in canine cadavers. SAMPLE POPULATION: Second year veterinary students
without prior experience with live animal or simulated surgical procedure (n =
19) METHODS: Each student completed a 3-layer closure of a celiotomy on a canine
cadaver. Each procedure was digitally recorded with a single small wide-angle
camera mounted to the overhead surgical light. The performance was scored by 2 of
5 trained raters who were unaware of the identity of the students. Scores were
based on an 8-item rubric that was created to evaluate surgical skills that are
required to close a celiotomy. The reliability of scores was tested with
Cronbach's alpha, intraclass correlation, and a generalizability study. RESULTS:
The internal consistency of the grading rubric, as measured by alpha, was .76.
Interrater reliability, as measured by intraclass correlation, was 0.64. The
generalizability coefficient was 0.56. CONCLUSION: Reliability measures of 0.60
and above have been suggested as adequate to assess low-stakes skills. The task
specific grading rubric used in this study to evaluate veterinary surgical skills
captured by a single wide-angle camera mounted to an overhead surgical light
produced scores with acceptable internal consistency, substantial interrater
reliability, and marginal generalizability. IMPACT: Evaluation of veterinary
students' surgical skills by using digital recordings with a validated rubric
improves flexibility when designing accurate assessments.
PMID- 29380868
TI - On the ecological significance of pollen color: a case study in American trout
lily (Erythronium americanum).
AB - Evolutionary ecologists seek to explain the processes that maintain variation
within populations. In plants, petal color variation can affect pollinator
visitation, environmental tolerance, and herbivore deterrence. Variation in
sexual organs may similarly affect plant performance. Within-population variation
in pollen color, as occurs in the eastern North American spring ephemeral
Erythronium americanum, provides an excellent opportunity to investigate the
maintenance of variation in this trait. Although the red/yellow pollen-color
polymorphism of E. americanum is widely recognized, it has been poorly
documented. Our goals were thus (1) to determine the geographic distribution of
the color morphs and (2) to test the effects of pollen color on components of
pollen performance. Data provided by citizen scientists indicated that
populations range from monomorphic red, to polymorphic, to monomorphic yellow,
but there was no detectable geographic pattern in morph distribution, suggesting
morph occurrence cannot be explained by a broad-scale ecological cline. In field
experiments, we found no effect of pollen color on the probability of predation
by the pollen-feeding beetle Asclera ruficollis, on the ability of pollen to
tolerate UV-B radiation, or on siring success (as measured by the fruit set of
hand-pollinated flowers). Pollinators, however, exhibited site-specific pollen
color preferences, suggesting they may act as agents of selection on this trait,
and, depending on the constancy of their preferences, could contribute to the
maintenance of variation. Collectively, our results eliminate some hypothesized
ecological effects of pollen color in E. americanum, and identify effects of
pollen color on pollinator attraction as a promising direction for future
investigation.
PMID- 29380869
TI - Current controversies in prenatal diagnosis 3: Fetal MRI should be performed in
all prenatally detected fetuses with a major structural abnormality.
PMID- 29380870
TI - Detection and characterization of the ferric uptake regulator (fur) gene in
Plesiomonas shigelloides.
AB - : Iron availability plays an important role in the virulence of micro-organisms,
which develops different systems for iron acquisition. The expression of genes
involved in iron uptake systems is usually regulated by Fur, a transcriptional
regulator. Plesiomonas shigelloides is a Gram-negative food- and water-borne
enteropathogen. Even though the mechanisms involved in the pathogenicity of P.
shigelloides are not properly elucidated, iron seems to be implicated in the
development of human infections and in the production of potential virulence
factors; however, detection and characterization of fur gene has not been
performed in this bacterium. In this work the presence of a conserved fur gene
was determined in six strains of P. shigelloides. The expression of fur was
studied under different culture conditions and it was demonstrated to be higher
when the micro-organism was cultured under iron-restricted than under iron
abundance conditions. SIGNIFICANCE AND IMPACT OF THE STUDY: Significance and
Impact of the Study: This study provides evidence of the presence of a conserved
fur gene in strains of Plesiomonas shigelloides. Expression of this gene is
higher when the micro-organism is cultured under iron-restricted conditions. The
study provides clues to understand the role of iron in the regulation of
important activities of P. shigelloides.
PMID- 29380871
TI - Extranodal natural killer/T-cell lymphoma, nasal type, in Senegal.
AB - INTRODUCTION: The distribution of extranodal NK/T-cell lymphoma (ENKTCL) is
highly inhomogeneous throughout the world. In Sub-Saharan Africa, despite the
precocity of Epstein-Barr virus (EBV) infection and its endemicity, ENKTCL
remains exceptionally reported. The purpose of this study was to report the
epidemiological, clinical, paraclinical, and evolutionary characteristics of
ENKTCL at the Aristide LeDantec University Hospital in Dakar, Senegal.
METHODOLOGY: A 5-year retrospective review of all patients with
histopathological, immunohistochemical, and in situ hybridization proven
cutaneous lymphomas RESULTS: We collected seven cases corresponding to a
frequency of 1.4 cases per year. ENKTCL accounted for 10.5% of all cutaneous
lymphomas, ranking second after T-cell lymphomas. Men were predominantly affected
(M : F ratio of 6), and the mean age was 38.5 years +/- 4.06. The mean time
before consultation was 7.3 months. The lymphomas affected primarily the nasal
cavity in five cases and the skin in two cases. At admission, six patients had
nasal mucosa involvement, which was isolated in three cases, associated with
cutaneous lesions in three cases and lymph node involvement in three cases. CD56
was positive in only one case, and Eber transcribed RNA of EBV was expressed by
in situ hybridization in all patients. DISCUSSION: To our knowledge, we have
reported the first and largest series of ENKTCL in Sub-Saharan Africa. Our study
shows an intermediate prevalence between that reported from Asia, Latin America,
and the West. It was also noted a young age of patients, a prolonged diagnostic
delay, a frequent negativity of CD56 marker, and a very poor prognosis of the
disease in our region.
PMID- 29380872
TI - Accurate costs of blood transfusion: a microcosting of administering blood
products in the United Kingdom National Health Service.
AB - BACKGROUND: In an environment of limited health care resources, it is crucial for
health care systems which provide blood transfusion to have accurate and
comprehensive information on the costs of transfusion, incorporating not only the
costs of blood products, but also their administration. Unfortunately, in many
countries accurate costs for administering blood are not available. Our study
aimed to generate comprehensive estimates of the costs of administering
transfusions for the UK National Health Service. STUDY DESIGN AND METHODS: A
detailed microcosting study was used to cost two key inputs into transfusion:
transfusion laboratory and nursing inputs. For each input, data collection forms
were developed to capture staff time, equipment, and consumables associated with
each step in the transfusion process. Costing results were combined with costs of
blood product wastage to calculate the cost per unit transfused, separately for
different blood products. Data were collected in 2014/15 British pounds and
converted to US dollars. RESULTS: A total of 438 data collection forms were
completed by 74 staff. The cost of administering blood was $71 (L49) per unit for
red blood cells, $84 (L58) for platelets, $55 (L38) for fresh-frozen plasma, and
$72 (L49) for cryoprecipitate. CONCLUSIONS: Blood administration costs add
substantially to the costs of the blood products themselves. These are frequently
incurred costs; applying estimates to the blood components supplied to UK
hospitals in 2015, the annual cost of blood administration, excluding blood
products, exceeds $175 (L120) million. These results provide more accurate
estimates of the total costs of transfusion than those previously available.
PMID- 29380873
TI - Annotation of gut bacterial taxonomic and functional diversity in Spodoptera
litura and Spilosoma obliqua.
AB - The insect gut has been the house of many taxonomically and physiologically
diverse groups of microbial colonizers as symbionts and commensals, which are
evolving to support the physiological requirement of insects. Lepidoptera is one
of the important family of class hexapoda, comprising agriculture insect pest
Spodoptera litura and Spilosoma obliqua. Information on gut microbiota and their
functional role in these insects was meager to elucidate the wide-ranging
survivalist mechanisms. In this context, we analyzed the composition, diversity
and functional role of gut bacteria in S. litura and S. obliqua collected from
soybean and sunflower crops, respectively, using Next Generation Sequencing of
16S rRNA. A total of 3427 and 206 Operation Taxonomic Units (OTUs) were
identified in S. litura and S. obliqua gut metagenome, respectively. Highest
number of sequences were annotated to unclassified bacteria (34%), followed by
Proteobacteria (27%), and Chlorobi (14%) in S. litura, while S. obliqua has
significant representation of Firmicutes (48%), followed by Bacteroidetes (20%),
and unclassified bacteria (11%). Functionality of both metagenomes revealed, high
abundance of ammonia oxidizers (20.1 58.0%) followed by relative abundance of
detoxifying processes - dehalogenation (17.4-41.2%) and aromatic hydrocarbons
degradation (1.1-3.1%). This study highlights the significance of the inherent
microbiome of two defoliators in shaping the metagenome for nutrition and
detoxifying the chemical molecules, and opens an avenue for exploring role of
insect gut bacteria in host selection, metabolic endurance of insecticides and
synergistic or agonistic mechanisms inside gut of insects feeding on insect
resistant biotech crops.
PMID- 29380874
TI - Time-lagged effects of weather on plant demography: drought and Astragalus
scaphoides.
AB - Temperature and precipitation determine the conditions where plant species can
occur. Despite their significance, to date, surprisingly few demographic field
studies have considered the effects of abiotic drivers. This is problematic
because anticipating the effect of global climate change on plant population
viability requires understanding how weather variables affect population
dynamics. One possible reason for omitting the effect of weather variables in
demographic studies is the difficulty in detecting tight associations between
vital rates and environmental drivers. In this paper, we applied Functional
Linear Models (FLMs) to long-term demographic data of the perennial wildflower,
Astragalus scaphoides, and explored sensitivity of the results to reduced amounts
of data. We compared models of the effect of average temperature, total
precipitation, or an integrated measure of drought intensity (standardized
precipitation evapotranspiration index, SPEI), on plant vital rates. We found
that transitions to flowering and recruitment in year t were highest if
winter/spring of year t was wet (positive effect of SPEI). Counterintuitively, if
the preceding spring of year t - 1 was wet, flowering probabilities were
decreased (negative effect of SPEI). Survival of vegetative plants from t - 1 to
t was also negatively affected by wet weather in the spring of year t - 1 and,
for large plants, even wet weather in the spring of t - 2 had a negative effect.
We assessed the integrated effect of all vital rates on life history performance
by fitting FLMs to the asymptotic growth rate, log(lambdat). Log(lambdat) was
highest if dry conditions in year t - 1 were followed by wet conditions in the
year t. Overall, the positive effects of wet years exceeded their negative
effects, suggesting that increasing frequency of drought conditions would reduce
population viability of A. scaphoides. The drought signal weakened when reducing
the number of monitoring years. Substituting space for time did not recover the
weather signal, probably because the weather variables varied little between
sites. We detected the SPEI signal when the analysis included data from two sites
monitored over 20 yr (2 * 20 observations), but not when analyzing data from four
sites monitored over 10 yr (4 * 10 observations).
PMID- 29380875
TI - The value of cell-free DNA for molecular pathology.
AB - Over the past decade, advances in molecular biology and genomics techniques have
revolutionized the diagnosis and treatment of cancer. The technological advances
in tissue profiling have also been applied to the study of cell-free nucleic
acids, an area of increasing interest for molecular pathology. Cell-free nucleic
acids are released from tumour cells into the surrounding body fluids and can be
assayed non-invasively. The repertoire of genomic alterations in circulating
tumour DNA (ctDNA) is reflective of both primary tumours and distant metastatic
sites, and ctDNA can be sampled multiple times, thereby overcoming the
limitations of the analysis of single biopsies. Furthermore, ctDNA can be sampled
regularly to monitor response to treatment, to define the evolution of the tumour
genome, and to assess the acquisition of resistance and minimal residual disease.
Recently, clinical ctDNA assays have been approved for guidance of therapy, which
is an exciting first step in translating cell-free nucleic acid research tests
into clinical use for oncology. In this review, we discuss the advantages of cell
free nucleic acids as analytes in different body fluids, including blood plasma,
urine, and cerebrospinal fluid, and their clinical applications in solid tumours
and haematological malignancies. We will also discuss practical considerations
for clinical deployment, such as preanalytical factors and regulatory
requirements. Copyright (c) 2018 Pathological Society of Great Britain and
Ireland. Published by John Wiley & Sons, Ltd.
PMID- 29380876
TI - IgE-expressing memory B cells and plasmablasts are increased in blood of children
with asthma, food allergy, and atopic dermatitis.
AB - Despite the critical role of soluble IgE in the pathology of IgE-mediated
allergic disease, little is known about abnormalities in the memory B cells and
plasma cells that produce IgE in allergic patients. We here applied a flow
cytometric approach to cross-sectionally study blood IgE+ memory B cells and
plasmablasts in 149 children with atopic dermatitis, food allergy, and/or asthma
and correlated these to helper T(h)2 cells and eosinophils. Children with
allergic disease had increased numbers of IgE+CD27- and IgE+CD27+ memory B cells
and IgE+ plasmablasts, as well as increased numbers of eosinophils and Th2 cells.
IgE+ plasmablast numbers correlated positively with Th2 cell numbers. These
findings open new possibilities for diagnosis and monitoring of treatment in
patients with allergic diseases.
PMID- 29380878
TI - Flat-topped papules on the face of a young boy.
PMID- 29380879
TI - Emergent buffering balances evolvability and robustness in the evolution of
phenotypic flexibility.
AB - Evolution of adaptive phenotypic flexibility requires a system that can
dynamically restore and update a functional phenotype in response to
environmental change. The architecture of such a system evolves under the
conflicting demands of versatility and robustness, and resolution of these
demands should be particularly evident in organisms that require external inputs
for reiterative trait production within a generation, such as in metabolic
networks that underlie yearly acquisition of diet-dependent coloration in birds.
Here, we show that a key structural feature of carotenoid networks-redundancy of
biochemical pathways-enables these networks to translate variable environmental
inputs into consistent phenotypic outcomes. We closely followed life-long changes
in structure and utilization of metabolic networks in a large cohort of free
living birds and found that greater individual experience with dietary change
between molts leads to wider occupancy of the metabolic network and progressive
accumulation of redundant pathways in a functionally active network. This
generated a regime of emergent buffering whereby greater dietary experience was
mechanistically linked to greater robustness of resulting traits and an
increasing ability to retain and implement previous adaptive solutions. Thus,
experience-related buffering links evolvability and robustness in carotenoid
metabolizing networks and we argue that this mechanistic principle facilitates
the evolution of phenotypic flexibility.
PMID- 29380877
TI - The mental health continuum-short form: The structure and application for cross
cultural studies-A 38 nation study.
AB - OBJECTIVE: The Mental Health Continuum-Short Form (MHC-SF) is a brief scale
measuring positive human functioning. The study aimed to examine the factor
structure and to explore the cross-cultural utility of the MHC-SF using bifactor
models and exploratory structural equation modelling. METHOD: Using multigroup
confirmatory analysis (MGCFA) we examined the measurement invariance of the MHC
SF in 38 countries (university students, N = 8,066; 61.73% women, mean age 21.55
years). RESULTS: MGCFA supported the cross-cultural replicability of a bifactor
structure and a metric level of invariance between student samples. The average
proportion of variance explained by the general factor was high (ECV = .66),
suggesting that the three aspects of mental health (emotional, social, and
psychological well-being) can be treated as a single dimension of well-being.
CONCLUSION: The metric level of invariance offers the possibility of comparing
correlates and predictors of positive mental functioning across countries;
however, the comparison of the levels of mental health across countries is not
possible due to lack of scalar invariance. Our study has preliminary character
and could serve as an initial assessment of the structure of the MHC-SF across
different cultural settings. Further studies on general populations are required
for extending our findings.
PMID- 29380880
TI - Validation of a model of feline ureteral obstruction as a tool for teaching
microsurgery to veterinary surgeons.
AB - OBJECTIVE: To assess the content and face validity of a model of an obstructed
feline ureter as a tool for teaching ureteral microsurgery. STUDY DESIGN:
Prospective, experimental study. SAMPLE POPULATION: Seven expert and 11 novice
microsurgeons. METHODS: The model was created from latex rubber with an inner
diameter of 0.8 mm and an outer diameter of 5 mm. The "ureter" was created with
an inner compartment, a thin wall, and a soft, outer layer mimicking periureteral
fat. A "ureterolith" 0.8-1.2 mm in diameter was placed inside the inner
compartment by using a blunt-tipped cannula. A standardized "ureterotomy" was
performed by 7 expert and 11 novice microsurgeons. Both groups completed
questionnaires evaluating the content and face validity of the model using
subjective measures and a 5-point Likert scale. Reliability was analysed by
calculation of Cronbach's alpha for all questions to ensure alpha >= .7. The
median responses to each question were compared between groups with a
nonparametric independent samples median test. P < .05 was considered
significant. RESULTS: The Cronbach's alpha for the experts and the novices for
content validity questions was .7 and .9, respectively, and for the face validity
questions it was .7 and .8, respectively. The model was rated to have excellent
content validity and very good face validity. CONCLUSION AND IMPACT: The model
elicited positive responses from expert and novice microsurgeons and can be
recommended as a tool for teaching ureteral microsurgery. A model validated by
face and content measures should next be scrutinized by determination of
construct, concurrent, and predictive validity by using objective measures.
PMID- 29380881
TI - Race and diversity in U.S. Biological Anthropology: A decade of AAPA initiatives.
AB - Biological Anthropology studies the variation and evolution of living humans, non
human primates, and extinct ancestors and for this reason the field should be in
an ideal position to attract scientists from a variety of backgrounds who have
different views and experiences. However, the origin and history of the
discipline, anecdotal observations, self-reports, and recent surveys suggest the
field has significant barriers to attracting scholars of color. For a variety of
reasons, including quantitative research that demonstrates that diverse groups do
better science, the discipline should strive to achieve a more diverse
composition. Here we discuss the background and underpinnings of the current and
historical dearth of diversity in Biological Anthropology in the U.S.
specifically as it relates to representation of minority and underrepresented
minority (URM) (or racialized minority) scholars. We trace this lack of diversity
to underlying issues of recruitment and retention in the STEM sciences generally,
to the history of Anthropology particularly around questions of race-science, and
to the absence of Anthropology at many minority-serving institutions, especially
HBCUs, a situation that forestalls pathways to the discipline for many minority
students. The AAPA Committee on Diversity (COD) was conceived as a means of
assessing and improving diversity within the discipline, and we detail the
history of the COD since its inception in 2006. Prior to the COD there were no
systematic AAPA efforts to consider ethnoracial diversity in our ranks and no
programming around questions of diversity and inclusion. Departmental survey data
collected by the COD indicate that undergraduate majors in Biological
Anthropology are remarkably diverse, but that the discipline loses these scholars
between undergraduate and graduate school and systematically up rank. Our
analysis of recent membership demographic survey data (2014 and 2017) shows
Biological Anthropology to have less ethnoracial diversity than even the
affiliated STEM disciplines of Biology and Anatomy; nearly 87% of AAPA members in
the United States identify as white and just 7% as URM scholars. These data also
suggest that the intersection of race and gender significantly influence
scholarly representation. In response to these data, we describe a substantial
body of programs that have been developed by the COD to improve diversity in our
ranks. Through these programs we identify principal concerns that contribute to
the loss of scholars of color from the discipline at different stages in their
careers, propose other directions that programming for recruitment should take,
and discuss the beginnings of how to develop a more inclusive discipline at all
career stages.
PMID- 29380882
TI - Participation, representation, and shared experiences of women scholars in
biological anthropology.
AB - American Association of Physical Anthropologists (AAPA) membership surveys from
1996 and 1998 revealed significant gender disparities in academic status. A 2014
follow-up survey showed that gender equality had improved, particularly with
respect to the number of women in tenure-stream positions. However, although
women comprised 70% of AAPA membership at that time, the percentage of women full
professors remained low. Here, we continue to consider the status of women in
biological anthropology by examining the representation of women through a
quantitative analysis of their participation in annual meetings of the AAPA
during the past 20 years. We also review the programmatic goals of the AAPA
Committee on Diversity Women's Initiative (COD-WIN) and provide survey results of
women who participated in COD-WIN professional development workshops. Finally, we
examine the diversity of women's career paths through the personal narratives of
14 women biological anthropologists spanning all ranks from graduate student to
Professor Emeritus. We find that over the past 20 years, the percentage of women
first authors of invited symposia talks has increased, particularly in the sub
disciplines of bioarchaeology, genetics, and paleoanthropology. The percentage of
women first authors on contributed talks and posters has also increased. However,
these observed increases are still lower than expected given the percentage of
graduate student women and women at the rank of assistant and associate
professor. The personal narratives highlight first-hand the impact of mentoring
on career trajectory, the challenges of achieving work-life satisfaction, and
resilience in the face of the unexpected. We end with some suggestions for how to
continue to improve equality and equity for women in biological anthropology.
PMID- 29380883
TI - What have we been looking at? A call for consistency in studies of primate
vigilance.
AB - Vigilance functions to detect threats. In primates, these threats emerge from
both predators and conspecifics, but a host of other social, demographic, and
ecological factors have been shown to influence primate vigilance patterns. The
primate vigilance literature is thus characterized by considerable variation in
findings, with inconsistent or contradictory results reported not only across
different species but also within species and populations across studies. Some of
this variation could emerge from fundamental differences in the methods employed,
making comparisons across species and groups challenging. Furthermore,
identifying consistent behavioral markers for the state of vigilance appears to
have proved challenging in primates, leading to a range of definitions being
developed. Deviation at this level leads directly into concomitant variation at
the level of sampling methodologies. As a result, the primate vigilance
literature currently presents a diverse series of approaches to exploring subtly
different behaviors and phenomena. This review calls for a greater consistency in
studying vigilance, with the aim of encouraging future research to follow similar
principles leading to more comparable results. Identifying whether an animal is
in a vigilant state is challenging for most field researchers; identifying and
recording a more general behavior of "looking" should though be more achievable.
Experimental approaches could then be employed to understand the compatibility
"looking" has with predator detection (and other threats) in individual study
systems. The outcome of this approach will allow researchers to understand the
key determinants of looking in their study groups and explore threat detection
probabilities given an individual or group's relative level of looking.
PMID- 29380884
TI - Single (sub)species then and now: An examination of the nonracial perspective of
C. Loring Brace.
AB - C. Loring Brace's writings on the concept of race have been among the most
influential within anthropology. A review of the development of Brace's
perspective on race shows that his philosophical approaches to fossil and modern
human variation are consistent and integrated. Brace's views on race are compared
with those of Ashley Montagu and Frank Livingstone, who also proposed eliminating
"race" from anthropology, and with those of Stanley Garn and Alice Brues, who
accepted "racial" subdivisions of humans. Carleton Coon's writings are more
divergent; the aftermath of the publication of his Origin of Races highlights
significant political tensions of the 1960s that intersected with scientific
changes in anthropology emanating from the Evolutionary Synthesis. Recent
forensic and "no race" positions are compared to explore their differences and
the possibility of reconciliation, and the role of Brace and others in combating
proposals of intellectual differences among human groups is discussed. While a
spectrum of anthropological opinion regarding race exists, the commonalities are
sufficient to allow valuable, united commentary emphasizing the complexity of
modern human cultural and biological variation.
PMID- 29380885
TI - Preface 2018 Yearbook.
PMID- 29380886
TI - The behavioral genetics of nonhuman primates: Status and prospects.
AB - The complexity and diversity of primate behavior have long attracted the
attention of ethologists, psychologists, behavioral ecologists, and
neuroscientists. Recent studies have advanced our understanding of the nature of
genetic influences on differences in behavior among individuals within species. A
number of analyses have focused on the genetic analysis of behavioral reactions
to specific experimental tests, providing estimates of the degree of genetic
control over reactivity, and beginning to identify the genes involved.
Substantial progress is also being made in identifying genetic factors that
influence the structure and function of the primate brain. Most of the published
studies on these topics have examined either cercopithecines or chimpanzees,
though a few studies have addressed these questions in other primate species. One
potentially important line of research is beginning to identify the epigenetic
processes that influence primate behavior, thus revealing specific cellular and
molecular mechanisms by which environmental experiences can influence gene
expression or gene function relevant to behavior. This review summarizes many of
these studies of non-human primate behavioral genetics. The primary focus is on
analyses that address the nature of the genes and genetic processes that affect
differences in behavior among individuals within non-human primate species.
Analyses of between species differences and potential avenues for future research
are also discussed.
PMID- 29380887
TI - Developments in development: What have we learned from primate locomotor
ontogeny?
AB - The importance of locomotion to evolutionary fitness has led to extensive study
of primate locomotor behavior, morphology and ecology. Most previous research has
focused on adult primates, but in the last few decades, increased attention to
locomotor development has provided new insights toward our broader understanding
of primate adaptation and evolution. Here, we review the contributions of this
body of work from three basic perspectives. First, we assess possible
determinants on the timing of locomotor independence, an important life history
event. Significant influences on timing of locomotor independence include adult
female body mass, age at weaning, and especially relative brain size, a
significant predictor of other primate life history variables. Additionally, we
found significant phylogenetic differences in the timing of locomotor
independence, even accounting for these influences. Second, we discuss how
structural aspects of primate growth may enhance the locomotor performance and
safety of young primates, despite their inherent neuromotor and musculoskeletal
limitations. For example, compared to adults, growing primates have greater
muscle mechanical advantage, greater bone robusticity, and larger extremities
with relatively long digits. Third, focusing on primate quadrupedalism, we
provide examples that illustrate how ontogenetic transitions in morphology and
locomotion can serve as a model system for testing broader principles underlying
primate locomotor biomechanics. This approach has led to a better understanding
of the key features that contribute to primates' stride characteristics, gait
patterns, limb force distribution, and limb postures. We have learned a great
deal from the study of locomotor ontogeny, but there is much left to explore. We
conclude by offering guidelines for future research, both in the laboratory and
the field.
PMID- 29380888
TI - Sharing the burden: A neutral approach to socioecological theory.
AB - OBJECTIVE: The socioecological model (SEM) is a popular collection of
controversial models purporting to explain mating systems in terms of ecological
and social parameters. Despite its guise of objectivity, several of its
hypotheses assume Victorian gender stereotypes of active, competing males
heedlessly sowing their seeds, and cautious, passive females, imprisoned by
greater costs of reproduction and their consequent resource?dependence. METHODS:
We enter this debate by taking a previously neglected explanatory approach
borrowed from species theory. According to the Recognition Concept of sexual
species, the unit of reproductive success/fitness is irreducible to fewer than
two integrated subparts (minimally a male and a female). Phyletic changes in
mating systems logically effect changes in fertilization systems, leading to
reproductive isolation. We take our primary assumption of the average equivalence
of female and male contributions to successful reproduction from the writings of
the natural philosopher, Antoinette Blackwell. RESULTS: We revisit the SEM with
its contradictions and extrapolations, and develop a gender?neutral alternative
hypothesis termed Specific?Mate Contact (SMC), centered on two fundamental mating
strategies: sexual animals may behave as synchronous mate?attractors or
asynchronous mate?seekers, generating four possible mating system combinations
(monogamy: two attractors; promiscuity: two seekers; polygyny: male attractor and
female seeker; polyandry: female attractor and male seeker). CONCLUSIONS: Our
approach predicts all known primate mating systems using a neutral (non?sexist)
principle. The approach is also neutral in the sense that it does not invoke
either competition or cooperation: fertilization success is considered a
posteriori and males and females are co?adapted to this end rather than
cognitively cooperative.
PMID- 29380889
TI - Early Homo and the role of the genus in paleoanthropology.
AB - The history of the discovery of early fossils attributed to the genus Homo has
been contentious, with scholars disagreeing over the generic assignment of
fossils proposed as members of our genus. In this manuscript I review the history
of discovery and debate over early Homo and evaluate the various taxonomic
hypotheses for the genus. To get a sense of how hominin taxonomy compares to
taxonomic practice outside paleoanthropology, I compare the diversity of Homo to
genera in other vertebrate clades. Finally, I propose a taxonomic model that hews
closely to current models for hominin phylogeny and is consistent with taxonomic
practice across evolutionary biology.
PMID- 29380890
TI - Kidney allograft subclinical rejection modulates systemic inflammation measured
by C-reactive protein at 1 year after transplantation.
AB - Kidney allograft inflammation is associated with proinflammatory modifications of
peripheral blood mononuclear cells, suggesting that renal inflammation
contributes to systemic inflammation. Thus, the aim of this study was to evaluate
the relationship between subclinical inflammation in surveillance biopsies
performed at 1 year and systemic inflammation assessed by C-reactive protein
(CRP) levels at the time of biopsy. We analyzed 544 surveillance biopsies
performed at 1 year that were classified as normal (n = 368), borderline (n =
148), or subclinical rejection (SCR) (n = 28). CRP levels were divided into
quartiles. Patients in 1st, 2nd, and 3rd quartile were classified as low CRP (n =
408) and patients in the 4th quartile as high CRP (n = 136). Univariate analysis
showed that the proportion of patients with SCR was higher in the high CRP group
(10.3% vs 3.4%, P = 0.0067). Multivariate analysis showed that independent
predictors of high CRP were body mass index (odds ratio [OR] 1.072 and 95%
confidence interval [CI] 1.027-1.119), a positive urine culture at the day of the
biopsy (OR 2.760 and 95% CI 1.205-6.323), and the presence of SCR at 1-year
surveillance biopsy (OR 7.260 and 95% CI 3.530-14.935). In summary, we describe
that subclinical acute rejection constitutes an independent predictor of systemic
inflammation as measured by CRP.
PMID- 29380891
TI - Extrusion-Based 3D Printing of Hierarchically Porous Advanced Battery Electrodes.
AB - A highly porous 2D nanomaterial, holey graphene oxide (hGO), is synthesized
directly from holey graphene powder and employed to create an aqueous 3D
printable ink without the use of additives or binders. Stable dispersions of
hydrophilic hGO sheets in water (~100 mg mL-1 ) can be readily achieved. The
shear-thinning behavior of the aqueous hGO ink enables extrusion-based printing
of fine filaments into complex 3D architectures, such as stacked mesh structures,
on arbitrary substrates. The freestanding 3D printed hGO meshes exhibit trimodal
porosity: nanoscale (4-25 nm through-holes on hGO sheets), microscale (tens of
micrometer-sized pores introduced by lyophilization), and macroscale (<500 um
square pores of the mesh design), which are advantageous for high-performance
energy storage devices that rely on interfacial reactions to promote full active
site utilization. To elucidate the benefit of (nano)porosity and structurally
conscious designs, the additive-free architectures are demonstrated as the first
3D printed lithium-oxygen (Li-O2 ) cathodes and characterized alongside 3D
printed GO-based materials without nanoporosity as well as nanoporous 2D vacuum
filtrated films. The results indicate the synergistic effect between 2D
nanomaterials, hierarchical porosity, and overall structural design, as well as
the promise of a freeform generation of high-energy-density battery systems.
PMID- 29380892
TI - Masseteric cooptation and crossfacial nerve grafting: Is it still applicable 22
months after the onset of facial palsy?
AB - BACKGROUND: Eighteen months is usually considered the cutoff time within which
recovery of the mimic muscle remains possible using facial nerve cooptation. Few
reports on the use of cooptation after this interval have appeared. Purpose of
this study is to investigate the feasibility of this procedure also after 22
months. METHODS: Six patients treated via crossfacial nerve grafting between
healthy and paralyzed middle and middle-upper facial nerve branches and
masseteric cooptation of the main trunk of the paralyzed facial nerve between 20
and 24 months after the onset of palsy were analyzed. Population consisted of two
males and four females ages 8-42 years (mean 24 years). Facial palsy developed
after acoustic neuroma resection in three patients, after the removal of a
cerebellopontine angle astrocytoma in one, and as a consequence of Bell's palsy
or cerebral hemorrhage in the other two (one each). House-Brackman and Sunnybrook
clinical evaluation systems and FDI questionnaire were used to assess results.
RESULTS: House-Brackman scores changed from VI before the operation for all
patients to II for two patients and III for four patients. Sunnybrook scores were
0-10 before the operation, but 62-84 at the last visit. Mean FDI scores moved
from 24 to 38.5 meaning a statistical high significant improvement (P < .01).
CONCLUSIONS: Masseteric/crossfacial nerve grafting is feasible for patients with
palsies 20-24 months in duration, affording satisfactory functional and esthetic
results and a dramatic improvement in quality of life.
PMID- 29380893
TI - Emergency Department Patient Perceptions of Transvaginal Ultrasound for
Complications of First-Trimester Pregnancy.
AB - OBJECTIVES: Emergency department (ED) transvaginal ultrasound (US) is underused
in clinical practice. This study assessed pregnant women's perceptions of ED
transvaginal US in terms of pain, embarrassment, anxiety, and willingness to
receive the procedure. Secondary variables include physicians' perceptions of
patients' experiences. METHODS: Women undergoing US examinations for
complications of first-trimester pregnancy were prospectively surveyed before any
US and after ED and/or radiology transvaginal US. Patients' and physicians'
assessments of pain, embarrassment, and anxiety were measured with visual analog
scales (0-100). RESULTS: A total of 398 women were enrolled. In the pre-US
survey, the median anxiety score was 14 (interquartile range, 3-51), and 96% of
patients were willing to have an ED transvaginal US if necessary. Of those who
had ED transvaginal US, 96% would agree to have another examination. Patients
reported minimal pain/embarrassment, and there was no difference if performed in
the ED versus radiology (median pain, 11.5 versus 13; P = .433; median
embarrassment, 7 versus 4; P = .345). Of the 48 who had both ED and radiology
transvaginal US, 85% thought the ED transvaginal US was worthwhile. Physicians
accurately assessed patient's embarrassment and pain (mean differences, 3.5 and
1.9, respectively; P > .25 for both); however, they overestimated them relative
to the pelvic examination (mean difference for embarrassment, 12.8; P < .0001;
pain, 8.0; P = .01). CONCLUSIONS: Pregnant ED patients report low levels of
anxiety, pain, and embarrassment, and after ED transvaginal US, 96% would agree
to have the examination again. There is no difference in pain/embarrassment
between ED and radiology transvaginal US. Emergency department physicians
accurately assessed patients' pain and embarrassment with ED transvaginal US but
overestimated them compared to the pelvic examination.
PMID- 29380894
TI - The 100 most cited articles on oral cancer.
AB - BACKGROUND: Citations analysis is one of the most widely used bibliometric tools
to evaluate the academic importance of a study in a specific area of knowledge.
The objective of this study was to identify the 100 most cited articles on oral
cancer and to analyse their principal characteristics. METHODS: We performed a
literature search in the Web of Science database using the Science Citation Index
Expanded tool to determine the number of citations of all articles on oral cancer
identified up to 10 August 2017. The 100 most referenced articles were then
selected, and the following information was gathered: ranking based on the number
of citations; citation density; citations in Scopus; number and names of the
authors; language and year of publication; country and institution of origin;
financial support; journal of publication, with its impact factor, category and
quartile; type of research; evidence level; and area of study. RESULTS: The
number of citations of the 100 articles varied from 1959 to 165, and the number
of authors varied from a single author to 23. The oldest article was from 1948,
and the most recent was from 2013. All the studies were published in English, the
majority (56%) was from the United States, and 80% were published in journals in
the first quartile. CONCLUSIONS: The majority of articles were of studies that
had received financial support, were published in journals with a high impact
factor and were focussed on the aetiology and pathogenesis of oral cancer.
PMID- 29380895
TI - Use of polyphenols as a strategy to prevent bond degradation in the dentin-resin
interface.
AB - This study evaluated the effect of dentin pretreatment with the polyphenols
quercetin and resveratrol on the resin-dentin microtensile bonding strength
(MUTBS) and collagen fibrils stability of the adhesive interface. Different
concentrations (100, 250, 500, or 1,000 MUg ml-1 ) of quercetin or resveratrol,
or a mixture of quercetin and resveratrol (3:1, 1:1, 1:3; vol:vol), as well as
distilled water or 2% chlorhexidine digluconate, were applied to etched dentin.
Then, a two-step etch-and-rinse adhesive was applied followed by composite
restoration. Measurements of resin-dentin MUTBS were made after 1 and 120 d. The
stability of collagen fibrils in the hybrid layer was evaluated using
transmission electron microscopy. The Student's t-test and two-way factorial
anova with Tukey's test were used to analyze the effects of dentin pretreatment
and storage time on MUTBS values. Comparisons between MUTBS measurements made on
1 and 120 d showed that resveratrol had the best performance, with significantly
higher MUTBS values after 120 d for all concentrations of resveratrol tested.
Quercetin pretreatment resulted in a significant rise of MUTBS when used at
concentrations of 100 and 500 MUg ml-1 . Quercetin + resveratrol at the ratio of
1:1 performed better than when used at ratios of either 3:1 or 1:3. Resveratrol
might represent a potential approach to achieve desirable bonding stability and
reduce the frequent replacement of composite restorations.
PMID- 29380896
TI - Auxetic Mechanical Metamaterials to Enhance Sensitivity of Stretchable Strain
Sensors.
AB - Stretchable strain sensors play a pivotal role in wearable devices, soft
robotics, and Internet-of-Things, yet these viable applications, which require
subtle strain detection under various strain, are often limited by low
sensitivity. This inadequate sensitivity stems from the Poisson effect in
conventional strain sensors, where stretched elastomer substrates expand in the
longitudinal direction but compress transversely. In stretchable strain sensors,
expansion separates the active materials and contributes to the sensitivity,
while Poisson compression squeezes active materials together, and thus
intrinsically limits the sensitivity. Alternatively, auxetic mechanical
metamaterials undergo 2D expansion in both directions, due to their negative
structural Poisson's ratio. Herein, it is demonstrated that such auxetic
metamaterials can be incorporated into stretchable strain sensors to
significantly enhance the sensitivity. Compared to conventional sensors, the
sensitivity is greatly elevated with a 24-fold improvement. This sensitivity
enhancement is due to the synergistic effect of reduced structural Poisson's
ratio and strain concentration. Furthermore, microcracks are elongated as an
underlying mechanism, verified by both experiments and numerical simulations.
This strategy of employing auxetic metamaterials can be further applied to other
stretchable strain sensors with different constituent materials. Moreover, it
paves the way for utilizing mechanical metamaterials into a broader library of
stretchable electronics.
PMID- 29380897
TI - Infrared Organic Light-Emitting Diodes with Carbon Nanotube Emitters.
AB - While organic light-emitting diodes (OLEDs) covering all colors of the visible
spectrum are widespread, suitable organic emitter materials in the near-infrared
(nIR) beyond 800 nm are still lacking. Here, the first OLED based on single
walled carbon nanotubes (SWCNTs) as the emitter is demonstrated. By using a
multilayer stacked architecture with matching charge blocking and charge
transport layers, narrow-band electroluminescence at wavelengths between 1000 and
1200 nm is achieved, with spectral features characteristic of excitonic and
trionic emission of the employed (6,5) SWCNTs. Here, the OLED performance is
investigated in detail and it is found that local conduction hot-spots lead to
pronounced trion emission. Analysis of the emissive dipole orientation shows a
strong horizontal alignment of the SWCNTs with an average inclination angle of
12.9 degrees with respect to the plane, leading to an exceptionally high
outcoupling efficiency of 49%. The SWCNT-based OLEDs represent a highly
attractive platform for emission across the entire nIR.
PMID- 29380898
TI - Cytoprotective effect of flavonoid-induced autophagy on bisphosphonate mediated
cell death in osteoblast.
AB - With rapid economic growth and further developments in medical science, the entry
into the aging population is currently increasing, as is the number of patients
with metabolic diseases, such as hypertension, hyperlipidemia, heart disease, and
diabetes. The current treatments for metabolic bone diseases, which are also on
the rise, cause negative side effects. Bisphosphonates, which are used to treat
osteoporosis, inhibit the bone resorption ability of osteoclasts and during
prolonged administration, cause bisphosphonate-related osteonecrosis of the jaw
(BRONJ). Numerous studies have shown the potential role of natural plant products
as flavonoids in the protection against osteoporosis and in the influence of bone
remodeling. Autophagy occurs after the degradation of cytoplasmic components
within the lysosome and serves as an essential cytoprotective response to
pathologic stress caused by certain diseases. In the present study, we
hypothesized that the cytoprotective effects of flavonoids might be related to
those associated with autophagy, an essential cytoprotective response to the
pathologic stress caused by certain diseases, in osteoblasts. We demonstrated the
cytoprotective effect of flavonoid-induced autophagy against the toxicity of
zoledronate and the induction of autophagy by flavonoids to support osteogenic
transcription factors, leading to osteoblast differentiation and bone formation.
Further studies are necessary to clarify the connections between autophagy and
osteogenesis. It would be helpful to shed light on methodological challenges
through molecular biological studies and new animal models. The findings of the
current study may help to delineate the potential role of flavonoids in the
treatment of metabolic bone disease.
PMID- 29380899
TI - Benzoate-Induced High-Nuclearity Silver Thiolate Clusters.
AB - Compared with the well-known anion-templated effects in shaping silver thiolate
clusters, the influence from the organic ligands in the outer shell is still
poorly understood. Herein, three new benzoate-functionalized high-nuclearity
silver(I) thiolate clusters are isolated and characterized for the first time in
the presence of diverse anion templates such as S2- , alpha-[Mo5 O18 ]6- , and
MoO42- . Single-crystal X-ray analysis reveals that the nuclearities of the three
silver clusters (SD/Ag28, SD/Ag29, SD/Ag30) vary from 32 to 38 to 78 with co
capped tBuS- and benzoate ligands on the surface. SD/Ag28 is a turtle-like
cluster comprising a Ag29 shell caging a Ag3 S3 trigon in the center, whereas
SD/Ag29 is a prolate Ag38 sphere templated by the alpha-[Mo5 O18 ]6- anion. Upon
changing from benzoate to methoxyl-substituted benzoate, SD/Ag30 is isolated as a
very complicated core-shell spherical cluster composed of a Ag57 shell and a vase
like Ag21 S13 core. Four MoO42- anions are arranged in a supertetrahedron and
located in the interstice between the core and shell. Introduction of the bulky
benzoate changes elaborately the nuclearity and arrangements of silver polygons
on the shell of silver clusters, which is exemplified by comparing SD/Ag28 and a
known similar silver thiolate cluster. The three new clusters emit luminescence
in the near-infrared (NIR) region and show different thermochromic luminescence
properties. This work presents a flexible approach to synthetic studies of high
nuclearity silver clusters decorated by different benzoates, and structural
modulations are also achieved.
PMID- 29380901
TI - The influence of agents differentiating HL-60 cells toward granulocyte-like cells
on their ability to release neutrophil extracellular traps.
AB - Studies on neutrophil extracellular traps (NETs) are challenging as neutrophils
live shortly and easily become activated. Thus, availability of a cell line model
closely resembling the functions of peripheral blood neutrophils would be
advantageous. Our purpose was to find a compound that most effectively
differentiates human promyelocytic leukemia (HL-60) cells toward granulocyte-like
cells able to release NETs. HL-60 cells were differentiated with all-trans
retinoic acid (ATRA), dimethyl sulfoxide (DMSO) or dimethylformamide (DMF) and
stimulated with phorbol 12-myristate 13-acetate (PMA) or calcium ionophore A23187
(CI). Cell differentiation, phagocytosis and calcium influx were analyzed by flow
cytometry. Reactive oxygen species production and NETs release were measured
fluorometrically and analyzed microscopically. LC3-II accumulation and histone 3
citrullination were analyzed by western blot. ATRA most effectively
differentiated HL-60 cells toward granulocyte-like cells. ATRA-dHL-60 cells
released NETs only upon PMA stimulation, DMSO-dHL-60 cells only post CI
stimulation, while DMF-dHL-60 cells formed NETs in response to both stimuli.
Oxidative burst was induced in ATRA-, DMSO- and DMF-dHL-60 cells post PMA
stimulation and only in DMF-dHL-60 cells post CI stimulation. Increased histone 3
citrullination was observed in stimulated DMSO- and DMF-, but not in ATRA-dHL-60
cells. The calcium influx was diminished in ATRA-dHL-60 cells. Significant
increase in autophagosomes formation was observed only in PMA-stimulated DMF-dHL
60 cells. Phagocytic index was higher in ATRA-dHL-60 cells than in control, DMSO-
and DMF-dHL-60 cells. We conclude that ATRA, DMSO and DMF differentiate HL-60 in
different mechanisms. DMF is the best stimulus for HL-60 cell differentiation for
NETs studies.
PMID- 29380900
TI - Integrin alpha V beta 3 targeted dendrimer-rapamycin conjugate reduces fibroblast
mediated prostate tumor progression and metastasis.
AB - Therapeutic strategies targeting both cancer cells and associated cells in the
tumor microenvironment offer significant promise in cancer therapy. We previously
reported that generation 5 (G5) dendrimers conjugated with cyclic-RGD peptides
target cells expressing integrin alpha V beta 3. In this study, we report a novel
dendrimer conjugate modified to deliver the mammalian target of rapamycin (mTOR)
inhibitor, rapamycin. In vitro analyses demonstrated that this drug conjugate, G5
FI-RGD-rapamycin, binds to prostate cancer (PCa) cells and fibroblasts to inhibit
mTOR signaling and VEGF expression. In addition, G5-FI-RGD-rapamycin inhibits
mTOR signaling in cancer cells more efficiently under proinflammatory conditions
compared to free rapamycin. In vivo studies established that G5-FI-RGD-rapamycin
significantly inhibits fibroblast-mediated PCa progression and metastasis. Thus,
our results suggest the potential of new rapamycin-conjugated multifunctional
nanoparticles for PCa therapy.
PMID- 29380902
TI - Probing the striatal dopamine system for a putative neuroprotective effect of
deep brain stimulation in Parkinson's disease.
PMID- 29380903
TI - Iron as a therapeutic target for Parkinson's disease.
PMID- 29380904
TI - Deep Blue Phosphorescent Organic Light-Emitting Diodes with CIEy Value of 0.11
and External Quantum Efficiency up to 22.5.
AB - Organic light-emitting diodes (OLEDs) based on red and green phosphorescent
iridium complexes are successfully commercialized in displays and solid-state
lighting. However, blue ones still remain a challenge on account of their
relatively dissatisfactory Commission International de L'Eclairage (CIE)
coordinates and low efficiency. After analyzing the reported blue iridium
complexes in the literature, a new deep-blue-emitting iridium complex with
improved photoluminescence quantum yield is designed and synthesized. By rational
screening host materials showing high triplet energy level in neat film as well
as the OLED architecture to balance electron and hole recombination, highly
efficient deep-blue-emission OLEDs with a CIE at (0.15, 0.11) and maximum
external quantum efficiency (EQE) up to 22.5% are demonstrated. Based on the
transition dipole moment vector measurement with a variable-angle spectroscopic
ellipsometry method, the ultrahigh EQE is assigned to a preferred horizontal
dipole orientation of the iridium complex in doped film, which is beneficial for
light extraction from the OLEDs.
PMID- 29380905
TI - Insights on the effectiveness of reward schemes from 10-year longitudinal case
studies in 2 Italian regions.
AB - BACKGROUND: Pay for performance (P4P) programs have been widely analysed in
literature, and the results regarding their impact on performance are mixed.
Moreover, in the real-life setting, reward schemes are designed combining
multiple elements altogether, yet, it is not clear what happens when they are
applied using different combinations. OBJECTIVES: To provide insights on how P4P
programs are influenced by 5 key elements: whom, what, how, how many targets, and
how much to reward. METHODS: A qualitative longitudinal analysis of 10 years of
P4P reward schemes adopted by the regional administrations of Tuscany and
Lombardy (Italy) was conducted. The effects of the P4P features on performance
are discussed considering both overall and specific indicators. RESULTS: Both
regions applied financial reward schemes for General Managers by linking the
variable pay to performance. While Tuscany maintained a relatively stable
financial incentive design and governance tools, Lombardy changed some elements
of the design and introduced, in 2012, a P4P program aimed to reward the
providers. The main differences between the 2 cases regard the number of targets
(how many), the type (what), and the method applied to set targets (how).
CONCLUSION: Considering the overall performance obtained by the 2 regions, it
seems that whom, how, and how much to reward are not relevant in the success of
P4P programs; instead, the number (how many) and the type (what) of targets set
may influence the performance improvement processes driven by financial reward
schemes.
PMID- 29380906
TI - Osteoporotic alterations in a group of different ethnicity Brazilian
postmenopausal women: An observational study.
AB - OBJECTIVES: To compare peripheral bone mineral density alterations among
Brazilian postmenopausal women from three ethnic groups considering age and body
mass index influence; to correlate their bone mineral density with the mandibular
cortical index (MCI); and to evaluate the influence of age, body mass index and
ethnicity in the MCI using risk factor analysis. BACKGROUND: Osteoporosis risk is
known to have ethical influences. However, little is known about the differences
in ethnicity in radiomorphometric indices. MATERIALS AND METHODS: A total of 150
postmenopausal women with different ethnicities (Caucasian, Asian and Afro
descendant) who underwent peripheral dual X-ray absorptiometry and panoramic
examination were included. Bone mineral density and MCI were assessed. Adjusted
odds ratio analyses were performed on bone density and MCI considering the effect
of age, ethnicity and body mass index. The correlations between the MCI and the
dual X-ray absorptiometry results were made. RESULTS: Old age, low body mass
index and non-Afro-descendant were associated with low bone density. Compared
with Afro-descendants, Asians and Caucasians have higher chances of having
decreased bone mass. For the MCI, statistical analysis showed that age is the
only variable associated with osteoporotic alterations in the mandible.
Furthermore, an inverse correlation was found between the MCI and the T-scores.
CONCLUSION: Bone density is higher in Brazilian Afro-descendant women than in
Caucasians and Asians. Patient's age is associated with bone density and the MCI.
The mandibular cortical index is inversely correlated with the peripheral
densitometry results within the study ethnic population.
PMID- 29380907
TI - Dopamine reuptake transporter-single-photon emission computed tomography and
transcranial sonography as imaging markers of prediagnostic Parkinson's disease.
AB - OBJECTIVE: The objective of this study was to examine whether prediagnostic
features of Parkinson's disease (PD) were associated with changes in dopamine
reuptake transporter-single-photon emission computed tomography and transcranial
sonography. METHODS: Prediagnostic features of PD (risk estimates, University of
Pennsylvania Smell Identification Test, Rapid Eye Movement Sleep Behavior
Disorder Screening Questionnaire, and finger-tapping scores) were assessed in a
large cohort of older U.K. residents. A total of 46 participants were included in
analyses of prediagnostic features and MDS-UPDRS scores with the striatal binding
ratio on dopamine reuptake transporter-single-photon emission computed tomography
and nigral hyperechogenicity on transcranial sonography. RESULTS: The striatal
binding ratio was associated with PD risk estimates (P = .040), University of
Pennsylvania Smell Identification Test (P = .002), Rapid Eye Movement Sleep
Behavior Disorder Screening Questionnaire scores (P = .024), tapping speed (P =
.024), and MDS-UPDRS motor scores (P = .009). Remotely collected assessments
explained 26% of variation in the striatal binding ratio. The inclusion of MDS
UPDRS motor scores did not explain additional variance. The size of the nigral
echogenic area on transcranial sonography was associated with risk estimates (P <
.001) and MDS-UPDRS scores (P = .03) only. CONCLUSIONS: The dopamine reuptake
transporter-single-photon emission computed tomography results correlated with
motor and nonmotor features of prediagnostic PD, supporting its potential use as
a marker in the prodromal phase of PD. Transcranial sonography results also
correlated with risk scores and motor signs. (c) 2018 The Authors. Movement
Disorders published by Wiley Periodicals, Inc. on behalf of International
Parkinson and Movement Disorder Society.
PMID- 29380908
TI - Type-specific persistence and clearance rates of HPV genotypes in the oral and
oropharyngeal mucosa in an HIV/AIDS cohort.
AB - BACKGROUND: Oral high-risk human papillomavirus (HR-HPV) infections are frequent
and persistent among the HIV-positive population and are associated with an
increased risk for head and neck cancer (HNC). In this study, we sought to
determine the incidence, persistence and clearance of HPV infections in oral and
oropharyngeal samples from HIV/AIDS subjects. METHODS: A longitudinal,
observational and analytical study was performed with an ongoing cohort of
HIV/AIDS subjects in Mexico City (September 2013-February 2015). The study was
approved by institutional committees, and demographic and clinical data were
registered. At the baseline and three-month visits, oral examinations and
cytobrush samples were obtained. DNA was purified, quantified and used to detect
an HPV-L1 gene fragment by nested PCR, using MY09/MY11 and GP5 + /GP6 + primers.
HPV DNA products were purified, sequenced and typed according to HPV databases.
Risk factors were assessed, and a multivariate modelling approach was used to
determine independent effects. RESULTS: This study included 97 HIV/AIDS
individuals (91% men [86.4% of which are men who have sex with men], median age:
36 years, 72.2% under HAART). From the baseline visit, HPV was observed in 55.7%
(HR-HPV: 26.8%; HPV-18: 24.1%), with a higher HPV-positive samples for smokers
(61.1 vs 32.6%, P = .005). The three-month overall HPV incidence was 33.9%; type
specific HPV persistence was 33.3% (HR-HPV: 13.3%); and 13 of the 33 (39.4%)
baseline HPV-positive individuals cleared the infection (HR-HPV: 53.8%).
CONCLUSIONS: Although HR-HPV persistence was low, and clearance of the infection
was observed in most cases, a close follow-up is necessary, given the increase in
HNC among HIV-subjects, particularly HPV-related cancer.
PMID- 29380909
TI - The function of patient-centered care in mitigating the effect of nursing
shortage on the outcomes of care.
AB - BACKGROUND: The shortage of nursing staff is a national and international issue.
Inadequate number of hospital nurse staff leads to poor health care services. Yet
the effects of patient-centeredness between the relationships of nursing shortage
on the quality of care (QC) and patient safety (PS) have not been explored. The
aim of this study was to examine the mediating effects of patient-centeredness on
the relationship of nursing shortage on the QC and PS in the Medical and Surgical
Wards, in Malaysian private hospitals. METHOD: A descriptive, cross-sectional
study was carried out on 12 private hospitals. Data was gathered, through a self-
administered questionnaire, from 652 nurses, with a 61.8% response rate.
Stratified simple random sampling was used to allow all nurses to participate in
the study. Hayes PROCESS macro-regression analyses were conducted to explore the
mediating effects of patient-centeredness on the relationships of hospital nurse
staffing on the QC and PS. RESULTS: Patient-centeredness mediated the
relationships of hospital nurse staffing on both the QC (F = 52.73 and P = 0.000)
and PS (F = 31.56 and P = 0.000). CONCLUSION: Patient-centeredness helps to
mitigate the negative associations of nursing shortage on the outcomes of care.
The study provides a guide for hospital managers, leaders, decision-makers, risk
managers, and policymakers to maintain adequate staffing level and instill the
culture of patient-centeredness in order to deliver high quality and safer care.
PMID- 29380911
TI - Upregulation of Klotho potentially inhibits pulmonary vascular remodeling by
blocking the activation of the Wnt signaling pathway in rats with PM2.5-induced
pulmonary arterial hypertension.
AB - We evaluated the effects of Klotho on pulmonary vascular remodeling and cell
proliferation and apoptosis in rat models with PM2.5-induced pulmonary arterial
hypertension (PAH) via the Wnt signaling pathway. After establishing rat models
of PM2.5-induced PAH, these Sprague-Dawley male rats were randomized into control
and model groups. Cells extracted from the model rats were sub-categorized into
different groups. Activation of Wnt/beta-catenin signaling transcription factor
was detected by a TOPFlash/FOPFlash assay. A serial of experiment was conducted
to identify the mechanism of Klotho on PHA via the Wnt signaling pathway. VEGF
levels and PaCO2 content were higher in the model group, while PaO2, NO2- /NO3-
content and Klotho level was lower compared to the control group. In comparison
to the control group, the model group had decreased Klotho and Bax levels, and
elevated Wnt-1, beta-catenin, bcl-2, survivin, and PCNA expression, VEGF, IL-6,
TNF-alpha, TNF-beta1, and bFGF levels, as well as the percentage of pulmonary
artery ring contraction. The Klotho vector, DKK-1 and DKK-1 + Klotho vector
groups exhibited reduced cell proliferation, luciferase activity, and the
expression of Wnt-1, beta-catenin, bcl-2, survivin, and PCNA, as well as
shortened S phase compared with the blank and NC groups. Compared with the Klotho
vector and DKK-1 groups, the DKK-1 + Klotho vector groups had reduced cell
proliferation, luciferase activity, and the expression of Wnt-1, beta-catenin,
bcl-2, survivin, and PCNA, as well as a shortened S phase. Conclusively, Klotho
inhibits pulmonary vascular remodeling by inactivation of Wnt signaling pathway.
PMID- 29380910
TI - Chemical and thyroid hormone profile of the bone marrow interstitial fluid in
hematologic disorders and patients without primary hematologic disorders.
AB - Bone marrow interstitial fluid (BMIF) has not been well characterized. BMIF was
isolated from 60 patients including plasma cell dyscrasias (PCD, n = 33), other
primary hematologic disorders (OHD, n = 15), and patients with secondary or
nonhemtologic disorders (NHD, n = 12) and analyzed for an array of chemical
constituents. These included total cholesterol, glucose, phosphate, creatinine,
urea, total protein, albumin, globulins, total bilirubin, aspartate
aminotransferase, lactate dehydrogenase, sodium, osmolarity, free
triiodothyronine (free T3), total triiodothyronine (total T3), and free
tetraiodothyronine (free T4). Levels of BMIF components were compared between
patient groups and to plasma levels. Compared with plasma, total cholesterol,
total protein, total bilirubin, sodium, and calculated osmolarity were lower in
BMIF in all groups (P < 0.05). Calculated globulins and aspartate
aminotransferase were lower in BMIF of PCD patients and patients with NHD.
Albumin was lower in BMIF of patients with PCD and patients with OHD. Lastly,
free T4 was significantly higher in BMIF of patients with PCD and patients with
OHD. Similar results were demonstrated in a separate analysis performed in
patients with multiple myeloma. To conclude, the chemical and thyroid hormone
composition of BMIF differs significantly from plasma in several key
constituents.
PMID- 29380912
TI - Ubiquinol treatment for TBI in male rats: Effects on mitochondrial integrity,
injury severity, and neurometabolism.
AB - Following traumatic brain injury (TBI), there is significant secondary damage to
cerebral tissue from increased free radicals and impaired mitochondrial function.
This imbalance between reactive oxygen species (ROS) production and the
effectiveness of cellular antioxidant defenses is termed oxidative stress. Often
there are insufficient antioxidants to scavenge ROS, leading to alterations in
cerebral structure and function. Attenuating oxidative stress following a TBI by
administering an antioxidant may decrease secondary brain injury, and currently
many drugs and supplements are being investigated. We explored an over-the
counter supplement called ubiquinol (reduced form of coenzyme Q10), a potent
antioxidant naturally produced in brain mitochondria. We administered intra
arterial ubiquinol to rats to determine if it would reduce mitochondrial damage,
apoptosis, and severity of a contusive TBI. Adult male F344 rats were randomly
assigned to one of three groups: (1) Saline-TBI, (2) ubiquinol 30 minutes before
TBI (UB-PreTBI), or (3) ubiquinol 30 minutes after TBI (UB-PostTBI). We found
when ubiquinol was administered before or after TBI, rats had an acute reduction
in brain mitochondrial damage, apoptosis, and two serum biomarkers of TBI
severity, glial fibrillary acidic protein (GFAP) and ubiquitin C-terminal
hydrolase-L1 (UCH-L1). However, in vivo neurometabolic assessment with proton
magnetic resonance spectroscopy did not show attenuated injury-induced changes.
These findings are the first to show that ubiquinol preserves mitochondria and
reduces cellular injury severity after TBI, and support further study of
ubiquinol as a promising adjunct therapy for TBI.
PMID- 29380913
TI - Review: Vascular dementia: clinicopathologic and genetic considerations.
AB - The incidence and severity of cerebrovascular disease (CVD) increase with
advancing age, as does the risk of developing Alzheimer's disease (AD). Not
surprisingly, heterogeneous forms of CVD may coexist with AD changes in the
'ageing brain'. These include angiopathies (affecting both large and small
arteries) that result from 'classical' risk factors (hypertension, smoking and
diabetes) and others (cerebral amyloid angiopathy) that are biochemically closely
linked to AD. The morphologic consequences of these various vascular diseases are
infarcts and/or haemorrhages of varying sizes within the brain, which lead to
neurocognitive decline that may mimic AD - though the vascular abnormalities are
usually detectable by neuroimaging. More subtle effects of CVD may include
neuroinflammation and biochemical 'lesions' that have no reliable morphologic
correlate and thus escape the attention of even an experienced Neuropathologist.
The pathogenesis of hippocampal injury resembling ischaemic change - commonly
seen in the brains of geriatric subjects - remains controversial. In recent
years, genetically determined forms of microangiopathy (e.g. CADASIL, CARASIL,
Trex1-related microangiopathies, CARASAL, familial forms of cerebral amyloid
angiopathy or CAA) have provided interesting cellular and molecular clues to the
pathogenesis of sporadic microvascular disease such as arteriolosclerosis and AD
related CAA.
PMID- 29380914
TI - Assessing managerial information needs: Modification and evaluation of the
Hospital Shift Leaders' Information Needs Questionnaire.
AB - AIMS: The aims were (1) to evaluate the modified version of the Intensive Care
Unit Information Need Questionnaire for the broader hospital setting, and (2) to
describe the differences in respondents' managerial activities and information
needs according to the position held by the respondent and the type of hospital
unit. BACKGROUND: Information systems do not support managerial decision-making
sufficiently and information needed in the day-to-day operations management in
hospital units is unknown. METHODS: An existing questionnaire was modified and
evaluated. Shift leaders, that is, the nurses and physicians responsible for the
day-to-day operations management in hospital units were reached using purposive
sampling (n = 258). RESULTS: The questionnaire ascertained the importance of
information. Cronbach's alpha ranged from .85-.96 for the subscales. Item - total
correlations showed good explanatory power. Managerial activities and information
needs differed between respondents in different positions, although all shared
about one-third of important information needs. The response rate was 26% (n =
67). CONCLUSIONS: The validity and reliability of the questionnaire were good.
Attention should be paid to the positions of shift leaders when developing
information systems. IMPLICATIONS FOR NURSING MANAGEMENT: The questionnaire can
be used to determine important information when developing information systems to
support day-to-day operations management in hospitals.
PMID- 29380915
TI - Carbon and nitrogen stable isotopes in U.S. milk: Insight into production
process.
AB - RATIONALE: Stable isotope analysis (SIA), a potential method of verifying the
geographic origin and production method of dairy products, has not been applied
to United States (U.S.) dairy samples on a national scale. To determine the
potential of carbon and nitrogen SIA in authenticity assessment of U.S. dairy
products, we analyzed a geographically representative collection of conventional
milk samples to determine isotopic variations with (1) Purchase Location and (2)
Macronutrient Content. METHODS: A total of 136 milk samples spanning five
commercially available varieties (3.25% [i.e., 'whole'], 2%, 1%, 0% [i.e.,
'skim'] and 1% chocolate) were collected from randomly selected counties across
the U.S. as part of the United States Department of Agriculture's (USDA's)
National Food and Nutrient Analysis program. delta13 C and delta15 N values of
bulk samples determined via elemental analysis/isotope ratio mass spectrometry
(EA/IRMS) were used to assess the contribution of fat content, added sugar
content and census-designated region of collection to isotopic variations within
the dataset. RESULTS: There was a negative linear relationship between fat
content and delta13 C values, with average milk delta13 C values decreasing by
0.330/00 for each 8.75% increase in dry weight (1% wet weight) fat content. The
average delta13 C value of flavored 1% chocolate milk samples, which contain an
additional 12 g of added sugar, was 2.050/00 higher than that of 1% unflavored
milk (-16.470/00 for chocolate milk vs -18.520/00 for unflavored milk). When
controlling for macronutrient content, milk samples collected in West region
supermarkets possessed significantly lower delta13 C values than samples
collected from Midwest, South, and Northeast regions. delta15 N values did not
vary with macronutrient content or region of collection. CONCLUSIONS: Carbon
stable isotope ratios in U.S. milk samples varied with macronutrient content and
region of purchase, suggesting that SIA can provide insight into production
processes within the U.S. dairy industry, with potential applications in national
food adulteration and authentication efforts.
PMID- 29380916
TI - Online information for parents caring for their premature baby at home: A focus
group study and systematic web search.
AB - BACKGROUND: Online resources are a source of information for parents of premature
babies when their baby is discharged from hospital. OBJECTIVES: To explore what
topics parents deemed important after returning home from hospital with their
premature baby and to evaluate the quality of existing websites that provide
information for parents post-discharge. METHODS: In stage 1, 23 parents living in
Northern Ireland participated in three focus groups and shared their information
and support needs following the discharge of their infant(s). In stage 2, a World
Wide Web (WWW) search was conducted using Google, Yahoo and Bing search engines.
Websites meeting pre-specified inclusion criteria were reviewed using two website
assessment tools and by calculating a readability score. Website content was
compared to the topics identified by parents in the focus groups. RESULTS: Five
overarching topics were identified across the three focus groups: life at home
after neonatal care, taking care of our family, taking care of our premature
baby, baby's growth and development and help with getting support and advice.
Twenty-nine sites were identified that met the systematic web search inclusion
criteria. Fifteen (52%) covered all five topics identified by parents to some
extent and 9 (31%) provided current, accurate and relevant information based on
the assessment criteria. CONCLUSION: Parents reported the need for information
and support post-discharge from hospital. This was not always available to them,
and relevant online resources were of varying quality. Listening to parents needs
and preferences can facilitate the development of high-quality, evidence-based,
parent-centred resources.
PMID- 29380917
TI - Can I relate? A review and guide for nurse managers in leading generations.
AB - AIMS: The purpose of this review is to help the nurse leader develop an
understanding of the five generations currently in the health care workforce by
providing defining characteristics, general behaviours, and strategies for the
nurse manager to employ for each generational cohort. BACKGROUND: Generations are
groups of people born during the same 15-20 year time period who share similar
experiences before adulthood, which shape long-term behaviours. Key descriptors
and characteristics are provided. EVALUATION: The current generational cohorts in
the health care workforce are Traditionalists (born between 1922 and 1945), baby
boomers (born between 1946 and 1964), Generation X (born between 1965 and 1979),
millennials (born between 1980 and 1995), and Generation Z (born after 1995). KEY
ISSUES: Health care teams often comprise members of three or more generations.
Intergenerational differences in team members can result in challenges; however,
different perspectives provided by multiple generations can be used
advantageously to strengthen the team's efficiency and outcomes. CONCLUSIONS:
There are strengths in each generation. Key differences can be harnessed to build
stronger teams through comprehensive communication strategies, customized reward
systems, and workplace flexibility. Examples are provided for each area.
IMPLICATIONS: Managers can use intergenerational differences to create a rich
environment that bridges generational differences and fosters workforce cohesion.
PMID- 29380919
TI - Symptomatic arrhythmia in the form of multiple premature ventricular
extrasystoles as the first symptom of polymyositis.
AB - Polymyositis (PM) is an autoimmune disease characterized by the involvement of
multiple internal organs, including the cardiovascular system. The involvement of
heart is observed in up to 75% of patients with PM. Conduction and rhythm
disorders are one of the most common cardiological abnormalities in these
patients. The presented clinical case is the patient where ventricular arrhythmia
(in the form of multiple premature ventricular extrasystoles) was the first
symptom of polymyositis.
PMID- 29380918
TI - ASPRE trial: incidence of preterm pre-eclampsia in patients fulfilling ACOG and
NICE criteria according to risk by FMF algorithm.
AB - OBJECTIVE: To report the incidence of preterm pre-eclampsia (PE) in women who are
screen positive according to the criteria of the National Institute for Health
and Care Excellence (NICE) and the American College of Obstetricians and
Gynecologists (ACOG), and compare the incidence with that in those who are screen
positive or screen negative by The Fetal Medicine Foundation (FMF) algorithm.
METHODS: This was a secondary analysis of data from the ASPRE study. The study
population consisted of women with singleton pregnancy who underwent prospective
screening for preterm PE by means of the FMF algorithm, which combines maternal
factors and biomarkers at 11-13 weeks' gestation. The incidence of preterm PE in
women fulfilling the NICE and ACOG criteria was estimated; in these patients the
incidence of preterm PE was then calculated in those who were screen negative
relative to those who were screen positive by the FMF algorithm. RESULTS: A total
of 34 573 women with singleton pregnancy delivering at >= 24 weeks' gestation
underwent prospective screening for preterm PE, of which 239 (0.7%) cases
developed preterm PE. At least one of the ACOG criteria was fulfilled in 22 287
(64.5%) pregnancies and the incidence of preterm PE was 0.97% (95% CI, 0.85
1.11%); in the subgroup that was screen positive by the FMF algorithm the
incidence of preterm PE was 4.80% (95% CI, 4.14-5.55%), and in those that were
screen negative it was 0.25% (95% CI, 0.18-0.33%), with a relative incidence in
FMF screen negative to FMF screen positive of 0.051 (95% CI, 0.037-0.071). In
1392 (4.0%) pregnancies, at least one of the NICE high-risk criteria was
fulfilled, and in this group the incidence of preterm PE was 5.17% (95% CI, 4.13
6.46%); in the subgroups of screen positive and screen negative by the FMF
algorithm, the incidence of preterm PE was 8.71% (95% CI, 6.93-10.89%) and 0.65%
(95% CI, 0.25-1.67%), respectively, and the relative incidence was 0.075 (95% CI,
0.028-0.205). In 2360 (6.8%) pregnancies fulfilling at least two of the NICE
moderate-risk criteria, the incidence of preterm PE was 1.74% (95% CI, 1.28
2.35%); in the subgroups of screen positive and screen negative by the FMF
algorithm the incidence was 4.91% (95% CI, 3.54-6.79%) and 0.42% (95% CI, 0.20
0.86%), respectively, and the relative incidence was 0.085 (95% CI, 0.038-0.192).
CONCLUSION: In women who are screen positive for preterm PE by the ACOG or NICE
criteria but screen negative by the FMF algorithm, the risk of preterm PE is
reduced to within or below background levels. The results provide further
evidence to support the personalized risk-based screening method that combines
maternal factors and biomarkers. Copyright (c) 2018 ISUOG. Published by John
Wiley & Sons Ltd.
PMID- 29380920
TI - Interleukin-10 -1082A/G polymorphism is associated with renal parenchymal damage
in congenital anomalies of the kidney and urinary tract.
AB - AIM: The aim of the study was to investigate whether the functional IL10-1082A/G
polymorphism exert a role in congenital anomalies of the kidney and urinary tract
(CAKUT) in children. Also, the serum IL-10 and its association with genotype and
renal parenchymal damage in CAKUT were explored. METHODS: In current case-control
study, 134 paediatric cases of CAKUT and 382 unrelated controls were included.
The genotyping of IL10-1082A/G polymorphism was performed by amplification
refractory mutation system-PCR and IL-10 serum level was determined by ELISA.
RESULTS: Although, the genotype and allelic frequencies of IL10-1082 A/G
polymorphism in cases and controls were similar (chi2=0.459; p=0.79 and
chi2=0.426; p=0.51, respectively), significant different genotype distribution
between patients with or without parenchymal damage/reduction was observed
(chi2=6.9; p=0.032). The GG-genotype was more frequent in cases with renal
parenchymal damage/reduction compared to patients with preserved parenchyma (22%
vs. 9%; OR=2.987; 95% CI:0.979-9.468; p=0.031). Contrary, the heterozygous
genotype was less frequent among cases with parenchymal damage/reduction compared
to cases with preserved parenchyma (39% vs. 59%; OR=0.453; 95% CI:0.214-0.958;
p=0.024). Additionally, the serum IL-10 was significantly higher in CAKUT
patients compared to age-sex-matched controls (median 11.98; IQR:7.14-31.6 vs.
5.92; IQR:4.68-14.8; p=0.0057). Among carriers of GG-genotype significantly
higher IL-10 level was detected in cases with parenchymal damage/reduction, than
cases with preserved parenchyma (p=0.028). CONCLUSION: Our results suggested that
the functional -1082A/G polymorphism in IL10 is associated with risk of renal
parenchymal damage/reduction rather than genetic predisposition to CAKUT.
Additionally, our study supposes that immunoregulatory cytokine IL-10 might has
significant role in CAKUT.
PMID- 29380921
TI - Correlation between computer tomography-derived scar topography and critical
ablation sites in postinfarction ventricular tachycardia.
AB - BACKGROUND: Myocardial wall thickness (WT) in patients with a prior myocardial
infarction has been used to indicate scarring. However, the correlation of WT
with sites critical to ventricular tachycardia (VT) has not been previously
investigated. The purpose of this study was to correlate electroanatomic mapping
data obtained during VT ablation with WT determined by cardiac computed
tomography (CT). METHODS AND RESULTS: Cardiac CTs were performed in 15
consecutive patients (mean age 63 +/- 10 years, 86% male, left ventricular
ejection fraction 27 +/- 12%) with a prior infarct referred for VT ablation. The
CTs were registered to the electroanatomic maps obtained during the mapping
procedure. Pacing was performed throughout the scar at sites with fractionated
electrograms and isolated potentials. Ablation sites were identified by pace
mapping or entrainment-mapping and these sites were correlated with WT. Bipolar
and unipolar voltage amplitude and bipolar electrogram width correlated with WT
(correlation coefficient: 0.63, 0.65, and 0.41, respectively, P < 0.001).
Ablation target sites were identified for 58 of 113 inducible VTs. The ablation
target sites were located on CT-defined ridges (WT: 4.2 +/- 1.2 mm) bordered by
areas of thinning (WT: 2.6 +/- 1.1 mm, P < 0.0001) in 14 of 15 patients. Ablation
targets were found on ridges in 49 of 58 VTs (84%) for which target sites were
identified. A total of 70 ridges were localized in the 15 patients. VT became
noninducible postablation in 11 of 15 patients (73%). CONCLUSION: WT measured by
CT identifies ridges of myocardial tissue that often are critical for
postinfarction VT and that can be appropriate target sites for ablation.
PMID- 29380922
TI - Longitudinal changes in vascular function parameters in pregnant women with
chronic hypertension and association with adverse outcome: a cohort study.
AB - OBJECTIVES: Raised vascular function measures are associated with development of
adverse maternal and perinatal outcomes in low risk pregnancy. This study aimed
to evaluate the association between longitudinal vascular function parameters and
adverse outcomes in pregnant women with chronic hypertension. METHODS: Pregnant
women recruited to the PANDA (Pregnancy And chronic hypertension: NifeDipine vs
lAbetalol as antihypertensive treatment) trial in three UK maternity units had
serial pulse wave analyses performed using the Arteriograph(r) (Tensiomed,
Budapest, Hungary) while in a sitting position from 12 weeks onwards. Statistical
analysis used random-effects logistic regression models and compared those who
developed superimposed pre-eclampsia (SPE) to those who did not, women who
delivered a small for gestational age infant (<10th birthweight centile) (SGA10)
to those who delivered an infant with birthweight >10th centile, and women of
Black ethnicity with women of non-Black ethnicity. RESULTS: The cohort included
97 women (90% (n=87) randomised to antihypertensive treatment), with up to six
longitudinal vascular function assessments (mean 3), (57% (n=55) of Black
ethnicity) with chronic hypertension and singleton pregnancies. SPE was diagnosed
in 18% (n=17) and 30% (n=29) of infants were SGA10. In women who developed
subsequent SPE compared to those who did not, mean brachial systolic blood
pressure (SBP) (148 vs 139 mmHg; p=0.002), mean diastolic blood pressure (DBP)
(87 vs 82 mmHg; p=0.01), mean central aortic pressure (139 vs 128 mmHg; p=0.001)
and mean augmentation index (AIX-75) (29 vs 22%; p=0.01) were significantly
higher across gestation. Mean brachial SBP (146 vs 138 mmHg; p=0.001), mean DBP
(86 vs 82 mmHg; p=0.01), mean central aortic pressure (137 vs 127 mmHg;
p=<0.0001), and mean PWV (9.1 vs 8.5 m/s; p=0.02) were higher across gestation in
women who delivered an SGA10 infant compared to women who delivered an infant
with birthweight >10th centile. No longitudinal differences were found in the
vascular function parameters in women of Black ethnicity compared to non-Black
ethnicity. CONCLUSION: There are persistent differences in vascular function
parameters and brachial blood pressure through pregnancy in women with chronic
hypertension who later develop adverse maternal and perinatal outcome. Further
investigation of the possible clinical use of these findings is warranted.
PMID- 29380923
TI - Phacoemulsification outcomes in Boston terriers as compared to non-Boston
terriers: a retrospective study (2002-2015).
AB - OBJECTIVES: To compare visual outcome and cause of blindness between two groups
of dogs undergoing phacoemulsification. ANIMALS STUDIED: Study population
consisted of 35 Boston terriers (BT; 70 eyes) and 77 non-Boston terriers (NBT;
154 eyes) that underwent bilateral phacoemulsification surgery. PROCEDURES:
Medical records were reviewed to determine visual outcome, complications leading
to blindness and follow-up. A Kaplan-Meier survival curve was used to estimate
surgical success at 1 and 2 years postoperatively. RESULTS: Eleven of 35 BT
developed known vision loss, with 12 of 70 (17.1%) eyes becoming blind within one
year of surgery, and 17 of 77 NBT developed known vision loss, with 19 of 154
(12.3%) eyes becoming blind within 1 year of surgery. No further vision loss was
reported among those BT with a 2-year follow-up. In the NBT group, seven
additional dogs, a total of 24 of 77 NBT developed vision loss, with a known
total of 31 of 154 (20.1%) eyes becoming blind within two years of surgery. These
results were not significantly different. The overall surgical success in both
groups based on individual eyes was estimated to be between 80 and 90% at 1 year
postsurgery and between 65 and 80% at 2 years postsurgery. Glaucoma was the
leading cause of vision loss in both groups. CONCLUSIONS: There was no
significant difference in visual outcomes between a group of BT and a group of
NBT undergoing bilateral phacoemulsification at the University of Tennessee
between 2002 and 2015. Glaucoma was the leading cause of vision loss in both
groups.
PMID- 29380924
TI - Network geometry of evidence from randomised controlled trials addressing donor
selection and source of haematopoietic progenitor cells used in allogeneic
transplantation: a systematic scoping review.
AB - BACKGROUND AND METHODS: A scoping review of randomised controlled trials (RCTs)
addressing source of cells and choice of donor for allogeneic haematopoietic cell
transplantation (HCT) was performed to create a network of best evidence that
allows us to identify new potential indirect comparisons for the strategic
development of future studies that connect to the existing evidence network.
RESULTS: A total of 19 eligible RCTs (2589 total patients) were identified. Nine
studies (1566 patients) compared clinical outcomes following the use of
peripheral blood progenitor cells (PBPCs) with bone marrow (BM) from matched
related donors (eight studies) or matched unrelated donors (one study). The
remaining studies compared BM or PBPCs with various methods of BM stimulation or
manipulation (six studies), compared different methods of surface molecule-based
selection and/or depletion of grafts (two studies) or compared the optimal number
of units for paediatric cord blood transplantation (two studies). No published
RCTs compared different types of donors. The geometry of the evidence network was
analysed to identify opportunities for potential novel indirect comparisons and
to identify opportunities to expand the network. Few indirect comparisons are
currently feasible due to small sample size and heterogeneity in patient
diagnoses and demographics between treatment nodes in the network. CONCLUSION:
More RCTs that enrol greater numbers of similar patients are needed to leverage
the current evidence network concerning donor choice and source of cells used in
allogeneic HCT.
PMID- 29380925
TI - Changes in ductus venosus velocity ratios after fetoscopic laser surgery for twin
twin transfusion syndrome.
PMID- 29380926
TI - Integration of paper spray ionization high-field asymmetric waveform ion mobility
spectrometry for forensic applications.
AB - RATIONALE: Paper spray ionization (PSI) is an attractive ambient ionization
source for mass spectrometry (MS) since it allows the combination of surface
sampling and ionization. The minimal sample preparation inherent in this approach
greatly reduces the time needed for analysis. However, the ions generated from
interfering compounds in the sample and the paper substrate may interfere with
the analyte ions. Therefore, the integration of PSI with high-field asymmetric
ion mobility spectrometry (FAIMS) is of significant interest since it should
reduce the background ions entering the mass analyzer without complicating the
analysis or increasing analysis time. Here we demonstrate the integration of PSI
with FAIMS/MS and its potential for analysis of samples of forensic interest.
METHODS: In this work, the parameters that can influence the integration,
including sampling and ionization by paper spray, the FAIMS separation of
analytes from each other and background interferences, and the length of time
that a usable signal can be observed for explosives on paper, were evaluated with
the integrated system. RESULTS: In the negative ion analysis of 2,4,6
trinitrotoluene (TNT), pentaerythritol tetranitrate (PETN), octahydro-1,3,5,7
tetranitro-1,3,5,7-tetrazocine (HMX), and 1,3,5-trinitroperhydro-1,3,5-triazine
(RDX), amounts as low as 1 ng on paper were readily observed. The successful
positive ion separation of a set of illicit drugs including heroin,
methamphetamine, and cocaine was also achieved. In addition, the positive ion
analysis of the chemical warfare agent simulants dimethyl methylphosphonate
(DMMP) and diisopropyl methylphosphonate (DIMP) was evaluated. CONCLUSIONS: The
integration of PSI-FAIMS/MS was demonstrated for the analyses of explosives in
negative ion mode and for illicit drugs and CW simulants in positive mode. Paper
background ions that could interfere with these analyses were separated by FAIMS.
The compensation voltage of an ion obtained by FAIMS provided an additional
identification parameter to be combined with the mass spectrum for each analyte.
PMID- 29380928
TI - Type D personality is associated with increased desire for alcohol in response to
acute stress.
AB - Type D personality (the combination of negative affectivity and social
inhibition) is associated with high levels of alcohol consumption. We examined if
Type D was associated with higher levels of alcohol use, and if Type D was
associated with desire for alcohol in response to a social stressor. In an
experimental study, participants (n = 138) completed measures of Type D, stress,
and alcohol use. They also took part in a stress-inducing public speaking task
and provided measures of desire for alcohol at baseline, stressor, and recovery.
Type D was associated with higher levels of alcohol use, stress, and desire for
alcohol at stressor and recovery. Mixed measures analysis of variance
demonstrated that there was a significant group effect of Type D (F(1, 136) =
6.86, p < .05) and a significant time * Type D interaction (F(1.50, 204.49) =
3.44, p < .05) on desire for alcohol. Type D individuals exhibited significantly
higher levels of desire for alcohol during the stressor and recovery phases,
compared to non-Type D individuals suggesting that Type D individuals may be
motivated to consume alcohol in order to cope with stressful situations.
PMID- 29380927
TI - Increased hyperpolarized [1-13 C] lactate production in a model of joint
inflammation is not accompanied by tissue acidosis as assessed using
hyperpolarized 13 C-labelled bicarbonate.
AB - Arthritic conditions are a major source of chronic pain. Furthering our
understanding of disease mechanisms creates the opportunity to develop more
targeted therapeutics. In rheumatoid arthritis (RA), measurements of pH in human
synovial fluid suggest that acidosis occurs, but that this is highly variable
between individuals. Here we sought to determine if tissue acidosis occurs in a
widely used rodent arthritis model: complete Freund's adjuvant (CFA)-induced
inflammation. CFA robustly evoked paw and ankle swelling, concomitant with
worsening clinical scores over time. We used magnetic resonance spectroscopic
imaging of hyperpolarized [1-13 C]pyruvate metabolism to demonstrate that CFA
induces an increase in the lactate-to-pyruvate ratio. This increase is indicative
of enhanced glycolysis and an increased lactate concentration, as has been
observed in the synovial fluid from RA patients, and which was correlated with
acidosis. We also measured the 13 CO2 /H13 CO3- ratio, in animals injected with
hyperpolarized H13 CO3- , to estimate extracellular tissue pH and showed that
despite the apparent increase in glycolytic activity in CFA-induced inflammation
there was no accompanying decrease in extracellular pH. The pH was 7.23 +/- 0.06
in control paws and 7.32 +/- 0.09 in inflamed paws. These results could explain
why mice lacking acid-sensing ion channel subunits 1, 2 and 3 do not display any
changes in mechanical or thermal hyperalgesia in CFA-induced inflammation.
PMID- 29380929
TI - Checkpoint molecule expression by B and T cell lymphomas in dogs.
AB - Immunotherapies targeting checkpoint molecule programmed cell death 1 (PD-1)
protein were shown to be effective for treatment of non-Hodgkin lymphoma in
people, but little is known about the expression of PD-1 or its ligand PD-L1 by
canine lymphoma. Therefore, flow cytometry was used to analyse expression of PD-1
and PD-L1 in canine lymphoma, using fine-needle aspirates of lymph nodes from 34
dogs with B cell lymphoma (BCL), 6 dogs with T cell lymphoma (TCL) and 11 dogs
that had relapsed. Furthermore, fine-needle aspirates were obtained from 17
healthy dogs for comparison. Lastly, the impact of chemotherapy resistance on
expression of PD-1 and PD-L1 was assessed in vitro. These studies revealed
increased expression of PD-L1 by malignant B cells compared to normal B cells. In
the case of TCL, tumour cells and normal T cells both showed low to negative
expression of PD-1 and PD-L1. In addition, tumour infiltrating lymphocytes from
both BCL and TCL had increased expression of both PD-1 and PD-L1 expression
compared to B and T cells from lymph nodes of healthy animals. In vitro,
chemotherapy-resistant BCL and TCL cell lines exhibited increases in both PD-1
and PD-L1 expression, compared to non-chemotherapy selected tumour cells. These
findings indicate that canine lymphomas exhibit upregulated checkpoint molecule
expression, though the impact of checkpoint molecule expression on tumour
biological behaviour remains unclear.
PMID- 29380932
TI - Brain atrophy in middle-aged subjects with Type 2 diabetes mellitus, with and
without microvascular complications.
AB - BACKGROUND: The rapid rise in Type 2 diabetes mellitus (T2DM) among young adults
makes it important to understand structural changes in the brain at a presenile
stage. This study examined global and regional brain atrophy in middle-aged
adults with T2DM, with a focus on those without clinical evidence of
microvascular complications. METHODS: The study recruited 66 dementia-free middle
aged subjects (40 with T2DM, 26 healthy volunteers [HVs]). Patients were grouped
according to the presence (T2DM-C; n = 20) or absence (T2DM-NC; n = 20) of
diabetic microvascular complications. Global brain volume (including gray matter
[GM] and white matter) was calculated based on voxel-based morphometry analysis.
Regional GM volumes were further extracted using the anatomical automatic
labeling template. RESULTS: There was a significant difference in global brain
volume among groups (P = 0.003, anova). Global brain volume was lower in T2DM-C
patients than in both T2DM-NC patients and HVs (mean [+/-SD] 0.720 +/- 0.024 vs
0.736 +/- 0.021 and 0.743 +/- 0.019, respectively; P = 0.032 and P = 0.001,
respectively). Regional analysis showed significant GM atrophy in the right
Rolandic operculum (t = 3.42, P = 0.001) and right superior temporal gyrus (t =
2.803, P = 0.007) in T2DM-NC patients compared with age- and sex-matched HVs.
CONCLUSIONS: Brain atrophy is present in dementia-free middle-aged adults with
T2DM. Regional brain atrophy appears to be developing even in those with no
clinical evidence of microvascular disturbances. The brain seems to be
particularly vulnerable to metabolic disorders prior to peripheral microvascular
pathologies associated with other target organs.
PMID- 29380931
TI - N-terminal pro-B-type natriuretic peptide and prognosis in Caucasian vs. Asian
patients with heart failure.
AB - AIMS: N-terminal pro-B-type natriuretic peptide (NT-proBNP) is the most
frequently used biomarker in heart failure (HF), but its prognostic utility
across ethnicities is unclear. METHODS AND RESULTS: This study included 546
Caucasians with HF from the Coordinating Study Evaluating Outcomes of Advising
and Counseling in Heart Failure and 578 Asians with HF from the Singapore Heart
Failure Outcomes and Phenotypes study. NT-proBNP was measured at discharge after
HF hospitalization. The studied outcome was a composite of all-cause mortality
and HF hospitalization at 18 months. Compared with Caucasian patients, Asian
patients were younger (63 +/- 12 vs. 71 +/- 11 years); less often female (26% vs.
39%); and had lower body mass index (26 vs. 27 kg/m2 ), better renal function (61
+/- 37 vs. 54 +/- 20 mL/min/1.73 m2 ), lower rates of atrial fibrillation (25%
vs. 46%), strikingly higher rates of diabetes (59% vs. 30%), and higher rates of
hypertension (76% vs. 44%). Despite these clear inter-group differences in
individual drivers of NT-proBNP, average levels were similar in Asians [2709
(1350, 6302) pg/mL] and Caucasians [2545 (1308, 5484) pg/mL] (P = 0.514). NT
proBNP was strongly associated with outcome [hazard ratio 1.28 (per doubling),
95% confidence interval 1.18-1.39, P < 0.001], regardless of ethnicity
(Pinteraction = 0.719). NT-proBNP was similarly associated with outcome in HF
with reduced and preserved ejection fraction in Asian (Pinteraction = 0.776) and
Caucasian patients (Pinteraction = 0.558). CONCLUSIONS: NT-proBNP has similar
prognostic performance in Asians and Caucasians with HF despite ethnic
differences in known clinical determinants of plasma NT-proBNP.
PMID- 29380933
TI - Change in perceived stress and 2-year change in cognitive function among older
adults: The Irish Longitudinal Study on Ageing.
AB - Prolonged or severe stress can adversely affect older adults' cognitive function,
but population-based studies investigating this relationship over time are rare.
Previous studies have largely focused on stress either evaluated at a single time
point or linked to specific life events. This study aimed to investigate whether
a change in perceived stress over 2 years predicts a change in cognitive
performance over the same time period in a population-based sample of older
adults. Data from the first 2 waves of The Irish Longitudinal Study on Ageing
were analyzed. Five thousand and seventy adults aged 50 and older completed the 4
item Perceived Stress Scale and measures of verbal fluency, immediate and delayed
word recall 2 years apart. A first differences regression model revealed that the
change in stress over 2 years was inversely associated with a change in immediate
word recall performance, even after adjustment for change in possible confounders
(B = -0.030, 95% CI [-.056, -.004], p < .05). No association was observed for
delayed recall or verbal fluency performance. Change in perceived stress is
inversely correlated with change in immediate recall, even over a short period.
Stress modifying interventions could potentially reduce the risk of cognitive
decline associated with ageing.
PMID- 29380934
TI - Semiconducting polymer nanoparticles for amplified photoacoustic imaging.
AB - Semiconducting polymer nanoparticles (SPNs) are a new class of photonic materials
with great potential for biomedical applications. Owing to their large absorption
coefficients, tunable optical properties, and high photostability, SPNs have
recently been used to improve the sensitivity and resolution of photoacoustic
(PA) imaging. In particular, a number of strategies have been explored to design
activatable SPNs for amplified in vivo PA imaging. In this review, the recent
advances in the development of SPNs as exogenous PA contrasts agents have been
summarized and their promising potential as multifunctional probes for cancer
theranostics has been discussed. This article is categorized under: Diagnostic
Tools > In Vivo Nanodiagnostics and Imaging.
PMID- 29380935
TI - Reactions to psychological contract breaches and organizational citizenship
behaviours: An experimental manipulation of severity.
AB - Grounded in affective events theory, we investigated the effects of
experimentally manipulated psychological contract breaches on participants'
feelings of violation, subsequent perceptions of psychological contract strength,
and organizational citizenship behaviours in a sample of working adults. Results
support previous findings that pre-existing relational psychological contract
strength interacts with severity of unmet promises or expectations. Specifically,
individuals with high relational contracts who experience low severity of unmet
promises/expectations have the lowest breach perceptions, whereas individuals
with high relational contracts who experience more severe levels unmet
promises/expectations experience the highest level of breach perceptions. Results
also support the concept of a breach spiral in that prior perceptions of breach
led to an increased likelihood of subsequent perceptions of breach following the
experimental manipulation. Furthermore, consistent with affective events theory,
results support the argument that a psychological contract breach's effect on
specific organizational citizenship behaviours is mediated by feelings of
violation and the reassessment of relational contracts. These effects were
present even after controlling for the direct effects of the manipulated severity
of unmet promises/expectations.
PMID- 29380936
TI - A retrospective case-control analysis of the efficacy of Gardasil(r) vaccination
in 28 patients with recurrent respiratory papillomatosis of the larynx.
PMID- 29380937
TI - Sulforaphane Improves Abnormal Lipid Metabolism via Both ERS-Dependent XBP1/ACC
&SCD1 and ERS-Independent SREBP/FAS Pathways.
AB - SCOPE: To investigate the effect of sulforaphane (SFN) on the abnormal lipid
metabolism and underlying mechanisms. METHODS AND RESULTS: Models with abnormal
lipid metabolism are established both in rats and human hepatocytes. Hepatic
steatosis is detected by hematoxylin and eosin and oil red O staining. The
structure of endoplasmic reticulum is visualized by transmission electron
microscopy. The expressions of X-box binding protein 1 (XBP1), protein kinase
like ER kinase (PERK), sterol regulatory element binding protein-1c (SREBP1c),
and lipogenic enzymes are determined by real-time PCR and western blot analysis.
SFN lowers the content of triglyceride and cholesterol. SFN alleviates the
swelling of ER and decreases the perimeter of ER. SFN significantly decreases the
expressions of acetyl CoA carboxylase 1 (ACC1), stearoyl-CoA desaturase 1 (SCD1),
and fatty acid synthase. SFN inhibits SREBP1c by blocking the PERK. Meanwhile,
SFN suppresses ACC1 and SCD1 via blocking the formation of splicing-type XBP1.
The key roles of XBP1 and SREBP1c in SFN-reduced lipid droplets are confirmed by
a timed sequence of measurement according to time points. CONCLUSION: SFN
improves abnormal lipid metabolism via both ER-stress-dependent and -independent
pathways.
PMID- 29380938
TI - Deprivation in relation to urgent suspicion of head and neck cancer referrals in
Glasgow.
AB - AIM: To examine deprivation measured by the Scottish index of multiple
deprivation (SIMD) and its relation to urgent suspicion of head and neck cancer
referrals. A secondary aim was to examine the symptomatology generating urgent
suspicion of cancer (USOC) referrals by SIMD category. METHODS: All "urgent
suspicion of cancer" referrals to the GGC ENT department over a one-year period,
between 2015 and 2016, were reviewed. Information was recorded anonymously and
included demographics and red flag referral symptoms. RESULTS: A total of 1998
patients were assessed, 43.4% (n = 867) were male. A total of 171 (8.6%) patients
had primary head and neck cancer. A total of 61 patients had other types of
cancer, giving an all cause cancer rate of 11.6%. About 71.3% of primary patients
with head and neck cancer (HNC) were male. The most common SIMD category observed
was SIMD1, the most common SIMD category yielding a primary head and neck cancer
diagnosis was SIMD1. Neck lump was the commonest symptom amongst all SIMD
categories. CONCLUSION: A link between deprivation and USOC referrals has been
established. A difference in gender distribution between referrals and HNC was
observed, more females are referred but a significantly higher number of patients
with HNC are males. Neck lump is a very strong referral indicator for HNC and
intermittent hoarseness is not. The findings from this analysis could be used to
refine local referral patterns and priority of referral.
PMID- 29380939
TI - Electromagnetic Shielding Materials in GHz Range.
AB - The state-of-the art in the design and the manufacture methods of the different
electromagnetic shielding materials has been reviewed. This topic has become a
mainstream field of research because of the electromagnetic pollution generated
by telecommunication technology development. The review is centred in absorbent
materials and shows a general overview of how the absorption properties of such
composites can be tailored through changes in geometry, composition, morphology,
and the filler particles content. Although different types of materials are
explained, the text is mainly focused on carbon materials such as graphene and
carbon nanotubes. In this way, the importance of the dispersion of the conductive
fillers in different polymer matrices is discussed. In addition, an extensive
study on new complex architectures such as foam-based materials is presented.
Finally, the combination of carbon fillers with other constituents such as
metallic nanoparticles is mentioned. In all these studies, the efficiency of the
composites as absorbent or reflective of electromagnetic radiation is discussed.
PMID- 29380940
TI - Stage of obesity epidemic model: Learning from tobacco control and advocacy for a
framework convention on obesity control.
AB - The 2011 United Nations political declaration against non-communicable diseases
(NCDs) targeted four major risk factors: tobacco use, harmful use of alcohol, an
unhealthy diet, and a lack of physical activity. The Framework Convention on
Tobacco Control (FCTC), MPOWER strategies, and the four-stage model of the
tobacco epidemic are useful references for the prevention and control of other
risk factors and NCDs. Obesity control is a more complex challenge. Herein we
propose a stage of obesity epidemic model (SOEM). Obesity is in the early stages
in most countries with increasing prevalence, but its effects on mortality will
increase rapidly, even if its prevalence may have reached a peak and be
declining. Based on current relative risk, obesity kills one in three obese
people. Like tobacco, epidemiological studies of obesity in the early stages
would underestimate the risks and disease burden. Further research will reveal
more harm, especially from long-term obesity since childhood. The prevalence of
obesity will likely overtake smoking prevalence, but commitments to obesity
control are too weak. The SOEM is needed and should be useful to forewarn against
the expanding public health problems attributable to obesity, and challenges in
epidemiology and interventions. Learning from tobacco control, we advocate for a
framework convention on obesity control. Framing obesity control initiatives in
the spirit of MPOWER strategies against tobacco should be considered to prevent
and control obesity and obesity-induced diseases. Healthcare professionals should
take leading roles in these initiatives and obese individuals should reduce their
weight and "quit" obesity.
PMID- 29380941
TI - Management of comedonal acne vulgaris with fixed-combination topical therapy.
AB - BACKGROUND: Acne vulgaris (acne) is the most common skin disease we see in
dermatology practice. Clinically, it is characterized by a combination of open
and closed comedones (formally referred to as noninflammatory lesions) and
inflammatory papules and pustules. Comedonal acne is more typical in young
adolescents, but can occur in combination with inflammatory papules and pustules
at any time. Topical retinoids have long been advocated for the treatment of
comedonal acne. AIMS: Given the increasing recognition of the inflammatory nature
of acne and the synergistic benefits seen with fixed combinations we review the
latest clinical data to provide guidance on optimal management of comedonal acne.
METHODS: An English language literature search of Medline, EMBASE, and the Web of
Science using key terms (acne, comedonal, noninflammatory, clinical trials) was
conducted, and relevant articles reviewed. RESULTS: Comparative data is sparse,
but we show the importance of fixed combinations with and without retinoids,
where treatment benefits are comparable. Adapalene 0.1%-benzoyl peroxide 2.5% gel
has been shown to be comparable to clindamycin 1%-benzoyl peroxide 5% gel, and
adapalene 0.3%-benzoyl peroxide 2.5% gel. A meta-analysis suggested that
clindamycin 1.2%-benzoyl peroxide 2.5% gel was more effective than clindamycin
benzoyl peroxide 5% gel in noninflammatory lesions, and two equivalent clinical
programs suggest additional benefits of higher doses of benzoyl peroxide (3.75%
vs 2.5%) in this fixed combination. CONCLUSIONS: Clindamycin 1.2%-benzoyl
peroxide 3.75% gel may afford similar benefits to adapalene 0.3%-benzoyl peroxide
2.5% gel in this sometimes difficult to treat patient population.
PMID- 29380942
TI - Evaluation of a multi-agent chemotherapy protocol combining lomustine,
procarbazine and prednisolone (LPP) for the treatment of relapsed canine non
Hodgkin high-grade lymphomas.
AB - The standard of care treatment for canine lymphoma is multi-agent chemotherapy
containing prednisolone, cyclophosphamide, vincristine and an anthracycline such
as doxorubicin (CHOP) or epirubicin (CEOP). Lomustine, vincristine, procarbazine,
and prednisone (LOPP) has been evaluated as a rescue, with encouraging results;
however, resistance to vincristine is likely in patients relapsing on CHOP/CEOP,
and this agent may enhance LOPP toxicity without improving efficacy. The aim of
this study was to evaluate responses to a modified-LOPP protocol that does not
include vincristine (LPP) and is administered on a 21-day cycle. Medical records
of dogs with high-grade multicentric lymphoma from 2012 to 2017 were reviewed.
Dogs with relapsed lymphoma that received LPP as a rescue protocol were enrolled.
Response, time from initiation to discontinuation (TTD) and toxicity of LPP were
assessed. Forty-one dogs were included. Twenty-five dogs (61%) responded to LPP
including 12 complete responses (CR) and 13 partial responses (PR). Responders
had a significantly longer TTD (P < .001) compared to non-responders with 84 days
for CR and 58 days for PR. Neutropenia was documented in 20 dogs (57%): 12 grade
I to II, 8 grade III to IV. Thrombocytopenia was infrequent (20%): 5 grade I to
II, 2 grade III to IV. Twelve dogs developed gastrointestinal toxicity (30%): 10
grade I to II and 2 grade III. Nineteen dogs had elevated ALT (59%): 9 grade I to
II, 10 grade III to IV. Treatment was discontinued due to toxicity in 8 dogs
(19%). The LPP protocol shows acceptable efficacy and toxicity-profile and
minimizes in-hospital procedures.
PMID- 29380943
TI - Evidence of oxygenic phototrophy in ancient phosphatic stromatolites from the
Paleoproterozoic Vindhyan and Aravalli Supergroups, India.
AB - Fossil microbiotas are rare in the early rock record, limiting the type of
ecological information extractable from ancient microbialites. In the absence of
body fossils, emphasis may instead be given to microbially derived features, such
as microbialite growth patterns, microbial mat morphologies, and the presence of
fossilized gas bubbles in lithified mats. The metabolic affinity of micro
organisms associated with phosphatization may reveal important clues to the
nature and accretion of apatite-rich microbialites. Stromatolites from the 1.6 Ga
Chitrakoot Formation (Semri Group, Vindhyan Supergroup) in central India contain
abundant fossilized bubbles interspersed within fine-grained in situ-precipitated
apatite mats with average delta13 Corg indicative of carbon fixation by the
Calvin cycle. In addition, the mats hold a synsedimentary fossil biota
characteristic of cyanobacterial and rhodophyte morphotypes. Phosphatic oncoid
cone-like stromatolites from the Paleoproterozoic Aravalli Supergroup
(Jhamarkotra Formation) comprise abundant mineralized bubbles enmeshed within
tufted filamentous mat fabrics. Construction of these tufts is considered to be
the result of filamentous bacteria gliding within microbial mats, and as
fossilized bubbles within pristine mat laminae can be used as a proxy for
oxygenic phototrophy, this provides a strong indication for cyanobacterial
activity in the Aravalli mounds. We suggest that the activity of oxygenic
phototrophs may have been significant for the formation of apatite in both
Vindhyan and Aravalli stromatolites, mainly by concentrating phosphate and
creating steep diurnal redox gradients within mat pore spaces, promoting apatite
precipitation. The presence in the Indian stromatolites of alternating apatite
carbonate lamina may result from local variations in pH and oxygen levels caused
by photosynthesis-respiration in the mats. Altogether, this study presents new
insights into the ecology of ancient phosphatic stromatolites and warrants
further exploration into the role of oxygen-producing biotas in the formation of
Paleoproterozoic shallow-basin phosphorites.
PMID- 29380944
TI - Optimal non-invasive diagnosis of fetal achondroplasia combining ultrasonography
with circulating cell-free fetal DNA analysis.
AB - OBJECTIVES: To assess the performance of non-invasive prenatal testing (NIPT) for
achondroplasia using high-resolution melting (HRM) analysis, and to propose an
optimal diagnostic strategy combining ultrasound examination and cell-free fetal
DNA (cffDNA) analysis. METHODS: In this prospective multicenter study, cffDNA was
extracted from blood in pregnant women at risk for fetal achondroplasia (owing to
paternal achondroplasia, previous affected child or suspected rhizomelic
shortening) and in pregnant low-risk controls. The presence of either one of the
two main fibroblast growth factor receptor 3 gene (FGFR3) mutations was
determined using HRM combined with confirmation by SNaPshot minisequencing.
Results were compared with phenotypes obtained using three-dimensional computed
tomography or postnatal examination, and/or molecular diagnosis by an invasive
procedure. Fetal biometry (head circumference and femur length) was analyzed in
order to develop a strategy in which cffDNA analysis for diagnosis of
achondroplasia is offered only in selected cases. RESULTS: Eighty-six blood
samples from women at risk for fetal achondroplasia and 65 from controls were
collected. The overall sensitivity and specificity of NIPT were 1.00 (95% CI,
0.87-1.00) and 1.00 (95% CI, 0.96-1.00), respectively. Critical reduction in
femur length of affected fetuses could be observed from 26 weeks' gestation.
CONCLUSION: HRM combined with SNaPshot minisequencing is a reliable method for
NIPT for achondroplasia. Its implementation in routine clinical care combined
with ultrasonography is an efficient strategy for the non-invasive diagnosis of
achondroplasia. Copyright (c) 2018 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 29380945
TI - Hearing and seeing meaning in noise: Alpha, beta, and gamma oscillations predict
gestural enhancement of degraded speech comprehension.
AB - During face-to-face communication, listeners integrate speech with gestures. The
semantic information conveyed by iconic gestures (e.g., a drinking gesture) can
aid speech comprehension in adverse listening conditions. In this
magnetoencephalography (MEG) study, we investigated the spatiotemporal neural
oscillatory activity associated with gestural enhancement of degraded speech
comprehension. Participants watched videos of an actress uttering clear or
degraded speech, accompanied by a gesture or not and completed a cued-recall task
after watching every video. When gestures semantically disambiguated degraded
speech comprehension, an alpha and beta power suppression and a gamma power
increase revealed engagement and active processing in the hand-area of the motor
cortex, the extended language network (LIFG/pSTS/STG/MTG), medial temporal lobe,
and occipital regions. These observed low- and high-frequency oscillatory
modulations in these areas support general unification, integration and lexical
access processes during online language comprehension, and simulation of and
increased visual attention to manual gestures over time. All individual
oscillatory power modulations associated with gestural enhancement of degraded
speech comprehension predicted a listener's correct disambiguation of the
degraded verb after watching the videos. Our results thus go beyond the
previously proposed role of oscillatory dynamics in unimodal degraded speech
comprehension and provide first evidence for the role of low- and high-frequency
oscillations in predicting the integration of auditory and visual information at
a semantic level.
PMID- 29380946
TI - A fluorescent aptasensor for analysis of adenosine triphosphate based on aptamer
magnetic nanoparticles and its single-stranded complementary DNA labeled carbon
dots.
AB - A new fluorimetric aptasensor was designed for the determination of adenosine
triphosphate (ATP) based on magnetic nanoparticles (MNPs) and carbon dots (CDs).
In this analytical strategy, an ATP aptamer was conjugated on MNPs and a
complementary strand of the aptamer (CS) was labeled with CDs. The aptamer and
its CS were hybridized to form a double helical structure. The hybridized
aptamers could be used for the specific recognition of ATP in a biological
complex matrix using a strong magnetic field to remove the interfering effect. In
the absence of ATP, no CDs-CS could be released into the solution and this
resulted in a weak fluorescence signal. In the presence of ATP, the target binds
to its aptamer and causes the dissociation of the double helical structure and
liberation of the CS, such that a strong fluorescence signal was generated. The
increased fluorescence signal was proportional to ATP concentration. The limit of
detection was estimated to be 1.0 pmol L-1 with a dynamic range of 3.0 pmol L-1
to 5.0 nmol L-1 . The specific aptasensor was applied to detect ATP in human
serum samples with satisfactory results. Moreover, molecular dynamic simulation
(MDS) studies were used to analyze interactions of the ATP molecule with the
aptamer.
PMID- 29380947
TI - Photodynamic opening of the blood-brain barrier and pathways of brain clearing.
AB - A new application of the photodynamic treatment (PDT) is presented for the
opening of blood-brain barrier (BBB) and the brain clearing activation that is
associated with it, including the use of gold nanoparticles as emerging
photosensitizer carriers in PDT. The obtained results clearly demonstrate 2
pathways for the brain clearing: (1) using PDT-opening of BBB and intravenous
injection of FITC-dextran we showed a clearance of this tracer via the meningeal
lymphatic system in the subdural space; (2) using optical coherence tomography
and intraparenchymal injection of gold nanorods, we observed their clearance
through the exit gate of cerebral spinal fluid from the brain into the deep
cervical lymph node, where the gold nanorods were accumulated. These data
contribute to a better understanding of the cerebrovascular effects of PDT and
shed light on mechanisms, underlying brain clearing after PDT-related opening of
BBB, including clearance from nanoparticles as drug carriers.
PMID- 29380948
TI - Planktonic microbial profiling in water samples from a Brazilian Amazonian
reservoir.
AB - Our comprehension of the dynamics and diversity of freshwater planktonic
bacterial communities is far from complete concerning the Brazilian Amazonian
region. Therefore, reference studies are urgently needed. We mapped bacterial
communities present in the planktonic communities of a freshwater artificial
reservoir located in the western Amazonian basin. Two samples were obtained from
rainy and dry seasons, the periods during which water quality and plankton
diversity undergo the most significant changes. Hypervariable 16S rRNA and
shotgun sequencing were performed to describe the first reference of a microbial
community in an Amazonian lentic system. Microbial composition consisted mainly
of Betaproteobacteria, Cyanobacteria, Alphaproteobacteria, and Actinobacteria in
the dry period. The bacteria distribution in the rainy period was notably absent
of Cyanobacteria. Microcystis was observed in the dry period in which the gene
cluster for cyanotoxins was found. Iron acquisition gene group was higher in the
sample from the rainy season. This work mapped the first inventory of the
planktonic microbial community of a large water reservoir in the Amazon,
providing a reference for future functional studies and determining other
communities and how they interact.
PMID- 29380949
TI - Vitamin D metabolic loci and preeclampsia risk in multi-ethnic pregnant women.
AB - Allelic variants in vitamin D metabolism genes may increase the risk of
preeclampsia, but few studies have systematically tested this hypothesis. Our
objective was to evaluate the relationship between maternal allelic variants in
three vitamin D metabolism genes and risk of preeclampsia. Samples were from two
case-control studies of pregnant women who delivered in Pittsburgh, PA from 1999
to 2010 and twelve recruiting sites across the United States from 1959 to 1965.
Single-nucleotide polymorphisms (SNPs) were genotyped 50 kilobases up- and down
stream in three genes (VDR, GC, and CYP27B1) in the samples from both studies,
for a total of 744 preeclampsia cases and 2411 controls. Using multivariable
logistic regression, we estimated the associations between allelic variation in
each locus and preeclampsia risk by maternal race and study. Meta-analysis was
used to estimate the association across race-study groups for each SNP. Minor
allele of a noncoding region of the VDR gene was significantly associated with
preeclampsia risk, which was verified in the meta-analysis [odds ratio (OR), 95%
confidence intervals (CI)] after adjusting for multiple comparisons
[rs12831006:1.5 (1.2, 2.0), P < 0.0001]. The meta-analysis identified
associations for one intron GC variant [rs843010:1.4 (1.1, 1.9) P < 0.05] and two
variants of the flanking region of GC [rs842991:1.5 (1.1, 2.0) P < 0.05;
rs16846876:0.75 (0.58, 0.98) P < 0.05]. There were no statistically significant
associations for CYP27B1 SNPs. Our results provide additional support for a
biological role of vitamin D in preeclampsia.
PMID- 29380950
TI - Sarcoplasmic reticulum Ca2+ -induced Ca2+ release regulates class IIa HDAC
localization in mouse embryonic cardiomyocytes.
AB - In embryonic cardiomyocytes, sarcoplasmic reticulum (SR)-derived Ca2+ release is
required to induce Ca2+ oscillations for contraction and to control cardiac
development through Ca2+ -activated pathways. Here, our aim was to study how SR
Ca2+ release regulates cytosolic and nuclear Ca2+ distribution and the subsequent
effects on the Ca2+ -dependent localization of class IIa histone deacetylases
(HDAC) and cardiac-specific gene expression in embryonic cardiomyocytes. Confocal
microscopy was used to study changes in Ca2+ -distribution and localization of
immunolabeled HDAC4 and HDAC5 upon changes in SR Ca2+ release in mouse embryonic
cardiomyocytes. Dynamics of translocation were also observed with a confocal
microscope, using HDAC5-green fluorescent protein transfected myocytes.
Expression of class IIa HDACs in differentiating myocytes and changes in cardiac
specific gene expression were studied using real-time quantitative PCR.
Inhibition of SR Ca2+ release caused a significant decrease in intranuclear Ca2+
concentration, a rapid nuclear import of HDAC5 and subnuclear redistribution of
HDAC4. Endogenous localization of HDAC5 and HDAC4 was mostly cytosolic and at the
nuclear periphery, respectively. Downregulated expression of cardiac-specific
genes was also observed upon SR Ca2+ release inhibition. Electrical stimulation
of sarcolemmal Ca2+ influx was not sufficient to rescue either the HDAC
localization or the gene expression changes. SR Ca2+ release controls subcellular
Ca2+ distribution and regulates localization of HDAC4 and HDAC5 in embryonic
cardiomyocytes. Changes in SR Ca2+ release also caused changes in expression of
the developmental phase-specific genes, which may be due to the changes in HDAC
localization.
PMID- 29380951
TI - Effects of short-term sex steroid suppression on dietary fat storage patterns in
healthy males.
AB - Hypogonadism in males is associated with increased body fat and altered
postprandial metabolism, but mechanisms remain poorly understood. Using a cross
over study design, we investigated the effects of short-term sex hormone
suppression with or without testosterone add-back on postprandial metabolism and
the fate of dietary fat. Eleven healthy males (age: 29 +/- 4.5 year; BMI: 26.3 +/
2.1 kg/m2 ) completed two 7-day study phases during which hormone levels were
altered pharmacologically to produce a low sex hormone condition (gonadotropin
releasing hormone antagonist, aromatase inhibitor, and placebo gel) or a
testosterone add-back condition (testosterone gel). Following 7 days of therapy,
subjects were administered an inpatient test meal containing 50 MUCi of [1-14 C]
oleic acid. Plasma samples were collected hourly for 5 h to assess postprandial
responses. Energy metabolism (indirect calorimetry) and dietary fat oxidation (14
CO2 in breath) were assessed at 1, 3, 5, 13.5, and 24 h following the test meal.
Abdominal and femoral adipose biopsies were taken 24 h after the test meal to
determine uptake of the labeled lipid. Postprandial glucose, insulin, free-fatty
acid, and triglyceride responses were not different between conditions (P >
0.05). Whole-body energy metabolism was also not different between conditions at
any time point (P > 0.05). Dietary fat oxidation trended lower (P = 0.12) and the
relative uptake of 14 C labeled lipid into femoral adipose tissue was greater (P
= 0.03) in the low hormone condition. Short-term hormone suppression did not
affect energy expenditure or postprandial metabolism, but contributed to greater
relative storage of dietary fat in the femoral depot. ClinicalTrials.gov
Identifier: NCT03289559.
PMID- 29380952
TI - Acute ingestion of dietary nitrate increases muscle blood flow via local
vasodilation during handgrip exercise in young adults.
AB - Dietary nitrate (NO3-) is converted to nitrite (NO2-) and can be further reduced
to the vasodilator nitric oxide (NO) amid a low O2 environment. Accordingly,
dietary NO3- increases hind limb blood flow in rats during treadmill exercise;
however, the evidence of such an effect in humans is unclear. We tested the
hypothesis that acute dietary NO3- (via beetroot [BR] juice) increases forearm
blood flow (FBF) via local vasodilation during handgrip exercise in young adults
(n = 11; 25 +/- 2 years). FBF (Doppler ultrasound) and blood pressure (Finapres)
were measured at rest and during graded handgrip exercise at 5%, 15%, and 25%
maximal voluntary contraction (MVC) lasting 4 min each. At the highest workload
(25% MVC), systemic hypoxia (80% SaO2 ) was induced and exercise continued for
three additional minutes. Subjects ingested concentrated BR (12.6 mmol nitrate (n
= 5) or 16.8 mmol nitrate (n = 6) and repeated the exercise bout either 2 (12.6
mmol) or 3 h (16.8 mmol) postconsumption. Compared to control, BR significantly
increased FBF at 15% MVC (184 +/- 15 vs. 164 +/- 15 mL/min), 25% MVC (323 +/- 27
vs. 286 +/- 28 mL/min), and 25% + hypoxia (373 +/- 39 vs. 343 +/- 32 mL/min) and
this was due to increases in vascular conductance (i.e., vasodilation). The
effect of BR on hemodynamics was not different between the two doses of BR
ingested. Forearm VO2 was also elevated during exercise at 15% and 25% MVC. We
conclude that acute increases in circulating NO3- and NO2- via BR increases
muscle blood flow during moderate- to high-intensity handgrip exercise via local
vasodilation. These findings may have important implications for aging and
diseased populations that demonstrate impaired muscle perfusion and exercise
intolerance.
PMID- 29380953
TI - Nominal carbonic anhydrase activity minimizes airway-surface liquid pH changes
during breathing.
AB - The airway-surface liquid pH (pHASL ) is slightly acidic relative to the plasma
and becomes more acidic in airway diseases, leading to impaired host defense. CO2
in the large airways decreases during inspiration (0.04% CO2 ) and increases
during expiration (5% CO2 ). Thus, we hypothesized that pHASL would fluctuate
during the respiratory cycle. We measured pHASL on cultures of airway epithelia
while changing apical CO2 concentrations. Changing apical CO2 produced only very
slow pHASL changes, occurring in minutes, inconsistent with respiratory phases
that occur in a few seconds. We hypothesized that pH changes were slow because
airway-surface liquid has little carbonic anhydrase activity. To test this
hypothesis, we applied the carbonic anhydrase inhibitor acetazolamide and found
minimal effects on CO2 -induced pHASL changes. In contrast, adding carbonic
anhydrase significantly increased the rate of change in pHASL . Using pH
dependent rates obtained from these experiments, we modeled the pHASL during
respiration to further understand how pH changes with physiologic and
pathophysiologic respiratory cycles. Modeled pHASL oscillations were small and
affected by the respiration rate, but not the inspiratory:expiratory ratio.
Modeled equilibrium pHASL was affected by the inspiratory:expiratory ratio, but
not the respiration rate. The airway epithelium is the only tissue that is
exposed to large and rapid CO2 fluctuations. We speculate that the airways may
have evolved minimal carbonic anhydrase activity to mitigate large changes in the
pHASL during breathing that could potentially affect pH-sensitive components of
ASL.
PMID- 29380954
TI - Mechanical ventilation strategies alter cardiovascular biomarkers in an infant
rat model.
AB - Mechanical ventilation (MV) is routinely used in pediatric general anesthesia and
critical care, but may adversely affect the cardiocirculatory system. Biomarkers
are increasingly measured to assess cardiovascular status and improve clinical
treatment decision-making. As the impact of mechanical ventilation strategies on
cardiovascular biomarkers in ventilated infants is largely unknown, we conducted
this retrospective study in a healthy in vivo infant rat ventilation model using
14-days old Wistar rats. We hypothesized that 2 h of mechanical ventilation with
high and low positive end-expiratory pressure (PEEP), hyperoxemia, hypoxemia,
hypercapnia, and hypocapnia would significantly impact B-type natriuretic peptide
(BNP), vascular endothelial growth factor (VEGF), and endothelin-1 (ET-1). We
found BNP to be driven by both high (9 cmH2 O) and low (1 cmH2 O) PEEP compared
to ventilated control animals (P < 0.05). VEGF concentrations were associated
with high PEEP, hyperoxemia, hypoxemia, and hypocapnia (P < 0.05), whereas ET-1
levels were changed only in response to hypoxemia (P < 0.05). In conclusion, the
mode of mechanical ventilation alters plasma biomarker concentrations. Moreover,
BNP and VEGF might serve as surrogate parameters for ventilation induced
cardiovascular compromise and lung tissue damage. Furthermore, our data support
the hypothesis, that sudden onset of hyperoxemia may trigger a quick VEGF release
as a possible cellular survival reflex.
PMID- 29380955
TI - Endogenous dipeptidyl peptidase IV modulates skeletal muscle arteriolar diameter
in rats.
AB - The purpose of this study is to investigate that dipeptidyl peptidase IV (DPP-IV)
released from skeletal and vascular smooth muscle can increase arteriolar
diameter in a skeletal muscle vascular bed by reducing neuropeptide Y (NPY)
mediated vasoconstriction. We hypothesized that the effect of myokine DPP-IV
would be greatest in the smallest and least in the largest arterioles. Eight male
Sprague Dawley rats (age 7-9 weeks; mass, mean +/- SD: 258 +/- 41 g) were
anesthetized and the gluteus maximus dissected in situ for intravital microscopy
analysis of arteriolar diameter of the vascular network. Computational modeling
was performed on the diameter measurements to evaluate the overall impact of
diameter changes on network resistance and flow distribution. In the first set of
experiments, whey protein isolate powder was added to physiological saline
solution, put in a heated reservoir, and applied to the preparation to induce
release of DPP-IV from the muscle. This resulted in an order-dependent increase
in arteriolar diameter, with the largest change in the 6A arterioles (63% more
reactive than 1A arterioles; P < 0.05). This effect was abolished by adding the
DPP-IV inhibitor, Diprotin A. To test if the DPP-IV released was affecting NPY
mediated vasoconstriction, we applied NPY and whey protein, which resulted in
attenuated vasoconstriction. These findings suggest that DPP-IV is released from
muscle and has a unique effect on blood flow, which appears to act on NPY to
attenuate vasoconstriction. The findings suggest that DPP-IV released from the
skeletal or smooth muscle can alter muscle blood flow.
PMID- 29380956
TI - Polyphenol supplementation alters intramuscular apoptotic signaling following
acute resistance exercise.
AB - The purpose of this study was to examine the effects of 28-days of
supplementation with an aqueous proprietary polyphenol blend (PPB) sourced from
Camellia sinensis on intramuscular apoptotic signaling following an acute lower
body resistance exercise protocol and subsequent recovery. Untrained males (n =
38, 21.8 +/- 2.7 years, 173.4 +/- 7.9 cm, 77.6 +/- 14.6 kg) were randomized to
PPB (n = 14), placebo (PL; n = 14) or control (CON; n = 10). Participants
completed a lower-body resistance exercise protocol comprised of the squat, leg
press, and leg extension exercises. Skeletal muscle microbiopsies were obtained
from the vastus lateralis preexercise (PRE), 1-h (1HR), 5-h (5HR), and 48-h
(48HR) post-resistance exercise. Apoptotic signaling pathways were quantified
using multiplex signaling assay kits to quantify total proteins (Caspase 3, 8, 9)
and markers of phosphorylation status (JNK, FADD, p53, BAD, Bcl-2). Changes in
markers of muscle damage and intramuscular signaling were analyzed via separate
repeated measures analysis of variance (ANOVA). Change in Bcl-2 phosphorylation
at 1H was significantly greater in PL compared to CON (P = 0.001). BAD
phosphorylation was significantly elevated at 5H in PL compared to PPB (P =
0.015) and CON (P = 0.006). The change in JNK phosphorylation was significantly
greater in PPB (P = 0.009), and PL (P = 0.017) compared to CON at 1H, while the
change for PL was elevated compared to CON at 5H (P = 0.002). A main effect was
observed (P < 0.05) at 1H, 5H, and 48H for p53 and Caspase 8, with Caspase 3 and
Caspase 9 elevated at 48H. These data indicate that chronic supplementation with
PPB alters apoptotic signaling in skeletal muscle following acute muscle-damaging
resistance exercise.
PMID- 29380960
TI - e-Inhalers.
PMID- 29380957
TI - Pilot study: an acute bout of high intensity interval exercise increases 12.5 h
GH secretion.
AB - The purpose of this study was to test the hypothesis that high-intensity interval
exercise (HIE) significantly increases growth hormone (GH) secretion to a greater
extent than moderate-intensity continuous exercise (MOD) in young women. Five
young, sedentary women (mean +/- SD; age: 22.6+/-1.3 years; BMI: 27.4+/-3.1 kg/m2
) were tested during the early follicular phase of their menstrual cycle on three
occasions. For each visit, participants reported to the laboratory at 1700 h,
exercised from 1730-1800 h, and remained in the laboratory until 0700 h the
following morning. The exercise component consisted of either 30-min of moderate
intensity continuous cycling at 50% of measured peak power (MOD), four 30-s "all
out" sprints with 4.5 min of active recovery (HIE), or a time-matched sedentary
control using a randomized, cross-over design. The overnight GH secretory profile
of each trial was determined from 10-min sampling of venous blood from 1730-0600
h, using deconvolution analysis. Deconvolution GH parameters were log transformed
prior to statistical analyses. Calculated GH AUC (0-120 min) was significantly
greater in HIE than CON (P = 0.04), but HIE was not different from MOD. Total GH
secretory rate (ng/mL/12.5 h) was significantly greater in the HIE than the CON
(P = 0.05), but MOD was not different from CON or HIE. Nocturnal GH secretion
(ng/mL/7.5 h) was not different between the three trials. For these women, in
this pilot study, a single bout of HIE was sufficient to increase 12.5 h
pulsatile GH secretion. It remains to be determined if regular HIE may contribute
to increased daily GH secretion.
PMID- 29380958
TI - A single electrical pulse within the protective zone of each cardiac cycle
prevented reperfusion-induced ventricular tachycardia in conscious mice.
AB - Early pioneering investigators discovered, in anesthetized dogs, a protective
period within the cardiac cycle. The protective period was a time within the
cardiac cycle when a precisely timed stimulus prevented the initiation of
ventricular fibrillation caused by an earlier stimulus. Thus, in addition to the
susceptible period of repolarization discussed by Wiggers and Wegria (Am. J.
Physiol. 131:296, 1940; Am. J. Physiol. 128:500, 1940), there is also a nearby
protective period. This report describes a protective period within the cardiac
cycle of conscious mice when a precisely timed stimulus prevented the initiation
of ventricular tachycardia caused by an earlier stimulus. In addition, we tested
the hypothesis that this precisely timed pulse within the protective period
prevents reperfusion-induced ventricular tachyarrhythmias in conscious mice. Mice
(n = 6) were prepared to record arterial blood pressure and the
electrocardiogram. In addition, a vascular occluder was placed around the left
main coronary artery, and stimulating electrodes were secured onto the left
ventricle. A single precisely timed electrical pulse (5 msec pulse width and 2.5
V) to the left ventricle arriving 13.9 +/- 1.1 msec after the R-wave, caused
ventricular tachycardia occurring 24.9 +/- 0.9 msec after the R-wave.
Importantly, a second precisely timed electrical pulse arriving 18.8 +/- 0.5 msec
after the first stimulus blocked the induction of ventricular tachycardia caused
by the earlier stimulus. On an alternate day, the susceptibility to sustained
ventricular tachycardia produced by 3.5 min of occlusion and reperfusion of the
coronary artery was determined in conscious mice by use of the vascular occluder.
Reperfusion resulted in ventricular tachycardia in all six mice. A precisely
timed pulse within the protective period prevented ventricular tachycardia in all
mice.
PMID- 29380961
TI - Experiences of a patient-initiated self-monitoring service in inflammatory
arthritis: A qualitative exploration.
AB - OBJECTIVES: The aim of the present study was to explore patients' experience of a
patient-initiated self-monitoring service for people with rheumatoid or psoriatic
arthritis who are on methotrexate. METHODS: The study took the form of
qualitative semi-structured interviews, embedded within a randomized controlled
trial. Twelve participants who were randomly assigned to the intervention arm
were interviewed. Interviews digitally, transcribed verbatim and analysed using
inductive thematic analysis. The study received full National Health Service
ethics approval from Camden and Islington Community Local Research Ethics
Committee (Ref. 09/H0722/91). RESULTS: Analysis revealed five key themes.
Participants described usual care as burdensome and an inefficient use of time,
particularly those in employment. Being able to self-monitor and initiate their
own care increased patients' knowledge about their illness and its treatment, and
enabled them to gain a sense of control and ownership over their arthritis. They
appreciated the personalized nature of the new service, which allowed them to be
seen at a time and by a method more appropriate to their needs. There were,
however, some concerns about fidelity to the service protocol, confusion about
the lack of consistency between symptoms and laboratory results, and anxiety
about self-monitoring. CONCLUSIONS: Overall, participants were positive about the
new service, in comparison with usual care, valuing its tailored approach and
empowering effects. Further work is needed to ensure adherence to the service
protocol and address anxieties around self-monitoring prior to any widespread
implementation of the service.
PMID- 29380962
TI - Review and action plan for oral health improvement in Sheffield special schools.
AB - A description of the process of a review of oral health improvement in special
schools in Sheffield and the implementation of an action plan for these
activities. Public health competencies encompassed: assessing the evidence on
oral health and dental interventions, programmes and services; strategic
leadership and collaborative working for health; oral health improvement.
PMID- 29380964
TI - ?
PMID- 29380963
TI - Obesity and Dental Caries in Young Children in Plymouth, United Kingdom: A
Spatial Analysis.
AB - OBJECTIVE: To examine the spatial clustering of obesity and dental caries in
young children in Plymouth, United Kingdom, to evaluate the association between
these conditions and deprivation, and explore the impact of neighbourhood-level
characteristics on their distribution. BASIC RESEARCH DESIGN: Cross-sectional
study analysing data from the National Child Measurement Programme (N=2427) and
the Local Dental Health Survey (N=1425). The association of deprivation with
weight status and caries was determined at individual and area level, using ANOVA
and Poisson models. The overall spatial clustering was assessed using a modified
version of the Global Moran's I, while clusters were located through Local
Indicators of Spatial Association. Spatial autocorrelation was assessed using the
variograms of the raw values. Log-linear Poisson models were fitted to assess the
significance of neighbourhood characteristics on overweight/obesity and caries
distribution. RESULTS: At an individual level, deprivation was not associated
with BMI z-scores but was a significant predictor of caries (p?0.05). However, at
area level, deprivation related to the rates of both conditions. A significant
positive autocorrelation was observed across neighbourhoods for caries. The
variograms suggested spatial autocorrelations up to 2.5 km and 3 km for
overweight/obesity and caries, respectively. Among several neighbourhood
characteristics, the proportion of people on benefits was found to be a
significant predictor of caries rates. CONCLUSIONS: Our results underline the
importance of considering geographic location and characteristics of the broader
environment when developing strategies to target obesity and caries.
PMID- 29380966
TI - ?
PMID- 29380965
TI - ?
PMID- 29380967
TI - ?
PMID- 29380968
TI - ?
PMID- 29380969
TI - ?
PMID- 29380970
TI - ?
PMID- 29380971
TI - ?
PMID- 29380972
TI - ?
PMID- 29380974
TI - ?
PMID- 29380973
TI - ?
PMID- 29380975
TI - ?
PMID- 29380976
TI - ?
PMID- 29380978
TI - ?
PMID- 29380977
TI - ?
PMID- 29380979
TI - ?
PMID- 29380980
TI - ?
PMID- 29380981
TI - ?
PMID- 29380982
TI - ?
PMID- 29380983
TI - ?
PMID- 29380984
TI - ?
PMID- 29380985
TI - ?
PMID- 29380986
TI - ?
PMID- 29380987
TI - ?
PMID- 29380988
TI - ?
PMID- 29380989
TI - ?
PMID- 29380990
TI - ?
PMID- 29380991
TI - ?
PMID- 29380992
TI - ?
PMID- 29380993
TI - ?
PMID- 29380994
TI - ?
PMID- 29380995
TI - ?
PMID- 29380997
TI - ?
PMID- 29380996
TI - ?
PMID- 29380998
TI - ?
PMID- 29380999
TI - ?
PMID- 29381000
TI - ?
PMID- 29381001
TI - ?
PMID- 29381002
TI - ?
PMID- 29381003
TI - ?
PMID- 29381004
TI - ?
PMID- 29381005
TI - ?
PMID- 29381006
TI - International Physical Activity Questionnaire for Adolescents (IPAQ A):
reliability of an Italian version.
AB - BACKGROUND: Recently a new version of International Physical Activity
Questionnaire was performed for adolescent (IPAQ-A), but an Italian version lacks
yet. The aim of the study is to examine the test-retest reliability of an Italian
version of short IPAQ-A. METHODS: A sample of 10-18-year-old children and
adolescents was considered. The IPAQ questionnaires were administered twice, the
second time two days after the first administration. Test-retest reliability and
internal reliability of the questionnaire were determined using ICC and
Cronbach's alpha, respectively. RESULTS: 277 questionnaires were collected. The
response rate was 97% but 57% of the sample completed all the items in the
questionnaire. 43% of the students have not completed all the items and its age
distribution shown that 93.5% was in 10-13 years old. Overall internal
reliability (Cronbach's alpha=0.84) and test-retest reliability for 16 out of 23
items (ICC>0.70) were high. CONCLUSIONS: The study presents missing and
unreasonably values. However the study underlines pros and cons of the IPAQ-A:
high reliability and feasibility to measure the physical activity especially in
adolescent aged 14-18 years; the IPAQ-A needs of attention and it hasn't to leave
it to the self-compilation but give support especially in the youngers.
PMID- 29381007
TI - Acute kidney injury in preterm neonates with <= 30 weeks of gestational age and
its risk factors.
AB - BACKGROUND: Acute kidney injury (AKI), an abrupt decline in kidney function, is a
challenging diagnosis among preterm infants due to some specific features of this
population. The aim of this study was to determine the risk factors of developing
AKI and the predictive factors for its severity in preterm neonates with less
than 31 weeks of gestational age. METHODS: All neonates with less than 31 weeks
of gestational age, admitted in our NICU between January 2012 and December 2015,
were included. Maternal and neonatal records about demographics, placental
abnormalities, perinatal and neonatal period and evolution in NICU, as well as
electrolytic analysis and serum creatinine and urea values during their
hospitalization were retrospectively collected and analyzed. RESULTS: A total of
106 neonates were included. Of those, 24 were diagnosed with AKI, resulting in a
prevalence of 22.6%, and 82 were used as controls. Gestational age (OR=0.39; 95%
CI=0.2-0.76; p=0.006), congenital malformations (OR=36.93; 95%CI=2.48-550.59;
p=0.009), vasoactive drugs (OR=27.06; 95%CI=3.58-204.45; p=0.001), nonsteroidal
anti-inflammatory drugs (OR=9.61; 95%CI=1.78-51.73; p=0.008) and sepsis (OR=7.78;
95%CI=1.32-46.04; p=0.024) were found to be independent risk factors. Cardiac
surgery was a predictive factor for AKI severity (OR=25; 95%CI=2.09-298.29;
p=0.011). The mortality rate in the AKI group was 41.7%. CONCLUSIONS: AKI in
preterm neonates is an important feature that contributes to increase the
mortality in NICUs. Thus, it is crucial to know its risk factors to establish
prompt diagnosis and prevention and, in this way, be able to improve the
prognosis.
PMID- 29381008
TI - Run versus cardiorespiratory endurance- not the same issue?
AB - BACKGROUND: Obesity is considered as one of the main chronic, non-communicable
diseases, which has become a global epidemic according to the World Health
Organization. The present study aim is a detailed analysis of the endurance and
physical fitness of boys at the age of 10-11-12 years depending on their body
weight. METHODS: The study group included the total of 71 boys aged 10-12 years,
who were divided according to the BMI value. All of the boys were subject to the
cardiorespiratory endurance assessment using the test by Ruffier and the Cooper's
endurance run. RESULTS: The Cooper and Ruffier test results in the study group
according to BMI showed that endurance and physical fitness in obese boys was the
poorest. Physical fitness was equally poor in the other subjects, although the
level of endurance assessed with the Cooper test was good. CONCLUSIONS:
Regardless of age, the results of physical fitness were much worse than those of
endurance in all boys. Even the majority of boys with normal body weight showed
average results in the endurance test, and bad ones in the physical fitness test.
Obese subjects showed worse results in case of both of these parameters.
PMID- 29381009
TI - MW polyomavirus in diarrheal Italian infants.
AB - BACKGROUND: MXPyV, like MWPyV, was identified in stool samples from children
suffering diarrhea in Mexico. In this study, we used a home-made real time PCR to
investigate the presence of this novel viruses in stool specimen collected from
under-Five- Year-Old Children with gastroenteritis. METHODS: A total of 192 fecal
specimens previously screened for RV, ADV, NoV, HPeV and SaV, were tested for
MWPyV with Taqman real time PCR. RESULTS: The most commonly detected virus was
NoV GII (33.8%), followed by RV (21.3%), SaV (10.9%), HPeV(8%), NoV GI (6.7%) and
AdV (1%). Real time PCR detected MWPyV in 1/192 (0.5%) patients. CONCLUSIONS: We
detected MWPyV in 0.5% of fecal specimens collected from pediatric patients
suffering gastroenteritis which is smaller than the previously reported in
literature (4.4% in Australia and 12% Mexico).
PMID- 29381010
TI - Identification of crucial genes of pediatric inflammatory bowel disease in
remission by protein-protein interaction network and module analyses.
AB - BACKGROUND: Although the main treatments of inflammatory bowel disease (IBD) aim
at the induction and maintenance of clinical remission, several studies have
demonstrated inflammation still present in clinical remission. The goal of this
study was to analyze the gene expression profiles between the pediatric IBD and
control samples, aiming to further investigate the underlying therapeutic target
in remission. METHODS: Gene expression profiles data of GSE33943 were downloaded
from Gene Expression Omnibus, which included 45 pediatric IBD samples and 13
control samples. The differentially expressed genes (DEGs) between IBD and
control samples were identified by LIMMA package in R and the function of DEGs
were predicted by Gene Ontology and KEGG pathway enrichment analyses using
GeneAnswer package. Furthermore, a protein-protein interaction (PPI) network was
constructed through the STRING database and functional module was obtained using
ClusterONE. RESULTS: A total of 224 DEGs were screened between IBD and control
samples. These DEGs (e.g. up-regulated FAS and down-regulated CCL5) were mainly
enriched in cytokine-cytokine receptor interaction and chemokine signaling
pathway. In addition, some hub genes (e.g. up-regulated PSMA2 and PSMA6) were
obtained through PPI network and functional module. These two genes were involved
in Proteasome alpha-subunit and conserved site by functional module analysis.
CONCLUSIONS: The immune and Proteasome mechanisms are still active during
remission and FAS, PMSF6 and PMSF2 may be underlying targets for therapy of this
disease.
PMID- 29381011
TI - Inflammasome function in monocyte subsets and a risk of late-onset sepsis in
preterm very low birth weight neonates.
AB - BACKGROUND: Immature immune systems predispose very low birth weight (VLBW)
neonates to systemic infections in early life. Defective inflammasome function
may increase a neonate's susceptibility to late-onset sepsis (LOS). METHODS:
Blood samples were taken on the 5th day of life (DOL) for all VLBW neonates (non
LOS and before-LOS groups; n=76), and within 24 hours of sepsis onset (LOS group;
n=39). Monocyte (MO) subsets and intracellular interleukin-1beta (IL-1beta)
expression were analysed using flow cytometry. Inflammasome function, defined as
level of IL-1beta and interleukin-18 (IL-18) was measured with enzyme-linked
immunosorbent assay. IRA B cells were reported as a fraction of all B cells.
RESULTS: Stimulation of classical MO in non-LOS cells demonstrated a higher
expression of intracellular IL-1beta in comparison to MO from before-LOS group.
Serum from the LOS group revealed a higher level of IL-18. Stimulation of
mononuclear cultures from samples taken during LOS resulted in significantly
increased supernatant level of IL-1beta and IL-18 in comparison to samples taken
on 5th DOL. No changes in the levels of IRA B cells were detected with the onset
of sepsis. CONCLUSIONS: We did not observe a difference in the functioning of the
inflammasome within monocytes taken on 5th DOL from premature VLBW neonates.
Furthermore, there was no observable change in the IRA B cells of the septic and
non-septic groups. The decreased expression of intracellular IL-1beta within
classical MO of the before-LOS group may be an independent risk factor for LOS
development.
PMID- 29381012
TI - Does an increase in vitamin D concentrations have a clear positive significance
in reducing the SCORing atopic dermatitis scores in children with atopic
dermatitis?
PMID- 29381013
TI - Forgotten children in parked vehicles: a review of Italian fatalities.
AB - BACKGROUND: In recent years, Italy has reported the deaths of children who have
died of hyperthermia after having been left inadvertently in a car seat. Although
very rare, these preventable deaths have dramatic and longlasting consequences
for families, communities and public opinion. The aim of the paper is to review
the available data on the deaths of children in vehicles by heatstroke in Italy
and to discuss how to promote strategies aimed at avoiding these preventable
fatalities. METHODS: We carried out a search using the website research tool for
the five most widelyread Italian newspapers and an internet search on Google and
Yahoo's Italian websites, to identify lethal cases of children left
unintentionally in a parked motor vehicle. RESULTS: We identified eight deaths,
occurring between 1998 and 2017 in Italy. All incidents occurred in the period
between May and July when the mean daily external temperature was between 16 and
27.5 degrees C. Five girls and three boys between 11 and 24 months in age were
involved, and in all cases the caregiver concerned was a parent. CONCLUSIONS: The
Public Health community must vigorously promote research by auto manufacturers to
develop technological solutions such as visual and audio warning systems aimed at
reducing the possibility that a child be inadvertently left inside a parked
vehicle.
PMID- 29381014
TI - [The influence of diets on metabolic processes associated with sirtuin1].
AB - Over the last decade the investigations of the sirtuin protein family have become
one of the research priorities. It is connected with the fact that sirtuins play
an important role as regulators of cell homeostasis in mammals. Sirtuins can
regulate metabolism by the influence on some processes in CNS, liver, pancreas,
musles, adipose tissue. It emphasizes the importance of sirtuins in the
development of heart diseases, cancer, metabolic syndrome, neurodegenerative and
some other diseases. Stress factors in particular calorie restriction alter
sirtuins activity, that leads to some significant alterations of intracellular
processes: activation of reparation processes, increase of DNA stability,
elevation of metabolic rate and the lifespan of cells. In this review, we focus
our attention on the influence of calorie restriction on metabolic alterations
associated with regulatory role of sirtuin1. Sirtuin1 plays a leading role in
regulation of cell homeostasis by controlling some important processes, such as
gene transcription, cell differentiation, stress reaction, inflammation,
apoptosis, circadian rhythms and life expectancy. We touch briefly on the
connection between some alterations of diet and the development of stress
reaction and inflammation. In the review the metabolic alterations in liver,
pancreas, adipose tissue and central regulatory role of sirtuinl in hypothalamic
pituitary-adrenal axis connected with calorie restriction are discussed. Sirtuin1
can be a messenger of some effects of calorie restriction on organism, acting as
a cell energy sensor. Thus, sirtuinl plays a central role in control and
modulation of metabolic processes under alterations of diet. Having been one of
the most important regulator of homeostasis, sirtuinl can be a key element of
regulation. The influence on this element gives the opportunities of regulation
of metabolism, calorie restriction effects and creation of new pathogenical
methods of treatment.
PMID- 29381015
TI - [Streptozotocin induced diabetes rat models].
AB - The introduction of chemical compounds in diabetes modeling can't adequately
reflect the development of the disease. However, the choice of an experimental
model of diabetes type 1 or 2 is largely determined by the purpose of the
research: testing of pharmacological activity, genetic research or clarifying the
mechanisms of disease development. The high cost of respective genetic lines of
laboratory animals, the complexity of reproduction of the model, the special
conditions of care and a high degree of inbreeding determine the necessity for
the development, testing, and improvement of non-genetic models. The most widely
used chemical models of type 1 diabetes in modern experimental diabetology are
alloxan models and of type 2 or mixed type diabetes are streptozotocin models.
Sensitivity to the introduction of the diabetogenic compounds can essentially
depend on the species, but also on animal genetic line and its age. The results
of studies in which the injection of streptozotocin (STZ) to laboratory animals
(mice and rats) simulated type 2 diabetes are shown. It is noted thatpre
treatment with nicotinamide can simulate the state more appropriate to type 2
diabetes. Taking into account the leading role of abdominal obesity as a risk
factor for type 2 diabetes, considerable attention in the article is paid to the
modeling of type 2 diabetes by STZ-injection and high-fat diet. As alternative
models of a type 2 diabetes in rodents also induce by streptozotocin injection
and high fructose diet. The combined effect of low dose STZ and high fructose
diet allows in relatively short period induce the development of type 2 diabetes
in rats. It is concluded that the modeling of diabetes by STZ injection are
widely demand for the optimization screening of biologically active substances
with antidiabetic action in experiments in vivo and is a step before their
clinical trials in the composition of specialized preventive and therapeutic
products.
PMID- 29381016
TI - [Functional food in pregnancy].
PMID- 29381017
TI - [The investigation the combined effect of SNP rs9939609 (gene FTO) and rs4994
(gene ADRB3) polymorphisms on risk of obesity].
AB - The genetic factor plays a significant role in the development of obesity, by
present time the association of hundreds genetic polymorphisms with the risk of
this disease is established. However, the combined influence of genetic
polymorphisms remains practically unstudied. We aimed to investigate the combined
effect SNP rs9939609 (gene FTO) and rs4994 (gene ADRB3) polymorphisms on risk of
obesity. A case-control study was conducted, including255 obese case (BMI>30
kg/m2) and 427 non obese controls (BMI<30 kg/m2). Genotyping was performed using
allele-specific amplification, detection results in real time using TaqMan-probes
complementary DNA polymorphic sites. It has been shown, that presence of one
mutant allele of rs9939609 (gene FTO) and rs4994 (gene ADRB3) leads to
statistically significant association with obesity. Presence of two mutant
alleles in different polymorphic variants increases risk of obesity by 15%,
presence of three mutant alleles - by 2.63 fold. The quantity surveyed, suffering
obesity, increased depending on the number of mutant alleles in studied genetic
polymorphisms. Presence of one or two mutant alleles in one polymorphic variant
increased the number of patients with obesity by 13.4%, presence of two or three
mutant alleles in different polymorphic variants -by 18-19%.
PMID- 29381018
TI - Prognostic value of preoperative systemic inflammation markers in localized upper
tract urothelial cell carcinoma: a large, multicenter cohort analysis.
AB - BACKGROUND: The aim of this study was to investigate the prognostic value of
preoperative systemic inflammation markers in upper tract urothelial carcinoma
(UTUC). METHODS: A total of 1137 patients who underwent radical
nephroureterectomy with bladder cuff excision at 9 institutions from 2004 to
2015, were retrospectively reviewed. The Glasgow Prognostic Score (GPS), modified
GPS (mGPS), neutrophil-to-lymphocyte ratio (NLR), and platelet-to-lymphocyte
ratio (PLR) for each patient were calculated. Univariable and multivariable
analysis was performed using the Cox proportional hazards regression model. Cut
off values for NLR and PLR were calculated using a receiver operating
characteristic curve. RESULTS: The median follow-up period was 39.1
(interquartile range: 18.3-63.8) months. Univariable analysis revealed that GPS,
mGPS, PLR, and NLR (all, P=0.001) were significantly associated with both
recurrence-free survival (RFS) and cancer-specific survival (CSS). Multivariable
analysis revealed that GPS (P=0.001), PLR (hazards ratio [HR] =1.32; 95% CI: 1.08
1.62, P=0.007 and HR =1.87; 95% CI: 1.21-2.92, P=0.005), NLR (HR =1.38; 95% CI:
1.12-1.69, P=0.003 and HR =1.70; 95% CI: 1.10-2.62, P=0.017) were significantly
associated with RFS and CSS. CONCLUSIONS: Our results suggest that preoperative
systemic inflammation markers such as GPS, PLR, and NLR are independent
prognostic factors in patients with UTUC after surgery.
PMID- 29381019
TI - Bidirectional barbed suture for posterior musculofascial reconstruction and
knotless vesicourethral anastomosis during robot-assisted radical prostatectomy.
AB - BACKGROUND: The aim of the work is to describe an original technique of posterior
musculofascial reconstruction (PMFR) during robot-assisted radical prostatectomy
(RARP). METHODS: From January 2015 to June 2016, 121 consecutives patients
underwent RARP and were submitted to a novel technique of PMFR, using a single
3/0 barbed bidirectional (Filblock(r), Assut Europe) suture. The first step of
this new technique of PMFR, is to approximate the posterior layer of
Denonvilliers fascia (DF) to the posterior part of the sphincteric apparatus.
Then, the second step consists in the anastomosis of the posterior blabber neck
edge with the posterior urethra edge. We realize the completion of anastomosis
clockwise from 7 to 12 o'clock and anticlockwise from 5 to 12 o'clock. RESULTS:
No leakage of anastomosis was observed and the catheter was removed in the 5th
day after surgery. After catheter removal, the urinary recovery of early
continence at 3 days was 45% and at 7 days was 75%, while the urinary continence
recovery at 1 month was 88% and at 3 months was 94%. CONCLUSIONS: In our
experience this novel approach results feasible in all patient, without extending
the operation time and gives a good safety in terms of reduction of bleeding and
leakage with shorter urinary continence recovery's time. The aim of the
combination of the PMFR and the vesicourethral anastomosis with one bidirectional
barbed suture is to have all the advantages of both techniques plus the stability
of a single running suture.
PMID- 29381020
TI - The role of surgery in the management of metastatic kidney cancer: an evidence
based collaborative review.
AB - The current manuscript aims to provide an up to date evidence based analysis on
the status of surgery in the metastatic renal cell carcinoma setting. It includes
the role of surgery in the primary tumor as well as recent perspective on the
surgical management of metastatic disease.
PMID- 29381021
TI - Aspirin and aggressive prostate cancer in African-American men: only a matter of
survivin?
PMID- 29381022
TI - [Nutritional status of patients with different types of gastroesophageal reflux
disease].
AB - The aim of the study was to assess dietary intake in patients with erosive (ERD)
and non-erosive reflux disease (NERD). One hundred and sixty seven patients (103
women and 64 men, mean age 47+/-13,6 years) were divided according to symptoms
and endoscopic findings into three groups: 88 patients with NERD, 38 patients
with ERD and 41 healthy controls. Symptoms were scored by validated GERDQ
questionnaire, dietary intake was assessed by validated food questionnaire and 24
h pH/impedance monitoring was used for confirmation of pathological reflux. All
groups were homogenous by age and sex, both NERD and ERD patients demonstrated
higher BMI (28.1+/-6.5 vs 28.4+/-6.1 kg/m2) in compare to the patients from
control group (24.3+/-2.8 kg/m2). Average daily calorie intake was higher in
patients with NERD and ERD (2579+/-854 vs 2467+/-710 kcal/day) in compare to the
control group (2093+/-696 kcal/day) due to increased consumption of fat (121+/-52
vs 112+/-52 g/day) and carbohydrates (244+/-88 vs 216+/-64 g/day) consequently.
Consumption of dietary fiber was low in all groups in compare to recommended
daily allowance, but even lower in NERD and ERD patients in compare to control
(6.0+/-3.8 g/day vs 5.2+/-4.8 g/day vs 9.45+/-5.6 g/day). There was direct
correlation between gastroesophageal reflux disease (GERD) and average energy
consumption (R=0.23, p<0.05) and daily total fat consumption (R=0.21, p<0.05),
but inverse correlation with consumption of dietary fiber (R=-0.23, p<0.05).
Consumption of alcohol was higher in NERD patients in compare with ERD patients
and control group (1.2+/-4.9 vs 0.2+/-2.6 vs 0+/-0.87 g/day), but generally it
was low. Total daily number of refluxes as well as number of acid refluxes were
highly correlated with daily consumption of energy (R=0.35, p<0.05; R=0.35,
p<0.05), fat (R=0.33, p<0.05; R=0.32, p<0.05) and protein (R=0.3, p<0.05; R=0.25,
p<0.05), however inverse correlation was found between total number of refluxes
and consumption of fibers (R=-0.22, p<0.05). There was no correlation between
consumption of carbohydrates or alcohol and total number of refluxes or acid
refluxes. In conclusion, GERD is associated with higher BMI, increased
consumption of calories and fat and low consumption of dietary fiber. Total
number of refluxes is related to consumption of fat and fibers. No association
with consumption of carbohydrates or alcohol was found. These findings need to be
taken in account for prescription of diet to GERD patients.
PMID- 29381023
TI - [Promising source of micronutrients for specialized foods with modified
carbohydrate profile: traditional medicine experience].
AB - Worldwide experience of Traditional medicine (TM) has been successfully applied
to the development of modern standardized herbal medicines. Mainly researchers
are guided by local sources of medicinal plants and traditional medical systems.
TM experience is also used in the search of plants considered as sources of
biologically active substances (BAS) and food ingredients. The steady increase in
the incidence of type 2 diabetes, makes clear the need for research of domestic
plant sources of BAS (with a proven carbohydrate metabolism effect) to create
modern specialized foods. This article proves the feasibility of using TM
experience of Russia and some neighboring European countries (Belarus, Ukraine)
to develop optimized compositions for specialized food products for patients with
type 2 diabetes. For reliable identification of the most promising plants, 550
traditional antidiabetic herbal formulations of 66 traditional recipe directories
were studied in Russia, Belarus and Ukraine. It revealed 37 species of plants
included to more than 20% of all bibliographical sources, and 13 plants included
to more than 50% of prescription directories. The 3 most popular are bilberry
leaves, leaffruit of common bean, great nettle leaves.
PMID- 29381024
TI - [Vitamin status of citizens from Moscow Region].
AB - Evaluation of vitamin status in healthy individuals (68 men and 70 women) aged
from 18 to 60 years (median - 37 years), residents of Moscow and the Moscow
region has been performed by means of determination of vitamin C, A, E, B2, B12
and folic acid level in blood serum. The nutrition was investigated by
questionnaire method on frequency of food consumption. Both diet of men and women
had excessive fat content (41.7 and 42.7% of total calories), saturated fatty
acids (14.1 and 13.6%), added sugars (11.1 and 11.0%), sodium, and had lack of
dietary fiber (2.5-fold reduced level comparing with RDA). Daily intake of
vitamin B1 was 1.37+/-0.04 mg for men and 1.06+/-0.07 mg for women, vitamin B2 -
respectively 1.72+/-0.06 and 1.62+/-0.07 mg, niacin - 18.5+/-0.72 and 14.8+/-0.88
mg and did not reach the optimal level. All persons were sufficiently supplied
with vitamins A, C, E and B12: mean and median of blood serum level of retinol,
tocopherols, ascorbic acid and cobalamins were in the range of optimum values.
The lack of vitamins A and B12 has not been found in any person. The frequency of
vitamin C and E insufficiency was insignificant and amounted to 2 and 8%
respectively. The lack of vitamin B2, and beta-carotene was most pronounced and
took place in about a half of individuals. Only 34% of healthy people of working
age were sufficiently supplied with all vitamins. A combined lack of two vitamins
was detected in 26%, of three vitamins - in 8%. Women were better supplied with
riboflavin and beta-carotene. The blood serum level of beta-carotene and vitamin
E was significantly higher in individuals older than 30 years compared with
persons of younger age. Individuals with overweight or obesity were worse
supplied with beta-carotene and folate. A negative correlation was detected
between the levels of serum folate and homocysteine concentration (r=-0.262,
p<0.05). A positive correlation has been revealed between the concentration of
folic acid and the level of HDL-C (r=0.356, p<0.01), and iron (r=0.378, p<0.05).
PMID- 29381025
TI - [Assessment of the using effectiveness of iodine containing additives in
development of meat products for child nutrition].
AB - The effectiveness of iodine containing additives on the basis of whey protein and
milk protein casein compared to iodized salt in the composition of meat minced
semi-finished products for child nutrition was examined in the experiment on
laboratory animals. Four variants of the semi-finished products were
investigated: 1 - control; 2 - enriched with iodine containing milk protein
casein; 3 - enriched with iodine containing whey proteins; 4 - enriched with
iodized salt. The semi-finished products were enriched at the level of 15% of the
daily norm of iodine requirement for children at the age of 7-12 years. Iodine
content in 100 g of product was 20 MUkg. Rats (initial body weight 140+/-20 g,
n=80) were divided into five groups (control, intact and three experimental
groups). Groups 1 and 5 included the animals fed with a standard vivarium diet
throughout the experiment. The rats from groups 2-4 were fed with the iodine
enriched diet: group 2 received diet containing semi-finished products No. 2;
group 3 sample No. 3 and group 4 - sample No. 4. The first stage of the
experiment was aimed at accumulation of iodine in tissues and organs of animals
consumed the tested iodine containing additives in the composition of semi
finished products. The second stage of the experiment consisted in simulation of
the mercazolilum-induced (50 mg/kg b.w.) hypothyroidism (iodine deficiency) and
detection of preventive effects of iodine containing meat semi-finished products
in a model of experimental hypothyroidism in rats. The data obtained upon the end
of the experiment suggest that the highest effect for correction of iodine
deficiency was achieved when using the culinary products enriched with iodine
containing whey proteins (sample No. 3): the level of thyroxine (T4) was restored
by 98.7% in the animals from group 3 compared to the indices of the intact group,
T3 by 100%, TSH - by 89.3%. This effect was confirmed by the hematological and
biochemical blood indexes, as well as the dynamics of their weight change: the
level of white blood cells was significantly lower by 28%, granulocytes by 44%,
monocytes by 42% compared to control rats; the weight gain of the animals of the
3 group was 20.3%, closer to that of intact animals - 26.4%, while in the control
group it was 2.6 %.
PMID- 29381026
TI - Tumor-Microenvironment Relaxivity-Changeable Gd-Loaded Poly(L
lysine)/Carboxymethyl Chitosan Nanoparticles as Cancer-Recognizable Magnetic
Resonance Imaging Contrast Agents.
AB - Magnetic resonance imaging (MRI) contrast agents with tumor-microenvironment
changeable relaxivity are effective to increase the sensitivity and selectivity
of MRI in tumor diagnosis. In this study, pH-sensitive Gd-loaded Poly(L-lysine)/
Carboxymethyl Chitosan Nanoparticles (Gd-PCNPs) were developed as relaxivity
changeable MRI contrast agents based on the "on-off" switchable strategy. The "on
off" switchable nano-contrast agents were capable of releasing Gd3+ in response
to physical stimulation, with structure transformed. Gd-PCNPs could responsively
disassemble in an acidic tumor-microenvironment and increase the exchange of
protons between water molecules and Gd3+ ions, thus selectively enhance the
relaxivity in tumor area. Gd-PCNPs were self-assembled via electrostatic
interaction between poly(L-lysine)-diethylenetriamine pentaacetic acid-gadolinium
and pH-sensitive carboxymethyl chitosan (CMCS). Gd-PCNPs exhibited spherical
shape with uniform particle size distribution (166.00 +/- 1 .71 nm) and negative
zeta potential (-13.2 +/- 4.7 mV). The relaxivity of Gd-PCNPs increased from
6.618 mM-1 . s-1 to 10.008 mM-1 . s-1 when the pH values decrease from 7.4 to
6.0, which was higher than Magnevist(r) (3.924 mM-1 . s-1 at both pH 7.4 and 6.0
(p <0 05). The changeable relaxivity of Gd/PCNPs would result in enhanced
tumor/normal tissue signal contrast, which was verified by in vivo MRI test. In
vivo MRI test showed that the signal of Gd-PCNPs was significantly enhanced with
prolonged imaging time in tumor tissue compared to Magnevist(r) (p <0 05).
Furthermore, Gd-PCNPs exhibited unobvious in vitro cytotoxicity under the
experimental concentrations in B16 cells. No obvious damage was observed in the
different tissues of mice. These results indicated that the relaxivity-changeable
Gd-PCNPs exhibited demonstrated sensitivity and selectivity in tumor diagnosis
with a great potential as a novel MRI contrast agent.
PMID- 29381027
TI - Magnolol Nanoparticles Exhibit Improved Water Solubility and Suppress TNF-alpha
Induced VCAM-1 Expression in Endothelial Cells.
AB - The expression of the adhesion molecule vascular cell adhesion molecule-1 (VCAM
1) on endothelial cells enables the attachment of leukocytes to the endothelium,
which may lead to inflammation and the development of atherosclerosis. Magnolol
is a major bioactive compound derived from the plant species Magnolia
officinalis. In this study, we synthesized a novel nanoparticle formulation of
magnolol to improve its water solubility and physicochemical properties,
evaluated its effects on TNF-alpha-induced VCAM-1 expression in endothelial
cells, and determined the signal transduction pathways involved. Our findings
demonstrated that the magnolol nanoparticle system showed great improvements in
physicochemical properties and water solubility owing to a reduction in particle
size, transformation from a crystalline to amorphous structure, and the formation
of hydrogen bonds with the nanoparticle carriers. In terms of its biological
actions, magnolol nanoparticles attenuated TNF-alpha-induced VCAM-1 protein
expression, promoter activity, and mRNA expression in endothelial cells in vitro.
This was found to be mediated by the ERK, AKT, and NF-kappaB signaling pathways.
In addition, magnolol nanoparticles inhibited TNF-alpha-induced leukocyte
adhesion to endothelial cells, and suppressed TNF-alpha-induced VCAM-1 expression
in the aortic endothelium of mice. In summary, since magnolol nanoparticles
inhibit endothelial VCAM-1 expression and leukocyte adhesion to endothelial
cells, this novel drug formulation may be a potentially useful therapeutic
formulation to prevent the development of atherosclerosis and inflammatory
diseases.
PMID- 29381028
TI - Current evidence for the safety and efficacy of the bio-engineered dual therapy
COMBO stent.
AB - The novel dual-therapy COMBO stent aims to promote vessel healing after
percutaneous coronary intervention (PCI) in patients with coronary artery
disease. The pro-healing technique consists of an anti-CD34+ antibody layer that
attracts circulating endothelial progenitor cells (EPCs), which bind to the stent
surface and allow rapid endothelialization by differentiation of the EPCs into
normal endothelial cells. The COMBO stent combines this pro-healing technique
with an abluminal drug elution of sirolimus. The promise of this dual-therapy
stent is that it may safely allow a shortened duration of dual-antiplatelet
therapy (DAPT) after stent placement. Moreover, with a mature endothelial layer,
lower rates of in-stent restenosis may be expected. Clinical outcomes after COMBO
stent implantation have been recently evaluated in both randomized trials and
large, prospective, multicenter registries, showing low clinical event rates of
in-stent restenosis and stent thrombosis. Randomized clinical trials (HARMONEE
and RECOVERY) have demonstrated the non-inferiority of COMBO versus "first in
class" second generation and newer generation drug-eluting stents. Safety and
efficacy of 3 months of DAPT after COMBO stent placement in patients presenting
with acute coronary syndrome has been evaluated in the large REDUCE randomized
controlled trial, showing non-inferiority to standard duration of 12-month DAPT.
In this review we provide an overview of the current pre-clinical and clinical
evidence for the performance of the COMBO stent.
PMID- 29381031
TI - A Medicare appeal for CGM coverage: one patient's never-ending story.
AB - The author, who has lived with type 1 diabetes for more than 50 years, shares his
account of a successful appeal of Medicare's policy of refusing to pay for
continuous glucose monitoring (CGM).
PMID- 29381029
TI - Efficient Direct Lineage Reprogramming of Fibroblasts into Induced Cardiomyocytes
Using Nanotopographical Cues.
AB - Induced cardiomyocytes (iCMs) generated via direct lineage reprogramming offer a
novel therapeutic target for the study and treatment of cardiac diseases.
However, the efficiency of iCM generation is significantly low for therapeutic
applications. Here, we show an efficient direct conversion of somatic fibroblasts
into iCMs using nanotopographic cues. Compared with flat substrates, the direct
conversion of fibroblasts into iCMs on nanopatterned substrates resulted in a
dramatic increase in the reprogramming efficiency and maturation of iCM
phenotypes. Additionally, enhanced reprogramming by substrate nanotopography was
due to changes in the activation of focal adhesion kinase and specific histone
modifications. Taken together, these results suggest that nanotopographic cues
can serve as an efficient stimulant for direct lineage reprogramming into iCMs.
PMID- 29381032
TI - Diabetes and Medicare competitive bidding: the "perfect storm" for patient harm.
AB - A recent Diabetes Care study found flaws in Medicare's competitive bidding
program for diabetes test strips. Two of that study's co-authors discuss the
findings and why CMS should suspend the bidding program.
PMID- 29381030
TI - Cationic Polyarginine Conjugated Mesoporous Bioactive Glass Nanoparticles with
Polyglycerol Coating for Efficient DNA Delivery.
AB - Mesoporous bioactive glass (MBG) is a type of material with high biological
activity and excellent biocompatibility. Because of its high specific surface
area and adjustable surface morphology, MBG is usable for loading and delivering
molecules. In our previous report, MBG particles were used as gene vectors and
showed good transfection rate. In this paper, MBG, prepared through a sacrificial
liquid template method in sol-gel process, was covered with polyglycerol (PG) and
the resulting MBG-PG was further functionalized with octaarginine (Arg8. More
specifically, MBG-PG-Arg8 particles were synthesized by PG functionalization of
MBG through ring-opening polymerization of glycidol on the MBG surface, followed
by multistep organic transformations (-OH-> -OTs (tosylate)-> -N3 in the PG layer
and click conjugation of the Arg8 terminated with propargyl glycine. MBG-PG-Arg8
was successfully taken up by cells more efficiently due to the cellpenetrating
property of Arg8, and thus showed higher plasmid DNA loading and cell
transfection efficiency than MBG modified with amino groups. This novel arginine
functionalized MBG may be a good candidate as a vector for gene delivery with
higher efficiency.
PMID- 29381033
TI - Quality measure improvement strategies for elderly patients with diabetes.
AB - The authors discuss a simple strategy for payers to ensure more patients with
type 2 diabetes achieve control of A1C.
PMID- 29381034
TI - Florida Blue program focuses on weight loss - and fun - to prevent type 2
diabetes in older adults.
AB - How does the largest payer in a state with a large senior population respond to
the rising need for diabetes care and prevention?
PMID- 29381035
TI - History lessons in innovation: digital behavioral medicine can address the
diabetes "double epidemic" facing Medicare and America's seniors.
AB - Now that Medicare is poised to pay for the Diabetes Prevention Program, the next
question is how to make it scalable.
PMID- 29381036
TI - Comprehensive medication management services: benefits for seniors with diabetes.
AB - Authors from the University of Minnesota College of Pharmacy highlight the role
that pharmacists can play in care optimization for seniors with chronic
conditions.
PMID- 29381037
TI - Technology, seniors, and sense making.
AB - As primary care physicians and leaders of Wellframe, a mobile health company
working with payers and physicians groups to extend care between visits for
patients with complex comorbidities, Drs Panch and Goodman discuss their
experiences building a mobile application used by elderly patients to communicate
with clinicians and manage chronic disease.
PMID- 29381038
TI - Widespread adoption of evidence-based practices is essential for a growing
Medicare population.
AB - When providers move from employing traditional practices to new methods that are
steeped in evidence, this benefits patient health. The result is higher-quality,
more affordable care, often stemming from lower rates of hospital infections,
readmissions, and, in general, improved outcomes.
PMID- 29381039
TI - Foot and Ankle Conditions: Foreword.
PMID- 29381040
TI - Foot and Ankle Conditions: Plantar Fasciitis.
AB - Plantar fasciitis is the most common cause of heel pain in adults. It involves
painful symptoms occurring along the plantar fascia with or without the presence
of a bony heel spur. Heel pain that occurs on standing after a prolonged non
weight-bearing period is a prominent symptom of plantar fasciitis. On physical
examination, palpation along the medial plantar calcaneal region reproduces the
painful symptoms. Routine imaging studies usually are not necessary but can be
used to rule out pathologies or confirm chronic or recalcitrant plantar
fasciitis. The presence of a heel spur on x-ray is not thought to be an
underlying cause of symptoms and indicates the condition has been present for at
least 6 to 12 months. Conservative therapies such as rest, ice massage,
nonsteroidal anti-inflammatory drugs, specific plantar fascia stretching
exercises, and orthoses are the preferred initial treatments. Injection therapies
using a corticosteroid or platelet-rich plasma typically provide short-term
relief. If conservative treatment is ineffective, extracorporeal shock wave
therapy and surgery may be considered.
PMID- 29381041
TI - Foot and Ankle Conditions: Pes Planus.
AB - Pes planus or pes planovalgus (ie, flatfoot) is a common condition among young
children and also is encountered in adults. In children, congenital pes planus
typically resolves with age as the foot musculature strengthens. Flexible pes
planus is defined as a normal arch during non-weight-bearing activity or
tiptoeing, with a flattening arch on standing. In rigid pes planus, the arch
remains stiff and collapsed with or without weight bearing. Patients with rigid
pes planus should be referred for subspecialist treatment. Patients with flexible
pes planus, in the absence of signs of rheumatologic, neuromuscular, genetic, or
collagen conditions, should be treated conservatively. Asymptomatic children
should be monitored and maintenance of a healthy weight should be encouraged.
Surgical intervention for refractory symptomatic pediatric pes planus may be
considered but there is little evidence to support it. Several etiologies of
acquired pes planus in adults have been identified. The most common is posterior
tibial tendon dysfunction. Clinical and x-ray evaluation can assist in staging
the condition and guiding treatment decisions.
PMID- 29381042
TI - Foot and Ankle Conditions: Chronic Lateral Ankle Pain.
AB - Chronic ankle pain is relatively common in family medicine. Sequelae from lateral
ankle sprains are the most common cause. Other etiologies include peroneal
tendinopathy or subluxation, osteochondral injury, lateral ankle impingement,
sinus tarsi syndrome, cuboid syndrome, bony stress injury, and other unusual
factors. A thorough history focusing on the mechanism of injury (if traumatic)
and the nature of the pain along with a targeted physical examination typically
will provide the information needed to make the diagnosis. Imaging might be
necessary for diagnosis or confirmation of the diagnosis. Early functional
bracing, physical therapy for strengthening, and proprioceptive exercises are the
preferred treatments for most patients. Daily pain drugs or full immobilization
devices rarely are necessary.
PMID- 29381043
TI - Foot and Ankle Conditions: Midfoot and Forefoot Conditions.
AB - The midfoot and forefoot are the regions of the foot distal to the talus and
calcaneus and are critical to weight bearing and movement. They help support the
arch of the foot, provide shock absorption, and convert vertically oriented
forces into horizontal forward and propulsive movement. A spectrum of acute,
subacute, and chronic conditions in these regions can cause pain and decreased
function. A thorough history and physical examination should include foot and leg
biomechanics, alignment, and posture in addition to palpation of painful areas.
All patients with traumatic or overuse midfoot and forefoot injuries should be
evaluated with x-rays, with the need for advanced imaging determined based on
initial findings. Appropriate diagnosis and management of Lisfranc joint injuries
and navicular and base of the fifth metatarsal stress fractures can prevent
adverse outcomes. Management of these injuries commonly includes a period of non
weight-bearing immobilization and referral to an orthopedic surgeon. Turf toe,
hallux rigidus, metatarsalgia, and Morton neuroma are common causes of forefoot
pain. Treatment should be individualized and may include shoe and orthotic
adjustments, injections, and, occasionally, surgical intervention.
PMID- 29381044
TI - Ultraefficient Ultraviolet and Visible Light Sensing and Ohmic Contacts in High
Mobility InSe Nanoflake Photodetectors Fabricated by the Focused Ion Beam
Technique.
AB - A photodetector using a two-dimensional (2D) low-direct band gap indium selenide
(InSe) nanostructure fabricated by the focused ion beam (FIB) technique has been
investigated. The FIB-fabricated InSe photodetectors with a low contact
resistance exhibit record high responsivity and detectivity to the ultraviolet
and visible lights. The optimal responsivity and detectivity up to 1.8 * 107 A W
1 and 1.1 * 1015 Jones, respectively, are much higher than those of the other 2D
material-based photoconductors and phototransistors. Moreover, the inherent
photoconductivity (PC) quantified by the value of normalized gain has also been
discussed and compared. By excluding the contribution of artificial parameters,
the InSe nanoflakes exhibit an ultrahigh normalized gain of 3.2 cm2 V-1, which is
several orders of magnitude higher than those of MoS2, GaS, and other layer
material nanostructures. A high electron mobility at room temperature reaching
450 cm2 V-1 s-1 has been confirmed to be one of the major causes of the inherent
superior PC in the InSe nanoflakes. The oxygen-sensitized PC mechanism that
enhances carrier lifetime and carrier collection efficiency has also been
proposed. This work demonstrates the devices fabricated by the FIB technique
using InSe nanostructures for highly efficient broad-band optical sensing and
light harvesting, which is critical for development of the 2D material-based
ultrathin flexible optoelectronics.
PMID- 29381045
TI - Mechanism Underlying the Effectiveness of Deferiprone in Alleviating Parkinson's
Disease Symptoms.
AB - Elevation in iron content as well as severe depletion of dopamine (DA) as a
result of iron-induced loss of dopaminergic neurons has been recognized to
accompany the progression of Parkinson's disease (PD). To better understand the
mechanism of the mitigating effect of the iron chelator deferiprone (DFP) on PD,
the interplay between iron and DFP was investigated both in the absence and
presence of DA. The results show that DFP was extremely efficient in scavenging
both aqueous iron and iron that was loosely bound to DA with the entrapment of
iron in Fe-DFP complexed form critical to halting the iron catalyzed degradation
of DA and associated generation of toxic metabolites. The DFP related scavenging
of dopamine semiquinone (DA*-) and superoxide (O2*-) may also contribute to its
positive effects in the treatment of PD.
PMID- 29381046
TI - A Cleavage-Responsive Stem-Loop Hairpin for Assaying Guide RNA Activity.
AB - The scope of the CRISPR-Cas9 technology now reaches far beyond genomic
engineering. While significant efforts are driving the evolution of this
revolutionary biomedical tool, the in vitro cleavage assay remains the standard
method implemented to validate the guide RNA that directs endonuclease Cas9 to a
desired genomic target. Here, we report the development of an alternative guide
RNA validation system called GUIDER. GUIDER features a hairpin loop structure
with a proximal guanosine-rich unit, a distal fluorophore unit, and a gRNA
targeting stem component. Cleavage of GUIDER by its complementary RNA-guided Cas9
endonuclease complex yields a fluorescent emission at 525 nm, signaling effective
cleavage of the hairpin structure. GUIDER was validated using the model gene
target mpcsk9, and it was able to identify the gRNA that could most efficiently
cleave the target mpcsk9 gene. The modular design of GUIDER should allow it to
have broad applicability in validating gRNAs, and its fluorescent signal output
offers a rapid, simple, and quantitative measure of Cas9-mediated DNA cleavage.
PMID- 29381047
TI - Core Binding Site of a Thioflavin-T-Derived Imaging Probe on Amyloid beta Fibrils
Predicted by Computational Methods.
AB - Development of new diagnostic imaging probes for Alzheimer's disease, such as
positron emission tomography (PET) and single photon emission computed tomography
(SPECT) probes, has been strongly desired. In this study, we investigated the
most accessible amyloid beta (Abeta) binding site of [123I]IMPY, a Thioflavin-T
derived SPECT probe, using experimental and computational methods. First, we
performed a competitive inhibition assay with Orange-G, which recognizes the
KLVFFA region in Abeta fibrils, suggesting that IMPY and Orange-G bind to
different sites in Abeta fibrils. Next, we precisely predicted the IMPY binding
site on a multiple-protofilament Abeta fibril model using computational
approaches, consisting of molecular dynamics and docking simulations. We
generated possible IMPY-binding structures using docking simulations to identify
candidates for probe-binding sites. The binding free energy of IMPY with the
Abeta fibril was calculated by a free energy simulation method, MP-CAFEE. These
computational results suggest that IMPY preferentially binds to an interfacial
pocket located between two protofilaments and is stabilized mainly through
hydrophobic interactions. Finally, our computational approach was validated by
comparing it with the experimental results. The present study demonstrates the
possibility of computational approaches to screen new PET/SPECT probes for Abeta
imaging.
PMID- 29381048
TI - Scandium Terminal Imido Chemistry.
AB - Research into transition metal complexes bearing multiply bonded main-group
ligands has developed into a thriving and fruitful field over the past half
century. These complexes, featuring terminal M?E/M=E (M = transition metal; E =
main-group element) multiple bonds, exhibit unique structural properties as well
as rich reactivity, which render them attractive targets for
inorganic/organometallic chemists as well as indispensable tools for
organic/catalytic chemists. This fact has been highlighted by their widespread
applications in organic synthesis, for example, as olefin metathesis catalysts.
In the ongoing renaissance of transition metal-ligand multiple-bonding chemistry,
there have been reports of M?E/M=E interactions for the majority of the metallic
elements of the periodic table, even some actinide metals. In stark contrast, the
largest subgroup of the periodic table, rare-earth metals (Ln = Sc, Y, and
lanthanides), have been excluded from this upsurge. Indeed, the synthesis of
terminal Ln?E/Ln=E multiple-bonding species lagged behind that of the transition
metal and actinide congeners for decades. Although these species had been pursued
since the discovery of a rare-earth metal bridging imide in 1991, such a terminal
(nonpincer/bridging hapticities) Ln?E/Ln=E bond species was not obtained until
2010. The scarcity is mainly attributed to the energy mismatch between the
frontier orbitals of the metal and the ligand atoms. This renders the putative
terminal Ln?E/Ln=E bonds extremely reactive, thus resulting in the formation of
aggregates and/or reaction with the ligand/environment, quenching the multiple
bond character. In 2010, the stalemate was broken by the isolation and structural
characterization of the first rare-earth metal terminal imide-a scandium terminal
imide-by our group. The double-bond character of the Sc?N bond was unequivocally
confirmed by single-crystal X-ray diffraction. Theoretical investigations
revealed the presence of two p-d pi bonds between the scandium ion and the
nitrogen atom of the imido ligand and showed that the dianionic [NR]2- imido
ligand acts as a 2sigma,4pi electron donor. Subsequent studies of the scandium
terminal imides revealed highly versatile and intriguing reactivity of the Sc?N
bond. This included cycloaddition toward various unsaturated bonds, C-H/Si-H/B-H
bond activations and catalytic hydrosilylation, dehydrofluorination of fluoro
substituted benzenes/alkanes, CO2 and H2 activations, activation of elemental
selenium, coordination with other transition metal halides, etc. Since our
initial success in 2010, and with contributions from us and across the community,
this young, vibrant research field has rapidly flourished into one of the most
active frontiers of rare-earth metal chemistry. The prospect of extending Ln?N
chemistry to other rare-earth metals and/or different metal oxidation states, as
well as exploiting their stoichiometric and catalytic reactivities, continues to
attract research effort. Herein we present an account of our investigations into
scandium terminal imido chemistry as a timely summary, in the hope that our
studies will be of interest to this readership.
PMID- 29381049
TI - Rapid and Efficient Collection of Platinum from Karstedt's Catalyst Solution via
Ligands-Exchange-Induced Assembly.
AB - Reported herein is a novel strategy for the rapid and efficient collection of
platinum from Karstedt's catalyst solution. By taking advantage of a ligand
exchange reaction between alkynols and the 1,3-divinyltetramethyldisiloxane
ligand (MViMVi) that coordinated with platinum (Pt(0)), the Karstedt's catalyst
particles with a size of approximately 2.5 +/- 0.7 nm could be reconstructed and
assembled into larger particles with a size of 150 +/- 35 nm due to the hydrogen
bonding between the hydroxyl groups of the alkynol. In addition, because the
silicone-soluble MViMVi ligand of the Karstedt's catalyst was replaced by water
soluble alkynol ligands, the resultant large particles were readily dispersed in
water, resulting in rapid, efficient, and complete collection of platinum from
the Karstedt's catalyst solutions with platinum concentrations in the range from
~20 000 to 0.05 ppm. Our current strategy not only was used for the rapid and
efficient collection of platinum from the Karstedt's catalyst solutions, but it
also enabled the precise evaluation of the platinum content in the Karstedt's
catalysts, even if this platinum content was extremely low (i.e., 0.05 ppm).
Moreover, these platinum specimens that were efficiently collected from the
Karstedt's catalyst solutions could be directly used for the evaluation of
platinum without the need for pretreatment processes, such as calcination and
digestion with hydrofluoric acid, that were traditionally used prior to testing
via inductively coupled plasma mass spectrometry in conventional methods.
PMID- 29381050
TI - Deciphering the Ethylene Carbonate-Propylene Carbonate Mystery in Li-Ion
Batteries.
AB - As one of the landmark technologies, Li-ion batteries (LIBs) have reshaped our
life in the 21stcentury, but molecular-level understanding about the mechanism
underneath this young chemistry is still insufficient. Despite their deceptively
simple appearances with just three active components (cathode and anode separated
by electrolyte), the actual processes in LIBs involve complexities at all length
scales, from Li+ migration within electrode lattices or across crystalline
boundaries and interfaces to the Li+ accommodation and dislocation at potentials
far away from the thermodynamic equilibria of electrolytes. Among all, the
interphases situated between electrodes and electrolytes remain the most elusive
component in LIBs. Interphases form because no electrolyte component (salt anion,
solvent molecules) could remain thermodynamically stable at the extreme
potentials where electrodes in modern LIBs operate, and their chemical
ingredients come from the sacrificial decompositions of electrolyte components.
The presence of an interphase on electrodes ensures reversibility of Li+
intercalation chemistry in anode and cathode at extreme potentials and defines
the cycle life, power and energy densities, and even safety of the eventual LIBs
device. Despite such importance and numerous investigations dedicated in the past
two decades, we still cannot explain why, nor predict whether, certain
electrolyte solvents can form a protective interphase to support the reversible
Li+ intercalation chemistries while others destroy the electrode structure. The
most representative example is the long-standing "EC-PC Disparity" and the two
interphasial extremities induced therefrom: differing by only one methyl
substituent, ethylene carbonate (EC) forms almost ideal interphases on the
graphitic anode, thus becoming the indispensable solvent in all LIBs manufactured
today, while propylene carbonate (PC) does not form any protective interphase,
leading to catastrophic exfoliation of the graphitic structure. With one after
another hypotheses proposed but none satisfactorily rationalizing this disparity
on the molecular level, this mystery has been puzzling the battery and
electrochemistry community for decades. In this Account, we attempted to decipher
this mystery by reviewing the key factors that govern the interaction between the
graphitic structure and the solvated Li+ right before interphase formation.
Combining DFT calculation and experiments, we identified the partial desolvation
of the solvated Li+ at graphite edge sites as a critical step, in which the
competitive solvation of Li+ by anion and solvent molecules dictates whether an
electrolyte is destined to form a protective interphase. Applying this model to
the knowledge of relative Li+ solvation energy and frontier molecular orbital
energy gap, it becomes theoretically possible now to predict whether a new
solvent or anion would form a complex with Li+ leading to desirable interphases.
Such molecular-level understanding of interphasial processes provides guiding
principles to the effort of tailor-designing new electrolyte systems for more
aggressive battery chemistries beyond Li-ion.
PMID- 29381051
TI - Hyperbranched Triphenylamine Polymer for UltraFast Battery Cathode.
AB - A novel hyperbranched poly(triphenylamine) (PHTPA) was synthesized, and the
electrochemical properties of this material were studied. PHTPA was synthesized
by a facile method in a one-step reaction from affordable monomers. Despite all
aromatic structures, PHTPA showed good solubility in several organic solvents.
The battery performance test of PHTPA showed a high discharge voltage, an
ultrafast charge-discharge performance of 100-300 C, and a long cycle life of
more than 5000 cycles. Moreover, the addition of the PHTPA to LiFePO4 (LFP)
improved the charge-transfer resistance and Warburg coefficient, which is related
to the diffusion of lithium ions in LFP, and consequently improved the charge
discharge performance of LFP itself at a high C rate (20-100 C). This behavior is
understood to be the result of the organic-inorganic charge transfer. The
superior cycle performance of the PHTPA-LFP hybrid cathode was also found. PHTPA
will serve as an additive for a high-performance LIB.
PMID- 29381052
TI - The Role of Cations on the Performance of Lithium Ion Batteries: A Quantitative
Analytical Approach.
AB - Lithium ion batteries are nowadays the state-of-the-art power sources for
portable electronic devices and the most promising candidate for energy storage
in large-size batteries, e.g., pure and hybrid vehicles. However, the degradation
of the cell components minimizes both storage and operation lifetime (calendar
and cycle life), which is called aging. Due to the numerous different aging
effects, in either the single constituents or their interactions with each other,
many reports about methodologies and techniques, both electrochemical and
analytical, can be found in the literature. However, quantitative data about the
degradation effects were seldom stated. One important effect is the cation
distribution and migration during operation. Metal dissolution and metal
migration of the cathode and the corresponding deposition of these metals on the
graphitic anode are known harmful degradation effects, especially for the formed
solid electrolyte interphase on the surface of the anode. Depending on the
applied cell chemistries and therefore the cathode material, different mechanisms
were reported so far. For lithium manganese oxide based cells, the acidification
of the electrolyte due to composition of the conduction salt is attributed as the
main source of metal migration. Due to subsequent loss of manganese from the
cathode, the overall performance of the cell is seriously impaired. Based on the
obtained observations, this degradation mechanism was adapted to lithium nickel
cobalt manganese based cells as main cause of the capacity fading. However, with
the help a developed total X-ray fluorescence method and additional surface and
electrolyte investigations, the proposed HF based mechanism was disproven.
Instead, the migration was directly associated with material defects or
mechanical spalling of the particles. Furthermore, with the obtained quantitative
data of the migrated transition metals on the anode and separator, the
contribution on the capacity fade was determined. It ranged only the 0/00 region
and could therefore be excluded as the main source of the capacity in these
lithium ion batteries. Nevertheless, the oxidation state of the cations is hardly
accessible; but would provide further information about the exact migrating
mechanisms. In addition, lithium can be "lost" or immobilized during
charge/discharge and is therefore no longer available as an electrochemically
active cation. For example, the formation, reformation, and growth of the solid
electrolyte interphase and cathode electrolyte interphase leads to an increased
active lithium loss during cycling. The investigations on this topic are
frequently reported in literature; however, quantitative data on the actual
lithium distribution throughout the cell are relatively few. Furthermore, the
exact amount of lost lithium in the in the respective interphases is so far not
available. In order to determine quantitatively the lithium distribution within
the cell, inductively coupled plasma-based method was applied. For laboratory
test cells, the lithium that was lost to the housing of the cell was 32 times
higher than that for pouch bag cells. Furthermore, the determined concentration
of lithium in the interphases ranged only from 2 to 4%. However, the
investigations need to be repeated with isotope labeled material (6Li) in order
to obtain statements that are more precise.
PMID- 29381053
TI - Hybrid Assembly toward Enhanced Thermal Stability of Virus-like Particles and
Antibacterial Activity of Polyoxometalates.
AB - In an effort to improve both the stability of virus-like particles (VLPs) and the
medical activity of polyoxometalates (POMs), a new hybrid assembly system between
human papillomavirus (HPV) capsid protein L1 and a europium-containing POM
(EuW10) has been constructed, for the first time, via the electrostatic
interactions between them. The co-assembly of EuW10 and HPV 16 L1-pentamer (L1-p)
in buffer solution resulted in the encapsulation of POMs in the cavity of VLPs,
which was further confirmed by cesium chloride (CsCl) gradient
ultracentrifugation, SDS-PAGE, dynamic light scattering, and transmission
electron microscopy, whereas the post-assembly of EuW10 with the as-prepared VLPs
leads to the adsorption of POMs only on the external surface of particles, and
both cases improved the thermal and storage stabilities of VLPs obviously.
Particularly, the encapsulation of POMs in VLPs largely improved the
antibacterial activity of EuW10, and thereby, the present study will be
significant for both the stability improvement of protein vaccines and the
development of POM medicine.
PMID- 29381054
TI - Mesh Nanoelectronics: Seamless Integration of Electronics with Tissues.
AB - Nanobioelectronics represents a rapidly developing field with broad-ranging
opportunities in fundamental biological sciences, biotechnology, and medicine.
Despite this potential, seamless integration of electronics has been difficult
due to fundamental mismatches, including size and mechanical properties, between
the elements of the electronic and living biological systems. In this Account, we
discuss the concept, development, key demonstrations, and future opportunities of
mesh nanoelectronics as a general paradigm for seamless integration of
electronics within synthetic tissues and live animals. We first describe the
design and realization of hybrid synthetic tissues that are innervated in three
dimensions (3D) with mesh nanoelectronics where the mesh serves as both as a
tissue scaffold and as a platform of addressable electronic devices for
monitoring and manipulating tissue behavior. Specific examples of
tissue/nanoelectronic mesh hybrids highlighted include 3D neural tissue, cardiac
patches, and vascular constructs, where the nanoelectronic devices have been used
to carry out real-time 3D recording of electrophysiological and chemical signals
in the tissues. This novel platform was also exploited for time-dependent 3D
spatiotemporal mapping of cardiac tissue action potentials during cell culture
and tissue maturation as well as in response to injection of pharmacological
agents. The extension to simultaneous real-time monitoring and active control of
tissue behavior is further discussed for multifunctional mesh nanoelectronics
incorporating both recording and stimulation devices, providing the unique
capability of bidirectional interfaces to cardiac tissue. In the case of live
animals, new challenges must be addressed, including minimally invasive
implantation, absence of deleterious chronic tissue response, and long-term
capability for monitoring and modulating tissue activity. We discuss each of
these topics in the context of implantation of mesh nanoelectronics into rodent
brains. First, we describe the design of ultraflexible mesh nanoelectronics with
size features and mechanical properties similar to brain tissue and a novel
syringe-injection methodology that allows the mesh nanoelectronics to be
precisely delivered to targeted brain regions in a minimally invasive manner.
Next, we discuss time-dependent histology studies showing seamless and stable
integration of mesh nanoelectronics within brain tissue on at least one year
scales without evidence of chronic immune response or glial scarring
characteristic of conventional implants. Third, armed with facile input/output
interfaces, we describe multiplexed single-unit recordings that demonstrate
stable tracking of the same individual neurons and local neural circuits for at
least 8 months, long-term monitoring and stimulation of the same groups of
neurons, and following changes in individual neuron activity during brain aging.
Moving forward, we foresee substantial opportunities for (1) continued
development of mesh nanoelectronics through, for example, broadening nanodevice
signal detection modalities and taking advantage of tissue-like properties for
selective cell targeting and (2) exploiting the unique capabilities of mesh
nanoelectronics for tackling critical scientific and medical challenges such as
understanding and potentially ameliorating cell and circuit level changes
associated with natural and pathological aging, as well as using mesh
nanoelectronics as active tissue scaffolds for regenerative medicine and as
neuroprosthetics for monitoring and treating neurological diseases.
PMID- 29381055
TI - Robust and Mechanically and Electrically Self-Healing Hydrogel for Efficient
Electromagnetic Interference Shielding.
AB - Autonomously self-healing hydrogels have received considerable attentions due to
their capacity for repairing themselves spontaneously after suffering damage,
which can provide a better stability and a longer life span. In this work, a
robust and mechanically and electrically self-healing hydrogel with an efficient
electromagnetic interference (EMI) shielding performance was successfully
fabricated via the incorporation of multiwalled carbon nanotubes (MWCNTs) into
the hydrophobically associated polyacrylamide (PAM) hydrogels by using cellulose
nanofiber (CNF) as the dispersant. It was been found that CNF could not only
assist the homogeneous dispersion of MWCNTs but also effectively enhance the
mechanical property of the resultant hydrogels. As a result, the optimal tensile
strength (~0.24 MPa), electrical conductivity (~0.85 S m-1), and EMI shielding
effectiveness (~28.5 dB) were achieved for the PAM/CNF/MWCNT composite hydrogels
with 1 wt % MWCNTs and 0.3 wt % CNF, which showed 458, 844, and 90% increase over
(~0.043 MPa, ~0.09 S m-1, and ~15 dB, respectively) the PAM hydrogel. More
encouragingly, these composite hydrogels could rapidly restore their electrical
conductivity and EMI shielding effectiveness after mechanical damage at room
temperature without any external stimulus. With outstanding mechanical and self
healing properties, the prepared composite hydrogels were similar to human skin,
but beyond human skin owing to their additional satisfactory electrical and EMI
shielding performances. They may offer promising and broad prospects in the field
of simulate skin and protection of precision electronics.
PMID- 29381056
TI - Mechanism for Photopromoted Release of Vanadium from Vanadium Titano-Magnetite.
AB - The release of V from vanadium titano-magnetite, a predominant natural source of
V, was studied under light irradiation. The release rate of V from vanadium
titano-magnetite was accelerated by light irradiation, and the oxidation of V was
detected. The essence of the photopromoted release of V is that the immobile low
valence V is transformed to the mobile V(V) by photoinduced active species
generated from the photocatalysis process of magnetite. Among the photoinduced
active species, *OH and H2O2 were recognized as the most important oxidizing
agents. Not only can they directly convert the immobile low-valence V to the
mobile V(V) but also initiate the Fenton reaction, which produces more *OH and
then further promotes the oxidation of low-valence V. In addition, a conceptual
model of the photo promoted release of V was proposed. This study, as part of a
broader study of the release behavior of V, can improve the understanding of the
pollution problem about V, as well as the fate and environmental geochemistry
cycling of V in the natural environment.
PMID- 29381057
TI - Multivalent Polyaspartamide Cross-Linker for Engineering Cell-Responsive
Hydrogels with Degradation Behavior and Tunable Physical Properties.
AB - Hydrogels possess favorable physical properties ideally suited for various
biotechnology applications. To tailor to specific needs, a number of modification
strategies have been employed to tune their properties. Herein, a multifunctional
polymeric cross-linker based on polyaspartamide is developed, which allows for
the facile adjustment of the type and number of reactive functional groups to fit
different reaction schemes and control the physical properties of the hydrogels.
The amine-based nucleophiles containing desired functional groups are reacted
with polysuccinimide to synthesize polyaspartamide cross-linkers. The cross
linking density and the concurrent change in mechanical properties of the
resulting hydrogels are controlled in a wide range only with the degree of
substitution. This multivalency of the polyaspartamide linkers also induced the
degradation of hydrogels by the unreacted functional groups on polyaspartamide
involved in the hydrolysis. Furthermore, the polyaspartamide cross-linker
conjugated with cell-recognition molecules via the same conjugation mechanism
(i.e., nucleophilic substitution) render the hydrogels cell-responsive without
the need of additional processing steps. This versatility of polyaspartamide
based cross-linker is expected to provide an efficient and versatile route to
engineer hydrogels with controllable properties for biomedical applications.
PMID- 29381058
TI - Exploring Two-Dimensional Materials toward the Next-Generation Circuits: From
Monomer Design to Assembly Control.
AB - Two-dimensional (2D) materials have attracted tremendous research interest since
the breakthrough of graphene. Their unique optical, electronic, and mechanical
properties hold great potential for harnessing them as key components in novel
applications for electronics and optoelectronics. Their atomic thickness and
exposed huge surface even make them highly designable and manipulable, leading to
the extensive application potentials. What's more, after acquiring the
qualification for being the candidate for next-generation devices, the assembly
of 2D materials monomers into mass or ordered structure is also of great
importance, which will determine their ultimate industrialization. By designing
the monomers and regulating their assembling behavior, the exploration of 2D
materials toward the next-generation circuits can be spectacularly achieved. In
this review, we will first overview the emerging 2D materials and then offer a
clear guideline of varied physical and chemical strategies for tuning their
properties. Furthermore, assembly strategies of 2D materials will also be
included. Finally, challenges and outlooks in this promising field are featured
on the basis of its current progress.
PMID- 29381059
TI - Multivariate Analysis To Quantify Species in the Presence of Direct Interferents:
Micro-Raman Analysis of HNO3 in Microfluidic Devices.
AB - Microfluidic devices are a growing field with significant potential for
applications to small scale processing of solutions. Much like large scale
processing, fast, reliable, and cost-effective means of monitoring streams during
processing are needed. Here we apply a novel micro-Raman probe to the online
monitoring of streams within a microfluidic device. For either macro- or
microscale process monitoring via spectroscopic response, interfering or
confounded bands can obfuscate results. By utilizing chemometric analysis, a form
of multivariate analysis, species can be accurately quantified in solution
despite the presence of overlapping or confounding spectroscopic bands. This is
demonstrated on solutions of HNO3 and NaNO3 within microflow and microfluidic
devices.
PMID- 29381060
TI - P-Bodies: Composition, Properties, and Functions.
AB - Processing bodies (P-bodies) are cytoplasmic ribonucleoprotein (RNP) granules
primarily composed of translationally repressed mRNAs and proteins related to
mRNA decay, suggesting roles in post-transcriptional regulation. P-bodies are
conserved in eukaryotic cells and exhibit properties of liquid droplets. However,
the function of P-bodies in translational repression and/or mRNA decay remains
contentious. Here we review recent advances in our understanding of the molecular
composition of P-bodies, the interactions and processes that regulate P-body
liquid-liquid phase separation (LLPS), and the cellular localization of mRNA
decay machinery, in the context of how these discoveries refine models of P-body
function.
PMID- 29381061
TI - delta-Selective Functionalization of Alkanols Enabled by Visible-Light-Induced
Ligand-to-Metal Charge Transfer.
AB - We demonstrate the application of ligand-to-metal charge transfer (LMCT)
excitation to the direct catalytic generation of energetically challenging alkoxy
radicals from alcohols through a coordination-LMCT-homolysis process with an
abundant and inexpensive cerium salt as the catalyst. This catalytic manifold
provides a simple and efficient way to utilize the characteristic reactivity and
selectivity of transient alkoxy radicals for delta-selective C-H bond
functionalization. Under mild redox-neutral conditions without the need for
prefunctionalization, this method provides a versatile platform to access
molecular complexity from simple and abundant alcohols.
PMID- 29381062
TI - Improved Total Synthesis of Tubulysins and Design, Synthesis, and Biological
Evaluation of New Tubulysins with Highly Potent Cytotoxicities against Cancer
Cells as Potential Payloads for Antibody-Drug Conjugates.
AB - Improved, streamlined total syntheses of natural tubulysins such as V (Tb45) and
U (Tb46) and pretubulysin D (PTb-D43), and their application to the synthesis of
designed tubulysin analogues (Tb44, PTb-D42, PTb-D47-PTb-D49, and Tb50-Tb120),
are described. Cytotoxicity evaluation of the synthesized compounds against
certain cancer cell lines revealed a number of novel analogues with exceptional
potencies [e.g., Tb111: IC50 = 40 pM against MES SA (uterine sarcoma) cell line;
IC50 = 6 pM against HEK 293T (human embryonic kidney cancer) cell line; and IC50
= 1.54 nM against MES SA DX (MES SA with marked multidrug resistance) cell line].
These studies led to a set of valuable structure-activity relationships that
provide guidance to further molecular design, synthesis, and biological
evaluation studies. The extremely potent cytotoxic compounds discovered in these
investigations are highly desirable as potential payloads for antibody-drug
conjugates and other drug delivery systems for personalized targeted cancer
chemotherapies.
PMID- 29381063
TI - Counterion-Dependent Access to Low-Symmetry Lyotropic Sphere Packings of Ionic
Surfactant Micelles.
AB - The water-driven self-assembly of homologous dianionic surfactants into lyotropic
liquid crystals (LLCs) is investigated, with a focus on understanding how
surfactant headgroup and counterion identities guide supramolecular spherical
mesophase selection. Using temperature-dependent small-angle X-ray scattering
(SAXS), we demonstrate that 2-alkylmalonate surfactants (CnMal-M2) with n = 8
(octyl) or 10 (decyl) and M = K+, Cs+, or (CH3)4N+ form both simple and complex
micelle packings. Observed spherical morphologies include body-centered cubic
(BCC), hexagonally closest-packed (HCP), and tetrahedrally closest-packed Frank
Kasper (FK) A15 and sigma phases (Pm3(-)n and P42/mnm symmetries, respectively).
Previously observed in only one other minimally hydrated surfactant, the sigma
phase is a rare LLC morphology comprising a low-symmetry unit cell containing 30
sub-2-nm quasispherical micelles, each of which belongs to one of five symmetry
equivalent classes with discrete aggregation numbers. Temperature versus water
concentration phase maps for CnMal-M2 LLCs reveal that sigma-phase formation
depends sensitively on the size and polarizability of the surfactant counterion
and the length of the surfactant alkyl tail. These observations are rationalized
in terms of a delicate interplay between global packing symmetry and local
particle symmetry, and the extent to which counterion-headgroup correlations
enforce the latter structures in these LLC phases.
PMID- 29381064
TI - Caged Molecular Glues as Photoactivatable Tags for Nuclear Translocation of
Guests in Living Cells.
AB - We developed dendritic caged molecular glues (CagedGlue-R) as tags for nucleus
targeted drug delivery, whose multiple guanidinium ion (Gu+) pendants are
protected by an anionic photocleavable unit (butyrate-substituted
nitroveratryloxycarbonyl; BANVOC). Negatively charged CagedGlue-R hardly binds to
anionic biomolecules because of their electrostatic repulsion. However, upon
exposure of CagedGlue-R to UV light or near-infrared (NIR) light, the BANVOC
groups of CagedGlue-R are rapidly detached to yield an uncaged molecular glue
(UncagedGlue-R) that carries multiple Gu+ pendants. Because Gu+ forms a salt
bridge with PO4-, UncagedGlue-R tightly adheres to anionic biomolecules such as
DNA and phospholipids in cell membranes by a multivalent salt-bridge formation.
When tagged with CagedGlue-R, guests can be taken up into living cells via
endocytosis and hide in endosomes. However, when the CagedGlue-R tag is
photochemically uncaged to form UncagedGlue-R, the guests escape from the
endosome and migrate into the cytoplasm followed by the cell nucleus. We
demonstrated that quantum dots (QDs) tagged with CagedGlue-R can be delivered
efficiently to cell nuclei eventually by irradiation with light.
PMID- 29381065
TI - Quantification of Major Royal Jelly Protein 1 in Fresh Royal Jelly by
Ultraperformance Liquid Chromatography-Tandem Mass Spectrometry.
AB - Major royal jelly protein 1 (MRJP1) is the most abundant protein in royal jelly
(RJ), and the level of MRJP1 has been suggested as a promising parameter for
standardization and evaluation of RJ authenticity in quality. Here, a
quantitative method was developed for the quantification of MRJP1 in RJ based on
a signature peptide and a stable isotope-labeled internal standard peptide
FFDYDFGSDER*(R*, 13C6, 15N4) by ultraperformance liquid chromatography-tandem
mass spectrometry. Recoveries of the established method ranged from 85.33 to
95.80%, and both the intra- and interday precision were RSD < 4.97%.
Quantification results showed that content of MRJP1 in fresh RJ was 41.96-55.01
mg/g. Abnormal levels of MRJP1 were found in three commercial RJs and implied
that these samples were of low quality and might be adulterated. Results of the
present work suggested that the developed method could be successfully applied to
quantify MRJP1 in RJ and also could evaluate the quality of RJ.
PMID- 29381066
TI - Electronic Properties of Sulfur Covered Ru(0001) Surfaces.
AB - The structural properties of sulfur superstructures adsorbed on Ru(0001) have
been widely studied in the past. However, much less effort has been devoted to
determine their electronic properties. To understand the connection between
structural and electronic properties, we have carried out density functional
theory periodic boundary calculations mimicking the four long-range ordered
sulfur superstructures identified experimentally by means of scanning tunneling
microscopy (STM) techniques. Our simulations allow us to characterize the nature
of the sulfur-Ru bond, the charge transfer between the Ru substrate and the
sulfur adlayers, the interface states, and a parabolic state recently identified
in STM experiments. A simple analysis, based on a one-dimensional model, reveals
that this parabolic state is related to a potential well state, formed in the
surface when the concentration of sulfur atoms is large enough to generate a new
minimum in the surface potential.
PMID- 29381067
TI - Neothioviridamide, a Polythioamide Compound Produced by Heterologous Expression
of a Streptomyces sp. Cryptic RiPP Biosynthetic Gene Cluster.
AB - During genome mining for thioviridamide-like biosynthetic gene clusters that
could produce polythioamide RiPP (ribosomally synthesized and post
translationally modified peptides), we discovered a novel cryptic biosynthetic
gene cluster. During efforts to express this biosynthetic gene using heterologous
expression of this biosynthetic gene cluster, a novel compound designated as
neothioviridamide was produced. We report herein the cloning and heterologous
expression of the neothioviridamide biosynthetic gene cluster and the isolation,
structure determination, and cytotoxic activity of neothioviridamide.
PMID- 29381068
TI - Vibrational Spectroscopy on Photoexcited Dye-Sensitized Films via Pump-Degenerate
Four-Wave Mixing.
AB - Molecular sensitization of semiconductor films is an important technology for
energy and environmental applications including solar energy conversion,
photocatalytic hydrogen production, and water purification. Dye-sensitized films
are also scientifically complex and interesting systems with a long history of
research. In most applications, photoinduced heterogeneous electron transfer
(HET) at the molecule/semiconductor interface is of critical importance, and
while great progress has been made in understanding HET, many open questions
remain. Of particular interest is the role of combined electronic and vibrational
effects and coherence of the dye during HET. The ultrafast nature of the process,
the rapid intramolecular vibrational energy redistribution, and vibrational
cooling present complications in the study of vibronic coupling in HET. We
present the application of a time domain vibrational spectroscopy-pump-degenerate
four-wave mixing (pump-DFWM)-to dye-sensitized solid-state semiconductor films.
Pump-DFWM can measure Raman-active vibrational modes that are triggered by
excitation of the sample with an actinic pump pulse. Modifications to the
instrument for solid-state samples and its application to an anatase TiO2 film
sensitized by a Zn-porphyrin dye are discussed. We show an effective combination
of experimental techniques to overcome typical challenges in measuring solid
state samples with laser spectroscopy and observe molecular vibrations following
HET in a picosecond time window. The cation spectrum of the dye shows modes that
can be assigned to the linker group and a mode that is localized on the Zn
phorphyrin chromophore and that is connected to photoexcitation.
PMID- 29381069
TI - In Situ Infrared Absorption Study of Plasma-Enhanced Atomic Layer Deposition of
Silicon Nitride.
AB - Despite the success of plasma-enhanced atomic layer deposition (PEALD) in
depositing quality silicon nitride films, a fundamental understanding of the
growth mechanism has been difficult to obtain because of lack of in situ
characterization to probe the surface reactions noninvasively and the complexity
of reactions induced/enhanced by the plasma. These challenges have hindered the
direct observation of intermediate species formed during the reactions. We
address this challenge by examining the interaction of Ar plasma using atomically
flat, monohydride-terminated Si(111) as a well-defined model surface and focusing
on the initial PEALD with aminosilanes. In situ infrared and X-ray photoelectron
spectroscopy reveals that an Ar plasma induces desorption of H atoms from H
Si(111) surfaces, leaving Si dangling bonds, and that the reaction of di-sec
butylaminosilane (DSBAS) with Ar plasma-treated surfaces requires the presence of
both active sites (Si dangling bonds) and Si-H; there is no reaction on fully H
terminated or activated surfaces. By contrast, high-quality hydrofluoric acid
etched Si3N4 surfaces readily react with DSBAS, resulting in the formation of O
SiH3. However, the presence of back-bonded oxygen in O-SiH3 inhibits H desorption
by Ar or N2 plasma, presumably because of stabilization of H against ion-induced
desorption. Consequently, there is no reaction of adsorbed aminosilanes even
after extensive Ar or N2 plasma treatments; a thermal process is necessary to
partially remove H, thereby promoting the formation of active sites. These
observations are consistent with a mechanism requiring the presence of both
undercoordinated nitrogen and/or dangling bonds and unreacted surface hydrogen.
Because active sites are involved, the PEALD process is found to be sensitive to
the duration of the plasma exposure treatment and the purge time, during which
passivation of these sites can occur.
PMID- 29381070
TI - Probing the Antiallergic and Anti-inflammatory Activity of Biflavonoids and
Dihydroflavonols from Dietes bicolor.
AB - Dietes bicolor (Iridaceae) is an ornamental plant used by African local healers
to treat diarrhea and dysentery. A new dihydroflavonol, (2R,3R)-3,5,7-trihydroxy
8-methoxyflavanone (1); two known dihydroflavonols, trans-3-hydroxy-5-methoxy-6,7
methylenedioxyflavanone (2) and trans-3-hydroxy-5,7-dimethoxyflavanone (3); the
known isoflavone orobol 7,3'-di-O-methyl ether (4); the known biflavones
lanaroflavone (5), robustaflavone (6), and amentoflavone (7); and beta-sitosterol
(8) were isolated from the CH2Cl2 fraction of D. bicolor leaves. The extract
showed potent activity in antiallergic and anti-inflammatory assays. The
structures of the isolates were identified by spectroscopic and spectrometric
methods. Compounds 6 and 7 (400 MUM) exhibited antiallergic activity by
inhibiting antigen-induced beta-hexosaminidase release at 45.7% and 46.3%,
respectively. Moreover, 6 and 7 exerted anti-inflammatory activity as
demonstrated by the inhibition of superoxide anion generation with an IC50 value
of 1.0 MUM as well as the inhibition of elastase release with IC50 values of 0.45
and 0.75 MUM, respectively. The anti-inflammatory activity was further explained
by the virtual docking of the isolated compounds to the binding sites in the
human neutrophil elastase (HNE) crystal structure using Discovery Studio 2.5. It
was concluded that the biflavonoids bind directly to HNE and inhibit its
enzymatic activity based on the CDOCKER algorithm. The data provided evidence for
the potential use of D. bicolor against certain diseases related to allergy and
inflammation.
PMID- 29381071
TI - Electron-Pair Distribution in Chemical Bond Formation.
AB - The chemical formation process has been studied from relaxation holes, Deltah(u),
resulting from the difference between the radial intracule density and the
nonrelaxed counterpart, which is obtained from atomic radial intracule densities
and the pair density constructed from the overlap of the atomic densities.
Deltah(u) plots show that the internal reorganization of electron pairs prior to
bond formation and the covalent bond formation from electrons in separate atoms
are completely recognizable processes from the shape of the relaxation hole,
Deltah(u). The magnitude of Deltah(u), the shape of Deltah(u) ? u < Req, and the
distance between the minimum and the maximum in Deltah(u) provide further
information about the nature of the chemical bond formed. A computational
affordable approach to calculate the radial intracule density from approximate
pair densities has been also suggested, paving the way to study electron-pair
distributions in larger systems.
PMID- 29381072
TI - Photocatalytic and Chemoselective Transfer Hydrogenation of Diarylimines in Batch
and Continuous Flow.
AB - A visible-light photocalytic method for the chemoselective transfer hydrogenation
of imines in batch and continuous flow is described. The reaction utilizes Et3N
as both hydrogen source and single-electron donor, enabling the selective
reduction of imines derived from diarylketimines containing other reducible
functional groups including nitriles, halides, esters, and ketones. The dual role
of Et3N was confirmed by fluorescence quenching measurements, transient
absorption spectroscopy, and deuterium-labeling studies. Continuous-flow
processing facilitates straightforward scale-up of the reaction.
PMID- 29381073
TI - Synthetic-Molecule/Protein Hybrid Probe with Fluorogenic Switch for Live-Cell
Imaging of DNA Methylation.
AB - Hybrid probes consisting of synthetic molecules and proteins are powerful tools
for detecting biological molecules and signals in living cells. To date, most
targets of the hybrid probes have been limited to pH and small analytes. Although
biomacromolecules are essential to the physiological function of cells, the
hybrid-probe-based approach has been scarcely employed for live-cell detection of
biomacromolecules. Here, we developed a hybrid probe with a chemical switch for
live-cell imaging of methylated DNA, an important macromolecule in the repression
of gene expression. Using a protein labeling technique, we created a hybrid probe
containing a DNA-binding fluorogen and a methylated-DNA-binding domain. The
hybrid probe enhanced fluorescence intensity upon binding to methylated DNA and
successfully monitored methylated DNA during mitosis. The hybrid probe offers
notable advantages absent from probes based on small molecules or fluorescent
proteins and is useful for live-cell analyses of epigenetic phenomena and
diseases related to DNA methylation.
PMID- 29381074
TI - Regioselective Cyclization of (Indol-3-yl)pentyn-3-ols as an Approach to
(Tetrahydro)carbazoles.
AB - An acid-catalyzed, highly regioselective cycloisomerization as well as dehydro
cyclization of (indol-3-yl)pentyn-3-ols has been reported for the selective
synthesis of tetrahydrocarbazoles and carbazoles. This process is mild and found
to be very general in terms of structural diversity of substrates. Utilizing the
strategy, an efficient synthetic approach for the functionalized frameworks of
carbazomycins A-D has also been developed.
PMID- 29381075
TI - Regio- and Stereoselective Cascades via Aldol Condensation and 1,3-Dipolar
Cycloaddition for Construction of Functional Pyrrolizidine Derivatives.
AB - An efficient and step-economical approach to access functionalized pyrrolizidine
derivatives by a one-pot tandem sequence, including an aldol condensation and
subsequent 1,3-dipolar cycloaddition process, has been developed, starting from
acetone, aldehyde, and proline. A number of substituted aromatic aldehydes were
amenable to this transformation, and the desired products, racemic 7a-7w and
chiral 9a-9m, were obtained with excellent regioselectivities and outstanding
diastereoselectivities. Moreover, in situ NMR studies revealed MgSO4 could
effectively promote the aldol condensation pathway in this tandem process.
PMID- 29381077
TI - Brief report: Department of Defense Global, Laboratory-based Influenza
Surveillance Program's influenza vaccine effectiveness estimates and surveillance
trends for 2016-2017 influenza season.
PMID- 29381076
TI - Outbreak of influenza and rhinovirus co-circulation among unvaccinated recruits,
U.S. Coast Guard Training Center Cape May, NJ, 24 July-21 August 2016.
AB - Military and Coast Guard recruits are particularly susceptible to respiratory
infections. Although seasonal influenza vaccinations are mandatory for recruits,
the vaccine expires annually in June. On 29 July 2016, the U.S. Coast Guard
Training Center Cape May, NJ, identified an increase in febrile respiratory
illness (FRI) among recruits. During 24 July-21 August, a total of 115 recruits
reported symptoms. A total of 74 recruits tested positive for respiratory
infections: influenza A (H3) (n=34), rhinovirus (n=28), influenza/rhinovirus co
infection (n=11), and adenovirus/rhinovirus co-infection (n=1), while 41 recruits
had no laboratory-confirmed specimen but were considered suspected cases. Only
one recruit reported receiving the seasonal influenza vaccine within the previous
12 months. Influenza predominated during 24 July-6 August, whereas rhinovirus
predominated during 7 August-20 August. Most (92.2%) cases were identified in
four of 10 recruit companies; incidence rates were highest among recruits in
weeks 2-4 of an 8-week training cycle. Key factors for outbreak control included
rapid detection through routine FRI surveillance, quick decision-making and
streamlined response by using a single chain of command, and employing both
nonpharmaceutical and pharmaceutical interventions.
PMID- 29381078
TI - Assessment of 12 influenza-like illness case definitions using Department of
Defense Global, Laboratory-based Influenza Surveillance Program data, 2011-2014.
AB - Despite the growth in influenza surveillance programs, standardization of a
globally accepted influenza-like illness (ILI) case definition remains difficult.
With 2011-2014 Department of Defense Global, Laboratory-based Influenza
Surveillance Program (DISP) data, 12 case definitions were evaluated using a
combination of ILI case definitions from the Centers for Disease Control and
Prevention, World Health Organization, and the DISP. The sensitivity,
specificity, positive and negative predictive values, and odds ratios for each
case definition were calculated. Additionally, area under the curve (AUC) was
calculated for a receiver operating characteristic (ROC) curve to compare the
case definitions. Between 2 October 2011 and 27 September 2014, 52.3% (5,575 of
10,662) of respiratory specimens submitted met the inclusion criteria. The case
definition for the DISP had a sensitivity of 54.6% and specificity of 63.7%. Case
definitions should be selected according to the objectives of the surveillance
system and resources available. Sensitive case definitions capture a larger
proportion of cases but at the cost of testing more specimens. Definitions with
higher specificity result in fewer false positives but may miss more cases.
PMID- 29381079
TI - Impact of admission serum calcium levels on mortality in hospitalized patients.
AB - OBJECTIVES: To assess the relationship between admission serum calcium levels and
in-hospital mortality in all hospitalized patients. METHODS: All adult
hospitalized patients who had admission serum calcium levels available between
years 2009 and 2013 were enrolled. Admission serum calcium was categorized based
on its distribution into six groups (<7.9, 7.9 to <8.4, 8.4 to <9.0, 9.0 to <9.6,
9.6 to <10.1, and >=10.1 mg/dL). The odds ratio (OR) of in-hospital mortality by
admission serum calcium, using the calcium category of 9.6-10.1 mg/dL as the
reference group, was obtained by logistic regression analysis. RESULTS: 18,437
patients were studied. The lowest incidence of in-hospital mortality was
associated with admission serum calcium within 9.6 to <10.1 mg/dL. A higher in
hospital mortality rate was observed in patients with serum calcium <9.6 and
>=10.1 mg/dL. Also, 38% and 33% of patients with admission serum calcium <7.9 and
>=10.1 mg/dL were on calcium supplements before admission, respectively. After
adjusting for potential confounders, both serum calcium <8.4 and >=10.1 mg/dL
were associated with an increased risk of in-hospital mortality with ORs of 2.86
[95% confidence interval (CI) 1.98-4.17], 1.74 (95% CI 1.21-2.53), and 1.69 (95%
CI 1.10-2.59) when serum calcium were within <7.9, 7.9 to <8.4, and >=10.1 mg/dL,
respectively. CONCLUSION: Hypocalcemia and hypercalcemia on admission were
associated with in-hospital mortality. Highest mortality risk is observed in
patients with admission hypocalcemia (<7.9 mg/dL). One-third of patients with
hypercalcemia on admission were on calcium supplements.
PMID- 29381080
TI - Comparison of the effect of a hyaluronate-trehalose solution to hyaluronate alone
on Ocular Surface Disease Index in patients with moderate to severe dry eye
disease.
AB - OBJECTIVE: To describe a post hoc analysis comparing the effect of a hyaluronic
acid (HA)-trehalose solution to an established eyedrop solution containing HA
alone using Ocular Surface Disease Index (OSDI) score <19 as a threshold for
moderate to severe dry eye disease (DED). METHODS: A phase III, randomized,
controlled, single-blind, multicenter study was conducted in France and Tunisia
to evaluate the efficacy and safety of HA-trehalose (N = 52) and HA (N = 53)
administered for 84 days. Eligible patients had moderate to severe DED with OSDI
>=18. Here the results of a post hoc analysis of the percentage of patients with
OSDI <19 on Day 35 and Day 84 are reported. RESULTS: Significantly more patients
had OSDI <19 at Day 84 in the HA-trehalose group than in the HA group (78.8%
versus 58.5%; p = .025). At Day 35, more patients had OSDI <19 in the HA
trehalose group than in the HA group, but this difference was not statistically
significant. Furthermore, approximately twice as many patients in the HA group
(41.5%) still had OSDI 19-100 at Day 84 compared to the HA-trehalose group
(21.2%). CONCLUSIONS: This data supports the addition of trehalose to HA
containing eyedrop solutions to provide better symptomatic relief from moderate
to severe DED, based on an OSDI score of <19 after 84 days of treatment.
PMID- 29381082
TI - Mediation effect of depressive symptoms in the relationship between perceived
control and wellbeing in patients with heart failure and their partners.
AB - BACKGROUND: As treatment has improved, patients with heart failure live longer,
and the care mostly takes place at home with partners providing the main
assistance. Perceived control over heart failure is important in managing self
care activities to maintain health in patients and their family. Depressive
symptoms are associated with impaired health status in patients with heart
failure and their family. However, there is limited knowledge about how
depressive symptoms affect the relationship between health status and perceived
control over heart failure in patients with heart failure and their cohabiting
partners. AIM: The aim of this study was to examine whether the relationship
between perceived control and health status (i.e. mental and physical) was
mediated by depressive symptoms in patients with heart failure and their
partners. METHODS: In this secondary data analysis, we included 132 heart failure
patients and 132 partners who completed measures of depressive symptoms (the Beck
depression inventory II), perceived control (the control attitude scale), and
physical and mental health status (the short form-36) instruments. The mediation
effect of depression was examined using a series of multiple regression in
patients and their family caregivers separately. RESULTS: We found a mediator
effect of depressive symptoms in the relationship between perceived control and
mental health status in both patients and partners. The relationship between
perceived control and physical health status was mediated by depressive symptoms
in the patients, not in the partners. CONCLUSION: Efforts to improve self-care
management and maintenance by targeting perceived control may be more effective
if depressive symptoms are also effectively managed.
PMID- 29381081
TI - Epigenetic mechanisms in the placenta related to infant neurodevelopment.
AB - As the 'third brain' the placenta links the developing fetal brain and the
maternal brain enabling study of epigenetic process in placental genes that
affect infant neurodevelopment. We described the characteristics and findings of
the 17 studies on epigenetic processes in placental genes and human infant
neurobehavior. Studies showed consistent findings in the same cohort of term
healthy infants across epigenetic processes (DNA methylation, genome wide, gene
and miRNA expression) genomic region (single and multiple genes, imprinted genes
and miRNAs) using candidate gene and genome wide approaches and across
biobehavioral systems (neurobehavior, cry acoustics and neuroendocrine). Despite
limitations, studies support future work on molecular processes in placental
genes related to neurodevelopmental trajectories including implications for
intervention.
PMID- 29381083
TI - Optimizing Data Reduction Procedures in Spatial Heterodyne Raman Spectroscopy
with Applications to Planetary Surface Analogs.
AB - A spatial heterodyne Raman spectrometer (SHRS) is a variant of a Michelson
interferometer in which the mirrors of a Michelson are replaced with two
stationary diffraction gratings. When light enters the SHRS, it is reflected off
of diffraction gratings at frequency-dependent angles that produce crossed
wavefronts in space that can be imaged using a plane array detector. The crossed
wavefronts, which represent a superposition of interference fringes, are
converted to a Raman spectrum upon applying a Fourier transform. In this work, a
new approach to intensity calibration is discussed that originates from modeling
the shot noise produced by the SHRS and converting the real noise to idealized
white noise as predicted by theory. This procedure has two effects. First, the
technique produces Raman spectra with white noise. Second, when the mean of the
noise is normalized to one, the technique produces Raman spectra where the
intensity axis is equivalent to signal-to-noise ratio. The data reduction
technique is then applied to the measurement of materials of interest to the
planetary science community, including minerals and inorganic salts, at a
distance of 5 m from the collecting optic.
PMID- 29381084
TI - Characterization of SCCA-IgM as a biomarker of liver disease in an Asian cohort
of patients.
AB - Viral hepatitis infection is a major global issue and a leading cause of liver
disease and associated deaths. Over time, patients infected with hepatitis B
(HBV) or C virus (HCV) develop cirrhosis and, eventually, hepatocellular
carcinoma (HCC). For this reason, they need to be constantly monitored. Current
Asian guidelines recommend the determination of serum alpha-fetoprotein (AFP)
together with liver ultrasounds every six months to detect HCC nodules. However,
both methods have several limitations, and other biomarkers have been studied for
monitoring cirrhosis, including SCCA-IgM, an immune-complex formed by Squamous
Cell Carcinoma Antigen and IgM. To date, SCCA-IgM has been validated as a novel
biomarker for liver diseases only in European populations. The aim of our study
was to analyze SCCA-IgM as a biomarker to monitor cirrhosis evolution in an Asian
cohort of patients and to compare its performance to that of AFP. We analyzed the
concentration of AFP and SCCA-IgM in serum samples obtained from a group of Asian
adult patients with cirrhosis or HCC and a control group of patients admitted for
gastrointestinal disorders. In untreated patients and similarly to AFP, SCCA-IgM
levels were significantly higher in patients with cirrhosis compared to those
with HCC. In addition, SCCA-IgM, but not AFP serological levels, were
significantly lower in HCC patients who were treated with surgical resection
compared to those who received a different therapy.
PMID- 29381085
TI - Gum acacia stabilized silver nanoparticles based nano-cargo for enhanced anti
arthritic potentials of hesperidin in adjuvant induced arthritic rats.
AB - Nanomedicines anticipate drug delivery to inflamed tissues in rheumatoid
arthritis (RA) with greater efficacy and lesser side effects. This study
investigates the anti-arthritic potentials of Hesperidin (HP) loaded in gum
acacia (GA) stabilized green silver nanoparticles (AgNPs). Synthesized GA-AgNPs
were characterized through UV-vis spectrophotometer, zetasizer and atomic force
microscope (AFM). The HP and its loaded NPs were tested for RA in Complete
Freund's adjuvant (CFA) induced arthritis model. GA-AgNPs were found in nano
range size with negative charge, spherical shape and loaded increased HP amount.
HP loaded GA-AgNPs showed minimal arthritic score exhibiting mild to moderate
tissue swelling, reduced degenerative changes along with mild articular changes.
Histopathological analysis revealed comparatively lesser influx of inflammatory
cells and diminished granulamatous inflammation in ankle joints tissues in the
presence of HP loaded GA-AgNPs. RT-PCR revealed that HP loaded GA-AgNPs
significantly reduced the TLRs mRNA expression. Results validate GA stabilized
green AgNPs as stable nano-cargos for targeted delivery of HP for restoring the
progression of RA.
PMID- 29381086
TI - Treatment of vaginal atrophy with estriol and lactobacilli combination: a
clinical review.
AB - In recent years, a vast quantity of clinical data has been accumulated on the
pathophysiology of symptomatic vulvovaginal atrophy (VVA)/genitourinary syndrome
of menopause (GSM) in peri- and postmenopausal women and on the treatment options
for these conditions. Guidelines from several societies have recently been
updated in favor of VVA/GSM vaginal therapy with the lowest possible doses of
estrogens. The combination of a vaginal ultra-low dose of 0.03 mg of estriol (E3)
and lyophilized, viable Lactobacillus acidophilus KS400 (0.03 mg-E3/L) is a
unique product with a dual mechanism of action supporting not only the
proliferation and maturation of the vaginal epithelium, but also restoration of
the lactobacillary microflora. It has been demonstrated efficiently to establish
and maintain a healthy vaginal ecosystem. Use of this combination considerably
improves the clinical signs and symptoms as well as the quality of life of
menopausal women suffering from vaginal atrophy. This combination therapy is well
tolerated with a low overall incidence of side-effects and negligible estriol
absorption. Based on recent scientific evidence and current treatment guidelines,
the 0.03 mg-E3/L combination could be considered one of the options for the
treatment of symptomatic vaginal atrophy in aging menopausal women.
PMID- 29381087
TI - The evolution of coronary stents.
AB - INTRODUCTION: Percutaneous coronary intervention (PCI) is 40 years old this year.
From its humble beginnings of experimental work, PCI has transitioned over years
with coronary artery stenting now a standard medical procedure performed
throughout the world. Areas covered: The conversion from plain old balloon
angioplasty (POBA) to the present era of drug eluting stents (DES) has been
driven by many technological advances and large bodies of clinical trial
evidence. The journey to present day practice has seen many setbacks, such as
acute vessel closure with POBA; rates of instant restenosis with bare metal
stents (BMS) and more recently, high rates of stent thrombosis with bioabsorbable
platforms. This work discusses POBA, why there was a need for BMS, the use of
inhibiting drugs to create 1st generation DES, the change of components to 2nd
generation DES, the use of absorbable drug reservoirs and platforms, and possible
future directions with Prohealing Endothelial Progenitor Cell Capture Stents.
Expert commentary: This paper reviews the evolution from the original pioneering
work to modern day practice, highlighting landmark trials that changed practice.
Modern day contemporary practice is now very safe based on the latest drug
eluting stents and supported by large datasets.
PMID- 29381088
TI - Low dose monocrotaline causes a selective pulmonary vascular lesion in male and
female pneumonectomized rats.
AB - : Purpose/Aim: Low doses (30-80 mg/kg) of monocrotaline are commonly used to
create experimental models of pulmonary hypertension in rats. At these doses,
monocrotaline causes pulmonary endothelial apoptosis and acute lung injury which
ultimately results in pulmonary vascular disease. Higher doses of monocrotaline
(300 mg/kg) are known to create severe liver injury, but previous investigations
with lower doses have not reported histology in other organs to determine whether
the vascular injury with monocrotaline is pulmonary-selective or generalized.
MATERIALS AND METHODS: We therefore sought to determine whether monocrotaline
caused extra-pulmonary injury at doses commonly used in pulmonary hypertension
studies. We performed left pneumonectomy on young male and female rats before
administering 50-60 mg/kg monocrotaline 7 days later. We monitored serum
chemistry and urine dipsticks during the first 3 weeks while the animals
developed pulmonary hypertension. After 3 weeks, we sacrificed animals and
stained the lungs and highly vascular visceral organs (kidney, liver, and spleen)
for elastin to evaluate the degree of vascular injury and remodeling. RESULTS: We
did not observe proteinuria or significant transaminitis over the 3 weeks
following monocrotaline. As previously published, monocrotaline caused severe
pulmonary vascular disease with neointimal lesions and medial hypertrophy. We did
not identify significant large or small arterial damage in the kidneys, liver, or
spleen. Two external veterinary pathologists did not identify histopathology in
the kidneys, liver, or spleen of these rats. CONCLUSIONS: We conclude that 50-60
mg/kg of monocrotaline causes a selective pulmonary vascular lesion and that male
and female rats have little non-pulmonary damage over 3 weeks at these doses of
monocrotaline.
PMID- 29381089
TI - RNA-Seq investigation and in vivo study the effect of strontium ranelate on
ovariectomized rat via the involvement of ROCK1.
AB - Strontium ranelate (SrR) is an anti-osteoporosis drug with excellent osteogenic
and angiogenic capacity. In this study, we aimed to investigate the osteogenesis
and angiogenesis effects of SrR and the underlying mechanism involved. RNA-Seq
was conducted to examine the effects of SrR on gene expression in ovariectomy rat
bone marrow mesenchymal stem cells (OVX-rBMSCs). To validate the different
expressed gene in vitro, the effects of gene interference and overexpression in
osteogenic induction environment of OVX-rBMSCs and in primary osteoblasts were
studied. RNA-Seq showed that ROCK1 significantly increased after SrR treatment in
OVX-rBMSCs, and further validated by real-time PCR and western blotting.
Overexpression of ROCK1 promoted osteogenic differentiation of OVX-rBMSCs and
induced cell viability and inhibited apoptosis of primary osteoblasts, which was
reversed by inhibition of ROCK1 by RNA interference or ROCK1 inhibitor (Y-27632)
after SrR treatment. Furthermore, the SrR was loaded on nano-structured
hydroxyapatite (nano-HAp) particulates to promote osteogenesis and angiogenesis
in repairing of the femoral condyle bone defect using ovariectomy rat model.
Taken together, ROCK1 is one of the targets that SrR promotes the osteogenic
differentiation of OVX-rBMSCs and cell viability of primary osteoblasts, the nano
HAp particles could act as carriers for SrR to repair bone defects.
PMID- 29381091
TI - Development of an interprofessional competency framework for collaborative
practice in Japan.
AB - Rapid aging of the population necessitates improved collaboration among
healthcare professionals. Unfortunately, interprofessional collaboration has yet
to be implemented effectively in Japan. Therefore, we aimed to develop an
interprofessional competency framework for Japanese healthcare professionals. The
project was conducted as a four-step process, starting with initial
categorization of potential competency domains,, followed by guiding principle
and prototype development, feedback on the prototype, and final consensus. First,
authors (JH and MO) collected opinions about competency in interprofessional
collaboration at two academic meetings of the Japan Association for
Interprofessional Education (JAIPE) and then analyzed the data thematically.
Second, a project team consisting of JAIPE and University representatives
extracted the domains and statements as prototype 1. Third, seven representatives
from professional organizations joined the project team and developed prototype
2. We then called for feedback on the revised prototype 2 at both an open
symposium and via public comments. Following revision of prototype 2, a new
project team including 20 university, professional organization and health
practitioner representatives finally discussed prototype 3, developed the final
draft and reached a consensus. In analysis after collecting the data, we
extracted 11 themes. We developed four key principles which applied to six
domains as prototype 1-3. Finally, our competency framework included two core
domains of "Patient-/client-/family-/community-centered" and "Interprofessional
communication", and four peripheral domains of "Role contribution", "Facilitation
of relationships", "Reflection" and "Understanding of others". We developed an
interprofessional competency framework in Japan which consists of two core and
four peripheral domains. The interprofessional competency framework is likely to
affect the understanding of "high-context" and "relationalism" in Japanese
healthcare. We hope that our interprofessional competency framework will
encourage the systematic implementation of interprofessional education and
collaboration in Japan.
PMID- 29381090
TI - A Prospective Multicenter Evaluation of the Accuracy of a Novel Implanted
Continuous Glucose Sensor: PRECISE II.
AB - BACKGROUND: Persistent use of real-time continuous glucose monitoring (CGM)
improves diabetes control in individuals with type 1 diabetes (T1D) and type 2
diabetes (T2D). METHODS: PRECISE II was a nonrandomized, blinded, prospective,
single-arm, multicenter study that evaluated the accuracy and safety of the
implantable Eversense CGM system among adult participants with T1D and T2D
(NCT02647905). The primary endpoint was the mean absolute relative difference
(MARD) between paired Eversense and Yellow Springs Instrument (YSI) reference
measurements through 90 days postinsertion for reference glucose values from 40
to 400 mg/dL. Additional endpoints included Clarke Error Grid analysis and sensor
longevity. The primary safety endpoint was the incidence of device-related or
sensor insertion/removal procedure-related serious adverse events (SAEs) through
90 days postinsertion. RESULTS: Ninety participants received the CGM system. The
overall MARD value against reference glucose values was 8.8% (95% confidence
interval: 8.1%-9.3%), which was significantly lower than the prespecified 20%
performance goal for accuracy (P < 0.0001). Ninety-three percent of CGM values
were within 20/20% of reference values over the total glucose range of 40-400
mg/dL. Clarke Error Grid analysis showed 99.3% of samples in the clinically
acceptable error zones A (92.8%) and B (6.5%). Ninety-one percent of sensors were
functional through day 90. One related SAE (1.1%) occurred during the study for
removal of a sensor. CONCLUSIONS: The PRECISE II trial demonstrated that the
Eversense CGM system provided accurate glucose readings through the intended 90
day sensor life with a favorable safety profile.
PMID- 29381092
TI - Absorption Spectra of Ethanol and Water Using a Photothermal Lens
Spectrophotometer.
AB - In this work we report on the absorption spectra of ethanol and water in the
region 430-700 nm using a homemade halogen lamp-based photothermal lens
spectrophotometer with a multipass probe-beam configuration. The spectra also
include well resolved, higher absorption overtones. The instrument achieves high
sensitivity due to multiple reflections within the optical cavity containing the
sample. Finally, an Arduino board was used for collecting and digitizing the
signal, thus enabling a more compact device.
PMID- 29381093
TI - The Effects of Inflammation on Alpha 1 Antitrypsin Levels in a National Screening
Cohort.
AB - Alpha 1 Antitrypsin (AAT) is a highly polymorphic serum protein. Several genetic
variants are associated with varying degrees of decreased serum levels; however,
these levels can rise in response to infection, inflammation, injury and estrogen
levels. Although the effect of inflammation is well established, it has never
been studied quantitatively with respect to specific genotypes in a large
representative sample. Using data from a national AAT deficiency-targeted
screening cohort, we evaluated AAT levels of patients with normal and deficiency
genotypes in response to inflammation, indicated by elevated serum C-reactive
protein (CRP). Additionally, we utilized a regression analysis to adjust for the
effect of inflammation for each genotype. Across all stratified genotype groups,
increased AAT levels were observed in patients with CRP >=5 mg/L. Different AAT
phenotypes reacted differently in the acute phase; M showed a strong response and
Z a reduced reaction. Nevertheless, we discovered that inflammation significantly
masked clinically relevant base AAT levels in some PI*MZ individuals;
approximately a quarter of PI*MZ samples showed signs of inflammation. Median AAT
levels (mg/dL) in the presence of inflammation are given for several genotypes;
numbers in parentheses are levels from the cohort without inflammation/adjusted
levels from the cohort with inflammation using the newly devised algorithm:
PI*MM: 162 (142/140); PI*MS: 136 (117/115); PI*MZ: 104 (85/89); PI*MF: 161
(132/141); PI*SS: 115 (96/91); PI*SZ: 66 (54/50). We conclude that simultaneous
determinations of CRP and AAT levels, and genotyping are clinically valuable in
defining AAT variants and that the effect of inflammation can be adjusted for.
PMID- 29381094
TI - Erratum.
PMID- 29381095
TI - In-Vitro Effects of Secreted Frizzled-Related Protein 1 (SFRP1) On Human Corneal
Epithelial Cells.
AB - PURPOSE: Limbal corneal epithelial cells (LCECs) are responsible for corneal
epithelial cell regeneration. However, corneal central epithelial cells (CCECs)
are also suggested to display potential for self-renewal. Additionally, a better
understanding of molecules that regulate corneal epithelial cell regeneration is
important for studying conditions affecting the cornea, for example, keratoconus.
Given our previous findings of reduced levels of secreted frizzled-related
protein 1 (SFRP1) in tears from keratoconus patients compared to controls, we
investigated the effects of SFRP1 on the proliferation and survival of cultured
central and limbal human corneal epithelial cells. MATERIAL AND METHODS: Limbal
and central corneal explants were established from postmortem human corneas, and
cultured in CnT-PR, an epithelial-specific tissue culture media. Subcultured
cells from explants were immunostained for the cytokeratins CK3, 12, 19, and the
proliferative/oligopotent markers Ki67 and p63. BrdU flow cytometry, Alamar Blue
and LDH assays were used to assess effects of SFRP1 treatment on central and
LCECs. RESULTS: Primary limbal and central corneal epithelial cells were
successfully cultured in vitro to confluence (P6 and P4, respectively). They all
expressed varying levels of cytokeratins CK3, CK12 and CK19, and Ki67 and p63.
Additionally, they showed significantly increased metabolic activity after SFRP1
treatment (p < 0.05), with a maximum response at 1 MUg/mL of SPRF1. No difference
in proliferation was detected in SFRP1 treated LCECs; however, a reduction in
cell death was noted (p < 0.05). CONCLUSION: Similar to the LCECs, primary human
CCECs can be cultured in vitro, and expressed epithelial markers. SFRP1
demonstrated an improvement on the metabolic activity of both CCECs and LCECs,
which in LCECs could be resulted from reduced cell death. This may have
implications in degenerative corneal disorders, such as keratoconus.
PMID- 29381096
TI - Influence of menopausal status on the main contributors of muscle quality.
AB - BACKGROUND: Muscle quality is a strong independent predictor of physical
function. Body mass and fatness, muscle mass and cardiorespiratory fitness are
known to influence muscle quality. OBJECTIVE: To identify the contributors of
muscle quality in young and postmenopausal women and whether hormone replacement
therapy (HT) could influence this relationship at the age of menopause. METHODS:
Fifty-four postmenopausal women, 27 not on HT (PMW) and 27 on HT (PMW-HT), and 33
young women (YW) were evaluated for (1) body composition (body mass index, BMI),
total fat mass (FM, %), appendicular lean mass (ALM, in kg and %), and
appendicular skeletal mass index (ASMI = ALM/height2 in kg/m2); (2) absolute peak
oxygen uptake (VO2peak, in ml/min) and relative peak oxygen uptake (VO2peakRel in
ml/kg/min); and (3) absolute isometric knee extension strength (iKES in kg) and
relative isometric knee extension strength (iKES/BMI and iKES/ALM). RESULTS: YW,
PMW and PMW-HT had similar BMI (32.1 +/- 10.2, 27.3 +/- 4.7 and 26.7 +/- 4 kg/m2)
and FM (39.8 +/- 10.0, 39.8 +/- 7.3 and 39.9 +/- 7.1%), respectively.
Correlations were found between iKES/BMI index and FM (r = -0.52), ALM (r = 0.32)
and VO2peak (r = 0.31). Regression analysis demonstrated that, in YW, total
amount of variance in iKES/BMI was mostly explained by ALM (42%), whereas, in PMW
and PMW-HT, it was cumulatively explained by FM along with VO2peakRel (34 and
46%, respectively). CONCLUSION: The main contributors of muscle quality differ
between young and postmenopausal women and HT does not seem to influence this
relationship.
PMID- 29381097
TI - The relationship between speech recognition, behavioural listening effort, and
subjective ratings.
AB - OBJECTIVE: The purpose of this study was to evaluate the reliability and validity
of four subjective questions related to listening effort. A secondary purpose of
this study was to evaluate the effects of hearing aid beamforming microphone
arrays on word recognition and listening effort. DESIGN: Participants answered
subjective questions immediately following testing in a dual-task paradigm with
three microphone settings in a moderately reverberant laboratory environment in
two noise configurations. Participants rated their: (1) mental work, (2) desire
to improve the situation, (3) tiredness, and (4) desire to give up. Data were
analysed using repeated measures and reliability analyses. STUDY SAMPLE: Eighteen
adults with symmetrical sensorineural hearing loss participated. RESULTS:
Beamforming differentially affected word recognition and listening effort.
Analysis revealed the same pattern of results for behavioural listening effort
and subjective ratings of desire to improve the situation. Conversely, ratings of
work revealed the same pattern of results as word recognition performance.
Ratings of tiredness and desire to give up were unaffected by hearing aid
microphone or noise configuration. CONCLUSIONS: Participant ratings of their
desire to control the listening situation appear to reliable subjective
indicators of listening effort that align with results from a behavioural measure
of listening effort.
PMID- 29381098
TI - Are BTK and PLCG2 mutations necessary and sufficient for ibrutinib resistance in
chronic lymphocytic leukemia?
AB - INTRODUCTION: Ibrutinib is the first BTK inhibitor to show efficacy in chronic
lymphocytic leukemia (CLL) and is also the first BTK inhibitor to which patients
have developed resistance. Mutations in BTK and PLCG2 are found in ~80% of CLL
patients with acquired resistance to ibrutinib, but it remains unclear if these
mutations are merely associated with disease relapse or directly cause it. Areas
covered: Unique properties of both CLL and ibrutinib that complicate attempts to
definitively conclude whether BTK/PLCG2 mutations are passengers or drivers of
ibrutinib-resistant disease are reviewed. Characteristics of mutations that drive
drug resistance are summarized and whether BTK/PLCG2 mutations possess these is
discussed. These characteristics include (1) identification in multiple patients
with acquired resistance, (2) in vitro validation of drug-resistant properties,
(3) mutual exclusivity with one another, (4) increasing frequency over time on
drug, and (5) high frequency at the time and site of clinical relapse. Expert
commentary: While BTK/PLCG2 mutations have characteristics suggesting that they
can drive ibrutinib resistance, this conclusion remains formally unproven until
specific inhibition of such mutations is shown to cause regression of ibrutinib
resistant CLL. Data suggest that alternative mechanisms of resistance do exist in
some patients.
PMID- 29381100
TI - Multiwavelength Raman Spectroscopic Analysis of Superficial Iron-Chromium Oxides
Generated Using Laser Irradiation.
AB - In order to characterize iron-chromium oxides generated by laser irradiation on
the surface of stainless steel plates, an ultraviolet-visible (UV-Vis) near
infrared (NIR) multiwavelength excitation Raman analysis has been performed using
both austenitic SS304 and ferritic SS430 stainless steel samples. Raman spectra
were obtained using five different excitation wavelengths from blue (455 nm) to
NIR (830 nm). These measurements have allowed us to observe and identify four
Raman bands, among which two have not been previously observed for iron-chromium
oxides, and characterize the existence of different resonant excitation
conditions for the different excitation wavelengths. For example, when using 455
nm as excitation wavelength the band at 485 cm-1 did not show up, although that
when using 830 nm as excitation wavelength is a clear characteristic band for
iron-chromium oxide. In addition, the dependence of the spectra profile with the
excitation wavelength for films and microspheres features was observed. This
experimental Raman analysis shows the importance of the excitation wavelength for
the characterization of metallic oxides with different features.
PMID- 29381099
TI - Quality Evaluation Scores are no more Reliable than Gestalt in Evaluating the
Quality of Emergency Medicine Blogs: A METRIQ Study.
AB - : Construct: We investigated the quality of emergency medicine (EM) blogs as
educational resources. PURPOSE: Online medical education resources such as blogs
are increasingly used by EM trainees and clinicians. However, quality evaluations
of these resources using gestalt are unreliable. We investigated the reliability
of two previously derived quality evaluation instruments for blogs. APPROACH:
Sixty English-language EM websites that published clinically oriented blog posts
between January 1 and February 24, 2016, were identified. A random number
generator selected 10 websites, and the 2 most recent clinically oriented blog
posts from each site were evaluated using gestalt, the Academic Life in Emergency
Medicine (ALiEM) Approved Instructional Resources (AIR) score, and the Medical
Education Translational Resources: Impact and Quality (METRIQ-8) score, by a
sample of medical students, EM residents, and EM attendings. Each rater evaluated
all 20 blog posts with gestalt and 15 of the 20 blog posts with the ALiEM AIR and
METRIQ-8 scores. Pearson's correlations were calculated between the average
scores for each metric. Single-measure intraclass correlation coefficients (ICCs)
evaluated the reliability of each instrument. RESULTS: Our study included 121
medical students, 88 EM residents, and 100 EM attendings who completed ratings.
The average gestalt rating of each blog post correlated strongly with the average
scores for ALiEM AIR (r = .94) and METRIQ-8 (r = .91). Single-measure ICCs were
fair for gestalt (0.37, IQR 0.25-0.56), ALiEM AIR (0.41, IQR 0.29-0.60) and
METRIQ-8 (0.40, IQR 0.28-0.59). CONCLUSION: The average scores of each blog post
correlated strongly with gestalt ratings. However, neither ALiEM AIR nor METRIQ-8
showed higher reliability than gestalt. Improved reliability may be possible
through rater training and instrument refinement.
PMID- 29381101
TI - Octreotide-modified liposomes containing daunorubicin and dihydroartemisinin for
treatment of invasive breast cancer.
AB - Tumor invasion is considered a major promoter in the initiation of tumor
metastasis, which is supposed to cause most cancer-related deaths. In the present
study, octreotide (OCT)-modified daunorubicin plus dihydroartemisinin liposomes
were developed and characterized. Evaluations were undertaken on breast cancer
MDA-MB-435S cells and MDA-MB-435S xenografts nude mice. The liposomes were ~100
nm in size with a narrow polydispersity index. In vitro results showed that the
OCT-modified daunorubicin plus dihydroartemisinin liposomes could enhance
cytotoxicity and cellular uptake by OCT-SSTRs (somatostatin receptors)-mediated
active targeting, block on tumor cell wound healing and migration by
incorporating dihydroartemisinin. The action mechanism might be related to
regulations on E-cadherin, alpha5beta1-integrin, TGF-beta1, VEGF and MMP2/9 in
breast cancer cells. In vivo, the liposomes displayed a prolonged circulating
time, more accumulation in tumor location, and a robust overall antitumor
efficacy with no obvious toxicity at the test dose in MDA-MB-435S xenograft mice.
In conclusion, the OCT-modified daunorubicin plus dihydroartemisinin liposomes
could prevent breast cancer invasion, hence providing a possible strategy for
treatment of metastatic breast cancer.
PMID- 29381102
TI - Transvascular therapy of Hepatocellular Carcinoma (HCC), status and developments.
AB - Hepatocellular carcinoma (HCC) is the fifth most common cancer worldwide. Only 30
40% of patients diagnosed with HCC are candidates for curative treatment options.
The remaining majority of patients undergo local, regional or systemic palliative
therapies. Transvascular therapy of HCC takes advantage of the fact that
hypervascularized HCCs receive their main perfusion from the hepatic artery. In
this context transvascular therapy describes different therapies: bland
embolization (transarterial embolization, TAE), cTACE (conventional transarterial
chemoembolization), DEB-TACE (TACE with drug-eluting beads, DEB) and SIRT
(selective internal radiation therapy, radioembolization). cTACE is the most
common type of transvascular treatment and represents a combination of the intra
arterial use of a chemotherapeutic agent and embolization. There is no
standardized regimen for cTACE. It remains unclear whether the intra-arterial
application of a chemotherapeutic agent is definitely required, because bland
embolization alone using very small spherical particles shows tumor necrosis
comparable to cTACE. For DEB-TACE microparticles loaded with a chemotherapeutic
drug combine the advantages of cTACE and bland embolization.
PMID- 29381103
TI - Comparison of automated ribosomal intergenic spacer analysis (ARISA) and
denaturing gradient gel electrophoresis (DGGE) techniques for analysing the
influence of diet on ruminal bacterial diversity.
AB - The objective of this study was to compare the automated ribosomal intergenic
spacer analysis (ARISA) and the denaturing gradient gel electrophoresis (DGGE)
techniques for analysing the effects of diet on diversity in bacterial pellets
isolated from the liquid (liquid-associated bacteria (LAB)) and solid (solid
associated bacteria (SAB)) phase of the rumen. The four experimental diets
contained forage to concentrate ratios of 70:30 or 30:70 and had either alfalfa
hay or grass hay as forage. Four rumen-fistulated animals (two sheep and two
goats) received the diets in a Latin square design. Bacterial pellets (LAB and
SAB) were isolated at 2 h post-feeding for DNA extraction and analysed by ARISA
and DGGE. The number of peaks in individual samples ranged from 48 to 99 for LAB
and from 41 to 95 for SAB with ARISA, and values of DGGE-bands ranged from 27 to
50 for LAB and from 18 to 45 for SAB. The LAB samples from high concentrate-fed
animals tended (p < 0.10) to show greater peak numbers and Shannon index values
than those isolated from high forage-fed animals with ARISA, but no differences
were identified with DGGE. The SAB samples from high concentrate-fed animals had
lower (p < 0.05) peak numbers and Shannon index values than those from animals
fed high-forage diets with ARISA, but only a trend was noticed for these
parameters with DGGE (p < 0.10). The ARISA detected that animals fed alfalfa hay
diets showed lower (p < 0.05) SAB diversity than those fed grass hay diets, but
no differences were observed with DGGE. No effect of forage type on LAB diversity
was detected by any technique. In this study, ARISA detected some changes in
ruminal bacterial communities that were not detected by DGGE, and therefore ARISA
was considered more appropriate for assessing bacterial diversity of ruminal
bacterial pellets. The results highlight the impact of the fingerprinting
technique used to draw conclusions on dietary factors affecting bacterial
diversity in ruminal bacterial pellets.
PMID- 29381104
TI - Current issues: patient perception of clinical photography.
PMID- 29381105
TI - The application of the Mayer multimedia learning theory to medical PowerPoint
slide show presentations.
AB - PowerPointTM and other slideware have the potential to be overused and abused.
Presentations should be tailored using scientifically derived principles in order
to maximise teaching potential. This paper applies the Mayer Multimedia Learning
Theory (with its twelve evidence-based principles of multimedia design) to
medical slide show presentations. The best way to avoid audience boredom or
mortification is to adhere to these precepts. Presentations stand or fall on the
quality, relevance, and integrity of the content. Slide shows should supplement a
presentation, and not substitute for it. The key principles are brevity, cogency
and clarity.
PMID- 29381106
TI - Medical evidence and proof of cause of death in Nigerian courts.
AB - Medical evidence has continued to be given and evaluated in Nigerian courts since
Nigeria's independence from Britain. The attitudes of the courts have been
largely varied against a background of the individual judge's appreciation of
forensic science and who should be considered an expert witness. The prosecution
and defence lawyers equally display limited knowledge of forensic science. This
paper reviews some of the decided cases, the reasons for the verdicts, forensic
concerns and recommendations for the improvement of the criminal justice system.
There is need to improve the knowledge base of the bar and the bench.
PMID- 29381107
TI - Retraction notice.
AB - At the request of the Journal Editor and the Publisher, the following article has
been retracted. Sajid M.S. Bioethics and Medical Education. Scottish Medical
Journal 2008; 53(2): 62-63. DOI: 10.1258/rsmsmj.53.2.62 The above paper has
substantial unreferenced overlap to the following paper: Jafarey A.M. Bioethics
and Medical Education. Journal of Pakistan Medical Association 2003; 53 (6): 210
214.
PMID- 29381108
TI - Food Availability Is the Main Driver of Seasonal Changes in Resting Metabolic
Rate in African Striped Mice (Rhabdomys pumilio).
AB - Resting metabolic rate (RMR) influences energy allocation to survival, growth,
and reproduction, and significant seasonal changes in RMR have been reported.
According to one hypothesis, seasonal changes in RMR are mainly attributable to
seasonal changes in ambient temperature (Ta) and food availability. Studies on
species from the temperate zone indicated that food availability is the main
driver. However, whether this is generally true is unknown, because studies from
the tropics and subtropics, where most species live, are rare. We studied the
African striped mouse (Rhabdomys pumilio) inhabiting a seasonal environment with
hot dry seasons with low food availability and cold moist seasons with high food
availability. Using 603 RMR measurements of 277 individuals, we investigated the
relative importance of food availability and Ta on RMR during selected periods,
in which one extrinsic factor varied while the other factor was relatively
constant. At similar Ta, residual RMR increased with increasing levels of food
availability. In contrast, different Ta did not influence residual RMR at similar
levels of food availability. Thus, our study on a subtropical species gives
support to the hypothesis, derived from temperate zone species, that food
availability mainly drives seasonal changes in RMR.
PMID- 29381109
TI - Natural Language-based Machine Learning Models for the Annotation of Clinical
Radiology Reports.
AB - Purpose To compare different methods for generating features from radiology
reports and to develop a method to automatically identify findings in these
reports. Materials and Methods In this study, 96 303 head computed tomography
(CT) reports were obtained. The linguistic complexity of these reports was
compared with that of alternative corpora. Head CT reports were preprocessed, and
machine-analyzable features were constructed by using bag-of-words (BOW), word
embedding, and Latent Dirichlet allocation-based approaches. Ultimately, 1004
head CT reports were manually labeled for findings of interest by physicians, and
a subset of these were deemed critical findings. Lasso logistic regression was
used to train models for physician-assigned labels on 602 of 1004 head CT reports
(60%) using the constructed features, and the performance of these models was
validated on a held-out 402 of 1004 reports (40%). Models were scored by area
under the receiver operating characteristic curve (AUC), and aggregate AUC
statistics were reported for (a) all labels, (b) critical labels, and (c) the
presence of any critical finding in a report. Sensitivity, specificity, accuracy,
and F1 score were reported for the best performing model's (a) predictions of all
labels and (b) identification of reports containing critical findings. Results
The best-performing model (BOW with unigrams, bigrams, and trigrams plus average
word embeddings vector) had a held-out AUC of 0.966 for identifying the presence
of any critical head CT finding and an average 0.957 AUC across all head CT
findings. Sensitivity and specificity for identifying the presence of any
critical finding were 92.59% (175 of 189) and 89.67% (191 of 213), respectively.
Average sensitivity and specificity across all findings were 90.25% (1898 of
2103) and 91.72% (18 351 of 20 007), respectively. Simpler BOW methods achieved
results competitive with those of more sophisticated approaches, with an average
AUC for presence of any critical finding of 0.951 for unigram BOW versus 0.966
for the best-performing model. The Yule I of the head CT corpus was 34, markedly
lower than that of the Reuters corpus (at 103) or I2B2 discharge summaries (at
271), indicating lower linguistic complexity. Conclusion Automated methods can be
used to identify findings in radiology reports. The success of this approach
benefits from the standardized language of these reports. With this method, a
large labeled corpus can be generated for applications such as deep learning. (c)
RSNA, 2018 Online supplemental material is available for this article.
PMID- 29381110
TI - Qualities of Sore Throat Index (QuaSTI): measuring descriptors of sore throat in
a randomized, placebo-controlled trial.
AB - AIM: Patients with pharyngitis often describe various sensory, affective and
evaluative pain qualities. Using an 11-word/phrase index, the Qualities of Sore
Throat Index (QuaSTI), we characterized throat symptoms and evaluated changes in
a randomized controlled trial (NCT01986361). MATERIALS & METHODS: Patients
received a single flurbiprofen 8.75 mg (n = 101) or placebo (n = 21) lozenge and
rated throat soreness at baseline and regular intervals over 3 h, and the QuaSTI
at baseline, 1, 2 and 3 h post-treatment. RESULTS: The QuaSTI distinguished
active drug from placebo and detected clinically important (>=2-point) changes
over 3 h. Mean change from baseline over 3 h was significantly greater for
flurbiprofen (154%) than placebo (p < 0.05). CONCLUSION: The QuaSTI is a
sensitive instrument for measuring therapeutic effects in patients with
pharyngitis.
PMID- 29381111
TI - Neighborhood Poverty and 9-1-1 Ambulance Response Time.
AB - BACKGROUND: Are 9-1-1 ambulances relatively late to poorer neighborhoods? Studies
suggesting so often rely on weak measures of neighborhood (e.g., postal zip
code), limit the analysis to particular ambulance encounters (e.g., cardiac
arrest responses), and do little to account for variations in dispatch priority
or intervention severity. METHODS: We merged EMS ambulance contact records in a
single California county (n = 87,554) with tract-level data from the American
Community Survey (n = 300). After calculating tract-level median ambulance
response time (MART), we used ordinary least squares (OLS) regression to estimate
a conditional average relationship between neighborhood poverty and MART and
quantile regression to condition this relationship on 25th, 50th, and 75th
percentiles of MART. We also specified each of these outcomes by five dispatch
priorities and by three intervention severities. For each model, we estimated the
associated changes in MART per 10 percentage point increase in tract-level
poverty while adjusting for emergency department proximity, population density,
and population size. RESULTS: Our study produced three major findings. First,
most of our tests suggested tract-level poverty was negatively associated with
MART. Our baseline OLS model estimates that a 10 percentage point increase in
tract-level poverty is associated with almost a 24 s decrease in MART (-23.55 s,
95% confidence interval [CI] -33.13 to -13.98). Results from our quantile
regression models provided further evidence for this association. Second, we did
not find evidence that ambulances are relatively late to poorer neighborhoods
when specifying MART by dispatch priority. Third, we were also unable to identify
a positive association between tract-level poverty and MART when we specified our
outcomes by three intervention severities. Across each of our 36 models, tract
level poverty was either not significantly associated with MART or was negatively
associated with MART by a magnitude smaller than a full minute per estimated 10
percentage point increase in poverty concentration. CONCLUSION: Our study
challenges the commonly held assumption that ambulances are later to poor
neighborhoods. We scrutinize our findings before cautiously considering their
relevance for ambulance response time research and for ongoing conversations on
the relationship between neighborhood poverty and prehospital care.
PMID- 29381112
TI - Links between social environment and health care utilization and costs.
AB - The social environment influences health outcomes for older adults and could be
an important target for interventions to reduce costly medical care. We sought to
understand which elements of the social environment distinguish communities that
achieve lower health care utilization and costs from communities that experience
higher health care utilization and costs for older adults with complex needs. We
used a sequential explanatory mixed methods approach. We classified community
performance based on three outcomes: rate of hospitalizations for ambulatory care
sensitive conditions, all-cause risk-standardized hospital readmission rates, and
Medicare spending per beneficiary. We conducted in-depth interviews with key
informants (N = 245) from organizations providing health or social services.
Higher performing communities were distinguished by several aspects of social
environment, and these features were lacking in lower performing communities: 1)
strong informal support networks; 2) partnerships between faith-based
organizations and health care and social service organizations; and 3) grassroots
organizing and advocacy efforts. Higher performing communities share similar
social environmental features that complement the work of health care and social
service organizations. Many of the supportive features and programs identified in
the higher performing communities were developed locally and with limited
governmental funding, providing opportunities for improvement.
PMID- 29381113
TI - Anxiety is more important than depression in MS - No.
PMID- 29381114
TI - Synergistic Effects of Continuous Low Level Heat Wraps and Vitamins in Improving
Balance and Gait in Adults.
AB - Ageing is associated with a loss of balance and mobility. This study was
conducted to determine if the use of heat, vitamins alone or heat with vitamins
could increase mobility and balance in adults with mobility impairments. Eighty
adults in the age range between 55 and 64 were randomly divided into 4 different
groups; low level continues heat (LLCH), vitamins (VT) alone, vitamins combined
with heat (VT + H), and control group. Subjects were sedentary individuals that
were not participating in any balance or walking exercises regularly and were not
taking vitamins for at least 1 year. 4000 units vitamin D, 1000 units vitamin E,
300mg CoQ10, 600 mg Calcium, 1 tablet multivitamin were taken each day in the VT
and VT + H groups. LLCH was applied with a dry chemical heat wrap, average
temperature 42 degrees C for 4 hours per day over the upper leg. The outcome was
assessed through postural sway and tremor during standing, tremor, gait speed,
symmetry, ground reaction force, and muscle activity during gait. All
experimental groups showed significant improvement in balance and walking ability
after 4 months of interventions (p < 0.05) but no significant changes were found
in the control group. The difference between the control group and all other
groups was significant (p < 0.05). The greatest improvements were found in VT + H
group after 4 months. This is evidenced by better balance, less muscle tremor and
faster and more stable gait, especially with the heat and vitamins used together.
Heat and vitamins used together were synergistic.
PMID- 29381115
TI - Anxiety is more important than depression in MS - Yes.
PMID- 29381116
TI - Alcohol Consumption and Physical Activity in Austrian College Students-A Cross
Sectional Study.
AB - BACKGROUND: The age of college students is considered as crucial for developing
health-related behaviors, e.g., alcohol consumption or a physically active
lifestyle. Previous research reported a positive relationship between alcohol
consumption and physical activity (PA) in college students. However, the main
body of research was done in students from the United States who might differ
from European students. OBJECTIVES: Thus the aim of this study was to analyze the
relationship between alcohol consumption and PA in a sample of Austrian college
students. METHODS: In a cross-sectional design, 861 Austrian students from
various study fields responded to a web-based questionnaire. Self-reported
alcohol consumption, PA, and relevant sociodemographic variables were assessed.
Multiple regression analyses were used to study the relationship between alcohol
consumption and PA. RESULTS: In none of the regression models, a significant
relationship between alcohol consumption and PA was found. There was a
significant influence of sex, age, relationship status, education level, and
study field on alcohol consumption. Male, older, and undergraduate students
studying social sciences without a relationship reported higher alcohol
consumption. Conclusions/Importance: The results do not support a general
relationship between alcohol consumption and PA among urban Austrian college
students of various study fields. Compared to other variables (e.g., sex,
relationship status), PA seems to be less important in relation to the
consumption of alcohol. This study challenges a global perspective on a positive
relationship between alcohol consumption and PA and highlights the need for more
cross-cultural investigations.
PMID- 29381117
TI - Exploring women's decisions about childbearing after the lifting of the one-child
policy.
AB - In 2016, China officially ended the 'one-child' policy permitting all couples to
have two children for the first time since 1979. While the policy was relaxed due
to demographic concerns, it simultaneously provided many women with a new
reproductive opportunity. The goal of this study was to qualitatively understand
the childbearing decision process in the new era of the two-child policy. We
conducted in-depth, semi-structured interviews with 45 postpartum women at two
hospitals in Zhejiang Province, China. The interviews explored women's views on
the two-child policy and reproductive decisions and how they decided to have
their first or second child. Most women approved of the lifting of the one-child
policy; however, many were hesitant or uncertain about their own decisions to
have second children. Many felt pressured to have two children for the good of,
or as an obligation to, the family. However, they also felt that caring for two
children was burdensome, and that they would have to sacrifice a lot in terms of
freedom, energy and money. Their responses to the new reproductive opportunity
highlight the complexity of childbearing decision-making in modern Chinese
society, against a background of persisting traditional values.
PMID- 29381118
TI - Social workers can help older adults prepare for and respond to natural and man
made emergencies.
PMID- 29381119
TI - Access to Showers and Change Rooms at Work Associated With Active Commuting Among
Older Workers: Findings From a National Population Survey.
AB - Access to workplace showers and change rooms (WS/CR) has been found to be
associated with active commuting (AC). Yet it is unclear whether this extends to
older workers. We examined the association between WS/CR and AC (walking,
cycling) comparing older and younger workers. Data came from 53,294 respondents
to the 2007-2008 Canadian Community Health Survey. Associations between WS/CR and
walking and cycling were analyzed for main effects and by age and sex using
logistic regression. Compared with younger ages, workers 50 to 75 years old were
more likely to cycle to work if WS/CR were available (odds ratio [OR] = 1.71, 95%
confidence interval [CI] = [1.13, 2.58]), though the overall and sex-related
associations between WS/CR and AC were nonsignificant. WS/CR may be a promising
strategy to promote AC particularly among older workers. With large numbers of
middle- and older-aged adults working longer, the implications of AC for
sustaining good health may be considerable.
PMID- 29381120
TI - Reduced Swimming Performance Repeatedly Evolves on Loss of Migration in
Landlocked Populations of Alewife.
AB - Whole-organism performance tasks are accomplished by the integration of
morphological traits and physiological functions. Understanding how evolutionary
change in morphology and physiology influences whole-organism performance will
yield insight into the factors that shape its own evolution. We demonstrate that
nonmigratory populations of alewife (Alosa pseudoharengus) have evolved reduced
swimming performance in parallel, compared with their migratory ancestor. In
contrast to theoretically and empirically based predictions, poor swimming among
nonmigratory populations is unrelated to the evolution of osmoregulation and
occurs despite the fact that nonmigratory alewives have a more fusiform (torpedo
like) body shape than their ancestor. Our results suggest that elimination of
long-distance migration from the life cycle has shaped performance more than
changes in body shape and physiological regulatory capacity.
PMID- 29381122
TI - Irinotecan/IR-820 coloaded nanocomposite as a cooperative nanoplatform for
combinational therapy of tumor.
AB - AIM: To enhance synergistic therapeutic effects in breast cancer therapy. Here,
we used hollow mesoporous silica nanoparticles as a biocompatible carrier to
coload chemotherapy drugs Irinotecan and near-infrared IR-820 dye, which enhanced
antitumor efficacy by combining chemotherapy and phototherapy. METHODS: The
successful synthesis of hollow mesoporous silica nanoparticles/Irinotecan/IR820
(HMII) nanocomplex was confirmed by Fourier transform infrared spectroscopy and
Fluorescence spectra. The photothermal conversion efficiency and antitumor
efficiency in murine breast cancer cells (EMT-6) bearing mice were further
evaluated. RESULTS: The results demonstrated that HMII enhanced the delivery of
Irinotecan and IR-820 into EMT-6 cells. HMII generated a high temperature upon a
near-infrared laser irradiation (808 nm), and showed higher therapeutic efficacy
in EMT-6-bearing mice compared with either HMII without laser or free drug with a
laser. CONCLUSION: HMII is a desired drug codelivery system to efficiently
inhibit the growth of breast cancer.
PMID- 29381123
TI - Anxiety is more important than depression in MS - Commentary.
PMID- 29381124
TI - The computational study of the gamma-Fe2O3 nanoparticle as Carmustine drug
delivery system: DFT approach.
AB - In the present study, it is attempted to scrutinize the properties of the
maghemite nanoparticle as a Carmustine drug delivery system by means of the
density functional theory calculations regarding their geometries, adsorption
energies, vibrational frequencies, and topological features of the electron
density. Based on the density functional theory results, it is found that the
interaction between Carmustine drug molecule and maghemite nanoparticle is weak;
so that, the adsorption of the Carmustine drug is typically physisorption. It is
also found that the intermolecular hydrogen bonds between the drug and the
nanoparticle play the significant role in the stability of the physisorption
configurations. The nature of the intermolecular interactions has been explored
by calculation of the electron densities and their Laplacian at the bond critical
points using Atoms-in-Molecule theory. Moreover, natural bond orbital analysis
indicates that the Carmustine molecule can be adsorbed on the nanoparticle
surface with a charge transfer from the Carmustine drug to the nanoparticle.
PMID- 29381125
TI - Talking about sex in pregnancy: reflections from the field in urban South Africa.
AB - Qualitative research with close engagement in the field allows researchers and
participants to build relationships and establish trust, enabling researchers to
collect meaningful and sensitive information. Drawing on findings from a study
conducted in an urban setting in South Africa, we discuss the challenges faced
when interviewing pregnant women with HIV infection, retaining them in the study,
and extending the study to include their partners. We discuss the dynamics of
pregnancy and draw lessons from interviews concerned with personal, sensitive
issues. The study on which we draw was conducted in Johannesburg, South Africa,
and was nested in a larger prospective cohort study of women and their infants,
which in turn was part of a case control study. Sensitive topics are difficult
and complex, but to ignore these and stay in safe territory is to ignore some of
the most pressing questions of our time. It is important that those who conduct
interviews are well trained and able to engage empathetically with participants,
and that some form of counselling is available for both participants and
researchers.
PMID- 29381126
TI - The evolving management of small bowel adenocarcinoma.
AB - BACKGROUND: Small bowel adenocarcinoma (SBA) is rare despite the fact that the
small bowel represents the longest part and has the largest surface of all
alimentary tract sections. Its incidence is 50-fold lower than that of colorectal
carcinoma. It is often diagnosed at an advanced stage due to atypical and late
symptoms, its low index of suspicion, difficult endoscopic access and poor
detection by radiological imaging, resulting in impaired outcome. Due to its
rarity and being molecularly a unique intestinal cancer, data regarding its
optimal management are relatively sparse. MATERIAL AND METHODS: A PubMed search
was performed to identify relevant manuscripts that were recently published.
Emerging data regarding the pathogenesis, the diagnosis and the treatment of SBA
that resulted from recent research are discussed in this comprehensive review.
RESULTS: Genomic analysis has demonstrated that SBA is a molecularly unique
intestinal cancer. Double balloon enteroscopy and capsule endoscopy are novel
techniques which may result in earlier diagnosis and consequently in improvement
of the generally poor prognosis. For clinically localized disease, the quality of
surgery has recently been defined, with removal of at least 8-10 lymph nodes
correlating with improved prognosis. Moreover, adjuvant chemotherapy seems to
improve outcome of stage III disease. The combination of a fluoropyrimidine and
oxaliplatin appears to be the most effective systemic chemotherapy for
disseminated disease. Genomic profiling can identify potentially targetable
genomic alterations in a significant proportion of SBA patients. The role of
administration of targeted agents or immune checkpoint inhibitors is still
unknown and subject of ongoing clinical trials. In the common case of peritoneal
metastases, recent studies have shown that cytoreductive surgery and
intraoperative hyperthermic intraperitoneal chemotherapy may be an attractive
treatment option in selected patients. CONCLUSIONS: SBA is a rare and unique
malignancy, whose diagnostic approach and treatment are evolving, resulting in
improved outcome.
PMID- 29381127
TI - Does restructuring theory and clinical courses better prepare nursing students to
manage residents with challenging behaviors in long-term care settings?
AB - Bachelor of Nursing students (BN) placed in long-term care encounter residents
who exhibit challenging behaviors. Students are often inadequately prepared to
manage these behaviors, and this is a source of distress for students. This study
explored whether enhancing and restructuring theoretical and clinical courses
resulted in student nurses feeling better prepared to manage residents'
challenging behaviors and improve their levels of distress. This study was
conducted in two phases with 116 BN students (first phase) and 99 students
(second phase) where the course on older adults was restructured. The findings of
this study indicated that students who felt less prepared experienced greater
distress by residents' behaviors than those who felt better prepared. Scheduling
a theoretical course on the care of older adults prior to the clinical course
placement, as well as offering an online learning module focused on responsive
behaviors, significantly increased students' feelings of preparedness to manage
residents' complex behaviors.
PMID- 29381128
TI - Supporting ethical use of electronic monitoring for people living with dementia:
Social work's role in assessment, decision-making, and review.
AB - Walking outdoors supports health and well-being, but some people living with
dementia are at increased risk of getting lost and of harm while missing.
Electronic monitoring can potentially play an important preventative role by
enabling the person's location to be continuously monitored by caregivers.
However, there are considerable ethical concerns arising from electronic
monitoring. This paper explores these thematically, drawing attention to its
implications for autonomy and liberty; privacy; dignity; the rights and needs of
caregivers and families; beneficence and nonmaleficence. Following from this, key
questions for consideration in social work assessment are identified. The ethical
issues necessitate assessment of the person's unique circumstances and
preferences and that of their caregivers, and careful ethical deliberation in
decision-making. Social work can play an important role in facilitating inclusive
assessment and decision-making, leading to consensus on intervening with
electronic monitoring. The need for the ongoing review following implementation
is discussed to track whether decisions need modification in light of the
experience of usage. In conclusion, while legislative instruments and
professional codes of ethics frame social work practice responses, there is need
for a nuanced debate about ethical use of electronic monitoring and specific
guidance to inform assessment, decision-making, and review.
PMID- 29381129
TI - Are existing standard methods suitable for the evaluation of nanomedicines: some
case studies.
AB - The use of nanotechnology in medical products has been demonstrated at laboratory
scale, and many resulting nanomedicines are in the translational phase toward
clinical applications, with global market trends indicating strong growth of the
sector in the coming years. The translation of nanomedicines toward the clinic
and subsequent commercialization may require the development of new or adaptation
of existing standards to ensure the quality, safety and efficacy of such
products. This work addresses some identified needs, and illustrates the
shortcomings of currently used standardized methods when applied to medical
nanoparticles to assess particle size, drug loading, drug release and in vitro
safety. Alternative physicochemical, and in vitro toxicology methods, with the
potential to qualify as future standards supporting the evaluation of
nanomedicine are provided.
PMID- 29381130
TI - Feedback control of microbubble cavitation for ultrasound-mediated blood-brain
barrier disruption in non-human primates under magnetic resonance guidance.
AB - Focused ultrasound (FUS) in combination with microbubbles is capable of
noninvasive, site-targeted delivery of drugs through the blood-brain barrier
(BBB). Although acoustic parameters are reproducible in small animals, their
control remains challenging in primates due to skull heterogeneity. This study
describes a 7-T magnetic resonance (MR)-guided FUS system designed for BBB
disruption in non-human primates (NHP) with a robust feedback control based on
passive cavitation detection (PCD). Contrast enhanced T1-weighted MR images
confirmed the BBB opening in NHP sonicated during 2 min with 500-kHz frequency,
pulse length of 10 ms, and pulse repetition frequency of 5 Hz. The safe acoustic
pressure range from 185 +/- 22 kPa to 266 +/- 4 kPa in one representative case
was estimated from combining data from the acoustic beam profile with the BBB
opening and hemorrhage profiles obtained from MR images. A maximum amount of MR
contrast agent at focus was observed at 30 min after sonication with a relative
contrast enhancement of 67% +/- 15% (in comparison to that found in muscles). The
feedback control based on PCD using relative spectra was shown to be robust,
allowing comparisons across animals and experimental sessions. Finally, we also
demonstrated that PCD can test acoustic coupling conditions, which improves the
efficacy and safety of ultrasound transmission into the brain.
PMID- 29381132
TI - Writhing.
PMID- 29381131
TI - Systematic Reviews Published in the Cochrane Library April-May 2017.
AB - The Cochrane Library of Systematic Reviews is published monthly online (
http://www.thecochranelibrary.com ). The library currently contains 7332 complete
reviews and 2520 protocols for reviews in production. In addition, there are
citations of 1,055,253 randomized controlled trials and 15,764 cited papers in
the Cochrane Methodology Register. The Health Technology Assessment database
contains some 17,000 citations. The impact factor of the Cochrane Library stands
at 6.1. This report attempted to identify all relevant reviews published in the 2
months to May 31, 2017. Eight reviews have been identified that have potential
relevance for practitioners in pain and palliative medicine. Readers are
encouraged to access the full report for any articles of interest, as only a
brief commentary is provided.
PMID- 29381133
TI - Gabapentin for Cough in Cancer.
AB - Cough is a common problem among cancer patients, especially lung cancer patients.
Gabapentin has been shown to be effective in reducing cough number and severity
in patients with idiopathic refractory cough. The authors report here the
successful use of gabapentin at usual doses to treat cough in cancer patients,
including two with lung cancer, with minimal side effects. Gabapentin may be a
useful addition to the symptom management toolbox for palliation of cancer
symptoms.
PMID- 29381134
TI - Novel Highly Pathogenic Avian Influenza A(H5N6) Virus in the Netherlands,
December 2017.
AB - A novel highly pathogenic avian influenza A(H5N6) virus affecting wild birds and
commercial poultry was detected in the Netherlands in December 2017. Phylogenetic
analysis demonstrated that the virus is a reassortant of H5N8 clade 2.3.4.4
viruses and not related to the Asian H5N6 viruses that caused human infections.
PMID- 29381135
TI - NADPH oxidase mediates microtubule alterations and diaphragm dysfunction in
dystrophic mice.
AB - Skeletal muscle from mdx mice is characterized by increased Nox2 ROS, altered
microtubule network, increased muscle stiffness, and decreased muscle/respiratory
function. While microtubule de-tyrosination has been suggested to increase
stiffness and Nox2 ROS production in isolated single myofibers, its role in
altering tissue stiffness and muscle function has not been established. Because
Nox2 ROS production is upregulated prior to microtubule network alterations and
ROS affect microtubule formation, we investigated the role of Nox2 ROS in
diaphragm tissue microtubule organization, stiffness and muscle/respiratory
function. Eliminating Nox2 ROS prevents microtubule disorganization and reduces
fibrosis and muscle stiffness in mdx diaphragm. Fibrosis accounts for the
majority of variance in diaphragm stiffness and decreased function, implicating
altered extracellular matrix and not microtubule de-tyrosination as a modulator
of diaphragm tissue function. Ultimately, inhibiting Nox2 ROS production
increased force and respiratory function in dystrophic diaphragm, establishing
Nox2 as a potential therapeutic target in Duchenne muscular dystrophy.
PMID- 29381136
TI - COX16 promotes COX2 metallation and assembly during respiratory complex IV
biogenesis.
AB - Cytochrome c oxidase of the mitochondrial oxidative phosphorylation system
reduces molecular oxygen with redox equivalent-derived electrons. The conserved
mitochondrial-encoded COX1- and COX2-subunits are the heme- and copper-center
containing core subunits that catalyze water formation. COX1 and COX2 initially
follow independent biogenesis pathways creating assembly modules with subunit
specific, chaperone-like assembly factors that assist in redox centers formation.
Here, we find that COX16, a protein required for cytochrome c oxidase assembly,
interacts specifically with newly synthesized COX2 and its copper center-forming
metallochaperones SCO1, SCO2, and COA6. The recruitment of SCO1 to the COX2
module is COX16- dependent and patient-mimicking mutations in SCO1 affect
interaction with COX16. These findings implicate COX16 in CuA-site formation.
Surprisingly, COX16 is also found in COX1-containing assembly intermediates and
COX2 recruitment to COX1. We conclude that COX16 participates in merging the COX1
and COX2 assembly lines.
PMID- 29381137
TI - Multivesicular bodies mediate long-range retrograde NGF-TrkA signaling.
AB - The development of neurons in the peripheral nervous system is dependent on
target-derived, long-range retrograde neurotrophic factor signals. The prevailing
view is that target-derived nerve growth factor (NGF), the prototypical
neurotrophin, and its receptor TrkA are carried retrogradely by early endosomes,
which serve as TrkA signaling platforms in cell bodies. Here, we report that the
majority of retrograde TrkA signaling endosomes in mouse sympathetic neurons are
ultrastructurally and molecularly defined multivesicular bodies (MVBs). In
contrast to MVBs that carry non-TrkA cargoes from distal axons to cell bodies,
retrogradely transported TrkA+ MVBs that arrive in cell bodies evade lysosomal
fusion and instead evolve into TrkA+ single-membrane vesicles that are signaling
competent. Moreover, TrkA kinase activity associated with retrogradely
transported TrkA+ MVBs determines TrkA+ endosome evolution and fate. Thus, MVBs
deliver long-range retrograde NGF signals and serve as signaling and sorting
platforms in the cell soma, and MVB cargoes dictate their vesicular fate.
PMID- 29381139
TI - Dietary intake of advanced glycation endproducts is associated with higher levels
of advanced glycation endproducts in plasma and urine: The CODAM study.
AB - BACKGROUND & AIMS: Advanced glycation endproducts (AGEs) are formed by the
reaction between reducing sugars and proteins. AGEs in the body have been
associated with several age-related diseases. High-heat treated and most
processed foods are rich in AGEs. The aim of our study was to investigate whether
dietary AGEs, are associated with plasma and urinary AGE levels. METHODS: In 450
participants of the Cohort on Diabetes and Atherosclerosis Maastricht study
(CODAM study) we measured plasma and urine concentrations of the AGEs Nepsilon
(carboxymethyl)lysine (CML), Nepsilon-(1-carboxyethyl)lysine (CEL) and Ndelta-(5
hydro-5-methyl-4-imidazolon-2-yl)-ornithine (MG-H1) using UPLC-MS/MS. We also
estimated dietary intake of CML, CEL and MG-H1 with the use of a dietary AGE
database and a food frequency questionnaire (FFQ). We used linear regression to
investigate the association between standardized dietary AGE intake and
standardized plasma or urinary AGE levels, after adjustment for age, sex, glucose
metabolism status, waist circumference, kidney function, energy- and macro
nutrient intake, smoking status, physical activity, alcohol intake, LDL
cholesterol and markers of oxidative stress. RESULTS: We found that higher intake
of dietary CML, CEL and MG-H1 was associated with significantly higher levels of
free plasma and urinary CML, CEL and MG-H1 (betaCML = 0.253 (95% CI 0.086;
0.415), betaCEL = 0.194 (95% CI 0.040; 0.339), betaMG-H1 = 0.223 (95% CI 0.069;
0.373) for plasma and betaCML = 0.223 (95% CI 0.049; 0.393), betaCEL = 0.180 (95%
CI 0.019; 0.332), betaMG-H1 = 0.196 (95% CI 0.037; 0.349) for urine,
respectively). In addition, we observed non-significant associations of dietary
AGEs with their corresponding protein bound plasma AGEs. CONCLUSION: We
demonstrate that higher intake of dietary AGEs is associated with higher levels
of AGEs in plasma and urine. Our findings may have important implications for
those who ingest a diet rich in AGEs.
PMID- 29381140
TI - Quasiparticle Scattering in Type-II Weyl semimetal MoTe2.
AB - The electronic structure of type-II Weyl semimetal molybdenum ditelluride
(MoTe2) is studied by using scanning tunneling microscopy and density
functional theory calculations. Through measuring energy-dependent quasiparticle
interference (QPI) patterns with a cryogenic scanning tunneling microscope,
several characteristic features are found in the QPI patterns. Two of them arise
from the Weyl semimetal nature; one is the topological Fermi arc surface state
and the other can be assigned to be a Weyl point. The remaining structures are
derived from the scatterings relevant to the bulk electronic states. The findings
lead to thorough understanding of the topological electronic structure of type-II
Weyl semimetal MoTe2.
PMID- 29381138
TI - Unique molecular events during reprogramming of human somatic cells to induced
pluripotent stem cells (iPSCs) at naive state.
AB - Derivation of human naive cells in the ground state of pluripotency provides
promising avenues for developmental biology studies and therapeutic
manipulations. However, the molecular mechanisms involved in the establishment
and maintenance of human naive pluripotency remain poorly understood. Using the
human inducible reprogramming system together with the 5iLAF naive induction
strategy, integrative analysis of transcriptional and epigenetic dynamics across
the transition from human fibroblasts to naive iPSCs revealed ordered waves of
gene network activation sharing signatures with those found during embryonic
development from late embryogenesis to pre-implantation stages. More importantly,
Transcriptional analysis showed a significant transient reactivation of
transcripts with 8-cell-stage-like characteristics in the late stage of
reprogramming, suggesting transient activation of gene network with human zygotic
genome activation (ZGA)-like signatures during the establishment of naive
pluripotency. Together, Dissecting the naive reprogramming dynamics by
integrative analysis improves the understanding of the molecular features
involved in the generation of naive pluripotency directly from somatic cells.
PMID- 29381141
TI - Neutral dynamics and cell renewal of colonic crypts in homeostatic regime.
AB - The self renewal process in colonic crypts is the object of several studies. We
present here a new compartment model with the following characteristics: (a) we
distinguish different classes of cells: stem cells, six generations of transit
amplifying cells and the differentiated cells; (b) in order to take into account
the monoclonal character of crypts in homeostatic regimes we include symmetric
divisions of the stem cells. We first consider the dynamic differential equations
that describe the evolution of the mean values of the populations, but the small
observed value of the total number of cells involved plus the huge dispersion of
experimental data found in the literature leads us to study the stochastic
discrete process. This analysis allows us to study fluctuations, the neutral
drift that leads to monoclonality, and the effects of the fixation of mutant
clones.
PMID- 29381142
TI - Exploring the validity and limitations of the Mott-Gurney law for charge-carrier
mobility determination of semiconducting thin-films.
AB - Using drift-diffusion simulations, we investigate the voltage dependence of the
dark current in single carrier devices typically used to determine charge-carrier
mobilities. For both low and high voltages, the current increases linearly with
the applied voltage. Whereas the linear current at low voltages is mainly due to
space charge in the middle of the device, the linear current at high voltage is
caused by charge-carrier saturation due to a high degree of injection. As a
consequence, the current density at these voltages does not follow the classical
square law derived by Mott and Gurney, and we show that for trap-free devices,
only for intermediate voltages, a space-charge-limited drift current can be
observed with a slope that approaches a value of two. We show that, depending on
the thickness of the semiconductor layer and the size of the injection barriers,
the two linear current-voltage regimes can dominate the whole voltage range, and
the intermediate Mott-Gurney regime can shrink or disappear. In this case, which
will especially occur for thicknesses and injection barriers typical of single
carrier devices used to probe organic semiconductors, a meaningful analysis using
the Mott-Gurney law will become unachievable, because a square-law fit can no
longer be achieved, resulting in the mobility being substantially underestimated.
General criteria for when to expect deviations from the Mott-Gurney law when used
for analysis of intrinsic semiconductors are discussed.
PMID- 29381143
TI - Self-organised fractional quantisation in a hole quantum wire.
AB - We have investigated hole transport in quantum wires formed by electrostatic
confinement in strained germanium two-dimensional layers. The ballistic
conductance characteristics show the regular staircase of quantum levels with
plateaux at n2e 2/h, where n is an integer, e is the fundamental unit of charge
and h is Planck's constant. However as the carrier concentration is reduced, the
quantised levels show a behaviour that is indicative of the formation of a zig
zag structure and new quantised plateaux appear at low temperatures. In units of
2e 2/h the new quantised levels correspond to values of n = 1/4 reducing to 1/8
in the presence of a strong parallel magnetic field which lifts the spin
degeneracy but does not quantise the wavefunction. A further plateau is observed
corresponding to n = 1/32 which does not change in the presence of a parallel
magnetic field. These values indicate that the system is behaving as if charge
was fractionalised with values e/2 and e/4, possible mechanisms are discussed.
PMID- 29381144
TI - Bismuth oxide film: a promising room-temperature quantum spin Hall insulator.
AB - Two-dimensional (2D) bismuth films have attracted extensive attention due to
their nontrivial band topology and tunable electronic properties for achieving
dissipationless transport devices. The experimental observation of quantum
transport properties, however, are rather challenging, limiting their potential
application in nanodevices. Here, we predict, based on first-principles
calculations, an alternative 2D bismuth oxide, BiO, as an excellent topological
insulator (TI), whose intrinsic bulk gap reaches up to 0.28 eV. Its nontrivial
topology is confirmed by topological invariant Z 2 and time-reversal symmetry
protected helical edge states. The appearance of topological phase is robust
against mechanical strain and different levels of oxygen coverage in BiO. Since
the BiO is naturally stable against surface oxidization and degradation, these
results enrich the topological materials and present an alternative way to design
topotronics devices at room temperature.
PMID- 29381145
TI - A dataset of 200 structured product labels annotated for adverse drug reactions.
AB - Adverse drug reactions (ADRs), unintended and sometimes dangerous effects that a
drug may have, are one of the leading causes of morbidity and mortality during
medical care. To date, there is no structured machine-readable authoritative
source of known ADRs. The United States Food and Drug Administration (FDA)
partnered with the National Library of Medicine to create a pilot dataset
containing standardised information about known adverse reactions for 200 FDA
approved drugs. The Structured Product Labels (SPLs), the documents FDA uses to
exchange information about drugs and other products, were manually annotated for
adverse reactions at the mention level to facilitate development and evaluation
of text mining tools for extraction of ADRs from all SPLs. The ADRs were then
normalised to the Unified Medical Language System (UMLS) and to the Medical
Dictionary for Regulatory Activities (MedDRA). We present the curation process
and the structure of the publicly available database SPL-ADR-200db containing
5,098 distinct ADRs. The database is available at
https://bionlp.nlm.nih.gov/tac2017adversereactions/; the code for preparing and
validating the data is available at https://github.com/lhncbc/fda-ars.
PMID- 29381146
TI - Australia's continental-scale acoustic tracking database and its automated
quality control process.
AB - Our ability to predict species responses to environmental changes relies on
accurate records of animal movement patterns. Continental-scale acoustic
telemetry networks are increasingly being established worldwide, producing large
volumes of information-rich geospatial data. During the last decade, the
Integrated Marine Observing System's Animal Tracking Facility (IMOS ATF)
established a permanent array of acoustic receivers around Australia.
Simultaneously, IMOS developed a centralised national database to foster
collaborative research across the user community and quantify individual
behaviour across a broad range of taxa. Here we present the database and quality
control procedures developed to collate 49.6 million valid detections from 1891
receiving stations. This dataset consists of detections for 3,777 tags deployed
on 117 marine species, with distances travelled ranging from a few to thousands
of kilometres. Connectivity between regions was only made possible by the joint
contribution of IMOS infrastructure and researcher-funded receivers. This dataset
constitutes a valuable resource facilitating meta-analysis of animal movement,
distributions, and habitat use, and is important for relating species
distribution shifts with environmental covariates.
PMID- 29381147
TI - Habitual coffee consumption and changes in measures of adiposity: a comprehensive
study of longitudinal associations.
AB - BACKGROUND: A relationship between change in coffee consumption and reduced long
term weight gain has been suggested, but current evidence is inconsistent.
OBJECTIVE: To examine longitudinal associations between coffee consumption and
changes in body mass index (BMI), fat mass index (FMI), fat-free mass index
(FFMI), body fat percentage (BF %) and waist circumference (WC). DESIGN: The
study consisted of 2128 participants from the Danish part of the MONICA
(Monitoring Trends and Determinants in Cardiovascular Disease) cohort with
repeated information on coffee consumption, adiposity measures and covariates
during an 11-year period. Linear regression analyses were conducted to assess the
associations between baseline coffee consumption and subsequent change in
adiposity measures. The same analyses were conducted analyzing associations
between change in coffee consumption and concurrent as well as subsequent changes
in adiposity measures. RESULTS: We found no consistent evidence of associations
between baseline coffee consumption and subsequent 6-year changes in adiposity
measures. A statistically significant association between increased coffee
consumption over a 6-year period and decreased concurrent gain in BMI, FMI, BF %
and WC (-0.05 kg m-2 (95% confidence interval (CI): -0.07, -0.02), -0.04 kg m-2
(95% CI: -0.06, -0.02), -0.08% (95% CI: -0.13, -0.04) and -0.23 cm (95% CI:
0.34, -0.12), respectively, per 1 cup day-1 increase in coffee consumption) was
found. No association was seen between change in coffee consumption and
concurrent change in FFMI. Moreover, an initial change in coffee consumption
during the first 5-year period was not associated with change in adiposity during
the subsequent 6-year period. CONCLUSIONS: Increased coffee consumption was
associated with a decreased concurrent gain in body weight, fat mass and waist
circumference, but the associations were weak. Moreover, a causal relationship
could not be established, as we found no evidence of associations between an
initial change in coffee consumption and subsequent change in adiposity.
PMID- 29381149
TI - Meet some code-breakers of noncoding RNAs.
PMID- 29381150
TI - Expression of the CTLA-4 ligand CD86 on plasmacytoid dendritic cells (pDC)
predicts risk of disease recurrence after treatment discontinuation in CML.
AB - This corrects the article DOI: 10.1038/leu.2017.9.
PMID- 29381151
TI - Deoxygenation of sulphoxides to sulphides with trichlorophosphane.
AB - An efficient route to deoxygenation of sulphoxides to sulphides with PCl3 under
mild reaction condition was developed. PCl3 was used as a reducing agent for the
first time to convert sulphoxides to sulphides. The mild conditions, use of cheap
and readily available reagent, and broad substrate scope render it a useful
strategy for preparing sulphides.
PMID- 29381153
TI - 2017 Reviewers.
PMID- 29381152
TI - Get Ready, Get Set, Write: Reprise.
PMID- 29381154
TI - Pursuing Improvement in Clinical Reasoning: The Integrated Clinical Education
Theory.
AB - BACKGROUND: The link between clinical education and development of clinical
reasoning is not well supported by one theoretical perspective. Learning to
reason during clinical education may be best achieved in a supportive
sociocultural context of nursing practice that maximizes reasoning opportunities
and facilitates discourse and meaningful feedback. Prelicensure clinical
education seldom incorporates these critical components and thus may fail to
directly promote clinical reasoning skill. METHOD: Theoretical frameworks
supporting the development of clinical reasoning during clinical education were
evaluated. Analysis of strengths and gaps in each framework's support of clinical
reasoning development was conducted. Commensurability of philosophical
underpinnings was confirmed, and complex relationships among key concepts were
elucidated. RESULTS: Six key concepts and three tenets comprise an explanatory
predictive theory-the integrated clinical education theory (ICET). CONCLUSION:
ICET provides critical theoretical support for inquiry and action to promote
clinical education that improves development of clinical reasoning skill. [J Nurs
Educ. 2018;57(1):7-13.].
PMID- 29381155
TI - Effectiveness of Video-Assisted Debriefing in Health Education: An Integrative
Review.
AB - BACKGROUND: Debriefing is an integral component of the high-fidelity simulation
experience in health education. Video-assisted debriefing (VAD) is used to
structure debriefing following simulation. This review synthesizes the best
available evidence about VAD compared with verbal debriefing; moreover, it
reviews the effectiveness of VAD on students' learning outcomes and learners'
perceptions of using VAD postsimulation sessions. METHOD: Databases included Med
line, Scopus, CINAHL, and EMBASE, and articles published between 2000 and 2016
were reviewed if they used the keywords video-assisted debriefing, HFS,
debriefing and learning outcomes, and video-playback debriefing. Fourteen studies
met the inclusion criteria. RESULTS: Three themes emerged: VAD Effectiveness
Compared to Verbal (Oral) Debriefing, VAD Effectiveness on Learning Outcomes, and
Learners' Perceptions of VAD Experience. CONCLUSION: VAD following simulation
experiences compared with other debriefing modalities resulted in mixed findings
related to learning outcomes in health education. More research on debriefing
practices is needed that describes all key debriefing components. [J Nurs Educ.
2018;57(1):14-20.].
PMID- 29381148
TI - Trans-ethnic analysis of metabochip data identifies two new loci associated with
BMI.
AB - OBJECTIVE: Body mass index (BMI) is commonly used to assess obesity, which is
associated with numerous diseases and negative health outcomes. BMI has been
shown to be a heritable, polygenic trait, with close to 100 loci previously
identified and replicated in multiple populations. We aim to replicate known BMI
loci and identify novel associations in a trans-ethnic study population.
SUBJECTS: Using eligible participants from the Population Architecture using
Genomics and Epidemiology consortium, we conducted a trans-ethnic meta-analysis
of 102 514 African Americans, Hispanics, Asian/Native Hawaiian, Native Americans
and European Americans. Participants were genotyped on over 200 000 SNPs on the
Illumina Metabochip custom array, or imputed into the 1000 Genomes Project (Phase
I). Linear regression of the natural log of BMI, adjusting for age, sex, study
site (if applicable), and ancestry principal components, was conducted for each
race/ethnicity within each study cohort. Race/ethnicity-specific, and combined
meta-analyses used fixed-effects models. RESULTS: We replicated 15 of 21 BMI loci
included on the Metabochip, and identified two novel BMI loci at 1q41 (rs2820436)
and 2q31.1 (rs10930502) at the Metabochip-wide significance threshold (P<2.5 * 10
7). Bioinformatic functional investigation of SNPs at these loci suggests a
possible impact on pathways that regulate metabolism and adipose tissue.
CONCLUSION: Conducting studies in genetically diverse populations continues to be
a valuable strategy for replicating known loci and uncovering novel BMI
associations.
PMID- 29381156
TI - Korean Nursing Students' Acquisition of Evidence-Based Practice and Critical
Thinking Skills.
AB - BACKGROUND: Evidence-based practice (EBP) is essential for enhancing nurses'
quality of care. We identified Korean nursing students' practices, attitudes, and
knowledge concerning EBP, as well as their critical thinking disposition (CTD).
METHOD: The EBP Questionnaire (EBPQ) was administered to a convenience sample of
266 nursing students recruited from four nursing schools in Seoul and its
metropolitan area. RESULTS: Average EBPQ and CTD total scores were 4.69 +/- 0.64
and 3.56 +/- 0.32, respectively. Students who were ages ?23 years, male, and
satisfied with their major demonstrated higher EBPQ and CTD scores. EBPQ scores
were significantly correlated with CTD scores (r = .459, p < .01), and CTD was an
explanatory factor of EBP (adjusted R2 = 0.200). CONCLUSION: It is necessary to
develop comprehensive teaching strategies to help nursing students improve their
CTD and information utilization skills, as well as integrate EBP in undergraduate
programs to enhance nurses' EBP abilities. [J Nurs Educ. 2018;57(1):21-27.].
PMID- 29381157
TI - Burnout and Its Contributing Factors Among Midlevel Academic Nurse Leaders.
AB - BACKGROUND: Amid concerns regarding administrator shortages, a survey conducted
by the American Association of Colleges of Nursing indicates that 10% of all
vacant faculty positions are those that include administrative responsibilities.
This study was designed to determine the frequency, predictors, and potential
retention consequences of burnout among midlevel academic nurse leaders, such as
assistant deans, associate deans, and others. METHOD: The sample consisted of 146
midlevel academic nurse leaders from 29 schools of nursing. Burnout was measured
by the emotional exhaustion subscale of the Maslach Burnout Inventory. Logistic
regression models were estimated to determine effects of study variables on
burnout and intent to leave. RESULTS: Dissatisfaction with workload,
dissatisfaction with work-life balance, and hours typically worked per week
increased odds of burnout. Burnout was associated with intent to leave.
CONCLUSION: High workloads and long work weeks are increasing the odds of burnout
among midlevel academic nurse leaders. [J Nurs Educ. 2018;57(1):28-34.].
PMID- 29381158
TI - Knowledge, Attitudes, and Experience With Advance Directives Among Prelicensure
Nursing Students.
AB - BACKGROUND: End-of-life decision making can be distressing for nursing students,
and the purpose of this investigation was to assess undergraduate nursing
students' knowledge, attitudes, and experiences with advance directives. METHOD:
One hundred sixty-six Bachelor of Science in Nursing students at four different
program levels were surveyed about their knowledge, personal and professional
experience, and personal and professional attitudes regarding advance directives.
RESULTS: There was a statistically significant progression of knowledge from the
junior 1 to the senior 2 semesters. In addition, there was a statistically
significant difference in personal attitudes about advance directives by
progressive semesters, in personal and professional attitudes between
White/Caucasian and Black/African American students, and in knowledge of advance
directives and professional attitudes between students 18 to 25 years old and
those 26 years and older. CONCLUSION: It is important that nursing students are
exposed to advance directives in the prelicensure curriculum to prepare them for
their role as professional nurses. [J Nurs Educ. 2018;57(1):35-39.].
PMID- 29381159
TI - Factors Influencing the Development of Cultural Competence in Undergraduate
Nursing Students.
AB - BACKGROUND: The study purposes were to assess the cultural competence level and
to identify factors that influence the development of cultural competence in
undergraduate nursing students. METHOD: This quantitative study using a
comparative and predictive design was conducted to recruit 106 undergraduate
nursing students from a university by sending e-mails to all randomly selected
Associate in Science in Nursing and RN-to-Bachelor of Science in Nursing students
for participation. Each student participated voluntarily to self-administer a
demographic survey and the Inventory for Assessing the Process of Cultural
Competence among Healthcare Professionals-Student Version (IAPCC-SV(c)). RESULTS:
The summated score of 20 questions of the IAPCC-SV among the participating
students was 61.70, indicating a culturally competent level. Approximately 51% of
the variation in the cultural competence level could be attributed to the
predictor of cultural encounters. The second strongest predictor was the cultural
knowledge. CONCLUSION: Further research should focus on identifying cost
effective teaching and learning strategies using the concept of cultural
encounters and cultural knowledge to increase students' exposures to individuals
from other cultures. [J Nurs Educ. 2018;57(1):40-43.].
PMID- 29381160
TI - Evaluation of a Clinical Policy Learning Activity.
AB - BACKGROUND: Student groups compare evidence-based practice (EBP) to actual
practice defined by hospital policies in their clinical rotation sites. This
article describes a pilot study evaluating this clinical learning activity.
METHOD: Student and faculty surveys were developed and piloted. Purposive
sampling with the surveys produced qualitative data and basic statistics to
evaluate the learning activity. RESULTS: This study suggests that students'
satisfaction with the clinical learning activity was generally positive. Three
themes emerged, including Relevance to Clinical Practice, Course Outcomes Met,
and Reinforcement of Evidence-Based Practice. Faculty surveys showed this
learning activity was a useful tool for students to learn and utilize EBP.
CONCLUSION: The learning activity is an effective learning and teaching strategy.
Collaboration among nursing students, faculty, librarian, and nurses enhanced
students' understanding of evidence related to policies in nursing practice and
create a meaningful experience. Further work will focus on validation of the
surveys. [J Nurs Educ. 2018;57(1):44-48.].
PMID- 29381161
TI - Examining Admission Factors Predicting Success in a Doctor of Nursing Practice
Program.
AB - BACKGROUND: Graduate nursing programs are challenged to select students who are
likely to succeed and who add to nursing workforce diversity. METHOD: For this
retrospective study, researchers examined 5 years of admission and retention data
from a 4-year Bachelor-to-Doctor of Nursing Practice (DNP) program (n=144) at a
large public midwestern university. Using a multinomial logistic regression
analysis, four factors were examined to determine which predicted student
success, delayed success, or failure: last 60-credit grade point average (GPA),
admission essay score, average recommendation letter score, and demographic data
(i.e., race, age, gender). RESULTS: GPA, age, and race were found to be
significant predictors of student outcomes in this program. Writing and
recommendation scores and gender did not predict student outcomes. CONCLUSION:
DNP admission committees should consider the weight given to the GPA in their
admission formulas and be prepared to work with retention committees to support
older and minority students. [J Nurs Educ. 2018;57(1):49-52.].
PMID- 29381162
TI - Leadership Development Through Peer-Facilitated Simulation in Nursing Education.
AB - BACKGROUND: Baccalaureate nursing graduates must possess leadership skills, yet
few opportunities exist to cultivate leadership abilities in a clinical
environment. Peer-facilitated learning may increase the leadership skills of
competence, self-confidence, self-reflection, and role modeling. Facilitating
human patient simulation provides opportunities to develop leadership skills.
METHOD: With faculty supervision, senior baccalaureate students led small-group
simulation experiences with sophomore and junior peers and then conducted
subsequent debriefings. Quantitative and qualitative descriptive data allowed
evaluation of students' satisfaction with this teaching innovation and whether
the experience affected students' desire to take on leadership roles. RESULTS:
Students expressed satisfaction with the peer-facilitated simulation experience
and confidence in mastering the content while developing necessary skills for
practice. CONCLUSION: Peer-facilitated simulation provides an opportunity for
leadership development and learning. Study results can inform the development of
nursing curricula to best develop the leadership skills of nursing students. [J
Nurs Educ. 2018;57(1):53-57.].
PMID- 29381163
TI - A Comprehensive Approach to Undergraduate Nursing Students' Research Experiences.
AB - BACKGROUND: As the demands for high-quality nursing care increase and
organizations are held accountable for patient outcomes, health care must be
driven by research and evidence-based practice (EBP). Historically, prelicensure
nursing students have expressed little interest in these topics as they focus on
establishing the clinical skills necessary to provide care and have found that
courses on nursing research are not clinically relevant. METHOD: This article
presents one institution's approach to undergraduate nursing research education
by three initiatives: (a) a summer research program, (b) a distinguished major
program, and (c) an EBP approach in the undergraduate nursing research course.
RESULTS: The results of these initiatives have demonstrated positive outcomes in
three areas: practice improvements, knowledge dissemination, and student interest
in research and further professional development. CONCLUSION: These programs have
proven to be clinically beneficial, while increasing student interest in research
and further nursing education. [J Nurs Educ. 2018;57(1):58-62.].
PMID- 29381164
TI - Innovative Learning Activity: Toy Closet: A Growth and Development Game for
Nursing Students.
PMID- 29381165
TI - Licensure and Professional Certification Renewal Requirements: 2017 Survey
Results.
PMID- 29381166
TI - Identifying the Underlying Educational Needs That Contribute to the Professional
Practice Gap.
AB - This article addresses how nursing professional development practitioners and
nurse planners can meet the educational design process criterion 2 in the
American Nurses Credentialing Center Primary Accreditation process that also
corresponds with the Association for Nursing Professional Development's Scope and
Standards of Practice. J Contin Educ Nurs. 2018;49(2):52-54.
PMID- 29381167
TI - Re-KALC for a Meaningful Beginning: The Practice of Being.
AB - The new year is a time for goal setting, reflection, and new beginnings. Often,
resolutions begin with self-improvements of the physical kind-losing weight,
exercising, eating clean. These are important ideas and goals, although often
difficult to sustain in part because sustaining is an implied element of each.
Intentions are different than goals in the sense that an intention can be
implemented in any moment in time simply through the process of mindful
reflection. J Contin Educ Nurs. 2018;49(2):55-56.
PMID- 29381168
TI - Five-Canon Feedback: Triaging Errors in Writing With Classical Rhetoric.
AB - Nurse educators may be asked to provide feedback on writing, encountering the
challenge of determining which errors to address, when, and how. This Teaching
Tips article, drawing on the author's experience in writing instruction,
summarizes a novel framework for error triage: the five canons of classical
rhetoric as described by the Roman rhetorician Quintilian. J Contin Nurs Educ.
2018;49(2):57-59.
PMID- 29381169
TI - Empowering Nurses to Lead Interprofessional Collaborative Practice Environments
Through a Nurse Leadership Institute.
AB - A year-long Nurse Leadership Institute (NLI) for emerging leaders in primary care
clinics and acute care environments was developed, implemented, and evaluated.
The NLI's goal was to foster empowerment in interprofessional collaborative
practice environments for nurses in the three cohorts of NLIs. The NLI was framed
around the Five Leadership Practices of modeling the way, inspiring a shared
vision, challenging the process, enabling others to act, and encouraging the
heart. To create a professional learning environment, foster community, and
enhance leadership skills, the Lean In Circle materials developed by Sandberg
were adapted for content reorganization and discussion. Minimal literature exists
specifically addressing nursing leadership professionals' development based on
Sandberg's Circle materials. The findings of the three NLI cohorts reported in
this article begin to fill this existing knowledge gap. Participants reported a
significant increase in leadership skills. Recommendations for refinement of
future NLI offerings are provided. J Contin Educ Nurs. 2018;49(2):61-71.
PMID- 29381170
TI - Health Literacy: Essential for a Culture of Health.
AB - BACKGROUND: A culture of health requires a commitment from all-individuals,
families, communities, organizations, and municipalities-to value health and make
decisions reflective of a healthy society. At the individual level, health
literacy is a necessary precursor to assist individuals in achieving a higher
level of health. METHOD: One method for ensuring that staff members are routinely
assessing and promoting health literacy is using competencies and practices
previously identified for all health professions. RESULTS: Cross-walking these
competencies with the Health Literacy Tapestry model can be extremely helpful in
framing assessment, action steps, and outcomes for nurses. CONCLUSION:
Professional development nurse leaders have the challenge of ensuring that nurses
are addressing patient health literacy as a fundamental nursing activity in every
nursing-patient-family interaction. Assuming health literacy deficits as a
"universal approach" to care is one method of ensuring health literacy needs are
routinely addressed by staff. J Contin Educ Nurs. 2018;49(2):73-78.
PMID- 29381171
TI - Pilot Study of Educational Gaming to Improve Adherence to an End-Tidal Carbon
Dioxide Monitoring Protocol.
AB - BACKGROUND: End-tidal carbon dioxide (ETCO2) monitoring is an important part of
patient care. Understanding and interpreting ETCO2 wavelengths can be a
challenge. This pilot study explored the efficacy of a novel approach to
educating clinicians on ETCO2 monitoring via game theory. METHOD: A video game
application for ETCO2 monitoring was developed. Clinicians were encouraged to
play the game over a 3-month period. Compliance with the ETCO2 protocol was
compared in a random selection of patients admitted before, during, and after the
intervention. RESULTS: Thirty-eight clinicians completed the preand posttest,
with a significant difference in test scores (p = .03). The intervention was
associated with higher adherence to the ETCO2 protocol before and after the
intervention (p < .05). CONCLUSION: The availability of new technologies has
created opportunities to develop new approaches to educate clinicians. This study
showed that the use of a game improved adherence to the ETCO2 protocol. J Contin
Educ Nurs. 2018;49(2):79-83.
PMID- 29381172
TI - Comparisons of Knowledge of Dutch Nursing Students and Hospital Nurses on Aging.
AB - BACKGROUND: Although there is a growing population of older adults admitted to
hospitals, the literature demonstrates knowledge deficits of nurses regarding
older patients. This study investigated knowledge levels of both nursing students
and RNs about older hospitalized patients in relation to their educational level
and work experience. METHOD: First- and final-year vocational and bachelor
nursing students, and associate degree and bachelor degree nurses working in the
hospital setting with 0 to 5 years, 6 to 15 years, and more than 15 years of
experience, have completed the Knowledge about Older Patients-Quiz (KOP-Q). The
KOP-Q has a clearly described theoretical base finding its origin in knowledge
regarding nursing care for older patients and shows good content and construct
validity. RESULTS: A substantial proportion of participants in all groups
demonstrated insufficient knowledge about older patients. A difference in
knowledge exists among nurses with different educational qualifications, and a
link between years of experience and higher knowledge levels of nurses is found.
CONCLUSION: Throughout the nursing career, basic care topics in relation to care
for older patients should play a key role in basic nursing education programs, as
well as for continuing education programs provided in hospitals for nurses. J
Contin Educ Nurs. 2018;49(2):84-90.
PMID- 29381173
TI - Integrative Review of Interprofessional Simulation in Nursing Practice.
AB - AIM: The aim of this integrative review was to examine what is known about
interprofessional (IP) simulation involving practicing nurses. BACKGROUND: The
use of simulation within health care education and practice has rapidly expanded;
however, there is a gap in what is known about the benefits of nurses engaging in
IP simulation. METHOD: Five reviewers conducted a systematic literature search on
studies of IP simulation among practicing nurses, published between January 1,
2010, and July 1, 2016. An initial sample of 1,400 articles was found and was
further narrowed to 18 studies. RESULTS: There is a role for IP simulation in
enhancing nurses' roles and understanding of the roles of other practitioners.
However, the diversity of studies and lack of rigor prevents the formation of
conclusive evidence to support IP simulation in enhancing patient care quality
and safety. CONCLUSION: Structured simulation research designs using published
guidelines for best practice implementation are needed. J Contin Educ Nurs.
2018;49(2):91-96.
PMID- 29381174
TI - ?
PMID- 29381175
TI - ?
PMID- 29381176
TI - Diagnostics of type 1 diabetes must be improved
AB - Incidence of type 1 diabetes in children and adolescents continues to increase
but diagnosis is often delayed and keto-acidosis too common. More information is
needed. General auto-antibody screening can be discussed.
PMID- 29381177
TI - ?
PMID- 29381178
TI - ?
PMID- 29381179
TI - ?
PMID- 29381180
TI - ?
PMID- 29381181
TI - ?
PMID- 29381182
TI - ?
AB - Puerpural fever still exist. A rare condition that must be kept in mind All 33
claims to the Swedish National Patient Insurance (LOF) in 2010-2014 related to
obstetric infections, of which 14 were due to endometritis, were examined. Nine
women suffered from fulminant infections consistent with classical puerperal
fever (childbed fever), 2 of which were life-threatening. They occurred
unexpectedly, mainly after uncomplicated deliveries, and were usually caused by
Group A streptococci. Five women suffered from endometritis with a mild or
moderate clinical course. All occurred after early birth-related complications
and were caused by low-virulent bacteria. In order for an infection to occur in a
healthy woman who undergoes normal delivery, more virulent bacteria appear to be
required. Since these bacteria may exist in the hospital environment, improved
hygiene routines are a prerequisite for reducing the number of nosocomial
infections.
PMID- 29381183
TI - ?
AB - The TARGIT-A (TARGeted Intraoperative radioTherapy) multicentre study of early
breast cancer compared intraoperative radiotherapy with external radiotherapy.
While the intraoperative radiotherapy was standardised, the external
postoperative comparison treatment followed established routines in the
participating treatment centres resulting in substantial variations in dosages
and treatment durations. The uncertainties in the interpretation of the study
results created by the design of the TARGIT-A study constitute substantial
obstacles to the possible introduction of intraoperative radiotherapy for early
breast cancer.
PMID- 29381184
TI - ?
AB - Low adherence to recommended pre-participation cardiac evaluation of Swedish
athletes Pre-participation cardiac evaluation of athletes is recommended by
international organizations like the European Society of Cardiology and the
American Heart Association, as well as by the Swedish Sports Confederation. The
purpose of the evaluation is to prevent sudden cardiac death in athletes by early
identification of individuals at risk. To our knowledge, no previous study has
been made regarding the implementation of pre-participation cardiac evaluation of
athletes in Sweden. We performed an electronical survey addressing sports clubs
in one out of 21 districts in which the Swedish Sports Confederation is
geographically divided. Only four out of 22 responding clubs with elite athletes
preformed cardiac evaluation. Lack of knowledge about the recommendations as well
as how to perform the evaluation were mentioned as reasons not to evaluate the
athletes. Our results indicate the need for more information about pre
participation cardiac evaluation of athletes in Sweden.
PMID- 29381185
TI - ?
AB - Updated criterias for an asthma/COPD clinic in primary care based on Swedish
guidelines We here present updated criterias for an asthma/COPD clinic in primary
care based on Swedish guidelines and an estimate of the time required for its
tasks. Certified staff should assist in diagnosis and follow-up of asthma/COPD
patients, provide patient education, provide and follow up written management
plans, facilitate smoking cessation in patients and parents of children with
asthma, evaluate symptoms with validated tools (ACT, CAT), and assess and support
physical activity. To provide such care, 4.8 hours are required for asthma/COPD
nurses and 1.3 hours for physiotherapists per 1,000 listed patients and week. At
least 1-2 hours/week are needed for the responsible physician. To ensure high
competence, asthma/COPD nurses should have >=15 credits, advanced level, and
physiotherapists >=7.5 credits in asthma/COPD, advanced level. The responsible
physician should have advanced knowledge in the field.
PMID- 29381186
TI - Where does O6 -methylguanine DNA methyltransferase promoter methylation
assessment place temozolomide in the future standards of care for glioblastoma?
PMID- 29381187
TI - The binding of boronated peptides to low affinity mammalian saccharides.
AB - A 54-member library of boronated octapeptides, with all but the boronated residue
being proteinogenic, was tested for affinity to a set of saccharides commonly
found on the terminus of mammalian glycans. After experimentation with a high
throughput dye-displacement assay, attention was focused on isothermal titration
calorimetry as a tool to provide reliable affinity data, including enthalpy and
entropy of binding. A small number of boronated peptides showed higher affinity
and significant selectivity for N-acetylneuraminic acid over methyl-alpha-d
galactopyranoside, methyl-alpha/beta-l-fucopyranoside and N-acetyl-d-glucosamine.
Thermodynamic data showed that for most of the boronated peptides studied,
saccharide binding was associated with a significant increase in entropy,
presumably resulting from the displacement of semiordered water molecules from
around the sugar and/or peptide.
PMID- 29381188
TI - Noninvasive diagnostic tools for pelvic congestion syndrome: a systematic review.
AB - INTRODUCTION: In the work-up of patients with suspected pelvic congestion
syndrome, venography is currently the gold standard. Yet if non-invasive
diagnostic tools are found to be accurate, invasive venography might no longer be
indicated as necessary. MATERIAL AND METHODS: A literature search in Pubmed and
EMBASE was performed from inception until 6 May 2017. Studies comparing non
invasive diagnostic tools to a reference standard in the work-up of patients with
(suspected) pelvic congestion syndrome were included. Relevant data were
extracted and methodological quality of individual included studies was assessed
by the Quality Assessment of Diagnostic Accuracy Studies (QUADAS-2) tool.
RESULTS: Nine studies matched our inclusion criteria. Six studies compared
ultrasonography to venography and three studies described a magnetic resonance
imaging technique. In using transvaginal ultrasonography, the occurrence of a
vein greater than five mm crossing the uterine body had a specificity of 91% (95%
CI; 77-98%) and occurrence of pelvic varicoceles a sensitivity and specificity of
100% (95% CI; 89-100%) and 83-100% (95% CI; 66-93%), respectively. In
transabdominal ultrasonography, reversed caudal flow in the ovarian vein
accounted for a sensitivity of 100% (95% CI; 84-100%). Detection of pelvic
congestion syndrome with magnetic resonance imaging techniques resulted in a
sensitivity varying from 88 to 100%. CONCLUSIONS: The sensitivity of
ultrasonography and magnetic resonance imaging seem to be adequate, which
indicates a role for both tests in an early stage of the diagnostic workup.
However, due to methodological flaws and diversity in outcome parameters, more
high standard research is necessary to establish a clear advice for clinical
practice.
PMID- 29381189
TI - Combination of ultrasonic decontamination, soft tissue curettage, and submucosal
air polishing with povidone-iodine application for non-surgical therapy of peri
implantitis: 12 Month clinical outcomes.
AB - BACKGROUND: The aim of this study is to evaluate clinical outcomes of a concept
for non-surgical peri-implantitis combining stepwise mechanical debridement
measures with adjuvant povidone-iodine application with and without systemic
antibiotics. METHODS: Forty-five patients with chronic periodontitis and a total
of 164 screw-typed implants with peri-implantitis were included. Peri-implantitis
was defined as radiographic bone loss of > 2 mm, probing depth (PD) >=5 mm with
bleeding on probing (BOP). Stepwise treatment of implants was performed with
ultrasonic debridement, soft tissue curettage (STC), glycine powder air polishing
(GPAP), and a repeated submucosal application of povidone-iodine. Teeth with PD >
4 mm were treated simultaneously according to the same concept except STC. In
cases with severe periodontitis (n = 24), amoxicillin and metronidazole (AM) were
prescribed for 7 days. RESULTS: After 12 months, implants treated without AM
showed significant reductions (P < 0.05) of mean PD (1.4 +/- 0.7 mm), clinical
attachment level (CAL) (1.3 +/- 0.8 mm), and BOP (33.4% +/- 17.2%). In deep
pockets (PD > 6 mm) changes of mean PD (2.3 +/- 1.3 mm), CAL (2.0 +/- 1.6 mm),
and BOP (44.0% +/- 41.7%) were more pronounced. Intake of AM did not
significantly influence the changes in these parameters. However, the reduction
of implant sites with PD > 4 mm and BOP was significantly higher in patients with
AM than in those without AM (31.8% +/- 12.6% versus 20.8% +/- 14.7%; P < 0.05).
CONCLUSIONS: The combination of ultrasonic debridement, STC, and GPAP with
adjuvant povidone-iodine led to significant clinical improvements at implants.
Systemic antibiotics had limited effects on the reduction of persisting implant
sites with treatment need.
PMID- 29381190
TI - Inhibition of 5-lipoxygenase attenuates inflammation and BONE resorption in
lipopolysaccharide-induced periodontal disease.
AB - BACKGROUND: Arachidonate-5-lipoxygenase (5-LO) activity and increased leukotriene
B4 (LTB4) production have been implicated in various inflammatory conditions.
Increased production of leukotrienes has been associated with periodontal
diseases; however, their relative contribution to tissue destruction is unknown.
In this study, an orally active specific 5-LO inhibitor is used to assess its
role in inflammation and bone resorption in a murine model of lipopolysaccharide
(LPS)-induced periodontal disease. METHODS: Periodontal disease was induced in
Balb/c mice by direct injections of LPS into the palatal gingival tissues
adjacent to the maxillary first molars three times per week for 4 weeks. Animals
were treated with biochemical inhibitor (2 mg/kg/daily) or the same volume of the
vehicle by oral gavage. Microcomputed tomography analysis was used to assess bone
resorption. Enzyme immunoassay determined LTB4, and enzyme-linked immunosorbent
assays quantified tumor necrosis factor (TNF), interleukin (IL)-12, and IL-10 in
gingival tissues. Histologic sections were used for the morphometric analysis
(number of neutrophils and mononuclear cells). Osteoclasts were counted in
tartrate-resistant acid phosphatase-stained sections. RESULTS: Administration of
5-LO inhibitor effectively reduced production of LTB4 (23.7% decrease) and
significantly reduced TNF and IL-12 levels in gingival tissues. Moreover,
reduction of LTB4 levels in gingival tissues was associated with a significant
decrease in bone resorption and a marked reduction in number of osteoclasts and
inflammatory cells. CONCLUSION: 5-LO activity plays a relevant role in
inflammation and bone resorption associated with the LPS model of experimental
periodontal disease.
PMID- 29381191
TI - Efficacy and safety analysis by age cohort of inotuzumab ozogamicin in patients
with relapsed or refractory acute lymphoblastic leukemia enrolled in INO-VATE.
AB - BACKGROUND: Inotuzumab ozogamicin (InO) has demonstrated efficacy and
tolerability in patients aged 18 to 78 years with relapsed/refractory acute
lymphoblastic leukemia (ALL) in the INO-VATE trial. This subset analysis compared
the efficacy and safety of InO in younger and older patients. METHODS: Intent-to
treat analyses of morphologic responses and overall survival (OS) included 326
randomized patients, and safety assessments included 307 patients receiving 1 or
more doses of the study treatment. Of the 326 patients, 164 received InO at a
starting dose of 1.8 mg/m2 /cycle (0.8 mg/m2 on day 1 and 0.5 mg/m2 on days 8 and
15 of a 21- to 28-day cycle [<=6 cycles]); 60 patients were aged >=55 years, and
104 were aged <55 years. RESULTS: For older and younger patients, the median
duration of InO therapy and the types and frequencies of adverse events of any
grade were generally similar. Although the remission rates, median duration of
remission (DOR), and progression-free survival were similar with InO for those
aged <55 years and those aged >=55 years, OS was longer for younger patients
(median, 8.6 vs 5.6 months; hazard ratio, 0.610). Among patients proceeding to
hematopoietic stem cell transplantation after InO treatment (28% of older
patients and 58% of younger patients), the incidence of veno-occlusive disease
was greater in older patients (41% vs 17%). The study database was not locked at
the time of this analysis. CONCLUSIONS: InO was tolerable in older patients with
relapsed/refractory ALL. Although OS was longer for younger patients versus older
patients, InO demonstrated high response rates with similar DOR in the 2 age
groups. Cancer 2018;124:1722-32. (c) 2018 American Cancer Society.
PMID- 29381192
TI - Brainstem auditory abnormality in extremely premature babies and the impact of
neonatal bronchopulmonary dysplasia.
AB - INTRODUCTION: Extremely premature babies, particularly those who have neonatal
bronchopulmonary dysplasia, are at risk of brain damage and neurodevelopmental
impairment. This study aimed to examine functional status of the brainstem
auditory pathway in extremely premature babies and assess the impact of
bronchopulmonary dysplasia on function. MATERIAL AND METHODS: Brainstem auditory
evoked response was studied at term in babies born at <=27 weeks of gestation
with or without neonatal bronchopulmonary dysplasia. The normal controls were
term babies without perinatal problems. RESULTS: Compared with the normal
controls, the extremely premature babies showed an elevated response threshold,
increased latencies of waves I, III and particularly V. They also showed
significantly increased I-V and III-V intervals. The amplitudes of waves I and V
were moderately reduced. These abnormalities were clearly more significant in
those with bronchopulmonary dysplasia than those without bronchopulmonary
dysplasia. A direct comparison between the two groups of extremely premature
babies revealed that wave V latency, and I-V and particularly III-V intervals
were significantly longer in the babies with bronchopulmonary dysplasia than
those without bronchopulmonary dysplasia. CONCLUSIONS: Extremely premature babies
have functional impairment of the brainstem auditory pathway. The impairment is
clearly more significant in those with bronchopulmonary dysplasia than those
without bronchopulmonary dysplasia. Neonatal bronchopulmonary dysplasia and
associated unfavorable conditions are major contributors to brainstem auditory
impairment in extremely premature babies.
PMID- 29381201
TI - Image reconstruction by means of focus series1.
PMID- 29381203
TI - Obituary.
PMID- 29381193
TI - Racial disparities in the rate of cardiotoxicity of HER2-targeted therapies among
women with early breast cancer.
AB - BACKGROUND: Human epidermal growth factor receptor 2 (HER2)-targeted therapies
are highly effective at preventing breast cancer recurrence but are associated
with cardiotoxicity in some patients, and minimal data are available regarding
racial disparities in the incidence of this toxicity. The authors conducted a
retrospective study to analyze the association of black or white race with
treatment-induced cardiotoxicity and incomplete therapy among patients with HER2
positive early breast cancer. METHODS: Women with HER2-positive, stage I through
III breast cancer who initiated (neo)adjuvant HER2-targeted therapy (trastuzumab
with or without pertuzumab) from January 2005 to March 2015 at the authors'
institution were eligible. We analyzed differences in the incidence of
cardiotoxicity (a decline in the left ventricular ejection fraction to <50% AND
an absolute drop in the left ventricular ejection fraction of >=10% from
baseline) and incomplete therapy (<52 weeks of HER2-targeted therapy) between
black and white women in univariate and multivariable analyses. RESULTS: The
authors identified 59 black patients and 157 white patients who had a median
follow-up 5.2 years. The median patient age was 53 years and was similar for
black and white patients. The 1-year cardiotoxicity incidence was 12% overall
(95% confidence interval [CI], 7%-16%), 24% in black women (95% CI, 12%-34%), and
7% in white women (95% CI, 3%-11%). Black patients had a significantly greater
probability of incomplete therapy compared with white patients (odds ratio, 4.61;
95% CI, 1.70-13.07; P = .002). High correlation was observed between a
cardiotoxicity event and incomplete therapy (96% concordance). CONCLUSIONS: Black
patients have a higher rate of cardiotoxicity and resultant incomplete adjuvant
HER2-targeted therapy than white patients. This patient population may benefit
from enhanced cardiac surveillance, cardioprotective strategies, and early
referral to cardiology when appropriate. Cancer 2018;124:1904-11. (c) 2018
American Cancer Society.
PMID- 29381216
TI - Integrating data from the Investigational Medicinal Product
Dossier/investigator's brochure. A new tool for translational integration of
preclinical effects.
PMID- 29381217
TI - Zinc treatment of hydroponically grown barley plants causes a reduction in root
and cell hydraulic conductivity and isoform-dependent decrease in aquaporin gene
expression.
AB - The cellular and molecular basis of a reduction in root water uptake in plants
exposed to heavy metals such as zinc (Zn) is poorly studied. The aim of the
present study on hydroponically grown barley (Hordeum vulgare) was to test
whether any reduction in root hydraulic conductivity (Lp) in response to Zn
treatment is accompanied by a reduction in cell Lp and gene expression level of
aquaporin (AQP) isoforms. Plants were grown in the presence of 0.25 MUM,
(control), 0.1 and 1 mM Zn in the root medium and analysed when they were 16-18
days old. Root and cell Lp was determined through exudation and cell pressure
probe analyses, respectively, and gene expression of five candidate AQPs was
analysed [real time quantitative polymerase chain reaction (PCR)]. Zinc
treatments caused significant reductions (25-83%) in transpiration rate, root and
shoot fresh weight, surface area and stomatal conductance. Zinc concentrations in
tissues increased more than 100-fold. Root Lp decreased by 24% (0.1 mM Zn) and
58% (1 mM Zn), while cell Lp decreased by 45 and 71%, respectively. Gene
expression of AQPs decreased by 14-80%; decreases were statistically significant
for HvPIP1;3, HvPIP2;4 and HvPIP2;5. Turgor in root cortex cells was not reduced
by Zn treatments. It is concluded that reductions in plant water flow in response
to Zn treatment are facilitated through decreases in root (Lp) and shoot
(stomata) hydraulics. The decrease in root Lp is facilitated through reductions
in cell Lp and AQP gene expression and may also reflect increased suberization in
the endodermis.
PMID- 29381218
TI - Russulaceae: a new genomic dataset to study ecosystem function and evolutionary
diversification of ectomycorrhizal fungi with their tree associates.
AB - The family Russulaceae is considered an iconic lineage of mostly mushroom-forming
basidiomycetes due to their importance as edible mushrooms in many parts of the
world, and their ubiquity as ectomycorrhizal symbionts in both temperate and
tropical forested biomes. Although much research has been focused on this group,
a comprehensive or cohesive synthesis by which to understand the functional
diversity of the group has yet to develop. Interest in ectomycorrhizal fungi, of
which Russulaceae is a key lineage, is prodigious due to the important roles they
play as plant root mutualists in ecosystem functioning, global carbon
sequestration, and a potential role in technology development toward
environmental sustainability. As one of the most species-diverse ectomycorrhizal
lineages, the Russulaceae has recently been the focus of a dense sampling and
genome sequencing initiative with the Joint Genome Institute aimed at untangling
their functional roles and testing whether functional niche specialization exists
for independent lineages of ectomycorrhizal fungi. Here we present a review of
important studies on this group to contextualize what we know about its members'
evolutionary history and ecosystem functions, as well as to generate hypotheses
establishing the Russulaceae as a valuable experimental system.
PMID- 29381219
TI - Spatial Epidemiology of Alcohol- and Drug-Related Health Problems Among Northern
Plains American Indians: Nebraska and South Dakota, 2007 to 2012.
AB - BACKGROUND: Despite high abstinence rates, American Indians experience elevated
rates of many alcohol and other drug problems. American Indians also
predominantly reside in poor and rural areas, which may explain some observed
health disparities. We investigated whether geographic areas including
reservations or large American Indian populations exhibited greater incidence of
alcohol- and drug-related hospitalizations. METHODS: We obtained inpatient
hospitalization records for 2 Northern Plain states (Nebraska and South Dakota)
for the years 2007 to 2012. We constructed zip code counts for 10 categories of
hospitalization with diagnoses or injury causation commonly associated with
alcohol or drug use. We related these to community sociodemographic
characteristics using Bayesian Poisson space-time regression models and examined
associations with and without controls for whether each zip code was located
within an American Indian reservation. RESULTS: Controlling for other demographic
and economic characteristics, zip codes with greater percentage of American
Indians exhibited greater incidence for all 10 substance abuse-related health
outcomes (9 of 10 well supported); zip code areas within American Indian
reservations had greater incidence of self-inflicted injury and drug dependence
and abuse, and reduced incidence of alcohol cirrhosis and prescription opioid
poisoning. However, the analyses generally demonstrated no well-supported
differences in incidence associated with local residence percentages of American
Indian versus African American. CONCLUSIONS: In our analyses, ethnicity or
heredity alone did not account for alcohol- and drug-related hospitalizations
among Native populations. Aspects of social, economic, and political dimensions
of Native lives must be considered in the etiology of alcohol- and drug-related
problems for rural-dwelling indigenous peoples.
PMID- 29381220
TI - Prediction of Individual Serum Infliximab Concentrations in Inflammatory Bowel
Disease by a Bayesian Dashboard System.
AB - Despite a robust exposure-response relationship of infliximab in inflammatory
bowel disease (IBD), attempts to adjust dosing to individually predicted serum
concentrations of infliximab (SICs) are lacking. Compared with labor-intensive
conventional software for pharmacokinetic (PK) modeling (eg, NONMEM) dashboards
are easy-to-use programs incorporating complex Bayesian statistics to determine
individual pharmacokinetics. We evaluated various infliximab detection assays and
the number of samples needed to precisely forecast individual SICs using a
Bayesian dashboard. We assessed long-term infliximab retention in patients being
dosed concordantly versus discordantly with Bayesian dashboard recommendations.
Three hundred eighty-two serum samples from 117 adult IBD patients on infliximab
maintenance therapy were analyzed by 3 commercially available assays. Data from
each assay was modeled using NONMEM and a Bayesian dashboard. PK parameter
precision and residual variability were assessed. Forecast concentrations from
both systems were compared with observed concentrations. Infliximab retention was
assessed by prediction for dose intensification via Bayesian dashboard versus
real-life practice. Forecast precision of SICs varied between detection assays.
At least 3 SICs from a reliable assay are needed for an accurate forecast. The
Bayesian dashboard performed similarly to NONMEM to predict SICs. Patients dosed
concordantly with Bayesian dashboard recommendations had a significantly longer
median drug survival than those dosed discordantly (51.5 versus 4.6 months, P <
.0001). The Bayesian dashboard helps to assess the diagnostic performance of
infliximab detection assays. Three, not single, SICs provide sufficient
information for individualized dose adjustment when incorporated into the
Bayesian dashboard. Treatment adjusted to forecasted SICs is associated with
longer drug retention of infliximab.
PMID- 29381222
TI - Therapeutic strategies for congenital myasthenic syndromes.
AB - To date, more than 25 genes have been implicated in the etiology of the
congenital myasthenic syndromes (CMS), and an ever-growing phenotypic landscape
is now encountered in the CMS clinic. Unlike the autoimmune form of myasthenia,
there is no role for immunomodulatory agents in the treatment of CMS. The present
day drug repertoire comprises acetylcholinesterase inhibitors (mainly
pyridostigmine), 3,4-diaminopyridine (3,4-DAP), ephedrine, salbutamol/albuterol,
open-channel blockers (fluoxetine, quinidine), or a combination of these. These
are prescribed by the specialist in an off-label manner, as there is no drug
currently licensed for the treatment of these rare diseases. The effective
pharmacological agent varies according to the genetic form of CMS, and it is
important to realize that an agent that provides benefit in one CMS subtype can
be harmful in another. In addition, the time to treatment response is variable
and tends to be commensurate with the drug used. Here, we summarize for the
clinician the therapeutic strategies employed in this ever-evolving disease
spectrum. We also address the barriers to treatment and discuss the treatment of
CMS in pregnancy.
PMID- 29381221
TI - Mechanisms underlying B cell immune dysregulation and autoantibody production in
MuSK myasthenia gravis.
AB - Pathogenic autoantibodies to muscle-specific tyrosine kinase (MuSK) can be found
in patients with myasthenia gravis (MG) who do not have detectable antibodies to
the acetylcholine receptor. Although the autoantibody-mediated pathology is well
understood, much remains to be learned about the cellular immunology that
contributes to autoantibody production. To that end, our laboratory has
investigated particular components associated with the cellular immunopathology
of MuSK MG. First, we found that B cell tolerance defects contribute to the
abnormal development of the naive repertoire, which indicates that dysregulation
occurs before the production of autoantibodies. Second, both the naive and
antigen-experienced memory B cell repertoire, which we examined through the
application of high-throughput adaptive immune receptor repertoire sequencing,
include abnormalities not found in healthy controls. This highlights a broad
immune dysregulation. Third, using complementary approaches, including production
of human monoclonal antibodies, we determined that circulating plasmablasts
directly contribute to the production of MuSK-specific autoantibodies in patients
experiencing relapse following B cell depletion therapy. These collective
findings contribute to defining a mechanistic model that describes MuSK MG
immunopathogenesis.
PMID- 29381223
TI - Developing treatment guidelines for myasthenia gravis.
AB - A task force of the Myasthenia Gravis Foundation of America recently published a
formal consensus statement intended to be a treatment guide for clinicians caring
for myasthenia gravis (MG) patients worldwide. Its development was stimulated by
the fact that there is generally no accepted standard of care for MG, and no one
treatment is best for all MG patients. Also, there are few randomized trials of
treatments in current use, and the generalizability of the few trials that have
been successful may be difficult. Fifteen international experts in MG
participated in the consensus process, which used a simple consensus to develop
preliminary definitions and the RAND/UCLA Appropriateness Method to quantify
agreement on treatment guidance statements for seven topics: symptomatic and
immunosuppressive treatment, intravenous immunoglobulin and plasma exchange,
impending and manifest myasthenic crisis, thymectomy, juvenile MG, MG with muscle
specific tyrosine kinase antibodies, and MG in pregnancy. The executive summary
of the guidance statement was published with open access to facilitate access by
patients and healthcare professionals, and the full statement, with extensive
background information, is available online. The guidance statement is a living
document that will require updates as new treatments and new information on
current treatments become available.
PMID- 29381224
TI - The pathological spectrum and clinical correlation of pigmented purpuric
dermatosis-A retrospective review of 107 cases.
AB - BACKGROUND: Various pathological findings have been reported in pigmented
purpuric dermatosis, but their clinical significance remains unclear. METHODS: We
retrospectively reviewed demographics, clinical presentations, pathological
patterns and concurrent systemic diseases among biopsy-confirmed cases of
pigmented purpuric dermatosis. RESULTS: A total of 107 cases were ascertained.
Five major pathological patterns were identified: lichenoid (45/107, 42.1%),
perivascular (40/107, 37.4%), interface (11/107, 10.3%), spongiotic (7/107, 6.5%)
and granulomatous (4/107, 3.7%). Lymphocytic vasculitis was present in 17
patients (15.9%), and Langerhans cell microabscess was seen in 4 (3.7%). Nine
patients had partial features mimicking mycosis fungoides but none were
confirmed. The lichenoid, perivascular and spongiotic patterns correlated to
lichen aureus, Schamberg and eczematoid clinical variants, respectively. The
interface pattern was associated with a higher risk of coincident autoimmune
diseases (18.2%, P = .0280) and gout (27.3%, P = .0180). CONCLUSIONS: This study
described the wide pathological spectrum of pigmented purpuric dermatosis among
Asians. Physicians should be aware about the clinical and pathological variations
to facilitate diagnosis.
PMID- 29381225
TI - Use of atropine in four-dimensional hysterosalpingo-contrast sonography: Does it
suppress pain during infertility examination?
AB - This study aimed to ascertain if atropine is useful for suppressing the pain
suffered during four-dimensional hysterosalpingo-contrast sonography (4D-HyCoSy)
when assessing infertility in women. A total of 252 patients were divided into 2
groups to receive or not receive atropine (0.5 mg) injection 30 minutes before 4D
HyCoSy. Pain was evaluated using a numerical rating scale (NRS): during 4D
HyCoSy; upon 2-dimensional transvaginal sonography; before catheter insertion;
upon insertion and fixation of the catheter into the uterine cavity; 30 minutes
after 4D-HyCoSy. According to the degree of patency of Fallopian tubes, the 6
patterns observed were integrated further into 3 patient groups: all-negative
(both Fallopian tubes were negative), positive-and-negative (one Fallopian tube
showed patency and the other showed stenosis or non-patency), and all-positive
(both Fallopian tubes showed stenosis or non-patency). We compared the NRS scores
and prevalence of side-effects other than pain between the atropine-injection and
non-atropine-injection groups within the three groups mentioned above. The NRS
score showed no significant differences among the groups at any time point (all P
> .05). The different prevalence of side-effects other than pain was significant
between the atropine-injection and atropine-non-injection groups (P = .012).
These data suggest that atropine does not reduce pain in patients during 4D
HyCoSy. However, atropine may reduce the prevalence of other side-effects during
4D-HyCoSy, which needs a further, large, prospective, multi-cohort study to
verify.
PMID- 29381226
TI - Risk for the development of non-alcoholic fatty liver disease: A prospective
study.
AB - BACKGROUND AND AIM: Non-invasive assessment was widely used to identify the risk
of non-alcoholic fatty liver disease (NAFLD) among individuals with increased
metabolic risks. This study aimed to investigate the prospective relationship
between ZJU index and the development of NAFLD in a Chinese population. METHODS:
A cohort of 6310 initially NAFLD-free participants was enrolled in this
prospective study. Abdominal ultrasound was used to diagnosis NAFLD. NAFLD
incidence was calculated among participants with different baseline ZJU index
quintiles. Cox proportional hazards regression analyses were conducted to
calculate the risks for incident NAFLD. RESULTS: During 37 705 person-year follow
ups, 1071 incident NAFLD cases were identified. The baseline ZJU index was linear
and positively correlated with NAFLD incidence. The incidence was 5.53, 11.75,
23.77, 43.28, and 85.60 cases per 1000 person-year follow-up for participants
with baseline ZJU index in quintiles 1-5, respectively. Compared with
participants with baseline ZJU index in quintile 1, the hazard ratios (95%
confidence interval) for incident NAFLD were 2.092 (1.458-3.002), 4.094 (2.942
5.698), 7.095 (5.167-9.742), and 13.191 (9.684-17.968) for participants with
baseline ZJU index in quintiles 2-5, respectively. Further analysis found that
the changes of ZJU index during follow-up was also independently associated with
risk for incident NAFLD. CONCLUSIONS: Baseline ZJU index and absolute ZJU index
changes independently predicts the risk for incident NAFLD in Chinese population.
PMID- 29381227
TI - Spectrum and origin of mutations in sporadic cases of haemophilia A in China.
AB - INTRODUCTION: About 30% of haemophilia A (HA) patients are sporadic cases. It is
important to confirm the mutation origin and carrier status in these families.
AIM: To describe the spectrum and origin of the mutations in 393 Chinese sporadic
HA families and identify potential mosaics among non-carrier mothers. METHODS:
AccuCopy quantification combined with long-distance PCR was used for genotyping
intron 22/1 inversion (Inv22/Inv1) and Inv22 mosaicism. F8 gene sequences were
analysed by direct sequencing. Copy number variations of F8 gene were detected by
AccuCopy method. Six short tandem repeats related to F8 gene were applied for
linkage analysis. Mosaicism of point mutations/small deletions/insertions was
determined by ddNTP primer extension method. RESULTS: Most of sporadic patients'
mothers are carriers, in 257 cases with integral family members, 60% have the
mutations tracing back to their fathers, 12% to their mothers. 28% had de novo
mutations with non-carrier mothers as revealed by routine genetic studies.
Mutation spectrum of sporadic families was different in groups with different
origins of mutations. Point mutation (51%) was the predominant mutation type in
pedigrees with de novo mutations. While, in families with mutations inherited
from maternal grandfathers, Inv22 was the main type (51%). We found somatic
mosaic in mothers of 30% (3/10) pedigrees with de novo Inv22 and 11.5% (3/26)
pedigrees with point mutations. CONCLUSION: The spectrum of F8 genetic variants
identified in sporadic families was fairly diverse. The high prevalence of
chimaeras in carriers suggests that more cautions should be taken in genetic
counselling of sporadic haemophilia families.
PMID- 29381228
TI - Implications of intercorrelation between hepatic CYP3A4-CYP2C8 enzymes for the
evaluation of drug-drug interactions: a case study with repaglinide.
AB - AIMS: Statistically significant positive correlations are reported for the
abundance of hepatic drug-metabolizing enzymes. We investigate, as an example,
the impact of CYP3A4-CYP2C8 intercorrelation on the predicted interindividual
variabilities of clearance and drug-drug interactions (DDIs) for repaglinide
using physiologically based pharmacokinetic (PBPK) modelling. METHODS: PBPK
modelling and simulation were employed using Simcyp Simulator (v15.1). Virtual
populations were generated assuming intercorrelations between hepatic CYP3A4
CYP2C8 abundances derived from observed values in 24 human livers. A repaglinide
PBPK model was used to predict PK parameters in the presence and absence of
gemfibrozil in virtual populations, and the results were compared with a clinical
DDI study. RESULTS: Coefficient of variation (CV) of oral clearance was 52.5% in
the absence of intercorrelation between CYP3A4-CYP2C8 abundances, which increased
to 54.2% when incorporating intercorrelation. In contrast, CV for predicted DDI
(as measured by AUC ratio before and after inhibition) was reduced from 46.0% in
the absence of intercorrelation between enzymes to 43.8% when incorporating
intercorrelation: these CVs were associated with 5th/95th percentiles (2.48-11.29
vs. 2.49-9.69). The range of predicted DDI was larger in the absence of
intercorrelation (1.55-77.06) than when incorporating intercorrelation (1.79
25.15), which was closer to clinical observations (2.6-12). CONCLUSIONS: The
present study demonstrates via a systematic investigation that population-based
PBPK modelling incorporating intercorrelation led to more consistent estimation
of extreme values than those observed in interindividual variabilities of
clearance and DDI. As the intercorrelations more realistically reflect enzyme
abundances, virtual population studies involving PBPK and DDI should avoid using
Monte Carlo assignment of enzyme abundance.
PMID- 29381229
TI - Time-to-event modelling of effect of codrituzumab on overall survival in patients
with hepatocellular carcinoma.
AB - AIMS: Codrituzumab (GC33) is a recombinant, humanized mAb that binds to glypican
3 (GPC3), an oncofetal protein highly expressed in hepatocellular carcinoma
(HCC). This investigation aimed to identify clinically relevant factors that may
affect the overall survival (OS) in HCC patients treated with codrituzumab and to
quantitatively annotate their effects. METHODS: Codrituzumab exposure was
estimated by a population pharmacokinetics model with a nonlinear elimination
pathway. Analysis of OS was performed using a time-to-event model in 181 patients
with advanced HCC. The model was tested with the addition of various covariates,
including levels of immune biomarkers, such as CD16 (measured in terms of
molecules of equivalent soluble fluorophore; CD16MESF ) and CD4, codrituzumab
exposure and potential prognostic biomarkers of HCC such as baseline tumour size
and soluble GPC3. RESULTS: The time-to-event model estimated a prolonged OS (>3
months) in patients with codrituzumab exposure of >=230 MUg ml-1 and high
CD16MESF level (>5.26 * 105 MESF at least). The Weibull model was selected as the
base hazard model. The baseline tumour size was included in the hazard model as a
parameter independent of the drug effect. A logistic model was applied to explain
the effects of drug exposure and CD16MESF level. CONCLUSIONS: The final model
indicates that adequate drug exposure plus a favourable immune environment are
associated with prolonged OS. This quantitative model should be further validated
with emerging data so as to guide study design in future clinical trials.
PMID- 29381230
TI - Novel SYNGAP1 variant in a patient with intellectual disability and distinctive
dysmorphisms.
AB - We describe a novel de novo heterozygous variant in SYNGAP1 (c.1741C>T, p.R581W),
identified through targeted resequencing in an 8-year-old boy with intellectual
disability, autism spectrum disorder, distinctive dysmorphic features, and no
seizures. Our data strongly suggest that the SYNGAP1 variant is causative of
intellectual disability in this patient.
PMID- 29381231
TI - Optimization of reverse chemical ecology method: false positive binding of
Aenasius bambawalei odorant binding protein 1 caused by uncertain binding
mechanism.
AB - Odorant binding proteins (OBPs) are considered as the core molecular targets in
reverse chemical ecology, which is a convenient and efficient method by which to
screen potential semiochemicals. Herein, we identified a classic OBP, AbamOBP1
from Aenasius bambawalei, which showed high mRNA expression in male antennae.
Fluorescence competitive binding assay (FCBA) results demonstrated that AbamOBP1
has higher binding affinity with ligands at acid pH, suggesting the
physiologically inconsistent binding affinity of this protein. Amongst the four
compounds with the highest binding affinities at acid pH, 2, 4, 4-trimethyl-2
pentene and 1-octen-3-one were shown to have attractant activity for male adults,
whereas (-)-limonene and an analogue of 1-octen-3-ol exhibited nonbehavioural
activity. Further homology modelling and fluorescence quenching experiments
demonstrated that the stoichiometry of the binding of this protein to these
ligands was not 1: 1, suggesting that the results of FCBA were false. In
contrast, the apparent association constants (Ka) of fluorescence quenching
experiments seemed to be more reliable, because 2, 4, 4-trimethyl-2-pentene and 1
octen-3-one had observably higher Ka than (-)-limonene and 1-octen-3-ol at
neutral pH. Based on the characteristics of different OBPs, various approaches
should be applied to study their binding affinities with ligands, which could
modify and complement the results of FCBA and contribute to the application of
reverse chemical ecology.
PMID- 29381232
TI - Categorization of fetal external findings in developmental toxicology studies by
the Terminology Committee of the Japanese Teratology Society.
AB - Categorization of fetal external findings in common laboratory animals, intended
to make the agreement at Berlin Workshop in 2014 more practical, was proposed by
the Terminology Committee of the Japanese Teratology Society at the Workshop in
the 55th Japanese Teratology Society Annual Meeting in 2015. In the Workshop, 73
external findings, which had been categorized as "Gray zone" anomalies but not as
"Malformation" or "Variation" in the 2014 Berlin Workshop, were discussed and
classified as Malformation, "Non-structural abnormality," Variation, and "Not
applicable." The proposal was based on the results of a survey conducted in 2014,
where 20 facilities (including pharmaceutical, chemical, and pesticide companies
and contract laboratories) and 2 selected expert teratologists in Japan were
asked for their opinions on the categorization of these findings. Based on the
discussion, Japanese Teratology Society members have agreed that 42 out of the 73
findings can be classified as Malformations (38), Non-structural abnormalities
(3), Malformations/Non-structural abnormalities (1), and Variations (0), while
the remaining 31 findings were recommended to be categorized as Not applicable
for fetuses. The details of the classification are shown on the website of the
Japanese Teratology Society (http://www.umin.ac.jp/cadb/External.pdf).
PMID- 29381234
TI - Balancing early access with uncertainties in evidence for drugs authorized by
prospective case series - systematic review of reimbursement decisions.
AB - AIMS: To review clinical and cost-effectiveness evidence underlying reimbursement
decisions relating to drugs whose authorization mainly is based on evidence from
prospective case series. METHODS: A systematic review of all new drugs evaluated
in 2011-2016 within a health care profession-driven resource prioritization
process, with a market approval based on prospective case series, and a
reimbursement decision by the Swedish Dental and Pharmaceutical Benefits Agency
(TLV). Public assessment reports from the European Medicines Agency, published
pivotal studies, and TLV, Scottish Medicines Consortium and National Institute of
Health and Care Excellence decisions and guidance documents were reviewed.
RESULTS: Six drug cases were assessed (brentuximab vedotin, bosutinib, ponatinib,
idelalisib, vismodegib, ceritinib). The validity of the pivotal studies was
hampered by the use of surrogate primary outcomes and the absence of recruitment
information. To quantify drug treatment effect sizes, the reimbursement agencies
primarily used data from another source in indirect comparisons. TLV granted
reimbursement in five cases, compared with five in five cases for Scottish
Medicines Consortium and four in five cases for National Institute of Health and
Care Excellence. Decision modifiers, contributing to granted reimbursement
despite hugely uncertain cost-effectiveness ratios, were, for example, small
population size, occasionally linked to budget impact, severity of disease, end
of life and improved life expectancy. CONCLUSION: For drugs whose authorization
is based on prospective case series, most applications for reimbursement within
public health care are granted. The underlying evidence has limitations over and
above the design per se, and decision modifiers are frequently referred to in the
value-based pricing decision making.
PMID- 29381233
TI - Clinical and genetic features of Charcot-Marie-Tooth disease 2F and hereditary
motor neuropathy 2B in Japan.
AB - Mutations in small heat shock protein beta-1 (HspB1) have been linked to Charcot
Marie-Tooth (CMT) disease type 2F and distal hereditary motor neuropathy type 2B.
Only four cases with HSPB1 mutations have been reported to date in Japan. In this
study between April 2007 and October 2014, we conducted gene panel sequencing in
a case series of 1,030 patients with inherited peripheral neuropathies (IPNs)
using DNA microarray, targeted resequencing, and whole-exome sequencing. We
identified HSPB1 variants in 1.3% (13 of 1,030) of the patients with IPNs, who
exhibited a male predominance. Based on neurological and electrophysiological
findings, seven patients were diagnosed with CMT disease type 2F, whereas the
remaining six patients were diagnosed with distal hereditary motor neuropathy
type 2B. P39L, R127W, S135C, R140G, K141Q, T151I, and P182A mutations identified
in 12 patients were described previously, whereas a novel K123* variant with
unknown significance was found in 1 patient. Diabetes and impaired glucose
tolerance were detected in 6 of the 13 patients. Our findings suggest that HSPB1
mutations result in two phenotypes of inherited neuropathies and extend the
phenotypic spectrum of HSPB1-related disorders.
PMID- 29381235
TI - A Cross-Dehydrogenative Annulation Strategy towards Synthesis of Polyfluorinated
Phenanthridinones with Copper.
AB - The first cross-dehydrogenative annulation of (hetero)aromatic amides with
polyfluoro(hetero)arenes is presented. This operationally simple oxidative
annulation process is mediated by inexpensive copper salt, accommodates a wide
range of substrates with exquisite chemo- and regioselectivity profile, and
produces demanding polyfluorinated phenanthridinones in high yields (up to 92 %).
Using alkenyl amides under identical conditions, the synthesis of polyfluorinated
2-quinolones has also been accomplished. Given the importance of fluorinated
heterocycles in the pharmaceutical industry and drug discovery, this work is
highly significant.
PMID- 29381236
TI - Centromeric DNA characterization in the model grass Brachypodium distachyon
provides insights on the evolution of the genus.
AB - Brachypodium distachyon is a well-established model monocot plant, and its small
and compact genome has been used as an accurate reference for the much larger and
often polyploid genomes of cereals such as Avena sativa (oats), Hordeum vulgare
(barley) and Triticum aestivum (wheat). Centromeres are indispensable functional
units of chromosomes and they play a core role in genome polyploidization events
during evolution. As the Brachypodium genus contains about 20 species that differ
significantly in terms of their basic chromosome numbers, genome size, ploidy
levels and life strategies, studying their centromeres may provide important
insight into the structure and evolution of the genome in this interesting and
important genus. In this study, we isolated the centromeric DNA of the B.
distachyon reference line Bd21 and characterized its composition via the
chromatin immunoprecipitation of the nucleosomes that contain the centromere
specific histone CENH3. We revealed that the centromeres of Bd21 have the
features of typical multicellular eukaryotic centromeres. Strikingly, these
centromeres contain relatively few centromeric satellite DNAs; in particular, the
centromere of chromosome 5 (Bd5) consists of only ~40 kb. Moreover, the
centromeric retrotransposons in B. distachyon (CRBds) are evolutionarily young.
These transposable elements are located both within and adjacent to the CENH3
binding domains, and have similar compositions. Moreover, based on the presence
of CRBds in the centromeres, the species in this study can be grouped into two
distinct lineages. This may provide new evidence regarding the phylogenetic
relationships within the Brachypodium genus.
PMID- 29381237
TI - Rapid evolution of a bacterial iron acquisition system.
AB - Under iron limitation, bacteria scavenge ferric (Fe3+ ) iron bound to
siderophores or other chelates from the environment to fulfill their nutritional
requirement. In gram-negative bacteria, the siderophore uptake system prototype
consists of an outer membrane transporter, a periplasmic binding protein and a
cytoplasmic membrane transporter, each specific for a single ferric siderophore
or siderophore family. Here, we show that spontaneous single gain-of-function
missense mutations in outer membrane transporter genes of Bradyrhizobium
japonicum were sufficient to confer on cells the ability to use synthetic or
natural iron siderophores, suggesting that selectivity is limited primarily to
the outer membrane and can be readily modified. Moreover, growth on natural or
synthetic chelators required the cytoplasmic membrane ferrous (Fe2+ ) iron
transporter FeoB, suggesting that iron is both dissociated from the chelate and
reduced to the ferrous form within the periplasm prior to cytoplasmic entry. The
data suggest rapid adaptation to environmental iron by facile mutation of
selective outer membrane transporter genes and by non-selective uptake components
that do not require mutation to accommodate new iron sources.
PMID- 29381238
TI - 16S rRNA profiling of the Dermatophagoides farinae core microbiome: Enterococcus
and Bartonella.
PMID- 29381239
TI - Grapevine field experiments reveal the contribution of genotype, the influence of
environment and the effect of their interaction (G*E) on the berry transcriptome.
AB - Changes in the performance of genotypes in different environments are defined as
genotype * environment (G*E) interactions. In grapevine (Vitis vinifera), complex
interactions between different genotypes and climate, soil and farming practices
yield unique berry qualities. However, the molecular basis of this phenomenon
remains unclear. To dissect the basis of grapevine G*E interactions we
characterized berry transcriptome plasticity, the genome methylation landscape
and within-genotype allelic diversity in two genotypes cultivated in three
different environments over two vintages. We identified, through a novel data
mining pipeline, genes with expression profiles that were: unaffected by genotype
or environment, genotype-dependent but unaffected by the environment,
environmentally-dependent regardless of genotype, and G*E-related. The G*E
related genes showed different degrees of within-cultivar allelic diversity in
the two genotypes and were enriched for stress responses, signal transduction and
secondary metabolism categories. Our study unraveled the mutual relationships
between genotypic and environmental variables during G*E interaction in a woody
perennial species, providing a reference model to explore how cultivated fruit
crops respond to diverse environments. Also, the pivotal role of vineyard
location in determining the performance of different varieties, by enhancing
berry quality traits, was unraveled.
PMID- 29381240
TI - Erectile dysfunction and testosterone deficiency as cardiovascular risk factors?
AB - Graham Jackson introduced the concept that erectile dysfunction was a marker for
undiagnosed cardiovascular disease and future events. Unfortunately this had had
modest impact on CVD management as ED is not incorporated into current risk
calculators. In this paper, we examine recent evidence as to whether ED should be
upgraded to a risk factor, especially with the high predictive value in younger
men. In the Princeton 3 guidelines, he recognised the important impact of
testosterone deficiency (TD) on all-cause and cardiovascular mortality. Recent
evidence suggests that testosterone therapy to target levels and for sufficient
duration, reduces cardiovascular events. In this paper, we also produce a case
for testosterone deficiency to be considered as an independent risk factor. The
evidence for inclusion of both ED and TD may now be stronger than accepted risk
factors and have the advantages of being easily assessed, being quantitative,
symptomatic and clinically relevant, especially in younger men.
PMID- 29381241
TI - Evaluation of inguinal vascular surgical scars treated with closed incisional
negative pressure wound therapy using three-dimensional digital imaging-A
randomized controlled trial on bilateral incisions.
AB - PURPOSE OF THE INVESTIGATION: Scar formation is an important, permanent surrogate
marker for wound healing. The main aim of this randomized trial was to evaluate
the effects of incisional negative pressure wound therapy (iNPWT) on scar
formation in uncomplicated wound healing with 3-dimensional (3D) digital imaging.
BASIC PROCEDURES: Patients undergoing bilateral inguinal incisions after vascular
surgery were randomized to receive iNPWT and standard dressing on separate sides.
The incisional scars were documented at a median time of 808 days (range 394
1194) after surgery with 3D photography, which were objectively evaluated by two
plastic surgeons using the Stony Brook scar evaluation scale (SBSES) and a 10
point graded numeric ranking scale (NRS10). Subjective evaluation was performed
with the patient observer scar assessment scale (POSAS). Patients with surgical
site infection or other wound complications were excluded to minimize bias. The p
values were calculated using McNemar's and Wilcoxon signed-rank test for paired
nominal and paired continuous data, respectively. MAIN FINDINGS: Among 33
patients, 32 patients had undergone endovascular aortic repair (EVAR) and 31
patients had transverse inguinal incisions. Objective and subjective scar
evaluation showed no difference between iNPWT and standard dressing. In objective
scorings, 18.8 and 21.9% received the highest possible SBSES total score in the
iNPWT and standard dressing groups, while 43.8 and 37.5% received the highest
possible NRS10 score, respectively. The inter-rater reliability between the two
assessors for SBSES total score and NRS10 showed an intra-class correlation (ICC)
of 0.78 and 0.68 for NPWT and 0.70 and 0.77 for traditional dressing,
respectively. PRINCIPAL CONCLUSION: The present randomized trial showed that
iNPWT and standard dressings on closed transverse inguinal incisions after EVAR
resulted in equal subtle scar formation. Objective scar evaluation with 3D images
showed good inter-rater agreement.
PMID- 29381242
TI - Risk factors for recurrence of pressure ulcers after defect reconstruction.
AB - Patients suffering from pressure ulcers remain to be a challenging task for
nursing staff and doctors in the daily clinical management, putting-notably in
the case of recurrences-additional strain on the constantly reduced resources in
public healthcare. We aimed to assess the risk factors for the recurrence of
pressure ulcers at our institution, a tertiary referral center. In this
retrospective analysis of patients admitted to our division we identified risk
factors for pressure ulcer recurrence. The hospital patient database search
included all patients with a diagnosis of pressure ulcers of the torso and lower
extremity. One hundred sixty-three patients were diagnosed with pressure ulcers
and 55 patients with 63 pressure ulcers met our inclusion criteria. The 17
recurrences (27%) had an average follow-up of 728 days. Most presented with
lesions of the ischial tuberosity (n=24). Recurrence was statistically associated
with defect size (p = 0.013, Cox regression analysis), and serum albumin levels
(p = 0.045, Spearman correlation), but no association was found for body mass
index, bacterial profile, comorbidities, localization, previous surgery, or time
to-admission for reconstruction (all p > 0.05). Supported by the recent
literature we identified factors like defect size to be associated with pressure
ulcer recurrence, but not with time-to admission for reconstruction or number of
previous debridements. Whether laboratory values like serum albumin levels were
the cause, the result or associated with pressure ulcer recurrence warrants
further investigation.
PMID- 29381243
TI - Neural crest-derived cells migrate from nerve to participate in Achilles tendon
remodeling.
AB - During tendon injury, nerve ingrowth is one of the earliest events of tendon
repair and remodeling. Since peripheral neurons and associated cells are mostly
derived from neural crest (NC) cells, we sought to investigate the role of NC
derived cells in tendon regeneration. Thus, we used Sox10-Cre/ROSA26-Flox-Red
Fluorescent Protein (RFP) transgenic mice to trace these cells during tendon
regeneration. After 4 weeks of Achilles tendon rupture, the injured tendon
tissues were harvested for immunohistological analyses, cell isolation, and
phenotype identification. In addition, the tenocytes were co-cultured with RFP
labeled cells to examine cellular functions. Following the injury, a significant
number of RFP-labeled cells penetrated into the wound site and reached a peak
(~30% of cells) after 2 weeks, and then stabilized at a level of approximately
20%. Interestingly, 36.9% RFP labeled cells in the injured area expressed Tuj1,
suggesting that most of the cells are peripheral neurons. Some RFP+ /Tuj1+ cells
were also found adjacent to newly formed blood vessels in the tendon.
Importantly, the existing neuropeptide Y (NPY) and neuropeptide Y receptor (NPYr)
in the invading nerve and blood vessels were directly correlated. In addition,
there were also RFP+ cells (~30%) negative for neuronal markers but positive for
fibroblast markers, that is, FAP (34.7%) and Vimentin (Vmt) (27.2%), and
approximately 10% positive for Sox10. Indeed, many RFP+ cells isolated from the
ruptured Achilles tendon showed long spindle shapes and expressed fibroblast
phenotypic markers FSP1 and FAP. Part of the Sox10+ RFP-labeled cells exhibited
osteogenic and adipogenic differentiation ability. It is concluded that after
Achilles tendon injury, nerves sprout into the wound site. The NC-derived Vmt+
/FAP+ mesenchymal cells and peripheral nerves participate in tendon regeneration.
PMID- 29381244
TI - Pyogenic sacroiliitis and haemophilia-A duo not often considered.
PMID- 29381245
TI - Congenital bleeding disorders: Report from one tertiary centre in Guatemala.
PMID- 29381246
TI - Evidence in support of hyperkalaemia management strategies: A systematic
literature review.
AB - BACKGROUND: Hyperkalaemia is a potentially life-threatening condition that can be
managed with pharmacological and non-pharmacological approaches. With the recent
development of new hyperkalaemia treatments, new information on safe and
effective management of hyperkalaemia has emerged. OBJECTIVES: This systematic
literature review (SLR) aimed to identify all relevant comparative and non
comparative clinical data on management of hyperkalaemia in adults. Our secondary
aim was to assess the feasibility of quantitatively comparing randomised
controlled trial (RCT) data on the novel treatment sodium zirconium cyclosilicate
(ZS) and established pharmacological treatments for the non-emergency management
of hyperkalaemia, such as the cation-exchangers sodium/calcium polystyrene
sulphonate (SPS/CPS). METHODS: MEDLINE, Embase and the Cochrane Library were
searched on 3rd April 2017, with additional hand-searches of key congresses and
previous SLRs. Articles were screened by two independent reviewers. Eligible
records reported interventional or observational studies of pharmacological or
non-pharmacological management of hyperkalaemia in adults. RESULTS: Database
searches identified 2,073 unique records. Two hundred and one publications were
included, reporting 30 RCTs, 29 interventional non-RCTs and 43 observational
studies. Interventions investigated in RCTs included ZS (3), SPS/CPS (3),
patiromer (4) and combinations of temporising agents (6 RCTs). A robust and
meaningful indirect treatment comparison between ZS and long-established cation
binding agents (SPS/CPS) was infeasible because of heterogeneity between studies
(including time points and dosing) and small sample size in SPS/CPS studies.
CONCLUSIONS: Despite hyperkalaemia being associated with several chronic
diseases, there is a paucity of high-quality randomised evidence on long
established treatment options (SPS and CPS) and a limited evidence base for
hyperkalaemia management with these agents.
PMID- 29381247
TI - NREM sleep EEG activity and procedural memory: A comparison between young
neurotypical and autistic adults without sleep complaints.
AB - : Delta EEG activity (0.75-3.75 Hz) during non-Rapid eye movement (NREM) sleep
reflects the thalamo-cortical system contribution to memory consolidation. The
functional integrity of this system is thought to be compromised in the Autism
spectrum disorder (ASD). This lead us to investigate the topography of NREM sleep
Delta EEG activity in young adults with ASD and typically-developed individuals
(TYP). The relationship between Delta EEG activity and sensory-motor procedural
information was also examined using a rotary pursuit task. Two dependent
variables were computed: a learning index (performance increase across trials)
and a performance index (average performance for all trials). The ASD group
showed less Delta EEG activity during NREM sleep over the parieto-occipital
recording sites compared to the TYP group. Delta EEG activity dropped more
abruptly from frontal to posterior regions in the ASD group. Both groups of
participants learned the task at a similar rate but the ASD group performed less
well in terms of contact time with the target. Delta EEG activity during NREM
sleep, especially during stage 2, correlated positively with the learning index
for electrodes located all over the cortex in the TYP group, but only in the
frontal region in the ASD group. Delta EEG activity, especially during stage 2,
correlated positively with the performance index, but in the ASD group only.
These results reveal an atypical thalamo-cortical functioning over the parieto
occipital region in ASD. They also point toward an atypical relationship between
the frontal area and the encoding of sensory-motor procedural memory in ASD.
Autism Res 2018, 11: 613-623. (c) 2018 International Society for Autism Research,
Wiley Periodicals, Inc. LAY SUMMARY: Slow EEG waves recorded from the scalp
during sleep are thought to facilitate learning and memory during daytime. We
compared these EEG waves in young autistic adults to typically-developing young
adults. We found less slow EEG waves in the ASD group and the pattern of
relationship with memory differed between groups. This suggests atypicalities in
the way sleep mechanisms are associated with learning and performance in a
sensory-motor procedural memory task in ASD individuals.
PMID- 29381248
TI - Association between biofilm and multi/extensive drug resistance in diabetic foot
infection.
AB - PURPOSE: We aimed to determine significant risk factors for biofilm production
and to investigate the association between antimicrobial resistance profile and
biofilm formation in the bacterial isolates obtained from patients with diabetic
foot infection (DFI). METHODS: Demographic, clinical, laboratory and outcome data
of 165 patients, prospectively recorded and followed between January 2008 and
December 2015 by a multidisciplinary committee, were analysed. Standard
microbiological methods were adopted. Risk factors associated with biofilm were
determined by univariate and multivariate analyses. RESULTS: The overall rate of
biofilm production among 339 wound isolates was 34%. The biofilm production rate
was significantly higher in Gram-negative micro-organisms (39%) in comparison
with Gram positives (21%) (P = .01). A. baumannii presented the highest biofilm
production (62%), followed by P. aeruginosa (52%) and Klebsiella spp. (40%). On
univariate analysis, significant factors associated with biofilm were antibiotic
use within last 3 months (OR:2.94, CI: 1.5-5.75, P = .002), recurrent DFI within
last 6 months (OR:2.35, CI: 1.23-4.53, P = .01), hospitalisation within last 3
months due to ipsilateral recurrent DFI (OR:2.44, CI: 1.06-5.58, P = .03),
presence of amputation history (OR: 2.20, CI: 1.14-4.24, P = .01), multidrug
resistant (MDR) micro-organism (OR: 7.76, CI: 4.53-13.35, P<.001) and extensively
drug-resistant (XDR) micro-organism (OR:11.33, CI:4.97-26.55, P<.001).
Multivariate regression analysis revealed two variables to be significant factors
associated with biofilm: MDR micro-organism (OR: 3.63, CI: 1.58-8.33, P = .002)
and XDR micro-organism (OR:4.06, CI: 1.25-13.1, P = .01). CONCLUSIONS:
Multi/extensive drug resistance and previous recurrent DFIs were significantly
associated with biofilm formation in patients with diabetic foot.
PMID- 29381249
TI - Reactions in Confined Spaces.
PMID- 29381250
TI - Perspectives on diabetes mortality as the result of residual confounding and
reverse causality by common disease.
AB - Type 2 diabetes (T2D) is associated with major global health burdens, including 2
to 4 times increased rates of morbidity and mortality from cardiovascular
disease. However, T2D remains an exclusion diagnosis in individuals with
arbitrarily elevated blood-glucose levels. While it is well-established that
diabetes is associated with an elevated risk of cardiovascular disease and
cancer, it has recently been shown that heart failure and cancer may precede, and
even contribute to, the development of T2D. In the present review, we have
summarized these findings and discuss their potential implications for our
understanding of the T2D disease entity, including its treatment and associated
increased mortality. We suggest that the existence of a hitherto unrecognized
distinct T2D subtype, secondary to heart failure and/or cancer, may substantially
contribute to the excess mortality reported in T2D patients with mild disease.
Treatment and clinical care of this subtype needs to be defined separately from
the general T2D phenotype.
PMID- 29381252
TI - Molecular biology at the cutting edge: A review on CRISPR/CAS9 gene editing for
undergraduates.
AB - Disrupting a gene to determine its effect on an organism's phenotype is an
indispensable tool in molecular biology. Such techniques are critical for
understanding how a gene product contributes to the development and cellular
identity of organisms. The explosion of genomic sequencing technologies combined
with recent advances in genome-editing techniques has elevated the possibilities
of genetic manipulations in numerous organisms in which these experiments were
previously not readily accessible or possible. Introducing the next generation of
molecular biologists to these emerging techniques is key in the modern biology
classroom. This comprehensive review introduces undergraduates to CRISPR/Cas9
editing and its uses in genetic studies. The goals of this review are to explain
how CRISPR functions as a prokaryotic immune system, describe how researchers
generate mutations with CRISPR/Cas9, highlight how Cas9 has been adapted for new
functions, and discuss ethical considerations of genome editing. Additionally,
anticipatory guides and questions for discussion are posed throughout the review
to encourage active exploration of these topics in the classroom. Finally, the
supplement includes a study guide and practical suggestions to incorporate
CRISPR/Cas9 experiments into lab courses at the undergraduate level. (c) 2018 The
Authors Biochemistry and Molecular Biology Education published by Wiley
Periodicals, Inc. on behalf of International Union of Biochemistry and Molecular
Biology, 46(2):195-205, 2018.
PMID- 29381253
TI - Accuracy and precision of flash glucose monitoring sensors inserted into the
abdomen and upper thigh compared with the upper arm.
AB - Nowadays, most Belgian patients with type 1 diabetes use flash glucose monitoring
(FreeStyle Libre [FSL]; Abbott Diabetes Care, Alameda, California) to check their
glucose values, but some patients find the sensor on the upper arm too visible.
The aim of the present study was to compare the accuracy and precision of FSL
sensors when placed on different sites. A total of 23 adults with type 1 diabetes
used three FSL sensors simultaneously for 14 days on the upper arm, abdomen and
upper thigh. FSL measurements were compared with capillary blood glucose (BG)
measurements obtained with a built-in FSL BG meter. The aggregated mean absolute
relative difference was 11.8 +/- 12.0%, 18.5 +/- 18.4% and 12.3 +/- 13.8% for the
arm, abdomen (P = .002 vs arm) and thigh (P = .5 vs arm), respectively. Results
of Clarke error grid analysis for the arm and thigh were similar (zone A: 84.9%
vs 84.5%; P = .6), while less accuracy was seen for the abdomen (zone A: 69.4%; P
= .01). Apart from the first day, the accuracy of FSL sensors on the arm and
thigh was more stable across the 14-day wear duration than accuracy of sensors on
the abdomen, which deteriorated mainly during week 2 (P < .0005). The aggregated
precision absolute relative difference was markedly lower for the arm/thigh (10.9
+/- 11.9%) compared with the arm/abdomen (20.9 +/- 22.8%; P = .002). Our results
indicate that the accuracy and precision of FSL sensors placed on the upper thigh
are similar to the upper arm, whereas the abdomen performed unacceptably poorly.
PMID- 29381251
TI - Current evidence for the treatment of hypothyroidism with
levothyroxine/levotriiodothyronine combination therapy versus levothyroxine
monotherapy.
AB - OBJECTIVE: Hypothyroidism is relatively common, occurring in approximately 5% of
the general US population aged >=12 years. Levothyroxine (LT4) monotherapy is the
standard of care. Approximately, 5%-10% of patients who normalise thyroid
stimulating hormone levels with LT4 monotherapy may have persistent symptoms that
patients and clinicians may attribute to hypothyroidism. A long-standing debate
in the literature is whether addition of levotriiodothyronine (LT3) to LT4 will
ameliorate lingering symptoms. Here, we explore the evidence for and against
LT4/LT3 combination therapy as the optimal approach to treat euthyroid patients
with persistent complaints. METHODS: Recent literature indexed on PubMed was
searched in March 2017 using the terms "hypothyroid" or "hypothyroidism" and
"triiodothyronine combination" or "T3 combination." Relevant non-review articles
published in English during the past 10 years were included and supplemented with
articles already known to the authors. FINDINGS: Current clinical evidence is not
sufficiently strong to support LT4/LT3 combination therapy in patients with
hypothyroidism. Polymorphisms in deiodinase genes that encode the enzymes that
convert T4 to T3 in the periphery may provide potential mechanisms underlying
unsatisfactory treatment results with LT4 monotherapy. However, results of
studies on the effect of LT4/LT3 therapy on clinical symptoms and thyroid
responsive genes have thus far not been conclusive. CONCLUSIONS: Persistent
symptoms in patients who are biochemically euthyroid with LT4 monotherapy may be
caused by several other conditions unrelated to thyroid function, and their cause
should be aggressively investigated by the clinician.
PMID- 29381254
TI - Double-stranded RNA targeting calmodulin reveals a potential target for pest
management of Nilaparvata lugens.
AB - BACKGROUND: Calmodulin (CaM) is an essential protein in cellular activity and
plays important roles in many processes in insect development. RNA interference
(RNAi) has been hypothesized to be a promising method for pest control. CaM is a
good candidate for RNAi target. However, the sequence and function of CaM in
Nilaparvata lugens are unknown. Furthermore, the double-stranded RNA (dsRNA)
target to CaM gene in pest control is still unavailable. RESULTS: In the present
study, two alternatively spliced variants of CaM transcripts, designated NlCaM1
and NlCaM2, were cloned from N. lugens. The two cDNA sequences exhibited 100%
identity to each other in the open reading frame (ORF), and only differed in the
3' untranslated region (UTR). NlCaM including NlCaM1 and NlCaM2 mRNA was
detectable in all developmental stages and tissues of N. lugens, with
significantly increased expression in the salivary glands. Knockdown of NlCaM
expression by RNAi with different dsRNAs led to an inability to molt properly,
increased mortality, which ranged from 49.7 to 92.5%, impacted development of the
ovaries and led to female infertility. There were no significant reductions in
the transcript levels of vitellogenin and its receptor or in the total
vitellogenin protein level relative to the control group. However, a significant
reduction in vitellogenin protein was detected in ovaries injected with dsNlCaM.
In addition, a specific dsRNA of NlCaM for control of N. lugens was designed and
tested. CONCLUSION: NlCaM plays important roles mainly in nymph development and
uptake of vitellogenin by ovaries in vitellogenesis in N. lugens. dsRNA derived
from the less conserved 3'-UTR of NlCaM shows great potential for RNAi-based N.
lugens management. (c) 2018 Society of Chemical Industry.
PMID- 29381255
TI - Airborne and food sensitization patterns in children and adults with eosinophilic
esophagitis.
AB - BACKGROUND: The pathogenesis of eosinophilic esophagitis (EoE) is currently
unknown, but evidence suggests that allergic sensitization to food and airborne
allergens may play a key role. This retrospective study examines the rate of
sensitization to both food and airborne allergens in EoE patients, and compares
their sensitivity patterns to control groups. METHODS: We identified 103 patients
with a diagnosis of EoE via esophageal eosinophilia (>=15 eosinophils/high-power
field [hpf]), who had undergone comprehensive food and/or airborne allergen
testing through either skin or in vitro methods. Food and airborne allergen
sensitization was defined as positive testing in at least 1 food subgroup (milk,
peanut, tree nut, seafood/fish, soy, grain, egg) or airborne subgroup (tree,
grass, weed, mite/cockroach, animal, mold), respectively. The same sensitization
criterion was applied to allergic rhinitis (AR) patients, with and without a
clinical suspicion of food allergy (FA), in order to create control groups.
RESULTS: Sensitization in the EoE group to at least 1 subgroup of food allergen
and airborne allergen was seen in 77.1% (64/83) and 71.7% (38/53), respectively
(p = 0.82). There were significant differences in sensitization between EoE and
control groups for tree nut, soy, grain, and egg, but no differences noted in any
of the other food or airborne allergen subgroups, even after accounting for age
and gender. CONCLUSION: EoE and control groups had similar airborne allergen
sensitization patterns, yet dissimilar food allergen sensitization patterns,
suggesting that specific allergens may play a more prominent role in the
pathogenesis of EoE. The EoE group had a more uniform distribution pattern for
food allergens, compared to controls.
PMID- 29381256
TI - Isocyanides Derived from alpha,alpha-Disubstituted Amino Acids: Synthesis and
Antifouling Activity Assessment.
AB - Herein, we contribute to the development of environmentally friendly antifoulants
by synthesizing eighteen isocyanides derived from alpha,alpha-disubstituted amino
acids and evaluating their antifouling activity/toxicity against the cypris
larvae of the Balanus amphitrite barnacle. Almost all isocyanides showed good
antifouling activity without significant toxicity and exhibited EC50 values of
0.07 - 7.30 MUg/mL after 120-h exposure. The lowest EC50 values were observed for
valine-, methionine-, and phenylalanine-derived isocyanides, which achieved > 95%
cypris larvae settlement inhibition at concentrations of less than 30 MUg/mL
without exhibiting significant toxicity. Thus, the prepared isocyanides should be
useful for further research focused on the development of environmentally
friendly antifouling agents.
PMID- 29381259
TI - Nasal valve evaluation in the Mexican-Hispanic (mestizo) nose.
AB - BACKGROUND: Our aim in this study was to determine the angle of the internal
nasal valve in Mexican patients with the "mestizo nose" feature and without nasal
obstructive symptoms. The work was prospective, comparative, and observational in
nature and included patients >14 years of age who were seen in the Otolaryngology
Department at the Los Angeles Lomas Hospital between April and May 2016. METHODS:
The angle of the internal nasal valve was measured in 30 patients without
obstructive symptoms. Endoscopic examination was performed with a 0 degrees
endoscope framed with tape at a 13-mm distance from the endoscope's tip, and
digital photographs of the internal nasal valve were taken. The measurement of
the angle of the internal nasal valve was made in sexagesimal degrees using
Golden Ratio v3.1 (2012) software. Statistical analysis was performed using Excel
v15.13.3. RESULTS: The angles of the internal nasal valve of the patients were
(mean +/- standard deviation) 24.07 +/- 4.8 degrees for the right nasal cavity
and 25.07 +/- 5.0 degrees for the left nasal cavity, wider than the angle
reported in the normal Caucasian nose established in the literature. CONCLUSIONS:
According to our results, the Mexican-Hispanic mestizo nose has a wider angle in
the internal nasal valve than that considered normal in the literature (10
degrees -15 degrees ). We believe it is necessary to undertake a second study and
add an airflow resistance measurement with a rhinomanometry procedure so we can
compare the results with those in the Caucasian population.
PMID- 29381257
TI - Completion of the tuberculosis care cascade in a community-based HIV linkage-to
care study in South Africa and Uganda.
AB - INTRODUCTION: Tuberculosis (TB) is the leading cause of HIV-associated mortality
in Africa. As HIV testing, linkage to care and antiretroviral treatment
initiation intensify to meet UNAIDS targets, it is not known what effect these
efforts will have on TB detection and prevention. We aimed to characterize the TB
care cascade of screening, diagnostic testing, treatment and provision of
isoniazid preventive therapy (IPT) in a study of community-based HIV screening
and linkage to care and determine whether symptom screening results affected
progress along the cascade. METHODS: Between June 2013 and March 2015, HIV
infected adults enrolled in the Linkages study, a multi-site, community-based,
randomized HIV screening and linkage-to-care study in South Africa and Uganda.
All participants were screened for TB symptoms at entry after testing positive
for HIV and referred to local clinics for care. During the 9 month follow-up,
participants were periodically surveyed about clinic linkage and initiation of
HIV care as well as subsequent TB testing, treatment, or IPT. We compared
outcomes between persons with and without a positive symptom screen at baseline
using descriptive statistics and Poisson regression to calculate relative risks
of outcomes along the care cascade. RESULTS AND DISCUSSION: Of the 1,325 HIV
infected adults enrolled, 26% reported at least one TB symptom at the time of HIV
diagnosis. Loss of appetite and fever were the most commonly reported symptoms on
a TB symptom screen. Despite 92% HIV linkage success, corresponding TB linkage
was incomplete. Baseline TB symptoms were associated with an increased risk of a
TB diagnosis (relative risk 3.23, 95% CI 1.51 to 6.91), but only 34% of
symptomatic persons had sputum TB testing. Fifty-five percent of participants
diagnosed with TB started TB treatment. In South Africa, only 18% of asymptomatic
participants initiated IPT after linkage to HIV care, and presence of symptoms
was not associated with IPT initiation (relative risk 0.86 95% CI 0.6 to 1.23).
CONCLUSIONS: HIV linkage to care interventions provide an opportunity to improve
completion of the TB care cascade, but will require additional support to realize
full benefits.
PMID- 29381258
TI - In Vitro Photodynamic Activity of N-Methylated and N-Oxidised Tripyridyl
Porphyrins with Long Alkyl Chains and Their Inhibitory Activity in Sphingolipid
Metabolism.
AB - A series of N-methylated and N-oxidised tripyridyl porphyrins were synthesised,
characterised, and their PDT activity was studied with six cell lines. All the
tested porphyrins with a long alkyl chain, except one, were more efficient for
PDT than an N-methylated hydrophilic porphyrin and N-oxidised porphyrin without
the long alkyl chain. Generally, N-methylated tripyridyl porphyrins were more
active than those N-oxidised, but IC50 values for phototoxicity of two N-oxides,
named TOPyP3-C17 H33 O and TOPyP3-C17 H35 , were still in the nanomolar
concentration range for most of the tested cell lines. However, TOPyP3-C17 H35
did not show phototoxicity on human foreskin fibroblast cells. Two methylated
amphiphilic porphyrins, named TMPyP3-C17 H33 and TMPyP4-C17 H35, showed
significant dark toxicity, whereas none of the oxidopyridyl porphyrins were toxic
without light activation. The selected photosensitisers were shown to be
apoptosis inducers, and had inhibitory effects on the clonogenic growth of HCT116
and HeLa cells. All three N-methylated amphiphilic porphyrins significantly
reduced the migratory potential of HCT116 cells. Porphyrins TMPyP3-C17 H35 and
TOPyP3-C17 H35 reduced the activity of acid ceramidase, whereas TOPyP3-C17 H33 O
had a significant inhibitory effect on sphingosine kinase 1 activity in HeLa
cells. Compounds with this dual activity were shown to be the most promising
photosensitisers, with potential to treat invasive cancers.
PMID- 29381260
TI - Risk of second primary malignancy in patients with sinonasal tumors: a population
based cohort study.
AB - BACKGROUND: The 5-year overall survival rate for patients with sinonasal cancers
has remained around 50% for the last 3 decades. Prior studies on head and neck
cancers have suggested that 1 reason for poor survival is the frequent
development of second primary malignancies (SPMs). The purpose of this study is
to assess overall and site-specific risks of SPM following treatment of sinonasal
malignancy. METHODS: A retrospective, population-based cohort study was performed
on 2614 patients in the Surveillance, Epidemiology, and End Results (SEER)
database who were diagnosed with primary sinonasal malignancy between 1973 and
2014. Standardized incidence ratios (SIRs) and absolute excess risks (AERs) were
calculated to assess risk of SPM relative to incidence in the general population.
RESULTS: A total of 422 (16.1%) patients with primary sinonasal malignancies
developed a total of 480 SPMs. This cohort had a significantly higher frequency
of SPMs than expected in the general population (SIR 1.32; 95% confidence
interval [CI], 1.20 to 1.44; AER 53.41). Site-specific analyses of SIRs suggested
highest risk of malignancy in the sinonasal tract (SIR 75.64; 95% CI, 53.53 to
103.83; AER 17.22), followed by bone, eye and orbit, oral cavity and pharynx, and
lung and mediastinum. CONCLUSION: Patients with history of sinonasal cancer are
at significantly increased risk of developing an SPM. Careful monitoring for
development of additional tumors may be warranted.
PMID- 29381261
TI - Characterization of the novel HLA allele: B*14:58.
AB - HLA-B*14:58 differs from B*14:02:01 by a polymorphism at position 506 a C instead
a G.
PMID- 29381262
TI - Identification of a novel HLA-C allele, HLA-C*15:151, in a Taiwanese individual.
AB - One nucleotide substitution in codon 240 of HLA-C*15:02:01:01 results in a novel
allele, HLA-C*15:151.
PMID- 29381263
TI - Characterization of the novel HLA-B*07:305 allele by sequencing-based typing.
AB - HLA-B*07:305 differs from HLA-B*07:02:01:01 by one nucleotide substitution at
position 255.
PMID- 29381264
TI - Passage culture of human monocyte/macrophage lineage cells using a temperature
responsive culture dish.
PMID- 29381265
TI - Volatiles and Nonvolatiles in Flourensia campestris Griseb. (Asteraceae), How
Much Do Capitate Glandular Trichomes Matter?
AB - The distribution and ultrastructure of capitate glandular trichomes (GTs) in
Flourensia species (Asteraceae) have been recently elucidated, but their
metabolic activity and potential biological function remain unexplored. Selective
nonvolatile metabolites from isolated GTs were strikingly similar to those found
on leaf surfaces. The phytotoxic allelochemical sesquiterpene (-)-hamanasic acid
A ((-)-HAA) was the major constituent (ca. 40%) in GTs. Although GTs are
quaternary ammonium compounds (QACs)-accumulating species, glycine betaine was
not found in GTs; it was only present in the leaf mesophyll. Two (-)-HAA
accompanying surface secreted products: compounds 4-hydroxyacetophenone (piceol;
1) and 2-hydroxy-5-methoxyacetophenone (2), which were isolated and fully
characterized (GC/MS, NMR), were present in the volatiles found in GTs. The
essential oils of fresh leaves revealed ca. 33% monoterpenes, 26% hydrocarbon-
and 30% oxygenated sesquiterpenes, most of them related to cadinene and
bisabolene derivatives. Present results suggest a main role of GTs in determining
the volatile and nonvolatile composition of F. campestris leaves. Based on the
known activities of the compounds identified, it can be suggested that GTs in F.
campestris would play key ecological functions in plant-pathogen and plant-plant
interactions. In addition, the strikingly high contribution of compounds derived
from cadinene and bisabolene pathways, highlights the potential of this species
as a source of high-valued bioproducts.
PMID- 29381267
TI - Puerto Rico after Hurricane Maria.
PMID- 29381266
TI - An integrated multi-layered analysis of the metabolic networks of different
tissues uncovers key genetic components of primary metabolism in maize.
AB - Primary metabolism plays a pivotal role in normal plant growth, development and
reproduction. As maize is a major crop worldwide, the primary metabolites
produced by maize plants are of immense importance from both calorific and
nutritional perspectives. Here a genome-wide association study (GWAS) of 61
primary metabolites using a maize association panel containing 513 inbred lines
identified 153 significant loci associated with the level of these metabolites in
four independent tissues. The genome-wide expression level of 760 genes was also
linked with metabolite levels within the same tissue. On average, the genetic
variants at each locus or transcriptional variance of each gene identified here
were estimated to have a minor effect (4.4-7.8%) on primary metabolic variation.
Thirty-six loci or genes were prioritized as being worthy of future
investigation, either with regard to functional characterization or for their
utility for genetic improvement. This target list includes the well-known opaque
2 (O2) and lkr/sdh genes as well as many less well-characterized genes. During
our investigation of these 36 loci, we analyzed the genetic components and
variations underlying the trehalose, aspartate and aromatic amino acid pathways,
thereby functionally characterizing four genes involved in primary metabolism in
maize.
PMID- 29381268
TI - New-onset serositis in a kidney transplant patient.
PMID- 29381269
TI - CDC Grand Rounds: Improving medication adherence for chronic disease management -
Innovations and opportunities.
PMID- 29381270
TI - DC Metabolism Controls Lung T Cell Polarization.
PMID- 29381271
TI - Paroxetine: Into Oblivion?
PMID- 29381272
TI - Impact of stress on dentists' clinical performance. A systematic review.
AB - INTRODUCTION: Dentistry is recognised as a stressful profession and dentists
perceive their profession to be more stressful than other healthcare professions.
While earlier studies have shown a link between stress and well-being among
dentists, whether stress negatively impacts their clinical performance is an
important and open question. We do know, however, that stress is associated with
reduced performance in other health (and non-health) related professions.
OBJECTIVES: This systematic review aimed to answer the question: how does stress
impact on dentists' clinical performance? METHODS: This systematic review was
registered in PROSPERO (CRD42016045756). The CINHAL, Embase, Medline, PsycINFO,
EThOS and OpenGrey electronic databases were searched according to PRISMA
guidelines. Two reviewers independently screened the citations for relevance. The
citation list of potentially eligible papers was also searched. Prospective
empirical studies were considered for inclusion. The inclusion criteria were
applied at the full-text stage by the two same reviewers independently. RESULTS:
The search yielded 3535 titles and abstracts. Twelve publications were considered
potentially eligible, eleven of which were excluded as they did not meet the
predefined inclusion criteria. CONCLUSIONS: This systematic review identified a
gap in the literature as it found no empirical evidence quantifying the impact of
stress on dentists' clinical performance. Prospective well-designed experimental
simulation studies, comparing stress with non-stress situations on clinical
performance and decision making, as well studies evaluating prospectively real
life dentists' performance under stress are warranted.
PMID- 29381274
TI - Pulmonary rehabilitation relieves dyspnea, but does it reduce mortality?
PMID- 29381273
TI - Community-based nutrition intervention to promote oral health and restore healthy
body weight in refugee children: a scoping review.
AB - OBJECTIVE: To determine the scope and quality of evidence on the effectiveness of
community-based nutrition interventions to promote oral health and restore
healthy body weight in school-aged refugee children. BASIC RESEARCH DESIGN:
Scoping review using a systematic approach. MAIN OUTCOME MEASURES: Oral health
and body weight. RESULTS: Four primary studies, two evaluation studies and one
systematic review met the inclusion criteria. No nutrition interventions or
reviews to promote oral health in school-aged refugee children were found. There
is limited weak evidence for the effectiveness of community-based nutrition
interventions to restore healthy body weight in refugee settings. A systematic
review also reported weak evidence of correction of child body weight as a result
of community-based nutrition interventions in refugee camps. CONCLUSION: This
scoping review found no community-based nutrition interventions for school-aged
refugee children to promote oral health and restore healthy body weight. There is
limited and weak evidence for the effectiveness of community-based nutrition
interventions to restore healthy body weight in refugee settings. There is a need
to conduct an early phase study to develop and co-produce community-based
nutrition interventions to promote oral health and healthy body weight for
children residing in long-term refugee situations and to investigate the
feasibility for their implementation.
PMID- 29381275
TI - ?
PMID- 29381276
TI - ?
PMID- 29381277
TI - ?
PMID- 29381278
TI - ?
PMID- 29381279
TI - ?
PMID- 29381280
TI - ?
PMID- 29381281
TI - ?
PMID- 29381282
TI - ?
PMID- 29381283
TI - ?
PMID- 29381284
TI - 3D Poly(Lactic-co-glycolic acid) Scaffolds for Treating Spinal Cord Injury.
AB - In this paper, poly(lactic-co-glycolic acid) (PLGA) was used to fabricate spinal
cord scaffolds using low temperature deposition manufacturing (LDM) technology.
The PLGA scaffolds were characterized as having good porosity, hydrophilicity and
considerable biodegradability. The effects of the PLGA scaffolds on cell
proliferation and cytotoxicity were evaluated by culturing Schwann cells (SCs) on
the surfaces of the scaffolds. The results showed that the SCs spread and
proliferated well on the PLGA scaffolds. Histological assessment including Glia
fibrillary acidic protein (GFAP) staining, Nissl staining, Luxol fast blue (LFB)
staining and Bielschowsky silver staining showed that the spinal cord recoveries
considerably improved with the PLGA scaffolds, indicating that the PLGA scaffolds
exhibited potential for applications in the management of spinal cord injuries.
PMID- 29381285
TI - Development of Dynamic Liquid and Conjugated Electrospun Poly(L-lactide-co
caprolactone)/Collagen Nanoyarns for Regulating Vascular Smooth Muscle Cells
Growth.
AB - Simulating the modeling of smooth muscle layer in the vascular structure makes a
great difference for vascular tissue regeneration. A functional tissue engineered
vascular media shall promote the aligned organization and three-dimensional
penetration of smooth muscle cells (SMCs) into the scaffold. To this aim, dynamic
liquid and conjugated nanoyarns based on poly(L-lactide-co-caprolactone) (P(LLA
CL)) and collagen (COL) with a weight ratio at 3:1 were fabricated by
electrospinning methods, with random and aligned nanofibers as control groups.
The Fourier transform infrared spectroscopy and X-ray diffraction analyses
confirmed the preservation of P(LLA-CL)/COL components and structure. Scanning
electron microscope (SEM) results indicated a significant increase of yarn
diameters at 19.27 +/- 6.16 MUm (dynamic liquid) and 10.24 +/- 3.09 MUm
(conjugated), and both of the nanoyarns had improved mechanical tensile
properties than the random nanofibers. Compared with random and aligned
nanofibers, the nanoyarns presented significant higher porosity and larger pore
diameter, leading to a decrease of water contact angle and a promotion of SMCs
proliferation and migration. Better SMCs orientation was observed on the
conjugated nanoyarns, while superior SMCs penetration was achieved on the dynamic
liquid nanoyarns, owing to the differences in yarns microstructure. Herein, this
study demonstrated that the aligned and porous P(LLA-CL)/COL nanoyarns fabricated
by dynamic liquid and conjugated electrospinning were beneficial to regulating
vascular SMCs outgrowth, which had important implications for functional
reconstruction of vascular media.
PMID- 29381286
TI - [Nutrition value of wild-growing fruits from mountain Dagestan and its safety
after fast freezing and cold storage].
AB - Results of research of mineral composition, content of vitamin C and P, titrable
acids, pectinaceous and phenol substances in fresh, fast-frozen (t=-30 degrees
C), and also stored within 3 and 9 months (t=-18 degrees C) fruits of wild
growing blackberry, cornel, medlar and sea-buckthorn are presented in article.
Determination of mineral composition was carried out by flame atomic absorption
photometry, vitamin C and pectinaceous substances -by titirimetric methods,
phenolic substances and vitamin P - by colorimetric methods. Vitamin C content
was minimal in fresh fruits of cornel (6.9+/-0.3 mg%), amounted to 21.7-32.0 mg%
in the fruits of blackberries and medlar and reached 180.1+/-7.2 mg% in the fruit
of sea-buckthorn. Vitamin P concentration ranged from 34.9 (sea-buckthorn) to
180.0 mg% (cornel). Berries of a cornel contained also the greatest number of
titrable acids (33.2+/-1.3 g/dm3), phenolic compounds (243.0+/-4.8 mg%) and
pectinaceous substances (2.91+/-0.08%). The most significant content of potassium
(521+/-15.6 mg%), calcium (133.2+/-5.2 mg%), magnesium (62.4+/-2.5 mg%) and iron
(2.81+/-0.05 mg%) was revealed in medlar fruits. Consumption of 100 g of the
studied fruits provides daily requirements of a human body, depending on a
species of wild plants: in calcium -for 2-13.3%, potassium - for 7.0-20.8%,
magnesium - for 8.1-15.6%, iron - for 5.9-19.2% and in vitamin C - from 5.8-24.6
to 145.7% in the case of sea buckthorn. The applied processing method of
conservation - fast freezing (t=-30 degrees S) of fruits and their long storage
(t=-18 degrees S) is the effective way ensuring high safety of nutrients in
them. In the studied berries after 9-months cold storage the safety of vitamin C
varied ranging from 55.7 (blackberry) to 76.1% (cornel), and vitamin P - from
81.9 (sea-buckthorn) to 92.8% (cornel). Stability of titrable acids, except for
medlar fruits, varied from 84.2% (blackberry) to 94.0% (sea-buckthorn). The
safety of phenolic and pectinaceous compounds by the end of 9 months of storage,
has averaged 90.6 and 95.6% respectively in comparison with their initial
quantity in fresh fruits. The mineral composition was the stablest. After
completion of experiment the safety of mineral substances in fruits of wild
plants fluctuated from 94.6 to 98.5%. Distinctions in change of biochemical
complexes of berries of blackberry, cornel, medlar and sea-buckthorn at fast
freezing (t=-30 degrees S) and storage (t=-18 degrees S), apparently, are
caused by specific features, content of free and bound water, thickness of
cellular walls, durability of a thin skin of fruits, as well as by concentration
of the components that inhibit the destructive processes occurring at the
cellular and molecular level.
PMID- 29381287
TI - [The use of the antioxidant drink by healthy workers exposed to chemical
factors].
AB - In chemical manufacturing along with alimentary factors, workers are exposed to
occupational hazards resulting in reduced antitoxic protective properties of the
organism. The purpose of the present work was to develop a preventive method for
reducing antitoxic functions of the body of healthy workers exposed to chemical
factors. We have produced the drink containing carrot juice, honey, olive oil.
The study involved 50 employees (the average age was 37.4+/-5.5 years) with
experience of over 15 years. The main group (25 people) were workers with reduced
antitoxic function who received the drink before each day's work shift for 10
days, the control group - workers with normal anti-toxic function, which did not
take a drink. It was found that antioxidant drink intake by healthy employees of
a chemical complex lead to the decrease of the level of molecules of average mass
at lambda=254 nm and at lambda=280 nm by 15.1+/-7.2%, the activity of
gammaglutamyl transferase - by 19.1%, alaninaminotransferase - by 44.1%,
aspartataminotrans-ferase - by 34.7% (indicators of the syndrome of endogenous
intoxication), the decrease of the content of malondialdehyde (as an indicator of
an excessive accumulation of products of lipid peroxidation) - by 43.8%, while
the activity of catalase, that indicates an increase in the antitoxic functions
of the organism, increased by 37.5%.
PMID- 29381288
TI - [About food fortification with vitamins].
AB - Criticism of attempts to enrich foods (mainly dairy products) with vitamins by
adding mashed or concentrates of fresh berries and vegetables has been reviewed.
Concept "enriched (fortified) product" has been considered. It has been shown
that the addition of fruits and vegetables, which are a source of vitamin C, does
not increase the content of B vitamins to a level that meets the criteria for
fortified foods. The differences in the regulations concerning to the degree of
enrichment of foods are discussed. Development of specific educational programs
to increase knowledge about vitamins is required not only for the population but
also for scientific and medical professionals. Keywords: enriched with vitamins
(fortified) product, fresh berries, vegetables.
PMID- 29381289
TI - UC-VEGF-SMC Three Dimensional (3D) Nano Scaffolds Exhibits Good Repair Function
in Bladder Damage.
AB - We constructed a UC-VEGF-SMC three dimensional (3D) scaffold to explore its
effect on blood vessel regeneration and bladder repair function in a rabbit model
with bladder injury. Rabbit adipose tissue-derived stem cells (ADSCs) were
cultured to construct pluripotent stem cell systems that can be induced to
differentiate into urothelial cells (UCs) and smooth muscle cells (SMCs). Reverse
transcriptase-polymerase chain reaction (RT-PCR), enzyme-linked immunosorbent
assay (ELISA), immunofluorescence, MTT assay and HE staining were used in our
study. Rabbit models were divided into an experimental group, control group and
sham group. The bladder histology, urodynamics, smooth muscle function, stent
degradation rate, urothelial permeability and biomechanical determination of
rabbits were detected after grafting the scaffold. Correct stem cells based on
the ADSC surface marker and found that CD90 and CD105 were positive and that CD34
and CD45 were negative. RT-PCR showed that ADSC-iPS cells expressed the marker
gene of embryonic stem cells (ESCs), which indicated that Sox2, Klf4, Oct4 and c
Myc were inserted into the iPS nucleus and that the ADSC-iPS system was
constructed successfully. Immunofluorescence and MTT assays indicated that iPS
differentiated into mature SMCs and UCs. ELISA and HEMC culturing methods
revealed that vascular endothelia growth factor (VEGF) could promote the growth
of HMECs. Rabbit bladder repair function (urodynamics, smooth muscle function,
urothelial permeability and biomechanical determination) was stronger in the
experimental group than in the control group. UC-VEGF-SMC 3D nano scaffold
exhibits good repair function for bladder damage, which may helpful for treatment
of damaged bladders.
PMID- 29381290
TI - [Method of determination organic iodine (iodotyrosines) in food].
AB - It is important to control the substances of the synthesis of biologically active
supplements, based on organic forms of iodine (iodotyrosines). But it is no less
important to control the content of iodotyrosines in foods. The developed method
is sensitive and selective and can determine iodotyrosines with a lower limit of
detection (1 ppb). Iodotyrosines have been determined by HPLC-MS/MS. The article
contains parameters for chromatographic separation of 3-iodo-L-tyrosine and 3.5
diiodo-L-tyrosine and parameters of the electrospray ionization (ESI) mass
spectrometry, describes the methodology of sample preparation and solid phase
extraction. The article substantiates the use of mass spectrometry as the most
sensitive and selective method for determining the organic iodine as compared to
HPLC with UV detection. The enzymatic hydrolysis with proteolytic enzymes has
been used for sample preparation in iodothyronine analyses. Solid phase
extraction was performed using C18 cartridge. For HPLC-MS/MS analysis
iodothyronine derivatives were obtained with a mixture of butanol-acetyl
chloride. Degree of iodotyrosine extraction from the matrix of the foodstuffs was
not less than 85%, the correlation coefficient of the calibration curve in the
concentration range of 1-2000 ng/mL was 0.999, reliable determination of iodine
content in foods in the range from 10 to 20 000 mcg/kg.
PMID- 29381291
TI - Micron/Submicron Hybrid Topography of Titanium Surfaces Influences Adhesion and
Differentiation Behaviors of the Mesenchymal Stem Cells.
AB - To clarify the effects of micron/submicron hybrid topography on cell morphology
and functionalization, we investigated the adhesion and differentiation of human
mesenchymal stem cells (hMSCs) to titanium (Ti) surfaces with three different
topographies: micron, submicron, and hybrid grooves created using a femtosecond
laser. hMSCs cultured on Ti specimens showed high alignment on micron and hybrid
surfaces after 6 h of incubation, whereas cells attached to submicron and hybrid
surfaces were elongated. An examination of vinculin-positive adhesion plaques
indicated that micron grooves affected cellular alignment by modifying the
initial cell polarization, whereas submicron grooves affected cellular extension.
A superposition effect of topography was evidenced by the highly aligned and
elongated morphology of hMSCs grown on the hybrid surface, which promoted
osteogenic and chondrogenic differentiation. These findings provide a basis for
the design of novel biomaterial surfaces that can control specific cellular
functions.
PMID- 29381292
TI - Preparation and Characterization of Magnetic and Porous Metal-Ceramic
Nanocomposites from a Zeolite Precursor and Their Application for DNA Separation.
AB - In this work, metal-ceramic nanocomposites were obtained through short (up to 2
h) thermal treatments at relatively moderate temperatures (750-800 degrees C)
under a reducing atmosphere, using Fe-exchanged zeolite A as the precursor. The
as-obtained materials were characterized by X-ray powder diffraction analysis, N2
adsorption at -196 degrees C, and highresolution transmission electron
microscopy. The results of these analyses showed that the nanocomposites
consisted of a dispersion of metallic Fe nanoparticles within a porous ceramic
matrix, mainly based on amorphous silica and alumina. These nanocomposites were
magnetically characterized, and their magnetic response was studied. Finally, the
obtained metal-ceramic nanocomposite materials were used in the separation of
Escherichia coli DNA from a crude cell lysate. The results of the DNA separation
experiments showed that the obtained materials could perform this type of
separation.
PMID- 29381295
TI - Apoptosis Signal-Regulating Kinase 1 (ASK1) Activation is Involved in Silver
Nanoparticles Induced Apoptosis of A549 Lung Cancer Cell Line.
AB - Silver nanoparticles (AgNPs) are one of the most important nanomaterials in the
field of medicine. Our study investigated whether AgNPs induce apoptosis of human
adenocarcinoma A549 cells and their possible involvement in Apoptosis Signal
Regulating Kinase 1 (ASK1). The prepared AgNPs had high stability and excellent
monodispersity. They also possessed inhibitory effect on A549 cells proliferation
in a concentration-dependent manner by Cell Counting Kit-8 (CCK8) assay and
Hoechst 33342 Fluorescence. The AgNPs significantly induced production of
reactive oxygen species (ROS) in A549 cells. We also observed an increased
cytoplasmic staining of p-ASK1 in cells by immunocytochemical analysis, compared
with non-treated cells. In addition, we found sequential activation of ASK1, c
Jun N terminal kinase (JNK), p38 Mitogen Activated Protein Kinase (p38) and
caspase3 by Western blot after treatment with AgNPs. The AgNPs may thus inhibit
growth of lung cancer cells and induce apoptosis. The anti-cancer mechanism for
the AgNPs may be involved in activating the ASK1-JNK/p38-Caspase-3 pathway.
PMID- 29381296
TI - [The association of genetic polymorphisms with non-communicable disease among
Arctic population].
AB - The review analyzed genetic polymorphisms contribute to the development of non
communicable diseases among the inhabitants of the Arctic. It is known that the
area belongs to the arctic areas of discomfort for living and employment rights.
Ecological features of the Far North have contributed to the adaptation of the
indigenous population to environmental conditions, which manifested itself in
particular in the power features that provide a low prevalence of obesity,
metabolic syndrome, type 2 diabetes and cardiovascular disease. Active
development of the Arctic zone and the associated lifestyle changes in the
population, including the nature of power, caused a change in the prevalence and
trends of non-communicable diseases, which has its own characteristics in
comparison with the ethnic groups living in more southern latitudes. These
features, as follows from the results of a number of studies to be associated,
including the presence of genetic polymorphisms characteristic of the population
of the Arctic zone.
PMID- 29381297
TI - [Modern trends in study of polymorphism of genes encoding goat's milk proteins].
AB - This review emphasises the genotypical heterogeneity of the population of goats,
which at the molecular level is manifested in the form of gene polymorphism in
the milk proteins. Polymorphic genes, represented in the population of
heterogeneous alleles, cause a wide variance in the chemical composition and
processing properties of goat milk. We summarized the literature about the main
features of genes encoding proteins of goat milk. It is stressed that goat's
milk, due to genetic polymorphism has a great value when creating a new
functional food product for children.
PMID- 29381298
TI - [Characteristics of some indicators of physical development and frequency of
occurrence of certain somatotypes of women in older age groups].
AB - The article presents the anthropometric parameters of 251 elderly women (75-90
years) and 125 long-liver women (90-98 years) of the Slavic ethnic group, living
in Moscow and Moscow region. Significant differences in basic anthropometric
characteristics between two age groups have been demonstrated. Average values of
body weight and height, circumferences and quantities of skin-fat folds were
significantly lower in long-liver women in compare with representatives of the
elderly, whereas diameters had no statistical significant differences.
Somatotypological analysis revealed a frequency of occurrence of different
somatotypes and prevalence of the three main types among elderly and long-liver
women - asthenic (32.2-34.0%), pyknic (29.3-30.0%) and europlastic (20.0-21.2%)
somatotype. Some features of body composition characteristics of elderly and long
livers women have been demonstrated as well. Estimated absolute amount of bone
compartment did not differ in two women groups, while relative amount of bone
compartment in elderly women (15.30+/-0.21%) was lower by 1.11 fold (p<0.05) than
in long-liver women (17.05++/-0.17%). The content of fat and muscular body
compartment was significantly (p<0.05) lower in long-liver women as compared with
the elderly women. The absolute amount of fat body compartment in long-liver
women was 9.15+/-1.22 vs 13.13+/-0.49 kg in elderly women, the relative amount of
fat body compartment - 14.39+/-0.26 vs 18.04+/-0.05%; the absolute amount of
muscular body compartment - 23.04+/-0.26 vs 28.06+/-0.47 kg, the relative amount
of fat body compartment - 36.22+/-0.15 vs 38.54+/-0.16%.
PMID- 29381299
TI - [The effect of rutin and hesperidin on the expression of Nrf2- and AhR-regulated
genes and CYP3A1 gene in rats intoxicated with carbon tetrachloride].
AB - The purpose of the study was to determine the effects of rutin (R) and hesperidin
(Hes), the main representatives of two most studied subclasses of flavonoids -
flavonols and flavanones, on the expression of prototypical Nrf2 and AhR
regulated genes and CYP3A1 gene in rats intoxicated with carbon tetrachloride
(CCl4). Investigations were carried out on 5 groups of male Wistar rats with the
initial body weight (b.w.) 180-200 g (n=40). Rats of the control group and the
1st experimental group received for 14 days the semisynthetic diet, rats of the
2nd experimental group - the same diet plus R (400 mg/kg b.w.), the animals of
the 3rd experimental group received the diet with Hes in the same amount, of the
4th experimental group - diet with R (400 mg/kg b.w.) and Hes (400 mg/kg b.w.).
Animals of the experimental groups 24 hours before the end of experiment were
injected intraperitoneally CCl4 at a dose of 0.5 ml/kg b.w. in olive oil; rats of
the control group were injected equal amount of olive oil. For gene expression
assessment the mRNA content of NAD(P)H-quinone oxidoreductase (NQO1), heme
oxygenase-1 (Hmox1), Nrf2 (Nrf2), AhR (AhR), CYP1A1, CYP1A2, CYP3A1 and beta
actin (Actb) in rat liver was determined by real-time RT-PCR. The results showed
that in rats intoxicated with CCl4, enrichment of the diet with R, but not with
Hes, led to a significant increase in the expression of genes Hmox1, NQO1 and
CYP3A1. Combined intake of R and Hes with the diet led to additivity of their
action on the expression of Hmox1 gene and to synergism in the effect on the
expression of genes NQO1 and CYP3A1. A moderate increase in the levels of
expression of AhR and CYP1A2 genes as compared to their expression in rats
treated with CCl4 only, CCl4 and R or CCl4 and Hes has been noted. Thus, for the
first time on the model of oxidative stress in rats the data have been obtained
showing at the gene expression level a synergism of action of two flavonoids - R
and Hes, widely present in the daily human diet.
PMID- 29381300
TI - [The effect of water with modified isotope (D/H) composition on the reproductive
function and postnatal development in rats].
AB - Reproductive parameters and postnatal progeny development were evaluated in 4
generations of Wistar rats treated with deuterium depleted water (DDW): parental
(F0), first (F1), second (F2) and third (F3). The experiment was carried out on 2
groups of animals: experimental group consumed DDW (50 ppm) and control group
consumed purified tap water (150 ppm). Experiment was consist of a preparatory
stage, the stage of studying the F0, F1, F2 reproductive parameters and F1, F2,
F3 postnatal progeny development Reproductive parameters was assessed by F0, F1,
F2 fertility index and F1, F2, F3 offspring viability and development. Fertility
index was assessed as the male's ability to fertilize females in the percentage
of pregnant females/fertilized males to the total number females and males placed
together for mating. Offspring maturing were evaluated by pups viability,
development of physical and sexual parameters, emotional and locomotors reflexes
within the 1st month of life. Group 1 fertility index in F0 females was 100%, in
F1 and F2 females - 99%, in males all generations - 89-100%. Group 1 F1, F2 and
F3 offspring viability was high. Number of pups in group 1 F2 offspring was
higher by 20% than in group 2. Pups of the 1st group F1, F2 and F3 were less
intensively gained weight from the 1st to the 21st day of life compared with
group 2, the final weight of the animals in group 1 was higher than in group 2 by
4-6% from 25th to 30th days of life. Assessment of physical parameters
development as well as emotional and locomotors reflexes formation did not reveal
any difference between group 1 and group 2 F1, F2 and F3 offspring. Obtained
results confirmed that DDW did not effect on rat fertility, viability and
development of offspring.
PMID- 29381301
TI - [Investigation of the liver DNA methylation profile of rats under the influence
of hepatotoxicants of different nature].
AB - The functional importance of DNA methylation, which is a special case of
epigenetic variation, is meant for regulation of many biological processes,
ranged from tissue specific gene expression to remodeling of chromatin structure.
Disorders of the DNA methylation can cause changes in the cell's phenotype,
providing a significant impact on the development of pathology. Both exogenous
and endogenous factors are able to cause disruption of DNA methylation, while
epigenetic changes usually precede the emergence of clinical and morphological
symptoms of pathological process development, consequently the parameters of DNA
methylation can be used as sensitive biomarkers to detect adverse effects on the
organism. The purpose of the study was to identify genes of the liver, the
methylation profile of which changes under the influence of hepatotoxicants of
different nature. The experiment was carried out on 60 male Wistar rats with
initial body weight (b.w.) 83.3+/-1.5 g. Animals were randomly divided into 6
groups - 1 control and 5 test groups, with 10 rats in each group. During the
first two weeks of the experiment the rats of the 1-5th test groups were
administered to aflatoxin B1 (200 Mg/kg b.w.), cadmium chloride 2,5-hydrate (2
mg/kg), monosodium glutamate (1000 mg/kg), epigallocatechin gallate (EGCG) (1000
mg/kg), paracetamol (150 mg/kg), accordingly. Methylation of the liver genes in
rats was determined by using high-performance methods, based on bisulfite
sequencing of reduced representation. For each sample from 12 to 30 million pairs
of reads were received, genes which demonstrated significant changes in
methylation when exposed to toxic factors were identified: aflatoxin B1 caused
changes in the methylation of 57 genes; cadmium - 54 genes; monosodium glutamate
39 genes; EGCG -198 genes; paracetamol - 167 genes. The comparison of genes with
altered methylation in the experimental groups revealed that none of the genes
repeatedly occurred under the influence of each toxicant out of five, the highest
number of repeats accounted 3. As a result of the present analysis 7 genes have
been selected: methylation change in Fan1 gene was observed when exposed to
cadmium, monosodium glutamate, EGCG; gene Lppr2 - under the influence of
aflatoxin B1, EGCG, paracetamol; gene Mlh3 - under the influence of aflatoxin B1,
cadmium, paracetamol; Sirt7 gene - under the influence of cadmium, EGCG,
paracetamol; gene Fbxo15 - when exposed to cadmium, monosodium glutamate,
paracetamol; gene E2f1 - when exposed to cadmium, EGCG, paracetamol; gene Mrps16
when exposed to cadmium, EGCG, paracetamol. On the basis of the received data
the project of the panel of genes-biomarkers of toxic effect, including genes
Fan1, Lppr2, Mlh3, Sirt7, Fbxo15, E2f1, Mrps16 has been formed.
PMID- 29381302
TI - [The study of the contamination and the levels of Campylobacter spp. during the
processing of selected types of foods].
AB - The purpose of the work was to study the nature of the Campylobacter spp.
contamination during the processing of food products of plant and animal origin
(raw poultry and beef meat, raw milk, leafy salads, sliced raw vegetables). In
the study of 148 samples 50 strains of Campylobacter spp. (33.8%) were found. For
the main phenotypic characteristics they were identified as C. jejuni spp. jejuni
and C. jejuni spp. doylei (over 75%). The highest level of detection of
campylobacteria (over 45%) was set for raw poultry, including the carcasses of
chickens broilers, quails, turkeys and their semi-finished products. 19 of the 27
strains from poultry were identified as C. jejuni. Among the strains isolated
from the environment, including swabs from equipment surfaces, 91% of the
isolates were also presented by C. jejuni. It was found that the investigated
foodstuffs were characterized by high levels of contamination with bacteria of
the family Enterobacteriaceae, the content of which was comparable with the
identified values of total viable bacteria (cfu). Salmonella was detected in 19%
of the investigated poultry samples and in 14.3% of raw cow milk. In the study of
swabs from surfaces of poultry processing equipment, the frequency of detection
of Campylobacter strains was 38.7%, Salmonella - 12.9%. Most commonly
Campylobacter and Salmonella were detected in the zones of primary processing of
poultry: the frequency of isolation of Salmonella in slaughter corner was 25%,
Campylobacter - 43%. When testing the swabs taken in the cooking zone of "fast
food" restaurants Campylobacter and Salmonella were not detected. For studying
the swabs from equipment surfaces and the environment for the presence of
Campylobacter spp. a modified technique of sampling was developed. The method
includes a comprehensive analysis in the test area with the use of three types of
media for transportation and incubation of Campylobacter spp. (Preston broth with
blood, Brucella broth, Cary-Blair medium), that increase the probability of
detection of these pathogens.
PMID- 29381303
TI - [The association between diet and the probability of colorectal cancer among the
population of Perm krai: epidemiological study].
AB - Colorectal cancer (CRC) is one of the main causes of morbidity and mortality
among all malignant tumors both in the world and in Russia. The purpose of the
research was to study the association between diet and the probability of CRC in
Perm Krai. The epidemiological analytical case-control study was performed. The
questionnaire survey included 191 histologically proved colorectal cancer cases
and 200 healthy individuals with excluded CRC by the results of colonoscopy. The
surveyed groups were spread evenly by sex, age, ethnicity, place of residence and
smoking (p>0.05). The odds to determine the following factors were higher in case
group: diet including fried foods (OR=2.45, 95% CI: 1.58-3.80, p<0.0001), bread
more 100 g per day (OR=1.72, 95% CI: 1.72-2.60, r=0.005), over-salted food
(OR=1.97, 95% CI: 1.27-3.04, p=0.001), consumption of spicy foods (OR=2.82, 95%
CI: 1.59-5.13, p<0.0001) and dairy products less than 500 g per week (OR=3.94,
95% CI: 2.60-5.97, p<0.0001). The odds to determine the following factors were
higher in control group: an exclusion of processed (OR=0.45, 95% CI: 0.28-0.70,
p<0.0001) and red meat (OR=0.19, 95% CI: 0.05-0.51, p<0.0001). Consequently, at
the present study the diet factors that have a higher rate in group of CRC
patient's compared to healthy individuals have been determined. This requires to
study interaction between diet, another environmental and genetic factors.
PMID- 29381304
TI - [Evaluation of selenium consumption by Mongolian residents].
AB - Selenium is one of the essential elements which adequate consumption is strictly
necessary for human health. Despite unfavorable geographical position of Mongolia
and registered cases of selenium deficiency diseases among domestic animals in
some provinces of the country there are still no concrete data of selenium
content in objects of the environment in this region. The aim of the present work
was the evaluation of selenium consumption levels by adults from different
provinces of Mongolia and the development of methods of prophylactic for the
selenium status optimization. Detection of selenium deficiency in Mongolia was
caused by inadequate selenium content in foodstuffs. Determined mean consumption
levels were equal to 41.8+/-4.9 MUg/day for males and 34.1+/-3.1 MUg/day for
females. The main reason of low selenium content in the diet was its deficiency
in native foodstuffs: selenium concentrations in meat of domestic animals MUg/kg
d.w.) reached 109-296 in beef, 94-200 in mutton, 120-225 in horseflesh and 124
197 in goat's flash, values did not differ between each other statistically
(p>0.05). The exception were samples of horseflash with selenium concentration
exceeding 400 MUg/kg d.w. from Gov-Altai province. Selenium content in wheat was
in the range 6-36MUg/kg d.w., with the lowest levels in Dornod, Ucs and Selenge
provinces. Selenium content in eggs was equal 6.7-7.8 MUg/egg. The correction of
selenium deficiency is recommended to achieve using preparations of Astragalus
Mongolicus from Bulgan province with selenium concentration 278+/-26MUg/kg d.w.
Besides this utilization of Mongolicum Tricholoma Mushrooms (from the vicinity of
Ulaanbaatar and from Zavkhan province) seems to be highly promising due to high
selenium concentrations (616+/-26 MUg/kg d.w. and 352+/-17MUg/kg d.w.
respectively).
PMID- 29381305
TI - [The vitamin status of pregnant women in Moscow: effect of multivitamin-mineral
supplements].
AB - Examination of the vitamin status of 102 pregnant women (19-41 years old) from
Moscow (gestational age 6-38 weeks) in winter and spring 2015 has been conducted.
The lack of vitamin B2 (blood serum level of riboflavin <5 ng/ml), D (25(OH)D
level <30 ng/ml) and beta-carotene (<20 mg/dL) occurred in 49-66% of pregnant
women. The percentage of persons with reduced serum levels of vitamin B6 (<4.8
mg/l), folic acid (<3 mg/l), vitamin A (<30 mg/dL), and E (<0.8 mg/dl) was
insignificant and amounted 6-8%. All of the women had an adequate supply with
vitamins C (>0.4 mg/dL) and B12 (>150 ng/L). The frequency of the combined
deficiency of two vitamins was 29%, of three vitamins - 21%, four - 10%, five -
5%. Only 8% of women were sufficiently supplied with all 8 studied vitamins and
beta-carotene. A positive correlation (p<0.001) between the concentration of
vitamin E and gestation term occurred. beta-Carotene blood serum level raised
with increasing gestation term in women receiving multivitamin-mineral
supplements (VMS) and directly correlated (p<0.05) with vitamin E serum level. In
63 women who were not taking VMS, blood serum level of vitamins A, D, C, B2, B6,
B12, folic acid and beta-carotene was lower, and the frequency of inadequate
supply, on the contrary, was significantly higher, compared to 39 women receiving
VMS. Blood serum concentration of vitamins C, A, D, B6 and folic acid in women
who were not taking VMS was significantly reduced (p<0.05) with increasing
gestation term, whereas in women consuming VMS vitamin blood serum level was
maintained at a constant level. The data obtained demonstrate advisability of VMS
intake during pregnancy to maintain vitamin status of pregnant women at a
satisfactory level and to reduce the risk of birth defects in infants.
PMID- 29381306
TI - [Current aspects of counteraction of foodstuff's falsification].
AB - Food products are the objects of the consumer's market, and human's health and
life are directly depended on these product's quality and safety. In this regard,
the government is paying close attention to entrepreneurial activity aimed at the
production and turnover of food products, including their realization to the
final consumer. In the absence of proper state control, designed to ensure, first
of all, the quality and safety of food products, the consequences may be more
than the negative. A significant risk to public health can represent some of the
falsification of food products. Typically, these are species of assortment
counterfeiting, which may lead to the use of hazardous raw substitutes. In
general, the falsification is divided into: assortment, qualitative,
quantitative, informational, cost and complex. Herewith it should be noted that
falsification in reality is consumer frand and it's necessary to fight against it
on the State and social levels. Accordingly the ideology of the measures aimed at
prevention and punishability of food products falsification has been proposed.
PMID- 29381307
TI - When quality fails patients: finding the best in diabetes care.
AB - Authors from the Mayo Clinic discuss situational goals in diabetes care, because
quality targets enforced too strictly may harm patients who are working hard to
manage their disease.
PMID- 29381308
TI - Getting to better care and outcomes for diabetes through measurement.
AB - Authors from the National Quality Forum discuss development of the next
generation of diabetes measures, including those that reflect "the voice of the
patient."
PMID- 29381309
TI - Measuring the quality of diabetes care.
AB - An introduction to the Joslin Clinical Analytic Tool, a new diabetes measure
developed to adjust for the variety in patient mix and better inform clinicians
which interventions will work best.
PMID- 29381310
TI - The role of the clinical pharmacist in achieving clinical and quality outcomes in
diabetes management.
AB - Medication therapy management is a key component of ensuring good outcomes in
diabetes care. The clinical pharmacist can play a key role in ensuring good
transitions of care, avoiding problems of polypharmacy, addressing financial
issues, and providing patient education.
PMID- 29381311
TI - Impact of the Coventry complex case management program in the Kentucky Medicaid
population.
AB - A case management program implemented by Coventry Healthcare in one of the
nation's poorest areas produced positive, measurable results among patients with
diabetes.
PMID- 29381312
TI - Evidence for the benefit of targeted proteomics in the era of the "big data"
approach.
AB - The availability of a novel predictive biomarker in diabetes care could have
benefits for patients, payers, and pharma.
PMID- 29381313
TI - Demystifying "patient-centered" care in type 2 diabetes: the role of systematic
measurement.
AB - Questionnaires are noninvasive, inexpensive measures that can identify key
elements of the patient perspective that are important for the achievement of
better outcomes in diabetes care.
PMID- 29381314
TI - [Flavouring estimation of quality of grape wines with use of methods of
mathematical statistics].
AB - The questions of forming of wine's flavour integral estimation during the tasting
are discussed, the advantages and disadvantages of the procedures are declared.
As investigating materials we used the natural white and red wines of Russian
manufactures, which were made with the traditional technologies from Vitis
Vinifera, straight hybrids, blending and experimental wines (more than 300
different samples). The aim of the research was to set the correlation between
the content of wine's nonvolatile matter and wine's tasting quality rating by
mathematical statistics methods. The content of organic acids, amino acids and
cations in wines were considered as the main factors influencing on the flavor.
Basically, they define the beverage's quality. The determination of those
components in wine's samples was done by the electrophoretic method "CAPEL".
Together with the analytical checking of wine's samples quality the
representative group of specialists simultaneously carried out wine's tasting
estimation using 100 scores system. The possibility of statistical modelling of
correlation of wine's tasting estimation based on analytical data of amino acids
and cations determination reasonably describing the wine's flavour was examined.
The statistical modelling of correlation between the wine's tasting estimation
and the content of major cations (ammonium, potassium, sodium, magnesium,
calcium), free amino acids (proline, threonine, arginine) and the taking into
account the level of influence on flavour and analytical valuation within fixed
limits of quality accordance were done with Statistica. Adequate statistical
models which are able to predict tasting estimation that is to determine the
wine's quality using the content of components forming the flavour properties
have been constructed. It is emphasized that along with aromatic (volatile)
substances the nonvolatile matter - mineral substances and organic substances -
amino acids such as proline, threonine, arginine influence on wine's flavour
properties. It has been shown the nonvolatile components contribute in
organoleptic and flavour quality estimation of wines as aromatic volatile
substances but they take part in forming the expert's evaluation.
PMID- 29381315
TI - [Investigation of the composition of biologically active substances in extracts
of wild plants].
AB - The article presents the research materials of composition and the properties of
biologically active compounds of aqueous ethanolic extracts of wild plants. To
obtain extracts, we used raw plants containing phenolic compounds and aromatic
wild plants: the herb St. John's wort (Hypericum), thyme herba (Thymus vulgaris),
yarrow (Achillea millefolium), oregano (Origanum vulgaris); leaves of sage
(Salviae folium); rose hips (Rosae), hawthorn fructus (Crataegus) and fruits of
mountain ash (Sorbus). The optimum composition of the mixtures used and time of
extraction has been established: the ratio of alcohol and water in extracting
mixtures 1:1 by volume; ratio raw material:extractant - 1:7 by weight. The total
content of fenolic substances in extracts of herbaceous plants varied from to
15.5 to 24.4 mg/g, and in fruit extracts from 24.2 to 29.7 mg/g. Substances of
phenolic nature, including gallic and ferulic acid, rutin, hesperidin, quercetin
and apigenin were identified in the studied extracts using the HPLC. The analysis
of flavonoid composition showed that rutin content in the investigated extracts
varied from 0.56 mg/g up to 13,80 mg/g, of quercetin - from 0.52 to 1.36 mg/g;
apigenin - from 0.44 to 1.44 mg/g; hesperidin from 2.44 to 32,72 mg/g. The
content of phenolic acids varied from 0.16 to 1.44 mg/g (ferulic acid) and from
0.16 to 3.12 mg/g (chlorogenic acid). Total antioxidant activity of the studied
phytoextracts (dilution 1:10) ranged from 142 to 230 MUg/ml (in terms of ascorbic
acid), which is consistent with the results of the quantitative analysis of
flavonoids. The results of the studies of antimicrobial properties of
phytoextracts showed that for E. coli the most active extracts were from thyme
and yarrow, and against S. aureus - from St. John's wort. Extracts of St. John's
wort and yarrow were effective against Rhizopus stolonifer.
PMID- 29381316
TI - [Expansion of the range of anthocyanin food colorants from unconventional vegetal
primary products].
AB - The purpose of work to study the content of anthocyanins and other biologically
active substances in residues of fruits of Sanberri from receivingjuice and mash.
It is established that residues contained over 70% solids, more than 60% of
dietary fiber, to 55.4 mg/% of ascorbic acid and up to 90.0 mg/% of anthocyanins.
Furthermore, they possessed high antioxidant activity (156.8-399.4 mg/%
dihydroquercetin equivalent) that allowed to recommend them as raw materials for
receiving natural food colorants. The concentrated food dye from Sanberri's
residue (50-51% soluble solids) had intensive color varying from dark-violet (at
acidity of 1.0%) to claret-red (at acidity of 3.0%), possessed high antioxidant
activity (1308.2-2223.5 mg/%) and contained a large amount of anthocyanins (666
976 mg/%).
PMID- 29381317
TI - [Scientific bases and technological principles of the production of gerodietetic
canned milk].
AB - It is well known that aging is the natural growing multisection biological
process inevitably leading to limitation of body adaptive capabilities. The body
ageing is the result of self-regulation mechanism limitation, reduction of their
potential capabilities at molecular-genetic, energetic, cellular and general
regulatory levels. It should be noted that due to lack of the unified theory of
aging the importance of nutrition factor has been acknowladged particularly
initiation and intensity of the process, and the role of antioxidants is
discussed much in detail. As the result of long term investigations at model and
natural objects the technologies of powder and condensed sterilized gerodietetic
milk based preserved foods have been developed. The multicomponent receipts
modules balanced by fatty-acid and amino-acid composition as well as enriched
with lycopene have been theoretically substantiated and realized. The new
gerodietetic products are characterized by the following coefficients of RL3/RL6
not less than for the products: powdered - 0.871/0.615 and condensed sterilized -
0.883/0.648. The following amino-acid balance of Rp/sigma protein for the
products: powdered - 0.46/15.00, condensed -0.44/15.76 has been obtained. The
obtained velues of the balanced criteria of the protein-lipid composition of the
product are higher comparing to similar values for milk fat and protein. Two
lycopene dosages in the products are provided: prophylactic - 5 mg and
antioxidant - 1.5 mg in 400 ml of the reconstituted milk. On the basis of the
carried out studies two technologies of the manufacture of condensed milk
gerodietetic products adapted to actual conditions of concentrated milk factories
have been developed.
PMID- 29381318
TI - Atomic Layer Deposition of V1-xMoxO2 Thin Films, Largely Enhanced Luminous
Transmittance, Solar Modulation.
AB - V1-xMoxO2 thin films were fabricated by nanolamination of VO2/MoO3 alternating
layers using atomic layer deposition (ALD) process, in which tetrakis-dimethyl
amino vanadium(IV) [V(NMe2)4] and molybdenum hexacarbonyl(VI) [Mo(CO)6] were used
as vanadium and molybdenum precursors, respectively. The dopant content of V1
xMoxO2 films was controlled by adjusting MoO3 cycle percentage (PMo) in ALD pulse
sequence, which varied from 2 to 10%. Effects of PMo on V1-xMoxO2 crystal
structure, morphology, semiconductor-to-metal transition properties, and optical
transmittance were studied. A linear reduction of phase transition temperature
(Tc) by approximately -11 degrees C/cycle % Mo was observed for V1-xMoxO2 films
within PMo <= 5%. Notably, dramatic enhanced luminous transmittance (Tlum =
63.8%) and solar modulation (DeltaTsol = 23.5%) were observed for V1-xMoxO2 film
with PMo = 7%.
PMID- 29381319
TI - Tunable Mechanical, Antibacterial, and Cytocompatible Hydrogels Based on a
Functionalized Dual Network of Metal Coordination Bonds and Covalent
Crosslinking.
AB - Tissue engineering has become a rapidly developing field of research because of
the increased demand from regenerative medicine, and hydrogels are a promising
tissue engineering scaffold because of their three-dimensional structures. In
this study, we constructed novel hydrogels of gelatin methacrylate (GelMA)
hydrogels modified with histidine and Zn2+ (GelMA-His-Zn(II)), which possessed
fascinating antibacterial properties and tunable mechanical properties because of
the formation of a functionalized dual network of covalent crosslinking and metal
coordination bonds. The introduction of metal coordination bonds not only
improves the strength of the GelMA hydrogels with covalent crosslinking but also
makes their mechanical properties tunable via adjustments to the concentration of
Zn2+. The synergistic effect of Zn2+ and the imidazole groups gives the GelMA-His
Zn(II) hydrogels fascinating antibacterial properties (up to 100% inhibition).
Counting the colony forming units and compression tests confirmed the fascinating
antibacterial abilities and tunable mechanical properties, respectively, of the
GelMA-His-Zn(II) hydrogels. In addition, Cell Counting Kit-8 assays, cytoskeletal
staining assays, and live/dead assays confirmed the excellent cytocompatibility
of the GelMA-His-Zn(II) hydrogels. Therefore, the GelMA-His-Zn(II) hydrogels are
promising for applications in tissue engineering.
PMID- 29381320
TI - Electrochemical Behavior and Redox-Dependent Disassembly of Gallic Acid/FeIII
Metal-Phenolic Networks.
AB - Metal-phenolic networks (MPNs) are a versatile class of organic-inorganic hybrid
systems that are generating interest for applications in catalysis, bioimaging,
and drug delivery. These self-assembled MPNs possess metal-coordinated structures
and may potentially serve as redox-responsive platforms for triggered disassembly
or drug release. Therefore, a comprehensive study of the reduction and oxidation
behavior of MPNs for evaluating their redox responsiveness, specific conditions
required for their disassembly, and the kinetics of metal ion release, is
necessary. Using a representative MPN gallic acid-iron (GA/FeIII) system, we
conducted electrochemical studies to provide fundamental insights into the redox
behavior of these MPNs. We demonstrate that GA/FeIII is redox active, and
evaluate its electrochemical reversibility, identify the oxidation state of the
redox-active species, and provide information regarding the stability of the
networks toward reductive stimuli and specific redox conditions required for the
"on-off" or continuous release of FeIII. Overall, through studying the redox
properties of GA/FeIII films, we advance the understanding of multifunctional
iron-containing MPN platforms that may have practical significance for
biologically relevant applications.
PMID- 29381321
TI - NiFe-Layered Double Hydroxide Nanosheet Arrays Supported on Carbon Cloth for
Highly Sensitive Detection of Nitrite.
AB - Excessive uptake of nitrite has been proven to be detrimental to the ecological
system and human health. Hence, there is a rising requirement for constructing
effective electrochemical sensors to precisely monitor the level of nitrite. In
this work, NiFe-layered double hydroxide nanosheet arrays (NiFe-LDH NSAs) have
been successfully fabricated on a carbon cloth (CC) substrate via a facile one
pot hydrothermal route. By integrating the collective merits of macroporous CC
and NiFe-LDH NSAs such as superior electrical conductivity, striking synergistic
effect between the dual active components, enlarged electrochemically active
surface area, unique three-dimensional hierarchical porous network
characteristics, and fast charge transport and ion diffusion, the proposed NiFe
LDH NSAs/CC architecture can be served as a self-supporting sensor toward nitrite
detection. As a consequence, the resulting NiFe-LDH NSAs/CC electrode
demonstrates superior nitrite sensing characteristics, accompanied by broad
linear range (5-1000 MUM), quick response rate (ca. 3 s), ultralow detection
limit (0.02 MUM), and high sensitivity (803.6 MUA.mM-1.cm-2). Meanwhile, the
electrochemical sensor possesses timeless stability, good reproducibility, and
strong anti-interference feature. Importantly, the resulting sensor can determine
nitrite level in tap and lake water with high recoveries, suggesting its
feasibility for practical applications. These findings show that the obtained
NiFe-LDH NSAs/CC electrode holds great prospect in highly sensitive and specific
detection of nitrite.
PMID- 29381322
TI - Three-Dimensional Nanofibrous Air Electrode Assembled With Carbon Nanotubes
Bridged Hollow Fe2O3 Nanoparticles for High-Performance Lithium-Oxygen Batteries.
AB - Lithium-oxygen batteries have been considered as one of the most viable energy
source options for electric vehicles due to their high energy density. However,
they are still faced with technical challenges, such as low round-trip efficiency
and short cycle life, which mainly originate from the cathode part of the
battery. In this work, we designed a three-dimensional nanofibrous air electrode
consisted of hierarchically structured carbon nanotube-bridged hollow Fe2O3
nanoparticles (H-Fe2O3/CNT NFs). Composite nanofibers consisted of hollow Fe2O3
NPs anchored by multiple CNTs offered enhanced catalytic sites (interconnected
hollow Fe2O3 NPs) and fast charge-transport highway (bridged CNTs) for facile
formation and decomposition of Li2O2, leading to outstanding cell performance:
(1) Swagelok cell exhibited highly reversible cycling characteristics for 250
cycles with a fixed capacity of 1000 mAh g-1 at a current density of 500 mA g-1.
(2) A module composed of two pouch-type cells stably powered an light-emitting
diode lamp operated at 5.0 V.
PMID- 29381323
TI - Polarization Effects in Graded AlGaN Nanolayers Revealed by Current-Sensing and
Kelvin Probe Microscopy.
AB - We experimentally demonstrate that the conductivity of graded AlxGa1-xN increases
as a function of the magnitude of the Al concentration gradient (%Al/nm) due to
polarization doping effects, without the use of impurity dopants. Using three
up/down-graded AlxGa1-xN nanolayers with Al gradients ranging from ~0.16 to
~0.28%Al/nm combined in one structure, the effects of polarization engineering
for localized electric fields and current transport were investigated. Cross
sectional Kelvin probe force microscopy and conductive atomic force microscopy
were used to directly probe the electrical properties of the films with spatial
resolution along the thickness of the growth. The experimental profiles of the
built-in electric fields and the spreading current found in the graded layers are
shown to be consistent with simulations of the field distribution as well as of
the electron and hole densities. Finally, it was directly observed that for
gradients less than 0.28%Al/nm the native n-type donors still limit polarization
induced hole doping, making p-type conductivity still a challenge due to
background impurities and defects.
PMID- 29381324
TI - Fetal Kinematics: Basic Outcomes and Translational Outlook.
AB - This Viewpoint examines recent developments in the quantitative characterization
of fetal movements via kinematical analysis. We contend that fetal kinematics
represents a powerful tool to investigate prenatal cognition and the prepostnatal
continuity of cognitive development. The potential benefits of increased
investigation into the kinematics of fetal movement are manifold, and apply to
diverse fields including pediatric medicine and developmental biology.
PMID- 29381326
TI - Role of Acid-Base Equilibria in the Size, Shape, and Phase Control of Cesium Lead
Bromide Nanocrystals.
AB - A binary ligand system composed of aliphatic carboxylic acids and primary amines
of various chain lengths is commonly employed in diverse synthesis methods for
CsPbBr3 nanocrystals (NCs). In this work, we have carried out a systematic study
examining how the concentration of ligands (oleylamine and oleic acid) and the
resulting acidity (or basicity) affects the hot-injection synthesis of CsPbBr3
NCs. We devise a general synthesis scheme for cesium lead bromide NCs which
allows control over size, size distribution, shape, and phase (CsPbBr3 or
Cs4PbBr6) by combining key insights on the acid-base interactions that rule this
ligand system. Furthermore, our findings shed light upon the solubility of PbBr2
in this binary ligand system, and plausible mechanisms are suggested in order to
understand the ligand-mediated phase control and structural stability of CsPbBr3
NCs.
PMID- 29381327
TI - Rapid Construction of Fe-Co-Ni Composition-Phase Map by Combinatorial Materials
Chip Approach.
AB - One hundred nanometer thick Fe-Co-Ni material chips were prepared and
isothermally annealed at 500, 600, and 700 degrees C, respectively. Pixel-by
pixel composition and structural mapping was performed by microbeam X-ray at
synchrotron light source. Diffraction images were recorded at a rate of 1
pattern/s. The XRD patterns were automatically processed, phase-identified, and
categorized by hierarchical clustering algorithm to construct the composition
phase map. The resulting maps are consistent with corresponding isothermal
sections reported in the ASM Alloy Phase Diagram Database, verifying the
effectiveness of the present approach in phase diagram construction.
PMID- 29381325
TI - Smart Cell Culture Systems: Integration of Sensors and Actuators into
Microphysiological Systems.
AB - Technological advances in microfabrication techniques in combination with
organotypic cell and tissue models have enabled the realization of
microphysiological systems capable of recapitulating aspects of human physiology
in vitro with great fidelity. Concurrently, a number of analysis techniques has
been developed to probe and characterize these model systems. However, many
assays are still performed off-line, which severely compromises the possibility
of obtaining real-time information from the samples under examination, and which
also limits the use of these platforms in high-throughput analysis. In this
review, we focus on sensing and actuation schemes that have already been
established or offer great potential to provide in situ detection or manipulation
of relevant cell or tissue samples in microphysiological platforms. We will first
describe methods that can be integrated in a straightforward way and that offer
potential multiplexing and/or parallelization of sensing and actuation functions.
These methods include electrical impedance spectroscopy, electrochemical
biosensors, and the use of surface acoustic waves for manipulation and analysis
of cells, tissue, and multicellular organisms. In the second part, we will
describe two sensor approaches based on surface-plasmon resonance and mechanical
resonators that have recently provided new characterization features for
biological samples, although technological limitations for use in high-throughput
applications still exist.
PMID- 29381328
TI - Structural Polymorphism as the Result of Kinetically Controlled Self-Assembly.
AB - Traditionally, the goal of self-assembly and supramolecular chemistry is to
engineer an equilibrium structure with a desired geometry and functionality; this
is achieved through careful choice of molecular monomers, growth conditions, and
substrate. Supramolecular assemblies produced under nonequilibrium conditions, in
contrast, can form metastable structures with conformations quite different from
those accessible in equilibrium self-assembly. The study of nonequilibrium growth
of clusters potentially impacts the study of nucleation in atmospheric aerosols,
nucleation in organic crystallization, and mesoscale organization for systems
ranging from biological molecules to molecular electronics. In our experiments,
we prepare surface monolayers of small organic and organometallic molecules
through direct injection of a solution onto a substrate in high vacuum. During
this process, the rapid evaporation of small solution droplets in high vacuum can
lead to nonequilibrium growth conditions. The resulting structures are then
characterized by scanning tunneling microscopy. Among the features observed in
these experiments are cyclic, hydrogen-bonded pentamers. For carboxylic acids,
the two-molecule ring dimer is the common binding motif. Large, cyclic hydrogen
bonded systems are uncommon, especially so for rings with five members. Despite
this, pentagonal clusters appear to be a general phenomenon for systems
containing adjacent strong and weak hydrogen-bonding elements on five-member
aromatic rings. Regular pentamers have been observed as metastable structures for
ferrocenecarboxylic acid, indole-2-carboxylic acid, and isatin (1-H-indole-2,3
dione). Electronic structure calculations confirm the relative stability of these
structures with respect to the dimer or catemer conformations which are observed
in the solid-state crystal structures. For ferrocenecarboxylic acid, cyclic
pentamers undergo further self-assembly, resulting in long-range order in
conjunction with local 5-fold rotational symmetry. This system is the first
reported self-assembled molecular quasicrystal, and it remains the only example
of a hydrogen-bonded quasicrystal. This supramolecular structure forms as a
result of the cocrystallization of hydrogen-bonded cyclic pentamers with
intercalated molecular dimers. The shared bonding to a single dimer is
responsible for locking the adjacent pentamers in specific distances and
orientations, which produces the quasicrystal. Careful analysis of experimental
data provides evidence that, in some cases, metastable clusters are formed in
solution and then subsequently adsorb on the surface. This is a unusual mechanism
for supramolecular assembly, and it has important implications for understanding
questions in crystal growth, namely: what the initial stages of crystal growth
are as molecules are first precipitating from solution; what role the solvent
plays in determining crystal structure; and whether solvent-mediated clustering
is important in the broader phenomenon of solid-state polymorphism.
PMID- 29381330
TI - Metallosupramolecular Architectures Formed with Ferrocene-Linked Bis-Bidentate
Ligands: Synthesis, Structures, and Electrochemical Studies.
AB - The self-assembly of ligands of different geometries with metal ions gives rise
to metallosupramolecular architectures of differing structural types. The
rotational flexibility of ferrocene allows for conformational diversity, and, as
such, self-assembly processes with 1,1'-disubstituted ferrocene ligands could
lead to a variety of interesting architectures. Herein, we report a small family
of three bis-bidentate 1,1'-disubstituted ferrocene ligands, functionalized with
either 2,2'-bipyridine or 2-pyridyl-1,2,3-triazole chelating units. The self
assembly of these ligands with the (usually) four-coordinate, diamagnetic metal
ions Cu(I), Ag(I), and Pd(II) was examined using a range of techniques including
1H and DOSY NMR spectroscopies, high-resolution electrospray ionization mass
spectrometry, X-ray crystallography, and density functional theory calculations.
Additionally, the electrochemical properties of these redox-active
metallosupramolecular assemblies were examined using cyclic voltammetry and
differential pulse voltammetry. The copper(I) complexes of the 1,1'-disubstituted
ferrocene ligands were found to be coordination polymers, while the silver(I) and
palladium(II) complexes formed discrete [1 + 1] or [2 + 2] metallomacrocyclic
architectures.
PMID- 29381329
TI - Fabrication of Hemin-Doped Serum Albumin-Based Fibrous Scaffolds for Neural
Tissue Engineering Applications.
AB - Neural tissue engineering (TE) represents a promising new avenue of therapy to
support nerve recovery and regeneration. To recreate the complex environment in
which neurons develop and mature, the ideal biomaterials for neural TE require a
number of properties and capabilities including the appropriate biochemical and
physical cues to adsorb and release specific growth factors. Here, we present
neural TE constructs based on electrospun serum albumin (SA) fibrous scaffolds.
We doped our SA scaffolds with an iron-containing porphyrin, hemin, to confer
conductivity, and then functionalized them with different recombinant proteins
and growth factors to ensure cell attachment and proliferation. We demonstrated
the potential for these constructs combining topographical, biochemical, and
electrical stimuli by testing them with clinically relevant neural populations
derived from human induced pluripotent stem cells (hiPSCs). Our scaffolds could
support the attachment, proliferation, and neuronal differentiation of hiPSC
derived neural stem cells (NSCs), and were also able to incorporate active growth
factors and release them over time, which modified the behavior of cultured cells
and substituted the need for growth factor supplementation by media change.
Electrical stimulation on the doped SA scaffold positively influenced the
maturation of neuronal populations, with neurons exhibiting more branched
neurites compared to controls. Through promotion of cell proliferation,
differentiation, and neurite branching of hiPSC-derived NSCs, these conductive SA
fibrous scaffolds are of broad application in nerve regeneration strategies.
PMID- 29381331
TI - Determining the Physical Properties of Molecules with Nanometer-Scale Pores.
AB - Nanometer-scale pores have been developed for the detection, characterization,
and quantification of a wide range of analytes (e.g., ions, polymers, proteins,
anthrax toxins, neurotransmitters, and synthetic nanoparticles) and for DNA
sequencing. We describe the key requirements that made this method possible and
how the technique evolved. Finally, we show that, despite sound theoretical work,
which advanced both the conceptual framework and quantitative capability of the
method, there are still unresolved questions that need to be addressed to further
improve the technique.
PMID- 29381332
TI - Structural and Biochemical Studies of Substrate Selectivity in Ascaris suum
Thiolases.
AB - Thiolases are a class of carbon-carbon bond forming enzymes with important
applications in biotechnology and metabolic engineering as they provide a general
method for the condensation of two acyl coenzyme A (CoA) substrates. As such,
developing a greater understanding of their substrate selectivity would expand
our ability to engineer the enzymatic or microbial production of a broad range of
small-molecule targets. Here, we report the crystal structures and biochemical
characterization of Acat2 and Acat5, two biosynthetic thiolases from Ascaris suum
with varying selectivity toward branched compared to linear compounds. The
structure of the Acat2-C91S mutant bound to propionyl-CoA shows that the terminal
methyl group of the substrate, representing the alpha-branch point, is directed
toward the conserved Phe 288 and Met 158 residues. In Acat5, the Phe ring is
rotated to accommodate a hydroxyl-pi interaction with an adjacent Thr side chain,
decreasing space in the binding pocket and possibly accounting for its strong
preference for linear substrates compared to Acat2. Comparison of the different
Acat thiolase structures shows that Met 158 is flexible, adopting alternate
conformations with the side chain rotated toward or away from a covering loop at
the back of the active site. Mutagenesis of residues in the covering loop in
Acat5 with the corresponding residues from Acat2 allows for highly increased
accommodation of branched substrates, whereas the converse mutations do not
significantly affect Acat2 substrate selectivity. Our results suggest an
important contribution of second-shell residues to thiolase substrate selectivity
and offer insights into engineering this enzyme class.
PMID- 29381334
TI - 3-Carboxybenzoboroxole Functionalized Polyethylenimine Modified Magnetic Graphene
Oxide Nanocomposites for Human Plasma Glycoproteins Enrichment under
Physiological Conditions.
AB - Boronate affinity materials have been successfully used for the selective
recognition of glycoproteins. However, by such materials, the large-scale
glycoproteins enrichment from human plasma under physiological conditions is
rarely reported. In this work, 3-carboxybenzoboroxole (CBX) functionalized
polyethylenimine (PEI) modified magnetic graphene oxide nanocomposites were
synthesized. Benefitting from the low pKa value of CBX (~6.9) and PEI dendrimer
assisted multivalent binding, the Freundlich constant (KF) for the adsorption of
horseradish peroxidase (HRP) was 3.0-7.3 times higher than that obtained by
previous work, displaying the high enrichment capacity. Moreover, PEI could
improve the hydrophilicity of nanocomposites and reduce nonglycoprotein
adsorption. Therefore, such nanocomposites were successfully applied to the
analysis of human plasma glycoproteome under physiological conditions, and the
identified glycoproteins number and recognition selectivity was increased when
compared to the results obtained by previous boronic acid-functionalized
particles (Sil@Poly(APBA-co-MBAAm)) under common alkaline condition (137 vs 78
and 67.8% vs 57.8%, respectively). In addition, thrombin (F2), an important
plasma glycoprotein, labile under alkaline conditions, was specifically
identified by our method, demonstrating the great promise of such nanocomposites
in the deep-coverage glycoproteome analysis.
PMID- 29381333
TI - Highly Efficient Exosome Isolation and Protein Analysis by an Integrated
Nanomaterial-Based Platform.
AB - Exosomes play important roles in mediating intercellular communication and
regulating a variety of biological processes, but clear understanding of their
functions and biogenesis has not been achieved, due to the high technical
difficulties involved in analysis of small vesicular structures that contain a
high proportion of membrane structures. Herein, we designed a novel approach to
integrate two nanomaterials carrying varied surface properties, the hydrophilic,
macroporous graphene foam (GF) and the amphiphilic periodic mesoporous
organosilica (PMO), for efficient exosome isolation from human serum and
effective protein profiling. The high specific surface area of GF, after
modification with the antibody against the exosomal protein marker, CD63, allowed
highly specific isolation of exosomes from complex biological samples with high
recovery. Since the organic solvent, methanol, turned out to be the most
effective lysis solution for releasing the exosomal proteins, the amphiphilic PMO
was employed to rapidly recover the exosomal proteins, including the highly
hydrophobic membrane proteins. The fine pores of PMO also acted as the
nanoreactors to accelerate protein digestion that produced peptides subject to
liquid chromatography-tandem mass spectrometry (LC-MS/MS) analysis. A total of
334 proteins with 111 membrane proteins [31% of these contained >2 transmembrane
domains (TMD)] were identified using the integrated GF/PMO platform. In contrast,
with the commercial exosome isolation kit and the in-solution protein digestion
method, only 151 proteins were found, with 28 being membrane proteins (only one
contained three TMDs). Our results support that the integrated GF/PMO platform is
of great value to facilitate the comprehensive characterization of exosomal
proteins for better understanding of their functions and for identification of
more exosome-based disease markers.
PMID- 29381336
TI - Theoretical Prediction of Robust Second-Row Oxyanion Clusters in the Metastable
Domain of Antielectrostatic Hydrogen Bonding.
AB - We provide ab initio and density functional theory evidence for a family of
surprisingly robust like-charged clusters of common HSO4- and H2PO4- oxyanions,
ranging up to tetramers of net charge 4-. Our results support other recent
theoretical and experimental evidence for "antielectrostatic" hydrogen-bonded
(AEHB) species that challenge conventional electrostatic conceptions and force
field modeling of closed-shell ion interactions. We provide structural and
energetic descriptors of the predicted kinetic well-depths (in the range 3-10
kcal/mol) and barrier widths (in the range 2-4 A) for simple AEHB dimers,
including evidence of extremely strong hydrogen bonding in the fluoride-bisulfate
dianion. For more complex polyanionic species, we employ natural-bond-orbital
based descriptors to characterize the electronic features of the cooperative
hydrogen-bonding network that are able to successfully defy Coulomb explosion.
The computational results suggest a variety of kinetically stable AEHB species
that may be suitable for experimental detection as long-lived gas-phase species
or structural units of condensed phases, despite the imposing electrostatic
barriers that oppose their formation under ambient conditions.
PMID- 29381335
TI - Macromolecular pHPMA-Based Nanoparticles with Cholesterol for Solid Tumor
Targeting: Behavior in HSA Protein Environment.
AB - Nanoparticles (NPs) that form by self-assembly of amphiphilic poly(N-(2
hydroxypropyl)-methacrylamide) (pHPMA) copolymers bearing cholesterol side groups
are potential drug carriers for solid tumor treatment. Here, we investigate their
behavior in solutions of human serum albumin (HSA) in phosphate buffered saline.
Mixed solutions of NPs, from polymer conjugates with or without the anticancer
drug doxorubicin (Dox) bound to them, and HSA at concentrations up to the
physiological value are characterized by synchrotron small-angle X-ray scattering
and isothermal titration calorimetry. When Dox is absent, a small amount of HSA
molecules bind to the cholesterol groups that form the core of the NPs by
diffusing through the loose pHPMA shell or get caught in meshes formed by the
pHPMA chains. These interactions are strongly hindered by the presence of Dox,
which is distributed in the pHPMA shell, meaning that the delivery of Dox by the
NPs in the human body is not affected by the presence of HSA.
PMID- 29381337
TI - Photothermal Effect-Triggered Drug Release from Hydrogen Bonding-Enhanced
Polymeric Micelles.
AB - Incorporation of noncovalent interactions into hydrophobic cores of polymeric
micelles provides the micelles with enhanced physical stability and drug loading
efficiency, however, it also creates obstacles for drug release due to the strong
interactions between carriers and drugs. Herein, a series of amphiphilic block
copolymers based on poly(ethylene glycol)- b-poly(l-lysine) (mPEG- b-PLL) with
similar chemical structures, while different hydrogen bonding donors (urethane,
urea, and thiourea groups) are synthesized, and their capacities for codelivery
of anticancer drug (e.g., doxorubicin) and photothermal agent (e.g., indocyanine
green) are investigated. The resulting hybrid micelles display decreased critical
micelle concentrations (CMCs) and enhanced micelle stabilities due to the
hydrogen bonding between urea groups in the polymers. Moreover, the strong
hydrogen bonds between the urea/thiourea groups and drugs provide the carriers
with enhanced drug loading efficiencies, decreased micelle sizes, however, slower
drug release profiles as well. When exposed to the near-infrared laser
irradiation, destabilization of the hydrogen bonding through photothermal effect
triggers fast and controlled drug releases from the micelles, which dramatically
promotes the aggregation of the drugs in the nuclei, resulting in an enhanced
anticancer activity. These results demonstrate that the hydrogen bonding-enhanced
micelles are promising carriers for controllable chemo-photothermal synergistic
therapy.
PMID- 29381338
TI - Influence of Pressure on the Composition of Gaseous Reference Materials.
AB - We have shown that the amount fraction of carbon dioxide in a nitrogen or
synthetic air matrix stored in cylinders increases as the pressure of the gas
mixture reduces, while the amount fraction of methane remains unchanged. Our
measurements show the initial amount fraction of carbon dioxide to be lower than
the gravimetric value after preparation, which we attribute to the adsorption of
a proportion of the molecules to active sites on the internal surface of the
cylinder and the valve. As the mixture is consumed, the pressure in the cylinder
reduces and the amount fraction of the component is observed to increase. The
effect is less pronounced in the presence of water vapor. More dramatic effects
have been observed for hydrogen chloride. These findings have significant
implications for the preparation of high accuracy gaseous reference materials
with unprecedented uncertainties which underpin a broad range of requirements, in
particular atmospheric monitoring of high impact greenhouse gases.
PMID- 29381340
TI - Synthesis, Characterization, and Optoelectronic Properties of Iridium Complexes
Bearing Nonconjugated Six-Membered Chelating Ligands.
AB - We report the synthesis, characterization, and optoelectronic properties of a
series of four new luminescent iridium(III) complexes, 1-4, of the form
[Ir(C?N)2(N?N)]PF6 (where C?N is the nonconjugated benzylpyridinato (bnpy) and
N?N is a neutral diimine ancillary ligand) with the goal of investigating the
effect of the methylene spacer between the coordination moieties of the C?N
ligand on the optoelectronic properties of the complexes. The crystal structures
of 1-3 illustrate two possible orientations of the methylene unit of the bnpy
ligand. The formation of these two separate conformers is a result of the
conformational flexibility of the bnpy ligand. In complexes 3 and 4, mixtures of
the two conformers were observed by 1H NMR spectroscopy in CDCl3 at room
temperature, whereas only a single conformer is detected for 1 and 2. Detailed
DFT calculations corroborate NMR experiments, accounting for the presence and
relative populations of the two conformers. The optoelectronic properties of all
four complexes, rationalized by the theoretical study, demonstrate that the
interruption of conjugation in the C?N ligands results in a reduced
electrochemical gap but similar triplet state energies and lower
photoluminescence quantum yields in comparison to the reference complexes R1-R4.
Depending on the nature of the N?N ligand, we observe (1) marked variations of
the ratio of the conformers at ambient temperature and (2) phosphorescence
ranging from yellow to red.
PMID- 29381341
TI - The Role of Alkoxide Initiator, Spin State, and Oxidation State in Ring-Opening
Polymerization of epsilon-Caprolactone Catalyzed by Iron Bis(imino)pyridine
Complexes.
AB - Density functional theory (DFT) is employed to characterize in detail the
mechanism for the ring-opening polymerization (ROP) of epsilon-caprolactone
catalyzed by iron alkoxide complexes bearing redox-active bis(imino)pyridine
ligands. The combination of iron with the non-innocent bis(imino)pyridine ligand
permits comparison of catalytic activity as a function of oxidation state (and
overall spin state). The reactivities of aryl oxide versus alkoxide initiators
for the ROP of epsilon-caprolactone are also examined. An experimental test of a
computational prediction reveals an Fe(III) bis(imino)pyridine bis-neopentoxide
complex to be competent for ROP of epsilon-caprolactone.
PMID- 29381339
TI - Structure and Enzymatic Properties of an Unusual Cysteine Tryptophylquinone
Dependent Glycine Oxidase from Pseudoalteromonas luteoviolacea.
AB - Glycine oxidase from Pseudoalteromonas luteoviolacea (PlGoxA) is a cysteine
tryptophylquinone (CTQ)-dependent enzyme. Sequence analysis and phylogenetic
analysis place it in a newly designated subgroup (group IID) of a recently
identified family of LodA-like proteins, which are predicted to possess CTQ. The
crystal structure of PlGoxA reveals that it is a homotetramer. It possesses an N
terminal domain with no close structural homologues in the Protein Data Bank. The
active site is quite small because of intersubunit interactions, which may
account for the observed cooperativy toward glycine. Steady-state kinetic
analysis yielded the following values: kcat = 6.0 +/- 0.2 s-1, K0.5 = 187 +/- 18
MUM, and h = 1.77 +/- 0.27. In contrast to other quinoprotein amine
dehydrogenases and oxidases that exhibit anomalously large primary kinetic
isotope effects on the rate of reduction of the quinone cofactor by the amine
substrate, no significant primary kinetic isotope effect was observed for this
reaction of PlGoxA. The absorbance spectrum of glycine-reduced PlGoxA exhibits
features in the range of 400-650 nm that have not previously been seen in other
quinoproteins. Thus, in addition to the unusual structural features of PlGoxA,
the kinetic and chemical reaction mechanisms of the reductive half-reaction of
PlGoxA appear to be distinct from those of other amine dehydrogenases and amine
oxidases that use tryptophylquinone and tyrosylquinone cofactors.
PMID- 29381342
TI - High-Throughput Analysis for Artemisinins with Deep Eutectic Solvents
Mechanochemical Extraction and Direct Analysis in Real Time Mass Spectrometry.
AB - A fast, simple, efficient, and high-throughput analytical protocol using deep
eutectic solvents (DES) for mechanochemical extraction (MCE) combined with direct
analysis in real time mass spectrometry (DART-MS) was developed to quantify heat
labile bioactive compounds artemisinin (AN), arteannuin B, and artemisinic acid
from Aretemisia annua. MCE is performed at room temperature, and target analytes
are released into DESs within seconds; this method demonstrated multiple
advantages over traditional extraction methods and organic solvents. DART-MS was
then used for the structure confirmation and quantification for the three
artemisinin major components extracted from plants of five locations. Liquid
chromatography (LC) measurements were performed as well for results verification
and comparison, and the amounts obtained were consistent between the two
techniques. DART-MS showed advantages in simplicity, low limit of detection (5-15
ng mL-1), and superior speed (10-20 s), but with slightly higher relative
standard deviation (0.7-10.8%). The entire protocol can be accomplished in a few
minutes from raw materials to quantitative results. This study aims to establish
a methodology combining high-efficiency sample pretreatment and rapid chemical
analysis from complex matrixes, where the time-consuming separation procedure can
be eliminated. Additionally, the use of toxic organic solvents needed in the
process of chemical extraction and analysis is largely avoided. In general, this
investigation provides a robust analytical procedure that can be widely used in
many areas of research and industrial activities.
PMID- 29381343
TI - Gold Nanofilms at Liquid-Liquid Interfaces: An Emerging Platform for Redox
Electrocatalysis, Nanoplasmonic Sensors, and Electrovariable Optics.
AB - The functionality of liquid-liquid interfaces formed between two immiscible
electrolyte solutions (ITIES) can be markedly enhanced by modification with
supramolecular assemblies or solid nanomaterials. The focus of this Review is
recent progress involving ITIES modified with floating assemblies of gold
nanoparticles or "nanofilms". Experimental methods to controllably modify liquid
liquid interfaces with gold nanofilms are detailed. Also, we outline an array of
techniques to characterize these gold nanofilms in terms of their physiochemical
properties (such as reflectivity, conductivity, catalytic activity, or plasmonic
properties) and physical interfacial properties (for example, interparticle
spacing and immersion depth at the interface). The ability of floating gold
nanofilms to impact a diverse range of fields is demonstrated: in particular,
redox electrocatalysis, surface-enhanced Raman spectroscopy (SERS) or surface
plasmon resonance (SPR) based sensors, and electrovariable optical devices.
Finally, perspectives on applications beyond the state-of-the-art are provided.
PMID- 29381344
TI - Structural Analysis of Polysaccharide Networks by Transmission Electron
Microscopy: Comparison with Small-Angle X-ray Scattering.
AB - Polysaccharide gels assembled from the anionic biopolymers pectin and carrageenan
have been studied using transmission electron microscopy (TEM). Gels were formed
in several different ways: for pectin, hydrogen bonding was used to form junction
zones between strands, whereas for carrageenan systems, several different ion
types were used to form ionotropic networks. Using this approach, several
distinct network architectures were realized. In addition to preparing gelled
samples for electron microscopy, a set of samples was taken without performing
the additional treatment necessitated by the TEM measurements, and these were
studied directly by small-angle X-ray scattering (SAXS). Taking careful
consideration of the relative merits of different image sizes and available
processing techniques, the real-space images acquired by TEM were used via radial
integration of the Fourier transform to produce simulated scattering patterns.
These intensity-versus-wavevector plots were compared with the results of SAXS
experiments carried out on the unadulterated gels using synchrotron radiation.
Although information regarding chain thicknesses and flexibilities was found to
be modified by labeling and changes in the dielectric constant and mechanical
properties of the surroundings in the TEM, the studies carried out here show that
careful protocols can produce data sets where information acquired above ~20 nm
is broadly consistent with that obtained by SAXS studies carried out on
unadulterated samples. The fact that at larger length scale the structure of
these water-rich networks seems largely preserved in the TEM samples suggests
that three-dimensional (3D) TEM tomography experiments carried out with careful
sample preparation will be valuable tools for measuring network architecture and
connectivity; information that is lost in SAXS owing to the intrinsic averaging
nature of the technique.
PMID- 29381345
TI - Chemical Phenomena of Atomic Force Microscopy Scanning.
AB - Atomic force microscopy is widely used for nanoscale characterization of
materials by scientists worldwide. The long-held belief of ambient AFM is that
the tip is generally chemically inert but can be functionalized with respect to
the studied sample. This implies that basic imaging and scanning procedures do
not affect surface and bulk chemistry of the studied sample. However, an in-depth
study of the confined chemical processes taking place at the tip-surface junction
and the associated chemical changes to the material surface have been missing as
of now. Here, we used a hybrid system that combines time-of-flight secondary ion
mass spectrometry with an atomic force microscopy to investigate the chemical
interactions that take place at the tip-surface junction. Investigations showed
that even basic contact mode AFM scanning is able to modify the surface of the
studied sample. In particular, we found that the silicone oils deposited from the
AFM tip into the scanned regions and spread to distances exceeding 15 MUm from
the tip. These oils were determined to come from standard gel boxes used for the
storage of the tips. The explored phenomena are important for interpreting and
understanding results of AFM mechanical and electrical studies relying on the
state of the tip-surface junction.
PMID- 29381346
TI - Mimic Carbonic Anhydrase Using Metal-Organic Frameworks for CO2 Capture and
Conversion.
AB - Carbonic anhydrase (CA) is a zinc-containing metalloprotein, in which the Zn
active center plays the key role to transform CO2 into carbonate. Inspired by
nature, herein we used metal-organic frameworks (MOFs) to mimic CA for CO2
conversion, on the basis of the structural similarity between the Zn coordination
in MOFs and CA active center. The biomimetic activity of MOFs was investigated by
detecting the hydrolysis of para-nitrophenyl acetate, which is a model reaction
used to evaluate CA activity. The biomimetic materials (e.g., CFA-1) showed good
catalytic activity, and excellent reusability, and solvent and thermal stability,
which is very important for practical applications. In addition, ZIF-100 and CFA
1 were used to mimic CA to convert CO2 gas, and exhibited good efficiency on CO2
conversion compared with those of other porous materials (e.g., MCM-41, active
carbon). This biomimetic study revealed a novel CO2 treatment method. Instead of
simply using MOFs to absorb CO2, ZIF-100 and CFA-1 were used to mimic CA for in
situ CO2 conversion, which provides a new prospect in the biological and
industrial applications of MOFs.
PMID- 29381347
TI - Impacts of the Degradation of 2,3,3,3-Tetrafluoropropene into Trifluoroacetic
Acid from Its Application in Automobile Air Conditioners in China, the United
States, and Europe.
AB - HFO-1234yf (2,3,3,3-tetrafluoropropene) was proposed as an automobile air
conditioner (MAC) refrigerant worldwide. However, its atmospheric degradation
product is the highly soluble and phytotoxic trifluoroacetic acid (TFA), which
persists in aquatic environments. We used a global three-dimensional chemical
transport model to assess the potential environmental effects resulting from
complete future conversion of the refrigerant in all MAC to HFO-1234yf in China,
the United States, and Europe. The annual mean atmospheric concentrations of HFO
1234yf were 2.62, 2.20, and 2.73 pptv, and the mean deposition rates of TFA were
0.96, 0.45, and 0.52 kg km-2 yr-1, in three regions. The regional TFA deposition
sources mainly came from emissions within the same region. The annual TFA
deposition in the North Pole region was lower than the global average and mainly
originated from European emissions. A potential doubling in the future HFO-1234yf
emissions in China mainly affected the local TFA depositions. The TFA
concentrations in rainwater were strongly affected by the regional precipitation
rates. North Africa and the Middle East, regions with scant rainfall, had
extremely high TFA concentrations. The rainwater concentrations of TFA during
individual rain events can exceed the level considered to be safe, indicating
substantial potential regional risks from future HFO-1234yf use.
PMID- 29381348
TI - Disordered Nanostructure in Huntingtin Interacting Protein K Acts as a
Stabilizing Switch To Prevent Protein Aggregation.
AB - Protein misfolding due to mutation(s) and/or generation of unstable intermediate
state(s) can be the cause of aberrant aggregations, leading to cellular
degeneration. While molecular signatures like amyloidogenic regions cause
aggregation, other features in proteins, like disorder and unique complexity
regions, regulate and restrict such adhesive accumulation processes. Huntingtin
interacting protein K (HYPK) is an aggregation-prone protein. Using various
biophysical, microscopy, and computational techniques, we have deciphered how
HYPK's N-terminal nanodisordered region plays a significant modulatory role in
preventing its own aggregation and that of other proteins. HYPK's C-terminal
hydrophobic regions lead to annular oligomerization and intermolecular charge
interactions among the residues of low-complexity region (LCR) generate amorphous
aggregates. The N-terminal disordered nanostructure loops toward the C-terminus,
and a negative charge-rich patch in this region interacts with the LCR to shield
LCR's positive charges. This interaction is required to prevent HYPK aggregation.
Loss of this interaction causes partial unfolding of the structured C-terminus,
resulting in HYPK's molten globule-like state and rapid annular oligomerization.
The N-terminus also determines the specificity to mediate the differential
bindings with aggregation-prone and wild type Huntingtin-exon1 proteins
(Huntingtin97Q-exon1 and Huntingtin25Q-exon1). A sliding interaction of the
specific N-terminal segment of HYPK along the extended polyglutamine region of
Huntingtin-exon1 is responsible for HYPK's higher affinity for aggregation-prone
Huntingtin than for its non-aggregating counterpart. Overall, our study provides
evidence of the existence of disordered nanostructure in HYPK protein that
mechanistically plays a decisive role in preventing both self and non-self
protein aggregation.
PMID- 29381349
TI - Phosphinidene-Bridged MoMn Derivatives of the Thiophosphinidene Complex
[Mo2Cp2(MU-kappa2:kappa1,eta6-SPMes*)(CO)2] (Mes* = 2,4,6-C6H2tBu3).
AB - The title complex (1) reacted with [Mn2(CO)10] under visible-UV irradiation
(toluene solution and quartz glassware) to give a mixture of the phosphinidene
complex [MnMoCp(MU-kappa1:kappa1,eta6-PMes*)(CO)4], the cluster [Mn2Mo2Cp2(MU
kappa1:kappa1,eta6-PMes*)(MU3-S)(CO)8], and the thiophosphinidene complex
[MnMoCp(MU-kappa2:kappa1,eta4-SPMes*)(CO)5], in yields of ca. 60, 20, and 10%
respectively (Mes* = 2,4,6-C6H2tBu3). The major product follows from formal
replacement of the SMoCp(CO)2 fragment in 1 with a Mn(CO)4 fragment, and
displayed multiple bonding to phosphorus (Mn-P = 2.1414(8) A); the tetranuclear
cluster results from formal insertion of a Mn2(CO)6 fragment in 1, with cleavage
of P-S and P-Mo bonds, to render a MU3-S bridged Mn2Mo core bearing an exocyclic
phosphinidene ligand involved in multiple bonding to one of the Mn atoms (Mn-P =
2.140(2) A); the thiophosphinidene complex (Mn-P = 2.294(1) A) formally results
from addition of sulfur and carbon monoxide to the major MnMo product, a
transformation which actually could be performed stepwise, via the MnMo
thiophosphinidene complex [MnMoCp(MU-kappa2:kappa1,eta6-SPMes*)(CO)4]. When the
photolysis of 1 and [Mn2(CO)10] was performed in tetrahydrofuran solution and
using conventional glassware, then the V-shaped cluster [Mn2MoCp{MU
kappa1:kappa1:kappa1,eta5-P(C6H3tBu3)}(CO)8] was obtained selectively (Mo-Mn =
3.2910(5) A, Mn-Mn = 2.9223(5) A), which unexpectedly displays a
cyclohexadienylidene-phosphinidene ligand resulting from H atom abstraction at
the aryl ring of the precursor. Density functional theory calculations on the
complexes [LnM(MU-kappa1:kappa1,eta6-PMes*)MoCp] (MLn = MoCp(CO)2, Mn(CO)4,
Co(CO)3) revealed that the degree of delocalization of the metal-phosphorus pi
bonding interaction over the Mo-P-M chain is significantly conditioned by the
heterometal fragment MLn, it being increased in the order Mn <= Mo < Co.
PMID- 29381350
TI - Scalable Access to Arylomycins via C-H Functionalization Logic.
AB - Arylomycins are a promising class of "latent" antibacterial natural products
currently in preclinical development. Access to analogues within this family has
previously required a lengthy route involving multiple functional group
manipulations that is costly and time-intensive on scale. This study presents a
simplified route predicated on simple C-H functionalization logic that is enabled
by a Cu-mediated oxidative phenol coupling that mimics the putative biosynthesis.
This operationally simple macrocyclization is the largest of its kind and can be
easily performed on gram scale. The application of this new route to a formal
synthesis of the natural product and a collection of new analogues along with
their biological evaluation is also reported.
PMID- 29381351
TI - Ir/Cu Dual Catalysis: Enantio- and Diastereodivergent Access to alpha,alpha
Disubstituted alpha-Amino Acids Bearing Vicinal Stereocenters.
AB - We describe a fully stereodivergent synthesis of a range of alpha,alpha
disubstituted alpha-amino acids via an Ir/Cu-catalyzed alpha-allylation of
readily available imine esters. The introduction of a Cu-Phox complex-activated
imine ester into the chiral iridium-catalyzed allylic allylation process is
crucial for its high reactivity and excellent enantio- and diastereoselectivity
(up to >99% ee and >20:1 dr). Importantly, the two chiral catalysts allow for
full control over the configuration of the stereocenters, affording all
stereoisomers of the desired products. The utility of this methodology was
demonstrated by synthesizing dipeptides and analogues of bioactive molecules in a
stereodivergent manner.
PMID- 29381353
TI - Colorimetric Detection of Norovirus in Oyster Samples through DNAzyme as a
Signaling Probe.
AB - Worldwide, norovirus is one of the most associated causes of acute
gastroenteritis, which leads to nearly 50 000 child deaths every year in
developing countries. Therefore, there is great demand to develop a rapid, low
cost, and accurate detection assay for the foodborne norovirus infection to
reduce mortality caused by norovirus. Considering the importance of norovirus, we
have demonstrated a highly sensitive and specific colorimetric detection method
for analysis of human norovirus genogroups I and II (HuNoV GI and GII) in oyster
samples. This is the first report to employ colorimetric HRPzyme-integrated
polymerase chain reaction (PCR) for direct norovirus detection from the real
shellfish samples. We found that the HRPzyme-integrated PCR method is more
sensitive than the gel electrophoresis approach and could detect the HuNoV GI and
GII genome up to 1 copy/mL. The specificity of the proposed method was
successfully demonstrated for HuNoV GI and GII. Further, we performed testing
HuNoVs in the spiked oyster samples, and the HRPzyme-integrated PCR method proved
to be an ultrasensitive and selective method for detecting HuNoVs in the real
samples. By integration of the proposed method with the portable PCR machine, it
would be more reliable to improve food safety by detecting HuNoVs in the
different types of shellfish, such as oyster and mussel, at the production field.
PMID- 29381354
TI - A Predictive Model for the Decarboxylation of Silver Benzoate Complexes Relevant
to Decarboxylative Coupling Reactions.
AB - Decarboxylative coupling reactions offer an attractive route to generate
functionalized arenes from simple and readily available carboxylic acid coupling
partners, yet they are underutilized due to limitations in the scope of
carboxylic acid coupling partner. Here we report that the field effect parameter
(F) has a substantial influence on the rate of decarboxylation of well-defined
silver benzoate complexes. This finding provides the opportunity to surpass
current substrate limitations associated with decarboxylation and to enable
widespread utilization of decarboxylative coupling reactions.
PMID- 29381352
TI - Design and Mechanism of (S)-3-Amino-4-(difluoromethylenyl)cyclopent-1-ene-1
carboxylic Acid, a Highly Potent gamma-Aminobutyric Acid Aminotransferase
Inactivator for the Treatment of Addiction.
AB - gamma-Aminobutyric acid (GABA) is the major inhibitory neurotransmitter in the
central nervous system. Inhibition of GABA aminotransferase (GABA-AT), a
pyridoxal 5'-phosphate (PLP)-dependent enzyme that degrades GABA, has been
established as a possible strategy for the treatment of substance abuse. The
raised GABA levels that occur as a consequence of this inhibition have been found
to antagonize the rapid release of dopamine in the ventral striatum (nucleus
accumbens) that follows an acute challenge by an addictive substance. In
addition, increased GABA levels are also known to elicit an anticonvulsant effect
in patients with epilepsy. We previously designed the mechanism-based inactivator
(1S,3S)-3-amino-4-difluoromethylenyl-1-cyclopentanoic acid (2), now called CPP
115, that is 186 times more efficient in inactivating GABA-AT than vigabatrin,
the only FDA-approved drug that is an inactivator of GABA-AT. CPP-115 was found
to have high therapeutic potential for the treatment of cocaine addiction and for
a variety of epilepsies, has successfully completed a Phase I safety clinical
trial, and was found to be effective in the treatment of infantile spasms (West
syndrome). Herein we report the design, using molecular dynamics simulations,
synthesis, and biological evaluation of a new mechanism-based inactivator, (S)-3
amino-4-(difluoromethylenyl)cyclopent-1-ene-1-carboxylic acid (5), which was
found to be almost 10 times more efficient as an inactivator of GABA-AT than CPP
115. We also present the unexpected crystal structure of 5 bound to GABA-AT, as
well as computational analyses used to assist the structure elucidation process.
Furthermore, 5 was found to have favorable pharmacokinetic properties and low off
target activities. In vivo studies in freely moving rats showed that 5 was
dramatically superior to CPP-115 in suppressing the release of dopamine in the
corpus striatum, which occurs subsequent to either an acute cocaine or nicotine
challenge. Compound 5 also attenuated increased metabolic demands (neuronal
glucose metabolism) in the hippocampus, a brain region that encodes spatial
information concerning the environment in which an animal receives a reinforcing
or aversive drug. This multidisciplinary computational design to preclinical
efficacy approach should be applicable to the design and improvement of mechanism
based inhibitors of other enzymes whose crystal structures and inactivation
mechanisms are known.
PMID- 29381355
TI - Ribocyclophanes A-E, Glycosylated Cyclophanes with Antiproliferative Activity
from Two Cultured Terrestrial Cyanobacteria.
AB - The cell extracts of two cultured freshwater Nostoc spp., UIC 10279 and UIC
10366, both from the suburbs of Chicago, showed antiproliferative activity
against MDA-MB-231 and MDA-MB-435 cancer cell lines. Bioassay-guided
fractionation led to the isolation of five glycosylated cylindrocyclophanes,
named ribocyclophanes A-E (1-5) and cylindrocyclophane D (6). The structure
determination was carried out by HRESIMS and 1D and 2D NMR analyses and confirmed
by single-crystal X-ray crystallography. The structures of ribocyclophanes A-E (1
5) contain a beta-d-ribopyranose glycone in the rare 1 C4 conformation. Among
isolated compounds, ribocyclophane D (4) showed antiproliferative activity
against MDA-MB-435 and MDA-MB-231 cancer cells with an IC50 value of less than 1
MUM.
PMID- 29381357
TI - Anti-Staphylococcal Calopins from Fruiting Bodies of Caloboletus radicans.
AB - Three new and seven known calopins were isolated from Caloboletus radicans. The
structures of the new cyclocalopins, 8-deacetylcyclocalopin B (1), cyclocalopin A
15-ol (2), and 12,15-dimethoxycyclocalopin A (3), were mainly elucidated by NMR
and MS data analysis. The stereochemistry of 1-3 was assigned based on NOE
correlations and coupling constants and by comparison of their CD spectra with
those of similar known calopins. While 1-10 were inactive against two cancer cell
lines, they displayed anti-staphylococcal activity against methicillin-resistant
Staphylococcus aureus strains (MRSA) with MIC values of 16-256 MUg/mL. Moreover,
some calopins were active against the fish pathogen Enterococcus faecalis F1B1.
PMID- 29381356
TI - Astaxanthin Induces the Nrf2/HO-1 Antioxidant Pathway in Human Umbilical Vein
Endothelial Cells by Generating Trace Amounts of ROS.
AB - Astaxanthin is a powerful antioxidant that possesses potent protective effects
against various human diseases and physiological disorders. However, the
mechanisms underlying its antioxidant functions in cells are not fully
understood. In the present study, the effects of astaxanthin on reactive oxygen
species (ROS) production and antioxidant enzyme activity, as well as mitogen
activated protein kinases (MAPKs), phosphatidylinositol 3-kinase (PI3K)/Akt, and
the nuclear factor erythroid 2-related factor 2 (Nrf-2)/heme oxygenase-1 (HO-1)
pathways in human umbilical vein endothelial cells (HUVECs), were examined. It
was shown that astaxanthin (0.1, 1, and 10 MUM) induced ROS production by 9.35%,
14.8%, and 18.06% compared to control, respectively, in HUVECs. In addition,
astaxanthin increased the mRNA levels of phase II enzymes HO-1 and also promoted
GSH-Px enzyme activity. Furthermore, we observed ERK phosphorylation, nuclear
translocation of Nrf-2, and activation of antioxidant response element-driven
luciferase activity upon astaxanthin treatment. Knockdown of Nrf-2 by small
interfering RNA inhibited HO-1 mRNA expression by 60%, indicating that the Nrf
2/ARE signaling pathway is activated by astaxanthin. Our results suggest that
astaxanthin activates the Nrf-2/HO-1 antioxidant pathway by generating small
amounts of ROS.
PMID- 29381358
TI - 3-((R)-4-(((R)-6-(2-Bromo-4-fluorophenyl)-5-(ethoxycarbonyl)-2-(thiazol-2-yl)-3,6
dihydropyrimidin-4-yl)methyl)morpholin-2-yl)propanoic Acid (HEC72702), a Novel
Hepatitis B Virus Capsid Inhibitor Based on Clinical Candidate GLS4.
AB - The inhibition of hepatitis B virus (HBV) capsid assembly is a novel strategy for
the development of chronic hepatitis B (CHB) therapeutics. On the basis of the
preclinical properties and clinical results of GLS4, we carried out further
investigation to seek a better candidate compound with appropriate anti-HBV
potency, reduced hERG activity, decreased CYP enzyme induction, and improved
pharmacokinetic (PK) properties. To this end, we have successfully found that
morpholine carboxyl analogues with comparable anti-HBV activities to that of GLS4
showed decreased hERG activities, but they displayed strong CYP3A4 induction in a
concentration-dependent manner, except for morpholine propionic acid analogues.
After several rounds of modification, compound 58 (HEC72702), which had an (R)
morpholine-2-propionic acid at the C6 position of its dihydropyrimidine core
ring, was found to display no induction of the CYP1A2, CYP3A4, or CYP2B6 enzyme
at the high concentration of 10 MUM. In particular, it demonstrated a good
systemic exposure and high oral bioavailability and achieved a viral-load
reduction greater than 2 log in a hydrodynamic-injected (HDI) HBV mouse model and
has now been selected for further development.
PMID- 29381359
TI - Halogenated C15 Acetogenin Analogues of Obtusallene III from a Laurenciella sp.
Collected in Corsica.
AB - NMR chemical profiling of a Laurenciella sp. using a computerized method
developed in our laboratory resulted in the identification of five new compounds
(1-5) and 17 known compounds, among which 3-(E)-laurenyne represented by far the
most abundant metabolite. Compounds 1 to 5 were isolated and fully characterized
by detailed spectroscopic analysis. The absolute configuration and structural
features of compound 1 were determined by single-crystal X-ray diffraction
analysis. Compounds 1 to 4 are 12-membered cyclic ether acetogenins that are
present in solution as interconverting conformers exhibiting an (aR)
configuration of the bromoallene unit together with an S configuration at C-4.
Among these, compound 3 is the first obtusallene derivative with bromine
substituents at both the C-7 and C-12 positions. Compound 5 is an acetogenin
bearing a [5.5.1]bicyclotridecane ring system. A plausible biosynthetic route to
1-4 is proposed.
PMID- 29381360
TI - A Coupling Approach for the Generation of alpha,alpha-Bis(enolate) Equivalents:
Regioselective Synthesis of gem-Difunctionalized Ketones.
AB - Regioselective alpha,alpha-difunctionalization adjacent to a ketone is a
significant synthetic challenge. Here, we present a solution to this problem
through the transition-metal-free coupling of esters with geminal bis(boron)
compounds. This forms an alpha,alpha-bis(enolate) equivalent which can be trapped
with electrophiles including alkyl halides and fluorinating agents. This presents
an efficient, convergent synthetic strategy for the synthesis of unsymmetrical
blocked ketones.
PMID- 29381361
TI - Fluorine Gauche Effect Explained by Electrostatic Polarization Instead of
Hyperconjugation: An Interacting Quantum Atoms (IQA) and Relative Energy Gradient
(REG) Study.
AB - We present an interacting quantum atoms (IQA) study of the gauche effect by
comparing 1,2-difluoroethane, 1,2-dichloroethane, and three conformers of
1,2,3,4,5,6-hexafluorocyclohexane. In the 1,2-difluoroethane, the gauche effect
is observed in that the gauche conformation is more stable than the anti, whereas
in 1,2-dichloroethane the opposite is true. The analysis performed here is
exhaustive and unbiased thanks to using the recently introduced relative energy
gradient (REG) method [ Thacker , J. C. R. ; Popelier , P. L. A. Theor. Chem. Acc
. 2017 , 136 , 86 ], as implemented in the in-house program ANANKE. We challenge
the common explanation that hyperconjugation is responsible for the gauche
stability in 1,2-difluoroethane and instead present electrostatics as the cause
of gauche stability. Our explanation of the gauche effect is also is seen in
other molecules displaying local gauche conformations, such as the recently
synthesized "all-cis" hexafluorocyclohexane and its conformers where all the
fluorine atoms are in the equatorial positions. Using our extension of the
traditional IQA methodology that allows for the partitioning of electrostatic
terms into polarization and charge transfer, we propose that the cause of gauche
stability is 1,3 C...F electrostatic polarization interactions. In other words,
if a number of fluorine atoms are aligned, then the stability due to polarization
of nearby carbon atoms is increased.
PMID- 29381362
TI - Quantum Chemical Calculations of Monomer-Dimer Equilibria of Aromatic C-Nitroso
Compounds.
AB - Monomer-dimer equilibria of nitrosobenzene and 2-nitrosopyridine in gas phase and
solution were studied by range of quantum chemical methods in an attempt to find
the level of theory suitable for modeling dimerization reactions of aromatic C
nitroso compounds in general. The best agreement with the experimental standard
reaction Gibbs energies was obtained with a combination of double-hybrid density
functionals B2PLYP-D3, PBE0DH, and DSD-PBEB86, and basis sets of triple-zeta
quality. Of all other tested functionals, global hybrid PBE0 behaved equally
well, and proved to be more than adequate for at least preliminary work. Other
tested methods either produced inferior results (MP2, MP4(SDQ), CCSD, G4(MP2),
CBS-QBS, CBS-APNO), or were too demanding for practical use (CCSD(T)). Analysis
of computationally obtained thermodynamic data reveal intricate details of these
reactions. Both E- and Z-dimers have several different conformers, which all have
different solvation energies. While in the gas phase the nitrosobenzene E-dimer
is more stable that its Z-form, in chloroform, the Z-form is more stable. Gas
phase dimerization entropies are large and negative, so these reactions are
strongly temperature dependent. In some cases, like with 2-nitrosopyridines,
entropy and enthalpy terms essentially cancel each other out, allowing structural
and media effects to significantly influence dimerization equilibria.
PMID- 29381363
TI - Postelongation Strategy for the Introduction of Guanidinium Units in the Main
Chain of Helical Oligourea Foldamers.
AB - The synthesis of hybrid urea-based foldamers containing isosteric guanidinium
linkages at selected positions in the sequence is described. We used a
postelongation approach whereby the guanidinium moiety is introduced by direct
transformation of a parent oligo(urea/thiourea) foldamer precursor. The method
involves activation of the thiourea by treatment with methyl iodide and
subsequent reaction with amines. To avoid undesired cyclization with the
preceding urea moiety, resulting in heterocyclic guanidinium formation in the
main chain, the urea unit preceding the thiourea unit in the sequence was
replaced by an isoatomic and isostructural gamma-amino acid. The approach was
extended to solid-phase techniques to accelerate the synthesis of longer and more
functionalized sequences. Under optimized conditions, an octamer hybrid oligomer
incorporating a central guanidinium linkage was obtained in good overall yield
and purity. This work also reports data related to the structural consequences of
urea by guanidinium replacements in solution and reveals that helical folding is
substantially reduced in oligomers containing a guanidinium group.
PMID- 29381364
TI - Frequency Control of Single Quantum Emitters in Integrated Photonic Circuits.
AB - Generating entangled graph states of qubits requires high entanglement rates with
efficient detection of multiple indistinguishable photons from separate qubits.
Integrating defect-based qubits into photonic devices results in an enhanced
photon collection efficiency, however, typically at the cost of a reduced defect
emission energy homogeneity. Here, we demonstrate that the reduction in defect
homogeneity in an integrated device can be partially offset by electric field
tuning. Using photonic device-coupled implanted nitrogen vacancy (NV) centers in
a GaP-on-diamond platform, we demonstrate large field-dependent tuning ranges and
partial stabilization of defect emission energies. These results address some of
the challenges of chip-scale entanglement generation.
PMID- 29381365
TI - Biocatalytic Membrane Based on Polydopamine Coating: A Platform for Studying
Immobilization Mechanisms.
AB - Application of biocatalytic membrane is promising in food, pharmaceutical, and
water treatment industries, whereas enzyme immobilization is the key step of
biocatalytic membrane preparation. Thus, how to minimize the negative effect of
immobilization on enzyme performance is required to answer. In this work, we
proposed a platform for biocatalytic membrane preparation and immobilization
mechanism investigation based on polydopamine (PDA) coating, which was
demonstrated by immobilizing five commonly used enzymes (laccase, glucose
oxidase, lipase, pepsin, and dextranase) on three commercially available
membranes via three immobilization mechanisms (electrostatic attraction, covalent
bonding, and hydrophobic adsorption), respectively. By examining the enzyme
loading, activity, and kinetics under different immobilization mechanisms, we
found that except for dextranase, enzyme immobilization via electrostatic
attraction retained the most activity, whereas covalent bonding and hydrophobic
adsorption were detrimental to enzyme conformation. Enzyme immobilization via
covalent bonding ensured a high enzyme loading, and hydrophobic adsorption was
only suitable for lipase and dextranase immobilization. Moreover, the properties
of functional groups around the enzyme active center should be considered for the
selection of suitable immobilization strategy (i.e., avoid covering the active
center by membrane carrier). This work not only established a versatile platform
for biocatalytic membrane preparation but also provided a novel methodology to
evaluate the effect of immobilization mechanisms on enzyme performance.
PMID- 29381366
TI - pH Responsive Doxorubicin Delivery by Fluorous Polymers for Cancer Treatment.
AB - Polymeric nanoparticles have emerged as valuable drug delivery vehicles as they
improve solubility of hydrophobic drugs, enhance circulation lifetime, and can
improve the biodistribution profile of small-molecule therapeutics. These
nanoparticles can take on a host of polymer architectures including polymersomes,
hyperbranched nanoparticles, and dendrimers. We have recently reported that
simple low molecular weight fluorous copolymers can self-assemble into
nanoparticles and show exceptional passive targeting into multiple tumor models.
Given the favorable biodistribution of these particles, we sought to develop
systems that enable selective delivery in acidic environments, such as the tumor
microenvironment or the lysosomal compartment. In this report, we describe the
synthesis and in vitro biological studies of a pH-responsive doxorubicin (DOX)
fluorous polymer conjugate. A propargyl DOX hydrazone was synthesized and
covalently attached to a water-dispersible fluorous polymer composed of
trifluoroethyl methacrylate (TFEMA) and oligo(ethylene glycol) methyl ether
methacrylate (OEGMEMA) using the ligand-accelerated copper-catalyzed azide-alkyne
cycloaddition. Driven by the high fluorine content of the copolymer carrier, the
DOX-copolymer formed stable micelles under aqueous conditions with a hydrodynamic
diameter of 250 nm. The DOX-copolymer showed internalization into multiple in
vitro models for breast and ovarian cancer. Cytotoxicity assays demonstrated
efficacy in both breast and ovarian cancer with overall efficacy being highly
dependent on the cell line chosen. Taken together, these results present a
platform for the pH-triggered delivery of DOX from a fluorous micelle carrier
effective against multiple cancer models in vitro.
PMID- 29381367
TI - Synergistic Effects of C/alpha-MoC and Ag for Efficient Oxygen Reduction
Reaction.
AB - It remains challenging to prepare highly active and stable catalysts from earth
abundant elements for the oxygen reduction reaction (ORR). Herein we report a
facile method to synthesize cost-effective heterogeneous C/alpha-MoC/Ag
electrocatalysts. Rotating disc electrode (RDE) experiments revealed that the
obtained C/alpha-MoC/Ag exhibited much superior catalytic performance for ORR
than that of C/Ag, C/alpha-MoC, or even the conventional Pt/C. First-principles
calculations indicated that the enhanced activity could be attributed to the
efficient synergistic effects between Ag and alpha-MoC/C by which the energy
barrier for O2 dissociation has been substantially reduced. Furthermore, Li-air
and Al-air cells were assembled to demonstrate the unprecedented electrochemical
performance of C/alpha-MoC/Ag nanocomposites surpassing the Pt/C. Thus
experimental results and theoretical calculations together showed that the
heterogeneous C/alpha-MoC/Ag nanocomposites are a promising alternative to
platinum for applications in industrial metal-air batteries.
PMID- 29381368
TI - Construction of 8-Azabicyclo[3.2.1]octanes via Sequential DDQ-Mediated Oxidative
Mannich Reactions of N-Aryl Pyrrolidines.
AB - A concise synthesis of 8-azabicyclo[3.2.1]octanes via sequential oxidative
Mannich reactions is described. This approach involves an intermolecular
oxidative Mannich coupling reaction between N-aryl pyrrolidines with TMS enol
ether and a subsequent intramolecular oxidative Mannich cyclization of the
corresponding silyl enol ether. DDQ is used as a key oxidant for both reactions.
PMID- 29381369
TI - Photoinduced Multicomponent Synthesis of alpha-Silyloxy Acrylamides, an
Unexplored Class of Silyl Enol Ethers.
AB - The photoinduced, multicomponent reaction of alpha-diazoketones, silanols, and
isocyanides affords alpha-silyloxy acrylamides, formally derived from alpha-keto
amides. The presence of a secondary amido group makes classic preparative methods
for silyl enol ethers unfeasible in this case, while the mild conditions required
by this photochemical approach allow their synthesis in good yields; moreover,
the general structure can be easily modified by varying each component of the
multicomponent reaction. Fine-tuning of the reaction conditions (i.e., solvents,
radiation, additives) can be exploited to obtain complete Z selectivity. The
reactivity of this overlooked class of silyl enol ethers has been investigated,
and features that could pave the way to new applications have been found.
PMID- 29381370
TI - Synthetic Studies toward the Tetrapetalones: Diastereoselective Construction of a
Putative Intermediate.
AB - A strategy toward tetrapetalones was explored including a site-selective
ethylenation of the silyl enol ether A to afford a quaternary stereocenter that
serves in a stereogenic capacity. Regio- and diastereoselective reactions were
observed in conjunction with the oxidative formation of cation B, which included
subsequent selective formation of either carbon-oxygen or carbon-carbon bonds at
the delta or zeta position on the seven-membered ring. The fourth ring was formed
using a Stetter reaction.
PMID- 29381371
TI - New plots in the partnerships of contemporary STEMI care: Revival of the 'menage
a trois'?
PMID- 29381372
TI - Thinking Differently about Risk.
PMID- 29381375
TI - Heart rate variability biofeedback: implications for cognitive and psychiatric
effects in older adults.
AB - OBJECTIVES: Two decades of heart rate variability (HRV) biofeedback research have
focused on adolescent and adult populations with a variety of symptoms and
conditions at the exclusion of older adults. This study explores HRV biofeedback
as a novel treatment to decrease psychiatric symptoms and improve cognitive
functioning in older adults. METHODS: Participants enrolled in a three-week
intervention that included six 30-min biofeedback sessions. Neuropsychological
and psychiatric measures were administered before and after the intervention.
RESULTS: Decreases in depression (Cohen's d = 1.02, p < .001), state anxiety
(Cohen's d = 0.82, p = .003), and trait anxiety (Cohen's d = 0.84, p = .002) were
observed. An increase in attentional skills as measured by the Trail Making Test
Part A was seen (Cohen's d = 1.00, p = .001). Differences in executive skills as
measured by the Trial Making Test - Part B and the Stroop Task did not reach
statistical significance. CONCLUSION: This study suggests that older adults may
benefit from HRV biofeedback interventions much like the younger populations that
have been studied for decades. Depression, anxiety, and attentional skills were
positively affected. Several participants reported improved sleep quality and
stress management. Future studies should corroborate these findings.
PMID- 29381374
TI - Humans with obesity have disordered brain responses to food images during
physiological hyperglycemia.
AB - Blood glucose levels influence brain regulation of food intake. This study
assessed the effect of mild physiological hyperglycemia on brain response to food
cues in individuals with obesity (OB) versus normal weight individuals (NW).
Brain responses in 10 OB and 10 NW nondiabetic healthy adults [body mass index:
34 (3) vs. 23 (2) kg/m2, means (SD), P < 0.0001] were measured with functional
MRI (blood oxygen level-dependent contrast) in combination with a two-step
normoglycemic-hyperglycemic clamp. Participants were shown food and nonfood
images during normoglycemia (~95 mg/dl) and hyperglycemia (~130 mg/dl). Plasma
glucose levels were comparable in both groups during the two-step clamp ( P = not
significant). Insulin and leptin levels were higher in the OB group compared with
NW, whereas ghrelin levels were lower (all P < 0.05). During hyperglycemia,
insula activity showed a group-by-glucose level effect. When compared with
normoglycemia, hyperglycemia resulted in decreased activity in the hypothalamus
and putamen in response to food images ( P < 0.001) in the NW group, whereas the
OB group exhibited increased activity in insula, putamen, and anterior and
dorsolateral prefrontal cortex (aPFC/dlPFC; P < 0.001). These data suggest that
OB, compared with NW, appears to have disruption of brain responses to food cues
during hyperglycemia, with reduced insula response in NW but increased insula
response in OB, an area involved in food perception and interoception. In a post
hoc analysis, brain activity in obesity appears to be associated with
dysregulated motivation (striatum) and inappropriate self-control (aPFC/dlPFC) to
food cues during hyperglycemia. Hyperstimulation for food and insensitivity to
internal homeostatic signals may favor food consumption to possibly play a role
in the pathogenesis of obesity.
PMID- 29381376
TI - Proanthocyanidins in cereals and pseudocereals.
AB - Proanthocyanidins (PAs) are a class of oligomeric flavonoids found in a variety
of plant foods. Intake of PAs in human diet has been associated with a reduced
occurrence of various chronic disorders. Cereal and pseudocereal grains are
staple food items. Grain genotypes containing PAs can be developed as functional
foods to efficiently improve human health. This review summarises the occurrence
of PAs in diverse grains, including rice, wheat, barley, sorghum, millets,
buckwheat, and some forage grasses. Great diversity in PA structure and
composition has been recorded. The biological activities of the grain PAs, such
as antioxidant, antiinflammatory, anticancer, and antidiabetic capacities, are
also reviewed. The bioavailability and metabolism of grain PAs in human digestive
tract are discussed. Future research directions are suggested on how to improve
our understandings of the chemistry of PAs in cereals and pseudocereals and of
the biological properties for human health applications.
PMID- 29381378
TI - Incidentally Detected Bilateral Adrenal Nodules in Patients Without Cancer: Is
Further Workup Necessary?
AB - OBJECTIVE: The purpose of this study was to determine the rate of malignancy in
incidentally detected bilateral adrenal masses in patients with no known history
of cancer. MATERIALS AND METHODS: A retrospective search of CT reports of
patients with incidentally detected bilateral adrenal nodules was performed from
January 1, 2002, to January 1, 2014. Patients were excluded if they had a known
cancer or suspected functioning adrenal tumor; 161 patients were included.
Nodules were characterized as benign or malignant on the basis of imaging
features at the index CT examination, imaging features at subsequent adrenal
protocol CT or MRI, imaging stability for a minimum of 1 year, or clinical follow
up of a minimum of 2 years. RESULTS: Mean nodule size was 1.8 cm (range, 0.7-4.9
cm). There were no cases of primary or secondary adrenal malignancy (95% CI, 0.00
0.023). The nodules diagnosed on index CT scans were 73 adrenal adenomas and two
myelolipomas. Seventy-four nodules were subsequently characterized as adrenal
adenomas on the basis of imaging findings. Of the 113 indeterminate nodules that
had imaging follow-up, 111 were stable at the latest follow-up examination. One
nodule grew 26% over 8.1 years, and the other grew 59% over 12.4 years. Clinical
follow-up of patients with 60 indeterminate nodules revealed no evidence of
adrenal malignancy. CONCLUSION: No case of malignancy was found in 322
incidentally detected bilateral adrenal nodules at CT of patients without known
cancer. Imaging follow-up of such lesions may be unnecessary.
PMID- 29381377
TI - Radiologic Correlation Between the Ischiofemoral Space and Morphologic
Characteristics of the Hip in Hips With Symptoms of Dysplasia.
AB - OBJECTIVE: The purpose of this study was to investigate radiologic correlation
between the ischiofemoral space and morphologic findings in the hip in patients
with symptomatic developmental dysplasia of the hip (DDH), borderline DDH, and
femoroacetabular impingement (FAI). MATERIALS AND METHODS: In 84 patients
undergoing hip arthroscopic surgery, 108 hips were divided into three groups
according to lateral center-edge angle (LCEA): DDH group (LCEA < 20 degrees ; 18
hips), borderline DDH group (20 degrees <= LCEA < 25 degrees ; 26 hips), and FAI
group (LCEA >= 25 degrees ; 64 hips). Ischiofemoral distance was evaluated by
radiography and ischiofemoral space and quadratus femoris space by MRI. Software
was used to measure the radiographic parameters LCEA, femoral neck-shaft angle,
and femoral neck anteversion on preoperative CT scans. RESULTS: Ischiofemoral
distance, ischiofemoral space, and quadratus femoris space were significantly
smaller in the DDH and borderline DDH groups than in the FAI group. The
prevalence of hips with ischiofemoral space < 17 mm was significantly higher in
the DDH (10 of 18 patients [56%]) and borderline DDH (8 of 26 patients [31%])
groups than in the FAI group (4 of 64 patients [6%]). In addition, the prevalence
of hips with a quadratus femoris space < 8 mm was significantly higher in the DDH
(2 of 18 patients [11%]) and borderline DDH (3 of 26 patients [12%]) groups than
in the FAI (0 of 64 patients [0%]) group. Femoral neck-shaft angle and femoral
neck anteversion were significantly greater in the DDH group than in the FAI
group. CONCLUSION: Ischiofemoral impingement syndromes can be considered more
likely in patients with DDH than in those with FAI.
PMID- 29381379
TI - Comparing Dose-Length Product-Based and Monte Carlo Simulation Organ-Based
Calculations of Effective Dose in 16- and 64-MDCT Examinations Using Automatic
Tube Current Modulation.
AB - OBJECTIVE: The purpose of this study is to compare dose-length product (DLP)
based calculation of effective dose (EDDLP) with Monte Carlo simulation organ
based calculation of effective dose (EDMCO) in 16- and 64-MDCT examinations, with
the use of clinical examinations with automatic tube current modulation.
MATERIALS AND METHODS: Dose data were obtained from 50 consecutive unenhanced
head CT examinations, unenhanced chest CT examinations, and unenhanced and
contrast-enhanced abdominopelvic CT examinations performed using 16- and 64-MDCT
scanners, as well as from 50 pulmonary CT angiography (CTA) examinations
performed using a 64-MDCT scanner and 31 pulmonary CTA examinations performed
using a 16-MDCT scanner. The EDMCO and the mean patient effective diameter were
calculated using commercially available software. The EDDLP was also calculated.
Both the mean difference and percentage difference between EDDLP and EDMCO were
calculated, and they were statistically compared according to patient sex, type
of examination performed, and type of scanner used. RESULTS: EDDLP significantly
underestimated the EDMCO by 0.3 mSv (19%) for men who underwent unenhanced head
CT, 0.5 mSv (29%) for women who underwent unenhanced head CT, 0.9-1.4 mSv (9-13%)
for men who underwent chest CT, and 4.7-4.8 mSv (39%) for women who underwent
chest CT (p < 0.001). The EDDLP overestimated the EDMCO by 1.9-2.0 mSv (12-14%)
for men who underwent abdominopelvic CT (p < 0.001), with no significant
difference noted for women who underwent abdominopelvic CT's. No significant
difference was noted in the percentage difference in ED between the 16- and 64
MDCT scanners (p >= 0.13). CONCLUSION: EDDLP underestimates EDMCO, the reference
standard for dose calculation, by 19-39% in unenhanced head CT examinations and,
among women, in chest CT examinations. EDDLP deviates from EDMCO by less than 15%
for chest CT examinations of men and for abdominopelvic CT. These differences can
be attributed to variable patient body habitus, automatic tube current
modulation, and sex-neutral k-coefficients, and they should be considered when
calculating ED, particularly in women.
PMID- 29381380
TI - The Diagnostic Performance of MRI for Detection of Lymph Node Metastasis in
Bladder and Prostate Cancer: An Updated Systematic Review and Diagnostic Meta
Analysis.
AB - OBJECTIVE: The purpose of this article is to review the diagnostic performance of
MRI for the detection of pelvic lymph node (LN) metastasis in patients with
bladder and prostate cancer. MATERIALS AND METHODS: MEDLINE and EMBASE were
searched up to January 13, 2017. We included diagnostic accuracy studies that
used MRI for pelvic LN detection in patients with bladder or prostate cancer,
using histopathologic analyses published since 2000 as the reference standard.
Two independent reviewers assessed the methodologic quality using the Quality
Assessment of Diagnostic Accuracy Studies-2 tool. Sensitivity and specificity of
all studies were calculated. Per-patient and per-LN results were pooled and
plotted in a hierarchic summary ROC plot. Metaregression, sensitivity, and
subgroup analyses were performed. RESULTS: Twenty-four studies (2928 patients)
were included. Pooled per-patient sensitivity (n = 21) was 0.56 (95% CI, 0.42
0.69) with a specificity of 0.94 (95% CI, 0.90-0.96). Per-LN pooled estimates (n
= 9) showed consistent results: sensitivity of 0.57 (95% CI, 0.29-0.82) and
specificity of 0.97 (95% CI, 0.94-0.98). At metaregression analysis, type of
cancer, magnet field strength, and use of ultrasmall superparamagnetic particles
of iron oxide (USPIO) were significant factors affecting heterogeneity (p <=
0.01). Sensitivity analyses showed that specificity estimates were comparable
(range, 0.87-0.95), but sensitivity estimates showed significant differences.
Studies that used USPIO (n = 4) had higher sensitivity (0.86; 95% CI, 0.62-0.96)
than did those not using USPIO (n = 17; 0.46; 95% CI, 0.35-0.58). CONCLUSION: MRI
shows high specificity but poor and heterogeneous sensitivity for detecting
pelvic LN metastasis in patients with bladder and prostate cancer. Using USPIO
can improve sensitivity.
PMID- 29381381
TI - Axillary Ultrasound Identifies Residual Nodal Disease After Chemotherapy: Results
From the American College of Surgeons Oncology Group Z1071 Trial (Alliance).
AB - OBJECTIVE: The purpose of this study is to determine lymph node features on
axillary ultrasound (US) images obtained after neoadjuvant chemotherapy that are
associated with residual nodal disease in patients with initial biopsy-proven
node-positive breast cancer. SUBJECTS AND METHODS: All patients had axillary US
performed after neoadjuvant chemotherapy. Axillary US images were centrally
reviewed for lymph node size, cortical thickness, and cortical morphologic
findings (type I indicated no visible cortex; type II, a hypoechoic cortex <= 3
mm; type III, a hypoechoic cortex > 3 mm; type IV, a generalized lobulated
hypoechoic cortex; type V, focal hypoechoic cortical lobulation; and type VI, a
totally hypoechoic node with no hilum). Lymph node characteristics were compared
with final surgical pathologic findings. RESULTS: Axillary US images obtained
after neoadjuvant chemotherapy and surgical pathologic findings were available
for 611 patients. Residual nodal disease was present in 373 patients (61.0%), and
238 (39.0%) had a complete nodal pathologic response. Increased cortical
thickness (mean, 3.5 mm for node-positive disease vs 2.5 mm for node-negative
disease) was associated with residual nodal disease. Lymph node short-axis and
long-axis diameters were significantly associated with pathologic findings.
Patients with nodal morphologic type I or II had the lowest rate of residual
nodal disease (51 of 91 patients [56.0%] and 138 of 246 patients (56.1%),
respectively), whereas those with nodal morphologic type VI had the highest rate
(44 of 55 patients [80.0%]) (p = 0.004). The presence of fatty hilum was
significantly associated with node-negative disease (p = 0.0013). CONCLUSION:
Axillary US performed after neoadjuvant chemotherapy is useful for nodal response
assessment, with longer short-axis diameter, longer long-axis diameter, increased
cortical thickness, and absence of fatty hilum significantly associated with
residual nodal disease after neoadjuvant chemotherapy.
PMID- 29381382
TI - Grading System to Categorize Breast MRI in BI-RADS 5th Edition: A Multivariate
Study of Breast Mass Descriptors in Terms of Probability of Malignancy.
AB - OBJECTIVE: The purpose of this study is to analyze the association between the
probability of malignancy and breast mass descriptors in the BI-RADS 5th edition
and to devise criteria for grading mass lesions, including subcategorization of
category 4 lesions with or without apparent diffusion coefficient (ADC) values.
MATERIALS AND METHODS: A total of 519 breast masses in 499 patients were
selected. Breast MRI was performed with a 1.5-T MRI scanner using a 16-channel
dedicated breast radiofrequency coil. Two radiologists determined the morphologic
and kinetic features of the breast masses. Mean ADC values were measured on ADC
maps by placing round ROIs that encircled the largest possible solid mass
portions. An optimal ADC threshold was chosen to maximize the Youden index.
Corresponding pathologic diagnoses were obtained by either biopsy or surgery.
RESULTS: A total of 472 masses were malignant. Multivariate model analysis showed
that shape (irregular, p < 0.001), margin type (not circumscribed, p < 0.001),
internal enhancement (rim enhancement and heterogeneous enhancement, p = 0.0001),
and delayed phase (washout, p = 0.0003) were the significant explanatory
variables. The 3-point scoring system for findings suspicious for malignancy and
the proposed classification system for breast mass descriptors (with points for
category designation ranging from 0 to > 4) were significant with respect to
malignancy (p < 0.01). The inclusion of ADC values improved the positive
predictive values for categories 3, 4A, and 4B. CONCLUSION: The 3-point scoring
system for findings suspicious for malignancy and the proposed classification
system for breast mass descriptors would be valid as a categorization system. ADC
values may be used to downgrade benign lesions in categories 3, 4A, and 4B.
PMID- 29381383
TI - Atrial fibrillation and its complications in arterial hypertension: The potential
preventive role of omega-3 polyunsaturated fatty acids.
AB - Atrial fibrillation (AF) is the most common type of arrhythmia in the general
population with a prevalence that reaches one third of patients with arterial
hypertension. Several risk factors frequently associated with hypertension
predispose the myocardium to AF by inducing atrial inflammation and fibrosis and
altering atrial electrical and mechanical characteristics. AF influences the
quality of life of hypertensive patients since it increases incidence of stroke
and other thromboembolic events, and mortality. Polyunsaturated fatty acids of
the omega-3 family (omega-3 PUFA) have been demonstrated to be beneficial in
cardiovascular disease prevention by reducing plasma lipids and blood pressure
levels and decreasing the risk of sudden death. These fatty acids can act as
potent anti-inflammatory and anti-arrhythmic agents. Many studies have
investigated a possible preventive effect of omega-3 PUFA on incident AF
reporting contradictory results. This article overviews the evidence currently
available on this important topic and provides some conclusive remarks on the
possibility that these fatty acids could be beneficial in hypertensive patients.
PMID- 29381384
TI - Astringency, bitterness and color changes in dry red wines before and during oak
barrel aging: An updated phenolic perspective review.
AB - To understand effects of using oak barrels on the astringency, bitterness and
color of dry red wines, phenolic reactions in wines before and after barrel aging
are reviewed in this paper, which has been divided into three sections. The first
section includes an introduction to chemical reactivities of grape-derived
phenolic compounds, a summary of the phenolic reactions that occur in dry red
wines before barrel aging, and a discussion of the effects of these reactions on
wine astringency, bitterness and color. The second section introduces barrel
types that determine the oak barrel constituents in wines (primarily oak
aldehydes and ellagitannins) and presents reactions between the oak constituents
and grape-derived phenolic compounds that may modulate wine astringency,
bitterness and color. The final section illustrates the chemical differences
between basic oxidation and over-oxidation in wines, discusses oxygen consumption
kinetics in wines during barrel aging by comparing different oxygen consumption
kinetics observed previously by others, and speculates on the possible
preliminary phenolic reactions that occur in dry red wines during oak barrel
aging that soften tannins and stabilize pigments via basic oxidation.
Additionally, sulfur dioxide (SO2) addition during barrel aging and suitability
of adopting oak barrels for aging wines are briefly discussed.
PMID- 29381385
TI - Influence of functional food components on gut health.
AB - Intestinal epithelial cells (IECs) lining the gastrointestinal tract establish a
barrier between external environments and the internal milieu. An intact
intestinal barrier maintains gut health and overall good health of the body by
preventing from tissue injury, pathogen infection and disease development. When
the intestinal barrier function is compromised, bacterial translocation can
occur. Our gut microbiota also plays a fundamentally important role in health,
for example, by maintaining intestinal barrier integrity, metabolism and
modulating the immune system, etc. Any disruption of gut microbiota composition
(also termed dysbiosis) can lead to various pathological conditions. In short,
intestinal barrier and gut microbiota are two crucial factors affecting gut
health. The gastrointestinal tract is a complex environment exposed to many
dietary components and commensal bacteria. Dietary components are increasingly
recognized to play various beneficial roles beyond basic nutrition, resulting in
the development of the functional food concepts. Various dietary modifiers,
including the consumption of live bacteria (probiotics) and ingestible food
constituents such as prebiotics, as well as polyphenols or synbiotics
(combinations of probiotics and prebiotics) are the most well characterized
dietary bioactive compounds and have been demonstrated to beneficially impact the
gut health and the overall well-being of the host. In this review we depict the
roles of intestinal epithelium and gut microbiota in mucosal defence responses
and the influence of certain functional food components on the modulation of gut
health, with a particular focus on probiotics, prebiotics and polyphenols.
PMID- 29381386
TI - Prevention and treatment effects of edible berries for three deadly diseases:
Cardiovascular disease, cancer and diabetes.
AB - Cardiovascular disease (CVD), cancer and diabetes are serious threat to human
health and more and more aroused people's attention. It is important to find the
safe and effective prevention and treatment methods for the three deadly
diseases. At present, a generally attention in the possible positive effects of
edible berries for the three deadly diseases has been noted. Berry phytochemical
compounds regulate different signaling pathways about cell survival, growth and
differentiation. They contribute to the prevention and treatment of CVD, cancer
and diabetes. This article reviews previous experimental evidence, several common
berry phytochemical compounds and their possible mechanisms involved in three
deadly diseases were summarized.
PMID- 29381387
TI - Cognitive functioning among cognitively intact dementia caregivers compared to
matched self-selected and population controls.
AB - PURPOSE OF THE STUDY: Caregiving for a person with dementia is frequently used to
model the impact of chronic stress on health, including cognitive functioning.
However, the prevalence of typically healthier, self-selecting non-caregiving
control groups could contribute to a picture of poorer caregiver performance and
overstate the negative effects of stress. We investigated differences in
cognitive performance between dementia caregivers and two groups of non
caregivers recruited using different sampling methods. DESIGN AND METHODS: We
compared cognitive function and psychological wellbeing among 252 spousal
dementia caregivers with demographically matched non-caregiving control groups
drawn from (1) a population study and (2) a self-selecting sample. Comparable
cognitive measures included immediate and delayed recall, processing speed
reaction time and verbal fluency. RESULTS: Caregiver and non-caregiver
performance was comparable on most cognitive domains. However, caregivers
outperformed both control groups on processing speed (p <= .05) and reaction time
(p <= .05), despite having higher levels of stress and depression (ps < .001).
Furthermore, caregivers had significantly better free recall than self-selecting
controls (p < .001). IMPLICATIONS: Our results, overall, do not support the idea
that caregiving is associated with stress-induced cognitive deficits. Rather, the
trend toward better caregiver performance is consistent with the healthy
caregiver hypothesis.
PMID- 29381388
TI - Long-Acting Injectable Atypical Antipsychotic Use in Adolescents: An
Observational Study.
AB - OBJECTIVES: Although second generation long-acting injectable antipsychotics (SG
LAIAs) have been approved and are widely used in adults, there is limited
evidence for the use of long-acting formulations in children and adolescents.
Thus, we systematically describe the off-label use of SG-LAIAs in clinical
practice in adolescent inpatients. METHODS: All individuals admitted to our
Children and Adolescent Inpatient Psychiatry Unit receiving treatment with SG
LAIAs between January 2013 and June 2016 were reviewed. A retrospective analysis
of medical records was conducted. Clinical diagnoses were established using DSM-5
criteria. RESULTS: Thirty individuals (53.3% female) out of a total of 1,148
admitted patients (2.6%) were identified. The mean age was 16.3 (SD = 1.3; range:
12.5-17.9).The main diagnoses were psychosis (70%) and disruptive behavior
disorders (DBDs) (30%), although comorbidity was frequent (96.6%), especially
drug use (55.2%, mostly cannabis). SG-LAIAs used were aripiprazole (40%),
risperidone (36.7%), and paliperidone palmitate (23.3%), and the main reasons
were a history of low compliance (90%) and/or poor insight (73.3%). A mean
improvement of 31.7 (SD = 8.7) between admission and discharge was registered in
the Children's Global Assessment Scale (CGAS); no differences were observed
between different SG-LAIAs. Although they were generally well tolerated, 23.3% of
patients reported mild short-term side effects, which were more frequent with
risperidone than with aripiprazole (p = .014). CONCLUSIONS: Our clinical
experience suggests that SG-LAIAs may be a safe treatment option during
adolescence in inpatients with psychotic disorders, as well as with DBD. No
differences were found in CGAS improvement scores between the three SGA-LAIAs
used, although patients on risperidone reported more side effects than those on
aripiprazole. Further research is needed so as to evaluate safety and
effectiveness of SG-LAIAs in this population.
PMID- 29381389
TI - A critical review on the use of artificial neural networks in olive oil
production, characterization and authentication.
AB - Artificial neural networks (ANN) are computationally based mathematical tools
inspired by the fundamental cell of the nervous system, the neuron. ANN
constitute a simplified artificial replica of the human brain consisting of
parallel processing neural elements similar to neurons in living beings. ANN is
able to store large amounts of experimental information to be used for
generalization with the aid of an appropriate prediction model. ANN has proved
useful for a variety of biological, medical, economic and meteorological
purposes, and in agro-food science and technology. The olive oil industry has a
substantial weight in Mediterranean's economy. The different steps of the olive
oil production process, which include olive tree and fruit care, fruit harvest,
mechanical and chemical processing, and oil packaging have been examined in depth
with a view to their optimization, and so have the authenticity, sensory
properties and other quality-related properties of olive oil. This paper reviews
existing literature on the use of bioinformatics predictive methods based on ANN
in connection with the production, processing and characterization of olive oil.
It examines the state of the art in bioinformatics tools for optimizing or
predicting its quality with a view to identifying potential deficiencies or
aspects for improvement.
PMID- 29381391
TI - Do religious activities among young-old immigrants act as a buffer against the
effect of a lack of resources on well-being?
AB - OBJECTIVES: Despite a large body of sociological and psychological literature
suggesting that religious activities may mitigate the effects of stress, few
studies have investigated the beneficial effects of religious activities among
immigrants. Immigrants in particular may stand to benefit from these activities
because they often report a religious affiliation and often occupy disadvantaged
positions. This study investigates whether private and public religious
activities reduce the negative effects of a lack of physical, social, and socio
economic resources on wellbeing among Turkish and Moroccan young-old immigrants
in the Netherlands. METHOD: Using data from the Longitudinal Study Amsterdam,
cluster analysis revealed three patterns of absence of resources: physically
disadvantaged, multiple disadvantages, and relatively advantaged. Linear
regression analysis assessed associations between patterns of resources,
religious activities and wellbeing. RESULTS: Persons who are physically
disadvantaged or have multiple disadvantages have a lower level of wellbeing
compared to persons who are relatively advantaged. More engagement in private
religious activities was associated with higher wellbeing. Among those with
multiple disadvantages, however, more engagement in private religious activities
was associated with lower wellbeing. Public religious activities were not
associated with wellbeing in the disadvantaged group. CONCLUSION: Private
religious activities are positively related to wellbeing among Turkish and
Moroccan immigrants. In situations where resources are lacking, however, the
relation between private religious activities and wellbeing is negative. The
study's results highlight the importance of context, disadvantage and type of
religious activity for wellbeing.
PMID- 29381392
TI - Prognostic factors in childhood-acquired brain injury.
AB - BACKGROUND: A long-term follow-up study comparing children after anoxic brain
injury (AnBI) with those after traumatic brain injury (TBI) was conducted, and
prognostic factors were mapped. METHODS: A prospective historical study following
long-term functional outcome after childhood brain injury was conducted in two
phases. The first phase included patients suffering from moderate-severe TBI. The
second phase assessed children after AnBI, and the results were compared.
Functional outcome was recorded and factors influencing prognosis were outlined.
RESULTS: On admission vegetative state (VS) was twice as prevalent in the AnBI
subgroup. Approximately 90% of children with TBI and 60% of patients with AnBI
gained independency in activities of daily living (ADL) and mobility. Long-term
positive outcome, i.e., return to school and open-market employment, were higher
in patients with TBI when compared with AnBI (61% and 48.1%, respectively).
Significant outcome-predicting factors were VS at admission to rehabilitation,
length of loss of consciousness (LOC) up to 11 days and functional independence
measure (FIM) score at admission and discharge. Aetiology was not found to be a
predicting factor. CONCLUSIONS: Duration of unconsciousness is the main long-term
negative prognostic outcome factor. Anoxic brain damage, associated with longer
periods of unconsciousness also heralds a less favourable outcome.
PMID- 29381390
TI - Associations between subclinical depressive symptoms and reduced brain volume in
middle-aged to older adults.
AB - OBJECTIVES: The associations between subclinical depressive symptoms, as well
specific symptom subscales, on brain structure in aging are not completely
elucidated. This study investigated the extent to which depressive symptoms were
related to brain volumes in fronto-limbic structures in a sample of middle-aged
to older adults. METHOD: Eighty participants underwent structural neuroimaging
and completed the Beck Depression Inventory, 2nd Edition (BDI-II), which
comprises separate affective, cognitive, and somatic subscales. Gray matter
volumes were extracted from the caudal and rostral anterior cingulate, posterior
cingulate, hippocampus, and amygdala. Hierarchical regression models examined the
relationship between brain volumes and (i) total depressive symptoms and (ii) BDI
II subscales were conducted. RESULTS: After adjusting for total intracranial
volume, race, and age, higher total depressive symptoms were associated with
smaller hippocampal volume (p = 0.005). For the symptom subscales, after
controlling for the abovementioned covariates and the influence of the other
symptom subscales, more somatic symptoms were related to smaller posterior
cingulate (p = 0.025) and hippocampal (p < 0.001) volumes. In contrast, the
affective and cognitive subscales were not associated with brain volumes in any
regions of interest. CONCLUSION: Our data showed that greater symptomatology was
associated with smaller volume in limbic brain regions. These findings provide
evidence for preclinical biological markers of major depression and specifically
advance knowledge of the relationship between subclinical depressive symptoms and
brain volume. Importantly, we observed variations by specific depressive symptom
subscales, suggesting a symptom-differential relationship between subclinical
depression and brain volume alterations in middle-aged and older individuals.
PMID- 29381393
TI - SATB1 is a Novel Molecular Target for Cancer Therapy.
AB - The special AT-rich sequence binding-protein1 (SATB1) attracts excessive
attention due to its high expression in a variety of malignancies. SATB1
reprograms chromatin and transcription profiles to promote tumor cell growth and
invasion and inhibit apoptosis, leading to tumor progression and metastasis.
Consistently, silencing SATB1 with small interfering RNA inhibits the growth and
invasion of some kinds of tumors. In this review, we highlight recent progress in
our understanding of the role of SATB1 as global regulator of gene expression
during cancer development, and evaluate the potential of SATB1 as a molecular
therapeutic target for cancers with aberrant SATB1 expression.
PMID- 29381394
TI - Validation of a booted finite element model of the WIAMan ATD lower limb in
component and whole-body vertical loading impacts with an assessment of the boot
influence model on response.
AB - OBJECTIVE: A novel anthropomorphic test device (ATD) representative of the 50th
percentile male soldier is being developed to predict injuries to a vehicle
occupant during an underbody blast (UBB). The main objective of this study was to
develop and validate a finite element (FE) model of the ATD lower limb outfitted
with a military combat boot and to insert the validated lower limb into a model
of the full ATD and simulate vertical loading experiments. METHODS: A Belleville
desert combat boot model was assigned contacts and material properties based on
previous experiments. The boot model was fit to a previously developed model of
the barefoot ATD. Validation was performed through 6 matched pair component tests
conducted on the Vertically Accelerated Loads Transfer System (VALTS). The load
transfer capabilities of the FE model were assessed along with the force
mitigating properties of the boot. The booted lower limb subassembly was then
incorporated into a whole-body model of the ATD. Two whole-body VALTS experiments
were simulated to evaluate lower limb performance in the whole body. RESULTS: The
lower limb model accurately predicted axial loads measured at heel, tibia, and
knee load cells during matched pair component tests. Forces in booted simulations
were compared to unbooted simulations and an amount of mitigation similar to that
of experiments was observed. In a whole-body loading environment, the model
kinematics match those recorded in experiments. The shape and magnitude of
experimental force-time curves were accurately predicted by the model.
Correlation between the experiments and simulations was backed up by high
objective rating scores for all experiments. CONCLUSION: The booted lower limb
model is accurate in its ability to articulate and transfer loads similar to the
physical dummy in simulated underbody loading experiments. The performance of the
model leads to the recommendation to use it appropriately as an alternative to
costly ATD experiments.
PMID- 29381395
TI - Differential expression of miRNAs are associated with the insulin signaling
pathway in preeclampsia and gestational hypertension.
AB - OBJECTIVES: The objective of this study was to determine microRNAs (miRNAs)
expression levels in placental tissue and serum samples from preeclampsia (PE)
and gestational hypertensive (GH) patients. STUDY DESIGN: Using a targeted qPCR
method, the selected miRNAs putatively involved in the PE and GH were examined
from normotensive (n = 32), PE (n = 32) and GH (n = 28) in South African women.
Western blot analysis of protein expressions of AKT and PI3K was performed in the
placental tissue of all three groups. RESULTS: qPCR results of serum miR-222
expression levels showed a significant decrease in PE compared to GH and
normotensive groups. miR-29a expression levels were significantly increased in PE
and GH groups compared to normotensives. Serum expression levels of miR-181a in
GH showed a significant increase compared to the PE and normotensive groups.
Placental tissue expression levels of miR-181a were significantly increased in PE
and GH groups compared to normotensives. Western blot results of placental tissue
showed a decrease in the expression levels of AKT-serine and threonine in the PE
groups compared to the normotensives and a significantly higher expression in the
GH groups compared to normotensives. Phosphatidyl-inositol-3 kinase (PI3K)
expression levels were significantly decreased in PE and GH groups compared to
normotensives. CONCLUSION: The present study, interestingly, demonstrates the
differential expression of circulating miRNA in GH and a correlation between the
expression levels of miRNAs with AKT/PI3K in the insulin signaling pathway,
reinforcing the presence of metabolic dysregulation in PE and GH.
PMID- 29381396
TI - Diffuse axonal injury after traumatic brain injury is a prognostic factor for
functional outcome: a systematic review and meta-analysis.
AB - OBJECTIVE: To determine the prognosis of adult patients with traumatic brain
injury (TBI) and diffuse axonal injury (DAI). METHODS: Online search (PubMed,
Embase and Ovid Science Direct) of articles providing information about outcome
in (1) patients with DAI in general, (2) DAI vs. non-DAI, (3) related to magnetic
resonance imaging (MRI) classification and (4) related to lesion location/load. A
reference check and quality assessment were performed. RESULTS: A total of 32
articles were included. TBI patients with DAI had a favourable outcome in 62%.
The risk of unfavourable outcome in TBI with DAI was three times higher than in
TBI without DAI. Odds ratio (OR) for unfavourable outcome was 2.9 per increase of
DAI grade on MRI. Lesions located in the corpus callosum were associated with an
unfavourable outcome. Other specific lesion locations and lesions count showed
inconsistent results regarding outcome. Lesion volume was predictive for outcome
only on apparent diffusion coefficient and fluid attenuation inversion recovery
MRI sequences. CONCLUSIONS: Presence of DAI on MRI in patients with TBI results
in a higher chance of unfavourable outcome. With MRI grading, OR for unfavourable
outcome increases threefold with every grade. Lesions in the corpus callosum in
particular are associated with an unfavourable outcome.
PMID- 29381397
TI - Surveillance of traffic incident management-related occupational fatalities in
Kentucky, 2005-2016.
AB - OBJECTIVE: Traffic incidents occurring on roadways require the coordinated effort
of multiple responder and recovery entities, including communications, law
enforcement, fire and rescue, emergency medical services, hazardous materials,
transportation agencies, and towing and recovery. The objectives of this study
were to (1) identify and characterize transportation incident management (TIM)
related occupational fatalities; (2) assess concordance of surveillance data
sources in identifying TIM occupations, driver vs. pedestrian status, and
occupational fatality incident location; and (3) determine and compare U.S.
occupational fatality rates for TIM industries. METHODS: The Kentucky Fatality
Assessment and Control Evaluation (FACE) program analyzed 2005-2016 TIM
occupational fatality data using multiple data sources: death certificate data,
Collision Report Analysis for Safer Highways (CRASH) data, and media reports,
among others. Literal text analysis was performed on FACE data, and a multiple
linear regression model and SAS proc sgpanel were used to estimate and visualize
the U.S. TIM occupational mortality trend lines and confidence bounds. RESULTS:
There were 29 TIM fatalities from 2005 to 2015 in Kentucky; 41% of decedents were
in the police protection occupation, and 21% each were in the fire protection and
motor vehicle towing industries. Over one half of the TIM decedents were
performing work activities as pedestrians when they died. Media reports
identified the majority of the occupational fatalities as TIM related (28 of 29
TIM-related deaths); the use of death certificates as the sole surveillance data
source only identified 17 of the 29 deaths as TIM related, and the use of CRASH
data only identified 4 of the 29 deaths as TIM related. Injury scenario text
analysis showed that law enforcement vehicle pursuit, towing and recovery vehicle
loading, and disabled vehicle response were particular high-risk activities that
led to TIM deaths. Using U.S. data, the motor vehicle towing industry had a
significantly higher risk for occupational mortality compared to the fire
protection and police protection industries. CONCLUSIONS: Multiple data sources
are needed to comprehensively identify TIM fatalities and to examine the
circumstances surrounding TIM fatalities, because no one data source in itself
was adequate and undercounted the total number of TIM fatalities. The motor
vehicle towing industry, in particular, is at elevated risk for occupational
mortality, and targeted mandatory TIM training for the motor vehicle towing
industry should be considered. In addition, enhanced law enforcement roadside
safety training during vehicle pursuit and apprehension of suspects is
recommended.
PMID- 29381398
TI - The Activity of Class I-IV Alcohol Dehydrogenase Isoenzymes and Aldehyde
Dehydrogenase in Bladder Cancer Cells.
AB - OBJECTIVES: The aim of this study was to determine the differences in the
activity of Alcohol Dehydrogenase (ADH) isoenzymes and Aldehyde Dehydrogenase
(ALDH) in normal and cancerous bladder cells. METHODS: Class III, IV of ADH and
total ADH activity were measured by the photometric method and class I, II ADH
and ALDH activity by the fluorometric method. RESULTS: Significantly higher total
activity of ADH was found in both, low-grade and high-grade bladder cancer, in
comparison to healthy tissues. CONCLUSION: The increased activity of total ADH in
bladder cancer cells may be the cause of metabolic disorders in cancer cells,
which may intensify carcinogenesis.
PMID- 29381399
TI - Health literacy, emotionality, scientific evidence: Elements of an effective
communication in public health.
AB - The importance of healthcare providers' communication abilities is still
underestimated. Informing the population on the basis of documented evidence is
essential but not enough to induce a change in the beliefs of who is doubtful or
does not accept preventive interventions, such as vaccination. Lining up the
offer of prevention to the knowledge of the citizens, also improving Health
Literacy skills, is a critical step toward their empowerment and behavior change.
The 2017 Erice Declaration was drafted to propose to the Institutions and the
scientific community the main goals to improve communication and counteract
Vaccine Hesitancy, at a very critical time, when mandatory vaccination was
introduced in Italy.
PMID- 29381400
TI - Knockdown of CXCR4 Inhibits CXCL12-Induced Angiogenesis in HUVECs through
Downregulation of the MAPK/ERK and PI3K/AKT and the Wnt/beta-Catenin Pathways.
AB - CXCL12 is an extracellular chemokine binding to cell surface receptor CXCR4. We
found that activation of CXCL12/CXCR4 axis stimulated angiogenesis in endothelial
cells. Knockdown of CXCR4 in endothelial cells prevented the branch points of
angiogenesis. Endothelial cells exposed to CXCL12 presented high level of
epidermal growth factor receptor (EGFR), vascular endothelial growth factor
(VEGF), and matrix metalloproteinase MMP-2, but not in CXCR4 knockdown cells.
Further studies revealed that activation of CXCL12/CXCR4 axis in vascular
endothelial cells stimulates the angiogenesis through upregulation of the
MAPK/ERK and PI3K/AKT and Wnt/beta-catenin pathways. Conclusion, downregulation
of CXCR4 could inhibit angiogenesis in cancer tissues.
PMID- 29381401
TI - Noninvasive Prenatal Testing of Rare Autosomal Aneuploidies by Semiconductor
Sequencing.
AB - Rare autosomal aneuploidies (RAAs) can cause miscarriage or other pregnancy
complications and lead to inconsistent results of noninvasive prenatal testing
(NIPT), but many NIPT providers have not yet started to provide related services.
Our aim was to develop a semiconductor sequencing platform (SSP)-based method for
detecting RAAs when pregnant women performed NIPT. Fifty-three aneuploidy samples
with verified karyotyping or array comparative genomic hybridization (aCGH)
results were collected and subjected to RAAs detection using an SSP to develop a
method by genomic sequencing. Various trisomies on all chromosomes other than
chromosomes 17 and 19, four multiple aneusomies, one monosomy and five sex
chromosome abnormalities were got by our method which can directly identify RAAs
via a z-score. Then, artificial mixtures of 10% and 5% DNA were created by adding
fragmented fifty-three tissue samples and used in an NIPT simulation to develop a
bioinformatics analysis method which can use in NIPT. And the results were in
accordance with those of karyotyping and aCGH. Therefore, our method has
potential for use in NIPT. Finally, 23,823 clinical plasma samples were tested to
verify the performance of our approach. Karyotyping or aCGH was performed on the
positive clinical samples. In total, 188 of 23,823 clinical samples were positive
(T2, n = 1; T7, n = 1; T13, n = 15; T18, n = 45; T21, n = 125; and multiple
aneusomies, n = 1) and verified by karyotyping or aCGH; no sample was a false
negative. Several false positives were detected, one of which showed maternal
copy number variation (CNV). One case of multiple aneusomies was caused by a
maternal tumor. The method developed enables detection of RAAs without increasing
costs.
PMID- 29381402
TI - Comparison of baseline ImPACT performance in amateur motocross riders to football
and basketball athletes.
AB - PRIMARY OBJECTIVE: The American Motorcyclist Association requires professional
riders to undergo baseline computerized neurocognitive testing (CNT) using the
Immediate Post-Concussion Assessment and Cognitive Testing (ImPACT) test for
concussion management. It is recommended this practice be expanded to the amateur
level, but limited research has explored whether baseline testing is necessary
for youth when normative data is available. This study evaluates the utility of
baseline testing for amateur riders by comparing their performance to those of
traditional youth sports comprising normative datasets. DESIGN/METHODS: An cross
sectional study comparing amateur motocross (N = 100) riders matched by age and
sex to football (N = 100) and basketball (N = 100) athletes performance on
baseline ImPACT testing. RESULTS: ANCOVAs revealed a significant medium effect of
group on measures of visual motor speed (F = 11.25, p < 0.001) and reaction time
(F = 13.61, p < 0.001). Post hoc analyses revealed that motocross riders were
significantly slower compared to football and basketball athletes. There were no
significant differences (p > .05) between sport on measures of memory or
symptoms. CONCLUSIONS: Youth motocross riders performed significantly slower on
speed measures compared to football and basketball athletes, providing
preliminary support for the expansion of baseline ImPACT testing to the amateur
level.
PMID- 29381403
TI - Dietary sodium to potassium ratio and the incidence of hypertension and
cardiovascular disease: A population-based longitudinal study.
AB - OBJECTIVE: There is an interaction between dietary sodium/potassium intake in the
pathogenesis of hypertension (HTN) and cardiovascular disease (CVD). The aim of
this study was to investigate the association of dietary sodium to potassium
(Na/K) ratio and the risk of HTN and CVD in a general population of Iranian
adults. METHODS: In this prospective cohort study, adults men and women with
complete baseline data were selected from among participants of the Tehran Lipid
and Glucose Study and were followed up for 6.3 years for incidence of HTN and CVD
outcomes. Dietary sodium and potassium were assessed using a valid and reliable
168-item food frequency questionnaire. Cox proportional hazards regression models
were used to estimate hazard ratios (HRs) and 95% confidence intervals (CIs) for
the association between dietary sodium, potassium and their ratio and risk of
outcomes. RESULTS: During the study follow-up, 291 (15.1%) and 79 (5.0%) new
cases of HTN and CVD were identified, respectively. No significant association
was observed between usual intakes of sodium, potassium and dietary Na/K ratio
with the incidence of HTN. There was no significant association between dietary
intakes of sodium and potassium per se and the risk of CVD, whereas when dietary
sodium to potassium ratio was considered as exposure in the fully-adjusted Cox
regression model, and participants in the highest compared to lowest tertile had
a significantly increased risk of CVD (HR = 2.19, 95% CI = 1.16-4.14).
CONCLUSIONS: Our findings suggest that high dietary Na/K ratio could contribute
to increased risk of CVD events.
PMID- 29381405
TI - The influences of cholecystectomy on the circadian rhythms of bile acids as well
as the enterohepatic transporters and enzymes systems in mice.
AB - : Bile acids (BAs), the most important endogenous and signaling molecules
regulate the target transporters and enzymes at transcriptional level,
participate in a wide variety of processes throughout the entire gastrointestinal
tract to orchestrate homeostasis in vivo. BAs and their metabolism and
transportation appear to follow the clear circadian rhythms, and they are
recently proposed also as the potential chronobiological signals that can affect
the molecular clock mechanism. Cholecystectomy are believed to affect the
circadian rhythms of BAs and the relevant enterohepatic transporters and enzymes
systems and their regulatory signaling pathways, for the reason that the
circadian cycle of gallbladder filling and emptying play a pivotal role in
controlling the flow of bile into the intestine and the enterohepatic circulation
of BAs. Here, in the present study, the circadian rhythms about BAs concentration
and composition and the mRNA expression of genes involved in BAs transportation,
metabolism and regulation in liver and ileum of mice with or without gallbladder
were evaluated. As a result, it has been found that, mice with gallbladder
exhibited significant and distinct circadian oscillations in BAs concentration,
mRNA expression of enterohepatic transporters and enzymes systems and farnesoid X
receptor-mediated regulatory pathways both in liver and ileum during gallbladder
emptying period (1:00 AM and 1:00 PM), despite food was restricted during these
periods; the circadian rhythmicity of BAs pool and hepatic and ileal BAs
diminished but the BAs composition had no significant alteration in liver and
ileum after cholecystectomy as compared with sham-operated mice; in parallel to
the alteration of BAs levels in liver and ileum after cholecystectomy, the
day/night circadian oscillations in the mRNA expression of the relevant
transporting and metabolic genes and the farnesoid X receptor signaling pathway
mediated a?oeintestine-livera? regulatory axis also shifted. In conclusion, the
BAs concentration and the corresponding genes exhibit significant circadian
rhythms in mice with gallbladder, and the circadian oscillations of most of the
investigation factors are flattened and altered following by cholecystectomy,
which could mainly ascribe to the disappearance of the filling and emptying cycle
of gallbladder and might result in pathological states or drug chronopharmacology
alternation. We expect that this study would provide a cue for patients with
cholecystectomy. ABBREVIATIONS: Asbt: apical sodium-dependent bile acids
transporter; AUC24h: area under the 24-hour BA concentration time curve; BAs:
bile acids; Bsep: bile salt export pump; beta-MCA: beta-muricholic acid; CA:
cholic acid; CDCA: chenodeoxycholic acid; Cyp3a11: cytochrome P450 3a11 (human
CYP3A4); Cyp7a1: cholesterol 7alpha-hydroxylase cytochrome P450 7a1; DCA:
deoxycholic acid; Fxr: farnesoid X receptor; Fgf15: fibroblast growth factor 15;
G-: glycine conjugated bile acid; HDCA: hyodesoxycholic acid; LCA: lithocholic
acid; Mrp2: multidrug resistance-associated protein 2; NDCA: demethylation
deoxycholic acid; Ntcp: Na+-taurocholate co-transporting polypeptide; Oatp2:
organic anion transporting polypeptide 2; Ostalpha/beta: heterodimeric organic
solute transporters alpha and beta; Shp: small heterodimer partner; T-: taurine
conjugated bile acid; UDCA: ursodeoxycholic acid.
PMID- 29381404
TI - Agreement in DNA methylation levels from the Illumina 450K array across batches,
tissues, and time.
AB - Epigenome-wide association studies (EWAS) have focused primarily on DNA
methylation as a chemically stable and functional epigenetic modification.
However, the stability and accuracy of the measurement of methylation in
different tissues and extraction types is still being actively studied, and the
longitudinal stability of DNA methylation in commonly studied peripheral tissues
is of great interest. Here, we used data from two studies, three tissue types,
and multiple time points to assess the stability of DNA methylation measured with
the Illumina Infinium HumanMethylation450 BeadChip array. Redundancy analysis
enabled visual assessment of agreement of replicate samples overall and showed
good agreement after removing effects of tissue type, age, and sex. At the probe
level, analysis of variance contrasts separating technical and biological
replicates clearly showed better agreement between technical replicates versus
longitudinal samples, and suggested increased stability for buccal cells versus
blood or blood spots. Intraclass correlations (ICCs) demonstrated that inter
individual variability is of similar magnitude to within-sample variability at
many probes; however, as inter-individual variability increased, so did ICC.
Furthermore, we were able to demonstrate decreasing agreement in methylation
levels with time, despite a maximal sampling interval of only 576 days. Finally,
at 6 popular candidate genes, there was a large range of stability across probes.
Our findings highlight important sources of technical and biological variation in
DNA methylation across different tissues over time. These data will help to
inform longitudinal sampling strategies of future EWAS.
PMID- 29381407
TI - Premature birth and circadian preference in young adulthood: evidence from two
birth cohorts.
AB - A preference for eveningness (being a "night owl") and preterm birth (<37 weeks
of gestation) are associated with similar adversities, such as elevated blood
pressure, impaired glucose regulation, poorer physical fitness, and lower mood.
Yet, it remains unclear if and how preterm birth is associated with circadian
preference. The aim of this study was to assess this association across the whole
gestation range, using both objective and subjective measurements of circadian
preference. Circadian preference was measured among 594 young adults (mean age
24.3 years, SD 1.3) from two cohorts: the ESTER study and the Arvo Ylppo
Longitudinal Study. We compared 83 participants born early preterm (<34 weeks)
and 165 late preterm (34 to <37 weeks) with those born at term (>=37 weeks, n =
346). We also compared very low birth weight (VLBW, <1500 g) participants with
term-born controls. We obtained objective sleep data with actigraphs that were
worn for a mean period of 6.8 (SD 1.4) nights. Our primary outcome was sleep
midpoint during weekdays and weekend. The sleep midpoint is the half-way time
between falling asleep and waking up, and it represents sleep timing. We also
investigated subjective chronotype with the Morningness-Eveningness Questionnaire
(MEQ) in 688 (n = 138/221/329) ESTER participants. The MEQ consists of 19
questions, which estimates the respondent to be of a "morning", "evening," or
"intermediate" chronotype, based on the Morningness-Eveningness Score (MES). We
analyzed the data from the actigraphs and the MES with three linear regression
models, and analyzed distribution of the chronotype class with Pearson chi2.
There were no consistent differences across the study groups in sleep midpoint.
As compared with those born at term, the mean differences in minutes:seconds and
95% confidence intervals for the sleep midpoint were: early preterm weekdays
11:47 (-8:34 to 32:08), early preterm weekend 4:14 (-19:45 to 28:13), late
preterm weekdays -10:28 (-26:16 to 5:21), and late preterm weekend -1:29 (-20:36
to 17:37). There was no difference in sleep timing between VLBW-participants and
controls either. The distribution of chronotype in the MEQ among all participants
was 12.4% morningness, 65.4% intermediate, and 22.2% eveningness. The
distribution of the subjective chronotype class did not differ between the three
gestational age groups (p = 0.98). The linear regression models did not show any
influence of gestational age group or VLBW status on the MES (all p > 0.5). We
found no consistent differences between adults born early or late preterm and
those born at term in circadian preference. The earlier circadian preference
previously observed in those born smallest is unlikely to extend across the whole
range of preterm birth.
PMID- 29381408
TI - Monte Carlo simulations used to calculate the energy deposited in the coronary
artery lumen as a function of iodine concentration and photon energy.
AB - PURPOSE: To better understand the risks of cumulative medical X-ray
investigations and the possible causal role of contrast agent on the coronary
artery wall, the correlation between iodinated contrast media and the increase of
energy deposited in the coronary artery lumen as a function of iodine
concentration and photon energy is investigated. MATERIALS AND METHODS: The
calculations of energy deposition have been performed using Monte Carlo (MC)
simulation codes, namely PENetration and Energy LOss of Positrons and Electrons
(PENELOPE) and Monte Carlo N-Particle eXtended (MCNPX). Exposure of a cylinder
phantom, artery and a metal stent (AISI 316L) to several X-ray photon beams were
simulated. RESULTS AND DISCUSSION: For the energies used in cardiac imaging the
energy deposited in the coronary artery lumen increases with the quantity of
iodine. Monte Carlo calculations indicate a strong dependence of the energy
enhancement factor (EEF) on photon energy and iodine concentration. The maximum
value of EEF is equal to 25; this factor is showed for 83 keV and for 400 mg
Iodine/mL. No significant impact of the stent is observed on the absorbed dose in
the artery for incident X-ray beams with mean energies of 44, 48, 52 and 55 keV.
CONCLUSION: A strong correlation was shown between the increase in the
concentration of iodine and the energy deposited in the coronary artery lumen for
the energies used in cardiac imaging and over the energy range between 44 and 55
keV. The data provided by this study could be useful for creating new medical
imaging protocols to obtain better diagnostic information with a lower level of
radiation exposure.
PMID- 29381406
TI - Infection with enteric pathogens Salmonella typhimurium and Citrobacter rodentium
modulate TGF-beta/Smad signaling pathways in the intestine.
AB - Salmonella and Citrobacter are gram negative, members of Enterobacteriaceae
family that are important causative agents of diarrhea and intestinal
inflammation. TGF-beta1 is a pleiotropic multifunctional cytokine that has been
implicated in modulating the severity of microbial infections. How these
pathogens alter the TGF-beta1 signaling pathways in the intestine is largely
unknown. Streptomycin-pretreated C57BL/6J mouse model colonized with S.
typhimurium for 8 hours (acute) and 4 days (chronic) infection and FVB/N mice
infected with C. rodentium for 6 days were utilized. Results demonstrated an
increase in TGF-beta1 receptor I expression (p<0.05) in S. typhimurium infected
mouse ileum at both acute and chronic post-infection vs control. This was
associated with activation of Smad pathways as evidenced by increased
phosphorylated (p)-Smad2 and p-Smad3 levels in the nucleus. The inhibitory Smad7
mRNA levels showed a significant up regulation during acute phase of Salmonella
infection but no change at 4d post-infection. In contrast to Salmonella,
infection with Citrobacter caused drastic downregulation of TGF receptor I and II
concomitant with a decrease in levels of Smad 2, 3, 4 and 7 expression in the
mouse colon. We speculate that increased TGF-beta1 signaling in response to
Salmonella may be a host compensatory response to promote mucosal healing; while
C. rodentium decreases TGF-beta1 signaling pathways to promote inflammation and
contribute to disease pathogenesis. These findings increase our understanding of
how enteric pathogens subvert specific aspects of the host-cellular pathways to
cause disease.
PMID- 29381409
TI - Complete ophthalmoplegia in Ipilmumab and Nivolumab combination treatment for
metastatic melanoma.
AB - Ipilimumab and Nivolumab are novel monoclonal antibodies that have recently been
used successfully for treatment of metastatic melanoma. Ipilimumab is a human
monoclonal antibody against Cytotoxic T Lymphocyte Antigen 4 (CTLA4) receptor,
which suppresses T-cell proliferation and stimulates an inflammatory response
against cancer cells. Nivolumab is an IgG4 monoclonal antibody against the
cytotoxic T lymphocyte associated programmed death 1 receptor (PD-1). Ipilimumab
and Nivolumab combination treatment has been shown to induce remission and
prolong survival in patients with metastatic melanoma. The side effect profile of
these medications has not been well studied. One entity of the side effects
reported in the literature is immune-related adverse events (irAEs). There have
been few case reports where these events were serious and irreversible. In this
case report, we describe a fatal and severe diffuse panmyositis that involved the
cardiac, respiratory, and extraocular muscles in a patient with metastatic
melanoma secondary to combination treatment with Ipilimumab/Nivolumab.
PMID- 29381410
TI - What Does a Cancer Diagnosis Mean? Public Expectations in a Shifting Therapeutic
Environment.
PMID- 29381411
TI - Relationship Between Objective Financial Burden and the Health-Related Quality of
Life and Mental Health of Patients With Cancer.
AB - PURPOSE: The high and increasing costs of cancer care can lead to financial
burden for patients and their families. However, no study has specifically
examined the association between objective measures of financial burden and the
health-related quality of life (HRQOL) and psychological health of patients with
cancer. METHODS: Data on patients with cancer were obtained from the 2010 to 2014
Medical Expenditure Panel Survey. High financial burden was defined as a ratio of
annual family out-of-pocket health care expenditures to family income exceeding
either 10% or 20%. Multivariable linear regressions were used to estimate the
relationship between high financial burden and patient HRQOL, nonspecific
psychological distress, and depressed mood. RESULTS: Of 6,799 patients with
cancer, 15% and 6% experienced high financial burden exceeding 10% and 20% of
family income, respectively. Compared with those without high financial burden,
patients with cancer with high financial burden were more likely to have
significantly lower HRQOL and a greater tendency toward nonspecific psychological
distress. Higher levels of financial burden were associated with lower HRQOL,
with a stronger relationship observed with physical health than with mental
health and a greater tendency toward nonspecific psychological distress.
Depressed mood was not significantly associated with high financial burden.
CONCLUSION: High financial burden among patients with cancer was significantly
associated with lower HRQOL and poor mental health. Along with efforts to reduce
health care costs for cancer survivors, additional interventions are necessary to
ensure the HRQOL and psychological health of cancer survivors.
PMID- 29381413
TI - Effectivity of Biophysical Barriers in Peridural Fibrosis.
PMID- 29381412
TI - Price of Cancer Care and Its Tax on Quality of Life.
PMID- 29381414
TI - A simple mathematical method to estimate ammonia emission from in-house
windrowing of poultry litter.
AB - In-house windrowing between flocks is an emerging sanitary management practice to
partially disinfect the built-up litter in broiler houses. However, this practice
may also increase ammonia (NH3) emission from the litter due to the increase in
litter temperature. The objectives of this study were to develop mathematical
models to estimate NH3 emission rates from broiler houses practicing in-house
windrowing between flocks. Equations to estimate mass-transfer areas form
different shapes windrowed litter (triangular, rectangular, and semi-cylindrical
prisms) were developed. Using these equations, the heights of windrows yielding
the smallest mass-transfer area were estimated. Smaller mass-transfer area is
preferred as it reduces both emission rates and heat loss. The heights yielding
the minimum mass-transfer area were 0.8 and 0.5 m for triangular and rectangular
windrows, respectively. Only one height (0.6 m) was theoretically possible for
semi-cylindrical windrows because the base and the height were not independent.
Mass-transfer areas were integrated with published process-based mathematical
models to estimate the total house NH3 emission rates during in-house windrowing
of poultry litter. The NH3 emission rate change calculated from the integrated
model compared well with the observed values except for the very high NH3 initial
emission rate from mechanically disturbing the litter to form the windrows. This
approach can be used to conveniently estimate broiler house NH3 emission rates
during in-house windrowing between flocks by simply measuring litter
temperatures.
PMID- 29381415
TI - Attractive Serial Dependence in the Absence of an Explicit Task.
AB - Attractive serial dependence refers to an adaptive change in the representation
of sensory information, whereby a current stimulus appears to be similar to a
previous one. The nature of this phenomenon is controversial, however, as serial
dependence could arise from biased perceptual representations or from biased
traces of working memory representation at a decisional stage. Here, we
demonstrated a neural signature of serial dependence in numerosity perception
emerging early in the visual processing stream even in the absence of an explicit
task. Furthermore, a psychophysical experiment revealed that numerosity
perception is biased by a previously presented stimulus in an attractive way, not
by repulsive adaptation. These results suggest that serial dependence is a
perceptual phenomenon starting from early levels of visual processing and
occurring independently from a decision process, which is consistent with the
view that these biases smooth out noise from neural signals to establish
perceptual continuity.
PMID- 29381416
TI - Removal of fluoride from wastewater using HCl-treated activated alumina in a
ribbed hydrocyclone separator.
AB - Excessive fluoride concentration in wastewater is a major health concern
worldwide. The main objective of wastewater treatment is to allow industrial
effluents to be disposed of without danger to the human health and the natural
environment. In this current study, experiments have been conducted to remove
fluoride from aqueous solution using alumina and HCl (Hydrochloric acid) treated
activated alumina in a continuous mode. A spiral rib was introduced in the
cylindrical part of the conventional hydrocyclone to increase the performance,
and the new hydrocyclone is dubbed as ribbed hydrocyclone. Experiments were
carried out to analyze the performance of the ribbed hydrocyclone and compared
the results with the conventional hydrocyclone of the same dimension. The
efficiency of conventional and ribbed hydrocyclone at a slurry flow rate of 50
LPM (liter per minute) for the solid concentration of 1.4 wt% were 80% and 93.5%
respectively. The cut size d50 of the conventional and ribbed hydrocyclone was 18
um and 13 um respectively at a slurry velocity of 50 LPM. Fluoride removal
efficiency using alumina and HCl-treated alumina was also investigated in a
continuous mode by the ribbed hydrocyclone. Maximum fluoride removal efficiency
was 49.5%, and 80% for alumina and HCl-treated alumina for the initial
concentration of 10 mg/L at a slurry flow rate of 50 LPM.
PMID- 29381417
TI - Copper nanoparticles toxicity: Laboratory strains verses environmental bacterial
isolates.
AB - Nanoparticles have emerged as significant environmental contaminants and their
impact has been studied using laboratory strains of bacteria. This study focuses
on investigating the response of environmental isolate and laboratory strains of
E. coli to 50 and 100 nm size of copper nanoparticles (CuNPs). The laboratory
cultures included pathogenic and non-pathogenic strains. The environmental
isolate and the non-pathogenic E. coli strain showed different inactivation
patterns. After 2 h exposure to 50 nm CuNPs, the environmental isolate and the
lab strain of E. coli lost 7.22 and 6.47 log; whereas the reduction of 6.16 and
6.68 log resulted after exposure to 100 nm CuNPs, respectively. The pathogenic E.
coli O157:H7 exposed to 50 and 100 nm CuNPs for 2 h resulted in 5.24 and 6.54 log
reduction, respectively. Although the environmental isolate and the laboratory
strains of E. coli showed similar inactivation trends; they exhibited different
toxicity elicitation mechanisms after exposure to the CuNPs. The pathogenic and
non-pathogenic strains elicited significantly different levels of glutathione
reductase (GR) activities, an enzyme critical for protection against radicals.
Similarly, the environmental isolate and the lab strains of E. coli exhibited
opposite trend in GR activities. These results clearly indicate divergence in the
toxicity elicitation in the environmental isolate versus the laboratory strains
from exposure to CuNPs, which highlights the need for an in-depth investigation
of the impact of NPs on the biological processes and long-term effect of high
load of NPs on the stability of aquatic and terrestrial ecologies.
PMID- 29381418
TI - Regulatory T cells (Tregs): A major immune checkpoint to consider in
combinatorial therapeutic HIV-1 vaccines.
AB - The field of immunotherapeutics is living an exceptional time as new antibodies
that take brakes off T-cells and unleash them on tumours are being approved by
the US-Food and Drug Administration (FDA). For the design and development of an
HIV-1 therapeutic-vaccine, one would need preferably to shift the balance T
effectors/T-regulatory cells (Teff/Tregs) towards effectors to improve vaccine
specific immune-responses. Given the success with the new immune-checkpoint
blockers (ICB), it is an appropriate time for HIV-1 field to seize this
opportunity and develop new therapeutic vaccine-strategies that take into
consideration ICB and other immunomodulators such as cytokines. While the vaccine
is important to stimulate HIV-1-specific T-cell responses, cytokines will support
the expansion of the stimulated virus-specific T-cells and ICB will reverse
exhaustion and unchain cytotoxic T-cells. In this commentary, we will spotlight
Tregs as another major brake for T-cell immunity and address the main stumbling
blocks that often blurs HIV-1-specific-Tregs status with regards to their role
(beneficial or detrimental) and we will recall some proof-of-concept studies
where therapeutic immunization skewed the HIV-1-specific response from Tregs to
Teffs which impacts on the magnitude of viral replication. We will also suggest
some strategies to shift the balance towards Teffs and potentiate HIV-1-specific
immune-responses.
PMID- 29381420
TI - Euhaplorchis californiensis Cercariae Exhibit Positive Phototaxis and Negative
Geotaxis.
AB - Parasites often use external cues to identify and move toward environments where
they are likely to encounter suitable hosts. The trematode parasite Euhaplorchis
californiensis produces cercariae that emerge from California horn snails (
Cerithideopsis californica [= Cerithidea californica]) to infect California
killifish ( Fundulus parvipinnis) as second intermediate hosts. Based upon work
on a congeneric Euhaplorchis species from Florida, and based on the ecology of
its killifish host, we hypothesized that E. californiensis cercariae in southern
California estuaries are positively phototactic and negatively geotactic, using
both sunlight and gravity to guide their movement to the upper water column. To
distinguish positive phototaxis from negative geotaxis, we first quantified E.
californiensis movement in response to light along a horizontal plane and
determined they were positively phototactic. In a second experiment, we
quantified E. californiensis movement along a vertical plane in response to an
overhead light, a light from below, or no light. We found that E. californiensis
exhibit negative geotaxis in the absence of light, but will swim in the direction
of gravity to move toward a light source from below. Thus, E. californiensis are
both positively phototactic and negatively geotactic, but cercariae prioritize
phototactic cues. These results suggest that E. californiensis cercariae
aggregate in the open water, indicating that the pelagic zone represents an area
of high infection risk for California killifish hosts.
PMID- 29381419
TI - Evaluation of collagenase gold plus BP protease in isolating islets from human
pancreata.
AB - Selection of enzymes for optimal pancreas digestion is essential for successful
human islet isolations. The aim of this study was to evaluate the efficacy and
outcome of using Collagenase Gold plus BP protease (VitaCyte) (n = 8) by
comparing it to two commercially available enzymes, Liberase MTF C/T (Roche) (n =
48) and Collagenase NB1/NP (Serva) (n = 15). The isolation outcomes were assessed
by islet counting, viability, glucose-stimulated oxygen consumption rate (OCR),
and successful graft-rate following transplantation in diabetic NOD scid mice.
The pancreas donor characteristics were not significantly different between the
tested enzyme groups regarding their BMI, pancreas weight, cold ischemia time
(CIT) and HbA1c. The results show that digested tissue volume was not
statistically significant between the VitaCyte enzyme (34.25 +/- 5.4 mL) and the
Roche enzyme (55.25 +/- 3.42 mL, p = 0.073), however, this was significant with
Serva enzyme (64.07 +/- 7.95 mL, p = 0.020). Interestingly, the islet yields were
not statistically different between all enzyme groups. Moreover, when islets were
transplanted into NOD scid mice, the reversal rate of diabetes for the VitaCyte
enzyme group was similar to all enzyme groups. In conclusion, the effectiveness
of Collagenase Gold plus BP protease is comparable to the MTF C/T and the
Collagenase NB1/NP enzymes; the low cost could facilitate the use of more
pancreata for islet isolations.
PMID- 29381421
TI - Mild hypothermic culture conditions affect residual host cell protein composition
post-Protein A chromatography.
AB - Host cell proteins (HCPs) are endogenous impurities, and their proteolytic and
binding properties can compromise the integrity, and, hence, the stability and
efficacy of recombinant therapeutic proteins such as monoclonal antibodies
(mAbs). Nonetheless, purification of mAbs currently presents a challenge because
they often co-elute with certain HCP species during the capture step of protein A
affinity chromatography. A Quality-by-Design (QbD) strategy to overcome this
challenge involves identifying residual HCPs and tracing their source to the
harvested cell culture fluid (HCCF) and the corresponding cell culture operating
parameters. Then, problematic HCPs in HCCF may be reduced by cell engineering or
culture process optimization. Here, we present experimental results linking cell
culture temperature and post-protein A residual HCP profile. We had previously
reported that Chinese hamster ovary cell cultures conducted at standard
physiological temperature and with a shift to mild hypothermia on day 5 produced
HCCF of comparable product titer and HCP concentration, but with considerably
different HCP composition. In this study, we show that differences in HCP variety
at harvest cascaded to downstream purification where different residual HCPs were
present in the two sets of samples post-protein A purification. To detect low
abundant residual HCPs, we designed a looping liquid chromatography-mass
spectrometry method with continuous expansion of a preferred, exclude, and
targeted peptide list. Mild hypothermic cultures produced 20% more residual HCP
species, especially cell membrane proteins, distinct from the control.
Critically, we identified that half of the potentially immunogenic residual HCP
species were different between the two sets of samples.
PMID- 29381422
TI - Hodgkin Lymphoma revealed by epidural spinal cord compression.
AB - CONTEXT: Hodgkin Lymphoma is rarely diagnosed as spinal cord compression
syndrome. Caused by an epidural mass, this complication is often encountered in a
late stage of the disease. We report the case of a 40-year-old man presenting
with symptoms of low thoracic spinal cord compression due to an epidural tumor on
the MRI. FINDINGS: Emergent surgery was undertaken on this patient, consisting in
laminectomy and tumor resection. After surgery, pain relief and mild neurological
improvement were noticed. The histological study revealed a Hodgkin Lymphoma and
the patient was referred to chemotherapy and radiotherapy. CONCLUSION: Though
chemotherapy is the gold standard treatment for Hodgkin Lymphoma, surgical spinal
decompression may be required in epidural involvement of the disease. Diagnosis
may be suspected in the presence of lymphadenopathy and general health decay.
PMID- 29381423
TI - Glenohumeral Internal Rotation Deficit and Risk of Upper Extremity Injury in
Overhead Athletes: A Meta-Analysis and Systematic Review.
AB - CONTEXT: Current perception dictates that glenohumeral internal rotation deficit
(GIRD) is a chronic adaptation that leads to an increased risk of pathologic
conditions in the dominant shoulder or elbow of overhead athletes. OBJECTIVE: To
determine whether adaptations in glenohumeral range of motion in overhead
athletes lead to injuries of the upper extremity, specifically in the shoulder or
elbow. DATA SOURCES: An electronic database search was performed using Medline,
Embase, and SportDiscus from 1950 to 2016. The following keywords were used:
GIRD, glenohumeral internal rotation deficit, glenohumeral deficit, shoulder,
sport, injury, shoulder joint, baseball, football, racquet sports, volleyball,
javelin, cricket, athletic injuries, handball, lacrosse, water polo, hammer
throw, and throwing injury. STUDY SELECTION: Seventeen studies met the inclusion
criteria for this systematic review. Of those 17 studies, 10 included specific
range of motion measurements required for inclusion in the meta-analysis. STUDY
DESIGN: Systematic review and meta-analysis. LEVEL OF EVIDENCE: Level 4. DATA
EXTRACTION: Data on demographics and methodology as well as shoulder range of
motion in various planes were collected when possible. The primary outcome of
interest was upper extremity injury, specifically shoulder or elbow injury.
RESULTS: The systematic review included 2195 athletes (1889 males, 306 females)
with a mean age of 20.8 years. Shoulders with GIRD favored an upper extremity
injury, with a mean difference of 3.11 degrees (95% CI, -0.13 degrees to 6.36
degrees ; P = 0.06). Shoulder total range of motion suggested increased motion
(mean difference, 2.97 degrees ) correlated with no injury ( P = 0.11), and less
total motion (mean difference, 1.95 degrees ) favored injury ( P = 0.14).
External rotational gain also favored injury, with a mean difference of 1.93
degrees ( P = 0.07). CONCLUSION: The pooled results of this systematic review
and meta-analysis did not reach statistical significance for any shoulder motion
measurement and its correlation to shoulder or elbow injury. Results, though not
reaching significance, favored injury in overhead athletes with GIRD, as well as
rotational loss and external rotational gain.
PMID- 29381424
TI - Spatiotemporal analysis of 3D kinematic asymmetry in professional cycling during
an incremental test to exhaustion.
AB - This study investigated the influence of an incremental exercise on bilateral
asymmetry through the spatio-temporal evolution of 3D joint angular displacement,
using the Normalized Symmetry Index ([Formula: see text]) and cross-correlation
methods. Twelve professional cyclists performed an incremental test to
exhaustion, during which motion capture was used. Results revealed a decrease in
range of motion between the first and last stages for twelve of the eighteen
joint rotations, with the highest impact observed for right hip flexion/extension
(61.8 +/- 4.7 degrees to 58.8 +/- 4.1 degrees , p < 0.05, ES = 0.68). For both
stages, significant bilateral differences greater than 10 degrees were observed
for hip and knee flexion/extension (p < 0.05, ES>0.90) and ankle and hip
internal/external rotation (p < 0.05, ES>0.25). Cross-correlation displayed the
lowest pattern similarities for hip abduction/adduction and the highest
similarities for knee flexion/extension, ankle plantarflexion/dorsiflexion and
hip internal/external rotation. The cross-correlation method showed that the
right leg was mostly ahead of time with respect to the left leg, a trend that was
accentuated with power output increase. Instantaneous [Formula: see text]
fluctuated up to 18% throughout the pedalling cycle, with different behaviour
between the power and recovery phases. This study demonstrated the workload
effects on side-to-side joint angular pattern similarity.
PMID- 29381425
TI - A Low-Cost Pulse Generator for Exacerbating Muscle Fiber Detachment Phenotypes in
Zebrafish.
AB - Muscle fiber detachment from myoseptal boundaries is a common finding in
zebrafish models of muscular dystrophies. In some instances, there is a weakening
of the interaction between muscle fiber and myosepta, which is yet to manifest as
a fiber detachment phenotype. Therefore, to push the fiber detachment of muscle,
mutant fish but not their wild-type siblings, beyond their binding threshold, a
series of small electrical pulses can be applied to the larvae to create a
maximal force contraction and ultimately fiber detachment. To do this, we built a
digital pulse generator which delivers four 8 ms 30 V pulses in quick succession,
and it has the advantage over older analog approaches to pulse generation because
it improves accuracy and is appreciably less expensive. Our pulse generator
significantly increases fiber detachment in the laminin-alpha2 deficient,
congenital muscular dystrophy type 1a (MDC1a) model lama2-/- fish when compared
with controls.
PMID- 29381426
TI - Real-Time Cameraless Measurement System Based on Bioelectrical Ventilatory
Signals to Evaluate Fear and Anxiety.
AB - Fear and anxiety in fish are generally evaluated by video-based behavioral
analysis. However, it is difficult to distinguish the psychological state of fish
exclusively through video analysis, particularly whether the fish are freezing,
which represents typical fear behavior, or merely resting. We propose a system
that can measure bioelectrical signals called ventilatory signals and
simultaneously analyze swimming behavior in real time. Experimental results
comparing the behavioral analysis of the proposed system and the camera system
showed a low error level with an average absolute position error of 9.75 +/- 3.12
mm (about one-third of the body length) and a correlation between swimming speeds
of r = 0.93 +/- 0.07 (p < 0.01). We also exposed the fish to zebrafish skin
extracts containing alarm substances that induce fear and anxiety responses to
evaluate their emotional changes. The results confirmed that this solution
significantly changed all behavioral and ventilatory signal indices obtained by
the proposed system (p < 0.01). By combining the behavioral and ventilatory
signal indices, we could detect fear and anxiety with a discrimination rate of
83.3% +/- 16.7%. Furthermore, we found that the decreasing fear and anxiety over
time could be detected according to the peak frequency of the ventilatory
signals, which cannot be measured through video analysis.
PMID- 29381427
TI - Early Socioemotional Intervention Mediates Long-Term Effects of Atypical Rearing
on Structural Covariation in Gray Matter in Adult Chimpanzees.
AB - Atypical rearing has deleterious effects on chimpanzee behavior during
development, some of which can be ameliorated with a responsive care intervention
(RCI). Here, we obtained in vivo magnetic resonance images of adult brains of 27
chimpanzees given institutional care, with and without RCI, and compared them
with those of 16 chimpanzees mother-reared from birth. We found significant long
term rearing effects on structural covariation and gray matter volume,
specifically in the basal forebrain (i.e., caudate, putamen, nucleus accumbens,
rectus gyrus, and orbital prefrontal cortex), indicating that RCI prevented brain
changes due to atypical rearing. A significant correlation between covariation in
these brain areas and caregiver nurturing, experienced in the first month of
life, suggests a possible developmental mechanism for the effect of early
experience on brain networks. We identified an early intervention that prevents
changes in the basal forebrain that otherwise emerge as a consequence of
institutionalized rearing without species-typical socioemotional experiences.
PMID- 29381428
TI - Impact of Multiple Single-Nucleotide Polymorphisms Within mprF on Daptomycin
Resistance in Staphylococcus aureus.
AB - A number of single nucleotide polymorphisms (SNPs) within the mprF open reading
frame (ORF) have been associated with daptomycin-resistance (DAP-R) in
Staphylococcus aureus. Such SNPs have been found throughout the mprF ORF,
although there are clearly preferred "hot spots" within this gene frequently
linked to DAP-R phenotype. These mprF SNPs are often correlated with a gain-in
function phenotype, either in terms of increased production (synthase activity)
and/or enhanced translocation (translocase activity) of lysyl
phosphatidylglycerol (L-PG) within its cell membrane. However, it is unclear if
multiple hot spot mprF SNPs can accumulate within mprF ORFs and cause additive
elevations of DAP minimum inhibitory concentrations (MICs). In this study, we
used a previously well-characterized plasmid complementation system in S. aureus
Newman DeltamprF mutant to express: (1) single point-mutated forms of mprF ORFs
cloned from two DAP-R S. aureus strains (mprFS295L or mprFT345A) and (2) dual
point-mutated forms of mprF ORFs simultaneously harboring SNPs in the central
bifunctional domain and synthase domain in MprF, respectively (mprFS295L+L826F or
mprFT345A+L826F). The current study revealed that, although individual hot spot
point mutations within mprF ORF can recapitulate signature DAP-R-associated
phenotypes (i.e., increased DAP MICs, enhanced surface positive charge, and
increased L-PG synthesis), accumulation of such hot spot point mutations
paradoxically caused reduction in these latter three metrics.
PMID- 29381429
TI - Status, sources, and human health risk assessment of PAHs via foliar dust from
different functional areas in Nanjing, China.
AB - The present study was carried out to assess and understand the potential health
risk, level of contamination, composition pattern, and sources of urban foliar
dust in Nanjing City with respect to polycyclic aromatic hydrocarbons (PAHs).
Five urban functional areas of foliar dust were analysed and the contents of 16
priority PAHs were determined. Total PAH concentrations in foliar dust ranged
from 1.77 to 19.02 MUg.g-1, with an average value of 6.98 MUg.g-1. The PAH
pattern was dominated by four and five-ring PAHs (contributing > 38% of total
PAHs) in all of the five functional areas. The results indicated that the
combustion of fossil fuel, coal, and biomass, as well as vehicle traffic
emissions were the major sources of PAHs. The estimated incremental lifetime
cancer risk due to PAHs in foliar dust were 8.19 * 10-6, 6.63 * 10-6, and 9.65 *
10-6 for childhood, adolescence and adulthood, respectively, indicating a high
risk of cancer from exposure to foliar dust in Nanjing. Our results indicated
that foliar dust might be a useful indicator of atmospheric PAH pollution.
PMID- 29381430
TI - Computer Animation Technology in Behavioral Sciences: A Sequential, Automatic,
and High-Throughput Approach to Quantifying Personality in Zebrafish (Danio
rerio).
AB - An emergent field of animal personality necessitates a method for repeated high
throughput quantification of behavioral traits across contexts. In this study, we
have developed an automated video stimulus approach to sequentially present
different contexts relevant to five "personality" traits (exploration, boldness,
neophobia, aggression, and sociability), successfully quantifying repeatable
trait measurements in multiple individuals simultaneously. Although our method is
designed to quantify personality traits in zebrafish, our approach can
accommodate the quantification of other behaviors, and could be customized for
other species. All digital materials and detailed protocols are publicly
available online for researchers to freely use and modify.
PMID- 29381431
TI - Automated High-Throughput Damage Scoring of Zebrafish Lateral Line Hair Cells
After Ototoxin Exposure.
AB - Zebrafish have emerged as a powerful biological system for drug development
against hearing loss. Zebrafish hair cells, contained within neuromasts along the
lateral line, can be damaged with exposure to ototoxins, and therefore, pre
exposure to potentially otoprotective compounds can be a means of identifying
promising new drug candidates. Unfortunately, anatomical assays of hair cell
damage are typically low-throughput and labor intensive, requiring trained
experts to manually score hair cell damage in fluorescence or confocal images. To
enhance throughput and consistency, our group has developed an automated damage
scoring algorithm based on machine-learning techniques that produce accurate
damage scores, eliminate potential operator bias, provide more fidelity in
determining damage scores that are between two levels, and deliver consistent
results in a fraction of the time required for manual analysis. The system has
been validated against trained experts using linear regression, hypothesis
testing, and the Pearson's correlation coefficient. Furthermore, performance has
been quantified by measuring mean absolute error for each image and the time
taken to automatically compute damage scores. Coupling automated analysis of
zebrafish hair cell damage to behavioral assays for ototoxicity produces a novel
drug discovery platform for rapid translation of candidate drugs into preclinical
mammalian models of hearing loss.
PMID- 29381432
TI - Endoplasmic Reticulum Stress Facilitates the Survival and Proliferation of
Nucleus Pulposus Cells in TNF-alpha Stimulus by Activating Unfolded Protein
Response.
AB - Intervertebral disc (IVD) degeneration is closely related to inflammatory
cytokines, such as tumor necrosis factor alpha (TNF-alpha). The endoplasmic
reticulum (ER) serves several important cell functions, which are essential for
normal cell metabolism and survival. This study aims to clarify the role of ER
stress and unfolded protein response (UPR) in TNF-alpha-induced biological
changes in rat nucleus pulposus cells (NPCs) and IVD degeneration. In our
research, rat NPCs were cultured with different concentrations of TNF-alpha in
the presence or absence of ER stress inhibitors. Related genes and proteins were
measured by immunofluorescence staining, quantitative real-time PCR, and Western
blot analyses to monitor ER stress. Cell proliferation was evaluated by CCK-8
assay and cyclin D1 expression. Apoptosis was detected by flow cytometry and
Western blot analyses. Our results showed that TNF-alpha induced the apoptosis of
some NPCs in the early stage and then accelerated the proliferation of surviving
cells. In addition, TNF-alpha stimulus upregulated ER stress markers and
initiated UPR. However, these effects could be reversed by inhibitors, thereby
reducing cell proliferation and enhancing apoptosis. In conclusion, ER stress
reinforces the survival and proliferation of NPCs in TNF-alpha stimulus by
activating UPR signaling, which could be an important therapeutic target in the
future.
PMID- 29381434
TI - Effect of Hepatitis B and C Infection on Recruitment for Cancer Clinical Trials.
PMID- 29381433
TI - Targeting HER2 by Combination Therapies.
PMID- 29381436
TI - Reply to M. Janakiram et al.
PMID- 29381435
TI - Selective Inhibition of Nuclear Export With Oral Selinexor for Treatment of
Relapsed or Refractory Multiple Myeloma.
AB - Purpose Selinexor, a first-in-class, oral, selective exportin 1 (XPO1) inhibitor,
induces apoptosis in cancer cells through nuclear retention of tumor suppressor
proteins and the glucocorticoid receptor, along with inhibition of translation of
oncoprotein mRNAs. We studied selinexor in combination with low-dose
dexamethasone in patients with multiple myeloma refractory to the most active
available agents. Patients and Methods This phase II trial evaluated selinexor 80
mg and dexamethasone 20 mg, both orally and twice weekly, in patients with
myeloma refractory to bortezomib, carfilzomib, lenalidomide, and pomalidomide
(quad-refractory disease), with a subset also refractory to an anti-CD38 antibody
(penta-refractory disease). The primary end point was overall response rate
(ORR). Results Of 79 patients, 48 had quad-refractory and 31 had penta-refractory
myeloma. Patients had received a median of seven prior regimens. The ORR was 21%
and was similar for patients with quad-refractory (21%) and penta-refractory
(20%) disease. Among patients with high-risk cytogenetics, including t(4;14),
t(14;16), and del(17p), the ORR was 35% (six of 17 patients). The median duration
of response was 5 months, and 65% of responding patients were alive at 12 months.
The most common grade >= 3 adverse events were thrombocytopenia (59%), anemia
(28%), neutropenia (23%), hyponatremia (22%), leukopenia (15%), and fatigue
(15%). Dose interruptions for adverse events occurred in 41 patients (52%), dose
reductions occurred in 29 patients (37%), and treatment discontinuation occurred
in 14 patients (18%). Conclusion The combination of selinexor and dexamethasone
has an ORR of 21% in patients with heavily pretreated, refractory myeloma with
limited therapeutic options.
PMID- 29381437
TI - Diagnosis and Treatment of 75 Patients with Idiopathic Lobular Granulomatous
Mastitis.
AB - Idiopathic granulomatous lobular matitis (IGLM) is a rare non-specific
inflammatory disease of the breast. Although IGLM is completely benign, it is
easily confused with cancer due to progressive breast lump with firmly unilateral
and discrete mass, nipple retraction and sinus formation. Patients with IGLM are
usually associated with inflammation of the overlying skin. This study aimed to
investigate the clinical characteristics of IGLM, treatment options and
prognosis. From January 2010 to February 2015, 75 IGLM patients in our hospital
were included, with an average age of 35.9 +/- 10.0 (range 21-61) years. Most of
them were parous. The main clinical characteristic was the presence of a large,
irregular and painful mass. Hypoechoic lobulated, irregular tubular or oval
shaped masses were detected by breast gland ultrasound. Ill-defined mass,
enlarged axillary lymph nodes, asymmetric density, and architectural distortion
were found by breast molybdenum palladium X-ray. Diagnosis of IGLM was confirmed
with histological examination. The majority (60/75) of the IGLM patients received
surgical treatment, including lumpectomy, abscess drainage or mastectomy.
Antibiotics were used after surgery. The disease recurred in three patients
during the follow-up period. Our study suggested that IGLM diagnosis more depends
on CNB and postoperative histopathological examination, and surgery and
symptomatic treatment can completely remove the lesions, in order to cure the
disease.
PMID- 29381438
TI - Analysis of the influence of passenger vehicles front-end design on pedestrian
lower extremity injuries by means of the LLMS model.
AB - OBJECTIVE: This work aims at investigating the influence of some front-end design
parameters of a passenger vehicle on the behavior and damage occurring in the
human lower limbs when impacted in an accident. METHODS: The analysis is carried
out by means of finite element analysis using a generic car model for the vehicle
and the lower limbs model for safety (LLMS) for the purpose of pedestrian safety.
Considering the pedestrian standardized impact procedure (as in the 2003/12/EC
Directive), a parametric analysis, through a design of experiments plan, was
performed. Various material properties, bumper thickness, position of the higher
and lower bumper beams, and position of pedestrian, were made variable in order
to identify how they influence the injury occurrence. The injury prediction was
evaluated from the knee lateral flexion, ligament elongation, and state of stress
in the bone structure. RESULTS: The results highlighted that the offset between
the higher and lower bumper beams is the most influential parameter affecting the
knee ligament response. The influence is smaller or absent considering the other
responses and the other considered parameters. The stiffness characteristics of
the bumper are, instead, more notable on the tibia. Even if an optimal value of
the variables could not be identified trends were detected, with the potential of
indicating strategies for improvement. CONCLUSIONS: The behavior of a vehicle
front end in the impact against a pedestrian can be improved optimizing its
design. The work indicates potential strategies for improvement. In this work,
each parameter was changed independently one at a time; in future works, the
interaction between the design parameters could be also investigated. Moreover, a
similar parametric analysis can be carried out using a standard mechanical
legform model in order to understand potential diversities or correlations
between standard tools and human models.
PMID- 29381439
TI - Efficacy of Corticosteroid Injection for Treatment of Trigger Finger: A Meta
Analysis of Randomized Controlled Trials.
AB - PURPOSE: To determine the efficacy and safety of corticosteroid injection for
trigger finger by performing a meta-analysis of all relevant studies. METHODS:
PubMed, EMBASE, and Cochrane Library databases were searched for randomized
controlled trials (RCTs) comparing corticosteroid injection with other treatments
for trigger finger. Pooled summary estimates for outcomes, including success
rate, relapse rate, visual analogue score (VAS) and complications, were
calculated as standardized mean difference (SMD) or relative risk (RR) either on
a fixed- or random-effect model via Stata 12.0 software. RESULTS: Ten literatures
involving 806 patients (387 in corticosteroid injection group and 419 in control
group) were included. Pooled analysis showed there were no differences in the
success rate, VAS and complications between patients undergoing corticosteroid
injection and others. However, the relapse rate was significantly higher in
patients treated with corticosteroid injection than that of other treatments (RR
= 19.53, 95% CI = 6.23-61.19). Subgroup analysis indicated the efficacy of
corticosteroid injection was superior to other non-surgical treatments (success
rate: RR = 1.54, 95% CI = 1.01-2.35), but inferior to surgery (success rate: RR =
0.55, 95% CI = 0.48-0.63; relapse rate: RR = 21.15, 95% CI = 6.06-73.85; VAS: SMD
= 3.49, 95% CI = 2.84-4.14). CONCLUSIONS: Corticosteroid injection may be an
effective strategy for management of trigger finger, although surgery may be
needed for some patients due to recurrence.
PMID- 29381440
TI - Dynamic Neural Turing Machine with Continuous and Discrete Addressing Schemes.
AB - We extend the neural Turing machine (NTM) model into a dynamic neural Turing
machine (D-NTM) by introducing trainable address vectors. This addressing scheme
maintains for each memory cell two separate vectors, content and address vectors.
This allows the D-NTM to learn a wide variety of location-based addressing
strategies, including both linear and nonlinear ones. We implement the D-NTM with
both continuous and discrete read and write mechanisms. We investigate the
mechanisms and effects of learning to read and write into a memory through
experiments on Facebook bAbI tasks using both a feedforward and GRU controller.
We provide extensive analysis of our model and compare different variations of
neural Turing machines on this task. We show that our model outperforms long
short-term memory and NTM variants. We provide further experimental results on
the sequential [Formula: see text]MNIST, Stanford Natural Language Inference,
associative recall, and copy tasks.
PMID- 29381441
TI - Coexistence of Stochastic Oscillations and Self-Organized Criticality in a
Neuronal Network: Sandpile Model Application.
AB - Self-organized criticality (SOC) and stochastic oscillations (SOs) are two
theoretically contradictory phenomena that are suggested to coexist in the brain.
Recently it has been shown that an accumulation-release process like sandpile
dynamics can generate SOC and SOs simultaneously. We considered the effect of the
network structure on this coexistence and showed that the sandpile dynamics on a
small-world network can produce two power law regimes along with two groups of
SOs-two peaks in the power spectrum of the generated signal simultaneously. We
also showed that external stimuli in the sandpile dynamics do not affect the
coexistence of SOC and SOs but increase the frequency of SOs, which is consistent
with our knowledge of the brain.
PMID- 29381442
TI - Dethroning the Fano Factor: A Flexible, Model-Based Approach to Partitioning
Neural Variability.
AB - Neurons in many brain areas exhibit high trial-to-trial variability, with spike
counts that are overdispersed relative to a Poisson distribution. Recent work
(Goris, Movshon, & Simoncelli, 2014 ) has proposed to explain this variability in
terms of a multiplicative interaction between a stochastic gain variable and a
stimulus-dependent Poisson firing rate, which produces quadratic relationships
between spike count mean and variance. Here we examine this quadratic assumption
and propose a more flexible family of models that can account for a more diverse
set of mean-variance relationships. Our model contains additive gaussian noise
that is transformed nonlinearly to produce a Poisson spike rate. Different
choices of the nonlinear function can give rise to qualitatively different mean
variance relationships, ranging from sublinear to linear to quadratic.
Intriguingly, a rectified squaring nonlinearity produces a linear mean-variance
function, corresponding to responses with a constant Fano factor. We describe a
computationally efficient method for fitting this model to data and demonstrate
that a majority of neurons in a V1 population are better described by a model
with a nonquadratic relationship between mean and variance. Finally, we
demonstrate a practical use of our model via an application to Bayesian adaptive
stimulus selection in closed-loop neurophysiology experiments, which shows that
accounting for overdispersion can lead to dramatic improvements in adaptive
tuning curve estimation.
PMID- 29381443
TI - Muscle Synergy-Driven Robust Motion Control.
AB - Humans are able to robustly maintain desired motion and posture under dynamically
changing circumstances, including novel conditions. To accomplish this, the brain
needs to optimize the synergistic control between muscles against external
dynamic factors. However, previous related studies have usually simplified the
control of multiple muscles using two opposing muscles, which are minimum
actuators to simulate linear feedback control. As a result, they have been unable
to analyze how muscle synergy contributes to motion control robustness in a
biological system. To address this issue, we considered a new muscle synergy
concept used to optimize the synergy between muscle units against external
dynamic conditions, including novel conditions. We propose that two main muscle
control policies synergistically control muscle units to maintain the desired
motion against external dynamic conditions. Our assumption is based on biological
evidence regarding the control of multiple muscles via the corticospinal tract.
One of the policies is the group control policy (GCP), which is used to control
muscle group units classified based on functional similarities in joint control.
This policy is used to effectively resist external dynamic circumstances, such as
disturbances. The individual control policy (ICP) assists the GCP in precisely
controlling motion by controlling individual muscle units. To validate this
hypothesis, we simulated the reinforcement of the synergistic actions of the two
control policies during the reinforcement learning of feedback motion control.
Using this learning paradigm, the two control policies were synergistically
combined to result in robust feedback control under novel transient and sustained
disturbances that did not involve learning. Further, by comparing our data to
experimental data generated by human subjects under the same conditions as those
of the simulation, we showed that the proposed synergy concept may be used to
analyze muscle synergy-driven motion control robustness in humans.
PMID- 29381444
TI - A Kernel Embedding-Based Approach for Nonstationary Causal Model Inference.
AB - Although nonstationary data are more common in the real world, most existing
causal discovery methods do not take nonstationarity into consideration. In this
letter, we propose a kernel embedding-based approach, ENCI, for nonstationary
causal model inference where data are collected from multiple domains with
varying distributions. In ENCI, we transform the complicated relation of a cause
effect pair into a linear model of variables of which observations correspond to
the kernel embeddings of the cause-and-effect distributions in different domains.
In this way, we are able to estimate the causal direction by exploiting the
causal asymmetry of the transformed linear model. Furthermore, we extend ENCI to
causal graph discovery for multiple variables by transforming the relations among
them into a linear nongaussian acyclic model. We show that by exploiting the
nonstationarity of distributions, both cause-effect pairs and two kinds of causal
graphs are identifiable under mild conditions. Experiments on synthetic and real
world data are conducted to justify the efficacy of ENCI over major existing
methods.
PMID- 29381445
TI - Robust Modulation of Integrate-and-Fire Models.
AB - By controlling the state of neuronal populations, neuromodulators ultimately
affect behavior. A key neuromodulation mechanism is the alteration of neuronal
excitability via the modulation of ion channel expression. This type of
neuromodulation is normally studied with conductance-based models, but those
models are computationally challenging for large-scale network simulations needed
in population studies. This article studies the modulation properties of the
multiquadratic integrate-and-fire model, a generalization of the classical
quadratic integrate-and-fire model. The model is shown to combine the
computational economy of integrate-and-fire modeling and the physiological
interpretability of conductance-based modeling. It is therefore a good candidate
for affordable computational studies of neuromodulation in large networks.
PMID- 29381446
TI - Estimating a Separably Markov Random Field from Binary Observations.
AB - A fundamental problem in neuroscience is to characterize the dynamics of spiking
from the neurons in a circuit that is involved in learning about a stimulus or a
contingency. A key limitation of current methods to analyze neural spiking data
is the need to collapse neural activity over time or trials, which may cause the
loss of information pertinent to understanding the function of a neuron or
circuit. We introduce a new method that can determine not only the trial-to-trial
dynamics that accompany the learning of a contingency by a neuron, but also the
latency of this learning with respect to the onset of a conditioned stimulus. The
backbone of the method is a separable two-dimensional (2D) random field (RF)
model of neural spike rasters, in which the joint conditional intensity function
of a neuron over time and trials depends on two latent Markovian state sequences
that evolve separately but in parallel. Classical tools to estimate state-space
models cannot be applied readily to our 2D separable RF model. We develop
efficient statistical and computational tools to estimate the parameters of the
separable 2D RF model. We apply these to data collected from neurons in the
prefrontal cortex in an experiment designed to characterize the neural
underpinnings of the associative learning of fear in mice. Overall, the separable
2D RF model provides a detailed, interpretable characterization of the dynamics
of neural spiking that accompany the learning of a contingency.
PMID- 29381447
TI - STABILIZED1 as a heat stress-specific splicing factor in Arabidopsis thaliana.
AB - To overcome high temperature stress, plants have developed transcriptional
cascades which express a large amount of chaperone proteins called heat shock
proteins (HSPs). In our recent publication, we reported that STABILIZED1, as an
U5-snRNP-interacting protein, is involved in the splicing of heat shock factor
(HSF) and HSP transcripts during high temperature stress. This indicates that not
only transcriptional regulation, but also post-transcriptional regulation by
STA1, is essential for the full activation of HSF-HSP cascades and for
thermotolerance. Here, we observed that the splicing of HSP transcripts was
induced independent of STA1 at room temperature after heat acclimation,
indicating that STA1 acts as a high temperature-specific splicing factor for the
splicing of HSP transcripts. Our findings suggest the molecular mechanism for how
HSF and HSP transcripts are spliced well under high temperature stress that
blocks the splicing of overall transcripts.
PMID- 29381448
TI - Concern for Others Leads to Vicarious Optimism.
AB - An optimistic learning bias leads people to update their beliefs in response to
better-than-expected good news but neglect worse-than-expected bad news. Because
evidence suggests that this bias arises from self-concern, we hypothesized that a
similar bias may affect beliefs about other people's futures, to the extent that
people care about others. Here, we demonstrated the phenomenon of vicarious
optimism and showed that it arises from concern for others. Participants
predicted the likelihood of unpleasant future events that could happen to either
themselves or others. In addition to showing an optimistic learning bias for
events affecting themselves, people showed vicarious optimism when learning about
events affecting friends and strangers. Vicarious optimism for strangers
correlated with generosity toward strangers, and experimentally increasing
concern for strangers amplified vicarious optimism for them. These findings
suggest that concern for others can bias beliefs about their future welfare and
that optimism in learning is not restricted to oneself.
PMID- 29381449
TI - Critical aspects in dog allergen immunotherapy (DAI). May Component Resolved
Diagnosis (CRD) play a role in predicting the efficacy?
AB - We hypothesize that a pivotal condition determining the efficacy of dog allergen
immunotherapy (DAI) might be the mono-sensitization to dog lipocalins (Can f 1-2)
in individuals not directly or indirectly exposed to other furry animals. In
fact, the concomitant sensitization to lipocalins and/or albumins, especially in
those patients directly exposed to furry animals, may potentially stimulate
patient's airways by inducing persistent inflammation and, thus, clinical
symptoms. In these conditions, it is likely that DAI alone could be inadequate to
reduce airway inflammation mediated by inhalation of dog allergens in patients
with simultaneous exposure to other furry animals. Can f 5 has been found as
exclusive allergen in about one third of dog-sensitized individuals. Considering
the presence of different allergenic materials in extract of mammalian origin, it
is evident that a standard DAI is not likely to be effective in Can f 5 prevalent
or mono-sensitized individuals. Moreover, we would underline the need of
collecting detailed information on the possible exposures to furry animals (other
than the common pets), an information that usually is neglected in clinical
practice. Furthermore, a detailed clinical history exploring the real
significance of dog sensitization (mono or poly-sensitization, induction of
clinical symptoms after exposure etc.) should be performed before prescribing
DAI. In some patients, with potential high susceptibility to animal allergens,
the use of CRD is essential to verify the presence of concomitant allergic
sensitization to lipocalins and/or albumins belonging to other furry animals. The
availability of CRD introduced the possibility of a better targeted prescription
of DAI because it might be useful for point out the primary allergens and for the
exclusion of cross-reactive ones.
PMID- 29381450
TI - Evidence for Sexual Reproduction: Identification, Frequency, and Spatial
Distribution of Venturia effusa (Pecan Scab) Mating Type Idiomorphs.
AB - Venturia effusa (syn. Fusicladium effusum), causal agent of pecan scab, is the
most prevalent pathogen of pecan (Carya illinoinensis), causing severe yield
losses in the southeastern United States. V. effusa is currently known only by
its asexual (conidial) stage. However, the degree and distribution of genetic
diversity observed within and among populations of V. effusa are typical of a
sexually reproducing fungal pathogen, and comparable with other dothideomycetes
with a known sexual stage, including the closely related apple scab pathogen, V.
inaequalis. Using the mating type (MAT) idiomorphs from V. inaequalis, we
identified a single MAT gene, MAT1-1-1, in a draft genome of V. effusa. The MAT1
1-1 locus is flanked by two conserved genes encoding a DNA lyase (APN2) and a
hypothetical protein. The MAT locus spanning the flanking genes was amplified and
sequenced from a subset of 14 isolates, of which 7 contained MAT1-1-1 and the
remaining samples contained MAT1-2-1. A multiplex polymerase chain reaction
screen was developed to amplify MAT1-1-1, MAT1-2-1, and a conserved reference
gene encoding beta-tubulin, and used to screen 784 monoconidial isolates of V.
effusa collected from 11 populations of pecan across the southeastern United
States. A hierarchical sampling protocol representing region, orchard, and tree
allowed for analysis of MAT structure at different spatial scales. Analysis of
this collection revealed the frequency of the MAT idiomorphs is in a 1:1
equilibrium of MAT1-1:MAT1-2. The apparent equilibrium of the MAT idiomorphs
provides impetus for a renewed effort to search for the sexual stage of V.
effusa. [Formula: see text] Copyright (c) 2018 The Author(s). This is an open
access article distributed under the CC BY-NC-ND 4.0 International license .
PMID- 29381451
TI - MAPKK Inhibitor U0126 Inhibits Plasmodiophora brassicae Development.
AB - Mitogen-activated protein kinase (MAPK) cascades play a central role in cellular
growth, proliferation, and survival. MAPK cascade genes have been extensively
investigated in model plants, mammals, yeast, and fungi but are not characterized
in Plasmodiophora brassicae, which causes clubroot disease in cruciferous plants.
Here, we identified 7 PbMAPK, 3 PbMAPKK, and 9 PbMAPKKK genes in the P. brassicae
genome. Transcriptional profiling analysis demonstrated that several MAPK, MAPK
kinase (MAPKK), and MAPK kinase kinase (MAPKKK) genes were preferentially
expressed in three different zoosporic stages. Based on yeast two-hybrid assays,
PbMAKKK7 interacted with PbMAKK3 and PbMAKK3 interacted with PbMAK1/PbMAK3. The
PbMAKKK7-PbMAKK3-PbMAK1/PbMAK3 cascade may be present in P. brassicae. U0126, a
potent and specific inhibitor of MAPKK, could inhibit the germination of P.
brassicae resting spores. U0126 was used to treat the resting spores of P.
brassicae and coinoculate rapeseed, and was proven to significantly relieve the
severity of clubroot symptoms in the host plant and delay the life cycle of P.
brassicae. These results suggest that MAPK signaling pathways may play important
roles in P. brassicae growth, development, and pathogenicity.
PMID- 29381452
TI - Recommandations de l'Association des urologues du Canada sur le depistage et le
diagnostic precoce du cancer de la prostate.
PMID- 29381454
TI - Inaccuracies and omissions in editorial about robotic-assisted prostatectomy.
PMID- 29381453
TI - Recommendations for followup of stage I and II seminoma: The Princess Margaret
Cancer Centre approach.
AB - Testicular seminoma most commonly affects young men and is associated with
favourable prognosis. Various followup schedules and imaging protocols for
testicular seminoma have been described without overall consensus. We reviewed
the literature together with our experience at the Princess Margaret Cancer
Centre and present an evidence-based followup approach for patients with stage I
and II seminoma.
PMID- 29381455
TI - Canadian Urological Association guideline on the care of the normal foreskin and
neonatal circumcision in Canadian infants (abridged version).
PMID- 29381456
TI - A prognostic model for stratifying clinical outcomes in chemotherapy-naive
metastatic castration-resistant prostate cancer patients treated with abiraterone
acetate.
AB - INTRODUCTION: Recently, a prognostic index including six risk factors (RFs)
(unfavourable Eastern Cooperative Oncology Group performance status [ECOG PS],
presence of liver metastases, short response to luteinizing hormone-releasing
hormone [LHRH] agonists/antagonists, low albumin, increased alkaline phosphatase
[ALP] and lactate dehydrogenase [LDH]) was developed from the COU-AA-301 trial in
post-chemotherapy metastatic castration-resistant prostate cancer (mCRPC)
patients treated with abiraterone acetate. Our primary objective was to evaluate
this model in a cohort of chemotherapy-naive mCRPC patients receiving
abiraterone. METHODS: We identified 197 chemotherapy-naive patients who received
abiraterone at six BC Cancer Agency centres and who had complete information on
all six RFs. Study endpoints were prostate-specific antigen (PSA) response rate
(RR), time to PSA progression, time on treatment, and overall survival (OS). PSA
RR and survival outcomes were compared using Chi2 test and log-rank test.
Multivariable Cox proportional hazard analysis was performed to identify RFs
independently associated with OS. RESULTS: Patients were classified into good (0
1 RFs), intermediate (2-3 RFs), and poor (4-6 RFs) prognostic groups (33%, 52%,
and 15%, respectively). For good-, intermediate-, and poor-risk patients, PSA RR
(>=50% decline) was 60% vs. 42% vs. 40% (p=0.05); median time to PSA progression
was 7.3 vs. 5.3 vs. 5.0 months (p=0.02); and median OS was 29.4 vs. 13.8 vs. 8.7
months (p<0.0001). CONCLUSIONS: The six-factor prognostic index model stratifies
clinical outcomes in chemotherapy-naive mCRPC patients treated with abiraterone.
Identifying patients at risk of poor outcome is important for informing clinical
practice and clinical trial design.
PMID- 29381457
TI - Urology residents on call: Investigating the workload and relevance of calls.
AB - INTRODUCTION: On-call medical services assumed by residents represent many hours
of hard work and no studies have documented what it really entails. As part of an
effort to improve our on-call system, we examined phone calls received by
residents on call. Our objectives were to evaluate the characteristics of phone
calls received by residents on call (who, when, why, need to go to the hospital)
and to determine residents' perception of these calls. We also looked into
implementing strategies to reduce unnecessary calls. METHODS: We prospectively
collected information about calls using a standardized reporting form with the
participation of all residents (10) from a single urology program over two
periods of four weeks from November 2014 to March 2015. Residents answered pre-
and post-collecting period questionnaires. RESULTS: A total of 460 calls were
recorded on 97 on-call days in two on-call lists. There was a mean of 3.5 (median
3, range 0-12) calls per weeknight and 7.7 (median 6, range 0-23) calls per
weekend full day. Nintey-three calls (20%) led to the need for bedside evaluation
and many of these were for new consultations (49%). The majority of calls
originated from the clinical in-patient ward (49%) and emergency room (29%), and
nurses (66%) and doctors (23%) most commonly initiated the calls. Calls between
11:00 pm and 8:00 am represented 13% of all calls. Most of the calls (77%) were
perceived as relevant or very relevant. Most residents reported at least 80% of
calls. CONCLUSIONS: Although likely representing an underestimate of the reality,
we provide a first effort in documenting the call burden of Canadian urology
residents.
PMID- 29381459
TI - Assessment of urology postgraduate trainees' competencies in flexible
ureteroscopic stone extraction.
AB - INTRODUCTION: We aimed to assess flexible ureteroscopic stone extraction skill of
urology postgraduate trainees (PGTs) at an Objective Structured Clinical
Examination (OSCE) and to determine whether previous experience in the operating
theatre or practice on the simulator correlated with performance. METHODS: After
obtaining ethics approval, PGTs from postgraduate years (PGYs) 3-5 were recruited
from all four Quebec urology training programs during an OSCE. After a short
orientation to the UroMentorTM simulator, PGTs were asked to perform Task 10 for
15 minutes, where two small stones from the left proximal ureter and renal pelvis
were extracted using a basket. Competency of PGTs in performing the task was
assessed using objective assessment from the simulator and subjective evaluations
using Ureteroscopy-Global Rating Scale (URS-GRS). Simulator performance reports
and URS-GRS scores were analyzed. RESULTS: Thirty PGTs (9 PGY-3, 11 PGY-4, 10 PGY
5) participated in this study. PGTs had performed a mean of 55.9 semi-rigid and
45.7 flexible ureteroscopies prior to the study. Mean URS-GRS score of the
participants was 20.0+/-4.4. Using norm-referenced method with three experts,
cutoff score of 19 on the URS-GRS was determined to indicate competency. Sixty
percent (18/30) of PGTs were competent. All eight PGTs who had practiced on the
simulator were competent. Previous experience in the operating theatre and PGY
level did not correlate with performance. CONCLUSIONS: This study confirmed the
feasibility of incorporating the UroMentor at OSCEs to assess competency of
urology PGTs in ureteroscopic stone extraction skill. PGTs who practiced on the
simulator scored significantly higher than those who did not practice; however,
the software needs to be updated to improve its face validity and to include more
complex tasks, such as holmium laser lithotripsy. Future studies with larger
sample sizes and more complex cases are needed to confirm these results.
PMID- 29381460
TI - Personal prostate-specific antigen screening and treatment choices for localized
prostate cancer among expert physicians.
AB - INTRODUCTION: We aimed to determine the personal practices of urologists,
radiation oncologists, and medical oncologists regarding prostate cancer
screening and treatment using the physician surrogate method, which seeks to
identify acceptable healthcare interventions by ascertaining interventions
physicians select for themselves. METHODS: A hierarchical, contingent survey was
developed through a consensus involving urologists, medical oncologists, and
radiation oncologists. It was piloted at the University of Toronto and then
circulated to urologists, radiation oncologists, and medical oncologists through
professional medical societies in the U.S., Canada, Central and South America,
Australia, and New Zealand. The primary outcome was physicians' personal choices
regarding prostate-specific antigen (PSA) screening and the secondary outcome was
treatment selection among those diagnosed with prostate cancer. RESULTS: A total
of 869 respondents provided consent and completed the survey. Of these, there
were 719 urologists, 89 radiation oncologists, nine medical oncologists, and 53
undisclosed specialists. Most (784 of 869 respondents; 90%) endorsed past or
future screening for themselves (among male physicians) or for relatives (among
female physicians). Among urologists and radiation oncologists making prostate
cancer treatment decisions, there was a significant correlation between physician
specialty and the treatment selected (Phi coefficient=0.61; p=0.001).
CONCLUSIONS: Physicians who routinely treat prostate cancer are likely to
undertake prostate cancer screening themselves or recommend it for immediate
family members. Treatment choice is influenced by the well-recognized specialty
bias.
PMID- 29381461
TI - Implementing robot-assisted radical prostatectomy - one for all and all for one.
PMID- 29381458
TI - Canadian Urological Association guideline on the care of the normal foreskin and
neonatal circumcision in Canadian infants (full version).
PMID- 29381462
TI - Next-generation prostate cancer risk calculator for primary care physicians.
AB - INTRODUCTION: Current prostate cancer risk calculators are limited in impact
because only a probability of having prostate cancer is provided. We developed
the next generation of prostate cancer risk calculator that incorporates life
expectancy in order to better evaluate prostate cancer risk in context to a
patient's age and comorbidity. METHODS: We combined two cohorts to develop the
new risk calculator. The first was 5638 subjects who all underwent a prostate
biopsy for prostate cancer detection. The second was 979 men diagnosed with
prostate cancer with long-term survival data. Two regression models were used to
create multivariable nomograms and an online prostate cancer risk calculator was
developed. RESULTS: Of the 5638 patients who underwent a prostate biopsy, 629
(11%) were diagnosed with aggressive prostate cancer (Gleason Score 7[4+3] or
more). Of the 979 patients who underwent treatment for prostate cancer, the 10
year overall survival (OS) was 49.6% (95% confidence interval [CI] 46.6-52.9).
The first multivariable nomogram for cancer risk had a concordance index of 0.74
(95% CI 0.72, 0.76), and the second nomogram to predict survival had a
concordance index of 0.71 (95% CI 0.69-0.72). The next-generation prostate cancer
risk calculator was developed online and is available at:
http://riskcalc.org/ProstateCA_Screen_Tool. CONCLUSIONS: We have developed the
next-generation prostate cancer risk calculator that incorporates a patient's
life expectancy based on age and comorbidity. This approach will better evaluate
prostate cancer risk. Future studies examining other populations will be needed
for validation.
PMID- 29381464
TI - The fate of the foreskin.
PMID- 29381465
TI - Pitfalls of prioritizing cost-effectiveness in the assessment of medical
innovation.
PMID- 29381463
TI - Long-term patient outcomes from the first year of a robotic surgery program using
multi-surgeon implementation.
AB - INTRODUCTION: There is concern that surgical quality initially declines during
the learning phase of robotic surgery. At our institution, we used a multi
surgeon programmatic approach to the introduction of robotic surgery. The purpose
of this study was to evaluate outcomes of patients treated during the first year
of our program. METHODS: This is a historical cohort of all radical prostatectomy
patients during a one-year period. Baseline, perioperative, and long-term
followup data were prospectively and retrospectively collected. Treatment failure
was a composite of any postoperative radiation, androgen-deprivation, or prostate
specific antigen (PSA) >=0.2. RESULTS: During the study period, 225 radical
prostatectomy procedures were performed (104 robotic and 121 open). Baseline
characteristics were similar between groups (p>0.05). All patients were continent
and 74% were potent prior to surgery. Mean estimated blood loss (280 cc vs. 760
cc; p<0.001) and blood transfusion (0% vs. 8.3%; p=0.002) was lower in the
robotic cohort. Non-transfusion complications were similar between groups (13%
vs. 12%; p=0.7). Mean hospital stay was shorter in the robotic cohort (1.4 vs.
2.5 days). There was no difference in overall positive margin rate (38% vs. 43%;
p=0.4) or treatment failure at a median followup of 3.5 years (p=0.4).
Robotically treated patients were more often continent (89% vs. 77%; p=0.02) and
potent (48% vs. 32%; p=0.02). CONCLUSIONS: Using an inclusive multi-surgeon
approach, robotic pros-tatectomy was introduced safely at a Canadian academic
institution.
PMID- 29381467
TI - The future of urology in Canada.
PMID- 29381466
TI - Functional and oncological outcomes of salvage external beam radiotherapy
following robot-assisted radical prostatectomy in a Canadian cohort.
AB - INTRODUCTION: We sought to determine the impact of salvage radio-therapy (SRT) on
oncological and functional outcomes of patients with prostate cancer after
biochemical recurrence (BCR) following robot-assisted radical prostatectomy
(RARP). METHODS: Data of 70 patients with prostate cancer treated with SRT after
developing BCR were retrospectively analyzed from a prospectively collected RARP
database of 740 men. Oncological (prostate-specific antigen [PSA]) and functional
(pads/day, International Prostate Symptom Score [IPSS], and Sexual Health
Inventory for Men [SHIM]) outcomes were reported at six, 12, and 24 months after
RT and adjusted for pre-SRT status. RESULTS: Men who underwent SRT had a mean
age, PSA, and time from radical prostatectomy (RP) to RT of 61.8 years (60.1
63.6), 0.5 ng/ml (0.2-0.8), and 458 days (307-747), respectively. Freedom from
biochemical failure (FFBF) post-SRT, defined as a PSA nadir <0.2 ng/mL, was
observed in 89%, 93%, and 81%, at six, 12, and 24 months, respectively.
Undetectable PSA was observed in 14%, 35%, and 40% at the same time points,
respectively. There was no significant difference in urinary continence post-SRT
(p=0.56). Rate of strict continence (0 pads/day) was 71% at 24 months compared to
78% pre-SRT. Mean IPSS at six, 12, and 24 months was 3.4, 3.6, and 3.6,
respectively compared to pre-RT score of 3.3 (p=0.61). The mean SHIM score pre
SRT was comparable at all time points following treatment (p=0.86). CONCLUSIONS:
In this unique Canadian experience, it appears that early SRT is highly effective
for the treatment of BCR following RARP with little impact on urinary continence
and potency outcomes.
PMID- 29381468
TI - Prostate cancer screening among family physicians in Ontario: An update on
attitudes and current practice.
AB - INTRODUCTION: This study serves as an update of prostate cancer screening
practices among family physicians in Ontario, Canada. Since this population was
first surveyed in 2010, the Canadian Task Force on Preventive Health Care
(CTFPHC) and the United States Preventive Services Task Force (USPSTF) released
recommendations against prostate cancer screening. METHODS: An online survey was
developed through input from urologists and family practitioners. It was
distributed via email to all members of the Ontario Medical Association's Section
on General and Family practice (11 657 family physicians). A reminder email was
sent at two weeks and the survey remained active for one month. RESULTS: A total
of 1880 family physicians completed surveys (response rate 16.1%). Overall, 80.4%
offered prostate cancer screening compared to 91.7% when surveyed in 2010.
Physicians new to practice (two years or less) were the most likely to not offer
screening (24.6%). A combination of digital rectal exam (DRE) and prostate
specific antigen (PSA) remained the most common form of screening (58.3%).
Following the release of the CTFPHC recommendations, 45.6% of respondents said
they now screen fewer patients. Participants were less familiar with national
urological society guidelines compared to task force recommendations. The
majority (72.6%) of respondents feel PSA screening leads to overdiagnosis and
treatment. Those surveyed remained split with respect to PSA utility.
CONCLUSIONS: Data suggest a decline in screening practices since 2010, with newer
graduates less likely to offer screening. CFTPHC and USPSTF recommendations had
the greatest impact on clinical practice. Those surveyed were divided with
respect to PSA utility. Some additional considerations to PSA screening in the
primary care setting, including patient-driven factors, were not captured by our
concise survey.
PMID- 29381469
TI - A pragmatic bottom-up approach to harmonize the units of clinical chemistry tests
among Belgian clinical laboratories, focusing on immunoassays.
AB - BACKGROUND: Harmonization of units is an important step to improve the
comparability of clinical chemistry results, but few examples exist of successful
harmonization efforts. We present the results of a pragmatic approach that was
implemented in Belgium from 2012. METHODS: After a large consultation and
information of stakeholders, preferred units were proposed for 140 assays,
including the 23 immunoassays discussed in more detail here. The change occurred
in two phases, first involving assays for which there was no change in the
numerical result, then changes involving a change in numerical results.
Laboratories were invited to participate in this harmonization on a voluntary
basis. The project was based on a bottom-up approach, large consultation and the
pragmatic choice of the proposed units, including conventional and SI units.
RESULTS: The large heterogeneity of units was drastically reduced; adoption of
the preferred units increased from 3% (insulin) - 45% (HCG) to 70% (insulin) -
96% (LH and FSH). Adoption of the preferred units was higher if it involved no
change in numerical values (90%) than when there was a change (76%). CONCLUSIONS:
We believe that the harmonization effort has reached its goals. Without aiming at
implementing SI units for all parameters, our strategy was successful with a
large majority of the laboratories switching to the proposed units. Moreover, the
harmonization program is still progressing, with additional laboratories
converting to the consensus units.
PMID- 29381470
TI - Obese phenotype and natriuretic peptides in patients with heart failure with
preserved ejection fraction.
AB - The results of several recent experimental studies using animal models and
clinical trials suggested that obesity is not merely an epiphenomenon or a
prominent comorbidity in patients with heart failure (HF). Indeed, recent studies
suggest that obesity is intimately involved in the pathogenesis of HF with
preserved ejection fraction (HFpEF). The most recent studies indicate that
approximately 50% of HF patients have HFpEF. As standard pharmacological
treatment usually shows only a weak or even neutral effect on primary outcomes in
patients with HFpEF, treatment strategies targeted to specific groups of HFpEF
patients, such as those with obesity, may increase the likelihood of reaching
substantial clinical benefit. Considering the well-known inverse relationship
between body mass index (BMI) values and B-type natriuretic peptide (BNP) levels,
it is theoretically conceivable that the measurement of natriuretic peptides,
using cutoff values adjusted for age and BMI, should increase diagnostic and
prognostic accuracy in HFpEF patients. However, further experimental studies and
clinical trials are needed to differentiate and better understand specific
mechanisms of the various HFpEF phenotypes, including obese HFpEF.
PMID- 29381471
TI - Assessment of bone turnover in osteoporosis: harmonization of the total testing
process.
PMID- 29381473
TI - Low dose aspirin for preventing fetal growth restriction: a randomised trial.
PMID- 29381472
TI - Chromatographic fingerprint analysis, antioxidant properties, and inhibition of
cholinergic enzymes (acetylcholinesterase and butyrylcholinesterase) of phenolic
extracts from Irvingia gabonensis (Aubry-Lecomte ex O'Rorke) Baill bark.
AB - BACKGROUND: Irvingia gabonensis stem bark is a medicinal plant used in most parts
of Africa to manage a number of ailments including neurodegenerative diseases
that occur without scientific basis. This work characterized the phenolic
composition, evaluated the cholinergic enzymes (acetylcholinesterase, AChE and
butyrylcholinesterase, BChE) inhibition, and assessed the antioxidant activity of
phenolic extracts from I. gabonensis (Aubry-Lecomte ex O'Rorke) Baill bark.
METHODS: Total phenol and flavonoids content was evaluated in addition to
antioxidant activity as shown by Fe2+ chelation, 2,2-diphenyl-1-picrylhydrazyl
(DPPH) radical scavenging ability, and 2,2-azino-bis-(3-ethylbenthiazoline-6
sulfonic acid) (ABTS) radical scavenging ability. Inhibitory activities on AChE
and BChE were evaluated. RESULTS: The extract was found to be rich in phenolic
acid (ellagic acid) and flavonoids (quercetrin, kaempferol, and apigenin). The
phenolic extracts displayed DPPH radical scavenging ability (IC50=19.98 MUg/mL),
ABTS radical scavenging ability (IC50=18.25 MUg/mL), iron chelation (IC50=113.10
MUg/mL), and reducing power (Fe3+ to Fe2+) (5.94 mg ascorbic acid equivalent/100
g). Extracts of I. gabonensis inhibited AChE (IC50=32.90 MUg/mL) and BChE
(IC50=41.50 MUg/mL) activities in concentration-dependent manner. CONCLUSIONS:
Hence, possible mechanism through which the stem bark executes their anti
Alzheimer's disease activity might be by inhibiting cholinesterase activities in
addition to suppressing oxidative-stress-induced neurodegeneration.
PMID- 29381474
TI - Professionally responsible management of gynecologic cancer in pregnancy when
clinical resources are unavoidably limited.
PMID- 29381476
TI - Tests for comparison of multiple endpoints with application to omics data.
AB - In biomedical research, multiple endpoints are commonly analyzed in "omics"
fields like genomics, proteomics and metabolomics. Traditional methods designed
for low-dimensional data either perform poorly or are not applicable when
analyzing high-dimensional data whose dimension is generally similar to, or even
much larger than, the number of subjects. The complex biochemical interplay
between hundreds (or thousands) of endpoints is reflected by complex dependence
relations. The aim of the paper is to propose tests that are very suitable for
analyzing omics data because they do not require the normality assumption, are
powerful also for small sample sizes, in the presence of complex dependence
relations among endpoints, and when the number of endpoints is much larger than
the number of subjects. Unbiasedness and consistency of the tests are proved and
their size and power are assessed numerically. It is shown that the proposed
approach based on the nonparametric combination of dependent interpoint distance
tests is very effective. Applications to genomics and metabolomics are discussed.
PMID- 29381477
TI - Sputtered Si and Mg doped hydroxyapatite for biomedical applications.
AB - Hydroxyapatite (HAP) coatings are applied on metallic implant materials to
combine mechanical properties of metallic material with bioactivity abilities of
HAP ceramic. In this study, HAP coatings with additions of Si and Mg are proposed
to be deposited on Ti6Al4V substrates by RF magnetron sputtering. Chemical
bonding, morphology, topography and corrosion resistance in simulated body fluids
(SBF) of the coatings were investigated. Additionally, mechanical and biological
properties of the coatings were evaluated. It was found that the addition of Si
and Mg does not influence the formation of a HAP phase. All the coatings
exhibited smooth surface and uniform growth, without defects or cracks. Both
hardness and elastic modulus of the coated samples decrease with Mg addition in
the HAP-Si structure. Both Mg and Si addition into HAP coatings were found to
enhance the corrosion resistance of the Ti6Al4V alloy in the SBF solution.
Coatings with low Mg content exhibited better corrosion performance. All the
coatings investigated were biocompatible, as demonstrated by SaOS-2 bone cell
attachment and growth. However, cell proliferation and morphology were inferior
on samples with the highest Mg content.
PMID- 29381475
TI - The role of nutrition in influencing mechanisms involved in environmentally
mediated diseases.
AB - Human exposure to environmental contaminants such as persistent chlorinated
organics, heavy metals, pesticides, phthalates, flame retardants, electronic
waste and airborne pollutants around the world, and especially in Southeast Asian
regions, are significant and require urgent attention. Given this widespread
contamination and abundance of such toxins as persistent organic pollutants
(POPs) in the ecosystem, it is unlikely that remediation alone will be sufficient
to address the health impacts associated with this exposure. Furthermore, we must
assume that the impact on health of some of these contaminants results in
populations with extraordinary vulnerabilities to disease risks. Further
exacerbating risk; infectious diseases, poverty and malnutrition are common in
the Southeast Asian regions of the world. Thus, exploring preventive measures of
environmental exposure and disease risk through new paradigms of environmental
toxicology, optimal and/or healthful nutrition and health is essential. For
example, folic acid supplementation can lower blood arsenic levels, and plant
derived bioactive nutrients can lower cardiovascular and cancer risks linked to
pollutant exposure. Data also indicate that diets enriched with bioactive food
components such as polyphenols and omega-3 polyunsaturated fatty acids can
prevent or decrease toxicant-induced inflammation. Thus, consuming healthy diets
that exhibit high levels of antioxidant and anti-inflammatory properties, is a
meaningful way to reduce the vulnerability to non-communicable diseases linked to
environmental toxic insults. This nutritional paradigm in environmental
toxicology requires further study in order to improve our understanding of the
relationship between nutrition or other lifestyle modifications and toxicant
induced diseases. Understanding mechanistic relationships between nutritional
modulation of environmental toxicants and susceptibility to disease development
are important for both cumulative risk assessment and the design and
implementation of future public health programs and behavioral interventions.
PMID- 29381478
TI - Ultrathin MoS2-coated Ag@Si nanosphere arrays as an efficient and stable
photocathode for solar-driven hydrogen production.
AB - Solar-driven photoelectrochemical (PEC) water splitting has attracted a great
deal of attention recently. Silicon (Si) is an ideal light absorber for solar
energy conversion. However, the poor stability and inefficient surface catalysis
of Si photocathodes for the hydrogen evolution reaction (HER) have remained key
challenges. Alternatively, MoS2 has been reported to exhibit excellent catalysis
performance if sufficient active sites for the HER are available. Here, ultrathin
MoS2 nanoflakes are directly synthesized to coat arrays of Ag-core Si-shell
nanospheres (Ag@Si NSs) by using chemical vapor deposition. Due to the high
surface area ratio and large curvature of these NSs, the as-grown MoS2 nanoflakes
can accommodate more active sites. In addition, the high-quality coating of MoS2
nanoflakes on the Ag@Si NSs protects the photocathode from damage during the PEC
reaction. An photocurrent density of 33.3 mA cm-2 at a voltage of -0.4 V is
obtained versus the reversible hydrogen electrode. The as-prepared nanostructure
as a hydrogen photocathode is evidenced to have high stability over 12 h PEC
performance. This work opens up opportunities for composite photocathodes with
high activity and stability using cheap and stable co-catalysts.
PMID- 29381479
TI - Mechanical responses of a-axis GaN nanowires under axial loads.
AB - Gallium nitride (GaN) nanowires (NWs) hold technological significance as
functional components in emergent nano-piezotronics. However, the examination of
their mechanical responses, especially the mechanistic understanding of behavior
beyond elasticity (at failure) remains limited due to the constraints of in situ
experimentation. We therefore performed simulations of the molecular dynamics
(MD) of the mechanical behavior of [Formula: see text]-oriented GaN NWs subjected
to tension or compression loading until failure. The mechanical properties and
critical deformation processes are characterized in relation to NW sizes and
loading conditions. Detailed examinations revealed that the failure mechanisms
are size-dependent and controlled by the dislocation mobility on shuffle-set
pyramidal planes. The size dependence of the elastic behavior is also examined in
terms of the surface structure determined modification of Young's modulus. In
addition, a comparison with c-axis NWs is made to show how size-effect trends
vary with the growth orientation of NWs.
PMID- 29381480
TI - Awareness about medico legal aspects and Consumer Protection Act among dentists.
AB - BACKGROUND: The practice of medicine in India has undergone considerable change
affecting delivery of health in both positive and negative directions. As a
result, there was a growing feeling that medical treatment should be made
accountable and this led to doctors and dentists becoming subject to the process
of law. Patients have become more aware of their right to compensation and as a
consequence doctors and dentists should be knowledgeable about the laws that
govern them. AIMS AND OBJECTIVES: To assess the awareness about Medico legal
aspects and Consumer Protection Act [CPA] among Dental professionals. MATERIALS
AND METHODS: A self-structured validated questionnaire comprising of 20 questions
related to medico legal aspects and CPA was designed. A total of 450 dental
professionals were surveyed from 4 prime dental institutions in Chennai, India.
Of the 450 professionals that were surveyed 150 were MDS faculty, 150 were BDS
faculty and 150 were PG students. The data was subjected to SPSS, version 16 and
statistically analysed using Chi square test and Fisher's exact test. A- p value
less than 0.05 was considered to be statistically significant. RESULTS: BDS
faculty, MDS faculty and PG students were found to possess similar level of
understanding and there was no significant difference between the groups.
Knowledge was found to be equal between male and female dentists. The young
practitioners were found to be more informed about CPA than the senior
practitioners. CONCLUSIONS: It was found that most of the participants were aware
of relevant Medico legal aspects, but were less aware of CPA. This study
emphasises the need for education relevant to Medico legal aspects and CPA for
dental professionals.
PMID- 29381481
TI - Sex prediction from morphometric palatal rugae measures.
AB - : While abundant research has been conducted on palatal rugae (PR), the
literature pertaining to the sex dimorphism of the palatal rugae and their use
for sex prediction is inconclusive. Moreover, palatal rugae have been classified
into categories based on length, shape, direction and unification but accurate
rugal morphometric linear and angular measurements have not yet been reported.
OBJECTIVE: The aims of this study were to -1- assess the dimensions and bilateral
symmetry of the first three palatal rugae in an adult population and -2- explore
sex dimorphism and the ability to predict sex from palatal rugae measurements.
MATERIALS AND METHODS: The maxillary dental casts of 252 non-growing subjects
(119 males, 130 females, mean age 25.6 +/- 7.7 years) were scanned using a laser
system (Perceptron ScanWorks(r) V5). Angular and linear transverse and
anteroposteior measures of the first three palatal rugae were recorded.
Independent samples t-tests and paired samples t-tests were used to test for side
related differences and sex dimorphism. Multiple logistic regression was employed
to model sex using associated palatal rugae measures. RESULTS: Palatal rugae
exhibited lateral asymmetry in the majority of bilateral measures. Males
presented with larger values for 9 out of 28 parameters. Four linear rugae
measurements and one angular measurement together correctly classified 71.4% of
the subjects in their true gender. CONCLUSIONS: Morphometric palatal rugae
measurements demonstrated promising usefulness in sex prediction. Recording
morphometric linear and angular measures is recommended as an adjunct to the
commonly used classification based on the shapes of rugae.
PMID- 29381482
TI - Palatal rugae morphology in an adult mediterranean population.
AB - BACKGROUND: The use of the palatal rugae in forensic odontology is based on their
unique and individual characteristics. Few studies have assessed the palatal
rugae in Mediterranean populations and none in the Lebanese population.
OBJECTIVE: Assess the shape and other morphological features of the palatal rugae
in a Lebanese adult population, and compare them with reported similar features
in other populations. MATERIALS AND METHODS: Rugae characteristics were assessed
on the maxillary dental casts of 217 non-growing subjects (95 males, 122 females,
age 25.5 +/- 7.6 years) according to guidelines established by Thomas and Kotze
(1983) and Lysell (1955). The overall number of rugae and numbers of primary
rugae (> 5mm in length), secondary rugae (3-5mm) and fragmentary rugae (2-3mm) on
either side were recorded. Rugae were classified according to shape, direction
and presence of unification. Z-tests were used to compare the proportions between
right and left sides and between genders. The mean numbers of rugae in each
category were compared with independent samples t-tests between males and
females; paired samples t-tests were employed to compare mean numbers of rugae in
each category between right and left sides. The data were compared with published
reports on other Mediterranean cohorts. RESULTS: The average number of rugae was
7.7 per individual, 3.81 on the right and 3.89 on the left. Curved, wavy and
straight rugae patterns were equally common (one third each). The spatial
direction of most rugae (49.3%) was backward. Circular, non-specific and
convergent rugae were rare (< 2% each). Rugae numbers (total, primary, secondary,
fragmentary) were symmetrical but shape, direction and the occurrence of
convergence were asymmetrical (p < 0.05). None of the examined characteristics
showed gender dimorphism. Tabulated comparisons disclosed the equality of rugae
patterns as major differences with findings from other Mediterranean studies.
CONCLUSIONS: The palatal rugae in the Lebanese population display shape distinct
from other reported Mediterranean and non-Caucasian populations. Studies in large
samples and primary comparisons with other Mediterranean populations are
warranted.
PMID- 29381483
TI - Association among geometric configurations of palatal rugae.
AB - BACKGROUND: The associations between the length and morphological shape-related
characteristics of palatal rugae have not been fully explored. OBJECTIVE: We
aimed to assess the possible association among various geometric configurations
of the palatal rugae in an adult population. MATERIALS AND METHODS: The maxillary
dental casts of 217 non-growing subjects (95 males, 122 females, mean age 25.5+/
7.6 years) were scanned (laser scanning system Perceptron ScanWorks(r) V5) and
digitized for linear measurements. The casts were also surveyed for visual
categorization into curved, wavy, straight and other topographical forms, along
with spatial direction of the rugae and the presence of unification. The rugae
were categorized as primary, secondary, and fragmentary based on their lengths (>
5mm, 2-3mm, < 2mm, respectively). Chi square and one-way ANOVA and post-hoc tests
were used to compare the palatal rugae groupings. RESULTS: Primary and backward
directed rugae prevailed in the total sample (84.7% and 49.3%, respectively).
Wavy form was dominant among primary lengths, while straight form was associated
with the shorter secondary and fragmentary groups (p=0.0042). Absence of
unification was the norm (88.8%). CONCLUSIONS: Associations of length and shape
characteristics among palatal rugae combine wavy patterns with increased length,
and straight forms with shorter folds. These features contribute to the
definition of ruga individuality in combination rather than separately.
PMID- 29381484
TI - Hypoglycemia unawareness in type 1 diabetes suppresses brain responses to
hypoglycemia.
AB - BACKGROUND: Among nondiabetic individuals, mild glucose decrements alter brain
activity in regions linked to reward, motivation, and executive control. Whether
these effects differ in type 1 diabetes mellitus (T1DM) patients with and without
hypoglycemia awareness remains unclear. METHODS: Forty-two individuals (13
healthy control [HC] subjects, 16 T1DM individuals with hypoglycemia awareness
[T1DM-Aware], and 13 T1DM individuals with hypoglycemia unawareness [T1DM
Unaware]) underwent blood oxygen level-dependent functional MRI brain imaging
during a 2-step hyperinsulinemic euglycemic (90 mg/dl)-hypoglycemic (60 mg/dl)
clamp for assessment of neural responses to mild hypoglycemia. RESULTS: Mild
hypoglycemia in HC subjects altered activity in the caudate, insula, prefrontal
cortex, and angular gyrus, whereas T1DM-Aware subjects showed no caudate and
insula changes, but showed altered activation patterns in the prefrontal cortex
and angular gyrus. Most strikingly, in direct contrast to HC and T1DM-Aware
subjects, T1DM-Unaware subjects failed to show any hypoglycemia-induced changes
in brain activity. These findings were also associated with blunted hormonal
counterregulatory responses and hypoglycemia symptom scores during mild
hypoglycemia. CONCLUSION: In T1DM, and in particular T1DM-Unaware patients, there
is a progressive blunting of brain responses in cortico-striatal and fronto
parietal neurocircuits in response to mild-moderate hypoglycemia. These findings
have implications for understanding why individuals with impaired hypoglycemia
awareness fail to respond appropriately to falling blood glucose levels. FUNDING:
This study was supported in part by NIH grants R01DK020495, P30 DK045735,
K23DK109284, K08AA023545. The Yale Center for Clinical Investigation is supported
by an NIH Clinical Translational Science Award (UL1 RR024139).
PMID- 29381486
TI - Effect of a Quality Improvement Project to Reduce Noise in a Pediatric Unit.
AB - PURPOSE: Noise levels remain high in clinical settings, which may result in
stress and sleep disruption, and can lead to immunosuppression, delayed healing,
confusion, disorientation, delusions, and increased length of hospital stay. The
purpose of this quality improvement project was to assess effects of a
multidisciplinary noise reduction program on a pediatric unit in an acute care
hospital in a developing country. METHODS: A quality improvement project was
carried out over 15 months in a pediatric unit. A three-phase study was conducted
where the first phase included obtaining patient satisfaction ratings and
recording sound levels, the second phase included implementing a noise reduction
program and designing a noise detector machine, and the third phase included
obtaining patient satisfaction data and recording noise levels over a 1-year
period. RESULTS: There was a significant decrease in noise of 8 A-weighted
decibels when comparing the values before and after implementing the quality
improvement project at t = 6.44, p < 0.000. There was no significant difference
in patient satisfaction ratings. CLINICAL IMPLICATIONS: Noise in the pediatric
unit exceeded recommended guidelines; however, decreasing the levels was possible
and sustainable, which can improve the psychological and physiological wellbeing
of hospitalized children.
PMID- 29381485
TI - IRE1alpha RNase-dependent lipid homeostasis promotes survival in Myc-transformed
cancers.
AB - Myc activation is a primary oncogenic event in many human cancers; however, these
transcription factors are difficult to inhibit pharmacologically, suggesting that
Myc-dependent downstream effectors may be more tractable therapeutic targets.
Here, we show that Myc overexpression induces endoplasmic reticulum (ER) stress
and engages the inositol-requiring enzyme 1alpha (IRE1alpha)/X-box binding
protein 1 (XBP1) pathway through multiple molecular mechanisms in a variety of c
Myc- and N-Myc-dependent cancers. In particular, Myc-overexpressing cells require
IRE1alpha/XBP1 signaling for sustained growth and survival in vitro and in vivo,
dependent on elevated stearoyl-CoA-desaturase 1 (SCD1) activity. Pharmacological
and genetic XBP1 inhibition induces Myc-dependent apoptosis, which is alleviated
by exogenous unsaturated fatty acids. Of note, SCD1 inhibition phenocopies
IRE1alpha RNase activity suppression in vivo. Furthermore, IRE1alpha inhibition
enhances the cytotoxic effects of standard chemotherapy drugs used to treat c-Myc
overexpressing Burkitt's lymphoma, suggesting that inhibiting the IRE1alpha/XBP1
pathway is a useful general strategy for treatment of Myc-driven cancers.
PMID- 29381487
TI - Mandibulofacial dysostosis Guion-Almeida type caused by novel EFTUD2 splice site
variants in two Asian children.
AB - Mandibulofacial dysostosis type Guion-Almeida (MFDGA) is a rare disease entity
that results in congenital craniofacial anomalies that are caused by abnormal
development of the first and second pharyngeal arches. MFDGA is characterized by
malar and mandibular hypoplasia, microcephaly, developmental delay, dysplastic
ears, and a distinctive facial appearance. Extracraniofacial malformations
include esophageal atresia, congenital heart disease, and radial ray
abnormalities. Heterozygous mutations in the elongation factor Tu GTP-binding
domain containing 2 (EFTUD2) gene have been shown to result in MFDGA. To date,
there have been a total of 108 individuals reported in the literature, of whom 95
patients have a confirmed EFTUD2 mutation. The majority of individuals reported
in the literature have been of White ethnic origin. Here, we report two
individuals of Asian ancestry with MFDGA, each harboring a novel, pathogenic
splice site variant in EFTUD2.
PMID- 29381488
TI - Anatomic Relationship Between the Hook of the Hamate and the Distal Transverse
Carpal Ligament: Implications for Ultrasound-Guided Carpal Tunnel Release.
AB - OBJECTIVE: During ultrasound-guided carpal tunnel release, osseous landmarks may
supplement direct visualization of the distal transverse carpal ligament (dTCL)
to ensure a complete release. The purpose of this study was to determine the
relationship between the apex of the hook of the hamate (aHH) and the dTCL within
the transverse safe zone (TSZ) of the carpal tunnel. DESIGN: Twenty unembalmed
cadaveric specimens were dissected to determine the aHH-dTCL distance and the aHH
SPA distance (the distance between the aHH and the superficial palmar arch) at
the ulnar and radial limits of the TSZ (the distance between the hook of the
hamate or ulnar artery to the median nerve). RESULTS: The aHH-dTCL distance
averaged 11-12 mm across the TSZ (maximum, 18.2 mm), whereas the aHH-SPA distance
was significantly greater on the radial side of the TSZ compared with the ulnar
side (22.6 +/- 3.6 mm vs. 14.0 +/- 4.0 mm). CONCLUSIONS: The dTCL lies
approximately 11-12 mm distal to the aHH across the TSZ, with an upper limit of
18.2 mm. Along with direct sonographic visualization of the dTCL, the aHH can be
used with other osseous landmarks to estimate the position of the dTCL during
ultrasound-guided carpal tunnel release.
PMID- 29381489
TI - Abdominal prehospital ultrasound impacts treatment decisions in a Dutch
Helicopter Emergency Medical Service.
AB - OBJECTIVE: The aim of this study was to determine the impact of abdominal
prehospital ultrasound (PHUS) on patient care in a Dutch physician-staffed
Helicopter Emergency Medical Service (HEMS) and to determine its diagnostic
performance. PATIENTS AND METHODS: We carried out a retrospective analysis of
abdominal ultrasound (US) examinations performed by the HEMS of Nijmegen, the
Netherlands, from January 2007 until December 2016. Data including patient
demographics, type of incident, abdominal US findings, impact on treatment
decisions, and the physicians' narrative report were retrieved from the HEMS
database and analyzed. PHUS diagnostic performance was compared with computed
tomography scan or laparotomy. RESULTS: Of 17 077 recorded scrambles and 8699
patients treated, 1583 underwent 1631 abdominal US examinations. After
eliminating missing data, 251 impacts on treatment in 194 out of 1539 PHUS
examinations were identified (12.6%, 95% confidence interval: 10.9-14.3). This
affected 188 out of 1495 (12.6%) patients. The four main categories of treatment
decisions impacted by PHUS were information provided to the destination hospital
(45.4%); mode of transportation (23.5%); choice of destination hospital (13.1%);
and fluid management (11.6%). The sensitivity of prehospital abdominal US for
hemoperitoneum was 31.3%, specificity was 96.7%, and accuracy was 82.1%.
CONCLUSION: Abdominal PHUS in our setting impacts treatment decisions
significantly. Therefore, it is a valuable tool in the Dutch HEMS setting and
probably beyond.
PMID- 29381490
TI - Comparison of the effect of the antiandrogen apalutamide (ARN-509) versus
bicalutamide on the androgen receptor pathway in prostate cancer cell lines.
AB - Apalutamide (ARN-509) is an antiandrogen that binds selectively to androgen
receptors (AR) and does not show antagonist-to-agonist switch like bicalutamide.
We compared the activity of ARN versus bicalutamide on prostate cancer cell
lines. The 22Rv1, PC3, and DU145 cell lines were used to study the effect of ARN
and bicalutamide on the expression cytoplasmic/nuclear kinetics of AR, AR-V7
variant, phosphorylated AR, as well as the levels of the AR downstream proteins
prostate-specific antigen and TMPRSS2, under exposure to testosterone and/or
hypoxia. The effects on autophagic flux (LC3A, p62, TFEB, LAMP2a, cathepsin D)
and cell metabolism-related enzymes (hypoxia-inducible factor 1alpha/2alpha,
BNIP3, carbonic anhydrase 9, LDHA, PDH, PDH-kinase) were also studied. The 22Rv1
cell line responded to testosterone by increasing the nuclear entry of AR, AR-V7,
and phosphorylated AR and by increasing the levels of prostate-specific antigen
and TMPRSS2. This effect was strongly abrogated by ARN and to a clearly lower
extent by bicalutamide at 10 MUmol/l, both in normoxia and in hypoxia. ARN had a
stronger antiproliferative effect than bicalutamide, which was prominent in the
22Rv1 hormone-responsive cell line, and completely repressed cell proliferation
at a concentration of 100 MUmol/l. No effect of testosterone or of antiandrogens
on autophagy flux, hypoxia-related proteins, or metabolism enzyme levels was
noted. The PC3 and DU145 cell lines showed poor expression of the proteins and
were not responsive to testosterone. On the basis of in-vitro studies, evidence
has been reported that ARN is more potent than bicalutamide in blocking the AR
pathway in normoxia and in hypoxia. This reflects a more robust, dose-dependent,
repressive effect on cell proliferation.
PMID- 29381491
TI - Investigation of an antitumor drug-delivery system based on anti-HER2 antibody
conjugated BSA nanoparticles.
AB - Conjugation of a monoclonal antibody with a nanoparticle often improves its
specificity and drug loading in cancer therapy. In this study, we prepared a
novel targeting nanodrug-delivery system using 2-methoxy-estradiol (2-ME) based
on anti-human epidermal growth factor receptor 2 (HER2) antibody-modified BSA to
improve the clinical application and antitumor effect of 2-ME. 2-ME-loaded
albumin nanoparticles (2-ME-BSANPs) were prepared using a desolvation method and
the anti-HER2 antibodies were conjugated to 2-ME-BSANPs (HER2-2-ME-BSANPs) using
the coupling agent, succinimidyl 3-(2-pyridyldithio)propionate. HER2-2-ME-BSANPs
were characterized using SDS-polyacrylamide gel electrophoresis, an agglutination
test, and an immunofluorescence assay. We found that mouse anti-human anti-HER2
monoclonal antibody was successfully conjugated to the 2-ME-BSANPs. Thereafter,
the in-vitro and in-vivo toxicities were evaluated using two cancer cell lines,
SK-BR-3 (HER2-overexpressing) and MCF-7 (HER2-underexpressing), using classic
pharmacological methods and in-vivo imaging technology. We found that the HER2-2
ME-BSANPs retained the immunospecificity of the anti-HER2 monoclonal antibody,
rapidly localized to HER2 receptors, and could be used for targeted cancer
therapy.
PMID- 29381492
TI - Lung Function in Oil Spill Response Workers 1-3 Years After the Deepwater Horizon
Disaster.
AB - BACKGROUND: Little is known about the effects of inhalation exposures on lung
function among workers involved in the mitigation of oil spills. Our objective
was to determine the relationship between oil spill response work and lung
function 1-3 years after the Deepwater Horizon (DWH) disaster. METHODS: We
evaluated spirometry for 7,775 adults living in the Gulf states who either
participated in DWH response efforts (workers) or received safety training but
were not hired (nonworkers). At an enrollment interview, we collected detailed
work histories including information on potential exposure to dispersants and
burning oil/gas. We assessed forced expiratory volume in 1 second (FEV1; mL),
forced vital capacity (FVC; mL), and the ratio (FEV1/FVC%) for differences by
broad job classes and exposure to dispersants or burning oil/gas using
multivariable linear and modified Poisson regression. RESULTS: We found no
differences between workers and nonworkers. Among workers, we observed a small
decrement in FEV1 (Beta, -71 mL; 95% confidence interval [CI], -127 to -14) in
decontamination workers compared with support workers. Workers with high
potential exposure to burning oil/gas had reduced lung function compared with
unexposed workers: FEV1 (Beta, -183 mL; 95% CI, -316 to -49) and FEV1/FVC (Beta,
1.93%; 95% CI, -3.50 to -0.36), and an elevated risk of having a FEV1/FVC in the
lowest tertile (prevalence ratio, 1.38; 95% CI, 0.99 to 1.92). CONCLUSIONS: While
no differences in lung function were found between workers and nonworkers, lung
function was reduced among decontamination workers and workers with high exposure
to burning oil/gas compared with unexposed workers.
PMID- 29381493
TI - Is the Association Between Obesity and Hip Osteoarthritis Surgery Explained by
Familial Confounding?
AB - BACKGROUND: Familial confounding is confounding due to genetics or environmental
exposures shared by family members. We aimed to study whether familial
confounding explains the association between body mass index (BMI) and severe hip
osteoarthritis (OA). METHODS: We linked data from the Norwegian Arthroplasty
Registry with the Norwegian Twin Registry on the National ID-number in 2014,
generating a population-based prospective cohort study of same-sex twins born
between 1915 and 1960 (53.4% females). BMI was calculated from self-reported
height/weight. The outcome was incident hip arthroplasty due to OA (follow-up
time, 1987-2014; 424 914 person-years). We performed sex-specific co-twin control
analyses of dizygotic (N = 5,226) and monozygotic (MZ, N = 3,803) twin pairs
using Cox regression models and explored reasons for any familial confounding
using bivariate twin models. RESULTS: The mean (SD) BMI was 22.6 (2.96), peak
lifetime BMI 25.6 (2.61), and N = 614 had hip surgery due to OA. In cohort
analyses, BMI was associated with hip OA for women and men (hazard ratio [HR] =
1.09, 95% confidence intervals [CIs] = 1.06 to 1.11 and HR = 1.08, 95% CI = 1.04
to 1.12, respectively). When adjusting for familial confounding within MZ twins,
the association got stronger for women (HR = 1.19; 95% CI = 1.05 to 1.36) but
weaker for men (HR = 0.93; 95% CI = 0.75 to 1.16). There was no genetic overlap
between BMI and hip OA, yet the familial confounding in men provides suggestive
evidence that the association could be explained by shared environmental factors.
CONCLUSION: The association between BMI and hip OA may be explained by familial
confounding for men. For women, there was no evidence for familial confounding,
consistent with a causal association. See video abstract at,
http://links.lww.com/EDE/B336.
PMID- 29381494
TI - Menstrual Cycle in Women Who Co-use Marijuana and Tobacco.
AB - OBJECTIVE: Marijuana is the most commonly used illicit drug in the United States
and co-use with tobacco is increasing. Preliminary studies have indicated that
marijuana may suppress luteinizing hormone (LH) or shorten the luteal phase.
Although the literature is mixed, these sex hormones may play a role in smoking
cessation outcomes. This secondary subgroup analysis aims to explore the
menstrual cycle of females who co-use marijuana and tobacco compared with females
who only use tobacco in a sample of tobacco treatment-seeking individuals.
METHODS: Female participants, aged 18 to 50 years, who self-reported regular
menstrual cycles and co-use of marijuana and tobacco were matched 1:3 by age to
participants who only use tobacco. Length of the follicular and luteal phases was
determined using First Response Urine LH tests. Wilcoxon 2-sample t tests were
used to determine differences in phase lengths between groups. RESULTS: Thirteen
women who co-use marijuana and tobacco, and 39 women who only use tobacco were
included in this analysis. Overall, participants were 37.3 +/- 8.0 (SD) years of
age, mostly Caucasian (67%), and smoked 12.6 +/- 5.2 (SD) cigarettes per day. The
luteal phase length among participants who co-use marijuana and tobacco (11.4
days +/- 2.2 [SD]) was significantly shorter than among participants who only use
tobacco (16.8 days +/- 11.3 [SD]; P = 0.002). No differences were found in
follicular phase length or menstrual cycle length. CONCLUSIONS: These data
suggest that females who co-use marijuana and tobacco may have a shortened luteal
phase in comparison with females who only use tobacco. Further studies are needed
to better understand how marijuana use may impact the menstrual cycle and affect
smoking outcomes.
PMID- 29381495
TI - The PEARLS Healthcare Debriefing Tool.
PMID- 29381497
TI - Comparison of Vaginal Axis in Women who Have Undergone Hysterectomy Versus Women
With an Intact Uterus.
AB - OBJECTIVES: To compare vaginal axis and posterior cul-de-sac measurements in
women who have undergone hysterectomy and women with an intact uterus. METHODS:
This was a retrospective cohort study comparing magnetic resonance imaging
findings in women who had undergone hysterectomy with women with an intact
uterus. The primary outcome was change in the upper, middle, and lower vaginal
axes relative to the pelvic inclination correction system line. Secondary
outcomes included angles between the upper-middle and middle-lower vagina, depth
of the posterior cul-de-sac, and total vaginal length. RESULTS: In the
hysterectomy group, the middle vaginal axis was significantly more anterior as
compared with the intact uterus group (61.3 degrees +/- 12.7 vs 49.4 degrees +/-
23.6, P = 0.01). The upper-middle and the middle-lower vaginal angles were
significantly more obtuse in the hysterectomy group than the intact uterus group
(112.3 degrees +/- 28.7 vs 69.3 degrees +/- 56.6, P = 0.01 and 145.4 degrees +/-
13.2 vs 130.9 degrees +/- 29.7, P = 0.02, respectively). The mean depth of the
posterior cul-de-sac and the total vaginal length were significantly shorter in
the hysterectomy group than the intact uterus group (5.5 mm +/- 7.6 vs 21.8 mm +/
11.9, P < 0.0001 and 78.7 mm +/- 3.9 vs 100 mm +/- 15.9, P < 0.001,
respectively). CONCLUSIONS: The vaginal anatomy of women who have undergone
hysterectomy differs significantly from that of women with an intact uterus.
PMID- 29381498
TI - Effectiveness of oral hydration in preventing contrast-induced acute kidney
injury in patients undergoing coronary angiography or intervention: a pairwise
and network meta-analysis.
AB - BACKGROUND: The effectiveness of oral hydration in preventing contrast-induced
acute kidney injury (CI-AKI) in patients undergoing coronary angiography or
intervention has not been well established. This study aims to evaluate the
efficacy of oral hydration compared with intravenous hydration and other
frequently used hydration strategies. METHODS: PubMed, Embase, Web of Science,
and the Cochrane central register of controlled trials were searched from
inception to 8 October 2017. To be eligible for analysis, studies had to evaluate
the relative efficacy of different prophylactic hydration strategies. We selected
and assessed the studies that fulfilled the inclusion criteria and carried out a
pairwise and network meta-analysis using RevMan5.2 and Aggregate Data Drug
Information System 1.16.8 software. RESULTS: A total of four studies (538
participants) were included in our pairwise meta-analysis and 1754 participants
from eight studies with four frequently used hydration strategies were included
in a network meta-analysis. Pairwise meta-analysis indicated that oral hydration
was as effective as intravenous hydration for the prevention of CI-AKI (5.88 vs.
8.43%; odds ratio: 0.73; 95% confidence interval: 0.36-1.47; P>0.05), with no
significant heterogeneity between studies. Network meta-analysis showed that
there was no significant difference in the prevention of CI-AKI. However, the
rank probability plot suggested that oral plus intravenous hydration had a higher
probability (51%) of being the best strategy, followed by diuretic plus
intravenous hydration (39%) and oral hydration alone (10%). Intravenous hydration
alone was the strategy with the highest probability (70%) of being the worst
hydration strategy. CONCLUSION: Our study shows that oral hydration is not
inferior to intravenous hydration for the prevention of CI-AKI in patients with
normal or mild-to-moderate renal dysfunction undergoing coronary angiography or
intervention.
PMID- 29381499
TI - The CARE Act: Identifying and Supporting Family Caregivers From Hospitals to
Home.
PMID- 29381500
TI - Pregnancy-Related Coronary Artery Dissection: Recognition of a Life Threatening
Process.
AB - Pregnancy-related spontaneous coronary artery dissection (P-SCAD) is a rare but
life-threatening condition of the peripartum and postpartum mother. The gold
standard of diagnosing P-SCAD is a left cardiac catheterization; however, this
diagnostic tool may not be used early because myocardial infarction is not
typically a top differential diagnosis for women and especially young pregnant
women presenting with acute chest pain. Providers and registered nurses,
particularly those in the prehospital setting, the emergency department, and
labor and delivery units, should be aware of signs, symptoms, potential risk
factors, and diagnostic results that could indicate P-SCAD and initiate early and
appropriate treatment to improve maternal outcomes.
PMID- 29381501
TI - Using Critical Care Simulations to Prepare Nursing Students for Capstone Clinical
Experiences.
AB - The purpose of this innovative learning strategy was to decrease students' fear
and anxiety within the critical care environment before the initiation of a
critical care capstone clinical experience by enhancing their familiarity of the
critical care environment through deliberate practice and experiential learning.
This will in turn increase student knowledge and competence in the critical care
setting.Critical care units are often used during a capstone clinical experience
to enhance critical thinking and clinical reasoning. Student preparation for
these rotations, however, is varied and often inadequate. The resulting fear and
anxiety impair learning and also contribute to an unsafe environment for this at
risk population.Before the capstone experience, students participated in 3
simulation experiences, each addressing a core concept of critical care nursing.
Faculty engaged students during the simulations, while modeling the confidence
and critical thinking of a critical care nurse.After both the critical care
simulation and the capstone rotation, students stated that the simulation allowed
them the time in a safe environment to critically think through the steps to care
for critical patients before the capstone rotation. The experience provided them
with increased confidence necessary to discharge the responsibilities of a
critical care nurse-attention to critical thinking and reasoning.Mindfully
constructed simulations with clear objectives help to inoculate the student
against fears associated with high-risk patients. This decrease in fear and
anxiety before a hands-on clinical experience may improve patient safety. More
confident students are also better able to engage in both experiential and
deliberate learning, resulting in a more enhanced and meaningful clinical
experience.
PMID- 29381502
TI - The Effect of Cardiac Education on Knowledge and Self-care Behaviors Among
Patients With Heart Failure.
AB - BACKGROUND: No studies have been conducted in Jordan to examine the effect of
cardiac education on knowledge and self-care behaviors among patients with heart
failure in Jordan. PURPOSE: The purpose of this study was to examine the effect
of a cardiac educational program on knowledge and self-care behaviors among
patients with heart failure. METHOD: A quasi-experimental pretest/posttest design
was used. A convenient sample of 127 patients with heart failure was recruited.
The participants were randomly assigned to either experimental (n = 55) or
control (n = 65) group. Knowledge and self-care were measured at 1 and 3 months
after the program application. RESULTS: Results indicated that the change of
knowledge mean score was statistically significant, P <= .001, between the
pretest and both the first and second posttests for the experimental group
compared with the control group. The change of maintenance self-care mean was
statistically significant, P <= .001, between the pretest and both the first and
second posttests for the experimental group compared with the control group.
Moreover, the change in the management self-care mean was statistically
significant, P <= .001, between the pretest and both the first and second
posttests for the experimental group compared with the control group. Finally,
the change of confidence self-care mean was statistically significant, P <= .001,
between the pretest and both the first and second posttests for the experimental
group compared with the control group. CONCLUSION: Applying cardiac education
program helps improve knowledge and self-care among patients with heart failure.
Such educational programs should be adopted in clinical settings to enhance
knowledge and self-care behaviors.
PMID- 29381504
TI - Reducing Anxiety and Improving Engagement in Health Care Providers Through an
Auricular Acupuncture Intervention.
AB - BACKGROUND: Stress and anxiety are experienced by health care providers as a
consequence of caregiving and may result in physical, emotional, and
psychological outcomes that negatively impact work engagement. AIM: The purpose
of this study was to determine whether auricular acupuncture can reduce provider
anxiety and improve work engagement. METHODS: Study participants received 5
auricular acupuncture sessions within a 16-week period utilizing the National
Acupuncture Detoxification Association protocol for treating emotional trauma.
Each participant completed the State-Trait Anxiety Inventory and the Utrecht Work
Engagement Scale (UWES-9) prior to their first session and again after their
fifth treatment. RESULTS: Significant reductions were found in state and trait
anxiety (State-Trait Anxiety Inventory), as well as significant increases in the
overall scores on the UWES as compared with baseline. Only the dedication
subcategory of the UWES showed significant improvement. CONCLUSIONS: Engagement
has been linked to increased productivity and well-being and improved patient and
organizational outcomes. Providing effective strategies such as auricular
acupuncture to support health care providers in reducing anxiety in the workplace
may improve engagement.
PMID- 29381505
TI - Evaluating Sleep in a Surgical Trauma Burn Intensive Care Unit: An Elusive
Dilemma.
AB - BACKGROUND: Evidence points to the adverse effects of sleep deprivation on a
person's health. Despite decades of attention to the issue, patients,
particularly those in the intensive care unit (ICU), continue to suffer.
OBJECTIVE: The purpose of this pilot study was to examine patients' perceptions
of their sleep experience in the surgical trauma burn ICU and identify
contributing factors. METHODOLOGY: Patients were administered the 6-question
Richards-Campbell Sleep Questionnaire (RCSQ) consisting of a 0- to 100-mm scale,
with a low score indicating poor sleep quality. They were also asked an
additional open-ended question. RESULTS: Sixty patients participated. Data
revealed a low overall RCSQ score of 43.6 of 100. Of the 5 validated questions on
the RCSQ, the question with the lowest mean (35.6) targeted depth of sleep. The
question pertaining to falling asleep immediately scored the highest at 52.2. The
open-ended question revealed that 37% reported "interruptions" as the reason for
not sleeping. Pain was also cited as a factor by 30%, with 11.7% citing
discomfort from the bed as an irritant. An additional 21.6% reported noise as the
central reason, with "pumps/monitor" noise as the most frequent culprit at 62%.
DISCUSSION: The pilot study results demonstrate that most participants perceived
their sleep as poor in quality. These results direct targeted interventions that
can be incorporated to reduce sleep deprivation in ICUs.
PMID- 29381506
TI - Building Connections With Patients and Families in the Intensive Care Unit: A
Canadian Top-Performer Success Story.
AB - Consumers are increasingly becoming the voice and impetus for hospital
organizational change in the United States. This is in part due to their
increased stake in cost sharing with hospitals, health systems, and the
ambulatory setting and revisions to health plans with higher deductibles and
copays. With customers wanting services better, faster, and more economical than
in the past, organizations need to break the ceiling on improvement levels for
exceeding expectations of patient experience. Of interest is the hospital
critical care area, because of the heightened patient needs, support, and
resources that are required in this acute setting. Bluewater Health, located in
Sarnia, Ontario, Canada, is a top-industry performer on the patient experience
access-to-care dimension. Much can be learned from the multiple practices it has
used to create an environment that embraces patients and families to the fullest
extent, ensuring the resources needed for optimizing care are received.
PMID- 29381507
TI - Millennial Loyalty-Are You Capturing a Highly Relevant Generational Cohort?
PMID- 29381509
TI - Aspirin suppresses neuronal apoptosis, reduces tissue inflammation, and restrains
astrocyte activation by activating the Nrf2/HO-1 signaling pathway.
AB - The nuclear factor E2-related factor 2 (Nrf2)/antioxidant response element
signaling pathway plays a substantial role in preventing oxidative stress-related
diseases. Aspirin has been shown to exert several pharmacological effects by
inducing the expression of the heme oxygenase-1 (HO-1) protein. However, the
effects of aspirin on spinal cord injury (SCI) have rarely been studied.
Therefore, we sought to investigate the neuroprotective effects of aspirin after
SCI. We employed a spinal cord contusion model in Sprague-Dawley rats, and
aspirin was administered intraperitoneally for 7 days. Nissl staining showed that
the aspirin treatment significantly reduced the loss of motor neurons after SCI
compared with vehicle-treated animals. The expression of Nrf2, quinine
oxidoreductase 1, and HO-1 proteins was increased in aspirin-treated animals
after SCI compared with the vehicle group. In addition, aspirin simultaneously
decreased the expression of inflammation-related proteins, such as tumor necrosis
factor-alpha and interleukin-6 after SCI. Moreover, the ratio of apoptotic
neurons in the anterior horn and the levels of the apoptosis-related proteins
caspase-3, cleaved caspase-3, and Bax were significantly decreased in the aspirin
group compared with the vehicle group. Immunofluorescence staining was used to
detect the colocalization of NeuN and HO-1, and the results showed that aspirin
significantly increased expression of the HO-1 protein in neurons. In addition,
western blots and immunofluorescence staining showed aspirin restrained astrocyte
activation. In conclusion, aspirin induces neuroprotective effects by inhibiting
astrocyte activation and apoptosis after SCI through the activation of the
Nrf2/HO-1 signaling pathway.
PMID- 29381510
TI - Adding Dopamine to Proxymetacaine or Oxybuprocaine Solutions Potentiates and
Prolongs the Cutaneous Antinociception in Rats.
AB - BACKGROUND: We evaluated the interaction of dopamine-proxymetacaine and dopamine-
oxybuprocaine antinociception using isobolograms. METHODS: This experiment uses
subcutaneous drug (proxymetacaine, oxybuprocaine, and dopamine) injections under
the skin of the rat's back, thus simulating infiltration blocks. The dose-related
antinociceptive curves of proxymetacaine and oxybuprocaine alone and in
combination with dopamine were constructed, and then the antinociceptive
interactions between the local anesthetic and dopamine were analyzed using
isobolograms. RESULTS: Subcutaneous proxymetacaine, oxybuprocaine, and dopamine
produced a sensory block to local skin pinpricks in a dose-dependent fashion. The
rank order of potency was proxymetacaine (0.57 [0.52-0.63] MUmol/kg) >
oxybuprocaine (1.05 [0.96-1.15] MUmol/kg) > dopamine (165 [154-177] MUmol/kg; P <
.01 for each comparison) based on the 50% effective dose values. On the
equianesthetic basis (25% effective dose, 50% effective dose, and 75% effective
dose), the nociceptive block duration of proxymetacaine or oxybuprocaine was
shorter than that of dopamine (P < .01). Oxybuprocaine or proxymetacaine
coinjected with dopamine elicited a synergistic antinociceptive effect and
extended the duration of action. CONCLUSIONS: Oxybuprocaine and proxymetacaine
had a higher potency and provoked a shorter duration of sensory block compared
with dopamine. The use of dopamine increased the quality and duration of skin
antinociception caused by oxybuprocaine and proxymetacaine.
PMID- 29381511
TI - The Evolving Dilemma of Factor XI in Pregnancy: Suggestions for Management.
AB - A case of a patient with severe factor XI (FXI) deficiency who presented for her
seventh labor and delivery is presented. The nature of FXI deficiency, its
prevalence, and issues related to genetic screening are discussed. Published
literature on the topic is reviewed, including criteria that were developed to
assess bleeding, laboratory tools used to estimate bleeding risk, and available
treatments. Within the context of this challenging clinical dilemma, specific
recommendations are provided for the antepartum, intrapartum, and postpartum
stages of pregnancy. These include recommendations that take into account both
FXI levels and history of any abnormal bleeding. While there are effective
treatments available, it is important to consider that institutional
multidisciplinary protocols are needed to manage this complex disorder. More work
is needed to define the best management protocols.
PMID- 29381512
TI - Sex Difference of Angiotensin IV-, LVV-Hemorphin 7-, and Oxytocin-Induced
Antiallodynia at the Spinal Level in Mice With Neuropathic Pain.
AB - BACKGROUND: We demonstrated previously that angiotensin IV (Ang IV) and LVV
hemorphin 7 (LVV-H7) act through the blockade of insulin-regulated aminopeptidase
to decrease oxytocin degradation, thereby causing antihyperalgesia at the spinal
level in rats. We determined that intrathecal oxytocin can induce significant
antihyperalgesia in male rats with inflammation but not in female rats. Thus, we
speculate that Ang IV, LVV-H7, and oxytocin can induce antiallodynia, which could
be of great therapeutic potential. Because the antihyperalgesia by using these
peptides was with sex difference, their possible antiallodynia was examined in
male and female mice for comparison. We investigated whether Ang IV, LVV-H7, and
oxytocin produce antiallodynia at the spinal level in mice and whether this
antiallodynia differs between the sexes. METHODS: Partial sciatic nerve ligation
surgery was performed on adult male and female C57BL/6 mice from the same litter
(25-30 g). The effects of intrathecal injections of Ang IV (25.8 nmol), LVV-H7
(27.2 nmol), and oxytocin (0.125 or 1.25 nmol) were assessed through the von Frey
test 3 days after partial sciatic nerve ligation. RESULTS: Intrathecal injection
of Ang IV, LVV-H7, and oxytocin all produced a potent antiallodynia in male mice.
However, these antiallodynia effects were either extremely weak or absent in
female mice at the same dose. CONCLUSIONS: Intrathecal Ang IV, LVV-H7, and
oxytocin can all cause significant antiallodynia in male mice. The Ang IV-, LVV
H7-, and oxytocin-induced antiallodynia effects differed between the sexes at the
spinal level in mice.
PMID- 29381513
TI - A Systematic Review of Outcomes Associated With Withholding or Continuing
Angiotensin-Converting Enzyme Inhibitors and Angiotensin Receptor Blockers Before
Noncardiac Surgery.
AB - BACKGROUND: The global rate of major noncardiac surgical procedures is increasing
annually, and of those patients presenting for surgery, increasing numbers are
taking either an angiotensin-converting enzyme inhibitor (ACE-I) or an
angiotensin receptor blocker (ARB). The current recommendations of whether to
continue or withhold ACE-I and ARB in the perioperative period are conflicting.
Previous meta-analyses have linked preoperative ACE-I/ARB therapy to the
increased incidence of postinduction hypotension; however, they have failed to
correlate this with adverse patient outcomes. The aim of this meta-analysis was
to determine whether continuation or withholding ACE-I or ARB therapy in the
perioperative period is associated with mortality and major morbidity. METHODS:
This meta-analysis was prospectively registered on PROSPERO (CRD42017055291). A
comprehensive search of MEDLINE (PubMed), CINAHL (EBSCO host), ProQuest, Cochrane
database, Scopus, and Web of Science was conducted on December 6, 2016. We
included adult patients >18 years of age on chronic ACE-I or ARB therapy who
underwent noncardiac surgery in which ACE-I or ARB was either withheld or
continued on the morning of surgery. Primary outcomes included all-cause
mortality and major cardiac events (MACE). Secondary outcomes included the risk
of congestive heart failure, acute kidney injury, stroke,
intraoperative/postoperative hypotension, and the length of hospital stay.
RESULTS: After abstract review, the full text of 25 studies was retrieved, of
which 9 fulfilled the inclusion criteria: 5 were randomized control trials, and 4
were cohort studies. These studies included a total of 6022 patients on chronic
ACE-I/ARB therapy before noncardiac surgery. A total of 1816 patients withheld
treatment the morning of surgery and 4206 continued their ACE-I/ARB. Preoperative
demographics were similar between the 2 groups. Withholding ACE-I/ARB therapy was
not associated with a difference in mortality (odds ratio [OR], 0.97; 95%
confidence interval [CI], 0.62-1.52; I = 0%) or MACE (OR, 1.12; 95% CI, 0.82
1.52; I = 0%). However, withholding therapy was associated with significantly
less intraoperative hypotension (OR, 0.63; 95% CI, 0.47-0.85; I = 71%). No effect
estimate could be pooled concerning length of hospital stay and congestive heart
failure. CONCLUSIONS: This meta-analysis did not demonstrate an association
between perioperative administration of ACE-I/ARB and mortality or MACE. It did,
however, confirm the current observation that perioperative continuation of ACE
I/ARBs is associated with an increased incidence of intraoperative hypotension. A
large randomized control trial is necessary to determine the appropriate
perioperative management of ACE-I and ARBs.
PMID- 29381514
TI - Activation of Melatonin Receptors by Ramelteon Induces Cardioprotection by
Postconditioning in the Rat Heart.
AB - Activation of melatonin receptors protects the heart against ischemia-reperfusion
injury. Ramelteon, a clinically used drug for insomnia, acts via activation of
melatonin receptors. We investigated whether ramelteon induces acute infarct size
reduction by postconditioning. Male Wistar rats were randomized to 6 groups.
Hearts were treated with melatonin and ramelteon at the beginning of reperfusion.
The melatonin receptor inhibitor luzindole was administered with and without
melatonin and ramelteon, respectively. Ramelteon reduced infarct size to the same
extent as melatonin. Both effects were completely abolished by luzindole. The
results show for the first time that ramelteon induces cardioprotection by
postconditioning.
PMID- 29381515
TI - In Response.
PMID- 29381516
TI - Flupirtine: A Less-Explored, Neglected Nonopioid Analgesic.
PMID- 29381517
TI - Misguided by INR in Liver Disease Patients? Implications for Clinicians Including
Pain Proceduralists.
PMID- 29381519
TI - Summative Objective Structured Clinical Examination Assessment at the End of
Anesthesia Residency for Perioperative Ultrasound.
AB - While standardized examinations and data from simulators and phantom models can
assess knowledge and manual skills for ultrasound, an Objective Structured
Clinical Examination (OSCE) could assess workflow understanding. We recruited 8
experts to develop an OSCE to assess workflow understanding in perioperative
ultrasound. The experts used a binary grading system to score 19 graduating
anesthesia residents at 6 stations. Overall average performance was 86.2%, and 3
stations had an acceptable internal reliability (Kuder-Richardson formula 20
coefficient >0.5). After refinement, this OSCE can be combined with standardized
examinations and data from simulators and phantom models to assess proficiency in
ultrasound.
PMID- 29381520
TI - Midterm functional outcomes in operatively treated adolescent Lisfranc injuries.
AB - : This study evaluates the midterm outcomes of Lisfranc injuries in adolescents
operatively treated with Kirschner wire or screw fixation. Eight adolescent
patients with Lisfranc injuries operatively treated in a single institution were
included in this study. The mean duration of follow-up was 3.8 years. Analysis of
radiographs with respect to the degree of initial displacement and final
reduction was carried out in terms of the intermetatarsal distances. All cases
were scored functionally using the American Orthopaedic Foot and Ankle Society
Midfoot Scale. We concluded that most operatively treated cases of adolescent
Lisfranc injuries will do well at the midterm follow-up, with a mean American
Orthopaedic Foot and Ankle Society Midfoot score of 93.4. LEVEL OF EVIDENCE:
Level 4 (Case series).
PMID- 29381521
TI - Extended Ponseti method for failed tenotomy in idiopathic clubfeet: a pilot
study.
AB - We evaluated the outcome of a new protocol of an extended Ponseti method in the
management of idiopathic club foot with residual equinus following failed
Achilles tenotomy. We also compared the failed with a successful tenotomy group
to analyze the parameters for failure. The Ponseti technique-treated idiopathic
club foot patients with failed percutaneous Achilles tenotomy (failure to achieve
<15 degrees dorsiflexion) were treated by continued stretching casts, with a
weekly change for a further 3 weeks. Final dorsiflexion more than 15 degrees if
achieved with the above protocol was recorded as a success. Twenty-six (16%)
patients with failed Achilles tenotomy and residual equinus out of a total of 161
patients with primary idiopathic club foot were tested with the protocol. Ten
(38.5%) failed patients had bilateral foot involvement and 16 (61.5%) had
unilateral foot involvement. A total of seven (26.9%) patients achieved the end
point dorsiflexion of more than 15 degrees in one further cast, 10 (38.5%) in
two casts, and four (15.4%) in three casts, respectively. Overall success of the
extended Ponseti protocol was achieved in 21/26 (80.8%) patients. The patient's
age, precasting initial Pirani score, number of Ponseti casts, pretenotomy Pirani
score, and pretenotomy ankle joint dorsiflexion were statistically different in
the failed compared with the successful tenotomy group. The tested extended
Ponseti protocol showed a success rate of 80.8% in salvaging failed tenotomy
cases. The failed tenotomy group was relatively older at presentation, had high
precasting and pretenotomy Pirani scores, received extra number of Ponseti casts,
and less pretenotomy ankle joint dorsiflexion compared with successful feet.
PMID- 29381522
TI - Violaceous Pink Axillary and Intertriginous Patches: Answer.
PMID- 29381523
TI - Bilateral Symmetric Hyperpigmented Forehead Patches: Answer.
PMID- 29381524
TI - Bilateral Symmetric Hyperpigmented Forehead Patches: Challenge.
PMID- 29381525
TI - Bilateral and Symmetrical Linear Plaques on the Hands: Challenge.
PMID- 29381526
TI - The Modified Otago Exercises Prevent Grip Strength Deterioration Among Older
Fallers in the Malaysian Falls Assessment and Intervention Trial (MyFAIT).
AB - BACKGROUND AND PURPOSE: Exercise-based interventions, such as the Otago Exercise
Program (OEP), are effective in preventing falls in the older adult. Previous
studies evaluating the OEP have determined falls, lower limb strength, or balance
outcomes but with lack of assessment of hand grip strength. The objective of this
study is to evaluate the effect of OEP on hand grip strength alongside mobility
and balance outcomes. METHODS: This was a single-center, prospective, and single
blind randomized controlled trial conducted at the University Malaya Medical
Centre. Patients older than 65 years presenting to the hospital emergency
department or geriatric clinic with 1 injurious fall or 2 falls in the past year
and with impaired functional mobility were included in the study. The
intervention group received a modified OEP intervention (n = 34) for 3 months,
while the control group received conventional care (n = 33). All participants
were assessed at baseline and 6 months. RESULTS: Twenty-four participants in both
OEP and control groups completed the 6-month follow-up assessments. Within-group
analyses revealed no difference in grip strength in the OEP group (P = 1.00,
right hand; P = .55, left hand), with significant deterioration in grip strength
in the control group (P = .01, right hand; P = .005, left hand). Change in grip
strength over 6 months significantly favored the OEP group (P = .047, right hand;
P = .004, left hand). Significant improvements were also observed in mobility and
balance in the OEP group. CONCLUSIONS: In addition to benefits in mobility and
balance, the OEP also prevents deterioration in upper limb strength. Additional
benefits of exercise interventions for secondary prevention of falls in term of
sarcopenia and frailty should also be evaluated in the future.
PMID- 29381528
TI - The Current State of Surgical Ergonomics Education in U.S. Surgical Training: A
Survey Study.
AB - OBJECTIVE: The aim of this study was to characterize the current state of
surgical ergonomics education in the United States. BACKGROUND: The burden of
work-related musculoskeletal disorders (MSDs) in surgeons is high and no
overarching strategy for redress exists. Twelve distinct specialties describe an
unmet need for surgical ergonomics education (SEE). This study aimed to define
the current state of SEE in U.S. surgical training programs. METHODS: We
performed a descriptive analysis of a 20-item questionnaire of ACGME-certified
program directors from 14 surgical and interventional medical specialties. Formal
SEE was defined as any organized education module that reviewed the occupation
specific burden of common work-related MSDs and described a framework for
prevention via occupation-specific applied ergonomics. Program directors were
queried regarding SEE provision, characteristics, and perceived trainee attitude
toward the education. RESULTS: Questionnaires were received from 130 of 441
(29.5%) program directors. Two (1.5%) provided formal SEE and 33 (25.4%) provided
informal SEE, which consisted of unstructured intraoperative directives and
isolated lectures. Two programs previously provided SEE but discontinued the
effort due to lack of an evidence-based framework and instructors. Trainees
appeared to think that learning surgical ergonomics skills was a worthwhile time
investment in 100% and 76.7% of current formal and informal SEE, respectively.
CONCLUSION: SEE is rarely provided in any capacity (25.4%), let alone in a
consistent or evaluable fashion (1.5%). Impediments to sustainable SEE include
lack of an evidence-based framework for education and instructors. An evidence
based, reproducible, and accreditation council-compliant SEE module would be a
valuable resource for the surgical and interventional medical communities.
PMID- 29381530
TI - The safety we weave.
PMID- 29381531
TI - Nurse leader mentorship.
PMID- 29381527
TI - Community, Public Policy, and Recovery from Mental Illness: Emerging Research and
Initiatives.
AB - This commentary examines the roles that communities and public policies play in
the definition and processes of recovery for adults with mental illness. Policy,
clinical, and consumer definitions of recovery are reviewed, which highlight the
importance of communities and policies for recovery. This commentary then
presents a framework for the relationships between community-level factors,
policies, and downstream mental health outcomes, focusing on macroeconomic,
housing, and health care policies; adverse exposures such as crime victimization;
and neighborhood characteristics such as social capital. Initiatives that address
community contexts to improve mental health outcomes are currently under way.
Common characteristics of such initiatives and select examples are discussed.
This commentary concludes with a discussion of providers', consumers', and other
stakeholders' roles in shaping policy reform and community change to facilitate
recovery.
PMID- 29381532
TI - A nurse educator's guide to cultural competence.
PMID- 29381533
TI - The state of nurse leader wellness: Results of the Nursing Management Wellness
Survey.
PMID- 29381534
TI - Using systems thinking to envision quality and safety in healthcare.
PMID- 29381535
TI - Our finalist: Venetia Green.
AB - The following manuscript is the finalist 2017 Richard Hader Visionary Leader
Award entry, submitted to Nursing Management in recognition of Venetia Green,
MSM, BSN, RN, CMSRN, director of inpatient nursing services at Schneck Medical
Center in Seymour, Ind.
PMID- 29381536
TI - Nursing Management Congress2017 Recap.
PMID- 29381537
TI - The PRO leader model.
AB - A transformative approach to influence change.
PMID- 29381538
TI - When staff members won't stop texting.
PMID- 29381539
TI - Ablative Lasers: 24 Years of Medical Malpractice Cases in the United States.
PMID- 29381541
TI - A Port-Wine Stain Treated With Pulsed Dye Laser: A Complication From Contaminated
Makeup.
PMID- 29381542
TI - Three-Staged Reconstruction of a Nasal Defect.
PMID- 29381540
TI - Swept-Source Optical Coherence Tomography-Supervised Biopsy.
AB - BACKGROUND: Currently, only skin biopsy can provide definitive histological
confirmation for the diagnosis of skin diseases. To improve the diagnostic
accuracy and to assist the dermatologist, various imaging techniques have been
added to the examination of skin. Among all these techniques, the recent advances
in optical coherence tomography (OCT) have made it possible to image the skin up
to 2 millimeters in depth. OBJECTIVE: To testify the feasibility of OCT imaging
in skin biopsy, the authors investigated the OCT imaging for real-time
visualization of needle insertion and punch biopsy techniques in both a tissue
phantom and biological tissue. MATERIALS AND METHODS: A swept-source OCT with
1,305-nm central wavelength was used in this study. The euthanized mouse was used
for real-time visualization of needle insertion. A gelatin phantom with India ink
was used to demonstrate the punch biopsy using OCT. RESULTS: Optical coherence
tomography can provide guidance for skin injections as well as real-time imaging
to assist in the performance of punch biopsy. CONCLUSION: Optical coherence
tomography holds potential not only as a diagnostic tool in dermatology. It can
also allow for visualization for more accurate drug delivery, and noninvasively
assess the response to treatment.
PMID- 29381543
TI - First Safety and Performance Evaluation of T45K, a Self-Assembling Peptide
Barrier Hemostatic Device, After Skin Lesion Excision.
AB - BACKGROUND: The self-assembling peptide barrier T45K (SAPB-T45K) is an
oligopeptide that rapidly forms a biocompatible hemostatic barrier when applied
to wounds. OBJECTIVE: Evaluate safety and performance of SAPB-T45K in cutaneous
surgery. MATERIALS AND METHODS: In this single-blind study, after sequential
shave excision of 2 lesions, wounds were randomized (intrapatient) to SAPB-T45K
or control treatment. Safety was assessed at treatment, Day 7, and Day 30.
Performance was evaluated using time to hemostasis (TTH) and ASEPSIS wound
scores, with a subgroup analysis for patients with or without antiplatelet
therapy. RESULTS: Each of 46 patients (10 [22%] with antiplatelet therapy)
received randomized SAPB-T45K or control treatment for 2 wounds. Safety
assessments were similar, and ASEPSIS scores reflected normal healing in both
wound groups. SAPB-T45K demonstrated significantly faster median TTH (24.5
[range, 7-165] seconds) compared with control (44 [10-387] seconds), for a 41%
median TTH reduction (18 [95% confidence interval, 7-35] seconds, p < .001). SAPB
T45K provided an identical median TTH of 24 seconds, regardless of antiplatelet
therapy. Control median TTH was 90 and 40 seconds for patients taking or not
taking antiplatelet therapy, respectively. CONCLUSIONS: SAPB-T45K provided
significantly faster median TTH versus control, especially with antiplatelet
therapy, and safety profiles were similar.
PMID- 29381544
TI - A Single Center, Prospective, Randomized, Sham-Controlled, Double-Blinded, Split
Face Trial Using Microinjections of Transparent Hyaluronic Acid Gel for Cheek
Rejuvenation.
AB - BACKGROUND: "Skin boosting" with injections of hyaluronic acid has been
demonstrated to improve aged skin. OBJECTIVE: The aim of this study was to
determine the efficacy and safety of small-particle hyaluronic acid with
lidocaine (SP-HAL) microaliquots for the correction of fine lines of the cheeks.
MATERIALS AND METHODS: Twenty subjects with mild to moderate static mid to lower
cheek rhytides were enrolled. The right or left cheek was randomized to receive 1
mL of SP-HAL using a microdroplet technique, with the contralateral cheek treated
with sham injection of sterile normal saline. The degree of cheek wrinkling and
elastosis based on the Fitzpatrick-Goldman Wrinkle and Elastosis Scale was
assessed at baseline and 7, 14, 30, 90, and 180 days after treatment. Subjects
rated their satisfaction at days 90 and 180. RESULTS: Fourteen patients completed
the study. There were no statistically significant improvements in wrinkling or
elastosis of the SP-HAL-treated cheek or control cheek at any time point. In
addition, there were no significant differences in wrinkling, elastosis, or
patient satisfaction between the treatment cheek and control cheek. CONCLUSION:
One treatment of intradermal microdroplet injections of SP-HAL to the mid to
lower cheek failed to show superiority over normal saline in improving clinical
signs of skin wrinkling and elastosis.
PMID- 29381545
TI - Reliability of the Patient and Observer Scar Assessment Scale When Used With
Postsurgical Scar Photographs.
PMID- 29381546
TI - Reconstruction of a Full-Thickness Auricular Defect After Mohs Micrographic
Surgery.
PMID- 29381547
TI - A Large Surgical Defect of the Central Forehead.
PMID- 29381548
TI - The Effects of Postoperative Intralesional Corticosteroids in the Prevention of
Recurrent Earlobe Keloids: A Multispecialty Retrospective Review.
AB - BACKGROUND: Effective treatment of keloids is challenging because the recurrence
rate after surgical excision is high. Data on the best treatment practices are
lacking. OBJECTIVE: To investigate the recurrence rate after surgical excision of
earlobe keloids based on a postoperative intralesional corticosteroid injection
protocol. MATERIALS AND METHODS: Retrospective chart review was performed from
January 1, 2005, to March 31, 2016, of patients who had excision of ear keloids
within the departments of dermatology, otorhinolaryngology, and plastic surgery.
The number of postoperative injections was recorded, recurrence was reported by
the patient, and the efficacy of an injection protocol was evaluated. RESULTS:
There were 277 charts reviewed. Appropriate data were available for 184 patients.
A statistically significant difference was found with recurrence associated with
a lower number of injections (p < .001). Keloids were more likely to recur if
they were not treated with a planned serial injection protocol (p < .001) or if
they were treated outside the department of dermatology (p < .001). CONCLUSION:
Intralesional corticosteroid injection after surgical excision of earlobe keloids
statistically minimizes the risk of recurrence.
PMID- 29381549
TI - Myxoid Cyst as a Probable Complication of Nail Surgery.
PMID- 29381550
TI - Commentary on A Single Center, Prospective, Randomized, Sham-Controlled, Double
Blinded, Split-Face Trial Using Microinjections of Transparent Hyaluronic Acid
Gel for Cheek Rejuvenation.
PMID- 29381551
TI - Reply: Vectra 3D Imaging for Quantitative Volumetric Analysis of the Upper Limb:
A Feasibility Study for Tracking Outcomes of Lymphedema Treatment.
PMID- 29381552
TI - Reply: The Value of Secondary Intention Healing in Eyelid Reconstruction:
Response to Recent CME Article by Chang, Esmaeli, and Butler - Content Needs to
be Evidence-Based.
PMID- 29381554
TI - Vectra 3D Imaging for Suprapubic Lymphedema and Volume Change of the Lower
Abdomen Associated with Lower Extremity Lymphedema.
PMID- 29381553
TI - Sural Nerve Splitting in Reverse Sural Artery Perforator Flap: Anatomical Study
in 40 Cadaver Legs.
PMID- 29381555
TI - The Value of Secondary Intention Healing in Eyelid Reconstruction: Response to
Recent CME Article by Chang, Esmaeli and Butler - Content Needs to be Evidence
Based.
PMID- 29381556
TI - Pill in the Airway of a Lung Transplant Recipient.
PMID- 29381559
TI - High rates of incident diabetes and prediabetes are evident in men with treated
HIV followed for 11 years.
AB - OBJECTIVE: To determine the long-term incidence of glucose disorders in treated
HIV infection, associations with traditional and HIV-specific risk factors.
METHODS: Observational cohort of 104 men with treated HIV infection and without
diabetes, aged 43 +/- 8 years at baseline, with (mean +/- SD) 11.8 +/- 3.5 years
follow-up. Ascertainment of glucose status by fasting glucose or, in a subset (n
= 33), a 75 g oral glucose tolerance test by 10-12 years follow-up. A subset
underwent sequential body composition measures (n = 58) to determine changes in
total body and central abdominal adiposity. RESULTS: The cumulative incidence of
glucose disorders was 45.8% (prediabetes 32.3%, diabetes 12.5%), with an
incidence rate of 34.5/1000 years of patient follow-up (PYFU) (prediabetes:
24.3/1000 PYFU; diabetes: 10.2/1000 PYFU). Incident glucose disorders were
independently associated with higher age (44.9 +/- 8.4 vs. 41.1 +/- 7.5 years, P
= 0.027), baseline C-peptide (2.9 +/- 1.3 vs. 2.4 +/- 1.1 ng/ml, P = 0.019) and
baseline 2-h glucose (135 +/- 41 vs. 95 +/- 25 mg/dl, P < 0.001). A prior AIDS
defining illness was independently associated with higher follow-up fasting
glucose (108 +/- 38 vs. 94 +/- 16 mg/dl, P = 0.007). Abdominal fat gain over 2-4
years was associated with a 3.16-fold increased risk of incident glucose
disorders (95% CI 1.30-7.68, P = 0.011). In a subgroup who underwent further oral
glucose tolerance testing, 60% had a glucose disorder, the majority not detected
by fasting glucose. CONCLUSION: Men with long-term treated HIV infection have
high rates of incident glucose disorders associated with modest abdominal fat
gain. Directed measures to prevent diabetes in this population are warranted.
PMID- 29381558
TI - Care continuum entry interventions: seek and test strategies to engage persons
most impacted by HIV within the United States.
AB - : The current review re-conceptualizes seek and test strategies, particularly
given the changing importance of HIV testing as care continuum entry for persons
irrespective of their HIV status. Care continuum entry advances previous seek and
test strategies for client engagement with two next-generation functions: use of
testing to engage (or re-engage) HIV negative clients in preexposure prophylaxis
(PrEP) care; and testing individuals who may already be known positives for care
continuum re-entry. We review existing seek and test strategies for most impacted
community members with a goal of optimizing care continuum entry as we move
towards HIV transmission elimination. These strategies are context, sub-group,
community and epidemic-specific. This review is timely, given the initiation of
routine PrEP care, which shifts and broadens our conceptualization of care
continuum entry triggered by the HIV testing event. In addition, as the epidemic
becomes more concentrated, focusing on re-engagement of HIV-infected persons
becomes increasingly important given that transmission events involve both those
acutely and newly infected as well as the large numbers who may not be virally
suppressed. We start with examination of routine testing in healthcare settings,
emphasizing its potential role in re-engagement for persons out of care.
Subsequently, we describe risk-based testing to identify key populations. We then
review network-based approaches and their impact on the epidemic. We close with
future directions for individual and combination care continuum entry strategies
most relevant to elimination of HIV transmission in the United States.
PMID- 29381560
TI - Omega-3 decreases IL-6 levels in HIV and human herpesvirus-8 coinfected patients
in Uganda.
AB - OBJECTIVE: Kaposi sarcoma is a HIV-associated malignancy caused by human
herpesvirus-8 (HHV-8) that occurs at highest incidence in sub-Saharan Africa.
Kaposi sarcoma patients often present with inflammatory symptoms associated with
higher mortality. DESIGN: We conducted a double-blind, randomized, placebo
controlled study in Uganda to test whether omega-3 supplementation could reduce
inflammation in HIV and HHV-8 coinfected adults. Patients with acute illness,
AIDS, or advanced Kaposi sarcoma were ineligible, as were pregnant women.
Participant IDs were pre-randomized, blocked by Kaposi sarcoma status, to either
the omega-3 or placebo arm. METHODS: Omega-3 participants received a 3-g pill
dose daily for 12 weeks (1.8-g eicosapentaenoic acid, 1.2-mg docosapentaenoic
acid); placebo participants received 44.8 mg of high oleic safflower oil that
appeared indistinguishable from the active supplement. Intervention effects were
evaluated as the baseline-adjusted mean difference after 12 weeks between omega-3
and placebo participants in concentrations of fatty acids, inflammatory
cytokines, and immune cells. RESULTS: The final study population included 56
Kaposi sarcoma patients and 11 Kaposi sarcoma-negative, HIV and HHV-8-positive
participants randomized to receive either omega-3 (N = 33) or placebo (N = 34).
Inflammatory cytokine IL-6 concentrations decreased in omega-3 participants (
0.78 pg/ml) but increased in placebo participants (+3.2 pg/ml; P = 0.04). We
observed a trend toward decreased IL-6 after omega-3 supplementation specific to
Kaposi sarcoma patients (P = 0.08). CD8 T-cell counts tended to increase in the
omega-3 arm Kaposi sarcoma patients (+60 cells/MUl), in contrast to decreases (
47 cells/MUl) among placebo (P = 0.11). CONCLUSION: Omega-3 supplementation
decreased IL-6 concentrations among HIV and HHV-8 coinfected Ugandans, which may
have clinical benefit for Kaposi sarcoma patients.
PMID- 29381561
TI - Acute hepatitis A breakthrough in MSM in Paris area: implementation of targeted
hepatitis A virus vaccine in a context of vaccine shortage.
PMID- 29381562
TI - Dolutegravir and metformin: a clinically relevant or just a pharmacokinetic
interaction?
PMID- 29381563
TI - Cytomegalovirus encephalitis in the post-HAART era: is there a gold standard for
treatment?
PMID- 29381564
TI - Neuropsychological performance in African children with HIV enrolled in a
multisite antiretroviral clinical trial: Erratum.
PMID- 29381565
TI - In Reply: Reproducibility of Central Corneal Thickness Measurements in Healthy
and Glaucomatous Eyes.
PMID- 29381566
TI - Urinary Biomarkers to Predict Neonatal Acute Kidney Injury: A Review of the
Science.
AB - Acute kidney injury (AKI) occurs in approximately 30% of all infants hospitalized
in the neonatal intensive care unit. About 40% of very low-birth-weight infants
develop AKI, with an estimated mortality rate of 50% to 80%. Very low-birth
weight survivors have twice the risk of developing chronic renal disease later in
life compared with their term counterparts. Current diagnostic modalities for AKI
include serum creatinine and urine output; however, recent studies suggest that
these measures are imprecise, as they may not change until 25% to 50% of renal
function is lost. Urinary biomarkers may more accurately identify infants at risk
for early AKI development. The purpose of this review is to discuss current
research findings related to neonatal AKI risk factors, provide an overview of
short- and long-term outcomes, describe innovative diagnostic approaches, and
identify future research direction needed to improve prediction and intervention
strategies associated with renal impairment.
PMID- 29381567
TI - How Does the United States Rank According to the World Breastfeeding Trends
Initiative?
AB - The World Breastfeeding Trends Initiative is an assessment process designed to
facilitate an ongoing national appraisal of progress toward the goals of the
United Nations Children's Fund (UNICEF)/World Health Organization (WHO) Global
Strategy for Infant and Young Child Feeding. More than 80 countries have
completed this national assessment, including the United States of America. This
article describes the process undertaken by the US World Breastfeeding Trends
Initiative team, the findings of the expert panel related to infant and young
child feeding policies, programs, and practices and the ranking of the United
States compared with the 83 other participating nations. Identified strengths of
the United States include data collection and monitoring, especially by the
Centers for Disease Control and Prevention, the US Baby-Friendly Hospital
Initiative, and the United States Breastfeeding Committee. The absence of a
national infant feeding policy, insufficient maternity protection, and lack of
preparation for infant and young children feeding in emergencies are key targets
identified by the assessment requiring concerted national effort.
PMID- 29381568
TI - The Lateral Femoral Cutaneous Nerve: Description of the Sensory Territory and a
Novel Ultrasound-Guided Nerve Block Technique.
AB - BACKGROUND AND OBJECTIVES: Nerve blockade of the lateral femoral cutaneous (LFC)
nerve provides some analgesia after hip surgery. However, knowledge is lacking
about the extent of the cutaneous area anesthetized by established LFC nerve
block techniques, as well as the success rate of anesthetic coverage of various
surgical incisions. Nerve block techniques that rely on ultrasonographic
identification of the LFC nerve distal to the inguinal ligament can be
technically challenging. Furthermore, the branching of the LFC nerve is variable,
and it is unknown if proximal LFC nerve branches are anesthetized using the
current techniques. The primary aim of this study was to investigate a novel
ultrasound-guided LFC nerve block technique based on injection into the fat
filled flat tunnel (FFFT), which is a duplicature of the fascia lata between the
sartorius and the tensor fasciae latae muscle, in order to assess the success
rate of anesthetizing the proximal LFC nerve branches and covering of the
different surgical incisions used for hip surgery. METHODS: First, a cadaveric
study was conducted in order to identify an FFFT injection technique that would
provide adequate injectate spread to the proximal LFC nerve branches. Second, a
clinical study was conducted in a group of 20 healthy volunteers over 2
consecutive days. On trial day 1, successful complete anesthesia of the LFC nerve
was defined by performing a suprainguinal fascia iliaca block bilaterally in each
subject. On trial day 2, a triple-blind randomized controlled trial compared the
effect of the novel ultrasound-guided LFC nerve block technique for bupivacaine
versus placebo. The primary end point was the success rate of anesthesia of the
proximal cutaneous area innervated by the LFC nerve for the FFFT injection with
bupivacaine versus placebo. RESULTS: Adequate spread of injectate to the proximal
LFC nerve branches in cadavers was obtained by injecting 10 mL with dynamic
needle-tip tracking in the FFFT. Application of this technique in the randomized
controlled trial provided anesthesia of the lateral thigh with a success rate of
95% (95% confidence interval, 73.9%-99.8%) for the active side and 0% for placebo
(P < 0.001). The proximal branches were anesthetized with a success rate of 68%
(95% confidence interval, 43.4%-87.4%) on the active side. The proximal extent of
the anesthetized cutaneous area was on average 7.9 cm distal to the greater
trochanter. CONCLUSIONS: This novel LFC nerve block technique is easy and quick
and reliably produces anesthesia of the lateral thigh. The greater trochanter is
rarely included in the area of anesthesia, which reduces the coverage of each
specific surgical incision. The success rate of 68% in anesthetizing the proximal
nerve branches must be further evaluated by future research.
PMID- 29381569
TI - Efficacy and Safety of Lidocaine Infusion Treatment for Neuropathic Pain: A
Randomized, Double-Blind, and Placebo-Controlled Study.
AB - BACKGROUND AND OBJECTIVES: Lidocaine infusion therapy (LIT) is an effective
treatment for relieving neuropathic pain (NeP). However, it remains unclear
whether pain relief can be sustained through repeated lidocaine infusions. This
study aimed to determine whether repeated intravenous administration of low-dose
lidocaine could provide prolonged pain relief in patients with specific NeP
conditions. METHODS: This is a prospective, randomized, double-blind, placebo
controlled, parallel study. We compared the efficacy and safety of lidocaine
infusions (3 mg/kg) in the LIT group and normal saline infusions in the control
group once a week for 4 consecutive weeks in patients with postherpetic neuralgia
or complex regional pain syndrome type II. The primary outcome was the difference
in the percentage change in the 11-point numerical rating scale (NRS) pain score
from baseline to after the final infusion. Secondary outcomes included pain
scores during 4 weeks of follow-up and any complications. RESULTS: Forty-two
patients completed this study protocol. The percentage reduction in NRS pain
scores after the final infusion was significantly greater in the LIT group
compared with the control group (P = 0.011). However, this pain reduction was not
detectable at the 4-week follow-up. The difference in the percentage change in
NRS pain scores was especially prominent in the LIT group after the third and
fourth infusions. None of the study participants experienced serious
complications from the treatment. CONCLUSIONS: Lidocaine infusion therapy (3
mg/kg of lidocaine administered over 1 hour) provided effective short-term pain
relief, which was substantially prominent after repeated infusions were
administered to patients with refractory NeP. CLINICAL TRIAL REGISTRATION: This
study was registered at ClinicalTrials.gov, identifier NCT02597257.
PMID- 29381571
TI - Treatment of Spinopelvic Dissociation: A Critical Analysis Review.
PMID- 29381572
TI - Chagas Disease Recommendations for Solid-Organ Transplant Recipients and Donors.
PMID- 29381573
TI - Visceral and Cutaneous Leishmaniasis Recommendations for Solid Organ Transplant
Recipients and Donors.
PMID- 29381574
TI - Malaria Disease Recommendations for Solid Organ Transplant Recipients and Donors.
PMID- 29381575
TI - Schistosomiasis and Strongyloidiasis Recommendations for Solid-Organ Transplant
Recipients and Donors.
PMID- 29381576
TI - Traveler's Diarrhea Recommendations for Solid Organ Transplant Recipients and
Donors.
PMID- 29381577
TI - Arboviruses Recommendations for Solid-Organ Transplant Recipients and Donors.
PMID- 29381578
TI - Endemic Fungal Infection Recommendations for Solid-Organ Transplant Recipients
and Donors.
PMID- 29381579
TI - Tuberculosis Recommendations for Solid Organ Transplant Recipients and Donors.
PMID- 29381580
TI - Viral Hepatitis Recommendations for Solid-Organ Transplant Recipients and Donors.
PMID- 29381581
TI - Vaccine Recommendations for Solid-Organ Transplant Recipients and Donors.
PMID- 29381582
TI - Recommendations for Management of Endemic Diseases and Travel Medicine in Solid
Organ Transplant Recipients and Donors: Latin America - Map Gallery.
PMID- 29381583
TI - 99mTc-TRODAT-1 SPECT/CT imaging as a complementary biomarker in the diagnosis of
parkinsonian syndromes.
AB - INTRODUCTION: Parkinson's disease (PD) and Parkinson plus syndromes (PPS) are
neurodegenerative movement disorders caused by loss of dopamine in the basal
ganglia. The diagnosis of both PD and PPS is complex as it is made solely on the
basis of clinical features, with no established imaging modality to aid in the
diagnosis. Technetium-99m-labeled tropane derivative (Tc-TRODAT-1) binds to the
dopamine transporters present in the presynaptic membrane of the dopaminergic
nerve terminal. The aim of this prospective study was to investigate the
potential usefulness of Tc-TRODAT-1 imaging in the diagnosis of PD and PPS.
PATIENTS AND METHODS: Fifty-eight patients with a clinical diagnosis of
idiopathic PD or PPS were recruited. The severity of the disease was assessed
using the Hoehn and Yahr scale. Patients in stage I and II were considered as
cases of Early PD. Twenty-five apparently healthy volunteers served as controls.
Brain single-photon emission computed tomography/computed tomography in all the
participants was performed 3-4 h after an injection of Tc-TRODAT-1. Specific
uptake ratios (SURs) of striatum were calculated for both the left and right
striatum, and the values were compared between PD, PPS, and healthy volunteers.
RESULTS: A significant lower uptake of tracer activity was found in either of the
striatum in PD and PPS cases compared with the control group, which showed a
symmetrical comma-shaped striatal uptake. This was also reflected in the SUR
values, which were significantly higher in the control group in comparison with
the PD and PPS patients (P<0.001). A significant difference was also found in the
SUR values between the cases of early PD and control group (P<0.001).No
significant difference was noted among the SUR values in different Hoehn and Yahr
stages. CONCLUSION: For clinical practice, both the visual analysis and the
quantitative parameters of Tc-TRODAT-1 single-photon emission computed
tomography/computed tomography showed usefulness in distinguishing cases of PD
and PPS from the healthy individuals.
PMID- 29381584
TI - Oligometastatic recurrent prostate cancer detects by fluorine-18-choline positron
emission tomography/computed tomography in patients with prostate-specific
antigen levels of up to 5 ng/ml.
AB - PURPOSE: The aim of this study was to assess the ability of fluorine-18
fluorocholine (F-FCH) PET/computed tomography (CT) to detect oligometastatic
disease (OMD) in patients with early recurrence of prostate cancer (PC) [prostate
specific antigen (PSA)<=5 ng/ml]. PATIENTS AND METHODS: Between 2010 and 2016,
324 patients with PC and PSA levels of less than or equal to 5 ng/ml were
recruited. The mean (SD) age of the patients was 71 (10) years. All patients were
treated with a radical prostatectomy+/-lymphadenectomy. One-hundred and twenty
one patients were under hormonal therapy at the time of PET/CT, whereas 203 were
not. The mean (SD) PSA at the time of PET/CT was 1.33 (1.19) ng/ml, the mean (SD)
PSA doubling time (PSAdt) was 10 (12) months, and the mean (SD) PSA velocity
(PSAvel) was 1.94 (3.31) ng/ml/year. The correlation between continuous and
categorical data was assessed using Student's t-test or by analysis of variance
and by the chi-test, respectively. Univariate and multivariate analysis was
carried out for the identification of clinical variables able to predict the
presence of OMD. RESULTS: One-hundred and ninety-three patients had a negative F
FCH PET/CT, whereas 131 (40.4%) had a positive scan. Of these latter patients, 35
had a significant F-FCH uptake in the prostatic fossae, 59 in the lymph nodes,
and 37 in bone. PSA levels were significantly different between patients with a
positive than those with a negative scan (P<0.001). F-FCH PET/CT was negative in
the majority of patients with a PSA of less than or equal to 1 (63.2%) ng/ml.
More than 60% of patients with a PSAdt of less than or equal to 6 months had a
positive F-FCH PET/CT scan for OMD. PSAvel was higher in patients with a positive
scan than those with a negative finding. At univariate analysis, PSA level,
PSAdt, and PSAvel were predictors of a positive F-FCH PET/CT for OMD, whereas on
multivariate analysis, only PSA level and PSAdt were independent predictors (both
P<0.01). Furthermore, PSAdt was the only independent predictor of OMD at the
lymph node level. CONCLUSION: In patients with early recurrence of PC, F-FCH
PET/CT is able to detect OMD in 40% of cases. This finding has an important
impact on the detection of PC recurrent lesions that could be treated by local
therapy to achieve long-term survival or cure.
PMID- 29381585
TI - Clinico-social factors to choose radioactive iodine dose in differentiated
thyroid cancer patients: an Asian survey.
AB - OBJECTIVE: This survey was designed to investigate the practice of radioactive
iodine (RAI) therapy and clinico-social factors related to RAI dose in
differentiated thyroid cancer (DTC) patients among Asian countries. MATERIALS AND
METHODS: A survey questionnaire was mailed to Asian Nuclear Medicine physicians
that addressed the infrastructure, general regulations on RAI therapy, adherence
to recommendations, RAI dose selection, factors to elevate RAI dose, and follow
up protocols in DTC patients. Contrived RAI practice recommendations were based
on key international guidelines. RESULTS: A total of 38 institutes from 20 Asian
countries were enrolled. Dose administration criterion was 30 mCi, but release
criterion was variable (5-70 MUSv/h). When the administered RAI dose was
classified according to three risk stratifications, RAI dose distribution was
variable, especially in the low-risk group. In this group, 14.0% of respondents
preferred no ablation, 54.5% were treated with 0-30 mCi, 21.5% were treated with
30-50 mCi, and 10.0% were administered even higher doses of 80-100 mCi. The major
factors that influenced the elevated RAI doses in the respondents included high
serum thyroglobulin (Tg), inadequate information on lymph node involvement, and
histopathology reporting. Although serum Tg measurement is included in most of
the institutes as a follow-up tool, neck ultrasound was omitted in 25% and in
another 25% a whole-body scan was not included. CONCLUSION: Different RAI dose
ranges are used in the low-risk group probably because the enrolled physicians
consider RAI dose elevation on the basis of clinico-social factors beyond pre
existed guidelines. Our study may enable closer harmonization of RAI therapy
practice in Asian countries.
PMID- 29381586
TI - Evaluation of 18F-FDG PET-CT as a prognostic marker in advanced biliary tract
cancer.
AB - BACKGROUND: Advanced biliary tract cancers have a dismal prognosis. Treatment
with gemcitabine plus cisplatin has resulted in a significant improvement in
survival; however, early assessment of outcomes poses a challenge. OBJECTIVE: We
carried out a prospective study to evaluate the prognostic role of fluorine-18
fluorodeoxyglucose (F-FDG) PET-CT scans in patients with advanced biliary tract
cancer. PATIENTS AND METHODS: Patients with advanced unresectable or metastatic
biliary tract cancer starting first-line chemotherapy with gemcitabine plus
cisplatin underwent F-FDG PET-CT studies at baseline and after two cycles of
therapy. The total lesion glycolysis (TLG) measured at baseline as well as the
variation in TLG between the two studies were analyzed as prognostic indicators
of overall survival. The survival analyses were carried out using Kaplan-Meier
curves and the comparison of survival curves was performed using the Breslow
test. RESULTS: Of the 42 patients included, 37 had the first F-FDG PET-CT and 27
had the second F-FDG PET-CT. Patients with lower TLG values at baseline or after
two cycles of therapy presented a higher median survival than patients with
higher baseline TLG values. Patients with a higher decrease in the TLG values
between the two studies also had a higher median survival time. However, these
results only trended for statistical significance (P values ranging between 0.05
and 0.16). CONCLUSION: Lower baseline TLG measured by F-FDG PET-CT as well as a
decrease in metabolic uptake after chemotherapy were associated with a trend
toward longer median survival among patients with advanced biliary cancers.
PMID- 29381587
TI - Status Quo in Pediatric and Neonatal Simulation in Four Central European Regions:
The DACHS Survey.
AB - INTRODUCTION: Simulation has acquired wide acceptance as an important component
of education in health care and as a key tool to increase patient safety. This
study aimed at identifying to what extent and how pediatric and neonatal
simulation-based training (SBT) was being carried out in four Central European
regions. METHODS: We surveyed all pediatric and neonatal health care institutions
in Germany, Austria, Switzerland, and South Tyrol on their current state of SBT
using an online questionnaire. RESULTS: We dispatched 440 questionnaires with a
45.9% response rate. Sixty-one percent (61.4%) of institutions performed SBT
(algorithm training, 87.4%; skill training, 62.2%; high-fidelity SBT, 56.8%).
Training was conducted interprofessionally at 88.9% of surveyed institutions.
Physicians and nurses most often received SBT once per year. Lack of financial
(62.2%) and personnel (54.1%) resources were the most frequent impediments to
establish SBT. CONCLUSIONS: Although delivered heterogeneously, widespread use of
pediatric simulation and a considerable number of already existing SBT programs
are the key findings of this survey. These data are encouraging enough to promote
more effective networking in simulation-based research, education, training, and
quality improvement, as we aim to ultimately increase patient safety for
neonates, infants, and children.
PMID- 29381588
TI - Three-Dimensional Printed Pediatric Airway Model Improves Novice Learners'
Flexible Bronchoscopy Skills With Minimal Direct Teaching From Faculty.
AB - INTRODUCTION: Training in pediatric flexible bronchoscopy (FB) is predominantly
completed on patients. Early trainees are less accurate and slower than
experienced bronchoscopists. This report describes the development of a three
dimensional printed airway model and describes how the model was used to teach
learners basic FB skills. METHODS: Postgraduate year two (PGY2) pediatric
residents completing a 1-month pediatric pulmonology rotation with minimal
previous exposure to FB were randomized into a simulation trainee group (n = 18)
or a control resident group (n = 9). The simulation group received four 15-minute
practice sessions (3 self-directed, 1 with feedback). Participants completed a
bronchoscopy assessment on the model at prestudy, poststudy, and delayed (at
least 2 months after the rotation) time points. Outcomes were identification of
markers located in the six lung areas and completion time. RESULTS: There was no
difference in prestudy scores between groups. In the poststudy assessment, the
simulation participants correctly identified more lung area markers (median = 6
vs 1.5, P < 0.001) and were faster (median = 102 vs 600 seconds, P < 0.001). In
the delayed assessment, correct marker identification trended toward improvement
in the simulation group compared with controls (median = 4 vs 2, P = 0.077).
CONCLUSIONS: With 1 hour of practice time, requiring 15 minutes of direct
teaching, novice resident bronchoscopists are able to more accurately identify
and visualize the five lung lobes and lingula via FB and are able to do so in
less time than control residents. This anatomically accurate model could be used
to train basic FB skills at a low cost compared with other models.
PMID- 29381589
TI - Exploring Faculty Approaches to Feedback in the Simulated Setting: Are They
Evidence Informed?
AB - INTRODUCTION: Feedback in clinical education and after simulated experiences
facilitates learning. Although evidence-based guidelines for feedback exist,
faculty experience challenges in applying the guidelines. We set out to explore
how faculty approach feedback and how these approaches align with current
recommendations. METHODS: There is strong evidence for the following four
components of feedback: feedback as a social interaction, tailoring content,
providing specific descriptions of performance, and identifying actionable items.
Faculty preceptors participated in feedback simulations followed by debriefing.
The simulations were video recorded, transcribed, and analyzed qualitatively
using template analysis to examine faculty approaches to feedback relative to
evidence-informed recommendations. RESULTS: Recorded encounters involving 18
faculty and 11 facilitators yielded 111 videos. There was variability in the
extent to which feedback approaches aligned with recommended practices. Faculty
behaviors aligned with recommendations included a conversational approach,
flexibly adapting feedback techniques to resident context, offering rich
descriptions of observations with specific examples and concrete suggestions,
achieving a shared understanding of strengths and gaps early on to allow
sufficient time for problem-solving, and establishing a plan for ongoing
development. Behaviors misaligned with guidelines included prioritizing the task
of feedback over the relationship, lack of flexibility in techniques applied,
using generic questions that did not explore residents' experiences, and ending
with a vague plan for improvement. CONCLUSIONS: Faculty demonstrate variability
in feedback skills in relation to recommended practices. Simulated feedback
experiences may offer a safe environment for faculty to further develop the
skills needed to help residents progress within competency-based medical
education.
PMID- 29381590
TI - Simulation of Shoulder Dystocia for Skill Acquisition and Competency Assessment:
A Systematic Review and Gap Analysis.
AB - STATEMENT: Mastery of shoulder dystocia management skills acquired via simulation
training can reduce neonatal brachial plexus injury by 66% to 90%. However, the
correlation between simulation drills and reduction in clinical injuries has been
inconsistently replicated, and establishing a causal relationship between
simulation training and reduction of adverse clinical events from shoulder
dystocia is infeasible due to ethical limitations. Nevertheless, professional
liability insurance carriers increasingly are mandating simulation-based
rehearsal and competency assessment of their covered obstetric providers'
shoulder dystocia management skills-a high-stakes demand that will require rapid
scaling up of access to quality shoulder dystocia simulation. However, questions
remain about differing simulation training schemes and instructional content used
among clinically effective and ineffective educational interventions. This review
of original research compares curricular content of shoulder dystocia simulation
and reveals several critical gaps: (1) prescriptive instruction prioritizing
maneuvers shown to decrease strain on the brachial plexus is inconsistently used.
(2) Proscriptive instruction to avoid placing excessive and laterally directed
traction on the head or to observe a brief hands-off period before attempting
traction is infrequently explicit. (3) Neither relative effectiveness nor
potential interaction between prescriptive and proscriptive elements of
instruction has been examined directly. (4) Reliability of high-fidelity
mannequins capable of objective measurement of clinician-applied traction force
as compared with subjective assessment of provider competence is unknown. Further
study is needed to address these gaps and inform efficient and effective
implementation of clinically translatable shoulder dystocia simulation.
PMID- 29381591
TI - Anaesthesiology and ethics: Can the anaesthesiologist ameliorate simply and
rapidly the assessment of decision-making capacity in the elderly?
PMID- 29381592
TI - History of anaesthesia: Nurse anaesthesia practice in the G7 countries (Canada,
France, Germany, Italy, Japan, the United Kingdom and the United States of
America).
AB - : Many factors determine whether nurses, physicians or both administer
anaesthesia in any country. We examined the status of nurse-administered
anaesthesia in the Group of Seven (G7) countries (Canada, France, Germany, Italy,
Japan, the United Kingdom and the United States of America) and explored how
historical factors, mixing global and local contexts (such as professional
relations, medical and nursing education, social status of nurses, demographics
and World Wars in the 20th century), help explain observed differences. Nearly
equal numbers of physicians and nurses are currently engaged in the delivery of
anaesthesia care in the United States but, remarkably, although the introduction
or re-introduction of nurse anaesthesia in the 20th century was attempted in all
the other G7 countries (except Japan), it has been successful only in France
because of the cooperation with the United States during World War II.
PMID- 29381593
TI - Emergency medicine is about collaboration, not monopolisation.
PMID- 29381594
TI - Reply to: emergency medicine is about collaboration, not monopolisation.
PMID- 29381595
TI - Who takes the lead in critically ill patients?
PMID- 29381596
TI - Reply to: who takes the lead in critical illness?
PMID- 29381597
TI - Professionalisation rather than monopolisation is the future of emergency
medicine in Europe.
PMID- 29381598
TI - Reply to: professionalisation rather than monopolisation is the future of
emergency medicine in Europe.
PMID- 29381599
TI - Collaboration in emergency medical care in Europe: the patient is the winner.
PMID- 29381600
TI - Reply to: collaboration in emergency medical care in Europe: the ten principles
of CRitical Emergency Medicine (CREM).
PMID- 29381601
TI - Open Globe Injury: Demographic and Clinical Features.
AB - BACKGROUND: Open globe injuries (OGIs) are the main cause of visual impairment
worldwide. The aim of this study was to evaluate the clinical features and the
visual outcome of OGIs presented to the largest Teaching Hospital in Western part
of Turkey. METHODS: The study included patients presented with OGI between Jan
2009 and Dec 2013. Data including patient demographics, causes of injury, visual
acuity (VA) at presentation, and final VA were collected. Ocular Trauma Scores
(OTS) were calculated. Statistical analyses were performed to identify prognostic
factors. RESULTS: One hundred fifty-nine eyes of 156 patients with OGIs were
included. The mean age was 36.89 +/- 20.07 years. Significant male predominance
was noted (77.6%). Domestic (28.3%) and work-related (22%) accidents were the
most common causes of all OGIs. While some improvement in best corrected visual
acuity (BCVA) after treatment has been noted in 68 eyes (55.7%), the final BCVA
was equal to or worse than the initial BCVA in 54 eyes (44.3%). According to the
OTS and final BCVA associations in our study, while the eyes with OGI included in
OTS category 1 and 2 (n = 84) consist of 68.8% of all the OGI cases, 48.8% (n =
41) of them were classified as having a poor visual outcome. All of the eyes in
OTS category 3 and 4 cases (n = 38) (31.2% of all the OGI cases) showed good
visual outcome. CONCLUSION: Some clinical features may have a potential to
correctly predict final visual outcomes. Although the OTS has not been fully
validated yet, it could potentially be one of the best indicators available for
the prognosis in OGI.
PMID- 29381602
TI - A Practical Suggestion for Prepare Dorsal Onlay Graft.
AB - Nowadays, rhinoplasty is one of the most popular surgical procedures. Dorsal
contour irregularities caused by various maneuvers, such as hump resection, are a
major concern in patients who have undergone rhinoplasty. The most common graft
used in this case is dorsal onlay graft which is made from sliced and crushed
cartilage. Ear, nose and throat specialists usually use Swester table (mayo desk)
for preparing the graft, if there is no other steril metal instrument. Crushed
cartilage is done on a sterile gauze or on the tables' cover, as a result
cartilage may be contaminated with particules from the tables' cover and sterile
gauze.The authors recommend using the steril pack of a new sterilized surgical
instrument opened on the table for the slicing or crushing process. In this way,
the cartilage can be spared from contamination and the loss of some cartilage to
the table during slicing or crushing can be prevented.
PMID- 29381603
TI - The Impact of Velopharyngeal Surgery on the Polysomnographic Parameters After
Cleft Palate Repair.
AB - Velopharyngeal surgical procedures may have adverse effect on the airway of the
patients with velopharyngeal insufficiency (VPI). The aim of this study was to
evaluate the polysomnographic parameters (PSG) in patients who underwent
corrective surgery for treatment of VPI. The study included 39 patients who
underwent 1 of 3 velopharyngeal surgical techniques; Furlow palatoplasty (12
patients), pharyngeal flap (18 patients), and sphincter pharyngoplasty (9
patients). The patients were subjected to PSG, and they were considered to have
obstructive sleep apnea (OSA) with apnea-hypoapnea index (AHI) >1.Comparison of
PSG parameters of patients showed insignificant difference regarding the total
sleep time, sleep efficiency, arousal index, desaturation index, and minimum
oxygen saturation. Significant difference was detected regarding peak end-tidal
CO2 and AHI. Pharyngeal flap was detected as the most surgical technique that
worsened the PSG parameters with OSA in 78% of patients, followed by sphincter
pharyngoplasty with OSA in 56% of patients. Furlow palatoplasty was detected as
the least impacting technique on the airway in 25% of patients who demonstrated
OSA. In conclusion, velopharyngeal surgery has a variable impact on the PSG;
pharyngeal flap has the most worsening effect followed by sphincter
pharyngoplasty, while Furlow palatoplasty has the least adverse effect.
PMID- 29381604
TI - Cochlear Implantation in Postmeningitic Deafness.
AB - PURPOSE: The aim of this study is to evaluate long-term outcomes of cochlear
implantation (CI) in patients with postmeningitic deafness. METHODS: Twenty-seven
patients with severe to profound hearing loss due to bacterial meningitis and
received CI were the subjects of this study. Surgical findings and long-term
audiological performances were evaluated. Speech perception and speech
intelligibility of the implanted patients were evaluated with the categories of
auditory performance-II (CAP-II) test and speech intelligibility rating (SIR)
test, respectively. RESULTS: Eighteen of the 27 patients had received full
electrode insertion through the patent cochlear lumen. Remaining 9 patients had
varying degrees of ossification throughout the cochlea and needed to be drilled
to achieve partial electrode insertion. None of the patients exhibited surgical
complication. Scores in both test batteries (CAP-II and SIR) were comparable
between patients who received full or partial electrode insertion (P > 0.05).
CONCLUSION: Cochlear implantation after postmeningitic deafness has favorable
outcomes especially in long term. Although this type of inner ear pathology may
require special considerations during surgery, it is a relatively safe procedure.
PMID- 29381605
TI - Novel PTCH1 Gene Mutation in Nevoid Basal Cell Carcinoma Syndrome.
AB - The purpose of this study was to report clinical characteristics, surgical
results, and new PTCH1 gene mutations in nevoid basal cell carcinoma syndrome
(NBCCS). Five patients were referred to the Department of Oral and Maxillofacial
Surgery from local dental clinics between 2006 and 2016 to treat multiple
keratocystic odontogenic tumors (KOTs). The cystic lesions were enucleated and
peripheral ostectomy was performed to obtain safety margin. Recurrence and/or de
novo development of KOT were assessed. Gene analysis using peripheral blood was
performed in all patients to identify the mutation of PTCH1 gene. Three patients
showed familial history of first-degree relatives. Of the major criteria, all
patients presented KOT but only 1 patient had basal cell carcinoma. Of the minor
criteria, 4 of the 5 patients presented macrocephaly and hypertelorism. During
follow-up periods, all patients showed recurrence and/or de novo development of
KOT in the jaw bone. Mutation analysis of PTCH1 gene showed 3 frameshifts
(c.817_818ins(T), c.1226_1227ins(A), and c.2748del(C)), 1 splicing (c.1504-2A>T),
and 1 missense (c.385T>C) mutation. Mutations were found in exon 1, 6, 9, 17, and
intron 10. Regular follow-up is necessary because recurrence rate of KOT was very
high. To help early diagnosis, it is essential to routinely perform genetic
testing to detect PTCH1 gene mutations among patients with NBCCS.
PMID- 29381606
TI - Endoscopic Anatomic Study Via Grinding Partial Petrous Ridge to the Middle Fossa
in Retromastoid Keyhole Approach.
AB - OBJECTIVE: This study aimed to observe the range of exposure, indications, and
feasibility of the retromastoid keyhole approach via grinding partial petrous
ridge to the middle fossa. METHODS: Simulated endoscopic surgeries via grinding
suprameatal tubercle and petrous ridge to expose the middle fossa in retromastoid
keyhole approach were performed on 8 adult cadaver heads (16 sides) fixed by
formalin. The maximum exposure range in endoscope was observed. The boundaries of
Parkinson triangle and the anatomic structures contained by Meckel cave and
cavernous sinus (CS) lateral wall were revealed. The distances from midpoint of
sigmoid sinus posterior border to every important anatomic structures in the
middle fossa and the length of all sides of Parkinson triangle were measured.
RESULTS: By using endoscope, the exposure of the cerebellopontine angle,
ventrolateral brainstem, incisure of tentorium, petroclival region, and CS
lateral wall were satisfactory. Many important anatomic structures in middle
fossa were exposed well. The distances from midpoint of posterior border of
sigmoid sinus to suprameatal tubercle, trigeminal semilunar ganglion, posterior
curve segment of internal carotid artery were 34.42 +/- 2.14, 54.52 +/- 2.87, and
65.15 +/- 3.13 mm. The lengths of all sides of Parkinson triangle were 18.97 +/-
2.93, 16.23 +/- 2.02, and 8.04 +/- 2.34 mm. CONCLUSION: The retromastoid keyhole
approach via grinding partial petrous ridge to the middle fossa by using
endoscope can increase the exposure of middle fossa effectively, which is proper
for most lesions in posterior cranial fossa while some parts extend to middle
fossa.
PMID- 29381607
TI - Nickel-Titanium Wire as Suture Material: A New Technique for the Fixation of
Skin.
AB - PURPOSE: To introduce nickel-titanium wire as suture material for closure of
incisions in cleft lip procedures. METHOD: Closure of skin incisions using nickel
titanium wire as suture material, with postoperative follow-up wound evaluation.
RESULTS: There was excellent patient satisfaction and good cosmetic outcome.
CONCLUSION: Nickel-titanium wire is an excellent alternative for suture closure
of cleft lip surgical incisions.
PMID- 29381608
TI - Treatment of Sagittal Fracture of the Zygomatic Arch Root Assisted by Surgical
Navigation Technology.
AB - Sagittal fracture at the temporal root of the zygomatic arch often occurs as a
part of zygomaticomaxillary fractures. The authors described the application of
computer-assisted navigation in the lag screw insertion for the fixation of
sagittal fracture at the temporal root of zygomatic arch. Using the presurgical
planning of the computer-assisted navigation system, the trajectory of lag screw
insertion was designed, and the insertion depth was calculated. In the
presurgical planning, the trajectory of screw insertion was placed with an
anterior inclination of 10 degrees to 15 degrees (mean: 12.24 degrees ), and
the screw insertion depth was 9.0 to 12.0 mm (mean: 10.65 mm). In the operation,
the screw insertion in the fixation of the sagittal fracture was performed under
the guidance of navigation system according to the presurgical planning. The
postoperative CT scan showed exact reduction and fixation of the sagittal
fracture in all cases. Computer-assisted navigation is a useful tool for the lag
screw insertion in the precise fixation of sagittal fracture at the temporal root
of the zygomatic arch in complex zygomaticomaxillary fractures.
PMID- 29381609
TI - Morphometric Measurements of Bony Nasolacrimal Canal in Children.
AB - OBJECTIVE: Morphology and dimensions of the bony nasolacrimal canal duct (BNLD)
as a key factor in the development of primary acquired nasolacrimal duct
obstruction. We aimed to obtain detailed morphometric analysis of BNLD in
children without nasolacrimal duct pathology by using computed tomography and
provide standard measurements by means of age which could be utilized in planning
management or in invasive interventions. METHODS: Picture Archiving Communication
Systems database of our hospital's radiology department was searched for this
retrospective study. Subjects were under 18 years of age who had undergone a
paranasal, maxillofacial, or temporal bone high-resolution computed tomography
scan in last 2 years with various indications. Those with fractures including
facial bones and/or nasolacrimal canal or history of nasolacrimal duct pathology
were excluded from the study. We measured the diameter, angle, and surface area
of BNLD. RESULTS: A total number of 136 subjects (86 boys, 50 girls) were
included in the study. The average age was 7.3 +/- 5.1 years. We documented
statistically significantly positive correlation between all measured diameters
and ages (P < 0.001), whereas there was a negative association between mean angle
and age (P < 0.001). Mean angle is defined as the angle between BNLD and nasal
floor. The surface area of BNLD was found to be significantly increasing
depending on age (P < 0.001). However, we could not find any significant
association between gender and measured parameters (P > 0.050). CONCLUSION: Our
study demonstrated that development of BNLD continues during childhood,
regardless of gender.
PMID- 29381610
TI - Facial Fractures.
AB - AIMS AND OBJECTIVES: The aim of this study is to retrospectively analyze the
incidence of facial fractures along with age, gender predilection, etiology,
commonest site, associated dental injuries, and any complications of patients
operated in Craniofacial Unit of SDM College of Dental Sciences and Hospital.
MATERIALS AND METHODS: This retrospective study was conducted at the Department
of OMFS, SDM College of Dental Sciences, Dharwad from January 2003 to December
2013. Data were recorded for the cause of injury, age and gender distribution,
frequency and type of injury, localization and frequency of soft tissue injuries,
dentoalveolar trauma, facial bone fractures, complications, concomitant injuries,
and different treatment protocols.All the data were analyzed using statistical
analysis that is chi-squared test. RESULTS: A total of 1146 patients reported at
our unit with facial fractures during these 10 years. Males accounted for a
higher frequency of facial fractures (88.8%). Mandible was the commonest bone to
be fractured among all the facial bones (71.2%). Maxillary central incisors were
the most common teeth to be injured (33.8%) and avulsion was the most common type
of injury (44.6%). Commonest postoperative complication was plate infection (11%)
leading to plate removal. Other injuries associated with facial fractures were
rib fractures, head injuries, upper and lower limb fractures, etc., among these
rib fractures were seen most frequently (21.6%). CONCLUSION: This study was
performed to compare the different etiologic factors leading to diverse facial
fracture patterns. By statistical analysis of this record the authors come to
know about the relationship of facial fractures with gender, age, associated
comorbidities, etc.
PMID- 29381611
TI - Decannulation and Airway Outcomes With Maxillomandibular Distraction in Treacher
Collins and Nager Syndrome.
AB - BACKGROUND: Treacher Collins syndrome is a rare disorder (1/50,000 live births)
with features that include hypoplastic orbitozygomatic complex with downward
slanting eyes, and maxillary/mandibular retrusion. Obstructive sleep apnea and
tracheostomy-dependence are common. This study presents the outcomes of skeletal
distraction on avoidance of tracheostomy and decannulation in this patient
population. METHODS: The authors reviewed charts of all patients with Treacher
Collins syndrome who underwent craniofacial reconstruction from 2003 to 2016.
Primary outcome measures included decannulation of tracheostomy dependent
patients and avoidance of tracheostomy. Secondary outcome measures included
cephalometric parameters, polysomnography scores, and airway exposure scores on
direct laryngoscopy. RESULTS: Twenty-five patients underwent mandibular and
maxillary advancement to resolve upper airway obstruction. Mandibular distraction
was performed in 24 of 25 patients, and maxillary distraction in 14 of 25
patients. Maxillary distraction was combined with mandibular distraction in 13 of
17 to accomplish greater advancement and counter-clockwise rotation of the entire
maxillary-mandibular complex. Six of 7 patients, 85.7%, avoided a tracheostomy
and 39% (7 of 18) were decannulated. Cephalometric changes in sella-nasion-A
point, sella-nasion-B , occlusal plane angle, and posterior airway space were
equivalent between the groups who were able to clear their obstruction and those
who were not. CONCLUSIONS: Treacher Collins is a very challenging disease in
which to resolve airway obstruction. Thus, thorough evaluation of the entire
airway for all levels of obstruction is critical to successful outcomes. Future
collaborative efforts between multiple institutions can help to increase our
understanding and effective management of this rare disease.
PMID- 29381612
TI - Treatment of Mandibular Ameloblastoma Involving the Mandibular Condyle: Resection
and Concomitant Reconstruction With a Custom Hybrid Total Joint Prosthesis and
Iliac Bone Graft.
AB - AIM: To describe the treatment of ameloblastoma involving the mandibular body and
condyle in 3 patients. METHODS: This report describes 3 patients with large
ameloblastomas (2 were second recurrences) treated by partial mandibular
resection. Involvement of the mandibular condyle in these 3 patients made the
reconstruction more challenging. Reconstruction included immediate
temporomandibular joint replacement by a custom-made alloplastic total joint and
mandibular body (Zimmer-Biomet, Jacksonville, FL). These devices were designed
using virtual surgical planning software. The 3 patients underwent concomitant
bone graft reconstruction using autogenous-free corticocancellous block bone
grafts from the iliac crest. This facilitated later dental implant placement and
full dental rehabilitation. Direct inferior alveolar nerve repair or nerve graft
reconstruction with allograft was also carried out for all 3 patients.
Maxillomandibular fixation was not used in all 3 patients. RESULTS: All the 3
patients underwent successful surgery and recovery. Mandibular function was
preserved. The concomitant bone graft allowed successful dental implant placement
for subsequent planned restorative dentistry. CONCLUSION: Ameloblastoma involving
the mandibular condyle can be successfully treated by resection and concomitant
total joint replacement with an alloplastic device. This technique shows promise
in that there is rapid return to excellent function thanks to rigid fixation of
the construct. Mirroring software used in the prosthesis design facilitates
excellent cosmetic outcomes.
PMID- 29381613
TI - Trismus in Face Transplantation Following Ballistic Trauma.
AB - BACKGROUND: Trismus can be a challenging consequence of ballistic trauma to the
face, and has rarely been described in the setting of face transplantation.
Almost half of all current face transplant recipients in the world received
transplantation to restore form and function after a ballistic injury. Here we
report our experience and challenges with long standing trismus after face
transplantation. METHODS: We reviewed the medical records of our face transplant
recipients whose indication was ballistic injury. We focused our review on
trismus and assessed the pre-, peri- and postoperative planning, surgery and
functional outcomes. RESULTS: Two patients received partial face transplantation,
including the midface for ballistic trauma. Both patients suffered from impaired
mouth opening, speech intelligibility, and oral competence. Severe scarring of
the temporomandibular joint (TMJ) required intraoperative release in both
patients, and additional total condylectomy on the left side 6 months
posttransplant for 1 patient. Posttransplant, both patients achieved an
improvement in mouth opening; however, there was persistent trismus. One year
after transplantation, range of motion of the jaw had improved for both patients.
Independent oral food intake was possible 1 year after surgery, although spillage
of liquids and mixed consistency solids persisted. Speech intelligibility testing
showed impairments in the immediate postoperative period, with improvement to
over 85% for both patients at 1 year posttransplant. CONCLUSIONS: Ballistic
trauma to the face and subsequent reconstructive measures can cause significant
scarring and covert injuries to structures such as the TMJ, resulting in long
standing trismus. Meticulous individual planning prior to interventions such as
face transplantation must take these into account. We encourage intraoperative
evaluation of these structures as well as peri- and postoperative treatment when
necessary. Due to the nature of the primary injury, functional outcomes after
face transplantation in these patients may differ substantially from those of
other indications.
PMID- 29381614
TI - Bone-Conditioned Medium Obtained From Calvaria, Mandible, and Tibia Cause an
Equivalent TGF-beta1 Response In Vitro.
AB - Bones with different embryological origin and mode of ossification are supposed
to vary in their capacity for supporting graft consolidation. The aim of the
current pilot study was to assess the TGF-beta1 activity of bone chips obtained
from distinct anatomic locations. Conditioned medium was prepared from bone chips
harvested from pig calvaria, mandible, and tibia. Human oral fibroblasts were
exposed to bone-conditioned medium (BCM) followed by reverse transcriptase
polymerase chain reaction of the TGF-beta1 target genes. Also an immunoassay for
interleukin 11 (IL-11) and TGF-beta1 was performed. The impact of BCM on alkaline
phosphatase activity was determined with murine MC3T3-E1 osteogenic cells. The
authors report here that BCM contains TGF-beta1 in the ng/mL range. Bone chips
prepared from pig calvaria, mandible, and tibia femur had a similar capacity for
increasing the expression of the TGF-beta1 target genes IL-11, NOX4, and PRG4.
Correspondingly, immunoassays revealed similar production of IL-11 by human oral
fibroblasts. Furthermore, conditioned medium obtained from the 3 bones decreased
alkaline phosphatase activity in MC3T3-E1 osteogenic cells. These preliminary
data demonstrate that particulated bone grafts, regardless of embryological
origin, mode of ossification and morphology, release a similar TGF-beta1
activity.
PMID- 29381615
TI - Posterior Vault Distraction Osteogenesis in Nonsyndromic Patients: An Evaluation
of Indications and Safety.
AB - PURPOSE: The purpose of this study was to evaluate the indications, safety, and
short-term outcomes of posterior vault distraction osteogenesis (PVDO) in
patients with no identified acrocephalosyndactyly syndrome (study) and to compare
those to a syndromic cohort (controls). METHODS: Demographic and perioperative
data were recorded and compared across the study and control groups for those who
underwent PVDO between January 2009 and December 2016. Univariate analysis was
conducted using chi and Fisher exact tests for categorical variables, and Mann
Whitney U test for continuous variables. RESULTS: Sixty-three subjects were
included: 19 in the nonsyndromic cohort, 44 in the syndromic cohort. The cohorts
had similar proportion of subjects exhibiting pansynostosis (42.1% of
nonsyndromic versus 36.4% of syndromic, P = 0.667). The nonsyndromic cohort was
significantly older (4.04 +/- 3.66 years versus 2.55 +/- 3.34 years, P = 0.046)
and had higher rate of signs of raised intracranial pressure (68.4% versus 25.0%,
P = 0.001) than the syndromic cohort. There was no significant difference in
perioperative variables or rate of complications (P > 0.05). The mean total
advancement distance achieved was similar, 27 +/- 6 mm in the nonsyndromic versus
28 +/- 8 mm in the syndromic cohort (P = 0.964). All nonsyndromic subjects with
signs of raised intracranial pressure demonstrated improvement at an average
follow-up of 22 months. CONCLUSION: As in the syndromic patient, PVDO is a safe
and, in the short-term, effective modality for cranial vault expansion in the
nonsyndromic patient. The benefits and favorable perioperative profile of PVDO
may therefore be extended to patient populations other than those with syndromic
craniosynostosis.
PMID- 29381616
TI - Spring-Mediated Cranioplasty in Sagittal Synostosis: Does Age at Placement Affect
Expansion?
AB - PURPOSE: The aim of this study is to evaluate the effect of timing of surgery and
spring characteristics on correction of scaphocephalic deformity in patients
undergoing spring-mediated cranioplasty (SMC) for sagittal craniosynostosis.
METHODS: The authors conducted a review of patients with sagittal
craniosynostosis who underwent SMC at a tertiary referral center between July
2011 and March 2017, with a primary outcome measure of head shape, both
preoperatively and postoperatively, determined by cephalic index (CI). Patient
demographics and operative details including timing of surgery and spring
characteristics were collected. Differences in CI preoperation and postoperation
were compared using Wilcoxon signed-rank test. Ordinary least-squares linear
regression was used to assess the impact of timing, number of springs, maximum
single spring force, and total spring force on postoperative change in CI.
RESULTS: Thirty-six subjects (12 males and 24 females) were included in the
study. Mean age at spring placement was 3.9 months (range: 1.9-9.2) with a mean
follow-up of 1.4 years (range: 0.3-5.2). The mean number of springs used was 3
(range: 2-4). The mean maximum single spring force was 9.9 Newtons (N) (range:
6.9-13.0) and the mean total spring force was 24.6 N (range: 12.7-37.0). Mean CI
increased from 70 +/- 0.9 preoperatively to 77 +/- 1.0 postoperatively (P <
0.001). Age at spring placement was significantly associated with change in CI:
for every month increase in age, the change in CI decreased by 1.3 (P = 0.03).
The number of springs used, greatest single spring force, and total spring force
did not correlate with changes in CI (P = 0.85, P = 0.42, and P = 0.84,
respectively). CONCLUSION: In SMC, earlier age at time of surgery appears to
correlate with greater improvement in CI, at least in the short-term. While
spring characteristics did not appear to affect head shape, it is possible that
the authors were underpowered to detect a difference, and spring-related
variables likely deserve additional study.
PMID- 29381617
TI - Quantification of Surgical Route Parameters for Exposure of the Jugular Foramen
Via a Trans-Mastoidal Approach Exposing Jugular Foramen in Three-Dimensional
Visualization Model.
AB - OBJECTIVE: Surgical operation within the region of the jugular foramen presents a
great challenge. The authors characterized the quantitative impact of surgical
window parameters on the exposure of the jugular foramen via a trans-mastoidal
approach. METHODS: Computed tomography and magnetic resonance imaging data were
used to establish a 3-dimensional model of the jugular foramen region. The
mastoidale, posterior edge of the mastoid, and the superior edge of the bony
external acoustic meatus were selected as points a, b, and c. The anterior edge
of the tuberculum jugulare was selected as point d. The midpoints of line
segments ab, ac, and bc were selected as points e, f, and g. Triangle abc was
divided into triangles aef, beg, cfg, and efg. Surgical corridors of the
triangular pyramid were outlined by connecting the above triangles to point d.
Anatomic exposure was evaluated by measuring the area and volume of various
structures within each route. Statistical comparisons were performed via analysis
of variance. RESULTS: The model allowed for adequate visualization of all
structures. The areas of triangles beg and efg were greater than those of
triangles aef and cfg (P < 0.05). The volumes of triangular pyramids d-beg and d
cfg were greater than those of triangular pyramids d-aef and d-efg (P = 0.000).
Statistically significant differences were also observed for volumes of osseous,
venous, and cranial nerve structures in all divided routes (P = 0.000).
CONCLUSION: Our results indicate that 3-dimensional modeling may aid in the
quantification of surgical exposure and that division of the craniotomy window
may allow for more precise operation.
PMID- 29381618
TI - Cone-Beam Computed Tomography-Based Three-Dimensional McNamara Cephalometric
Analysis.
AB - This article introduces a method that extends the McNamara cephalometric analysis
to produce 3-dimensional (3D) measurement values from cone-beam computed
tomography images. In the extended method, the cephalometric landmarks are
represented by 3D points; the bilateral cephalometric landmarks are identified on
both sides of the skull; the cephalometric lines, with the exception of the
facial axis, are represented by 3D lines; the cephalometric planes, with the
exception of the facial plane, are represented by planes; the effective
mandibular length, the effective midfacial length, and the lower anterior facial
height are measured as 3D point-to-point distances; the nasion perpendicular to
point A, the pogonion to nasion perpendicular, the upper incisor to point A
vertical, and the lower incisor to point A-pogonion line are measured each as
components of a vector; the facial axis angle is measured as a line-to-plane
angle; and the mandibular plane angle is measured as a plane-to-plane angle. As a
result, the method provides real effective lengths of the maxilla and mandible on
both sides of the skull; real height of the lower anterior face; directed
distances from the point A to the nasion perpendicular, from the pogonion to the
nasion perpendicular, from the left and right upper incisor to the point A
vertical, and from the left and right lower incisor to the point A-pogonion line
for both the lateral and posteroanterior views of the skull; and real angles of
the facial axis and the mandibular plane. Additionality, the method enables the
identification of craniofacial asymmetries.
PMID- 29381620
TI - Modified Methods of Fabricating Helix and Antihelix in Total Auricular
Reconstruction Based on Different Length of Eighth Costal Cartilage.
AB - BACKGROUND: Attaining an ideal appearance of the reconstructed ear depends
primarily on the fabricated cartilaginous ear framework. Despite the role of
eighth costal cartilage, the length of the eighth rib cartilage is difficult to
anticipate, and growth of the eighth cartilage is variable. The authors discussed
modified methods of fabricating helix and antihelix based on different length of
eighth costal cartilage. METHODS: Based on the actual length of the eighth costal
cartilage, patients were divided into group A and group B. In group A, the eighth
costal cartilage was divided into 2 parts. Part I was used to fashion the helix,
whereas part II was used to fabricate the antihelix and superior crus. In group
B, the seventh costal cartilage was cut into 3 parts. Part I and part II were
used to fashion the helix, antihelix, and superior crus as group A did. Part III
was assembled to the lateral part of the framework to form the inferior crus.
RESULTS: A total of 56 patients underwent auricular reconstruction adopting the
modified techniques between 2015 and 2016. Three cases have been selected to
illustrate the favorable result achieved. They revealed that the helix,
antihelix, superior crus, and inferior crus all appeared distinct and presented a
favorable result of the contour of the reconstructed auricle. CONCLUSIONS: Based
on different length of eighth costal cartilage, modified methods of fabricating
helix and antihelix make full use of the autogenous costal cartilage, elevate
anatomical details, and enhance the overall aesthetics of reconstructed ear. The
great majority of patients have satisfactory surgical outcomes, demonstrating
that personalized treatment is necessary.
PMID- 29381619
TI - Intralesional Bleomycin Injection for Propranolol-Resistant Hemangiomas.
AB - Propranolol has been the first-line treatment for alarming hemangiomas. However,
some hemangiomas are propranolol-resistant. The authors reported 1 propranolol
resistant hemangioma which was treated with intralesional bleomycin injections.
Sixteen months after 3 injections, the lesion still remained stable. Its
potential mechanism was clarified by ultrasonic monitoring. Intralesional
bleomycin injection can be considered an ideal option in treating propranolol
resistant hemangiomas.
PMID- 29381621
TI - Longitudinal Study of Scar Hyperplasia Formation Following Cleft Lip Wound
Healing.
AB - The purpose of this study was to observe the hyperplasia trend of scar after the
cleft lip surgery in a rabbit animal model, and determine the time-point of the
highest hypertrophic degree of scar after cleft lip repair. Forty New Zealand
white rabbits from the same offspring were used to establish a cleft lip wound
healing model using Millard surgery procedure. The scar volumes were measured and
granulation tissues were observed visually in the 2, 3, 4, and 5 weeks after
operation. The scar tissues were harvested at the indicated time-points.
Immunohistochemical (IHC) and Western Blot analyses were performed to detect the
expression level of alpha-smooth muscle actin (alpha-SMA) in the scar tissue. The
scars shrunk and the volumes reduced at 3 to 4 weeks after surgery; however, at 5
weeks postsurgery, the volumes increased. IHC and Western blot analyses indicated
the expression of alpha-SMA was significantly enhanced 3 to 4 weeks, but reduced
in the 5 weeks after surgery. Overall, the degree of scar hyperplasia after cleft
lip surgery in rabbits was normally distributed and the scarring was most severe
in the 3 to 4 weeks after cleft lip surgery. The study confirms a novel animal
model for the assessment of therapies for the treatment of scar hyperplasia of
human cleft lip in future.
PMID- 29381622
TI - Nasal Columellar Reconstruction With Reverse Lateral Nasal Artery Pedicled
Nasolabial Island Flap.
AB - Nasal columellar is a complex area to reconstruct due to its unique esthetic and
functional characteristics. Malignancy, trauma, infection, and esthetic surgery
complications can cause columellar defect. Among many surgical plans, nasolabial
island flap is most commonly used. However, flap congestions occasionally occur
which inevitably lead to leech therapy. The authors introduce reverse lateral
nasal artery pedicled nasolabial island flap, which has lower risk of
postoperative complications.
PMID- 29381623
TI - Giant Parapharyngeal Space Lipoma Extending to the Pterygoid Region (Anterior
Skull Base).
AB - Although lipoma is frequently seen in the head and neck region, but occurrence of
the lipoma in the parapharyngeal space is rare. It is extremely rare for a
parapharyngeal lipoma to extent to the pterygoid region (ie, anterior skull
base). Management of giant parapharyngeal space lipoma with skull base extension
and proximity to the vital neurovascular structures poses a challenge to surgeon.
The authors report a patient with giant lipoma extending from the upper border of
the clavicle to the pterygoid region. Complete excision was done using upper
transverse cervical incision and pterygoid region was approached after removal of
the ipsilateral submandibular gland. Surgery produced excellent cosmetic results
with no functional impairment.
PMID- 29381624
TI - Usefulness of Early Plate Removal in Patients With Occlusal Discrepancies After
Sagittal Split Ramus Osteotomy.
AB - The aim of this study was to evaluate the effects of early removal of fixed
plates in patients with occlusal discrepancies after sagittal split ramus
osteotomy (SSRO) with a focus on the positional relationship of the
temporomandibular joint (TMJ). Sagittal split ramus osteotomy with/without Le
Fort I osteotomy was performed on 98 patients with mandibular prognathism. Of
these 98 patients, 15 with occlusal discrepancies and/or TMJ symptoms underwent
early plate removal after SSRO. Finally, 12 consecutive patients were evaluated
in this study: 7 underwent bilateral SSRO, 1 underwent unilateral SSRO, and 4
underwent bilateral SSRO with maxillary advancement. The axiolateral TMJ Schuller
method was used to evaluate the TMJ position. The authors measured 3 spaces
(anterior, superior, and posterior joint spaces) between the condyle and glenoid
fossa in the sagittal plane. The anterior and superior joint spaces were
significantly larger immediately after the operation than before the operation.
After early plate removal, these spaces significantly decreased in size. The
posterior joint space increased, but with no significant difference. Three months
after SSRO, the size of each of the 3 spaces was closely related to its
preoperative size. In conclusion, these results suggest that early removal of
fixed plates is 1 treatment option for postoperative occlusal discrepancies after
SSRO.
PMID- 29381625
TI - Radiological Analysis of Orbital Cavernous Hemangiomas: A Review and Comparison
Between Computed Tomography and Magnetic Resonance Imaging.
AB - Cavernous hemangiomas are the most common benign orbital tumors in the orbit, but
radiological differentiation from other solitary orbital masses can still be
challenging at times. While there have been previous studies describing the
radiological characteristics of cavernous hemangiomas on computed tomography (CT)
and magnetic resonance imaging (MRI), there have not been any studies comparing
the 2 imaging modalities. The purpose of our study was to evaluate CT and MRI
findings of orbital cavernous hemangiomas and compare both modalities.We
performed a cross-sectional study of patients with a histopathological diagnosis
of cavernous hemangioma over a 20-year period from January 1997 to December 2016
in a single tertiary institution.Our study included 77 patients; mean age was
46.6 +/- 11.2 years, and females comprised 68.8%. The lateral orbit (23.4%) was
the most common location. The masses were well-defined, with 55.8% being ovoid,
27.3% round, and 16.9% lobulated. The most common enhancement pattern on CT was a
small point starting in the periphery, progressing to heterogeneous filling in
the late phase. The most common enhancement pattern on MRI was multiple patchy
starting points, widespread across the tumor, with a final homogeneous filling in
the late phase.Significant differences between CT and MRI were found in terms of
area, location, and number of starting points of contrast enhancement in the
early phase. We also found that the use of MRI is limited in differentiating fast
filling cavernous hemangiomas from other orbital tumors and in such cases,
contrast-enhanced CT would be helpful.
PMID- 29381626
TI - The Measurement of Various Anatomical Structures and Assessment of Morphometric
Development of Fetal Skull Base.
AB - BACKGROUND: As the skull base has a complex anatomy, we underline the importance
of anomalies for side asymmetry. It is useful to investigate relationship between
anatomical structures for the surgical procedure orientations. Dural adherence,
enlarged superior petrosal sinus, influence of neural crest cells, and cranial
base ossification are among the factors in morphometric growth on skull base.
MATERIAL AND METHODS: Twenty-five fetuses of an estimated gestational age ranging
from 17 to 34 weeks were studied in the Anatomy Laboratory of Mersin University
Medical Faculty. Craniotomy was made to each fetus and brain hemispheres were
dissected. We put plates, passing from the external points of lateral and
anterior-posterior borders of fetus heads that are perpendicular to each other.
An analytical calculation was formulated for the angle of foraminae to the root
of zygoma by using different formulations depending on their posterior or
anterior location to the root of zygoma. Statistical method was based on
correlation analysis, simple regression, independent 2 group t tests, SPSS20.0,
and MedCalc 11.5 (MedicReS, New York, NY). RESULTS: Neither side dominance for
the jugular foramen, nor the differences of foramen rotundum, spinosum, and ovale
to anterior skull wall, root of zygoma, and to midline were found to be
significant. CONCLUSION: There is a debate on asymmetry of foramina of the skull
base. No certain consensus about the initiation time and the causes of asymmetry
in the past was documented. Studies are to be encouraged to further enlighten pre
postnatal factors affecting the fetal skull base morphometrism.
PMID- 29381627
TI - A New Technique in Surgical Management of the Giant Cerebral Hydatid Cysts.
AB - OBJECTIVES: In hydatid disease, the central nervous system is affected
approximately in 2% to 3% of patients. Surgical management in these patients is
important. To develop a surgical technique to avoid the formation of great volume
of cavity after hydatid cyst removal and prevent complications associated with
brain collapse and cortical convolution. PATIENTS AND METHODS: In 2 patients,
hydatid cysts were delivered by this new technique. A balloon filled with 150 cc
of sterile air/distilled water was placed in the cavity until the balloon filled
the entire cavity. Air/distilled water evacuation was continued at a rate of 20
cc/d and, after a week, eventually, the balloons were removed RESULTS:: All cysts
were delivered without rupture. Neurologic outcomes were good. No complications
were observed related to usage of the system such as balloon rupture, evacuation
problems, and infection. CONCLUSION: The authors believe that the balloon
insertion technique may be a useful method to prevent brain collapse, cortical
convolution, and complications associated with this condition. Further technical
refinements of the system are needed for better results.
PMID- 29381628
TI - Quality of Postoperative Pain Management After Maxillofacial Fracture Repair.
AB - BACKGROUND: Effective pain management is an essential component in the
perioperative care of surgical patients. However, postoperative pain after
maxillofacial fracture repair and its optimal therapy has not been described in
detail. MATERIALS AND METHODS: In a prospective cohort study, 95 adults rated
their pain on the first postoperative day after maxillofacial fracture repair
using the questionnaire of the Quality Improvement in Postoperative Pain
Management (QUIPS) project. Quality Improvement in Postoperative Pain Management
allowed for a standardized assessment of patients' characteristics and pain
related parameters. RESULTS: Overall, the mean maximal pain and pain on activity
(numeric rating scales) were significantly higher in patients with mandibular
fractures than in patients with midface fractures (P = 0.002 and P = 0.045,
respectively). In patients with mandibular fractures, a longer duration of
surgery was significantly associated with higher satisfaction with pain intensity
(P = 0.015), but was more frequently associated with postoperative vomiting (P =
0.023). A shorter duration of surgery and an absence of preoperative pain
counseling in these patients were significantly correlated to desire for more
pain medication (P = 0.049 and P = 0.004, respectively). Patients with mandibular
fractures that received opioids in the recovery room had significantly higher
strain-related pain (P = 0.017). In patients with midface fractures, a longer
duration of surgery showed significantly higher levels of decreased mobility (P =
0.003). Patients receiving midazolam for premedication had significantly less
minimal pain (P = 0.021). CONCLUSIONS: Patients with mandibular fractures seem to
have more postoperative pain than patients with midface fractures. Monitoring of
postsurgical pain and a procedure-specific pain-treatment protocol should be
performed in clinical routine.
PMID- 29381629
TI - Intraoral Prosthetic Chin Augmentation With Vertical Incisions.
AB - To explore a new surgical approach for chin augmentation using a prosthesis with
3 intraoral vertical incisions whereby placement of the prosthesis is more
convenient and accurate, with fewer postoperative complications. Following the
anatomic characteristics of the chin, a bilateral mucosal vertical incision and a
median observation incision are made. The V-shaped mark on the upper side of the
prosthesis can be seen through the observation incision after it is placed from
the lateral incision into the predesigned compartment. The incision can be
sutured if there is no bleeding in the operation area. Surgery performed in all
19 patients with mild microgenia with 6 to 12 months of follow-up resulted in
satisfactory chin and face shape without any complications. The application of
this novel method can correct McCarthy type I microgenia with more accurate
positioning, less possibility of bilateral sideways and/or up/down movement, and
fewer complications.
PMID- 29381630
TI - The Infraorbital Foramen Is Located Midway Between the Nasospinale and Jugale:
Considerations for Infraorbital Nerve Block and Maxillofacial Surgery.
AB - Identification of the infraorbital foramen is important in infraorbital nerve
block and the prevention of iatrogenic injury of the infraorbital nerve in
maxillofacial surgeries. This study assessed the location of 887 infraorbital
foramina from 518 adult crania of varied sex and population. The study assessed
the midpoint of a line segment spanning from nasospinale to jugale (NS-J)
relative to the infraorbital foramen. The mean distance of the NS-J midpoint from
the infraorbital foramen was 2.1 +/- 1.9 mm (mean +/- SD) with a mode of 0 mm
(266:887; 30%). The NS-J midpoint was located in the same plane or inferior to
the infraorbital foramen in 98.4% of sides (873:887). There were no significant
differences between sexes, populations, or sides with regard to the NS-J midpoint
to infraorbital foramen distance. The NS-J midpoint can be used to locate the
infraorbital foramen in both females and males of varied populations regardless
of craniofacial diversity. The results of this study will aid in infraorbital
nerve block procedures and maxillofacial surgery.
PMID- 29381631
TI - Effects of Platelet-Rich Fibrin Membrane on Sciatic Nerve Regeneration.
AB - Alternative treatment approaches to improve the regeneration capacity of damaged
peripheral nerves are currently under investigation. The objective of the present
study was to evaluate the effects of platelet-rich fibrin (PRF) membrane after
sciatic nerve crush injury in rabbits by histomorphometric and electromyographic
analysis. The left sciatic nerves of 20 male Vienna rabbits were clamped for 30
seconds to induce crush injuries. Animals were randomly divided into 2 groups:
PRF and control. For each animal in the PRF group, a PRF membrane was wrapped
around the injured part of the sciatic nerve to form a tube. No additional
treatment was performed in the control group. After a 12-week healing period,
tissue samples from the injured nerve region were harvested and the g-ratio of
axons, axon density, and impulse transmission changes were evaluated. Analysis
revealed that axon density differences were not statistically significant between
groups (P = 0.139). The rate of nerve fibers with optimum g-ratio was
significantly lower in the PRF group than in the control group (P = 0.02).
Conduction velocity differences between groups were not statistically
significant. Although PRF application has previously shown positive regeneration
effects on maxillofacial tissues, local PRF membrane application in tube form did
not show any histomorphometric or functional improvement in peripheral nerve
crush injury recovery.
PMID- 29381632
TI - Reliability of the Dutch Cleft Speech Evaluation Test and Conversion to the
Proposed Universal Scale.
AB - The Dutch cleft speech evaluation test (DCSET) has been implemented by the speech
language pathologists nationwide in the Netherlands since 2003, but the inter-
and intrarater reliability was unknown. Two speech-language pathologists
experienced in evaluating cleft speech assessed audio recordings of 20 children
with cleft speech using the DCSET, and reassessed the recordings 2 weeks later.
Intra- and interrater reliability was calculated, but found to be unacceptable
after the first phase of this study using audio recordings. Following consensus
training and some modifications in the scoring scales, the study was repeated
using video recordings of 20 different children with cleft speech. Results from
the second phase of this study using standardized video recordings showed fair,
moderate, and good reliability on different subsets of the DCSET. Intrarater
reliability (Kappa 0.59-1.00) was greater than interrater reliability (Kappa 0.33
0.79). Interrater reliability agreement was good (Kappa 0.63-0.79) for consonant
production errors and speech understandability and acceptability, moderate (Kappa
0.59) for the resonance of the nasal passage, and fair (Kappa 0.33-0.37) for the
resonance of the mixed and denasal passages. Subsequently, an algorithm was made
to convert the DCSET scales to universal scales for international comparison of
cleft speech as suggested by Henningsson et al in 2008.
PMID- 29381633
TI - Evaluation of the Effect of Topical Application of Nigella sativa on Acute
Radiation-Induced Nasal Mucositis.
AB - The goal of this study was to demonstrate the effect of radiotherapy (RT) on
nasal mucosa in rats and to evaluate the radioprotective effects of the topical
application of black seed oil (Nigella sativa [NS]) to treat acute radiation
induced nasal mucositis.A total of 18 rats were randomized into 3 groups, with 6
animals per group. The rats in group 1 were topically administered saline in the
nasal cavity after sham irradiation. Group 2 received saline at the same dose
after irradiation. Group 3 was given NS after irradiation. The rats in groups 2
and 3 were irradiated with a single dose of 40 Gy to the nasal and paranasal
area. Only one drop of saline (0.05 mL) was applied to each nostril in the first,
second, and third days after RT in groups 1 and 2. One drop of cold press NS
(0.05 mL) was applied to each nostril in group 3. Fourteen days after
irradiation, the nasal mucosal tissues were excised for histopathological
evaluation. Vascular dilatation, inflammatory cell infiltration, superficial
erosion, and formation of exudates were classified according to the severity.No
evidence of mucositis was observed in group 1. Of all the parameters the only
statistically significant difference between groups 2 and 3 were observed for
"superficial erosion' (P < 0.05). Overall microscopic observations in the NS
treated group were better than in group 2.The preliminary results of our study
have shown that local application of NS to the nasal mucosa may be an effective
treatment of acute nasal mucositis due to RT.
PMID- 29381634
TI - The Sellar Tumor: Metastasis or Chordoma?
AB - Chordomas are uncommon, locally invasive chordate tumors, which are mostly
observed in the axial skeleton. Numerous papers have described similar patients
around different anatomic locations; however, rare document previously reported
that intracranial chordoma was associated with clear cell renal cell carcinoma
(ccRCC). The authors report a 51-year-old male patient with a history of right
radical nephrectomy for ccRCC presented to us with progressive blurred vision.
Ophthalmic examination showed vision loss and visual field defects. Magnetic
resonance imaging demonstrated pituitary tumor with hemorrhage, which was
compressing the optic chiasm. He underwent a transnasal endoscope resection of
the sellar mass. The immediate postoperative pathologic result was simply
considered to be pituitary metastasis from ccRCC. After further
immunohistochemical study, pathology diagnosis was made the necessary corrections
to be the sellar chordoma. The authors summarize this exceptional patient and
review the pertinent literature briefly.
PMID- 29381635
TI - Subdural Abnormal Communicating Vessel in Chronic Subdural Hematoma.
AB - Traditionally, lacerations of bridging vessels were surmised to cause chronic
subdural hematoma (CSDH), although neither observation studies nor medical
research was able to testify this. Nowadays, an inflammatory process is known to
take place in the development of CSDH. Of note, post-traumatic angiogenesis at
its early stage also features inflammation with immune cell infiltration. The
authors found a patient suffering from CSDH with unusual angiogenesis between
dura and pia matters. The observation of dura-and-pia angiogenesis may be a piece
of evidence to underline compensatory reaction of central nervous system to
offset the negative effects produced by CSDH, and points out to a possible
approach of bolstering angiogenesis to manage ischemic diseases in cerebral
hemispheres.
PMID- 29381636
TI - The Distal Stump of the Intramuscular Motor Branch of the Obturator Nerve Is
Useful for the Reconstruction of Long-Standing Facial Paralysis Using a Double
Powered Free Gracilis Muscle Flap Transfer.
AB - BACKGROUND: Double innervation of the transferred muscle with the contralateral
facial nerve and the ipsilateral masseteric nerve has recently been reported by
some authors. The aim of this study was to assess the utility of our procedure of
double innervation of free gracilis muscle for reconstruction of long-standing
facial palsy. PATIENTS AND METHODS: In our department, 6 cases of long-standing
facial paralysis (4 cases of complete palsy and 2 of incomplete palsy) were
reconstructed using a free gracilis muscle double innervated with the masseteric
and contralateral facial nerves. The patient age ranged from 37 to 79 years
(average 56.7 +/- 15.7). In our procedure, the intramuscular motor branch of the
transferred muscle was identified and sutured to the ipsilateral masseteric nerve
in an end-to-end fashion, and the obturator nerve of the transferred muscle was
sutured to the cross-facial nerve graft, which was coapted with the contralateral
facial nerve by end-to-end suturing. RESULTS: All patients were followed up for
>18 months and recovered their smiling function. The voluntary movement of the
transferred muscle with teeth clenching was observed at approximately 4.7 months,
and this movement combined with contralateral mouth angle elevation was observed
at approximately 9.5 months after the surgery. CONCLUSIONS: Our experience
suggests that the distal stump of the intramuscular motor branch of the obturator
nerve may be useful for facial reanimation via double-powered free gracilis
muscle flap transfer.
PMID- 29381637
TI - Eyebrow Position and Shape Favored by Korean Women.
AB - PURPOSE: The shape and position of the eyebrows are among the most important
facial features from an esthetic point of view. However, significant cultural
differences exist with respect to the eyebrow shape and position that are
considered ideal. The purpose of this study was to identify the position and
shape of eyebrows preferred by Korean women and to apply these, including
forehead lifts, to the clinics. METHODS: The authors took full facial photographs
in the Frankfort plane of Korean women aging from young childhood to their 50s.
On the basis of these, 2 parameters were calculated: first, the height-to-width
ratio (HWR), the ratio between the vertical distance from the brow apex to the
palpebral fissure and the horizontal distance from the medial canthus to the
lateral canthus; and second, the takeoff angle (TOA), the angle between the
straight line connecting the 2 lateral canthi and the line from the brow apex
through the center of the medial brow segment. The authors then had drawings of
"Anastasia arch" eyebrows made; as high eyebrows are considered beautiful in
Korea, and the eyebrows of women in their 20s were the highest, the drawings
depicted the mean HWR and TOA values for this age group and their various degrees
of deviation. These drawings were shown to 300 women aged 21 to 40 years from May
1 to June 30, 2014; they were instructed to choose the drawing they preferred
among the 5 drawings differing in HWR and again among the 5 differing in TOA.
RESULTS: Among the 99 Korean women in their 20s, the mean HWR and TOA was 1:1.01
+/- 0.23 and 12 degrees +/- 5.2 degrees , respectively. Regarding the survey,
the most preferred HWR was 1:1.0, which was selected by 204 respondents (68%);
the most preferred TOA was 10 degrees , which was chosen by 122 respondents
(40.7%). CONCLUSION: This study shows that HWR gradually decreases and TOA
increases with age. Furthermore, Korean women's preferred eyebrows have an HWR of
~1:1 and a TOA of ~10 degrees . These results could guide plastic surgeons
performing periorbital rejuvenation surgeries, including brow lifts, not only for
Koreans but also for North-East Asians.
PMID- 29381638
TI - Unilateral Isolated Hypoglossal Nerve Palsy Caused by Gunshot Injury.
AB - Cranial nerve palsies after gunshot injury are not uncommon. However, in the
literature, only 1 patient with isolated hypoglossal nerve paralysis caused by
gunshot has been published. The authors describe a 34-year-old man suffering from
unilateral isolated hypoglossal nerve palsy caused by gunshot injury as a second
reported patient. The bullet entered maxillary sinus, and caused condylar
fracture, then ended up C1-2 interspace. The bullet was surgically removed by a
posterior approach. It is important to pay attention to hypoglossal nerve injury
when confronted with a gunshot wound. The authors recommend early and sufficient
surgical decompression.
PMID- 29381639
TI - Condylar Hyperplasia in a Monozygotic Twin Girl: An Argument About Etiology.
AB - The diagnosis of unilateral condylar hyperplasia (UCH) requires a combined
assessment consisting of clinical, radiological, and histopathological
examination. The etiology of this condition is unknown. The purpose of this study
is to report a rare case of UCH in a monozygotic twin. A 15-year-old girl was
referred to our department complaining of facial asymmetry and malocclusion.
Computed tomography and single-photon emission computed tomography imaging reveal
enlargement of the left condyle and condylar neck, and an increased uptake that
was diagnosed as active UCH. During the investigation of family and co-twin
facial profile, no cases of UCH were identified. From the case reported in this
study, the authors raise a hypothesis that can exist some environmental factor
that is related in the development of condylar hyperplasia given the occurrence
of this disease in one of twins.
PMID- 29381640
TI - Management of Temporomandibular Joint Ankylosis With Dentofacial Deformities in
Children.
AB - This retrospective study described the authors' experience in the treatment of
temporomandibular joint (TMJ) ankylosis with dentofacial deformities in 18
pediatric patients during a 4-year period. These patients underwent different
types of arthroplasty with condylar reconstruction, simultaneously with treatment
of dentofacial deformities. Re-ankylosis was confirmed if maximal incisal opening
(MIO) was <20 mm. Clinical outcomes were evaluated in terms of oral function,
radiography, and medical photography. Patients were followed up for a mean time
of 24.8 months. No infections, re-ankylosis, or permanent facial nerve damage
were found during the hospitalization or follow-up period. All patients achieved
significant improvements in MIO and oral function. The dentofacial deformities in
most patients were improved to varying degrees. The results provided more useful
information for the management of the pediatric patients with TMJ ankylosis and
secondary dentofacial deformities. Early treatment and close follow-up play an
important role in the management of these patients.
PMID- 29381641
TI - Effects of Nasoalveolar Molding Therapy on Alveolar and Palatal Cleft Deformities
in Unilateral and Bilateral Cleft Lip and Palate.
AB - OBJECTIVE: The purpose of this study was to evaluate and compare the efficacy of
nasoalveolar molding (NAM) therapy in the improvement of alveolar and palatal
cleft deformity on unilateral (UCLP) versus bilateral (BCLP) cleft lip and
palate. MATERIALS AND METHODS: A total of 19 UCLP (14 boys and 5 girls) and 8
BCLP (7 boys and 1 girl) infants completed NAM therapy were included in this
study. Standardized parameters of cleft width of alveol and palate were measured
on photocopies taken from the pre- and post-treatment plaster casts. To assess
the intragroup differences, paired-samples test in UCLP and Wilcoxon test in BCLP
groups were used. Mann-Whitney U test was used to evaluate the differences
between the groups. RESULTS: Significant decreases in alveolar and palatal cleft
gaps were achieved in an average period of 3.3 +/- 1.9 and 3.7 +/- 1.6 months in
UCLP and BCLP patients, respectively. The alveolar cleft decreased 7.85 +/- 4.59
mm in UCLP and 4.25 +/- 3.13 and 3.81 +/- 3.50 mm in right and left alveolar
cleft sides in BCLP, respectively. The palatal clefts were decreased 4.63 +/-
2.44 mm in medial and 3.72 +/- 2.62 mm in posterior parts in UCLP. The decrements
in BCLP were 3.00 +/- 2.75 mm in medial and 2.88 +/- 2.75 mm in posterior palatal
cleft distances. No significant differences were determined in the amount of
alveolar or palatal cleft closure between UCLP and BCLP groups. The only decrease
in arch width was 1.39 mm in medial part of palate in UCLP. CONCLUSION: The NAM
device provides significant decreases in both alveolar and palatal cleft
deformities in UCLP and BCLP infants, as compared with their birth status.
PMID- 29381642
TI - The First Patient Report of Tongue Abscess Among Iraqi Population.
AB - Tongue abscess is a serious clinical entity which scarcely affects the tongue. It
should be treated urgently to prevent airway obstruction or dissemination of
infection to a more deep or distant area of the body. This article presented the
first clinical report of tongue abscess in Iraq which discussed its clinical
presentation, diagnosis, and treatment with a review of literature.
PMID- 29381643
TI - Uvula Abscess in a Newborn Infant.
AB - Abscesses can be found in several places in the oral cavity, most commonly
occurring in peritonsillar and periodontal regions. In this report, the authors
described a uvula abscess in a 1-month-old term newborn who was brought to the
pediatric outpatient clinic with the complaints of difficulty in sucking
swallowing and refusal to suck at the breast. To the best of the authors'
knowledge this is the first report of a uvula abscess in the literature.
PMID- 29381644
TI - Schwannoma of the Membranous Nasal Septum: a Clinical Report With Aesthetic
Approach.
AB - Schwannoma is an uncommon tumor of nerve sheath that arises from any peripheral,
cranial, or autonomic nerve. Only 4% of head and neck schwannomas originate from
the sinonasal tract, and a finding of a schwannoma in the nasal septum is
exceedingly rare. The authors experienced nasal septal schwannoma with the
functional and aesthetic consideration of nasal contour. The authors present an
open rhinoplasty approach for nasal septal schwannoma which has not been reported
in the previous literature yet.
PMID- 29381645
TI - PVR/CD155 Ala67Thr Mutation and Cleft Lip/Palate.
AB - The 19q13 locus has been linked to cleft lip and palate by our group and
independently by others. Here we fine mapped the region in an attempt to identify
an etiological variant that can explain cleft lip and palate occurrence. A total
of 2739 individuals born with cleft lip and palate, related to individuals born
with cleft lip and palate, and unrelated were studied. We used linkage and
association approaches to fine map the interval between D19S714 and D19S433 and
genotypes were defined by the use of TaqMan chemistry. We confirmed our previous
findings that markers in PVR/CD155 are associated with cleft lip and palate. We
studied the mutation Ala67Thr further and calculated its penetrance. We also
attempted to detect PVR/CD155 expression in human whole saliva. Our results
showed that markers in PVR/CD155 are associated with cleft lip and palate and the
penetrance of the Ala67Thr is very low (between 1% and 5%). We could not detect
PVR/CD155 expression in adult human whole saliva and PVR/CD155 possibly interacts
with maternal infection to predispose children to cleft lip only.
PMID- 29381648
TI - Humoral Reactivity of Renal Transplant-Waitlisted Patients to Cells From
GGTA1/CMAH/B4GalNT2, and SLA Class I Knockout Pigs: Erratum.
PMID- 29381649
TI - Video-Recorded Validation of Wearable Step Counters under Free-living Conditions.
AB - PURPOSE: The purpose of this study was to determine the accuracy of 14-step
counting methods under free-living conditions. METHODS: Twelve adults (mean +/-
SD age, 35 +/- 13 yr) wore a chest harness that held a GoPro camera pointed down
at the feet during all waking hours for 1 d. The GoPro continuously recorded
video of all steps taken throughout the day. Simultaneously, participants wore
two StepWatch (SW) devices on each ankle (all programmed with different
settings), one activPAL on each thigh, four devices at the waist (Fitbit Zip,
Yamax Digi-Walker SW-200, New Lifestyles NL-2000, and ActiGraph GT9X (AG)), and
two devices on the dominant and nondominant wrists (Fitbit Charge and AG). The
GoPro videos were downloaded to a computer and researchers counted steps using a
hand tally device, which served as the criterion method. RESULTS: The SW devices
recorded between 95.3% and 102.8% of actual steps taken throughout the day (P >
0.05). Eleven step counting methods estimated less than 100% of actual steps;
Fitbit Zip, Yamax Digi-Walker SW-200, and AG with the moving average vector
magnitude algorithm on both wrists recorded 71% to 91% of steps (P > 0.05),
whereas the activPAL, New Lifestyles NL-2000, and AG (without low-frequency
extension (no-LFE), moving average vector magnitude) worn on the hip, and Fitbit
Charge recorded 69% to 84% of steps (P < 0.05). Five methods estimated more than
100% of actual steps; AG (no-LFE) on both wrists recorded 109% to 122% of steps
(P > 0.05), whereas the AG (LFE) on both wrists and the hip recorded 128% to 220%
of steps (P < 0.05). CONCLUSIONS: Across all waking hours of 1 d, step counts
differ between devices. The SW, regardless of settings, was the most accurate
method of counting steps.
PMID- 29381647
TI - Recommendations for Management of Endemic Diseases and Travel Medicine in Solid
Organ Transplant Recipients and Donors: Latin America.
AB - The Recommendations for Management of Endemic Diseases and Travel Medicine in
Solid-Organ Transplant Recipients and Donors: Latin America clinical practice
guideline is intended to guide clinicians caring for solid-organ transplant (SOT)
donors, candidates and recipients regarding infectious diseases (ID) issues
related to this geographical region, mostly located in the tropics. These
recommendations are based on both systematic reviews of relevant literature and
expert opinion from both transplant ID and travel medicine specialists. The
guidelines provide recommendations for risk evaluation and laboratory
investigation, as well as management and prevention of infection of the most
relevant endemic diseases of Latin America. This summary includes a brief
description of the guideline recommendations but does not include the complete
rationale and references for each recommendation, which is available in the
online version of the article, published in this journal as a supplement. The
supplement contains 10 reviews referring to endemic or travel diseases (eg,
tuberculosis, Chagas disease [ChD], leishmaniasis, malaria, strongyloidiasis and
schistosomiasis, travelers diarrhea, arboviruses, endemic fungal infections,
viral hepatitis, and vaccines) and an illustrative section with maps
(http://www.pmourao.com/map/). Contributors included experts from 13 countries
(Brazil, Canada, Chile, Denmark, France, Italy, Peru, Spain, Switzerland, Turkey,
United Kingdom, United States, and Uruguay) representing four continents (Asia,
the Americas and Europe), along with scientific and medical societies.
PMID- 29381650
TI - Effects of Different Exercise Modes on Arterial Stiffness and Nitric Oxide
Synthesis.
AB - PURPOSE: Aerobic training (AT) and high-intensity intermittent training (HIIT)
reduce arterial stiffness, whereas resistance training (RT) induces deterioration
of or no change in arterial stiffness. However, the molecular mechanism of these
effects of different exercise modes remains unclear. This study aimed to clarify
the difference of different exercise effects on endothelial nitric oxide synthase
(eNOS) signaling pathway and arterial stiffness in rats and humans. METHODS: In
the animal study, forty 10-wk-old male Sprague-Dawley rats were randomly divided
into four groups: sedentary control (CON), AT (treadmill running, 60 min at 30
m.min, 5 d.wk for 8 wk), RT (ladder climbing, 8-10 sets per day, 3 d.wk for 8
wk), and HIIT (14 repeats of 20-s swimming session with 10-s pause between
sessions, 4 d.wk for 6 wk from 12-wk-old) groups (n = 10 in each group). In the
human study, we confirmed the effects of 6-wk HIIT and 8-wk AT interventions on
central arterial stiffness and plasma nitrite/nitrate level in untrained healthy
young men in randomized controlled trial (HIIT, AT, and CON; n = 7 in each
group). RESULTS: In the animal study, the effect on aortic pulse wave velocity
(PWV), as an index of central arterial stiffness, after HIIT was the same as the
decrease in aortic PWV and increase in arterial eNOS/Akt phosphorylation after
AT, which was not changed by RT. A negative correlation between aortic PWV and
eNOS phosphorylation was observed (r = -0.38, P < 0.05). In the human study, HIIT
and AT-induced changes in carotid-femoral PWV (HIIT -115.3 +/- 63.4 and AT
157.7 +/- 45.7 vs CON 71.3 +/- 61.1 m.s, each P < 0.05) decreased, and plasma
nitrite/nitrate level increased compared with those in CON. CONCLUSIONS: HIIT may
reduce central arterial stiffness via the increase in aortic nitric oxide
bioavailability despite it being done in a short time and short term and has the
same effects as AT.
PMID- 29381651
TI - Exercise Effects on Adipose Tissue Postprandial Lipolysis and Blood Flow in
Children.
AB - : Poor suppression of lipolysis and blunted increase in blood flow after meal
ingestion in obese adults may indicate resistance to the antilipolytic action of
insulin. Exercise may be used to normalize lipolytic responses to food intake by
increasing insulin sensitivity. PURPOSE: To determine if acute bouts of aerobic
exercise and/or excise training alter lipolytic and blood flow responses to food
intake in lean (LN) and obese (OB) children. METHODS: Sixty-five children (9-11
yr) were randomized into acute exercise (EX: 16 LN and 28 OB) or control (CON: 9
LN and 12 OB) groups that exercised (EX), or rested (CON) between standardized
breakfast and lunch. Microdialysis probes were inserted into the subcutaneous
abdominal adipose tissue to monitor interstitial glycerol (lipolysis) and blood
flow. Changes in interstitial glycerol and nutritive flow were calculated from
dialysate samples before and after each meal. A subgroup (OB = 15 and LN = 9)
from the acute exercise group underwent 16 wk of aerobic exercise training.
RESULTS: Poor suppression of lipolysis and a blunted increase in adipose tissue
nutritive blood flow in response to breakfast was associated with BMI percentile
(r = 0.3, P < 0.05). These responses were normalized at lunch in the OB in the EX
(P < 0.05), but not in OB in the CON. Sixteen weeks of exercise training did not
improve meal-induced blood flow and marginally altered the antilipolytic response
to the two meals (P = 0.06). CONCLUSIONS: Daily bouts of acute aerobic exercise
should be used to improve the antilipolytic and nutritive blood flow response to
a subsequent meal in obese children.
PMID- 29381652
TI - Comparison of Two Generations of ActiGraph Accelerometers: The CARDIA Study.
AB - PURPOSE: This study aimed to examine the comparability of the ActiGraph 7164 and
wGT3X-BT wear time, count-based estimates, and average time per day in physical
activity of different intensities. METHODS: We studied 87 Coronary Artery Risk
Development in Young Adults (CARDIA) participants 48-60 yr of age who
simultaneously wore the 7164 and wGT3X-BT accelerometers at the waist in 2015
2016, with wear time of >=4 of 7 d, >=10 h.d for both monitors. Freedson
cutpoints (counts per minute) were used to define sedentary (<100), light (100
1951), moderate (1952-5724), and vigorous activity (>=5725). Agreement was
evaluated using paired-difference tests, intraclass correlation coefficients, and
Bland-Altman plots. Given systematic differences in count-based estimates between
monitors, a calibration formula applied to the wGT3X-BT values was obtained by
linear regression. RESULTS: Total detected wear time minutes per day was nearly
identical between the 7164 and the wGT3X-BT (881.5 +/- 70.9 vs 880.3 +/- 78.1, P
= 0.72). The wGT3X-BT values were calibrated to the 7164 values by dividing
counts by 1.088. After calibration, no differences were observed between the 7164
and the wGT3X-BT in total counts per day (310,184 +/- 129,189 vs 307,085 +/-
135,362, P = 0.48), average counts per min per day (349.5 +/- 139.5 vs 346.5 +/-
147.2, P = 0.54), sedentary (513.2 +/- 93.6 vs 509.6 +/- 98.6, P = 0.23), light
(335.3 +/- 81.5 vs 338.7 +/- 81.1, P = 0.22), moderate (31.0 +/- 21.9 vs 30.3 +/-
23.4, P = 0.31), or moderate-to-vigorous minutes per day (33.1 +/- 24.6 vs 32.0
+/- 26.0, P = 0.13). A significant difference was observed for vigorous minutes
per day (0.2 +/- 1.0 vs 0.0 +/- 0.3, P < 0.01); however, the absolute difference
was marginal. Intraclass correlation coefficients showed excellent agreement for
all measures (0.95-0.99). CONCLUSIONS: After applying a calibration formula, the
7164 and wGT3X-BT were comparable for total wear time, count-based estimates, and
average minutes per day in sedentary, light, moderate, and moderate-to-vigorous
activity. Findings illustrate a novel methodological approach to facilitate
accelerometer data harmonization.
PMID- 29381653
TI - Spinal manipulative therapy reduces peripheral neuropathic pain in the rat.
AB - Spinal manipulative therapy, including low-velocity variable-amplitude spinal
manipulation (LVVA-SM), relieves chronic low back pain, especially in patients
with neuropathic radiating leg pain following peripheral nervous system insult.
Understanding the underlying analgesic mechanisms requires animal models. The aim
of the current study was to develop an animal model for the analgesic actions of
LVVA-SM in the setting of peripheral neuropathic pain. Adult male Sprague-Dawley
rat sciatic nerve tibial and common peroneal branches were transected, sparing
the sural branch (spared nerve injury, SNI). After 15-18 days, rats were assigned
randomly to one of three groups (n=9 each group): LVVA-SM at 0.15-or 0.16-Hz or
Control. LVVA-SM (20 degrees flexion at the L5 vertebra with an innovative
motorized treatment table) was administered in anesthetized rats for 10 min.
Control rats were administered anesthesia and positioned on the treatment table.
After 10, 25, and 40 min, the plantar skin of the hindpaw ipsilateral to SNI was
tested for mechanical sensitivity (paw withdrawal threshold to a logarithmic
series of Semmes-Weinstein monofilaments) and cold sensitivity (duration of paw
lifting, shaking, and/or licking to topical acetone application). SNI produced
behavioral signs of mechanical and cold allodynia. LVVA-SM reduced mechanical,
but not cold, hypersensitivity compared with Control (0.15-Hz: P=0.04 at 10 min;
0.16-Hz: P<0.001 at 10 min, P=0.04 at 25 min). The analgesic effect of LVVA-SM in
chronic low back pain patients with neuropathic leg pain can be reverse
translated to a rat model Video abstract: http://links.lww.com/WNR/A453.
PMID- 29381654
TI - Macroscopic and microscopic diversity of missplicing in the central nervous
system of patients with myotonic dystrophy type 1.
AB - Myotonic dystrophy type I (DM1) is a multiorgan disease caused by CTG-repeat
expansion in the DMPK gene. Sequestration of the splicing factor MBNL1 results in
aberrant splicing in many genes in DM1 skeletal muscle, whereas MBNL2 plays a
leading role in missplicing in the central nervous system (CNS) of patients with
DM1. Splicing misregulation of most MBNL2-regulated genes occurs in the temporal
cortex but not in the cerebellum of autopsied patients with DM1. To understand
the diversity at macroscopic and microscopic levels in CNS of patients with DM1.
Using autopsied brain tissues, we examined alternative splicing ratios of MBNL2
regulated genes and expression levels of potential splicing factors. We found
differences in splicing abnormalities among tested regions of the CNS from
patients with DM1. In the frontal and temporal cortices and the hippocampus, many
genes were aberrantly spliced, but severity differed among the brain regions. By
contrast, there were no significant differences in the ratio of splicing variants
for most of the genes in the cerebellar cortex and spinal cord between DM1 and
control samples. We failed to find any change in the amount of potential factors
(MBNL and CUGBP proteins and DMPK mRNA) which explain the modest missplicing in
the cerebellum. LASER capture microdissection demonstrated splicing misregulation
in the molecular layer of the cerebellum but not in the granular layer. This is
the first study to reveal missplicing in a functional cell layer of DM1 and to
compare splicing misregulation in a wide region of the CNS using statistical
analysis.
PMID- 29381655
TI - Integrated analysis of the genetic basis of suicidal behavior: what has been
shown by structural genetic studies so far.
AB - OBJECTIVE: In recent decades, the role of genetic factors in the predisposition
to suicidal behavior has attracted considerable attention. Although each genetic
investigation appears to be valuable, no one study on its own can comprehensively
explain the etiology of suicidal behavior. METHODS: In this study, using a broad
literature review, we found the suicide-associated gene coexpression network. In
addition, cytoband, molecular function, biological process, cellular component,
tissue-based expression, and disease/disorder enrichment analyses were carried
out to determine the most central cellular and molecular infrastructures involved
in suicidal behavior. RESULTS: The reconstructed network consisted of 104 genes,
including 91 previously known genes and 13 novel genes, and 354 interactions.
Topological analysis showed that in total, CCK, INPP1, DDC, and NPY genes are the
most fundamental hubs in the network. We found that suicide genes are
significantly concentrated within chromosomes 11 and 6. Further analysis showed
that monoaminergic signal transduction, especially through GPCRs, in the
cingulate gyrus, superior prefrontal gyrus, dorsal striatum, and the cerebellum
are the main, deficient routes in suicide. Moreover, it turned out that
genetically, suicidal behavior is more likely in patients with mood and affective
disorders. CONCLUSION: Like other behavioral disorders, suicide has a complex and
multifactorial basis and at present, the only approaches to the integrated study
of such disorders are computer-based methods. The results of such studies,
although subject to a degree of uncertainty, however, can pave the way for future
basic and clinical studies.
PMID- 29381656
TI - No association of NR3C1 polymorphisms with major depressive disorder in the
Chinese Han population.
PMID- 29381657
TI - Socioeconomic Status and Cardiovascular Responses to Standardized Stressors: A
Systematic Review and Meta-Analysis.
AB - OBJECTIVE: Disparities in cardiovascular health by socioeconomic status (SES) are
a pressing public health concern. Hypothesized mechanisms linking low SES to poor
health are large cardiovascular responses to and delayed recovery from
psychological stress. The current study presents a meta-analysis of the
literature on the association of SES with blood pressure and heart rate
reactivity to and recovery from acute stress tasks. METHODS: The PubMed database
was searched, and 26 unique studies with relevant data were identified (k = 25
reactivity [n = 14,617], k = 6 recovery [n = 1,324]). RESULTS: Using random
effects models, no significant association between SES and cardiovascular
reactivity to stress emerged (r = .008, 95% confidence interval = -.02 to .04),
although higher SES was associated with better recovery from stress (r = -.14,
95% confidence interval -.23 to -.05). Stressor type moderated the reactivity
effect, wherein higher SES was associated with greater reactivity to cognitive
stressors (r = .036, p = .024), not with reactivity to interpersonal stressors (r
= -.02, p = .62), but was associated with lower reactivity to tasks with
combinations of cognitive, interpersonal, and physical challenges (r = -.12, p =
.029). Accounting for publication bias revealed a significant association between
SES and reactivity in the opposite direction of hypotheses. CONCLUSIONS:
Cardiovascular recovery from acute stress, but not reactivity to stress, may be a
key pathway between low SES and risk for cardiovascular diseases. Heterogeneity
in effect size and direction, challenges related to working across temporal
dynamics, and recommendations for future research are discussed.
PMID- 29381659
TI - The Interaction of Genetic Predisposition and Socioeconomic Position With Type 2
Diabetes Mellitus: Cross-Sectional and Longitudinal Analyses From the Lifelines
Cohort and Biobank Study.
AB - OBJECTIVE: A strong genetic predisposition for type 2 diabetes mellitus (T2DM)
may aggravate the negative effects of low socioeconomic position (SEP) in the
etiology of the disorder. This study aimed to examine cross-sectional and
longitudinal associations and interactions of a genetic risk score (GRS) and SEP
with T2DM and to investigate whether clinical and behavioral risk factors can
explain these associations and interactions. METHODS: We used data from 13,027
genotyped participants from the Lifelines study. The GRS was based on single
nucleotide polymorphisms genome-wide associated with T2DM and was categorized
into tertiles. SEP was measured as educational level. T2DM was based on
biological markers, recorded medication use, and self-reports. Cross-sectional
and longitudinal associations and interactions between the GRS and SEP on T2DM
were examined. RESULTS: The combination of a high GRS and low SEP had the
strongest association with T2DM in cross-sectional (odds ratio = 3.84, 95%
confidence interval = 2.28-6.46) and longitudinal analyses (hazard ratio = 2.71,
1.39-5.27), compared with a low GRS and high SEP. Interaction between a high GRS
and a low SEP was observed in cross-sectional (relative excess risk due to
interaction = 1.85, 0.65-3.05) but not in longitudinal analyses. Clinical and
behavioral risk factors mostly explained the observed associations and
interactions. CONCLUSIONS: A high GRS combined with a low SEP provides the
highest risk for T2DM. These factors also exacerbated each other's impact cross
sectionally but not longitudinally. Preventive measures should target individual
and contextual factors of this high-risk group to reduce the risk of T2DM.
PMID- 29381660
TI - Prevalence of hormone therapy, factors associated with its use, and knowledge
about menopause: a population-based household survey.
AB - OBJECTIVE: The aim of the study was to assess the prevalence of hormone therapy
(HT), the factors associated with its use, and the importance of knowledge about
menopause and HT. METHODS: A cross-sectional population-based study with 749
Brazilian women aged 45 to 60 years living in the Campinas Metropolitan Region
was carried out between September 2012 and June 2013. The dependent variable was
current or previous HT use. The independent variables were sociodemographic data,
health-related problems, and knowledge about menopause assessed using a score
that was obtained with a questionnaire on various aspects of menopause. RESULTS:
The mean age of the women was 52.5 (+/-4.4) years. With regard to the menopause
status, 16% were premenopausal, 16% were perimenopausal, and 68% were
postmenopausal. Among all the women included, 19.5% reported current or previous
HT use. In multiple regression analysis, being postmenopausal (prevalence ratio
[PR] 2.76; 95% CI, 1.74-4.38), receiving information about menopause from
physicians and health service workers (PR 2.73; 95% CI, 1.91-3.89), having
bilateral oophorectomy (PR 2.18; 95% CI, 1.49-3.17), experiencing work
interruption due to hot flashes (PR 1.44; 95% CI, 1.03-2.01), and having
extensive knowledge about menopause (PR 1.12; 95% CI, 1.05-1.19) were associated
with a higher prevalence of HT use. CONCLUSIONS: The prevalence of HT use was
19.5%. Menopause status, information source, surgical menopause, work
interruption due to hot flashes, and knowledge about menopause were associated
with HT use. Education promoted by healthcare systems can increase HT use in
women who have indications for treatment.
PMID- 29381658
TI - Cardiovascular Stress Reactivity and Carotid Intima-Media Thickness: The
Buffering Role of Slow-Wave Sleep.
AB - OBJECTIVE: Exaggerated cardiovascular reactivity to acute psychological stress
has been associated with increased carotid intima-media thickness (IMT). However,
interstudy variability in this relationship suggests the presence of moderating
factors. The current study aimed to test the hypothesis that poor nocturnal
sleep, defined as short total sleep time or low slow-wave sleep, would moderate
the relationship between cardiovascular reactivity and IMT. METHODS: Participants
(N = 99, 65.7% female, age = 59.3 +/- 9.3 years) completed a two-night laboratory
sleep study and cardiovascular examination where sleep and IMT were measured. The
multisource interference task was used to induce acute psychological stress,
while systolic and diastolic blood pressure and heart rate were monitored.
Moderation was tested using the PROCESS framework in SPSS. RESULTS: Slow-wave
sleep significantly moderated the relationship between all cardiovascular stress
reactivity variables and IMT (all pinteraction <= .048, all DeltaRinteraction >=
.027). Greater stress reactivity was associated with higher IMT values in the low
slow-wave sleep group and lower IMT values in the high slow-wave sleep group. No
moderating effects of total sleep time were observed. CONCLUSIONS: The results
provide evidence that nocturnal slow-wave sleep moderates the relationship
between cardiovascular stress reactivity and IMT and may buffer the effect of
daytime stress-related disease processes.
PMID- 29381661
TI - Perspectives and decision-making about menopausal therapies in women who had
bilateral oophorectomy.
AB - OBJECTIVE: The aim of the study was to explore the process of decision-making
about menopausal treatments in women who have had surgical menopause as a result
of bilateral oophorectomy (<=50 y). METHODS: We used a descriptive qualitative
research design. Women who had a surgical menopause were purposefully selected
from the Edmonton Menopause Clinics. Focus groups were held, each with six to
nine participants. All sessions were audio-recorded and transcribed verbatim.
Data were analyzed using qualitative content analysis. RESULTS: We conducted five
focus groups from June 30 to July 21, 2016 (N = 37). One-third of the women had
the surgery within the last 5 years. Almost all women had a concurrent
hysterectomy (97%) and were current users of hormone therapy (70%). Four main
themes identified were "perceptions of surgical menopause," "perceptions of
received support," "being my own advocate," and "concept of adequate support."
Women shared that the experience was worse than their expectations and did not
believe they were given adequate support to prepare them to make therapy
decisions. Women had to "be their own advocates" and seek support from within the
healthcare system and outside to cope with their health issues. To make an
informed decision about treatments postsurgery, women expressed a need to learn
more about the symptoms of surgical menopause, treatment options, resources,
avenues for support, and stories of similar experiences, preferably before the
surgery. CONCLUSIONS: We identified several modifiable deterrents to decision
making in early surgical menopause which can help inform the development of a
patient decision aid for this context.
PMID- 29381663
TI - The Women's Health Initiative: addressing vaginal and sexual health.
PMID- 29381662
TI - Association between HIV status and psychological symptoms in perimenopausal
women.
AB - OBJECTIVE: HIV-infected women are burdened by depression and anxiety, which may
impact adherence to antiretroviral therapy and overall quality of life. Yet,
little is known about the scope of psychological symptoms in the growing number
of HIV-infected women reaching menopause, when affective symptoms are more
prevalent in the general population. We conducted a longitudinal study to compare
affective symptoms between perimenopausal HIV-infected and non-HIV-infected
women. METHODS: The Center for Epidemiologic Studies Depression Scale (CES-D),
and the Generalized Anxiety Disorder scale (GAD-7) were completed at baseline and
12 months among 33 HIV-infected and 33 non-HIV-infected perimenopausal women
matched by race, age, menstrual patterns, and BMI. Linear regression models
estimated the relationship of baseline GAD-7 and CES-D scores with clinical
factors. RESULTS: All women were perimenopausal at baseline, and the vast
majority remained perimenopausal throughout follow-up. HIV status was associated
with higher baseline CES-D scores (median [interquartile range] 21 [12, 29] vs 10
[5, 14]; P = 0.03) and GAD-7 scores (7 [5, 15] vs 2 [1, 7]; P = 0.01),
controlling for smoking, substance use, and antidepressant use. Depressive
symptoms and anxiety remained significantly higher in the HIV-infected women at
12 months (P <= 0.01). Significant relationships of depressive symptoms (P =
0.048) and anxiety (P = 0.02) with hot flash severity were also observed.
CONCLUSIONS: Perimenopausal HIV-infected women experienced a disproportionately
high level of affective symptom burden over a 12-month observation period. Given
the potential for these factors to influence adherence to HIV clinical care and
quality of life, careful assessment and referral for treatment of these symptoms
is essential.
PMID- 29381664
TI - Hormone therapy and urine protein excretion: a multiracial cohort study,
systematic review, and meta-analysis.
AB - OBJECTIVE: Experimental models suggest estrogen has a renoprotective effect, but
human studies show variable results. Our objective was to study the association
of hormone therapy (HT) and albuminuria in postmenopausal women and to synthesize
the results with outcomes from prior studies. METHODS: We analyzed data from
postmenopausal women who participated in the second study visit (2000-2004) of
the Genetic Epidemiology Network of Arteriopathy (GENOA) study. The exposure was
self-reported HT use and the outcome was albuminuria (urine albumin-to-creatinine
ratio >25 mg/g creatinine). We also conducted a systematic review and meta
analysis on the association of HT and urine protein in postmenopausal women.
Continuous and dichotomous measures of protein excretion were converted to a
standardized mean difference (SMD) for each study. RESULTS: In the GENOA cohort
(n = 2,217), there were fewer women with albuminuria among HT users than nonusers
(9% vs 19%, P < 0.001). HT use was associated with decreased odds of albuminuria
(odds ratio 0.65, 95% confidence interval (CI), 0.45-0.95), after adjusting for
significant differences in age, race, education, comorbidities, and the age at
and cause of menopause. The SMD of the effect of HT on urine
proteinuria/albuminuria in the randomized control trials (n = 3) was 0.02 (95%
CI, -0.29 to 0.33) and -0.13 (95% CI, -0.31 to 0.05) in the observational studies
(n = 9). There was significantly less albuminuria among HT users (SMD -0.15, 95%
CI, -0.27 to -0.04) in the 9 studies that only reported albuminuria as an outcome
and in the 10 studies with a comparator arm (SMD -0.15, 95% CI, -0.26 to -0.04).
CONCLUSIONS: HT is associated with decreased odds of albuminuria, but some of the
observed benefits may be related to reported outcomes, the presence of a
comparator arm, and the type of study design.
PMID- 29381665
TI - What's in a name: are menopausal "hot flashes" a symptom of menopause or a
manifestation of neurovascular dysregulation?
AB - Hot flashes have typically been classified as "symptoms of menopause" that should
be tolerated or treated until they resolve. However, mounting evidence points to
hot flashes as a manifestation of one or several underlying pathophysiological
processes. Associations exist between the presence, timing of onset, severity,
and duration of hot flashes, and the risk of several neurological (affecting
sleep, mood, and cognition) and cardiovascular conditions. In addition, four
consistent patterns of vasomotor disturbances have been identified across
different countries, making it unlikely that these patterns are solely explained
by socioeconomic or cultural factors. The changing hormonal environment of
menopause may unmask differences in the autonomic neurovascular control
mechanisms that put an individual woman at risk for chronic conditions of aging.
These differences may have a genetic basis or may be acquired across the life
span and are consistent with the variability of the clinical manifestations of
aging observed in women after bilateral oophorectomy. It is time to investigate
the pathophysiological mechanisms underlying the four patterns of vasomotor
symptoms more closely, and to shift from describing hot flashes as symptoms to be
tolerated to manifestations of an underlying autonomic neurovascular
dysregulation that need to be addressed.
PMID- 29381667
TI - Constipation and diarrhea during the menopause transition and early
postmenopause: observations from the Seattle Midlife Women's Health Study.
AB - OBJECTIVE: To assess the relationship of constipation and diarrhea severity
during the menopause transition (MT) with age, MT stage, reproductive biomarkers,
stress-related biomarkers, and stress-related perceptions. METHODS: From 1990 to
1992, women aged 35 to 55 years were recruited from the greater Seattle area; 291
of them consented to ongoing (1990-2013) annual data collection by daily
menstrual calendar, health diary, and annual health questionnaire. A subset (n =
131) provided a first morning voided urine specimen (1997-2013). These were
assayed for levels of E1G, follicle-stimulating hormone, testosterone, cortisol,
norepinephrine, and epinephrine. Mixed-effects modeling was used to identify how
changes in constipation and diarrhea severity over time related to age, MT stage,
reproductive biomarkers, stress-related biomarkers, and stress-related
perceptions. RESULTS: In a univariate model, age, late reproductive (LR) stage,
tension, and anxiety were all significantly and positively related to
constipation severity, whereas cortisol was significantly and negatively
associated. In a multivariate model, only tension and cortisol remained
significant predictors of constipation severity (P < 0.05). In a univariate
model, age, LR stage, and estrone glucuronide were significantly and negatively
associated with diarrhea severity, whereas tension, anxiety, and perceived stress
were significantly and positively related. In a multivariate model, only tension
and age remained significant predictors of diarrhea severity. CONCLUSIONS: Key
reproductive hormones do not play a significant role in constipation or diarrhea
severity in the MT. In contrast, stress perception, tension, anxiety, and
cortisol do. These factors should be evaluated in further research involving
constipation and diarrhea.
PMID- 29381666
TI - Effects of oral conjugated equine estrogens with or without medroxyprogesterone
acetate on incident hypertension in the Women's Health Initiative hormone therapy
trials.
AB - OBJECTIVE: The aim of the study was to determine the effect of menopausal hormone
therapy on incident hypertension in the two Women's Health Initiative hormone
therapy trials and in extended postintervention follow-up. METHODS: A total of
27,347 postmenopausal women aged 50 to 79 years were enrolled at 40 US centers.
This analysis includes the subsample of 18,015 women who did not report
hypertension at baseline and were not taking antihypertensive medication. Women
with an intact uterus received conjugated equine estrogens (CEE; 0.625 mg/d) plus
medroxyprogesterone acetate (MPA; 2.5 mg/d) (n = 5,994) or placebo (n = 5,679).
Women with prior hysterectomy received CEE alone (0.625 mg/d) (n = 3,108) or
placebo (n = 3,234). The intervention lasted a median of 5.6 years in the CEE
plus MPA trial and 7.2 years in the CEE-alone trial with 13 years of cumulative
follow-up until September 30, 2010. The primary outcome for these analyses was
self-report of a new diagnosis of hypertension and/or high blood pressure
requiring treatment with medication. RESULTS: During the CEE and CEE plus MPA
intervention phase, the rate of incident hypertension was 18% higher for
intervention than for placebo (CEE: hazard ratio [HR], 1.18; 95% CI, 1.09-1.29;
CEE plus MPA: HR, 1.18; 95% CI, 1.09-1.27). This effect dissipated
postintervention in both trials (CEE: HR, 1.06; 95% CI, 0.94-1.20; CEE plus MPA:
HR, 1.02; 95% CI, 0.94-1.10). CONCLUSIONS: CEE (0.625 mg/d) administered orally,
with or without MPA, is associated with an increased risk of hypertension in
older postmenopausal women. Whether lower doses, different estrogen formulations,
or transdermal route of administration offer lower risks warrant further study.
PMID- 29381668
TI - Sometimes "Improvement" is Not Enough: The Jimmo Settlement.
AB - The Jimmo Settlement is about the erroneous, but pervasively used, "improvement
standard" of the past 30 years. In essence, Medicare beneficiaries were being
denied skilled services if they did not have the capacity to improve, or more
clinically described as "lack of restoration potential." The Centers for Medicare
& Medicaid Services (CMS) has a webpage clarifying this misconception and about
the Jimmo Settlement; it contains links that shed light on this issue. The link,
https://www.cms.gov/Center/Special-Topic/Jimmo-Center.html, is the official CMS
webpage about the Jimmo Settlement and has information for the case manager to
peruse.
PMID- 29381669
TI - Hospital Discharge and Selecting a Skilled Nursing Facility: A Comparison of
Experiences and Perspectives of Patients and Their Families.
AB - PURPOSE OF STUDY: A currently proposed rule by the Centers for Medicare &
Medicaid Services would require providers to devote more resources to discharge
planning from hospitals to ensure the prioritization of patient preferences and
goals in the discharge planning process. Annually, more than 3 million persons
enter a nursing home in the United States, with the vast majority of patients
coming directly from hospitals. Although early evidence suggests more family
involvement than patient involvement in the discharge process, most of this work
has relied on retrospective reports of the decision-making process postplacement.
This article seeks to examine and compare the experiences and perspectives of
patients and others involved in the selection of the nursing home (predominately
adult children and spouses). PRIMARY PRACTICE SETTING: Large academic medical
hospital with patients being discharged to a skilled nursing facility.
METHODOLOGY AND SAMPLE: A total of 225 patients or their family members and
involved others who completed an exit survey assessing their experiences and
perspectives in selecting a skilled nursing home and in experiencing the
discharge process more generally. RESULTS: Patients were the primary decision
makers about 23% of the time but were often involved in the decision even when
family members/involved others were primarily making decisions in the discharge
process. Although patients were involved in the selection of the nursing home to
a lesser degree than involved others, their level of satisfaction with the
decision to be discharged to a skilled nursing home and their level of
satisfaction with their personal level of involvement with the selection of the
specific nursing home did not differ from the satisfaction ratings of the
involved others. Furthermore, their confidence in the decision and their
satisfaction with the decision did not differ from ratings provided by family
members/involved others. IMPLICATIONS FOR CASE MANAGEMENT PRACTICE:
Recommendations for case management practice include (1) encouraging patients and
their families to take an active role in the discharge process; (2) incorporating
technology into the discharge process that promotes this active level of
engagement; and (3) facilitating access to data to promote discharge to the
highest quality nursing homes available.
PMID- 29381670
TI - Predictors of Caregiver Distress in the Community Setting Using the Home Care
Version of the Resident Assessment Instrument.
AB - PURPOSE OF STUDY: The purpose of this study was to identify factors predictive of
new onset and improved caregiver distress among informal caregivers providing
assistance for clients receiving home care. PRIMARY PRACTICE SETTINGS: Home care.
METHODOLOGY AND SAMPLE: The sample included 323,409 clients receiving home care
from a Community Care Access Centre between March 2002 and March 2015 for whom
data were available from two subsequent Resident Assessment Instrument-Home Care
(RAI-HC) assessments. Separate multivariate logistic regression models were
created for onset of and improvement in caregiver distress. RESULTS: Variables
that increase the odds in onset of caregiver distress included primary caregiver
is not satisfied with support received from family and friends; client lives with
primary caregiver; 65 years and older; has Alzheimer and other related dementia;
has condition or disease that makes cognition, activities of daily living, mood,
or behavior patterns unstable; took sedatives in the last 7 days; Method for
Assigning Priority Levels (MAPLe) score 4 or more; demonstrates persistent anger;
has difficulty using the telephone; is married; requires 20 hr or more of
informal help weekly; and Clinical Risk Scale score 4 or more. Variables that
increased the odds of improved caregiver distress include client now lives with
other persons (as compared with 90 days ago); demonstrates good prospects for
recovery; treatment changes in last 30 days; surgical wound; female; one or more
hospital visits in last 90 days; greater number of months between RAI-HC
assessments; and two or more hours of physical activities in the last 3 days.
Variables that decreased the odds of improved caregiver distress (i.e.,
persistent distress) include MAPLe score 4 or more; persistent anger; difficulty
using telephone; Alzheimer, related dementia; requires interpreter; and lives
with primary caregiver. IMPLICATIONS FOR CASE MANAGEMENT PRACTICE: Informal
caregivers provide essential support for home care clients. Factors predictive of
new onset and improved caregiver distress can be used by case managers for
comprehensive care planning that addresses the collective needs of the client
caregiver dyad.
PMID- 29381671
TI - Appointment Reminders to Decrease 30-Day Readmission Rates to Inpatient
Psychiatric Hospitals.
AB - PURPOSE OF PROJECT: The purpose of this quality improvement project was to reduce
30-day readmission rates to inpatient psychiatric hospitals by standardizing
discharge processes by including scheduling outpatient psychiatric appointments
for all patients at discharge and also to include the mailing postal reminders to
prompt patients to attend their first outpatient mental health appointment
following treatment. PRIMARY PRACTICE SETTING: Inpatient psychiatric hospital.
METHODOLOGY AND SAMPLE: The project design was an analysis of readmission data
obtained both 3 months before and after implementation of the postal reminder
letters. This project took place at a 50-bed inpatient psychiatric hospital in
the southeastern United States that accepts male and female adult patients with
mental health and substance abuse disorders. RESULTS: The implementation of an
appointment reminder letter resulted in a slight decrease in 30-day readmission
rates. The average readmission rate 3 months prior to implementation was 10%. The
average readmission rate 3 months postdischarge was 9%. December 2015 was
included in the postimplementation data. December historically has higher rates
of 30-day readmissions at this facility. If this month had been excluded, more
dramatic decrease in 30-day readmission rates could be observed. IMPLICATIONS FOR
CASE MANAGEMENT PRACTICE: Future implications for case management practice could
include the usage of reminder prompts via telephone communication or text
messaging in conjunction with postal reminders. The psychiatric population can be
particularly challenging when considering increased risk for readmission within
30 days and also the impending pay-for-performance quality measures, which are
soon to be implemented. Measures should be taken now to ensure that readmission
rates decrease, not only to promote better patient outcomes, but also as a cost
saving measure. Although many variables may contribute to the risk for 30-day
readmission rates including medication noncompliance, lack of proper follow-up,
as well as seasonal trends, the postal appointment reminder letters may further
decrease 30-day readmission rates. Other care management strategies combined with
reminder letters may further address barriers that may exist to not only improve
patient outcomes, but also to further reduce readmission rates. It is also
important to mention that there are further implications that could be directly
contributed to specific social determinants of health specific to the psychiatric
population. For example, access to prescribed medications and transportation to
appointments should be addressed to further reduce readmission rates for this
vulnerable population.
PMID- 29381672
TI - Simulated Interprofessional Education Discharge Planning Meeting to Improve
Skills Necessary for Effective Interprofessional Practice.
AB - PURPOSE OF STUDY: The purpose of this study was to evaluate the use of a
simulation-enhanced interprofessional education (Sim-IPE) discharge planning
learning experience using simulated patients (SPs), to explore the ability for
students to communicate with each other and to a patient/caregiver, and to use
clinical thinking to make a safe and appropriate interprofessional discharge
recommendation. PRIMARY PRACTICE SETTING(S): Educational institution; university
simulation center. METHODOLOGY AND SAMPLE: A Sim-IPE was performed with students
from physical therapy (N = 46), nursing (N = 25), and social work (N = 11).
Students were placed into interprofessional teams. Presimulation, each student
was expected to complete a survey and review several items including the patient
case, a communication strategy, and community resources. The team then interacted
with SPs portraying the patient and the family member. Postsimulation,
facilitators led a debriefing session and students completed a post-IPE survey.
The Interprofessional Collaborative Competency Attainment Survey (ICCAS) was
completed pre- and postexperience. RESULTS: Most students reported that they
strongly or somewhat agreed that the experience improved their clinical thinking
skills (67%; n = 55), improved awareness of the patient voice in shared decision
making (72.8%; n = 59), improved ability to prioritize patient's list of
impairments (75.3%; n = 61), and improved confidence with discharge planning
(69.1%; n = 56). IMPLICATIONS FOR CASE MANAGEMENT PRACTICE: Discharge planning is
inherently an interprofessional process. Utilizing a simulation as a method to
practice discharge planning may have a positive impact on future clinical
practice. Completing the ICCAS may not be the appropriate assessment when
evaluating change before and after an IPE experience based on the high scores
noted preexperience. The use of a simulated discharge planning meeting may
improve skills necessary for effective interprofessional practice.
PMID- 29381674
TI - It is in Our DNA.
PMID- 29381675
TI - Can Regulation Solve the Opioid Crisis?
PMID- 29381676
TI - Seizing "Teachable Moments": Talent Development in Case Management.
PMID- 29381677
TI - Improved Case Manager Satisfaction by Stratification of Case Load.
PMID- 29381680
TI - Use of Volar Locking Plate Versus Intramedullary Nailing for Fixation of Distal
Radius Fractures: A Retrospective Analysis of Clinical and Radiographic Outcomes.
AB - BACKGROUND The objective of this study was to evaluate clinical and radiographic
outcomes of volar plate versus intramedullary nailing for fixation of distal
radius fractures. MATERIAL AND METHODS This retrospective study included 64
patients with extra-articular and simple intra-articular fractures of the distal
radius who underwent intramedullary fixation using volar locking plate (n=35,
mean age 47.3+/-16.4 years, 82.9% female) or intramedullary nailing (n=29, mean
age 51.3+/-10.1 years, 58.6% female). Outcome measures were range of motion
(ROM); visual analog scale (VAS); Disabilities of the Arm, Shoulder, and Hand
(DASH) score; Gartland-Werley score; Stewart score; and radiographic findings.
RESULTS Time to fracture union was 5.5+/-1.2 and 5.2+/-0.6 weeks after volar
plate fixation and intramedullary nailing, respectively (p>0.05). Compared to
volar plate fixation, intramedullary nailing provided better restoration of volar
tilt (6.9+/-6.3 degrees vs. 9.4+/-1.6 degrees , p=0.004) and wrist flexion
(74.3+/-15.1 degrees vs. 67.9+/-13.1 degrees , p=0.003). However, volar plate
fixation was significantly better in restoration of supination (85.0+/-8.3
degrees vs. 81.9+/-5.1 degrees , p=0.001) and radio-ulnar variance (0.7+/-0.8 mm
vs. 1.1+/-0.9 mm, p=0.05), DASH score (9.2+/- 9.0 vs. 15.0 +/-3.3, p=0.035), and
Gartland-Werley score (1.8+/-0.9 vs. 4.9+/-5.4, p=0.004). Volar plate and
intramedullary nailing groups were comparable with respect to Stewart score
(1.5+/-0.7 and 1.6+/-1.3, p>0.05) and complication rates (34.3% vs. 37.9%,
p>0.05). CONCLUSIONS Both volar plate fixation and intramedullary nail fixation
provide good clinical and radiographic outcomes for primarily extra-articular or
simple intra-articular distal radius fractures. As intramedullary nailing
provides better restoration of volar tilt and wrist flexion, volar plate fixation
provides better restoration of radio-ulnar variance and wrist supination.
PMID- 29381681
TI - Human ex vivo prostate tissue model system identifies ING3 as an oncoprotein.
AB - BACKGROUND: Although the founding members of the INhibitor of Growth (ING) family
of histone mark readers, ING1 and ING2, were defined as tumour suppressors in
animal models, the role of other ING proteins in cellular proliferation and
cancer progression is unclear. METHODS: We transduced ex vivo benign prostate
hyperplasia tissues with inducible lentiviral particles to express ING proteins.
Proliferation was assessed by H3S10phos immunohistochemistry (IHC). The
expression of ING3 was assessed by IHC on a human prostate cancer tissue
microarray (TMA). Gene expression was measured by DNA microarray and validated by
real-time qPCR. RESULTS: We found that ING3 stimulates cellular proliferation in
ex vivo tissues, suggesting that ING3 could be oncogenic. Indeed, ING3
overexpression transformed normal human dermal fibroblasts. We observed elevated
levels of ING3 in prostate cancer samples, which correlated with poorer patient
survival. Consistent with an oncogenic role, gene-silencing experiments revealed
that ING3 is required for the proliferation of breast, ovarian, and prostate
cancer cells. Finally, ING3 controls the expression of an intricate network of
cell cycle genes by associating with chromatin modifiers and the H3K4me3 mark at
transcriptional start sites. CONCLUSIONS: Our investigations create a shift in
the prevailing view that ING proteins are tumour suppressors and redefine ING3 as
an oncoprotein.
PMID- 29381682
TI - Treatment with docetaxel in combination with Aneustat leads to potent inhibition
of metastasis in a patient-derived xenograft model of advanced prostate cancer.
AB - BACKGROUND: Docetaxel used for first-line treatment of advanced prostate cancer
(PCa) is only marginally effective. We previously showed, using the LTL-313H
subrenal capsule patient-derived metastatic PCa xenograft model, that docetaxel
combined with Aneustat (OMN54), a multivalent plant-derived therapeutic, led to
marked synergistic tumour growth inhibition. Here, we investigated the effect of
docetaxel+Aneustat on metastasis. METHODS: C4-2 cells were incubated with
docetaxel, Aneustat and docetaxel+Aneustat to assess effects on cell migration.
The LTL-313H model, similarly treated, was analysed for effects on lung micro
metastasis and kidney invasion. The LTL-313H gene expression profile was compared
with profiles of PCa patients (obtained from Oncomine) and subjected to IPA to
determine involvement of cancer driver genes. RESULTS: Docetaxel+Aneustat
markedly inhibited C4-2 cell migration and LTL-313H lung micro-metastasis/kidney
invasion. Oncomine analysis indicated that treatment with docetaxel+Aneustat was
associated with improved patient outcome. The drug combination markedly
downregulated expression of cancer driver genes such as FOXM1 (and FOXM1-target
genes). FOXM1 overexpression reduced the anti-metastatic activity of
docetaxel+Aneustat. CONCLUSIONS: Docetaxel+Aneustat can inhibit PCa tissue
invasion and metastasis. This activity appears to be based on reduced expression
of cancer driver genes such as FOXM1. Use of docetaxel+Aneustat may provide a
new, more effective regimen for therapy of metastatic PCa.
PMID- 29381683
TI - External validation of risk prediction models for incident colorectal cancer
using UK Biobank.
AB - BACKGROUND: This study aimed to compare and externally validate risk scores
developed to predict incident colorectal cancer (CRC) that include variables
routinely available or easily obtainable via self-completed questionnaire.
METHODS: External validation of fourteen risk models from a previous systematic
review in 373 112 men and women within the UK Biobank cohort with 5-year follow
up, no prior history of CRC and data for incidence of CRC through linkage to
national cancer registries. RESULTS: There were 1719 (0.46%) cases of incident
CRC. The performance of the risk models varied substantially. In men, the
QCancer10 model and models by Tao, Driver and Ma all had an area under the
receiver operating characteristic curve (AUC) between 0.67 and 0.70.
Discrimination was lower in women: the QCancer10, Wells, Tao, Guesmi and Ma
models were the best performing with AUCs between 0.63 and 0.66. Assessment of
calibration was possible for six models in men and women. All would require
country-specific recalibration if estimates of absolute risks were to be given to
individuals. CONCLUSIONS: Several risk models based on easily obtainable data
have relatively good discrimination in a UK population. Modelling studies are now
required to estimate the potential health benefits and cost-effectiveness of
implementing stratified risk-based CRC screening.
PMID- 29381684
TI - Indeterminate nodules in osteosarcoma: what's the follow-up?
AB - BACKGROUND: Indeterminate pulmonary nodules in patients diagnosed with
osteosarcoma present a challenge for accurate staging and prognosis. The aim of
this study was to explore the significance of this finding. METHODS: A
retrospective cohort study of 120 patients with osteosarcoma was performed in the
North East of England. Chest computed tomographies (CTs) at presentation were
reviewed and the incidence of 'indeterminate' nodules recorded. Follow-up scans
were reviewed and survival as well as prognostic features were analysed. RESULTS:
25% of our cohort presented with indeterminate nodules. Of these, 33% were
subsequently confirmed as metastases, the majority within a year. Kaplan-Meier
survival analysis showed that patients with indeterminate nodules fared better
than those with frank metastatic disease, and similar to those who presented with
a normal chest CT. We found no radiographic features that predicted survival.
CONCLUSIONS: Indeterminate nodules remain a clinical and diagnostic dilemma.
Close monitoring of patients is advised during the first year from presentation,
and there is potential for indeterminate nodules to develop into frank metastases
later than five years from presentation.
PMID- 29381685
TI - High burden of subsequent malignant neoplasms and cardiovascular disease in long
term Hodgkin lymphoma survivors.
AB - This corrects the article DOI: 10.1038/bjc.2017.85.
PMID- 29381686
TI - WNT5A induces castration-resistant prostate cancer via CCL2 and tumour
infiltrating macrophages.
AB - BACKGROUND: Although the standard treatment for the patients with recurrent and
metastatic prostate cancer (CaP) is androgen deprivation therapy, castration
resistant prostate cancer (CRPC) eventually emerges. Our previous report
indicated that bone morphogenetic protein 6 (BMP6) induced CRPC via tumour
infiltrating macrophages. In a separate line of study, we have observed that the
WNT5A/BMP6 loop in CaP bone metastasis mediates resistance to androgen
deprivation in tissue culture. Simultaneously, we have reported that BMP6 induced
castration resistance in CaP cells via tumour-infiltrating macrophages.
Therefore, our present study aims to investigate the mechanism of WNT5A and its
interaction with macrophages on CRPC. METHODS: Doxycycline inducible WNT5A
overexpression prostate cancer cell line was used for detailed mechanical study.
RESULTS: WNT5A was associated with increased expression of chemokine ligand 2
(CCL2) in the human CaP cell line, LNCaP. Mechanistically, this induction of CCL2
by WNT5A is likely to be mediated via the mitogen-activated protein kinase
(MAPK)/extracellular signal-regulated kinase (ERK) signalling pathway. Our in
vivo experiments demonstrated that the overexpression of WNT5A in LNCaP cells
promoted castration resistance. Conversely, this resistance was inhibited with
the removal of macrophages via clodronate liposomes. When patient-derived CaP
LuCaP xenografts were analysed, high levels of WNT5A were correlated with
increased levels of CCL2 and BMP6. In addition, higher levels of CCL2 and BMP6
were more commonly observed in intra-femoral transplanted tumours as compared to
subcutaneous-transplanted tumours in the patient-derived PCSD1 bone-niche model.
CONCLUSIONS: These findings collectively suggest that WNT5A may be a key gene
that induces CRPC in the bone niche by recruiting and regulating macrophages
through CCL2 and BMP6, respectively.
PMID- 29381687
TI - Enrolling children with acute lymphoblastic leukaemia on a clinical trial
improves event-free survival: a population-based study.
AB - BACKGROUND: The objectives of this study were to describe the impact of trial
enrollment at diagnosis on event-free and overall survival in paediatric acute
lymphoblastic leukaemic (ALL) using a population-based approach. METHODS: We
conducted a retrospective cohort study that included children newly diagnosed
with ALL between 1 and 14 years of age. The data source was the Cancer in Young
People in Canada (CYP-C) national paediatric cancer population-based database. We
conducted univariate and multiple Cox proportional hazards models. RESULTS: There
were 2569 children with ALL; 1408 (54.8%) were enrolled on a clinical trial at
initial diagnosis. Event-free survival at 5 years was 89.8%+/-0.9 vs 84.1%+/-1.2.
(P<0.0001) for those enrolled and not enrolled on a clinical trial, respectively.
Overall survival at 5 years was higher for those enrolled (94.1%+/-0.7) vs not
enrolled (90.5%+/-1.0; P=0.001). In a model that adjusted for demographic,
leukaemic and socioeconomic factors, enrollment on trials was significantly
associated with better event-free survival (hazard ratio (HR) 0.67, 95%
confidence interval (CI) 0.47-0.95; P=0.023), but not overall survival (HR 0.69,
95% CI 0.44-1.08; P=0.102). CONCLUSIONS: Event-free survival was significantly
better in children with ALL enrolled on a clinical trial. Future research should
identify barriers to clinical trial enrollment for children with ALL.
PMID- 29381688
TI - Reduced mannosidase MAN1A1 expression leads to aberrant N-glycosylation and
impaired survival in breast cancer.
AB - BACKGROUND: Alterations in protein glycosylation have been related to malignant
transformation and tumour progression. We recently showed that low mRNA levels of
Golgi alpha-mannosidase MAN1A1 correlate with poor prognosis in breast cancer
patients. METHODS: We analysed the role of MAN1A1 on a protein level using
western blot analysis (n=105) and studied the impact of MAN1A1-related
glycosylation on the prognostic relevance of adhesion molecules involved in
breast cancer using microarray data (n=194). Functional consequences of
mannosidase inhibition using the inhibitor kifunensine or MAN1A1 silencing were
investigated in breast cancer cells in vitro. RESULTS: Patients with low/moderate
MAN1A1 expression in tumours showed significantly shorter disease-free intervals
than those with high MAN1A1 levels (P=0.005). Moreover, low MAN1A1 expression
correlated significantly with nodal status, grading and brain metastasis. At an
mRNA level, membrane proteins ALCAM and CD24 were only significantly prognostic
in tumours with high MAN1A1 expression. In vitro, reduced MAN1A1 expression or
mannosidase inhibition led to a significantly increased adhesion of breast cancer
cells to endothelial cells. CONCLUSIONS: Our study demonstrates the prognostic
role of MAN1A1 in breast cancer by affecting the adhesive properties of tumour
cells and the strong influence of this glycosylation enzyme on the prognostic
impact of some adhesion proteins.
PMID- 29381689
TI - Geriatric assessment may help decision-making in elderly patients with
inoperable, locally advanced non-small-cell lung cancer.
AB - BACKGROUND: Although concurrent chemoradiotherapy (cCRT) increases survival in
patients with inoperable, locally advanced non-small-cell lung cancer (NSCLC),
there is no consensus on the treatment of elderly patients. The aim of this study
was to determine the prognostic value of the comprehensive geriatric assessment
(CGA) and its ability to predict toxicity in this setting. METHODS: We enrolled
85 consecutive elderly (?75 years) participants, who underwent CGA and the
Vulnerable Elders Survey (VES-13). Those classified as fit and medium-fit by CGA
were deemed candidates for cCRT (platinum-based chemotherapy concurrent with
thoracic radiation therapy), while unfit patients received best supportive care.
RESULTS: Fit (37%) and medium-fit (48%) patients had significantly longer median
overall survival (mOS) (23.9 and 16.9 months, respectively) than unfit patients
(15%) (9.3 months, log-rank P=0.01). In multivariate analysis, CGA groups and VES
13 were independent prognostic factors. Fit and medium-fit patients receiving
cCRT (n=54) had mOS of 21.1 months (95% confidence interval: 16.2, 26.0). In
those patients, higher VES-13 (?3) was associated with shorter mOS (16.33 vs 24.3
months, P=0.027) and higher risk of G3-4 toxicity (65 vs 32%, P=0.028).
CONCLUSIONS: Comprehensive geriatric assessment and VES-13 showed independent
prognostic value. Comprehensive geriatric assessment may help to identify elderly
patients fit enough to be treated with cCRT.
PMID- 29381690
TI - Pazopanib maintenance after first-line etoposide and platinum chemotherapy in
patients with extensive disease small-cell lung cancer: a multicentre,
randomised, placebo-controlled Phase II study (KCSG-LU12-07).
AB - BACKGROUND: We investigated whether pazopanib maintenance following first-line
chemotherapy would improve survival in patients with extensive disease small-cell
lung cancer (ED-SCLC). METHODS: This study is a randomised, placebo-controlled,
phase II study that enroled ED-SCLC patients who had not progressed after four
cycles of etoposide plus platinum therapy. Eligible patients were randomly
assigned (1 : 1 ratio) to either placebo or pazopanib 800 mg per day until
progression or unacceptable toxicity. The primary end point was progression-free
survival (PFS). RESULTS: 97 patients were enroled and randomly assigned; 2
patients did not receive study drugs. In total, 95 patients received maintenance
therapy (pazopanib, n=48; placebo, n=47) and were included in the analyses. Grade
3 toxicities for pazopanib maintenance were thrombocytopenia (10.4%, including
one case with grade 4 toxicity), liver enzyme elevation (10.4%), fatigue (6.3%),
and hypertension (6.3%). Median PFS was 3.7 months for pazopanib maintenance and
1.8 months for placebo (hazard ratio 0.44, 95% confidence interval: 0.29-0.69,
P<0.0001). CONCLUSIONS: Pazopanib maintenance significantly prolonged PFS in
patients with ED-SCLC. Given the toxicity profiles, however, relevant biomarkers
to select patients for benefit from pazopanib should be further investigated.
PMID- 29381691
TI - MGA, L3MBTL2 and E2F6 determine genomic binding of the non-canonical Polycomb
repressive complex PRC1.6.
AB - Diverse Polycomb repressive complexes 1 (PRC1) play essential roles in gene
regulation, differentiation and development. Six major groups of PRC1 complexes
that differ in their subunit composition have been identified in mammals. How the
different PRC1 complexes are recruited to specific genomic sites is poorly
understood. The Polycomb Ring finger protein PCGF6, the transcription factors MGA
and E2F6, and the histone-binding protein L3MBTL2 are specific components of the
non-canonical PRC1.6 complex. In this study, we have investigated their role in
genomic targeting of PRC1.6. ChIP-seq analysis revealed colocalization of MGA,
L3MBTL2, E2F6 and PCGF6 genome-wide. Ablation of MGA in a human cell line by
CRISPR/Cas resulted in complete loss of PRC1.6 binding. Rescue experiments
revealed that MGA recruits PRC1.6 to specific loci both by DNA binding-dependent
and by DNA binding-independent mechanisms. Depletion of L3MBTL2 and E2F6 but not
of PCGF6 resulted in differential, locus-specific loss of PRC1.6 binding
illustrating that different subunits mediate PRC1.6 loading to distinct sets of
promoters. Mga, L3mbtl2 and Pcgf6 colocalize also in mouse embryonic stem cells,
where PRC1.6 has been linked to repression of germ cell-related genes. Our
findings unveil strikingly different genomic recruitment mechanisms of the non
canonical PRC1.6 complex, which specify its cell type- and context-specific
regulatory functions.
PMID- 29381692
TI - Rhinoscleroma pathogenesis: The type K3 capsule of Klebsiella rhinoscleromatis is
a virulence factor not involved in Mikulicz cells formation.
AB - Rhinoscleroma is a human specific chronic granulomatous infection of the nose and
upper airways caused by the Gram-negative bacterium Klebsiella pneumoniae subsp.
rhinoscleromatis. Although considered a rare disease, it is endemic in low-income
countries where hygienic conditions are poor. A hallmark of this pathology is the
appearance of atypical foamy monocytes called Mikulicz cells. However, the
pathogenesis of rhinoscleroma remains poorly investigated. Capsule polysaccharide
(CPS) is a prominent virulence factor in bacteria. All K. rhinoscleromatis
strains are of K3 serotype, suggesting that CPS can be an important driver of
rhinoscleroma disease. In this study, we describe the creation of the first
mutant of K. rhinoscleromatis, inactivated in its capsule export machinery. Using
a murine model recapitulating the formation of Mikulicz cells in lungs, we
observed that a K. rhinoscleromatis CPS mutant (KR cps-) is strongly attenuated
and that mice infected with a high dose of KR cps- are still able to induce
Mikulicz cells formation, unlike a K. pneumoniae capsule mutant, and to partially
recapitulate the characteristic strong production of IL-10. Altogether, the
results of this study show that CPS is a virulence factor of K. rhinoscleromatis
not involved in the specific appearance of Mikulicz cells.
PMID- 29381693
TI - Metabolic plasticity in synthetic lethal mutants: Viability at higher cost.
AB - The most frequent form of pairwise synthetic lethality (SL) in metabolic networks
is known as plasticity synthetic lethality. It occurs when the simultaneous
inhibition of paired functional and silent metabolic reactions or genes is
lethal, while the default of the functional partner is backed up by the
activation of the silent one. Using computational techniques on bacterial genome
scale metabolic reconstructions, we found that the failure of the functional
partner triggers a critical reorganization of fluxes to ensure viability in the
mutant which not only affects the SL pair but a significant fraction of other
interconnected reactions, forming what we call a SL cluster. Interestingly, SL
clusters show a strong entanglement both in terms of reactions and genes. This
strong overlap mitigates the acquired vulnerabilities and increased structural
and functional costs that pay for the robustness provided by essential
plasticity. Finally, the participation of coessential reactions and genes in
different SL clusters is very heterogeneous and those at the intersection of many
SL clusters could serve as supertargets for more efficient drug action in the
treatment of complex diseases and to elucidate improved strategies directed to
reduce undesired resistance to chemicals in pathogens.
PMID- 29381694
TI - Inference of genetic marker concentrations from field surveys to detect
environmental DNA using Bayesian updating.
AB - Field studies to detect environmental DNA (eDNA) can be undertaken to infer the
presence of a rare or cryptic species in a water body. These studies are
implemented by collecting water samples from the water body, processing those
samples to isolate genetic material contained in the water sample, and using a
laboratory assay to find a species-specific genetic marker within a sample of the
genetic material. To date, conventional polymerase chain reaction (PCR) has been
one of the most widely used assays in field studies to detect eDNA. This assay is
strictly a test for the presence of the genetic marker. It provides no estimate
of the concentration of the target genetic marker in the sample or in the
environment. Understanding the concentration of a target marker in the
environment is a critical first step toward using the results of eDNA field
surveys to support inferences about the location and strength of eDNA sources. In
this study, the results of eDNA field surveys are combined with a model of the
sensitivity of the field survey methods to estimate target marker concentrations
using Bayesian updating. The method is demonstrated for Asian carp in the Chicago
Area Waterway System (CAWS) using the results of field surveys for eDNA carried
out during the period 2009 through 2012, a four-year period during which more
than 5,800 two-liter water samples were collected and analyzed using PCR.
Concentrations of bighead carp (Hypophthalmichthys nobilis) and silver carp
(Hypophthalmichthys molitrix) eDNA are estimated for twenty hydrologic reaches of
the CAWS. This study also assesses the sensitivity of these concentration
estimates to evidentiary criteria that limit what evidence is used in Bayesian
updating based on requirements for sampling intensity and frequency.
PMID- 29381695
TI - What's coming for health science and policy in 2018? Global experts look ahead in
their field.
AB - In PLOS Medicine's first editorial of 2018, editorial board members and other
leading researchers share their hopes, pleas, concerns, and expectations for this
year in health research and policy.
PMID- 29381696
TI - Association between triglyceride levels and cardiovascular disease in patients
with acute pancreatitis.
AB - Conventional wisdom supports prescribing "fibrates before statins", that is,
prioritizing treatment of hypertriglyceridemia (hTG) to prevent pancreatitis
ahead of low-density lipoprotein cholesterol to prevent coronary heart disease.
The relationship between hTG and acute pancreatitis, however, may not support
this approach to clinical management. This study analyzed administrative data
from the Veterans Health Administration for evidence of (1) temporal association
between assessed triglycerides level and days to acute pancreatitis admission;
(2) association between hTG and outcomes in the year after hospitalization for
acute pancreatitis; (3) relative rates of prescription of fibrates vs statins in
patients with acute pancreatitis; (4) association of prescription of fibrates
alone versus fibrates with statins or statins alone with rates of adverse
outcomes after hospitalization for acute pancreatitis. Only modest association
was found between above-normal or extremely high triglycerides and time until
acute pancreatitis. CHD/MI/stroke occurred in 23% in the year following AP,
supporting cardiovascular risk management. Fibrates were prescribed less often
than statins, defying conventional wisdom, but the high rates of cardiovascular
events in the year following AP support a clinical focus on reducing
cardiovascular risk factors.
PMID- 29381697
TI - Policy responses to hepatitis C in the Nordic countries: Gaps and discrepant
reporting in the Hep-Nordic study.
AB - BACKGROUND AND AIMS: In the Nordic countries (Denmark, Finland, Iceland, Norway,
Sweden), the prevalence of chronic hepatitis C virus (HCV) infection is
relatively low in the general population, but is much higher among people who
inject drugs (PWID). We conducted an exploratory study to investigate the extent
to which these countries have policies supporting key elements of the public
health response that is necessary to achieve the global goal of eliminating HCV
as a public health threat. METHODS: Fourteen stakeholders representing government
agencies, medical societies, and civil society organisations (CSOs) in the Nordic
countries completed a cross-sectional online survey that included 21 policy
questions related to national coordination, prevention, testing, linkage to care,
and treatment. We summarised the findings in a descriptive analysis, and noted
discrepant responses from stakeholders within the same country. RESULTS:
Stakeholders reported that three of the five study countries have national viral
hepatitis strategies, while only Iceland has a national HCV elimination goal. The
availability of harm reduction services varies, with opioid substitution therapy
provided for the general population throughout all countries, but not needle and
syringe programmes. No country has access to anonymous HCV testing in all parts
of the country. National HCV treatment guidelines are available in all countries
except Finland, and all countries provide publicly funded direct-acting antiviral
treatment. Disagreement regarding policies was observed across countries, and
CSOs were the stakeholder group that most frequently answered survey questions
incorrectly. CONCLUSION: The Nordic region as a whole has not consistently
expressed its commitment to tackling HCV, despite the existence of large HCV
epidemics among PWID in these countries. Stakeholder alignment and an established
elimination goal with an accompanying strategy and implementation plan should be
recognised as the basis for coordinated national public health efforts to achieve
HCV elimination in the Nordic region and elsewhere.
PMID- 29381698
TI - Role of the AP-5 adaptor protein complex in late endosome-to-Golgi retrieval.
AB - The AP-5 adaptor protein complex is presumed to function in membrane traffic, but
so far nothing is known about its pathway or its cargo. We have used CRISPR-Cas9
to knock out the AP-5 zeta subunit gene, AP5Z1, in HeLa cells, and then analysed
the phenotype by subcellular fractionation profiling and quantitative mass
spectrometry. The retromer complex had an altered steady-state distribution in
the knockout cells, and several Golgi proteins, including GOLIM4 and GOLM1, were
depleted from vesicle-enriched fractions. Immunolocalisation showed that loss of
AP-5 led to impaired retrieval of the cation-independent mannose 6-phosphate
receptor (CIMPR), GOLIM4, and GOLM1 from endosomes back to the Golgi region.
Knocking down the retromer complex exacerbated this phenotype. Both the CIMPR and
sortilin interacted with the AP-5-associated protein SPG15 in pull-down assays,
and we propose that sortilin may act as a link between Golgi proteins and the AP
5/SPG11/SPG15 complex. Together, our findings suggest that AP-5 functions in a
novel sorting step out of late endosomes, acting as a backup pathway for
retromer. This provides a mechanistic explanation for why mutations in AP
5/SPG11/SPG15 cause cells to accumulate aberrant endolysosomes, and highlights
the role of endosome/lysosome dysfunction in the pathology of hereditary spastic
paraplegia and other neurodegenerative disorders.
PMID- 29381699
TI - Novel genetic polymorphisms associated with severe malaria and under selective
pressure in North-eastern Tanzania.
AB - Significant selection pressure has been exerted on the genomes of human
populations exposed to Plasmodium falciparum infection, resulting in the
acquisition of mechanisms of resistance against severe malarial disease. Many
host genetic factors, including sickle cell trait, have been associated with
reduced risk of developing severe malaria, but do not account for all of the
observed phenotypic variation. Identification of novel inherited risk factors
relies upon high-resolution genome-wide association studies (GWAS). We present
findings of a GWAS of severe malaria performed in a Tanzanian population (n =
914, 15.2 million SNPs). Beyond the expected association with the sickle cell HbS
variant, we identify protective associations within two interleukin receptors (IL
23R and IL-12RBR2) and the kelch-like protein KLHL3 (all P<10-6), as well as near
significant effects for Major Histocompatibility Complex (MHC) haplotypes.
Complementary analyses, based on detecting extended haplotype homozygosity,
identified SYNJ2BP, GCLC and MHC as potential loci under recent positive
selection. Through whole genome sequencing of an independent Tanzanian cohort
(parent-child trios n = 247), we confirm the allele frequencies of common
polymorphisms underlying associations and selection, as well as the presence of
multiple structural variants that could be in linkage with these SNPs. Imputation
of structural variants in a region encompassing the glycophorin genes on
chromosome 4, led to the characterisation of more than 50 rare variants, and
individually no strong evidence of associations with severe malaria in our
primary dataset (P>0.3). Our approach demonstrates the potential of a joint
genotyping-sequencing strategy to identify as-yet unknown susceptibility loci in
an African population with well-characterised malaria phenotypes. The regions
encompassing these loci are potential targets for the design of much needed
interventions for preventing or treating malarial disease.
PMID- 29381700
TI - Ecosystem-based fisheries management: Perception on definitions, implementations,
and aspirations.
AB - Ecosystem-based fisheries management (EBFM) was developed to move beyond single
species management by incorporating ecosystem considerations for the sustainable
utilization of marine resources. Due to the wide range of fishery
characteristics, including different goals of fisheries management across regions
and species, theoretical best practices for EBFM vary greatly. Here we highlight
the lack of consensus in the interpretation of EBFM amongst professionals in
marine science and its implementation. Fisheries policy-makers and managers,
stock assessment scientists, conservationists, and ecologists had very different
opinions on the degree to which certain management strategies would be considered
EBFM. We then assess the variability of the implementation of EBFM, where we
created a checklist of characteristics typifying EBFM and scored fisheries across
different regions, species, ecosystems, and fishery size and capacity. Our
assessments show fisheries are unlikely to meet all the criteria on the EBFM
checklist. Consequentially, it is unnecessary for management to practice all the
traits of EBFM, as some may be disparate from the ecosystem attributes or fishery
goals. Instead, incorporating some ecosystem-based considerations to fisheries
management that are context-specific is a more realistic and useful way for EBFM
to occur in practice.
PMID- 29381701
TI - The ratio between cerebral blood flow and Tmax predicts the quality of
collaterals in acute ischemic stroke.
AB - BACKGROUND: In acute ischemic stroke the status of collateral circulation is a
critical factor in determining outcome. We propose a less invasive alternative to
digital subtraction angiography for evaluating collaterals based on dynamic
susceptibility contrast magnetic resonance imaging. METHODS: Perfusion maps of
Tmax and cerebral blood flow (CBF) were created for 35 patients with baseline
occlusion of a major cerebral artery. Volumes of hypoperfusion were defined as
having a Tmax delay of > 4 seconds (Tmax4s) and > 6 seconds (Tmax6s) and a CBF
drop below 80% of healthy, contralateral tissue. For each patient a ratio between
the volume of the CBF and the Tmax based perfusion deficit was calculated.
Associations with collateral status and radiological outcome were assessed with
the Mann-Whitney-U test, uni- and multivariable logistic regression analyses as
well as area under the receiver-operator-characteristic (ROC) curve. RESULTS: The
CBF/Tmax volume ratios were significantly associated with bad collateral status
in crude logistic regression analysis as well as with adjustment for NIHSS at
admission and baseline infarct volume (OR = 2.5 95% CI[1.2-5.4] p = 0.020 for
CBF/Tmax 4s volume ratio and OR = 1.6 95% CI[1.0-2.6] p = 0.031 for CBF/Tmax6s
volume ratio). Moreover, the ratios were significantly correlated to final
infarct size (Spearman's rho = 0.711 and 0.619, respectively for the CBF/Tmax4s
volume ratio and CBF/Tmax6s volume ration, all p<0.001). The ratios also had a
high area under the ROC curve of 0.93 95%CI[0.86-1.00]) and 0.90 95%CI[0.80
1.00]respectively for predicting poor radiological outcome. CONCLUSIONS: In the
setting of acute ischemic stroke the CBF/Tmax volume ratio can be used to
differentiate between good and insufficient collateral circulation without the
need for invasive procedures like conventional angiography.
PMID- 29381703
TI - SozRank: A new approach for localizing the epileptic seizure onset zone.
AB - Epilepsy is one of the most common neurological disorders affecting about 1% of
the world population. For patients with focal seizures that cannot be treated
with antiepileptic drugs, the common treatment is a surgical procedure for
removal of the seizure onset zone (SOZ). In this work we introduce an algorithm
for automatic localization of the seizure onset zone (SOZ) in epileptic patients
based on electrocorticography (ECoG) recordings. The proposed algorithm builds
upon the hypothesis that the abnormal excessive (or synchronous) neuronal
activity in the brain leading to seizures starts in the SOZ and then spreads to
other areas in the brain. Thus, when this abnormal activity starts, signals
recorded at electrodes close to the SOZ should have a relatively large causal
influence on the rest of the recorded signals. The SOZ localization is executed
in two steps. First, the algorithm represents the set of electrodes using a
directed graph in which nodes correspond to recording electrodes and the edges'
weights quantify the pair-wise causal influence between the recorded signals.
Then, the algorithm infers the SOZ from the estimated graph using a variant of
the PageRank algorithm followed by a novel post-processing phase. Inference
results for 19 patients show a close match between the SOZ inferred by the
proposed approach and the SOZ estimated by expert neurologists (success rate of
17 out of 19).
PMID- 29381702
TI - Long-term trends in mortality and AIDS-defining events after combination ART
initiation among children and adolescents with perinatal HIV infection in 17
middle- and high-income countries in Europe and Thailand: A cohort study.
AB - BACKGROUND: Published estimates of mortality and progression to AIDS as children
with HIV approach adulthood are limited. We describe rates and risk factors for
death and AIDS-defining events in children and adolescents after initiation of
combination antiretroviral therapy (cART) in 17 middle- and high-income
countries, including some in Western and Central Europe (W&CE), Eastern Europe
(Russia and Ukraine), and Thailand. METHODS AND FINDINGS: Children with perinatal
HIV aged <18 years initiating cART were followed until their 21st birthday,
transfer to adult care, death, loss to follow-up, or last visit up until 31
December 2013. Rates of death and first AIDS-defining events were calculated.
Baseline and time-updated risk factors for early/late (<=/>6 months of cART)
death and progression to AIDS were assessed. Of 3,526 children included, 32% were
from the United Kingdom or Ireland, 30% from elsewhere in W&CE, 18% from Russia
or Ukraine, and 20% from Thailand. At cART initiation, median age was 5.2 (IQR
1.4-9.3) years; 35% of children aged <5 years had a CD4 lymphocyte percentage
<15% in 1997-2003, which fell to 15% of children in 2011 onwards (p < 0.001).
Similarly, 53% and 18% of children >=5 years had a CD4 count <200 cells/mm3 in
1997-2003 and in 2011 onwards, respectively (p < 0.001). Median follow-up was 5.6
(2.9-8.7) years. Of 94 deaths and 237 first AIDS-defining events, 43 (46%) and
100 (42%) were within 6 months of initiating cART, respectively. Multivariable
predictors of early death were: being in the first year of life; residence in
Russia, Ukraine, or Thailand; AIDS at cART start; initiating cART on a
nonnucleoside reverse transcriptase inhibitor (NNRTI)-based regimen; severe
immune suppression; and low BMI-for-age z-score. Current severe immune
suppression, low current BMI-for-age z-score, and current viral load >400 c/mL
predicted late death. Predictors of early and late progression to AIDS were
similar. Study limitations include incomplete recording of US Centers for Disease
Control (CDC) disease stage B events and serious adverse events in some
countries; events that were distributed over a long time period, and that we
lacked power to analyse trends in patterns and causes of death over time.
CONCLUSIONS: In our study, 3,526 children and adolescents with perinatal HIV
infection initiated antiretroviral therapy (ART) in countries in Europe and
Thailand. We observed that over 40% of deaths occurred <=6 months after cART
initiation. Greater early mortality risk in infants, as compared to older
children, and in Russia, Ukraine, or Thailand as compared to W&CE, raises
concern. Current severe immune suppression, being underweight, and unsuppressed
viral load were associated with a higher risk of death at >6 months after
initiation of cART.
PMID- 29381704
TI - Traumatic brain injury and the risk of dementia diagnosis: A nationwide cohort
study.
AB - BACKGROUND: Traumatic brain injury (TBI) has been associated with dementia. The
questions of whether the risk of dementia decreases over time after TBI, whether
it is similar for different TBI types, and whether it is influenced by familial
aggregation are not well studied. METHODS AND FINDINGS: The cohort considered for
inclusion comprised all individuals in Sweden aged >=50 years on December 31,
2005 (n = 3,329,360). Diagnoses of dementia and TBI were tracked through
nationwide databases from 1964 until December 31, 2012. In a first cohort,
individuals diagnosed with TBI (n = 164,334) were matched with up to two
controls. A second cohort consisted of subjects diagnosed with dementia during
follow-up (n = 136,233) matched with up to two controls. A third cohort consisted
of 46,970 full sibling pairs with discordant TBI status. During a mean follow-up
period of 15.3 (range, 0-49) years, 21,963 individuals in the first cohort (6.3%
with TBI, 3.6% without TBI) were diagnosed with dementia (adjusted odds ratio
[OR], 1.81; 95% confidence interval [CI], 1.75-1.86). The association was
strongest in the first year after TBI (OR, 3.52; 95% CI, 3.23-3.84), but the risk
remained significant >30 years (OR, 1.25; 95% CI, 1.11-1.41). Single mild TBI
showed a weaker association with dementia (OR, 1.63; 95% CI, 1.57-1.70) than did
more severe TBI (OR, 2.06; 95% CI, 1.95-2.19) and multiple TBIs (OR, 2.81; 95%
CI, 2.51-3.15). These results were in general confirmed in the nested case
control cohort. TBI was also associated with an increased risk of dementia
diagnosis in sibling pairs with discordant TBI status (OR, 1.89; 95% CI, 1.62
2.21). A main limitation of the present study is the observational design. Thus,
no causal inferences can be made based on the associations found. CONCLUSIONS:
The risk of dementia diagnosis decreased over time after TBI, but it was still
evident >30 years after the trauma. The association was stronger for more severe
TBI and multiple TBIs, and it persisted after adjustment for familial factors.
PMID- 29381705
TI - Prediction of reaction knockouts to maximize succinate production by
Actinobacillus succinogenes.
AB - Succinate is a precursor of multiple commodity chemicals and bio-based succinate
production is an active area of industrial bioengineering research. One of the
most important microbial strains for bio-based production of succinate is the
capnophilic gram-negative bacterium Actinobacillus succinogenes, which naturally
produces succinate by a mixed-acid fermentative pathway. To engineer A.
succinogenes to improve succinate yields during mixed acid fermentation, it is
important to have a detailed understanding of the metabolic flux distribution in
A. succinogenes when grown in suitable media. To this end, we have developed a
detailed stoichiometric model of the A. succinogenes central metabolism that
includes the biosynthetic pathways for the main components of biomass-namely
glycogen, amino acids, DNA, RNA, lipids and UDP-N-Acetyl-alpha-D-glucosamine. We
have validated our model by comparing model predictions generated via flux
balance analysis with experimental results on mixed acid fermentation. Moreover,
we have used the model to predict single and double reaction knockouts to
maximize succinate production while maintaining growth viability. According to
our model, succinate production can be maximized by knocking out either of the
reactions catalyzed by the PTA (phosphate acetyltransferase) and ACK (acetyl
kinase) enzymes, whereas the double knockouts of PEPCK (phosphoenolpyruvate
carboxykinase) and PTA or PEPCK and ACK enzymes are the most effective in
increasing succinate production.
PMID- 29381707
TI - Rasa3 controls turnover of endothelial cell adhesion and vascular lumen integrity
by a Rap1-dependent mechanism.
AB - Rasa3 is a GTPase activating protein of the GAP1 family which targets R-Ras and
Rap1. Although catalytic inactivation or deletion of Rasa3 in mice leads to
severe hemorrhages and embryonic lethality, the biological function and cellular
location of Rasa3 underlying these defects remains unknown. Here, using a
combination of loss of function studies in mouse and zebrafish as well as in
vitro cell biology approaches, we identify a key role for Rasa3 in endothelial
cells and vascular lumen integrity. Specific ablation of Rasa3 in the mouse
endothelium, but not in megakaryocytes and platelets, lead to embryonic bleeding
and death at mid-gestation, recapitulating the phenotype observed in full Rasa3
knock-out mice. Reduced plexus/sprouts formation and vascular lumenization
defects were observed when Rasa3 was specifically inactivated in mouse
endothelial cells at the postnatal or adult stages. Similar results were obtained
in zebrafish after decreasing Rasa3 expression. In vitro, depletion of Rasa3 in
cultured endothelial cells increased beta1 integrin activation and cell adhesion
to extracellular matrix components, decreased cell migration and blocked
tubulogenesis. During migration, these Rasa3-depleted cells exhibited larger and
more mature adhesions resulting from a perturbed dynamics of adhesion assembly
and disassembly which significantly increased their life time. These defects were
due to a hyperactivation of the Rap1 GTPase and blockade of FAK/Src signaling.
Finally, Rasa3-depleted cells showed reduced turnover of VE-cadherin-based
adhesions resulting in more stable endothelial cell-cell adhesion and decreased
endothelial permeability. Altogether, our results indicate that Rasa3 is a
critical regulator of Rap1 in endothelial cells which controls adhesions
properties and vascular lumen integrity; its specific endothelial cell
inactivation results in occluded blood vessels, hemorrhages and early embryonic
death in mouse, mimicking thus the Rasa3-/- mouse phenotype.
PMID- 29381706
TI - Ocular and uteroplacental pathology in a macaque pregnancy with congenital Zika
virus infection.
AB - Congenital Zika virus (ZIKV) infection impacts fetal development and pregnancy
outcomes. We infected a pregnant rhesus macaque with a Puerto Rican ZIKV isolate
in the first trimester. The pregnancy was complicated by preterm premature
rupture of membranes (PPROM), intraamniotic bacterial infection and fetal demise
49 days post infection (gestational day 95). Significant pathology at the
maternal-fetal interface included acute chorioamnionitis, placental infarcts, and
leukocytoclastic vasculitis of the myometrial radial arteries. ZIKV RNA was
disseminated throughout fetal tissues and maternal immune system tissues at
necropsy, as assessed by quantitative RT-PCR for viral RNA. Replicating ZIKV was
identified in fetal tissues, maternal uterus, and maternal spleen by fluorescent
in situ hybridization for viral replication intermediates. Fetal ocular pathology
included a choroidal coloboma, suspected anterior segment dysgenesis, and a
dysplastic retina. This is the first report of ocular pathology and prolonged
viral replication in both maternal and fetal tissues following congenital ZIKV
infection in a rhesus macaque. PPROM followed by fetal demise and severe
pathology of the visual system have not been described in macaque congenital ZIKV
infection previously. While this case of ZIKV infection during pregnancy was
complicated by bacterial infection with PPROM, the role of ZIKV on this outcome
cannot be precisely defined, and further nonhuman primate studies will determine
if increased risk for PPROM or other adverse pregnancy outcomes are associated
with congenital ZIKV infection.
PMID- 29381708
TI - RNA-seq transcriptome analysis of the immature seeds of two Brassica napus lines
with extremely different thousand-seed weight to identify the candidate genes
related to seed weight.
AB - Brassica napus is an important oilseed crop worldwide. Although seed weight is
the main determinant of seed yield, few studies have focused on the molecular
mechanisms that regulate seed weight in B. napus. In this study, the immature
seeds of G-42 and 7-9, two B. napus doubled haploid (DH) lines with extremely
different thousand-seed weight (TSW), were selected for a transcriptome analysis
to determine the regulatory mechanisms underlying seed weight at the whole gene
expression level and to identify candidate genes related to seed weight. A total
of 2,251 new genes and 2,205 differentially expressed genes (DEGs) were obtained
via RNA-seq (RNA sequencing). Among these genes, 1,747 (77.61%) new genes and
2020 (91.61%) DEGs were successfully annotated. Of these DEGs, 1,118 were up
regulated and 1,087 were down-regulated in the large-seed line. The Kyoto
Encyclopedia of Genes and Genomes (KEGG) database analysis indicated that 15 DEGs
were involved in ubiquitin-mediated proteolysis and proteasome pathways, which
might participate in regulating seed weight. The Gene Ontology (GO) database
indicated that 222 DEGs were associated with the biological process or molecular
function categories related to seed weight, such as cell division, cell size and
cell cycle regulation, seed development, nutrient reservoir activity, and
proteasome-mediated ubiquitin-dependent protein catabolic processes. Moreover, 50
DEGs encoding key enzymes or proteins were identified that likely participate in
regulating seed weight. A DEG (GSBRNA2T00037121001) identified by the
transcriptome analysis was also previously identified in a quantitative trait
locus (QTL) region for seed weight via SLAF-seq (Specific Locus Amplified
Fragment sequencing). Finally, the expression of 10 DEGs with putative roles in
seed weight and the expression of the DEG GSBRNA2T00037121001 were confirmed by a
quantitative real-time reverse transcription PCR (qRT-PCR) analysis, and the
results were consistent with the RNA sequencing data. This work has provided new
insights on the molecular mechanisms underlying seed weight-related biosynthesis
and has laid a solid foundation for further improvements to the seed yield of oil
crops.
PMID- 29381709
TI - Dynamic magnetic resonance imaging method based on golden-ratio cartesian
sampling and compressed sensing.
AB - Dynamic magnetic resonance imaging (DMRI) is used to noninvasively trace the
movements of organs and the process of drug delivery. The results can provide
quantitative or semiquantitative pathology-related parameters, thus giving DMRI
great potential for clinical applications. However, conventional DMRI techniques
suffer from low temporal resolution and long scan time owing to the limitations
of the k-space sampling scheme and image reconstruction algorithm. In this paper,
we propose a novel DMRI sampling scheme based on a golden-ratio Cartesian
trajectory in combination with a compressed sensing reconstruction algorithm. The
results of two simulation experiments, designed according to the two major DMRI
techniques, showed that the proposed method can improve the temporal resolution
and shorten the scan time and provide high-quality reconstructed images.
PMID- 29381710
TI - Comparison of the efficacy of a commercial inactivated influenza A/H1N1/pdm09
virus (pH1N1) vaccine and two experimental M2e-based vaccines against pH1N1
challenge in the growing pig model.
AB - Swine influenza A viruses (IAV-S) found in North American pigs are diverse and
the lack of cross-protection among heterologous strains is a concern. The
objective of this study was to compare a commercial inactivated A/H1N1/pdm09
(pH1N1) vaccine and two novel subunit vaccines, using IAV M2 ectodomain (M2e)
epitopes as antigens, in a growing pig model. Thirty-nine 2-week-old IAV negative
pigs were randomly assigned to five groups and rooms. At 3 weeks of age and again
at 5 weeks of age, pigs were vaccinated intranasally with an experimental subunit
particle vaccine (NvParticle/M2e) or a subunit complex-based vaccine
(NvComplex/M2e) or intramuscularly with a commercial inactivated vaccine
(Inact/pH1N1). At 7 weeks of age, the pigs were challenged with pH1N1 virus or
sham-inoculated. Necropsy was conducted 5 days post pH1N1 challenge (dpc). At the
time of challenge one of the Inact/pH1N1 pigs had seroconverted based on IAV
nucleoprotein-based ELISA, Inact/pH1N1 pigs had significantly higher pdm09H1N1
hemagglutination inhibition (HI) titers compared to all other groups, and M2e
specific IgG responses were detected in the NvParticle/M2e and the NvComplex/M2e
pigs with significantly higher group means in the NvComplex/M2e group compared to
SHAMVAC-NEG pigs. After challenge, nasal IAV RNA shedding was significantly
reduced in Inact/pH1N1 pigs compared to all other pH1N1 infected groups and this
group also had reduced IAV RNA in oral fluids. The macroscopic lung lesions were
characterized by mild-to-severe, multifocal-to-diffuse, cranioventral dark purple
consolidated areas typical of IAV infection and were similar for NvParticle/M2e,
NvComplex/M2e and SHAMVAC-IAV pigs. Lesions were significantly less severe in the
SHAMVAC-NEG and the Inact/pH1N1pigs. Under the conditions of this study, a
commercial Inact/pH1N1 specific vaccine effectively protected pigs against
homologous challenge as evidenced by reduced clinical signs, virus shedding in
nasal secretions and oral fluids and reduced macroscopic and microscopic lesions
whereas intranasal vaccination with experimental M2e epitope-based subunit
vaccines did not. The results further highlight the importance using IAV-S type
specific vaccines in pigs.
PMID- 29381711
TI - Validation of the Perceived Stigmatization Questionnaire for Brazilian adult burn
patients.
AB - Currently, there is no questionnaire to assess perceived stigmatization among
people with visible differences in Brazil. The Perceived Stigmatization
Questionnaire (PSQ), developed in the United States, is a valid instrument to
assess the perception of stigmatizing behaviours among burn survivors. The
objective of this cross-sectional and multicentre study was to assess the factor
structure, reliability and validity of the Brazilian Portuguese version of the
PSQ in burn patients. A Brazilian version of the 21-item PSQ was answered by 240
adult burn patients, undergoing rehabilitation in two burns units in Brazil. We
tested its construct validity by correlating PSQ scores with depression (Beck
Depression Index-BDI) and self-esteem (Rosenberg Self-Esteem Scale-RSE), as well
as with two domains of the Revised Burn Specific Health Scale-BSHS-R: affect and
body image, and interpersonal relationships. We used Confirmatory Item Factor
Analysis (CIFA) to test whether the data fit a measurement model involving a
three-factor structure (absence of friendly behaviour; confusing/staring
behaviour; and hostile behaviour). We conducted Exploratory Factor Analyses (EFA)
of the subscale in a 50% random sample of individuals (training split), treating
items as ordinal categorical using unweighted least squares estimation. To assess
discriminant validity of the Brazilian version of the PSQ we correlated PSQ
scores with known groups (sex, total body surface area burned, and visibility of
the scars) and assessed its reliability by means of Cronbach's alpha and using
test-retest. Goodness-of-fit indices for confirmatory factor analysis were
satisfactory for the PSQ, but not for the hostile behaviour subscale, which was
modified to improve fit by eliminating 3 items. Cronbach's alphas for the PSQ
refined version (PSQ-R) ranged from 0.65 to 0.88, with test-retest reliability
0.87 for the total score. The PSQ-R scores correlated strongly with depression
(0.63; p < 0.001), self-esteem (-0.57; p < 0.001), body image (-0.63; p < 0.001),
and interpersonal relationships (-0.55; p < 0.001). PSQ-R total scores were
significantly lower for patients with visible scars (effect size = 0.51, p =
0.029). The PSQ-R showed reliability and validity comparable to the original
version. However, the cross-cultural structure of the subscale "hostile
behaviour" and sensitivity to change of the PSQ should be further evaluated.
PMID- 29381712
TI - Plant growth and fertility requires functional interactions between specific PABP
and eIF4G gene family members.
AB - The initiation of protein synthesis requires the involvement of the eukaryotic
translation initiation factor (eIF) 4G to promote assembly of the factors needed
to recruit a 40S ribosomal subunit to an mRNA. Although many eukaryotes express
two eIF4G isoforms that are highly similar, those in plants, referred to as eIF4G
and eIFiso4G, are highly divergent in size, sequence, and domain organization.
Species of the Brassicaceae and the Cleomaceae also express a divergent eIFiso4G
isoform, referred to as eIFiso4G2, not found elsewhere in the plant kingdom.
Despite their divergence, eIF4G and eIFiso4G interact with eIF4A, eIF4B, and
eIF4E isoforms needed for binding an mRNA. eIF4G and eIFiso4G also interact with
the poly(A)-binding protein (PABP) which promotes ribosome recruitment to an
mRNA. Increasing the complexity of such an interaction, however, Arabidopsis also
expresses three PABP isoforms (PAB2, PAB4, and PAB8) in vegetative and
reproductive tissues. In this study, the functional interactions among the eIF4G
and the widely-expressed PABP isoforms were examined. Loss of PAB2 or PAB8 in
combination with loss of eIF4G or eIFiso4G had little to no effect on growth or
fertility whereas pab2 pab8 eif4g or pab2 pab8 eifiso4g1/2 mutants exhibited
smaller stature and reduced fertility. Although the pab4 eifiso4g1 mutant grows
normally and is fertile, pab4 eif4g or pab4 eifiso4g2 mutants could not be
isolated. Even pab4/PAB4 eif4g/eIF4G heterozygous plants exhibited growth defects
and low fertility. Mutant co-inheritance analysis in reciprocal crosses with wild
type plants revealed that most ovaries and pollen from pab4/PAB4 eif4g/eIF4G
plants were PAB4 eif4g. Similarly, co-inheritance studies with pab4/PAB4
eifiso4g2/eIFiso4G2 plants suggested most ovaries were PAB4 eifiso4g2. These
results suggest that a functional interaction between PAB4 and eIF4G and between
PAB4 and eIFiso4G2 is required for growth and normal fertility.
PMID- 29381713
TI - Effect of light wavelength on hot spring microbial mat biodiversity.
AB - Hot spring associated phototrophic microbial mats are purely microbial
communities, in which phototrophic bacteria function as primary producers and
thus shape the community. The microbial mats at Nakabusa hot springs in Japan
harbor diverse photosynthetic bacteria, mainly Thermosynechococcus, Chloroflexus,
and Roseiflexus, which use light of different wavelength for energy conversion.
The aim of this study was to investigate the effect of the phototrophs on
biodiversity and community composition in hot spring microbial mats. For this, we
specifically activated the different phototrophs by irradiating the mats with
different wavelengths in situ. We used 625, 730, and 890 nm wavelength LEDs alone
or in combination and confirmed the hypothesized increase in relative abundance
of different phototrophs by 16S rRNA gene sequencing. In addition to the increase
of the targeted phototrophs, we studied the effect of the different treatments on
chemotrophic members. The specific activation of Thermosynechococcus led to
increased abundance of several other bacteria, whereas wavelengths specific to
Chloroflexus and Roseiflexus induced a decrease in >50% of the community members
as compared to the dark conditions. This suggests that the growth of
Thermosynechococcus at the surface layer benefits many community members, whereas
less benefit is obtained from an increase in filamentous anoxygenic phototrophs
Chloroflexus and Roseiflexus. The increases in relative abundance of chemotrophs
under different light conditions suggest a relationship between the two groups.
Aerobic chemoheterotrophs such as Thermus sp. and Meiothermus sp. are thought to
benefit from aerobic conditions and organic carbon in the form of photosynthates
by Thermosynechococcus, while the oxidation of sulfide and production of
elemental sulfur by filamentous anoxygenic phototrophs benefit the sulfur
disproportionating Caldimicrobium thiodismutans. In this study, we used an
experimental approach under controlled environmental conditions for the analysis
of natural microbial communities, which proved to be a powerful tool to study
interspecies relationships in the microbiome.
PMID- 29381714
TI - Extended-spectrum beta-lactamase (ESBL)-producing Escherichia coli and
Acinetobacter baumannii among horses entering a veterinary teaching hospital: The
contemporary "Trojan Horse".
AB - Pathogens frequently associated with multi-drug resistant (MDR) phenotypes,
including extended-spectrum beta-lactamase (ESBL)-producing Enterobacteriaceae
(ESBL-E) and Acinetobacter baumannii isolated from horses admitted to horse
clinics, pose a risk for animal patients and personnel in horse clinics. To
estimate current rates of colonization, a total of 341 equine patients were
screened for carriage of zoonotic indicator pathogens at hospital admission.
Horses showing clinical signs associated with colic (n = 233) or open wounds (n =
108) were selected for microbiological examination of nostril swabs, faecal
samples and wound swabs taken from the open wound group. The results showed
alarming carriage rates of Gram-negative MDR pathogens in equine patients: 10.7%
(34 of 318) of validated faecal specimens were positive for ESBL-E (94%: ESBL
producing Escherichia coli), with recorded rates of 10.5% for the colic and 11%
for the open wound group. 92.7% of the ESBL-producing E. coli were phenotypically
resistant to three or more classes of antimicrobials. A. baumannii was rarely
detected (0.9%), and all faecal samples investigated were negative for
Salmonella, both directly and after two enrichment steps. Screening results for
the equine nostril swabs showed detection rates for ESBL-E of 3.4% among colic
patients and 0.9% in the open wound group, with an average rate of 2.6% (9/340)
for both indications. For all 41 ESBL-producing E. coli isolated, a broad
heterogeneity was revealed using pulsed-field gel electrophoresis (PFGE) patterns
and whole genome sequencing (WGS) -analysis. However, a predominance of sequence
type complex (STC)10 and STC1250 was observed, including several novel STs. The
most common genes associated with ESBL-production were identified as blaCTX-M-1
(31/41; 75.6%) and blaSHV-12 (24.4%). The results of this study reveal a
disturbingly large fraction of multi-drug resistant and ESBL-producing E. coli
among equine patients, posing a clear threat to established hygiene management
systems and work-place safety of veterinary staff in horse clinics.
PMID- 29381715
TI - Enterohemorrhagic Escherichia coli O157 subclade 8b strains in Chiba Prefecture,
Japan, produced larger amounts of Shiga toxin 2 than strains in subclade 8a and
other clades.
AB - Enterohemorrhagic Escherichia coli O157 (O157) strains can be classified into
clades (one of several phylogenetic groups) by single nucleotide polymorphisms
(SNPs): these are clade 1, clade 2, clade 3, descendant and ancestral clades 4/5,
clade 6, clade 7, clade 8, clade 9, and clade 12. Some recent studies showed that
some O157 strains in clade 8 produced a larger amount of Shiga toxin (Stx) 2 than
other strains. In this study, 1121 epidemiologically unlinked strains of O157
isolated in Chiba Prefecture, Japan were classified into clades during 1996-2014.
Clade 8 strains were further classified into subclade 8a (67 strains) and
subclade 8b (48 strains) using SNP analysis. In the absence of mitomycin C (MMC),
subclade 8a strains in this study produced significantly greater amounts of Stx2
than subclade 8b strains. However, in the presence of MMC, the levels of Stx2
production in subclade 8b strains were significantly greater than subclade 8a
strains. On the other hand, a recent study reported that the Stx2 production
level in O157 strains was determined mainly by the subtypes of Stx2a phage
(phiStx2_alpha, beta, gamma, delta, epsilon, and zeta). Using O157 strains in
this study, the Stx2a phages were classified into these subtypes. In this study,
all strains of subclades 8a and 8b carried phiStx2a_gamma and phiStx2a_delta,
respectively. Some strains in clade 6 also carried phiStx2a_delta. In the
presence of MMC, subclade 8b strains produced significantly greater amounts of
Stx2 than clade 6 strains carrying phiStx2_delta. In this study, we propose that
Stx2 production in subclade 8b strains in the presence of MMC might be enhanced
due to genetic factors other than phiStx2_delta.
PMID- 29381716
TI - Quantitative MRI in early intervertebral disc degeneration: T1rho correlates
better than T2 and ADC with biomechanics, histology and matrix content.
AB - INTRODUCTION: Low-back pain (LBP) has been correlated to the presence of
intervertebral disc (IVD) degeneration on T2-weighted (T2w) MRI. It remains
challenging, however, to accurately stage degenerative disc disease (DDD) based
on T2w MRI and measurements of IVD height, particularly for early DDD. Several
quantitative MRI techniques have been introduced to detect changes in matrix
composition signifying early DDD. In this study, we correlated quantitative T2,
T1rho and Apparent Diffusion Coefficient (ADC) values to disc mechanical behavior
and gold standard early DDD markers in a graded degenerated lumbar IVD caprine
model, to assess their potential for early DDD detection. METHODS: Lumbar caprine
IVDs were injected with either 0.25 U/ml or 0.5 U/ml Chondroitinase ABC (Cabc) to
trigger early DDD-like degeneration. Injection with phosphate-buffered saline
(PBS) served as control. IVDs were cultured in a bioreactor for 20 days under
axial physiological loading. High-resolution 9.4 T MR images were obtained prior
to intervention and after culture. Quantitative MR results were correlated to
recovery behavior, histological degeneration grading, and the content of
glycosaminoglycans (GAGs) and water. RESULTS: Cabc-injected IVDs showed
aberrancies in biomechanics and loss of GAGs without changes in water-content.
All MR sequences detected changes in matrix composition, with T1rho showing
largest changes pre-to-post in the nucleus, and significantly more than T2 and
ADC. Histologically, degeneration due to Cabc injection was mild. T1rho nucleus
values correlated strongest with altered biomechanics, histological degeneration
score, and loss of GAGs. CONCLUSIONS: T2- and T1rho quantitative MR-mapping
detected early DDD changes. T1rho nucleus values correlated better than T2 and
ADC with biomechanical, histological, and GAG changes. Clinical implementation of
quantitative MRI, T1rho particularly, could aid in distinguishing DDD more
reliably at an earlier stage in the degenerative process.
PMID- 29381717
TI - Brief report: Circulating markers of fibrosis are associated with immune
reconstitution status in HIV-infected men.
AB - INTRODUCTION: Lymphoid tissue fibrosis may contribute to incomplete immune
reconstitution on antiretroviral therapy (ART) via local CD4+ T lymphocyte (CD4)
depletion. Hyaluronic acid (HA) increases with fibrotic burden. CXCL4
concentrations increase in response to pro-fibrotic stimuli, but lower CXCL4
concentrations in HIV-infected individuals may reflect successful immune evasion
by HIV. We investigated relationships between circulating HA and CXCL4
concentrations and immune reconstitution on ART in HIV-infected Multicenter AIDS
Cohort Study participants. METHODS: HIV-infected men on ART for >1 year with
cryopreserved plasma samples and suppressed post-ART HIV-1 RNA were included. Men
with post-ART CD4 <200 cells/mm3 were defined as immunologic non-responders (n =
25). Age-/race-matched men with post-ART CD4 >500 cells/mm3 served as controls (n
= 49). HA and CXCL4 concentrations were measured via ELISA. RESULTS: Median pre
ART CD4 was 297 cells/mm3 for non-responders vs 386 cells/mm3 for controls.
Median post-ART CD4 was 141 cells/mm3 for non-responders and 815 cells/mm3 for
controls. HIV infection duration was 23 years, with median time on ART 13 years
for non-responders vs 11 years for controls. Pre-ART HA and CXCL4 concentrations
did not vary by eventual immune reconstitution status. Post-ART HA concentrations
tended to be higher (85 vs 36 ng/mL, p = 0.07) and CXCL4 concentrations were
lower (563 vs 1459 ng/mL, p = 0.01) among non-responders. Among men with paired
pre-/post-ART samples, non-responders had greater HA increases and CXCL4
decreases than controls (HA: 50 vs 12 ng/mL, p = 0.04; CXCL4: -1258 vs -405
ng/mL, p = 0.01). CONCLUSIONS: Higher circulating concentrations of HA and lower
concentrations of CXCL4 are associated with failure of immune reconstitution on
ART.
PMID- 29381718
TI - Effects of long-term cigarette smoke exposure on bone metabolism, structure, and
quality in a mouse model of emphysema.
AB - Smoking is a common risk factor for both chronic obstructive pulmonary disease
(COPD) and osteoporosis. In patients with COPD, severe emphysema is a risk factor
for vertebral fracture; however, the effects of smoking or emphysema on bone
health remain largely unknown. We report bone deterioration in a mouse model of
emphysema induced by nose-only cigarette smoke (CS) exposure. Unexpectedly, short
term exposure for 4-weeks decreased bone turnover and increased bone volume in
mice. However, prolonged exposure for 20- and 40-weeks reversed the effects from
suppression to promotion of bone resorption. This long-term CS exposure increased
osteoclast number and impaired bone growth, while it increased bone volume.
Strikingly, long-term CS exposure deteriorated bone quality of the lumbar
vertebrae as illustrated by disorientation of collagen fibers and the biological
apatite c-axis. This animal model may provide a better understanding of the
mechanisms underlying the deterioration of bone quality in pulmonary emphysema
caused by smoking.
PMID- 29381719
TI - Positive youth development and observed athlete behavior in recreational sport.
AB - OBJECTIVES: Competence, confidence, connection, and character are regarded as
outcomes of positive youth development (PYD) in sport. However, the specific
athlete behaviors associated with different PYD profiles are not well understood.
Thus, the purpose of this study was to investigate the relationship between
athletes' observed behavior during sport competitions and their perceptions of
PYD outcomes. DESIGN: Cross-sectional study with systematic behavioral
observation. METHOD: Sixty-seven youth athletes were observed during basketball
games near the end of their season, and the content of their behavior was
systematically coded. Athletes also completed measures of the 4 Cs (competence,
confidence connection, and character). A person-centered analysis approach was
used to examine the relationship between PYD profiles and observed behavior.
RESULTS: A cluster analysis identified two homogenous groups of athletes
characterized by relatively high and low perceptions of confidence, connection,
and character. A MANCOVA revealed that after controlling for gender and years of
playing experience, the high Cs group engaged in more frequent sport
communication with their coaches. CONCLUSIONS: Results re-affirm the critical
role that coaches play in the developmental experiences of young athletes, and
highlight the importance of contextual factors of the youth sport environment.
PMID- 29381720
TI - The impact of Typhoon Haiyan on admissions in two hospitals in Eastern Visayas,
Philippines.
AB - OBJECTIVES: We investigated the short-term impact of Typhoon Haiyan, one of the
strongest typhoons ever to make landfall, on the pattern of admissions in two
hospitals in Eastern Visayas, the Philippines. METHODS: This study took place at
Eastern Visayas Regional Medical Center (EVRMC) in Tacloban, and Ormoc District
Hospital (ODH) in Ormoc. We determined whether there were differences in the
pattern of admissions between the week before and the three weeks after Haiyan by
using information on sex, age, diagnosis, ward and outcome at discharge from
patient records. RESULTS: There was a drop in admissions in both hospitals after
Haiyan as compared to before. Admissions climbed back to the baseline after ten
days in EVRMC and after two weeks in ODH. When comparing the period after Haiyan
to the period before, there was a relative increase in male versus female
admissions in ODH (OR 2.8, 95%CI 1.7-4.3), but not in EVRMC. Patients aged >=50
years and 0-14 years had the highest relative increase in admissions. There was a
relative decrease in admissions for the ICD10 group 'Pregnancy, childbirth and
the puerperium' (OR 0.4, 95%CI 0.3-0.6), and an increase in 'Certain infectious
and parasitic diseases' (OR 2.1, 95%CI 1.2-3.5), mainly gastroenteritis, and
'Diseases of the respiratory system' (OR 1.8, 95%CI 1.0-3.0), mainly pneumonia,
compared to all other diagnosis groups in ODH. Out of all reasons for admission
within the study period, 66% belong to these three ICD-10 groups. Data on reasons
for admission were not available for EVRMC. CONCLUSIONS: The observed reduction
in patients after the Typhoon calls for ensuring that hospital accessibility
should be protected and reinforced, especially for pregnant women, by trying to
remove debris in the direct hospital vicinity. Hospitals in areas prone to
tropical cyclones should be prepared to treat large numbers of patients with
gastroenteritis and pneumonia, as part of their disaster plans.
PMID- 29381721
TI - Transfusion practice in anemic, non-bleeding patients: Cross-sectional survey of
physicians working in general internal medicine teaching hospitals in
Switzerland.
AB - BACKGROUND: Transfusion practice might significantly influence patient morbidity
and mortality. Between European countries, transfusion practice of red blood
cells (RBC) greatly differs. Only sparse data are available on transfusion
practice of general internal medicine physicians in Switzerland. METHODS: In this
cross-sectional survey, physicians working in general medicine teaching hospitals
in Switzerland were investigated regarding their self-reported transfusion
practice in anemic patients without acute bleeding. The definition of anemia,
transfusion triggers, knowledge on RBC transfusion, and implementation of
guidelines were assessed. RESULTS: 560 physicians of 71 hospitals (64%) responded
to the survey. Anemia was defined at very diverging hemoglobin values (by 38% at
a hemoglobin <130 g/L for men and by 57% at <120 g/L in non-pregnant women). 62%
and 43% respectively, did not define anemia in men and in women according to the
World Health Organization. Fifty percent reported not to transfuse RBC according
to international guidelines. Following factors were indicated to influence the
decision to transfuse: educational background of the physicians, geographical
region of employment, severity of anemia, and presence of known coronary artery
disease. 60% indicated that their knowledge on Transfusion-related Acute Lung
Injury (TRALI) did not influence transfusion practice. 50% of physicians stated
that no local transfusion guidelines exist and 84% supported the development of
national recommendations on transfusion in non-acutely bleeding, anemic patients.
CONCLUSION: This study highlights the lack of adherence to current transfusion
guidelines in Switzerland. Identifying and subsequently correcting this deficit
in knowledge translation may have a significant impact on patient care.
PMID- 29381722
TI - Mycobacterium leprae genomes from naturally infected nonhuman primates.
AB - Leprosy is caused by the bacterial pathogens Mycobacterium leprae and
Mycobacterium lepromatosis. Apart from humans, animals such as nine-banded
armadillos in the Americas and red squirrels in the British Isles are naturally
infected with M. leprae. Natural leprosy has also been reported in certain
nonhuman primates, but it is not known whether these occurrences are due to
incidental infections by human M. leprae strains or by M. leprae strains specific
to nonhuman primates. In this study, complete M. leprae genomes from three
naturally infected nonhuman primates (a chimpanzee from Sierra Leone, a sooty
mangabey from West Africa, and a cynomolgus macaque from The Philippines) were
sequenced. Phylogenetic analyses showed that the cynomolgus macaque M. leprae
strain is most closely related to a human M. leprae strain from New Caledonia,
whereas the chimpanzee and sooty mangabey M. leprae strains belong to a human M.
leprae lineage commonly found in West Africa. Additionally, samples from ring
tailed lemurs from the Beza Mahafaly Special Reserve, Madagascar, and chimpanzees
from Ngogo, Kibale National Park, Uganda, were screened using quantitative PCR
assays, to assess the prevalence of M. leprae in wild nonhuman primates. However,
these samples did not show evidence of M. leprae infection. Overall, this study
adds genomic data for nonhuman primate M. leprae strains to the existing M.
leprae literature and finds that this pathogen can be transmitted from humans to
nonhuman primates as well as between nonhuman primate species. While the
prevalence of natural leprosy in nonhuman primates is likely low, nevertheless,
future studies should continue to explore the prevalence of leprosy-causing
pathogens in the wild.
PMID- 29381723
TI - Characterization of Penicillium oxalicum SL2 isolated from indoor air and its
application to the removal of hexavalent chromium.
AB - Removal of toxic Cr(VI) by microbial reduction is a promising approach to
reducing its ecotoxicological impact. To develop bioremediation technologies,
many studies have evaluated the application of microorganisms isolated from
Cr(VI)-contaminated sites. Nonetheless, little attention has been given to
microbes from the environments without a history of Cr(VI) contamination. In this
study, we aimed to characterize the Cr(VI) tolerance and removal abilities of a
filamentous fungus strain, SL2, isolated from indoor air. Based on phenotypic
characterization and rDNA sequence analysis, SL2 was identified as Penicillium
oxalicum, a species that has not been extensively studied regarding Cr(VI)
tolerance and reduction abilities. SL2 showed high tolerance to Cr(VI) on solid
and in liquid media, facilitating its application to Cr(VI)-contaminated
environments. Growth curves of SL2 in the presence of 0, 100, 400, or 1000 mg/L
Cr(VI) were well simulated by the modified Gompertz model. The relative maximal
colony diameter and maximal growth rate decreased as Cr(VI) concentration
increased, while the lag time increased. SL2 manifested remarkable efficacy of
removing Cr(VI). Mass balance analysis indicated that SL2 removed Cr(VI) by
reduction, and incorporated 0.79 mg of Cr per gram of dry biomass. In
electroplating wastewater, the initial rate of Cr(VI) removal was affected by the
initial contaminant concentration. In conclusion, P. oxalicum SL2 represents a
promising new candidate for Cr(VI) removal. Our results significantly expand the
knowledge on potential application of this microorganism.
PMID- 29381724
TI - Non-linear models for the detection of impaired cerebral blood flow
autoregulation.
AB - The ability to discriminate between normal and impaired dynamic cerebral
autoregulation (CA), based on measurements of spontaneous fluctuations in
arterial blood pressure (BP) and cerebral blood flow (CBF), has considerable
clinical relevance. We studied 45 normal subjects at rest and under hypercapnia
induced by breathing a mixture of carbon dioxide and air. Non-linear models with
BP as input and CBF velocity (CBFV) as output, were implemented with support
vector machines (SVM) using separate recordings for learning and validation.
Dynamic SVM implementations used either moving average or autoregressive
structures. The efficiency of dynamic CA was estimated from the model's derived
CBFV response to a step change in BP as an autoregulation index for both linear
and non-linear models. Non-linear models with recurrences (autoregressive) showed
the best results, with CA indexes of 5.9 +/- 1.5 in normocapnia, and 2.5 +/- 1.2
for hypercapnia with an area under the receiver-operator curve of 0.955. The high
performance achieved by non-linear SVM models to detect deterioration of dynamic
CA should encourage further assessment of its applicability to clinical
conditions where CA might be impaired.
PMID- 29381725
TI - Long-term effect of motor cortex stimulation in patients suffering from chronic
neuropathic pain: An observational study.
AB - BACKGROUND: Motor cortex stimulation (MCS) was introduced as a last-resort
treatment for chronic neuropathic pain. Over the years, MCS has been used for the
treatment of various pain syndromes but long-term follow-up is unknown. METHODS:
This paper reports the results of MCS from 2005 until 2012 with a 3-year follow
up. Patients who suffered from chronic neuropathic pain treated with MCS were
studied. The analgesic effect was determined as successful by decrease in pain
intensity on the visual analog scale (VAS) of at least 40%. The modifications in
drug regimens were monitored with use of the medication quantification scale
(MQS). Stimulation parameters and complications were also noted. Interference of
pain with quality of life (QoL), the Quality of Life Index (QLI), was determined
with use of a specific subset of questions from the MPQ-DLV score. RESULTS:
Eighteen patients were included. Mean pre-operative VAS changed from 89.4 +/-
11.2 to 53.1 +/- 25.0 after three years of follow-up (P < 0.0001). A successful
outcome was achieved in seven responders (38.9%). All patients in the responder
group suffered from pain caused by a central lesion. With regard to all the
patients with central pain lesions (n = 10) and peripheral lesions (n = 8), a
significant difference in response to MCS was noticed (P = 0.002). MQS scores and
QLI-scores diminished during the follow-up period (P = 0.210 and P = 0.007,
respectively). CONCLUSION: MCS seems a promising therapeutic option for patients
with refractory pain syndromes of central origin.
PMID- 29381726
TI - A single-center randomized controlled trial observing the safety and efficacy of
modified step-up graded Valsalva manoeuver in patients with vasovagal syncope.
AB - Non-pharmacological therapies, especially the physical maneuvers, are viewed as
important and promising strategies for reducing syncope recurrences in vasovagal
syncope (VVS) patients. We observed the efficacy of a modified Valsalva maneuver
(MVM) in VVS patients. 72 VVS patients with syncope history and positive head-up
tilt table testing (HUTT) results were randomly divided into conventional
treatment group (NVM group, n = 36) and conventional treatment plus standard MVM
for 30 days group (MVM group, n = 36). Incidence of recurrent syncope after 12
months (6.5% vs. 41.2%, P<0.01) and rate of positive HUTT after 30 days (9.7%
vs.79.4%, P<0.01) were significantly lower in MVM group than in NVM group. HRV
results showed that low frequency (LF), LF/ high frequency (HF), standard
deviation of NN intervals (SDNN) and standard deviation of all 5-min average NN
intervals (SDANN) values were significantly lower in the NVM and MVM groups than
in the control group at baseline. After 30 days treatment, LF, LF/HF, SDNN, SDANN
values were significantly higher compared to baseline in MVM group. Results of
Cox proportional hazard model showed that higher SDNN and SDANN values at 30 days
after intervention were protective factors, while positive HUTT at 30 days after
intervention was risk factor for recurrent syncope. Our results indicate that 30
days MVM intervention could effectively reduce the incidence of recurrent syncope
up to 12 months in VVS patients, possibly through improving sympathetic function
of VVS patients.
PMID- 29381727
TI - Effects of blue light on flavonoid accumulation linked to the expression of
miR393, miR394 and miR395 in longan embryogenic calli.
AB - While flavonoid metabolism's regulation under light conditions by structural
genes and transcription factors is understood, the roles of microRNAs (miRNAs) in
this pathway have been rarely reported. In this paper, the accurate control of
light was firstly enabled through the specially designed plant growth chamber
which ensures consistency and accuracy of the cultivation of longan ECs and the
repeatability of the experiments. Then, longan ECs were cultured in this chamber
for 25 days. The change of growth rate of longan ECs was compared under different
light qualities (dark, blue, green, white, green), intensities (16, 32, 64, 128,
256 MUmol .m-2 .s-1), and durations (8 h, 12 h, 16 h, 20h, 24h). Results
indicated that longan ECs had a high growth rate in the condition of blue or
green light, at intensity ranged from 16 MUmol.m-2.s-1 to 64 MUmol.m-2.s-1, and
duration from 8 h to 16 h. In addition, the contents of total flavonoids, rutin,
and epicatechin were determined. Results indicated that flavonoid contents of
longan ECs reached the highest value under blue light, at 32 MUmol.m-2.s-1 and
12h/d. Blue light promoted the accumulation of epicatechin, but inhibited the
synthesis of rutin. Finally, the expressions of flavonoid pathway genes, miRNAs
and target genes were analyzed by qPCR. These results indicated that miR393 and
its target gene DlTIR1-3, miR394 and its target gene DlAlMT12, and miR395 and its
target gene DlAPS1 had a negative regulating relationship under blue light in
longan ECs. Furthermore, miR393, miR394, and miR395 acted on target genes, which
negatively regulated flavonoid key genes DlFLS and positively regulated key genes
DlCHS, DlCHI, DlF3'H, DlDFR, DlLAR, and finally affected the accumulation of
flavonoids. The treatment of longan ECs under the blue light at the intensity of
32 MUmol.m-2.s-1 for 12 h/d inhibited the expression of miR393, miR394 and
miR395, which promoted the expression of target genes and the accumulation of
flavonoids and epicatechin, but inhibited the synthesis of rutin.
PMID- 29381728
TI - M60-like metalloprotease domain of the Escherichia coli YghJ protein forms
amyloid fibrils.
AB - Amyloids are protein fibrils with a characteristic spatial structure. Amyloids
were long perceived as the pathogens involved in a set of lethal diseases in
humans and animals. In recent decades, it has become clear that amyloids
represent a quaternary protein structure that is not only pathological but also
functionally important and is widely used by different organisms, ranging from
archaea to animals, to implement diverse biological functions. The greatest
biological variety of amyloids is found in prokaryotes, where they control the
formation of biofilms and cell wall sheaths, facilitate the overcoming of surface
tension, and regulate the metabolism of toxins. Several amyloid proteins were
identified in the important model, biotechnological and pathogenic bacterium
Escherichia coli. In previous studies, using a method for the proteomic screening
and identification of amyloids, we identified 61 potentially amyloidogenic
proteins in the proteome of E. coli. Among these proteins, YghJ was the most
enriched with bioinformatically predicted amyloidogenic regions. YghJ is a
lipoprotein with a zinc metalloprotease M60-like domain that is involved in mucin
degradation in the intestine as well as in proinflammatory responses. In this
study, we analyzed the amyloid properties of the YghJ M60-like domain and
demonstrated that it forms amyloid-like fibrils in vitro and in vivo.
PMID- 29381729
TI - bmo-miR-275 down-regulates expression of Bombyx mori sericin gene 2 in vitro.
AB - We hypothesized that bmo-miR-275 has a potential regulatory function regarding
the expression of sericin gene 2 (BmSer-2). First, we examined the expression of
bmo-miR-275 and its target gene BmSer-2 in seven different tissues from 5th
instar day-3 silkworm larvae. The results showed that they were both specifically
expressed in the middle silk gland, implying that spatio-temporal conditions are
required for bmo-miR-275 to regulate the expression of BmSer-2. To test this
hypothesis, we constructed a pri-bmo-miR-275 expressing plasmid pcDNA3.0 [ie1
egfp-pri-bmo-miR-275-SV40] and BmSer-2-3'UTR recombinant reporter plasmids pGL3.0
[A3-luc-Ser-2-3' UTR-SV40]. Finally, BmN cells were harvested and luciferase
activity was detected. Results showed that luciferase activity was reduced
significantly (P<0.05) in BmN cells co-transfected with pcDNA3.0 [ie1-egfp-pri
bmo-miR-275-SV40] and pGL3.0 [A3-luc-Ser-2-3'UTR-SV40], suggesting that bmo-miR
275 can down-regulate the expression of BmSer-2 in vitro. Our results improve the
understanding of the regulatory function of Bombyx mori miRNA on the expression
of genes regulating silk formation.
PMID- 29381730
TI - Assessing the integrity of the cognitive processes involved in belief reasoning
by means of two nonverbal tasks: Rationale, normative data collection and
illustration with brain-damaged patients.
AB - Every day, we engage in social interactions with other people which require
understanding their as well as our own mental states. Such capacity is commonly
referred to as Theory of Mind (ToM). Disturbances of ToM are often reported in
diverse pathologies which affect brain functioning and lead to problems in social
interactions. Identifying ToM deficits is thus crucial to guide the clinicians in
the establishment of adequate rehabilitation strategies for patients. Previous
studies have demonstrated that ToM is not a unitary function yet currently there
are very few standardized tests which allow identifying the type of cognitive
processes affected when a patient exhibits a ToM deficit. In the current study,
we present two belief reasoning tasks which have been used in previous research
to disentangle two types of processes involved in belief reasoning: self
perspective inhibition and the spontaneous inference of another person's belief.
A three-step procedure was developed to provide clinicians with the tools to
interpret the patients' performances on the tasks. First, these tasks were
standardized and normative data was collected on a sample of 124 healthy
participants aged between 18 and 74. Data collected showed a decrease in
performance as a function of age only in the task that loaded most in spontaneous
other-perspective demands. There was however no effect of gender or educational
level. Cut-off scores to identify deficits were then calculated for the different
age groups separately. Secondly, the three-step procedure was applied to 21 brain
damaged patients and showed a large diversity of profiles, including selective
deficits of the two targeted ToM processes. The diversity of profiles shows the
importance to take into account the multiple facets of ToM during the diagnosis
and rehabilitation of patients with suspected ToM deficits.
PMID- 29381732
TI - Polyphenol estimated intake and dietary sources among older adults from Mallorca
Island.
AB - The aim was the assessment of the polyphenol estimated intake and dietary sources
among older adults from Mallorca Island. The study was carried out (2013-2014) in
211 participants dwelling women (n = 112) and men (n = 99). Polyphenol intake was
calculated from two non-consecutive 24-h recall diets using the Polyphenol
Explorer. The mean daily intake of polyphenol was 332.7 mg/d (SD: 237.9; median:
299 mg/d). Highest polyphenol intake was observed among females, 64-67 y.o.
people, higher income and educational level, alcohol consumers, and physically
active people. Most polyphenols consumed were flavonoids, and among them the
major subclass was flavanols. Alcoholic beverages were the major contributors to
the total polyphenol intake (118.3 mg/d, SD: 127.5), and red wine contributed
17.7% of total polyphenols consumed. Polyphenol intake was highest among alcohol
drinkers, high educational level, high income, and physical active people.
Flavonoids were the highest ingested polyphenols. Alcoholic beverages were the
major contributors to the total polyphenol intake, mainly red wine.
PMID- 29381731
TI - The prognostic value of HER2 in ovarian cancer: A meta-analysis of observational
studies.
AB - BACKGROUND: The prognostic role of human epidermal growth factor receptor 2
(HER2) in ovarian cancer has been investigated in previous studies, but the
results remain controversial. Here we present a meta-analysis to systematically
review the association between HER2 expression and ovarian cancer prognosis.
METHOD: Observational studies published until July 2017 were searched in Pubmed,
Embase, and Cochrane library databases. Hazard ratios (HRs) for survival with 95%
confidence intervals (CIs), subgroup analyses, publication bias and sensitivity
analyses were implemented under a standard manner. Estimates of overall survival
(OS), progress-free survival (PFS) and disease-free survival (DFS) were weighted
and pooled using Der Simonian-Laird random-effect model. RESULT: Thirty-four
studies that include 5180 ovarian cancer patients were collected for analysis.
Expression of HER2 was negatively correlated with clinical prognosis of overall
survival (HR = 1.57, 95% CI: 1.31 to 1.89, P < 0.001) and disease-free survival /
progress-free survival (HR = 1.26, 95% CI = 1.06 to 1.49) in ovarian cancers. The
association between HER2 expression and poor ovarian cancer prognosis in overall
survival was also statistically significant in subgroups of unclassified ovarian
cancer, Caucasian population and Asian population, while irrespective of
detection method. CONCLUSION: HER2 expression was related with poor prognosis in
ovarian cancer patients and can be used as a predicting cancer prognostic
biomarker in ovarian cancer patients.
PMID- 29381733
TI - Prognostic effects of delirium motor subtypes in hospitalized older adults: A
prospective cohort study.
AB - OBJECTIVES: To investigate the association between delirium motor subtypes and
hospital mortality and 12-month mortality in hospitalized older adults. DESIGN:
Prospective cohort study conducted from 2009 to 2015. SETTING: Geriatric ward of
a university hospital in Sao Paulo, Brazil. PARTICIPANTS: We included 1,409
consecutive admissions of acutely ill patients aged 60 years and over. We
excluded admissions for end-of-life care, with missing data on the main
variables, length of stay shorter than 48 hours, or when consent to participate
was not given. MAIN OUTCOMES AND MEASURES: Delirium was detected using the
Confusion Assessment Method and categorized in hypoactive, hyperactive, or mixed
delirium. Primary outcomes were time to death in the hospital, and time to death
in 12 months (for the discharged sample). Comprehensive geriatric assessment was
performed at admission and included socio-demographic, clinical, functional,
cognitive, and laboratory variables. Further clinical data were documented upon
death or discharge. Multivariate analyses used Cox proportional hazards models
adjusted for possible confounders. RESULTS: We included 1,409 admissions, with a
mean age of 80 years. The proportion of in-hospital deaths was 19%, with a
cumulative mortality of 38% in 12 months. Delirium occurred in 47% of the
admissions. Hypoactive delirium was the predominant motor subtype (53%), followed
by mixed delirium (30%) and hyperactive delirium (17%). Hospital mortality rates
were respectively 33%, 34% and 15%. We verified that hypoactive and mixed
delirium were independently associated with hospital mortality, with respective
hazard ratios of 2.43 (95%CI = 1.64-3.59) and 2.31 (95%CI = 1.53-3.50). Delirium
motor subtypes were not independently predictive of 12-month mortality.
CONCLUSIONS: One in three acutely ill hospitalized older adults who suffered
hypoactive or mixed delirium died in the hospital. Clinicians should be aware
that hypoactive symptoms of delirium, whether shown exclusively or in alternation
with hyperactive symptoms, are indicative of a worse prognosis in this
population.
PMID- 29381734
TI - Socioeconomic differences in smoking in Jordan, Lebanon, Syria, and Palestine: A
cross-sectional analysis of national surveys.
AB - INTRODUCTION: The association between education and wealth, as fundamental
determinants of health, and smoking is well-established. Yet, social inequalities
have received little attention in the expanding field of tobacco research in the
Arab region. In this study, we examine inequalities in cigarette smoking by
education and wealth in four Arab countries. METHODS: Utilizing the most recently
available population-level data sets (Syria 2009 PAPFAM, Jordan 2012 DHS,
Palestine 2010 Family Health Survey, and Lebanon 2004 PAPFAM), we tested the
association between cigarette smoking and education and wealth-controlling for
age, marital status, and region of residence-for each country, and among men and
women depending on data availability. RESULTS: Cigarette smoking prevalence among
Arab men is high- 51.3% in Syria, 39.7% in Palestine, and 42.1% in Lebanon; among
women, prevalence is 8.4% in Syria, 10.9% in Jordan, and 24.3% Lebanon. Cigarette
smoking shows the expected patterns inequalities by education among men in Syria,
Palestine, and Lebanon, and among women in Jordan and Lebanon. On the other hand,
wealth does not show a clear pattern in its association with cigarette smoking
and, in some cases (men in Palestine and women in Syria) the behavioral risk is
higher among the wealthiest. CONCLUSIONS: Available data from 2004-2012 show that
cigarette smoking among men and women in the four Arab countries is predominant
among those with limited access to education as a fundamental cause. The weak or
absent negative association between wealth and cigarette smoking suggests that
access to material resources does not precipitate a reduction in the consumption
of tobacco.
PMID- 29381735
TI - Correction: Maternal gestational weight gain and objectively measured physical
activity among offspring.
AB - [This corrects the article DOI: 10.1371/journal.pone.0180249.].
PMID- 29381736
TI - Virologic outcome among patients receiving antiretroviral therapy at five
hospitals in Haiti.
AB - INTRODUCTION: Viral load (VL) assessment is the preferred method for diagnosing
and confirming virologic failure for patients on antiretroviral therapy (ART). We
conducted a retrospective cross-sectional study to evaluate the virologic
suppression rate among patients on ART for >=6 months in five hospitals around
Port-au-Prince, Haiti. METHODS: Plasma VL was measured and patients with VL
<1,000 copies/mL were defined as virologically suppressed. A second VL test was
performed within at least six months of the first test. Factors associated with
virologic suppression were analyzed using logistic regression models accounting
for site-level clustering using complex survey procedures. RESULTS: Data were
analyzed for 2,313 patients on ART for six months or longer between July 2013 and
February 2015. Among them, 1,563 (67.6%) achieved virologic suppression at the
first VL test. A second VL test was performed within at least six months for 718
(31.0%) of the patients. Of the 459 patients with an initial HIV-1 RNA <1,000
copies/mL who had a second VL performed, 394 (85.8%) maintained virologic
suppression. Virologic suppression was negatively associated with male gender
(adjusted odds ratio [aOR]: 0.80, 95% CI: 0.74-0.0.86), 23 to 35 months on ART
(aOR:0.72[0.54-0.96]), baseline CD4 counts of 201-500 cells/mm3 and 200 cells/mm3
or lower (aORs: 0.77 [0.62-0.95] and 0.80 [0.66-0.98], respectively), poor
adherence (aOR: 0.69 [0.59-0.81]), and TB co-infection (aOR: 0.73 [0.55-0.97]).
CONCLUSIONS: This study showed that over two-thirds of the patients in this
evaluation achieved virologic suppression after >= six months on ART and the
majority of them remained suppressed. These results reinforce the importance of
expanding access to HIV-1 viral load testing in Haiti for monitoring ART
outcomes.
PMID- 29381738
TI - Correction: Intestinal Ralstonia pickettii augments glucose intolerance in
obesity.
AB - [This corrects the article DOI: 10.1371/journal.pone.0181693.].
PMID- 29381737
TI - Identification and functional analysis of two alternatively spliced transcripts
of ABSCISIC ACID INSENSITIVE3 (ABI3) in linseed flax (Linum usitatissimum L.).
AB - Alternative splicing is a popular phenomenon in different types of plants. It can
produce alternative spliced transcripts that encode proteins with altered
functions. Previous studies have shown that one transcription factor, ABSCISIC
ACID INSENSITIVE3 (ABI3), which encodes an important component in abscisic acid
(ABA) signaling, is subjected to alternative splicing in both mono- and
dicotyledons. In the current study, we identified two homologs of ABI3 in the
genome of linseed flax. We screened two alternatively spliced flax LuABI3
transcripts, LuABI3-2 and LuABI3-3, and one normal flax LuABI3 transcript, LuABI3
1. Sequence analysis revealed that one of the alternatively spliced transcripts,
LuABI3-3, retained a 6 bp intron. RNA accumulation analysis showed that all three
transcripts were expressed during seed development, while subcellular
localization and transgene experiments showed that LuABI3-3 had no biological
function. The two normal transcripts, LuABI3-1 and LuABI3-2, are the important
functional isoforms in flax and play significant roles in the ABA regulatory
pathway during seed development, germination, and maturation.
PMID- 29381739
TI - Impaired memory is more closely associated with brain beta-amyloid than
leukoaraiosis in hypertensive patients with cognitive symptoms.
AB - BACKGROUND: Hypertension is the strongest modifiable risk factor for subcortical
ischemic changes and is also a risk factor for Alzheimer's dementia. We used
neuroimaging to investigate the pathological basis of early cognitive symptoms in
patients with hypertension. METHODS: In this cross-sectional cohort study 67
patients age >60 years with hypertension and Clinical Dementia Rating scale score
of 0.5 without dementia, and without history of symptomatic stroke, underwent MRI
for measurement of subcortical vascular changes and positron emission tomography
(PET) scan with Pittsburgh Compound B (PiB-PET) to detect beta-amyloid
deposition. These imaging measures were related to neuropsychological tests of
memory, executive function and processing speed. RESULTS: Mean age was 75.0
(standard deviation, SD, 7.3). Mean neuropsychological Z scores were: episodic
memory -0.63 (SD 1.23), executive function -0.40 (SD 1.10), processing speed
0.24 (SD 0.88); 22 of the 67 subjects met criteria for mild cognitive impairment
(MCI) and the remaining 45 subjects had subjective cognitive concerns only. In
multivariable models adjusting for age and years of education, each 0.1 unit
increase in mean cortical PiB-PET binding was associated with 0.14 lower mean Z
score for episodic memory (95% CI -0.28 to -0.01). This means that for every 0.1
unit increase in mean cortical PiB-PET, episodic memory was 0.14 standard
deviations lower. White matter hyperintensity volume, silent brain infarcts and
microbleeds were not associated with neuropsychological test scores. CONCLUSIONS:
Episodic memory was prominently affected in hypertensive participants with MCI or
subjective cognitive concerns, and was associated with PiB-PET binding. This
suggests a prominent role for Alzheimer pathology in cognitive impairment even in
hypertensive participants at elevated risk for vascular cognitive impairment.
PMID- 29381740
TI - Variation in grain Zn concentration, and the grain ionome, in field-grown Indian
wheat.
AB - Wheat is an important dietary source of zinc (Zn) and other mineral elements in
many countries. Dietary Zn deficiency is widespread, especially in developing
countries, and breeding (genetic biofortification) through the HarvestPlus
programme has recently started to deliver new wheat varieties to help alleviate
this problem in South Asia. To better understand the potential of wheat to
alleviate dietary Zn deficiency, this study aimed to characterise the baseline
effects of genotype (G), site (E), and genotype by site interactions (GxE) on
grain Zn concentration under a wide range of soil conditions in India. Field
experiments were conducted on a diverse panel of 36 Indian-adapted wheat
genotypes, grown on a range of soil types (pH range 4.5-9.5), in 2013-14 (five
sites) and 2014-15 (six sites). Grain samples were analysed using inductively
coupled plasma-mass spectrometry (ICP-MS). The mean grain Zn concentration of the
genotypes ranged from 24.9-34.8 mg kg-1, averaged across site and year. Genotype
and site effects were associated with 10% and 6% of the overall variation in
grain Zn concentration, respectively. Whilst G x E interaction effects were
evident across the panel, some genotypes had consistent rankings between sites
and years. Grain Zn concentration correlated positively with grain concentrations
of iron (Fe), sulphur (S), and eight other elements, but did not correlate
negatively with grain yield, i.e. no yield dilution was observed. Despite a
relatively small contribution of genotype to the overall variation in grain Zn
concentration, due to experiments being conducted across many contrasting sites
and two years, our data are consistent with reports that biofortifying wheat
through breeding is likely to be effective at scale given that some genotypes
performed consistently across diverse soil types. Notably, all soils in this
study were probably Zn deficient and interactions between wheat genotypes and
soil Zn availability/management (e.g. the use of Zn-containing fertilisers) need
to be better-understood to improve Zn supply in food systems.
PMID- 29381741
TI - Overexpression of MYB115, AAD2, or AAD3 in Arabidopsis thaliana seeds yields
contrasting omega-7 contents.
AB - Omega-7 monoenoic fatty acids (omega-7 FAs) are increasingly exploited both for
their positive effects on health and for their industrial potential. Some plant
species produce fruits or seeds with high amounts of omega-7 FAs. However, the
low yields and poor agronomic properties of these plants preclude their
commercial use. As an alternative, the metabolic engineering of oilseed crops for
sustainable omega-7 FA production has been proposed. Two palmitoyl-ACP
desaturases (PADs) catalyzing omega-7 FA biosynthesis were recently identified
and characterized in Arabidopsis thaliana, together with MYB115 and MYB118, two
transcription factors that positively control the expression of the corresponding
PAD genes. In the present research, we examine the biotechnological potential of
these new actors of omega-7 metabolism for the metabolic engineering of plant
based production of omega-7 FAs. We placed the PAD and MYB115 coding sequences
under the control of a promoter strongly induced in seeds and evaluated these
different constructs in A. thaliana. Seeds were obtained that exhibit omega-7 FA
contents ranging from 10 to >50% of the total FAs, and these major compositional
changes have no detrimental effect on seed germination.
PMID- 29381742
TI - Correction: Aerobic Exercise Training in Post-Polio Syndrome: Process Evaluation
of a Randomized Controlled Trial.
AB - [This corrects the article DOI: 10.1371/journal.pone.0159280.].
PMID- 29381743
TI - Correction: Adolescent Depression and Negative Life Events, the Mediating Role of
Cognitive Emotion Regulation.
AB - [This corrects the article DOI: 10.1371/journal.pone.0161062.].
PMID- 29381744
TI - Irisin, physical activity and fitness status in healthy humans: No association
under resting conditions in a cross-sectional study.
AB - Regular physical activity and physical fitness are closely related to a positive
health status in humans. In this context, the muscle becomes more important due
to its function as an endocrine organ. Muscle tissue secretes "myokines" in
response to physical activity and it is speculated that these myokines are
involved in physical activity induced positive health effects. Recently, the
newly discovered myokine Irisin thought to be secreted by the muscle in response
to physical activity and might be related to the health inducing effect by
inducing browning of white adipose tissue. Speculating that myokines at least
partly mediate exercise related health effects one would assume that regular
physical activity and physical fitness are associated with resting Irisin
concentrations in healthy humans. To investigate the association between resting
Irisin concentration and either short-term physical activity, habitual physical
activity, or physical fitness, data of 300 healthy participants from the cross
sectional KarMeN-study were analyzed. By applying different activity measurements
we determined short-term and habitual physical activity, as well as physical
fitness. Fasting serum samples were collected to determine resting Irisin
concentrations by Enzyme-linked Immunosorbent Assay. Multivariate linear
regression analysis served to investigate associations of the individual physical
activity parameters with Irisin concentrations. Therefore, lean body mass and
total fat mass (both determined by dual-energy X-ray absorptiometry) as well as
age and parameters of glucose metabolism were included as confounders in
multivariate linear regression analysis. Results showed that Irisin serum
concentrations were not related to measures of physical activity and physical
fitness in healthy humans under resting conditions, irrespective of the applied
methods. Therefore we assume that if physical activity related effects are partly
induced by myokines, permanently increased Irisin serum concentration may not be
necessary to induce health-related exercise effects.
PMID- 29381745
TI - Using community-based reporting of vital events to monitor child mortality:
Lessons from rural Ghana.
AB - BACKGROUND: Reducing neonatal and child mortality is a key component of the
health-related sustainable development goal (SDG), but most low and middle income
countries lack data to monitor child mortality on an annual basis. We tested a
mortality monitoring system based on the continuous recording of pregnancies,
births and deaths by trained community-based volunteers (CBV). METHODS AND
FINDINGS: This project was implemented in 96 clusters located in three districts
of the Northern Region of Ghana. Community-based volunteers (CBVs) were selected
from these clusters and were trained in recording all pregnancies, births, and
deaths among children under 5 in their catchment areas. Data collection lasted
from January 2012 through September 2013. All CBVs transmitted tallies of
recorded births and deaths to the Ghana Birth and deaths registry each month,
except in one of the study districts (approximately 80% reporting). Some events
were reported only several months after they had occurred. We assessed the
completeness and accuracy of CBV data by comparing them to retrospective full
pregnancy histories (FPH) collected during a census of the same clusters
conducted in October-December 2013. We conducted all analyses separately by
district, as well as for the combined sample of all districts. During the 21
month implementation period, the CBVs reported a total of 2,819 births and 137
under-five deaths. Among the latter, there were 84 infant deaths (55 neonatal
deaths and 29 post-neonatal deaths). Comparison of the CBV data with FPH data
suggested that CBVs significantly under-estimated child mortality: the estimated
under-5 mortality rate according to CBV data was only 2/3 of the rate estimated
from FPH data (95% Confidence Interval for the ratio of the two rates = 51.7 to
81.4). The discrepancies between the CBV and FPH estimates of infant and neonatal
mortality were more limited, but varied significantly across districts.
CONCLUSIONS: In northern Ghana, a community-based data collection systems relying
on volunteers did not yield accurate estimates of child mortality rates.
Additional implementation research is needed to improve the timeliness,
completeness and accuracy of such systems. Enhancing pregnancy monitoring, in
particular, may be an essential step to improve the measurement of neonatal
mortality.
PMID- 29381746
TI - Time course of immature platelet count and its relation to thrombocytopenia and
mortality in patients with sepsis.
AB - INTRODUCTION: The pathogenesis of thrombocytopenia in patients with sepsis is not
fully understood. The aims of this study were to investigate changes in
thrombopoietic activity over time by using absolute immature platelet counts
(AIPC) and to examine the impact of platelet production on thrombocytopenia and
mortality in patients with sepsis. METHODS: This retrospective observational
study included adult patients with sepsis admitted to the intensive care unit at
a university hospital. Two hundred five consecutive sepsis patients were
stratified into four groups according to nadir platelet count: severe (nadir
<=40*103/MUL), moderate (41-80*103/MUL), or mild thrombocytopenia (81
120*103/MUL), or normal-increased platelet count (>120*103/MUL). The development
of thrombocytopenia was assessed during the first week; mortality was assessed at
day 28. RESULT: Of the 205 patients included, 61 (29.8%) developed severe
thrombocytopenia. On admission, AIPC did not differ among the four groups. In
patients with severe thrombocytopenia, AIPC decreased significantly from days 2
to 7, but remained within or above the normal range in the other three groups
(overall group comparison, P<0.0001). Multivariate analysis including coagulation
biomarkers revealed that AIPC was independently associated with the development
of severe thrombocytopenia (day 3 AIPC, odds ratio 0.49 [95% confidence interval
(CI) 0.35-0.66], P<0.0001; day 5 AIPC, 0.59 [95% CI 0.45-0.75], P<0.0001). AIPC
was a significant predictor of 28-day mortality in Cox hazard models adjusted for
Acute Physiology and Chronic Health Evaluation II and Sequential Organ Failure
Assessment scores (day 3 AIPC, hazard ratio 0.70 [95% CI 0.52-0.89], P = 0.0029;
day 5 AIPC, 0.68 [95% CI 0.49-0.87], P = 0.0012). CONCLUSIONS: Thrombopoietic
activity was generally maintained in the acute phase of sepsis. However, a
decrease in AIPC after admission was independently associated with the
development of severe thrombocytopenia and mortality, suggesting the importance
of suppressed thrombopoiesis in the pathophysiology of sepsis-induced
thrombocytopenia.
PMID- 29381747
TI - Extrinsic grouping factors in motion-induced blindness.
AB - We investigated how various grouping factors altered subjective disappearances of
the individual targets in the motion-induced blindness display. The latter relies
on a moving mask to render highly salient static targets temporarily subjectively
invisible. Specifically, we employed two extrinsic grouping factors, the
connectedness and the common region, and examined whether their presence would
make targets more resilient against the suppression. In addition, we investigated
whether the presence of an illusory Kanizsa triangle would affect the suppression
of the inducing Pac-Man elements. We quantified the perceptual dynamics using the
proportion of the disappearance time (this indicates whether targets became more
resilient against the suppression), and the proportion of simultaneous
disappearance and reappearance events (characterizes the tendency for the targets
to disappear or reappear as a group). We report that a single mask that
encompassed all targets (a common region grouping) significantly increased the
proportion of simultaneous disappearance and reappearance events, but had no
effect on the proportion of the disappearance time. In contrast, a line that
connected two targets significantly decreased the total invisibility time, but
had no impact on the simultaneity of the disappearance and reappearance events.
We found no statistically significant effect of the presence of the illusory
Kanizsa triangle on either measure. Finally, we found no interaction either
between the common region and the connectedness or between the common region and
the presence of the illusory Kanizsa triangle. Our results indicate that
extrinsic grouping factors might influence the perception differently than the
intrinsic ones and highlight the importance of using several measures to
characterize the perceptual dynamics, as various grouping factors might affect it
differentially.
PMID- 29381749
TI - Correction: T cell activation and differentiation is modulated by a CD6 domain 1
antibody Itolizumab.
AB - [This corrects the article DOI: 10.1371/journal.pone.0180088.].
PMID- 29381748
TI - Common neural structures activated by epidural and transcutaneous lumbar spinal
cord stimulation: Elicitation of posterior root-muscle reflexes.
AB - Epidural electrical stimulation of the lumbar spinal cord is currently regaining
momentum as a neuromodulation intervention in spinal cord injury (SCI) to modify
dysregulated sensorimotor functions and augment residual motor capacity. There is
ample evidence that it engages spinal circuits through the electrical stimulation
of large-to-medium diameter afferent fibers within lumbar and upper sacral
posterior roots. Recent pilot studies suggested that the surface electrode-based
method of transcutaneous spinal cord stimulation (SCS) may produce similar
neuromodulatory effects as caused by epidural SCS. Neurophysiological and
computer modeling studies proposed that this noninvasive technique stimulates
posterior-root fibers as well, likely activating similar input structures to the
spinal cord as epidural stimulation. Here, we add a yet missing piece of evidence
substantiating this assumption. We conducted in-depth analyses and direct
comparisons of the electromyographic (EMG) characteristics of short-latency
responses in multiple leg muscles to both stimulation techniques derived from ten
individuals with SCI each. Post-activation depression of responses evoked by
paired pulses applied either epidurally or transcutaneously confirmed the reflex
nature of the responses. The muscle responses to both techniques had the same
latencies, EMG peak-to-peak amplitudes, and waveforms, except for smaller
responses with shorter onset latencies in the triceps surae muscle group and
shorter offsets of the responses in the biceps femoris muscle during epidural
stimulation. Responses obtained in three subjects tested with both methods at
different time points had near-identical waveforms per muscle group as well as
same onset latencies. The present results strongly corroborate the activation of
common neural input structures to the lumbar spinal cord-predominantly primary
afferent fibers within multiple posterior roots-by both techniques and add to
unraveling the basic mechanisms underlying electrical SCS.
PMID- 29381750
TI - The advertisement calls of Brazilian anurans: Historical review, current
knowledge and future directions.
AB - Advertisement calls are often used as essential basic information in studies of
animal behaviour, ecology, evolution, conservation, taxonomy or biodiversity
inventories. Yet the description of this type of acoustic signals is far to be
completed, especially in tropical regions, and is frequently non-standardized or
limited in information, restricting the application of bioacoustics in science.
Here we conducted a scientometric review of the described adverstisement calls of
anuran species of Brazil, the world richest territory in anurans, to evaluate the
amount, standard and trends of the knowledge on this key life-history trait and
to identify gaps and directions for future research strategies. Based on our
review, 607 studies have been published between 1960 to 2016 describing the calls
of 719 Brazilian anuran species (68.8% of all species), a publication rate of
10.6 descriptions per year. From each of these studies, thirty-one variables were
recorded and examined with descriptive and inferential statistics. In spite of an
exponential rise over the last six decades in the number of studies, described
calls, and quantity of published metadata, as revealed by regression models,
clear shortfalls were identified with regard to anuran families, biomes, and
categories of threat. More than 55% of these species belong to the two richest
families, Hylidae or Leptodactylidae. The lowest percentage of species with
described calls corresponds to the most diverse biomes, namely Atlantic Forest
(65.1%) and Amazon (71.5%), and to the IUCN categories of threat (56.8%),
relative to the less-than-threatened categories (74.3%). Moreover, only 52.3% of
the species have some of its calls deposited in the main scientific sound
collections. Our findings evidence remarkable knowledge gaps on advertisement
calls of Brazilian anuran species, emphasizing the need of further efforts in
standardizing and increasing the description of anuran calls for their
application in studies of the behaviour, ecology, biogeography or taxonomy of the
species.
PMID- 29381752
TI - Correction: Assessing development assistance for child survival between 2000 and
2014: A multi-sectoral perspective.
AB - [This corrects the article DOI: 10.1371/journal.pone.0178887.].
PMID- 29381751
TI - Molecular mechanism of inhibitory effects of bovine lactoferrin on the growth of
oral squamous cell carcinoma.
AB - BACKGROUND: Lactoferrin (LF), a member of the transferrin family, recently has
been demonstrated to have anticancer effects on various cancers including oral
squamous cell carcinoma (OSCC). However, little is known about the underlying
mechanisms of its effects on OSCC. Therefore, we aimed to investigate the
mechanism of the suppressive effects of bovine LF (bLF) on the growth of OSCC
cells. METHODS: In the current study, HSC2, HSC3, HSC4 and normal human oral
keratinocytes (RT7) cell lines were tested with bLF 1, 10, and 100 MUg/ml. The
effects and detail mechanisms of bLF on proliferation and apoptosis of cells were
investigated using flow cytometry and western blotting. RESULTS: We found that
bLF (1, 10, and 100 MUg/ml) induced activation of p53, a tumor suppressor gene,
is associated with the induction of cell cycle arrest in G1/S phase and apoptosis
in OSCC. Moreover, bLF downregulated the phosphorylation of Akt and activated
suppressor of cytokine signaling 3 (SOCS3), thereby attenuating multiple
signaling pathways including mTOR/S6K and JAK/STAT3. Interestingly, we revealed
that bLF exerted its effect selectively against HSC3 but not on RT7 via different
effects on the phosphorylation status of NF-kappaB and Akt. CONCLUSION: This is
the first report showing that bLF selectively suppresses proliferation through
mTOR/S6K and JAK/STAT3 pathways and induction of apoptosis in OSCC. This study
provides important new findings, which might be useful in the prevention and
treatment of OSCC.
PMID- 29381753
TI - Influence of seasonality and gestation on habitat selection by northern Mexican
gartersnakes (Thamnophis eques megalops).
AB - Species conservation requires a thorough understanding of habitat requirements.
The northern Mexican gartersnake (Thamnophis eques megalops) was listed as
threatened under the U.S. Endangered Species Act in 2014. Natural resource
managers are interested in understanding the ecology of this subspecies to guide
management decisions and to determine what features are necessary for habitat
creation and restoration. Our objective was to identify habitat selection of
northern Mexican gartersnakes in a highly managed, constructed wetland hatchery.
We deployed transmitters on 42 individual gartersnakes and documented use of
habitat types and selection of specific habitat features. Habitat selection was
similar between males and females and varied seasonally. During the active season
(March-October), gartersnakes primarily selected wetland edge habitat with
abundant cover. Gestating females selected similar locations but with less dense
cover. During the inactive season (November-February), gartersnakes selected
upland habitats, including rocky slopes with abundant vegetation. These results
of this study can help inform management of the subspecies, particularly in human
influenced habitats. Conservation of this subspecies should incorporate a
landscape-level approach that includes abundant wetland edge habitat with a
mosaic of dense cover for protection and sparsely vegetated areas for basking
connected to terrestrial uplands for overwintering.
PMID- 29381754
TI - Screening for nonalcoholic steatohepatitis by using cytokeratin 18 and transient
elastography in HIV mono-infection.
AB - BACKGROUND AND AIM: HIV-infected individuals are at high risk of developing
nonalcoholic steatohepatitis (NASH), a leading cause of end-stage liver disease
in Western countries. Nonetheless, due to the invasiveness of liver biopsy, NASH
remains poorly understood in HIV mono-infection. We aimed to characterize the
prevalence and predictors of NASH in unselected HIV mono-infected patients by
means of non-invasive diagnostic tools. METHODS: HIV-infected adults without
significant alcohol intake or co-infection with hepatitis B or C underwent a
routine screening program employing transient elastography (TE) with controlled
attenuation parameter (CAP) and the serum biomarker cytokeratin-18 (CK-18). NASH
was diagnosed non-invasively as the coexistence of fatty liver (CAP >=248 dB/m)
and CK-18 >246 U/L. Identified cases of NASH were offered a diagnostic liver
biopsy. Predictors of NASH were determined by multivariate logistic regression
analysis. RESULTS: 202 consecutive HIV mono-infected patients were included. NASH
was non-invasively diagnosed in 23 cases (11.4%). Among them, 17 underwent a
liver biopsy, and histology confirmed NASH in all cases. The prevalence of NASH
was higher in patients with hypertriglyceridemia (17.1%), insulin resistance
defined by homeostasis model for assessment of insulin resistance (HOMA-IR)
(25%), those with detectable HIV viral load (42.9%) and those with elevated ALT
(53.6%). After adjustment, higher HOMA-IR (adjusted odds ratio [aOR] = 1.20, 95%
CI 1.01-1.43; p = 0.03) and ALT (aOR = 2.39, 95% CI 1.50-3.79; p<0.001) were
independent predictors of NASH. CONCLUSIONS: NASH, diagnosed by a non-invasive
diagnostic approach employing CK-18 and TE with CAP, is common in unselected HIV
mono-infected individuals, particularly in the presence of insulin resistance and
elevated ALT.
PMID- 29381755
TI - Geographical patterns in climate and agricultural technology drive soybean
productivity in Brazil.
AB - The impacts of global climate change have been a worldwide concern for several
research areas, including those dealing with resources essential to human well
being, such as agriculture, which directly impact economic activities and food
security. Here we evaluate the relative effect of climate (as indicated by the
Ecological Niche Model-ENM) and agricultural technology on actual soybean
productivity in Brazilian municipalities and estimate the future geographic
distribution of soybeans using a novel statistical approach allowing the
evaluation of partial coefficients in a non-stationary (Geographically Weighted
Regression; GWR) model. We found that technology was more important than climate
in explaining soybean productivity in Brazil. However, some municipalities are
more dependent on environmental suitability (mainly in Southern Brazil). The
future environmental suitability for soybean cultivation tends to decrease by up
50% in the central region of Brazil. Meanwhile, southern-most Brazil will have
more favourable conditions, with an increase of ca. 25% in environmental
suitability. Considering that opening new areas for cultivation can degrade
environmental quality, we suggest that, in the face of climate change impacts on
soybean cultivation, the Brazilian government and producers must invest in
breeding programmes and more general ecosystem-based strategies for adaptation to
climate change, including the development of varieties tolerant to climate
stress, and strategies to increase productivity and reduce costs (social and
environmental).
PMID- 29381756
TI - Longitudinal changes in clinical characteristics and outcomes for children using
long-term non-invasive ventilation.
AB - OBJECTIVES: To describe longitudinal trends in long-term non-invasive ventilation
(NIV) use in children including changes in clinical characteristics, NIV
technology, and outcomes. METHODS: This was a multicenter retrospective cohort of
all children started on long-term NIV from 2005 to 2014. All children 0 to 18
years who used NIV continuously for at least 3 months were included. Measures and
main outcomes were: 1) Number of children starting NIV; 2) primary medical
condition; 3) medical complexity defined by number of comorbidities, surgeries
and additional technologies; 4) severity of sleep disordered breathing measured
by diagnostic polysomnography; 5) NIV technology and use; 6) reasons for NIV
discontinuation including mortality. Data were divided into equal time periods
for analysis. RESULTS: A total of 622 children were included in the study. Median
age at NIV initiation was 7.8 years (range 0-18 years). NIV incidence and
prevalence increased five and three-fold over the 10-year period. More children
with neurological and cardio-respiratory conditions started NIV over time, from
13% (95%CI, 8%-20%) and 6% (95%CI, 3%-10%) respectively in 2005-2008 to 23%
(95%CI, 18%-28%) and 9% (95%CI, 6%-14%, p = 0.008) in 2011-2014. Medical
complexity and severity of the sleep-disordered breathing did not change over
time. Overall, survival was 95%; mortality rates, however, rose from 3.4 cases
(95% CI, 0.5-24.3) to 142.1 (95% CI 80.7-250.3, p<0.001) per 1000 children-years
between 2005-2008 and 2011-2014. Mortality rates differed by diagnostic category,
with higher rates in children with neurological and cardio-respiratory
conditions. CONCLUSIONS: As demonstrated in other centers, there was a
significant increase in NIV prevalence and incidence rate. There was no increase
in medical complexity or severity of the breathing abnormalities of children
receiving long-term NIV over time. The mortality rate increased over time, maybe
attributable to increased use of NIV for children with neurological and cardio
respiratory conditions.
PMID- 29381757
TI - The effect of ad hominem attacks on the evaluation of claims promoted by
scientists.
AB - Two experiments were conducted to determine the relative impact of direct and
indirect (ad hominem) attacks on science claims. Four hundred and thirty-nine
college students (Experiment 1) and 199 adults (Experiment 2) read a series of
science claims and indicated their attitudes towards those claims. Each claim was
paired with one of the following: A) a direct attack upon the empirical basis of
the science claim B) an ad hominem attack on the scientist who made the claim or
C) both. Results indicate that ad hominem attacks may have the same degree of
impact as attacks on the empirical basis of the science claims, and that
allegations of conflict of interest may be just as influential as allegations of
outright fraud.
PMID- 29381759
TI - Faecal glucocorticoid metabolites and body temperature in Australian merino ewes
(Ovis aries) during summer artificial insemination (AI) program.
AB - Reproductive wastage is a key issue for sheep producers, both regionally and
globally. The reproductive output of farm animals can be influenced by
physiological and environmental factors. Rapid and reliable quantification of
physiological stress can provide a useful tool for designing and testing on-farm
management interventions to improve farm animal welfare and productivity. In this
study, we quantified physiological stress non-invasively using faecal
glucocorticoid metabolites-FGMs analysis and body temperature measurements of 15
superovulated donor merino ewes (Ovis aries) during participation in artificial
insemination (AI) program conducted during 2015/2016 Australian summer. We
hypothesized that low percentage transferable embryos in donor merino ewes will
be associated positively with higher body temperature and/or higher FGMs in these
ewes. Temperature humidity index (THI) was calculated and found within high
thermal stress range during the two AI trials. Overall, results showed none of
the factors (ewe ID, AI trial no., THI or FGMs) were significant for reduced
percentage transferrable embryos, except ewe body temperature was highly
significant (p = 0.014). Within AI trial comparisons showed significant positive
associations between higher FGMs and body temperature with reduced transferrable
embryos. These results suggest that Australian merino ewes participating in
summer AI trials can experience physiological stress. Prolonged activation of the
stress endocrine response and high body temperature (e.g. ensued from heat
stress) could impact on ewe reproductive output. Therefore, future research
should apply minimally invasive physiological tools to gather baseline
information on physiological stress in merino sheep to enable the development of
new farm-friendly methods of managing stress.
PMID- 29381758
TI - Insight into higher-level phylogeny of Neuropterida: Evidence from secondary
structures of mitochondrial rRNA genes and mitogenomic data.
AB - It is well known that the rRNA structure information is important to assist
phylogenetic analysis through identifying homologous positions to improve
alignment accuracy. In addition, the secondary structure of some conserved motifs
is highly stable among distantly related taxa, which can provide potentially
informative characters for estimating phylogeny. In this paper, we applied the
high-throughput pooled sequencing approach to the determination of neuropteran
mitogenomes. Four complete mitogenome sequences were obtained: Micromus angulatus
(Hemerobiidae), Chrysoperla nipponensis (Chrysopidae), Rapisma sp. (Ithonidae),
and Thaumatosmylus sp. (Osmylidae). This allowed us to sample more complete
mitochondrial RNA gene sequences. Secondary structure diagrams for the complete
mitochondrial small and large ribosomal subunit RNA genes of eleven neuropterid
species were predicted. Comparative analysis of the secondary structures
indicated a closer relationship of Megaloptera and Neuroptera. This result was
congruent with the resulting phylogeny inferred from sequence alignments of all
37 mitochondrial genes, namely the hypothesis of (Raphidioptera + (Megaloptera +
Neuroptera)).
PMID- 29381760
TI - Can biosecurity and local network properties predict pathogen species richness in
the salmonid industry?
AB - Salmonid farming in Ireland is mostly organic, which implies limited disease
treatment options. This highlights the importance of biosecurity for preventing
the introduction and spread of infectious agents. Similarly, the effect of local
network properties on infection spread processes has rarely been evaluated. In
this paper, we characterized the biosecurity of salmonid farms in Ireland using a
survey, and then developed a score for benchmarking the disease risk of salmonid
farms. The usefulness and validity of this score, together with farm indegree
(dichotomized as <= 1 or > 1), were assessed through generalized Poisson
regression models, in which the modeled outcome was pathogen richness, defined
here as the number of different diseases affecting a farm during a year. Seawater
salmon (SW salmon) farms had the highest biosecurity scores with a median
(interquartile range) of 82.3 (5.4), followed by freshwater salmon (FW salmon)
with 75.2 (8.2), and freshwater trout (FW trout) farms with 74.8 (4.5). For FW
salmon and trout farms, the top ranked model (in terms of leave-one-out
information criteria, looic) was the null model (looic = 46.1). For SW salmon
farms, the best ranking model was the full model with both predictors and their
interaction (looic = 33.3). Farms with a higher biosecurity score were associated
with lower pathogen richness, and farms with indegree > 1 (i.e. more than one
fish supplier) were associated with increased pathogen richness. The effect of
the interaction between these variables was also important, showing an
antagonistic effect. This would indicate that biosecurity effectiveness is
achieved through a broader perspective on the subject, which includes a
minimization in the number of suppliers and hence in the possibilities for
infection to enter a farm. The work presented here could be used to elaborate
indicators of a farm's disease risk based on its biosecurity score and indegree,
to inform risk-based disease surveillance and control strategies for private and
public stakeholders.
PMID- 29381761
TI - Using arborescences to estimate hierarchicalness in directed complex networks.
AB - Complex networks are a useful tool for the understanding of complex systems. One
of the emerging properties of such systems is their tendency to form hierarchies:
networks can be organized in levels, with nodes in each level exerting control on
the ones beneath them. In this paper, we focus on the problem of estimating how
hierarchical a directed network is. We propose a structural argument: a network
has a strong top-down organization if we need to delete only few edges to reduce
it to a perfect hierarchy-an arborescence. In an arborescence, all edges point
away from the root and there are no horizontal connections, both characteristics
we desire in our idealization of what a perfect hierarchy requires. We test our
arborescence score in synthetic and real-world directed networks against the
current state of the art in hierarchy detection: agony, flow hierarchy and global
reaching centrality. These tests highlight that our arborescence score is
intuitive and we can visualize it; it is able to better distinguish between
networks with and without a hierarchical structure; it agrees the most with the
literature about the hierarchy of well-studied complex systems; and it is not
just a score, but it provides an overall scheme of the underlying hierarchy of
any directed complex network.
PMID- 29381762
TI - A user-friendly tool to evaluate the effectiveness of no-take marine reserves.
AB - Marine reserves are implemented to achieve a variety of objectives, but are
seldom rigorously evaluated to determine whether those objectives are met. In the
rare cases when evaluations do take place, they typically focus on ecological
indicators and ignore other relevant objectives such as socioeconomics and
governance. And regardless of the objectives, the diversity of locations,
monitoring protocols, and analysis approaches hinder the ability to compare
results across case studies. Moreover, analysis and evaluation of reserves is
generally conducted by outside researchers, not the reserve managers or users,
plausibly thereby hindering effective local management and rapid response to
change. We present a framework and tool, called "MAREA", to overcome these
challenges. Its purpose is to evaluate the extent to which any given reserve has
achieved its stated objectives. MAREA provides specific guidance on data
collection and formatting, and then conducts rigorous causal inference analysis
based on data input by the user, providing real-time outputs about the
effectiveness of the reserve. MAREA's ease of use, standardization of state-of
the-art inference methods, and ability to analyze marine reserve effectiveness
across ecological, socioeconomic, and governance objectives could dramatically
further our understanding and support of effective marine reserve management.
PMID- 29381764
TI - Potential disruption of seed dispersal in the absence of a native Kauai thrush.
AB - Hawaii has experienced a catastrophic decline in frugivorous native birds coupled
with the introduction of non-native species. Puaiohi (Myadestes palmeri), a
critically endangered thrush, is the sole extant native songbird capable of
dispersing fleshy fruited plants in the rainforest of Kauai island, Hawaii. As
this species has declined to occupy a small proportion of its original range, a
suite of largely omnivorous non-native birds have been introduced to this region,
including the common and widespread Japanese White-eye (Zosterops japonicus).
This reshuffling of the bird community could have long-term implications for
plant community composition if introduced birds incompletely replace the
ecological role of native species. The objective of this study was to evaluate
the potential consequences of the local extirpation of Puaiohi for seed
dispersal. Specifically, we compared the diet of Puaiohi and Japanese White-eye,
vegetation characteristics, and seed rain at sites with and without Puaiohi in
the Na Pali-Kona Forest Reserve on the island of Kauai. We found high overlap in
the composition of seeds consumed by the two bird species, but differences in the
characteristics of seeds consumed; Japanese White-eye appeared more likely to
consume smaller seeded species compared with Puaiohi. Sites with Puaiohi received
substantially higher seed rain during the study period, despite no significant
differences in overall fruit abundance. Our results suggest that non-native birds
are unlikely to completely replace the seed dispersal services provided by
Puaiohi. If Puaohi continue to be rare and range restricted, we predict a shift
in plant community composition through an increase in non-native and small-seeded
plants, and possible dispersal failure of other native species. Our findings lend
further support to efforts to conserve Puaiohi across its current and former
range, and to consider introductions to other suitable areas to ensure the
persistence not only of the species and but also its functional role in Hawaii's
montane ecosystems.
PMID- 29381763
TI - A new mechanism of interferon's antiviral action: Induction of autophagy,
essential for paramyxovirus replication, is inhibited by the interferon
stimulated gene, TDRD7.
AB - The interferon (IFN) system represents the first line of defense against a wide
range of viruses. Virus infection rapidly triggers the transcriptional induction
of IFN-beta and IFN Stimulated Genes (ISGs), whose protein products act as viral
restriction factors by interfering with specific stages of virus life cycle, such
as entry, transcription, translation, genome replication, assembly and egress.
Here, we report a new mode of action of an ISG, IFN-induced TDRD7 (tudor domain
containing 7) inhibited paramyxovirus replication by inhibiting autophagy. TDRD7
was identified as an antiviral gene by a high throughput screen of an ISG shRNA
library for blocking IFN's protective effect against Sendai virus (SeV)
replication. The antiviral activity of TDRD7 against SeV, human parainfluenza
virus 3 and respiratory syncytial virus was confirmed by its genetic ablation or
ectopic expression in several types of mouse and human cells. TDRD7's antiviral
action was mediated by its ability to inhibit autophagy, a cellular catabolic
process which was robustly induced by SeV infection and required for its
replication. Mechanistic investigation revealed that TDRD7 interfered with the
activation of AMP-dependent kinase (AMPK), an enzyme required for initiating
autophagy. AMPK activity was required for efficient replication of several
paramyxoviruses, as demonstrated by its genetic ablation or inhibition of its
activity by TDRD7 or chemical inhibitors. Therefore, our study has identified a
new antiviral ISG with a new mode of action.
PMID- 29381766
TI - Analysis of motility in multicellular Chlamydomonas reinhardtii evolved under
predation.
AB - The advent of multicellularity was a watershed event in the history of life, yet
the transition from unicellularity to multicellularity is not well understood.
Multicellularity opens up opportunities for innovations in intercellular
communication, cooperation, and specialization, which can provide selective
advantages under certain ecological conditions. The unicellular alga
Chlamydomonas reinhardtii has never had a multicellular ancestor yet it is
closely related to the volvocine algae, a clade containing taxa that range from
simple unicells to large, specialized multicellular colonies. Simple
multicellular structures have been observed to evolve in C. reinhardtii in
response to predation or to settling rate-based selection. Structures formed in
response to predation consist of individual cells confined within a shared
transparent extracellular matrix. Evolved isolates form such structures
obligately under culture conditions in which their wild type ancestors do not,
indicating that newly-evolved multicellularity is heritable. C. reinhardtii is
capable of photosynthesis, and possesses an eyespot and two flagella with which
it moves towards or away from light in order to optimize input of radiant energy.
Motility contributes to C. reinhardtii fitness because it allows cells or
colonies to achieve this optimum. Utilizing phototaxis to assay motility, we
determined that newly evolved multicellular strains do not exhibit significant
directional movement, even though the flagellae of their constituent unicells are
present and active. In C. reinhardtii the first steps towards multicellularity in
response to predation appear to result in a trade-off between motility and
differential survivorship, a trade-off that must be overcome by further genetic
change to ensure long-term success of the new multicellular organism.
PMID- 29381767
TI - Correction: The promoter region of lapA and its transcriptional regulation by Fis
in Pseudomonas putida.
AB - [This corrects the article DOI: 10.1371/journal.pone.0185482.].
PMID- 29381765
TI - Differential miRNA expression in B cells is associated with inter-individual
differences in humoral immune response to measles vaccination.
AB - BACKGROUND: MicroRNAs are important mediators of post-transcriptional regulation
of gene expression through RNA degradation and translational repression, and are
emerging biomarkers of immune system activation/response after vaccination.
METHODS: We performed Next Generation Sequencing (mRNA-Seq) of intracellular
miRNAs in measles virus-stimulated B and CD4+ T cells from high and low antibody
responders to measles vaccine. Negative binomial generalized estimating equation
(GEE) models were used for miRNA assessment and the DIANA tool was used for
gene/target prediction and pathway enrichment analysis. RESULTS: We identified a
set of B cell-specific miRNAs (e.g., miR-151a-5p, miR-223, miR-29, miR-15a-5p,
miR-199a-3p, miR-103a, and miR-15a/16 cluster) and biological processes/pathways,
including regulation of adherens junction proteins, Fc-receptor signaling
pathway, phosphatidylinositol-mediated signaling pathway, growth factor signaling
pathway/pathways, transcriptional regulation, apoptosis and virus-related
processes, significantly associated with neutralizing antibody titers after
measles vaccination. No CD4+ T cell-specific miRNA expression differences between
high and low antibody responders were found. CONCLUSION: Our study demonstrates
that miRNA expression directly or indirectly influences humoral immunity to
measles vaccination and suggests that B cell-specific miRNAs may serve as useful
predictive biomarkers of vaccine humoral immune response.
PMID- 29381768
TI - Soil attributes drive nest-site selection by the campo miner Geositta
poeciloptera.
AB - Substrate type is a key-factor in nest-site selection and nest architecture of
burrowing birds. However, little is known about which factors drive nest-site
selection for these species, especially in the tropics. We studied the influence
of soil attributes on nest-site selection by the campo miner Geositta
poeciloptera, an open grassland bird that builds its nests within soil cavities.
For all nests found, we measured the depth of the nest cavity and the resistance
of the soil to penetration, and identified the soil horizon in which the nest was
located. In soil banks with nests, we collected soil samples for granulometric
analysis around each nest cavity, while in soil banks without nests we collected
these samples at random points. From 43 nests found, 86% were located in the
deeper soil horizons (C-horizon), and only 14% in the shallower horizons (B
horizon). Granulometric analysis showed that the C-horizons possessed a high
similar granulometric composition, with high silt and low clay contents. These
characteristics are associated with a low degree of structural development of the
soil, which makes it easier to excavate. Contrarily, soil resistance to
penetration does not seem to be an important criterion for nest site selection,
although nests in more resistant the soils tend to have shallower nest cavities.
Among the soil banks analyzed, 40% of those without cavities possessed a larger
proportion of B-horizon relative to the C-horizon, and their texture was more
clayey. On the other hand, almost all soil banks containing nest cavities had a
larger C-horizon and a silty texture, indicating that soil attributes drive nest
site selection by G. poeciloptera. Thus, we conclude that the patchy distribution
of G. poeciloptera can attributed to the infrequent natural exposure of the C
horizon in the tropical region, where well developed, deep and permeable soils
are more common.
PMID- 29381769
TI - Correction: DNA extraction replicates improve diversity and compositional
dissimilarity in metabarcoding of eukaryotes in marine sediments.
AB - [This corrects the article DOI: 10.1371/journal.pone.0179443.].
PMID- 29381771
TI - Correction: What is in your cup of tea? DNA Verity Test to characterize black and
green commercial teas.
AB - [This corrects the article DOI: 10.1371/journal.pone.0178262.].
PMID- 29381770
TI - The conserved basic residues and the charged amino acid residues at the alpha
helix of the zinc finger motif regulate the nuclear transport activity of triple
C2H2 zinc finger proteins.
AB - Zinc finger (ZF) motifs on proteins are frequently recognized as a structure for
DNA binding. Accumulated reports indicate that ZF motifs contain nuclear
localization signal (NLS) to facilitate the transport of ZF proteins into
nucleus. We investigated the critical factors that facilitate the nuclear
transport of triple C2H2 ZF proteins. Three conserved basic residues (hot spots)
were identified among the ZF sequences of triple C2H2 ZF proteins that reportedly
have NLS function. Additional basic residues can be found on the alpha-helix of
the ZFs. Using the ZF domain (ZFD) of Egr-1 as a template, various mutants were
constructed and expressed in cells. The nuclear transport activity of various
mutants was estimated by analyzing the proportion of protein localized in the
nucleus. Mutation at any hot spot of the Egr-1 ZFs reduced the nuclear transport
activity. Changes of the basic residues at the alpha-helical region of the second
ZF (ZF2) of the Egr-1 ZFD abolished the NLS activity. However, this activity can
be restored by substituting the acidic residues at the homologous positions of
ZF1 or ZF3 with basic residues. The restored activity dropped again when the hot
spots at ZF1 or the basic residues in the alpha-helix of ZF3 were mutated. The
variations in nuclear transport activity are linked directly to the binding
activity of the ZF proteins with importins. This study was extended to other
triple C2H2 ZF proteins. SP1 and KLF families, similar to Egr-1, have charged
amino acid residues at the second (alpha2) and the third (alpha3) positions of
the alpha-helix. Replacing the amino acids at alpha2 and alpha3 with acidic
residues reduced the NLS activity of the SP1 and KLF6 ZFD. The reduced activity
can be restored by substituting the alpha3 with histidine at any SP1 and KLF6
ZFD. The results show again the interchangeable role of ZFs and charge residues
in the alpha-helix in regulating the NLS activity of triple C2H2 ZF proteins.
PMID- 29381772
TI - Comparison of outcomes in hematological malignancies treated with haploidentical
or HLA-identical sibling hematopoietic stem cell transplantation following
myeloablative conditioning: A meta-analysis.
AB - PURPOSE: Haploidentical and human leukocyte antigen (HLA)-identical sibling
hematopoietic stem transplantation are two main ways used in allogeneic
hematopoietic stem cell transplantation (allo-HSCT). In recent years, remarkable
progress has been made in haploidentical allo-HSCT (HID-SCT), and some
institutions found HID-SCT had similar outcomes as HLA-identical sibling allo
HSCT (ISD-SCT). To clarify if HID-SCT has equal effects to ISD-SCT in hematologic
malignancies, we performed this meta-analysis. METHODS: Relevant articles
published prior to February 2017 were searched on PubMed. Two reviewers assessed
the quality of the included studies and extracted data independently. Odds ratio
(OR) and 95% confidence intervals (CIs) were calculated for statistical analysis.
RESULTS: Seven studies including 1919 patients were included. The rate of
platelet engraftment is significantly lower after HID-SCT versus ISD-SCT while
there is no difference in neutrophil engraftment (OR = 2.58, 95% CI = 1.70-3.93,
P < 0.00001). The risk of acute graft-versus-host disease (GVHD) is significantly
higher after HID-SCT versus ISD-SCT (OR = 1.88, 95% CI = 1.42-2.49, P < 0.00001),
but the relapse rate is lower in HID-SCT group (OR = 0.70, 95% CI = 0.55-0.90, P
= 0.005). The incidence rates of overall survival (OS) and disease-free
survival/leukemia-free survival/relapse-free survival (DFS/LFS/RFS) after ISD-SCT
are all significantly superior to HID-SCT (OR = 1.32, 95% CI = 1.08-1.62, P =
0.006; OR = 1.25, 95% CI = 1.03-1.52, P = 0.02). There is no significant
difference in transplantation related mortality (TRM) rate after HID-SCT and ISD
SCT. CONCLUSION: After myeloablative conditioning, patients receiving ISD-SCT
have a faster engraftment, lower acute GVHD and longer life expectancy compared
to HID-SCT with GVHD prophylaxis (cyclosporine A, methotrexate, mycophenolate
mofetil and antithymoglobulin; CsA + MTX + MMF + ATG). Currently, HID-SCT with
GVHD prophylaxis (CsA + MTX + MMF + ATG) may not replace ISD-SCT when HLA
identical sibling donor available.
PMID- 29381774
TI - Correction: Cognitive function in multiple sclerosis improves with
telerehabilitation: Results from a randomized controlled trial.
AB - [This corrects the article DOI: 10.1371/journal.pone.0177177.].
PMID- 29381773
TI - Association of circulating CTRP9 with soluble adhesion molecules and inflammatory
markers in patients with type 2 diabetes mellitus and coronary artery disease.
AB - C1q/TNF-related protein 9 (CTRP9) is a paralogue of adiponectin with known
favorable effects on lipid and glucose metabolism. A potential role of CTRP9 for
regulation of endothelium function has been suggested by previous studies.
However, no studies have examined the relation between serum CTRP9 levels and
adhesion molecules in patients with type 2 diabetes mellitus (T2DM) and coronary
artery disease (CAD). The present study was conducted on 337 subjects who
underwent coronary angiography and were categorized into four groups according to
the presence of CAD and T2DM (control, CAD, T2DM and CAD+T2DM). Serum levels of
CTRP9, adiponectin, sICAM-1, sVCAM-1, sE-Selectin, IL-6 and TNF-alpha were
measured. It was found that the circulating CTRP9 levels were independently
associated with increased risk of CAD and T2DM in addition to elevated levels of
serum CTRP9 in CAD, T2DM and CAD+T2DM groups. A significant association of serum
CTRP9 levels with adhesion molecules in CAD and T2DM patients as well as serum
TNF-alpha levels in CAD individuals was noted. A significant relation between the
circulating levels of CTRP9 and HOMA-IR in T2DM subjects was also observed. The
results revealed increased circulating levels of CTRP9 in T2DM and CAD
individuals which suggests a compensatory response to insulin resistance,
inflammatory milieu and endothelial dysfunction; however, more studies are needed
to confirm this.
PMID- 29381775
TI - Molecular elucidation of a new allelic variation at the Sg-5 gene associated with
the absence of group A saponins in wild soybean.
AB - In soybean, triterpenoid saponin is one of the major secondary metabolites and is
further classified into group A and DDMP saponins. Although they have known
health benefits for humans and animals, acetylation of group A saponins causes
bitterness and gives an astringent taste to soy products. Therefore, several
studies are being conducted to eliminate acetylated group A saponins. Previous
studies have isolated and characterized the Sg-5 (Glyma.15g243300) gene, which
encodes the cytochrome P450 72A69 enzyme and is responsible for soyasapogenol A
biosynthesis. In this study, we elucidated the molecular identity of a novel
mutant of Glycine soja, 'CWS5095'. Phenotypic analysis using TLC and LC-PDA/MS/MS
showed that the mutant 'CWS5095' did not produce any group A saponins.
Segregation analysis showed that the absence of group A saponins is controlled by
a single recessive allele. The locus was mapped on chromosome 15 (4.3 Mb) between
Affx-89193969 and Affx-89134397 where the previously identified Glyma.15g243300
gene is positioned. Sequence analysis of the coding region for the
Glyma.15g243300 gene revealed the presence of four SNPs in 'CWS5095' compared to
the control lines. One of these four SNPs (G1127A) leads to the amino acid change
Arg376Lys in the EXXR motif, which is invariably conserved among the CYP450
superfamily proteins. Co-segregation analysis showed that the missense mutation
(Arg376Lys) was tightly linked with the absence of group A saponins in 'CWS5095'.
Even though Arg and Lys have similar chemical features, the 3D modelled protein
structure indicates that the replacement of Arg with Lys may cause a loss-of
function of the Sg-5 protein by inhibiting the stable binding of a heme cofactor
to the CYP72A69 apoenzyme.
PMID- 29381776
TI - Effects of low-protein diets on acute phase proteins and heat shock protein 70
responses, and growth performance in broiler chickens under heat stress
condition.
AB - A study with a 4 * 2 factorial arrangement was conducted to investigate the
effects of 4 dietary protein levels and 2 environmental conditions on acute phase
proteins (APP), brain heat shock protein (HSP) 70 density, and growth performance
of broiler chickens. Day-old broiler chicks (Cobb 500) were fed isocaloric diets
but with various levels of crude protein (CP), namely, (1) 21.0 and 19.0% CP in
starter and finisher diets, respectively (control), (2) 19.5 and 17.5% CP in
starter and finisher diets, respectively (Diet A), (3) 18.0 and 16.0% CP in
starter and finisher diets, respectively (Diet B), and (4) 16.5 and 14.5% CP in
starter and finisher diets, respectively (Diet C). Equal numbers of birds from
each diet were subjected to either 23+/-1 degrees C throughout or 33+/-1 degrees
C for 6 h per d from 22 to 35 d of age. From d 1 to 21, feed intake (FI) and
weight gain (WG) decreased linearly (P = 0.021 and P = 0.009, respectively), as
CP level was reduced. During the heat treatment period (d 22 to 35), there were
significant (P = 0.04) diet * heat treatment interactions for FCR. Diet had no
effect on FCR among the unheated birds, but the ratio increased linearly (P =
0.007) as dietary CP level decreased. Irrespective of ambient temperature, there
was a significant linear decrease in FI (P = 0.032) and WG (P < 0.001) as dietary
CP level decreased. Low-CP diets improved the survivability of heat-stressed
broilers when compared to those fed control diets. Low-CP diets linearly
decreased (P < 0.01) APP (ovotransferrin and alpha-acid glycoprotein) responses.
Both APP and HSP 70 reactions were elevated following heat treatment. In
conclusion, feeding broilers with low-CP diets adversely affect the growth
performance of broilers under heat stress condition. However, low-CP diets were
beneficial in improving the survivability. Because APP are involved in the
restoration of homeostasis, the adverse effect of low-CP diet on the synthesis of
these proteins could be of concern.
PMID- 29381777
TI - The AKT/BCL-2 Axis Mediates Survival of Uterine Leiomyoma in a Novel 3D Spheroid
Model.
AB - A deeper understanding of the pathways that drive uterine leiomyoma (ULM) growth
and survival requires model systems that more closely mimic the in vivo tumors.
This would provide new insights into developing effective therapeutic strategies
for these common benign tumors of childbearing-aged women. In this study, we
examined the role of BCL-2 in mediating ULM survival in the context of increased
protein kinase B (AKT) and oxidative stress using a three-dimensional (3D),
spheroid-based model that more closely resembles the native ULM tumor
microenvironment. Human primary cells from matched myometrium (MM) and ULM
tissues were used to establish spheroid cultures in vitro. Histological and
immunohistochemical methods were used to assess the spheroid architecture and
characteristics. Viability assays for 3D cultures were used to evaluate their
response to BH3 mimetics and the superoxide inducer, paraquat (PQ). Primary MM
and ULM cells formed spheroids in culture. Notably, ULM spheroids exhibited low
proliferation, increased oxidative stress, and secretion of interstitial
collagen. Knockdown studies revealed that AKT sustained BCL-2 expression in ULM.
The targeting of BCL-2 with BH3 mimetics effectively reduced viability and
induced apoptosis in a subset of ULM spheroids. ULM spheroids that did not
respond to BH3 mimetics alone responded to combination treatment with PQ. In
conclusion, BCL-2 mediates AKT survival of ULM, providing compelling evidence for
further evaluation of BH3 mimetics for ULM treatment. ULM spheroids recapitulated
intrinsic features of the native ULM tumor microenvironment and can be used as a
model for preclinical testing of potential therapeutic options for ULM.
PMID- 29381779
TI - Aging and Demographic Transition in Ghana: State of the Elderly and Emerging
Issues.
AB - Although the population of Ghana is young and generally youthful, there is
evidence of rapid increases in the size of the elderly cohort. Although
demographic projections estimate that the proportion of the population younger
than 15 years will experience continuous decline overtime due in part to decline
in fertility, the rise in the elderly population is expected to also continue due
to declining mortality rates resulting in longevity. Unfortunately, the growth in
the elderly proportion of the population is occurring at the same time
traditional systems of protection and care for the aged are breaking down on
account of urbanization, socioeconomic development, and globalization. This has
implications for public policy and the overall wellbeing of the elderly. This
paper provides a snapshot overview of the demographic dynamics of Ghana focusing
on the characteristics of aging, the challenges confronting the elderly, policy
interventions, and gaps, as well as some pertinent issues including emerging
research that are shaping deliberations about the elderly in the country.
PMID- 29381780
TI - X-Linked Hypophosphatemia and FGF23-Related Hypophosphatemic Diseases: Prospect
for New Treatment.
AB - Phosphate plays essential roles in many biological processes, and the serum
phosphate level is tightly controlled. Chronic hypophosphatemia causes impaired
mineralization of the bone matrix and results in rickets and osteomalacia.
Fibroblast growth factor 23 (FGF23) is a bone-derived hormone that regulates
phosphate metabolism. FGF23 excess induces hypophosphatemia via impaired
phosphate reabsorption in the renal proximal tubules and decreased phosphate
absorption in the intestines. There are several types of genetic and acquired
FGF23-related hypophosphatemic diseases. Among these diseases, X-linked
hypophosphatemia (XLH), which is caused by inactivating mutations in the
phosphate-regulating endopeptidase homolog, X-linked (PHEX) gene, is the most
prevalent form of genetic FGF23-related hypophosphatemic rickets. Another
clinically relevant form of FGF23-related hypophosphatemic disease is tumor
induced osteomalacia (TIO), a paraneoplastic syndrome associated with FGF23
producing tumors. A combination of active vitamin D and phosphate salts is the
current medical therapy used to treat patients with XLH and inoperative TIO.
However, this therapy has certain efficacy- and safety-associated limitations.
Several measures to inhibit FGF23 activity have been considered as possible new
treatments for FGF23-related hypophosphatemic diseases. In particular, a
humanized monoclonal antibody for FGF23 (burosumab) is a promising treatment in
patients with XLH and TIO. This review will focus on the phosphate metabolism and
the pathogenesis and treatment of FGF23-related hypophosphatemic diseases.
PMID- 29381778
TI - Local Estrogen Synthesis Regulates Parallel Fiber-Purkinje Cell Neurotransmission
Within the Cerebellar Cortex.
AB - Estrogens affect cerebellar activity and cerebellum-based behaviors. Within the
adult rodent cerebellum, the best-characterized action of estradiol is to enhance
glutamatergic signaling. However, the mechanisms by which estradiol promotes
glutamatergic neurotransmission remain unknown. Within the mouse cerebellum, we
found that estrogen receptor activation of metabotropic glutamate receptor type
1a strongly enhances neurotransmission at the parallel fiber-Purkinje cell
synapse. The blockade of local estrogen synthesis within the cerebellum results
in a diminution of glutamatergic neurotransmission. Correspondingly, decreased
estrogen availability via gonadectomy or blockade of aromatase activity
negatively affects locomotor performance. These data indicate that locally
derived, and not just gonad-derived, estrogens affect cerebellar physiology and
function. In addition, estrogens were found to facilitate parallel fiber-Purkinje
cell synaptic transmission in both sexes. As such, the actions of estradiol to
support cerebellar neurotransmission and cerebellum-based behaviors might be
fundamental to understanding the normal processing of activity within the
cerebellar cortex.
PMID- 29381781
TI - Pharmacokinetics of florfenicol in turkey plasma, lung tissue, and pulmonary
epithelial lining fluid after single oral bolus or continuous administration in
the drinking water.
AB - Florfenicol (FF) is registered for treatment of bovine and swine respiratory
diseases. Although, turkeys often suffer from bacterial respiratory tract
infections, there is no registered formulation based on FF for poultry available
in Europe. The aim of this study was to evaluate the pharmacokinetic behavior of
FF in turkeys in plasma, lung tissue, and pulmonary epithelial lining fluid
(PELF).The concentration and pharmacokinetic characteristics of FF in plasma,
lung tissue, and PELF in turkeys were determined, either after a single oral
bolus (30 mg/kg body weight, BW) or during and after continuous drinking water
medication (30 mg/kg BW/d for 5 d). Plasma, lung tissue, and PELF samples were
collected at different intervals after administration, and FF was quantified by
liquid chromatography-tandem mass spectrometry. After single bolus
administration, FF was rapidly absorbed in plasma (the time to maximum
concentration, tmax, was 1.02 h) and distributed to the respiratory tract (mean
tmax = 1.00 h). The mean t1/2el in plasma and lung tissue was similar, around 6
h, whereas it was slightly higher in PELF, namely, 8.7 hours. After oral bolus
dosing, the mean maximum concentration in plasma was twice as high as in the lung
tissue, 4.26 MUg/mL and 2.64 MUg/g, respectively, while in PELF it was much
lower, 0.39 MUg/mL. During continuous drinking water medication, lung FF
concentrations were slightly higher than plasma concentrations, with lung/plasma
ratios of 2.01 and 1.27 after 24 h and 72 h, respectively. FF was not detected in
PELF during continuous drinking water medication.
PMID- 29381782
TI - Pathway of Maternal Serotonin to the Human Embryo and Fetus.
AB - Serotonin [5-hydroxytryptamine (5-HT)] is essential to intrauterine development,
but its source is debated. We used immunocytochemistry to gauge 5-HT, its
biosynthetic enzyme tryptophan hydroxylase 1 (TPH1); an importer (serotonin
transporter, 5-HTT/SERT/SLC6A); other transporters [P-glycoprotein 1 (P
gp/ABCB1), OCT3/SLC22A3, and gap junction connexin-43]; and the 5-HT degradative
enzyme monoamine oxidase A (MAOA) in sections of placentas. In humans, 5-HT was
faintly stained only in first-trimester trophoblasts, whereas TPH1 was not seen
at any stage. SERT was expressed in syncytiotrophoblasts and, more strongly, in
cytotrophoblasts. MAOA was prominent in syncytiotrophoblasts, OCT3 and gap
junctions were stained in cytotrophoblasts, and P-gp was present at the apical
surfaces of both epithelia. 5-HT added to cultured placental explants accumulated
in the trophoblast epithelium and reached the villus core vessels. Trophoblast
uptake was blocked by the SERT inhibitor escitalopram. Inhibition of gap
junctions with heptanol prevented the accumulation of 5-HT in cytotrophoblasts,
whereas blocking OCT3 with decynium-22 and P-gp with mitotane led to its
accumulation in cytotrophoblasts. Reducing 5-HT destruction by inhibiting MAOA
with clorgyline increased the accumulation of 5-HT throughout the villus. In the
mouse fetus, intravascular platelets stained prominently for 5-HT at day 13.5,
whereas the placenta and yolk sac endoderm were both negative. TPH1 was not
detected, but SERT was prominent in these mouse tissues. We conclude that
serotonin is conveyed from the maternal blood stream through
syncytiotrophoblasts, cytotrophoblasts and the villus core to the fetus through a
physiological pathway that involves at least SERT, gap junctions, P-gp, OCT3, and
MAOA.
PMID- 29381783
TI - Survival and Transstadial Persistence of Trypanosoma cruzi in the bed bug
(Hemiptera: Cimicidae).
AB - Bed bug populations are increasing around the world at an alarming rate and have
become a major public health concern. The appearance of bed bug populations in
areas where Chagas disease is endemic raises questions about the role of these
insects in the transmission of Trypanosoma cruzi, the etiological agent of the
disease. In a series of laboratory evaluations, bed bug adults and nymphs were
experimentally fed with T. cruzi-infected blood to assess the ability of T. cruzi
to survive inside the bed bug and throughout the insect's molting process. Live
T. cruzi were observed in gut contents of experimentally infected bed bug adults
via light microscopy and the identity of the parasite was confirmed via
polymerase chain reaction analysis. T. cruzi persisted at least 97-d
postinfection in adult bed bugs. Nymphal stage bed bugs that were infected with
T. cruzi maintained the parasite after molting, indicating that transstadial
passage of T. cruzi in bed bugs took place. This report provides further evidence
of acquisition, maintenance, and for the first time, transstadial persistence of
T. cruzi in bed bugs.
PMID- 29381784
TI - Thymic PTH Increases After Thyroparathyroidectomy in C57BL/KaLwRij Mice.
AB - We previously reported a substantial correlation between serum parathyroid
hormone (PTH) levels and the myeloma response to proteasome inhibition that
suggests a crucial role for the PTH receptor 1 system in the control of myeloma
tumor growth. While investigating the role of PTH in the antimyeloma effect, we
observed the recovery of serum PTH levels after thyroparathyroidectomy (TPTX).
Although the presence of thymus-derived PTH has been reported previously, the
existence or role of thymic PTH in the serum remains controversial. Here, TPTX
was performed in 8- to 12-week-old C57BL/KaLwRij mice to delineate the potential
source(s) for the recovery of serum PTH. Immediately after TPTX, the expected
loss of measurable serum PTH was observed. Serum PTH levels recovered 3 to 4
weeks after TPTX. Thirteen endocrine organs from mice with recovered serum PTH
were examined. The thymus from control mice expressed measurable and detectable
Pth transcripts; however, the Pth transcript level was substantially elevated in
tissue from TPTX mice. Western blot analysis of the thymus demonstrated a
reproducible and distinct PTH band in thymus tissue that was significantly
increased after TPTX. To directly confirm the identity of the distinct PTH band,
immunoprecipitated proteins were isolated and subjected to tandem mass
spectrometry. After fragmentation and direct peptide sequencing, PTH peptides
PTH(1-13) and PTH(54-70), diagnostic for PTH, were identified. These data
demonstrate that the murine thymus produces PTH and that after TPTX the thymus
becomes the major source of serum PTH, compensating for the loss of the
parathyroid glands and returning circulating PTH levels to normal.
PMID- 29381785
TI - Response patterns and intra-dyadic factors related to compliance with ecological
momentary assessment among mothers and children.
AB - Ecological momentary assessment (EMA) is a real-time sampling strategy that may
address limitations in health research, such as the inability to examine how
processes unfold on a daily basis. However, EMA studies are prone to limited data
availability due to difficulties in implementing sophisticated protocols and
systematic non-compliance with prompts, resulting in biased estimates and limited
statistical power. The objectives of this study were to describe the availability
of data, to examine response patterns, and to analyze factors related to EMA
prompt compliance in a dyadic EMA study with mothers and children. Participants
(N = 404) each received up to eight EMA prompts (i.e., audible pings) per day for
a total of 7 days. Each EMA survey consisted of items assessing affect, perceived
stress, and social context. Participants responded to approximately 80% (range:
3.4%-100%) of prompted EMA surveys, and completed 92.6% of surveys once started.
Mothers and children identifying as Hispanic, as well as mothers in lower-income
households, were less likely to comply with any given EMA prompt. Participant
dyads were more likely to comply with prompts when they were together.
Understanding factors related to systematic EMA prompt non-compliance is an
important step to reduce the likelihood of biased estimates and improve
statistical power. Socioeconomic factors may impede mothers' compliance with EMA
protocols. Furthermore, mothers' presence and involvement may enhance children's
compliance with EMA protocols.
PMID- 29381786
TI - Evaluating the effectiveness of behavior change techniques in health-related
behavior: a scoping review of methods used.
AB - Behavior change interventions typically contain multiple potentially active
components: behavior change techniques (BCTs). Identifying which specific BCTs or
BCT combinations have the potential to be effective for a given behavior in a
given context presents a major challenge. The aim of this study was to review the
methods that have been used to identify effective BCTs for given behaviors in
given contexts and evaluate their strengths and limitations. A scoping review was
conducted of studies that had sought to identify effective BCTs. Articles
referring to "behavio(u)r change technique(s)" in the abstract/text were located,
and ones that involved identification of effective BCTs were selected. The
methods reported were coded. The methods were analyzed in general terms using
"PASS" criteria: Practicability (facility to apply the method appropriately),
Applicability (facility to generalize from findings to contexts and populations
of interest), Sensitivity (facility to identify effective BCTs), and Specificity
(facility to rule out ineffective BCTs). A sample of 10% of the studies reviewed
was then evaluated using these criteria to assess how far the strengths and
limitations identified in principle were borne out in practice. One hundred and
thirty-five studies were identified. The methods used in those studies were
experimental manipulation of BCTs, observational studies comparing outcomes in
the presence or absence of BCTs, meta-analyses of BCT comparisons, meta
regressions evaluating effect sizes with and without specific BCTs, reviews of
BCTs found in effective interventions, and meta-classification and regression
trees. The limitations of each method meant that only weak conclusions could be
drawn regarding the effectiveness of specific BCTs or BCT combinations. Methods
for identifying effective BCTs linked to target behavior and context all have
important inherent limitations. A strategy needs to be developed that can
systematically combine the strengths of the different methods and that can link
these constructs in an ontology of behavior change interventions.
PMID- 29381787
TI - Red meat consumption and risk of cardiovascular diseases-is increased iron load a
possible link?
AB - Background: High iron load and red meat consumption could increase the risk of
cardiovascular diseases (CVDs). As red meat is the main source of heme iron,
which is in turn a major determinant of increased iron load, adverse
cardiometabolic effects of meat consumption could be mediated by increased iron
load. Objective: The object of the study was to assess whether associations
between red meat consumption and CVD risk are mediated by iron load in a
population-based human study. Design: We evaluated relations between red meat
consumption, iron load (plasma ferritin), and risk of CVD in the prospective EPIC
Heidelberg Study using a case-cohort sample including a random subcohort (n =
2738) and incident cases of myocardial infarction (MI, n = 555), stroke (n =
513), and CVD mortality (n = 381). Following a 4-step mediation analysis,
associations between red meat consumption and iron load, red meat consumption and
CVD risk, and iron load and CVD risk were assessed by multivariable regression
models before finally testing to which degree associations between red meat
consumption and CVD risk were attenuated by adjustment for iron status. Results:
Red meat consumption was significantly positively associated with ferritin
concentrations and MI risk [HR per 50 g daily intake: 1.18 (95% CI: 1.05, 1.33)],
but no significant associations with stroke risk and CVD mortality were observed.
While direct associations between ferritin concentrations and MI risk as well as
CVD mortality were significant in age- and sex-adjusted Cox regression models,
these associations were substantially attenuated and no longer significant after
multivariable adjustment for classical CVD risk factors. Strikingly, ferritin
concentrations were positively associated with a majority of classical CVD risk
factors (age, male sex, alcohol intake, obesity, inflammation, and lower
education). Conclusion: Increased ferritin concentrations may be a marker of an
overall unfavorable risk factor profile rather than a mediator of greater CVD
risk due to meat consumption.
PMID- 29381788
TI - Sleep extension is a feasible lifestyle intervention in free-living adults who
are habitually short sleepers: a potential strategy for decreasing intake of free
sugars? A randomized controlled pilot study.
AB - Background: Evidence suggests that short sleep duration may be a newly identified
modifiable risk factor for obesity, yet there is a paucity of studies to
investigate this. Objective: We assessed the feasibility of a personalized sleep
extension protocol in adults aged 18-64 y who are habitually short sleepers (5 to
<7 h), with sleep primarily measured by wrist actigraphy. In addition, we
collected pilot data to assess the effects of extended sleep on dietary intake
and quality measured by 7-d food diaries, resting and total energy expenditure,
physical activity, and markers of cardiometabolic health. Design: Forty-two
normal-weight healthy participants who were habitually short sleepers completed
this free-living, 4-wk, parallel-design randomized controlled trial. The sleep
extension group (n = 21) received a behavioral consultation session targeting
sleep hygiene. The control group (n = 21) maintained habitual short sleep.
Results: Rates of participation, attrition, and compliance were 100%, 6.5%, and
85.7%, respectively. The sleep extension group significantly increased time in
bed [0:55 hours:minutes (h:mm); 95% CI: 0:37, 1:12 h:mm], sleep period (0:47
h:mm; 95% CI: 0:29, 1:05 h:mm), and sleep duration (0:21 h:mm; 95% CI: 0:06, 0:36
h:mm) compared with the control group. Sleep extension led to reduced intake of
free sugars (-9.6 g; 95% CI: -16.0, -3.1 g) compared with control (0.7 g; 95% CI:
-5.7, 7.2 g) (P = 0.042). A sensitivity analysis in plausible reporters showed
that the sleep extension group reduced intakes of fat (percentage), carbohydrates
(grams), and free sugars (grams) in comparison to the control group. There were
no significant differences between groups in markers of energy balance or
cardiometabolic health. Conclusions: We showed the feasibility of extending sleep
in adult short sleepers. Sleep extension led to reduced free sugar intakes and
may be a viable strategy to facilitate limiting excessive consumption of free
sugars in an obesity-promoting environment. This trial was registered at
www.clinicaltrials.gov as NCT02787577.
PMID- 29381789
TI - Comparison of self-reported dietary intakes from the Automated Self-Administered
24-h recall, 4-d food records, and food-frequency questionnaires against recovery
biomarkers.
AB - Background: A limited number of studies have evaluated self-reported dietary
intakes against objective recovery biomarkers. Objective: The aim was to compare
dietary intakes of multiple Automated Self-Administered 24-h recalls (ASA24s), 4
d food records (4DFRs), and food-frequency questionnaires (FFQs) against recovery
biomarkers and to estimate the prevalence of under- and overreporting. Design:
Over 12 mo, 530 men and 545 women, aged 50-74 y, were asked to complete 6 ASA24s
(2011 version), 2 unweighed 4DFRs, 2 FFQs, two 24-h urine collections (biomarkers
for protein, potassium, and sodium intakes), and 1 administration of doubly
labeled water (biomarker for energy intake). Absolute and density-based energy
adjusted nutrient intakes were calculated. The prevalence of under- and
overreporting of self-report against biomarkers was estimated. Results: Ninety
two percent of men and 87% of women completed >=3 ASA24s (mean ASA24s completed:
5.4 and 5.1 for men and women, respectively). Absolute intakes of energy,
protein, potassium, and sodium assessed by all self-reported instruments were
systematically lower than those from recovery biomarkers, with underreporting
greater for energy than for other nutrients. On average, compared with the energy
biomarker, intake was underestimated by 15-17% on ASA24s, 18-21% on 4DFRs, and 29
34% on FFQs. Underreporting was more prevalent on FFQs than on ASA24s and 4DFRs
and among obese individuals. Mean protein and sodium densities on ASA24s, 4DFRs,
and FFQs were similar to biomarker values, but potassium density on FFQs was 26
40% higher, leading to a substantial increase in the prevalence of overreporting
compared with absolute potassium intake. Conclusions: Although misreporting is
present in all self-report dietary assessment tools, multiple ASA24s and a 4DFR
provided the best estimates of absolute dietary intakes for these few nutrients
and outperformed FFQs. Energy adjustment improved estimates from FFQs for protein
and sodium but not for potassium. The ASA24, which now can be used to collect
both recalls and records, is a feasible means to collect dietary data for
nutrition research.
PMID- 29381791
TI - Evaluation of meal replacements and a home food environment intervention for long
term weight loss: a randomized controlled trial.
AB - Background: Lifestyle change treatments for weight loss produce medically
meaningful weight reductions, but lost weight is usually regained. Meal
replacements (MRs) represent one avenue for improving long-term weight loss.
Another, nutrition-focused approach involves having participants make specific
changes in the energy density, composition, and structure of the foods in their
personal food environments. Objective: Three conditions were compared: behavior
therapy (BT), BT plus MRs (BT+MR), and a nutrition-focused treatment aimed at
modifying the home food environment (HFE). Design: Overweight and obese
individuals (n = 262) were randomly assigned to 1 of the 3 conditions. Treatment
occurred in weekly groups for 6 mo and in biweekly groups for 6 mo. Assessments
were conducted at baseline and at 6, 12, 18, 24, and 36 mo. Multilevel models
were used to estimate weight-change trajectories for each participant and to
examine the treatment group effect on long-term weight loss. Results: A
multilevel analysis indicated that all 3 groups showed significant weight loss
over 12 mo that was gradually regained to the 36-mo follow-up. Mean +/- SD
percentages of baseline weight loss at 12 mo for BT, BT+MR, and HFE were 9.41% +/
7.92%, 10.37% +/- 7.77%, and 10.97% +/- 7.79%, respectively. Comparable
percentages at 36 mo were 4.21% +/- 8.64%, 3.06% +/- 6.93%, and 4.49% +/- 7.83%.
Those in the HFE condition lost more weight than those receiving BT through the
36-mo assessment (P < 0.01), as reflected in 2 treatment * time interactions.
Further analyses showed that HFE produced the largest increases in cognitive
restraint and that this increase largely mediated the HFE group's improved weight
loss. Conclusion: The nutrition-focused intervention studied here produced
modestly greater long-term weight loss than BT, an effect that was largely
explainable by an unexpected boost in cognitive restraint in this condition. This
study was registered at clinicaltrials.gov as NCT01065974.
PMID- 29381790
TI - Adherence to a healthy eating index for pregnant women is associated with lower
neonatal adiposity in a multiethnic Asian cohort: the Growing Up in Singapore
Towards healthy Outcomes (GUSTO) Study.
AB - Background: Evidence linking maternal diet quality during pregnancy with infant
birth outcomes is limited in Asia. Objective: We investigated the association of
maternal diet quality with the risk of preterm birth, offspring birth size, and
adiposity in a multiethnic Asian birth cohort. Design: Dietary intakes of 1051
pregnant women were ascertained at 26-28 wk of gestation with the use of 24-h
recalls and 3-d food diaries, from which diet quality (score range: 0-100) was
measured by the Healthy Eating Index for pregnant women in Singapore (HEI-SGP).
Gestational age was established by first-trimester ultrasound dating scan.
Neonatal weight and length were measured at birth. Body composition was assessed
by air displacement plethysmography in a subset of infants (n = 313) within 72 h
after birth, and abdominal adiposity was assessed by MRI (n = 316) within the
first 2 wk of life. Associations were assessed by multivariable linear regression
for continuous outcomes and logistic regression for preterm birth. Results: The
mean +/- SD maternal HEI-SGP score was 52.1 +/- 13.6. Maternal diet quality
during pregnancy was not associated with preterm birth or birth weight. Greater
adherence to the HEI-SGP (per 10-point increment in HEI-SGP score) was associated
with longer birth length [beta (95% CI): 0.14 (0.03, 0.24 cm)], lower body mass
index (in kg/m2) at birth [-0.07 (-0.13, -0.01)], lower sum of triceps and
subscapular skinfold thickness [-0.15 (-0.26, -0.05 mm)], lower percentage body
fat [-0.52% (-0.84%, -0.20%)], lower fat mass [-17.23 (-29.52, -4.94 g)], lower
percentage abdominal superficial subcutaneous adipose tissue [-0.16% (-0.30%,
0.01%)], and lower percentage deep subcutaneous adipose tissue [-0.06% (-0.10%,
0.01%)]. Conclusions: Higher maternal diet quality during pregnancy was
associated with longer birth length and lower neonatal adiposity but not with
birth weight and preterm birth. These findings warrant further investigation in
independent studies. This trial was registered at clinicaltrials.gov as
NCT01174875.
PMID- 29381792
TI - Overweight in childhood cancer survivors: the Swiss Childhood Cancer Survivor
Study.
AB - Background: An increased risk of becoming overweight has been reported for
childhood cancer survivors (CCSs), in particular leukemia survivors, although the
evidence is inconclusive. Objective: We assessed the prevalence of overweight in
CCSs, with a focus on leukemia survivors, compared it with their peers, and
determined potential risk factors. Design: As part of the Swiss Childhood Cancer
Survivor Study, we sent a questionnaire between 2007 and 2013 to all Swiss
resident CCSs aged <21 y at diagnosis who had survived >=5 y. We calculated body
mass index (BMI) from medical records at diagnosis and self-reported heights and
weights at survey. We calculated BMI z scores by using Swiss references for
children and compared overweight prevalence in CCSs, their siblings, and the
general population with the use of the Swiss Health Survey (SHS) and assessed
risk factors for being overweight by using multivariable logistic regression.
Results: The study included 2365 CCSs, 819 siblings, and 9591 SHS participants.
At survey, at an average of 15 y after diagnosis, the prevalence of overweight in
CCSs overall (26%) and in leukemia survivors (26%) was similar to that in
siblings (22%) and the general population (25%). Risk factors for being
overweight in CCSs were male sex (OR: 1.8; 95% CI: 1.5, 2.1), both young (OR for
ages 5-14 y: 1.6; 95% CI: 1.2, 2.3) and older (range-OR for ages 25-29 y: 1.7;
95% CI: 1.2, 2.4; OR for ages 40-45 y: 4.0; 95% CI: 2.5, 6.5) age at study, lower
education (OR: 1.4; 95% CI: 1.1, 1.8), migration background (OR: 1.3; 95% CI:
1.1, 1.7), and no sports participation (OR: 1.4; 95% CI: 1.1, 1.7). Risk factors
for overweight were similar in peers. CCSs treated with cranial radiotherapy
(>=20 Gy) were more likely to be overweight than their peers (OR: 1.6; 95% CI:
1.2, 2.2). Conclusions: The prevalence of and risk factors for being overweight
are similar in long-term CCSs and their peers. This suggests that prevention
methods can be the same as in the general population. An important exception is
CCSs treated with cranial radiotherapy >=20 Gy who may need extra attention
during follow-up care. This study was registered at clinicaltrials.gov as
NCT03297034.
PMID- 29381793
TI - Intrauterine DHA exposure and child body composition at 5 y: exploratory analysis
of a randomized controlled trial of prenatal DHA supplementation.
AB - Background: Observational studies find associations between maternal
docosahexaenoic acid (DHA) and greater fat-free mass and lower percentage of body
fat, but randomized trials of prenatal DHA supplementation have not found
significant intent-to-treat effects on childhood body composition. Objective:
This study sought to explore associations between intrauterine DHA exposure and
body composition and size at 5 y in the offspring of women who participated in a
randomized trial of prenatal DHA supplementation (corn and soybean oil placebo or
600 mg/d). Design: At 5 y, body composition was measured by air displacement
plethysmography in 154 offspring of women who had participated in the Kansas
University DHA Outcomes Study and who had red blood cell (RBC) phospholipid (PL)
fatty acids assessed at enrollment and delivery. We used linear regression models
to analyze the relation among 3 indicators of intrauterine DHA exposure-1) intent
to-treat (placebo or DHA), 2) maternal RBC PL DHA status at delivery, and 3)
change in maternal DHA (delivery minus enrollment)-and 6 outcomes of interest: 5
y fat mass, fat-free mass, percentage of body fat, height, weight, and body mass
index z score. Results: Change in maternal RBC PL DHA correlated with higher fat
free mass (r = 0.21, P = 0.0088); the association was unchanged after adjustment
for maternal, perinatal, and childhood dietary factors. Intent-to-treat and DHA
status at delivery showed positive trends with fat-free mass that were not
statistically significant. There was no evidence relating intrauterine DHA
exposure to any other body composition measure. Conclusions: Change in maternal
DHA status during pregnancy was related to higher offspring 5-y fat-free mass.
The other 2 indicators of intrauterine exposure to DHA suggested a trend for
higher offspring 5-y fat-free mass. Our findings agree with an earlier
observational study from the United Kingdom. This trial was registered at
clinicaltrials.gov as NCT00266825.
PMID- 29381794
TI - Intake of free sugars and micronutrient dilution in Australian adults.
AB - Background: The negative health effect of excessive intake of free sugars has
been gaining increasing public awareness. Objective: This secondary analysis
aimed to evaluate the impact of free-sugar intake on micronutrient dilution, and
estimate a threshold level of free-sugar intake at which a decrease in
micronutrient intake becomes evident, based on data from the Australian Health
Survey 2011-2012. Design: Dietary data from adult respondents (weighted n = 6150)
who had completed two 24-h recalls were analyzed. A published 10-step methodology
was adopted and used to estimate the free-sugar intake of the respondents. Six
modified cut-offs for percentage of energy of free sugars (%EFS) were created
based on recommendations from the WHO and the Institute of Medicine to examine
the association between %EFS on micronutrient intakes. Estimated marginal means
and SEs were calculated using ANCOVA. Logistic regression was used to calculate
the ORs of not meeting the nutrient reference values for Australia and New
Zealand for each micronutrient with an increase in free-sugar intake. Analyses
were adjusted for age, sex, socioeconomic status, country of birth, whether
dieting, smoking status, and remoteness of living area. Results: Peak intake for
most micronutrients was observed at %EFS between 5% and <15%. A significant
reduction in most micronutrient intakes was observed at >25%EFS. At <5%EFS, some
micronutrient intakes were reduced. Only small variations in micronutrient
consumptions were observed when %EFS was between 5% and 25%. Core food intake
decreased and discretionary food increased with an increase in free-sugar intake.
Conclusion: A high free-sugar intake, particularly >25%EFS, was found to have a
significant diluting effect on most nutrients. However, a free-sugar intake
<5%EFS may increase the risk of undesirably low micronutrient consumption related
to inadequate total energy intake. This secondary analysis was registered at
anzctr.org.au as ACTRN12617000917336.
PMID- 29381795
TI - The PAr index, an indicator reflecting altered vitamin B-6 homeostasis, is
associated with long-term risk of stroke in the general population: the Hordaland
Health Study (HUSK).
AB - Background: Vitamin B-6 homeostasis is altered during inflammation and immune
activation. It is unknown whether altered vitamin B-6 homeostasis is associated
with the risk of stroke. Objective: We investigated the relation between the
ratio plasma 4-pyridoxic acid: (pyridoxal + pyridoxal-5'-phosphate) (PAr) as an
indicator of altered vitamin B-6 homeostasis and the risk of stroke in the
general population. Design: We conducted a prospective analysis of the community
based Hordaland Health Study (HUSK) in 6891 adults (born during 1925-1927 and
1950-1951) without known stroke at baseline (1998-1999). Participants were
followed via linkage to the CVDNOR (Cardiovascular Disease in Norway) project and
the Cause of Death Registry. HRs and 95% CIs were calculated using Cox
proportional hazards analyses. Results: A total of 390 participants (193 men and
197 women) developed stroke over a median follow-up period of 11 y. Study
participants with elevated PAr experienced a higher risk of incident stroke in an
essentially linear dose-response fashion. The HR (95% CI) for the highest
compared with the lowest quartile of PAr was 1.97 (1.42, 2.73; P-trend <0.001)
for total stroke and 2.09 (1.42, 3.09; P-trend <0.001) for ischemic stroke after
adjustment for age, sex, body mass index (BMI), smoking, education, physical
activity, estimated glomerular filtration rate, hypertension, diabetes, total
cholesterol, and statin use. PAr had greater predictive strength than did C
reactive protein, current smoking, diabetes, hypertension, estimated glomerular
filtration rate, and physical activity. The associations were similar in
subgroups stratified by age group, sex, BMI, current smoking, hypertension,
diabetes, and statin use at baseline. Conclusions: Higher plasma PAr was
independently associated with increased risk of incident stroke in all
participants and across all subgroups stratified by conventional risk predictors.
Our novel findings point to and expand the range of inflammation and immune
activation processes that may be relevant for the pathogenesis and prevention of
stroke. This trial was registered at clinicaltrials.gov as NCT03013725.
PMID- 29381797
TI - International food group-based diet quality and risk of coronary heart disease in
men and women.
AB - Background: Standard diet quality assessment tools, which measure micronutrient
sufficiency and food consumption related to disease and applicable to different
populations, are needed to track progress in meeting the Sustainable Development
Goals related to hunger, food security, and nutrition. Diet quality scores have
been constructed for high- and low-income countries, but none are simple to
administer or applicable internationally. Objective: We prospectively examined
the association between the Food Group Index (FGI), the Minimal Diet Diversity
Score for Women (MDDW), and a new Prime Diet Quality Score (PDQS), and the risk
of ischemic heart disease (IHD) in 3 US cohorts. Design: In total, 75,045 women
(baseline age 43-63 y), 43,966 men (aged 40-75 y), and 93,131 younger women (aged
27-44 y) without a history of cardiovascular disease were followed up to 28 y.
Diet was assessed multiple times using food frequency questionnaires and the 3
diet quality scores were computed for each individual. The association with IHD
was modeled with Cox proportional hazard models, controlling for potential
confounders. Results: During follow-up, we ascertained 2908 incident IHD cases in
the Nurses' Health Study, 3722 in the Health Professionals Follow-up Study, and
505 in the Nurses' Health Study II. The FGI was not associated with total IHD in
any cohort. The PDQS was significantly associated with IHD in all 3 cohorts
separately and the pooled RR for each SD increase was 0.89 (95% CI: 0.87, 0.91).
This was significantly different than the pooled RR of 0.93 for MDDW (95% CI:
0.90, 0.96) and the RR of 0.98 for the FGI (95% CI: 0.95, 1.01). The association
did not appear to differ by age. Conclusion: We found that the PDQS with the most
detailed differentiation of healthy and unhealthy foods was associated with a
lower risk of IHD in a high-income country. On the other hand, diet quality
scores that do not account for unhealthy foods had a limited association with
IHD.
PMID- 29381796
TI - Substitution of dietary omega-6 polyunsaturated fatty acids for saturated fatty
acids decreases LDL apolipoprotein B-100 production rate in men with dyslipidemia
associated with insulin resistance: a randomized controlled trial.
AB - Background: The substitution of omega (omega)-6 (n-6) polyunsaturated fatty acids
(PUFAs) for saturated fatty acids (SFAs) is advocated in cardiovascular disease
prevention. The impact of this substitution on lipoprotein metabolism in subjects
with dyslipidemia associated with insulin resistance (IR) remains unknown.
Objective: In men with dyslipidemia and IR, we evaluated the impact of
substituting omega-6 PUFAs for SFAs on the in vivo kinetics of apolipoprotein
(apo) B-containing lipoproteins and on the intestinal expression of key genes
involved in lipoprotein metabolism. Design: Dyslipidemic and IR men (n = 36) were
recruited for this double-blind, randomized, crossover, controlled trial.
Subjects consumed, in a random order, a fully controlled diet rich in SFAs (SFAs:
13.4% of energy; omega-6 PUFAs: 4.0%) and a fully controlled diet rich in omega-6
PUFAs (SFAs: 6.0%; omega-6 PUFAs: 11.3%) for periods of 4 wk, separated by a 4-wk
washout period. At the end of each diet, the in vivo kinetics of apoB-containing
lipoproteins were measured and the intestinal expression of key genes involved in
lipoprotein metabolism was quantified in duodenal biopsies taken from each
participant. Results: The substitution of omega-6 PUFAs for SFAs had no impact on
TRL apoB-48 fractional catabolic rate (Delta = -3.8%, P = 0.7) and production
rate (Delta = +1.2%, P = 0.9), although it downregulated the intestinal
expression of the microsomal triglyceride transfer protein (Delta = -18.4%, P =
0.006) and apoB (Delta = -16.6%, P = 0.005). The substitution of omega-6 PUFAs
for SFAs decreased the LDL apoB-100 pool size (Delta = -7.8%; P = 0.005). This
difference was attributed to a reduction in the LDL apoB-100 production rate
after the substitution of omega-6 PUFAs for SFAs (Delta = -10.0%; P = 0.003).
Conclusions: This study demonstrates that the substitution of dietary omega-6
PUFAs for SFAs decreases the production and number of LDL particles in men with
dyslipidemia and IR. This trial was registered at clinicaltrials.gov as
NCT01934543.
PMID- 29381798
TI - The effects of Ramadan fasting on activity and energy expenditure.
AB - Background: Fasting during the month of Ramadan entails abstinence from eating
and drinking between dawn and sunset and a major shift in meal times and patterns
with associated changes in several hormones and circadian rhythms; whether there
are accompanying changes in energy metabolism is unclear. Objective: We have
investigated the impact of Ramadan fasting on resting metabolic rate (RMR),
activity, and total energy expenditure (TEE). Design: Healthy nonobese volunteers
(n = 29; 16 women) fasting during Ramadan were recruited. RMR was measured with
the use of indirect calorimetry. In subgroups of participants, activity (n = 11;
5 women) and TEE (n = 10; 5 women) in free-living conditions were measured with
the use of accelerometers and the doubly labeled water technique, respectively.
Body composition was measured with the use of bioelectrical impedance.
Measurements were repeated after a wash-out period of between 1 and 2 mo after
Ramadan. Nonparametric tests were used for comparative statistics. Results:
Ramadan fasting did not result in any change in RMR (mean +/- SD: 1365.7 +/-
230.2 compared with 1362.9 +/- 273.6 kcal/d for Ramadan and post-Ramadan
respectively, P = 0.713, n = 29). However, controlling for the effects of age,
sex, and body weight, RMR was higher in the first week of Ramadan than in
subsequent weeks. During Ramadan, the total number of steps walked were
significantly lower (n = 11, P = 0.001), while overall sleeping time was reduced
and different sleeping patterns were seen. TEE did not differ significantly
between Ramadan and post-Ramadan (mean +/- SD: 2224.1 +/- 433.7 compared with
2121.0 +/- 718.5 kcal/d for Ramadan and post-Ramadan, P = 0.7695, n = 10).
Conclusions: Ramadan fasting is associated with reduced activity and sleeping
time, but no significant change in RMR or TEE. Reported weight changes with
Ramadan in other studies are more likely to be due to differences in food intake.
This trial is registered at clinicaltrials.gov as NCT02696421.
PMID- 29381799
TI - Long-term supplementation with folic acid and vitamin B-12 has no effect on
circulating uric acid concentrations in Norwegian patients with coronary artery
disease.
PMID- 29381801
TI - With Appreciation 2017.
PMID- 29381800
TI - Reply to J Dierkes et al.
PMID- 29381802
TI - The effect of consumption temperature on the homeostatic and hedonic responses to
glucose ingestion in the hypothalamus and the reward system.
AB - Background: Excessive consumption of sugar-sweetened beverages (SSBs) has been
associated with obesity and related diseases. SSBs are often consumed cold, and
both the energy content and temperature might influence the consumption behavior
for SSBs. Objective: The main aim of this study was to elucidate whether
consumption temperature and energy (i.e., glucose) content modulate homeostatic
(hypothalamus) and reward [ventral tegmental area (VTA)] responses. Design:
Sixteen healthy men participated in our study [aged 18-25 y; body mass index
(kg/m2): 20-23]. High-resolution functional magnetic resonance imaging data were
collected after ingestion of 4 different study stimuli: plain tap water at room
temperature (22 degrees C), plain tap water at 0 degrees C, a glucose-containing
beverage (75 g glucose dissolved in 300 mL water) at 22 degrees C, and a similar
glucose drink at 0 degrees C. Blood oxygen level-dependent (BOLD) changes from
baseline (7 min preingestion) were analyzed over time in the hypothalamus and VTA
for individual stimulus effects and for effects between stimuli. Results: In the
hypothalamus, water at 22 degrees C led to a significantly increased BOLD
response; all other stimuli resulted in a direct, significant decrease in BOLD
response compared with baseline. In the VTA, a significantly decreased BOLD
response compared with baseline was found after the ingestion of stimuli
containing glucose at 0 degrees C and 22 degrees C. These responses were not
significantly modulated by consumption temperature. The consumption of plain
water did not have a significant VTA BOLD effect. Conclusions: Our data show that
glucose at 22 degrees C, glucose at 0 degrees C, and water at 0 degrees C lowered
hypothalamic activity, which is associated with increased satiation. On the
contrary, the consumption of water at room temperature increased activity. All
stimuli led to a similar VTA response, which suggests that all drinks elicited a
similar hedonic response. Our results indicate that, in addition to glucose, the
low temperature at which SSBs are often consumed also leads to a response from
the hypothalamus and might strengthen the response of the VTA. This trial was
registered at www.clinicaltrials.gov as NCT03181217.
PMID- 29381803
TI - Capsinoids activate brown adipose tissue (BAT) with increased energy expenditure
associated with subthreshold 18-fluorine fluorodeoxyglucose uptake in BAT
positive humans confirmed by positron emission tomography scan.
AB - Background: Capsinoids are reported to increase energy expenditure (EE) via brown
adipose tissue (BAT) stimulation. However, imaging of BAT activation by
capsinoids remains limited. Because BAT activation is a potential therapeutic
strategy for obesity and related metabolic disorders, we sought to prove that
capsinoid-induced BAT activation can be visualized by 18-fluorine
fluorodeoxyglucose (18F-FDG) positron emission tomography (PET). Objective: We
compared capsinoids and cold exposure on BAT activation and whole-body EE.
Design: Twenty healthy participants (8 men, 12 women) with a mean age of 26 y
(range: 21-35 y) and a body mass index (kg/m2) of 21.7 (range: 18.5-26.0)
underwent 18F-FDG PET and whole-body calorimetry after ingestion of 12 mg
capsinoids or <=2 h of cold exposure (~14.5 degrees C) in a crossover design.
Mean standardized uptake values (SUVs) of the region of interest and BAT volumes
were calculated. Blood metabolites were measured before and 2 h after each
treatment. Results: All of the participants showed negligible 18F-FDG uptake post
capsinoid ingestion. Upon cold exposure, 12 participants showed avid 18F-FDG
uptake into supraclavicular and lateral neck adipose tissues (BAT-positive
group), whereas the remaining 8 participants (BAT-negative group) showed
undetectable uptake. Capsinoids and cold exposure increased EE, although cold
induced a 2-fold increase in whole-body EE and higher fat oxidation, insulin
sensitivity, and HDL cholesterol compared with capsinoids. Conclusions:
Capsinoids only increased EE in BAT-positive participants, which suggests that
BAT mediates EE evoked by capsinoids. This implies that capsinoids stimulate BAT
to a lesser degree than cold exposure as evidenced by 18F-FDG uptake below the
presently accepted SUV thresholds defining BAT activation. This trial was
registered at www.clinicaltrials.gov as NCT02964442.
PMID- 29381804
TI - Dietary assessment in the digital age: the ongoing quest for better methods.
PMID- 29381805
TI - A loop-mediated isothermal amplification procedure targeting the sodA gene for
rapid and specific identification of Gallibacterium anatis.
AB - This paper reports on the development and validation of a real-time loop-mediated
isothermal amplification assay (LAMP) for rapid and specific identification of
Gallibacterium anatis. To design a set of 6 primers using the LAMP technique, the
conserved region of the G. anatis sodA gene was selected as a target. To evaluate
primer specificity we used 120 field strains, the reference strain G. anatis ATCC
43329, and 9 non-G. anatis bacteria. The results confirmed positive reactions for
all G. anatis strains tested by LAMP at 63 degrees C for 60 min, with no cross
reactivity observed for the negative control bacteria, i.e., Haemophilus
parainfluenzae (ATCC 51505 and ATCC 33392), Aggregatibacter aphrophilus ATCC
7901, Avibacterium endocarditis, Pasteurella multocida, Actinobacillus
pleuropneumoniae, Avibacterium paragallinarum, Ornithobacterium rhinotracheale,
and Escherichia coli. The lowest detectable amount of DNA for the LAMP reaction
was 0.2561 pg, which was detected in about 34 min, while the highest available
concentration of the G. anatis reference strain was detected in about 10 min. The
lowest detectable amount of DNA for the real-time PCR reaction was 21.24 pg,
which was detected in about 20 min, while the highest available concentration of
the G. anatis reference strain was detected in about 7 min. Moreover, using the
real-time LAMP assay the reaction could be effectively carried out in a volume of
just 13 MUL, about half the officially recommended reaction volume (25 MUL). The
aim of this study was to develop a highly sensitive and specific G. anatis real
time LAMP assay that is less time-consuming and less costly than quantitative
PCR.
PMID- 29381806
TI - Targeting Pin1 by inhibitor API-1 regulates microRNA biogenesis and suppresses
hepatocellular carcinoma development.
AB - : Hepatocellular carcinoma (HCC) is a leading cause of cancer death worldwide,
but there are few effective treatments. Aberrant microRNA (miRNA) biogenesis is
correlated with HCC development. We previously demonstrated that peptidyl-prolyl
cis-trans isomerase NIMA-interacting 1 (Pin1) participates in miRNA biogenesis
and is a potential HCC treatment target. However, how Pin1 modulates miRNA
biogenesis remains obscure. Here, we present in vivo evidence that Pin1
overexpression is directly linked to the development of HCC. Administration with
the Pin1 inhibitor (API-1), a specific small molecule targeting Pin1 peptidyl
prolyl isomerase domain and inhibiting Pin1 cis-trans isomerizing activity,
suppresses in vitro cell proliferation and migration of HCC cells. But API-1
induced Pin1 inhibition is insensitive to HCC cells with low Pin1 expression
and/or low exportin-5 (XPO5) phosphorylation. Mechanistically, Pin1 recognizes
and isomerizes the phosphorylated serine-proline motif of phosphorylated XPO5 and
passivates phosphorylated XPO5. Pin1 inhibition by API-1 maintains the active
conformation of phosphorylated XPO5 and restores XPO5-driven precursor miRNA
nuclear-to-cytoplasm export, activating anticancer miRNA biogenesis and leading
to both in vitro HCC suppression and HCC suppression in xenograft mice.
CONCLUSION: Experimental evidence suggests that Pin1 inhibition by API-1 up
regulates miRNA biogenesis by retaining active XPO5 conformation and suppresses
HCC development, revealing the mechanism of Pin1-mediated miRNA biogenesis and
unequivocally supporting API-1 as a drug candidate for HCC therapy, especially
for Pin1-overexpressing, extracellular signal-regulated kinase-activated HCC.
(Hepatology 2018).
PMID- 29381807
TI - Facioscapulohumeral muscular dystrophy functional composite outcome measure.
AB - INTRODUCTION: We developed an evaluator-administered functional
facioscapulohumeral muscular dystrophy composite outcome measure (FSHD-COM)
comprising patient-identified areas of functional burden for future clinical
trials. METHODS: We performed a prospective observational study of 41 patients
with FSHD at 2 sites. The FSHD-COM includes functional assessment of the legs,
shoulders and arms, trunk, hands, and balance/mobility. We determined the test
retest reliability and convergent validity compared to established FSHD disease
metrics. RESULTS: The FSHD-COM demonstrated excellent test-retest reliability
(intraclass correlation coefficient [ICC] 0.96; subscale ICC range, 0.90-0.94).
Cross-sectional associations between the FSHD-COM and disease duration, clinical
severity, and strength were moderate to strong (Pearson correlation coefficient
range |0.51-0.92|). DISCUSSION: The FSHD-COM is a disease-relevant, functional
composite outcome measure suitable for future FSHD clinical trials that shows
excellent test-retest reliability and cross-sectional associations to disease
measures. Future directions include determining multisite reliability,
sensitivity to change, and the minimal clinically important change in the FSHD
COM. Muscle Nerve, 2018.
PMID- 29381810
TI - Mcleod syndrome is a new cause of axial muscle weakness.
PMID- 29381808
TI - Pre-drill Groundwater Geochemistry in the Karoo Basin, South Africa.
AB - Enhanced production of unconventional hydrocarbons in the United States has
driven interest in natural gas development globally, but simultaneously raised
concerns regarding water quantity and quality impacts associated with hydrocarbon
extraction. We conducted a pre-development assessment of groundwater geochemistry
in the critically water-restricted Karoo Basin, South Africa. Twenty-two springs
and groundwater samples were analyzed for major dissolved ions, trace elements,
water stable isotopes, strontium and boron isotopes, hydrocarbons and helium
composition. The data revealed three end-members: a deep, saline groundwater with
a sodium-chloride composition, an old, deep freshwater with a sodium-bicarbonate
chloride composition and a shallow, calcium-bicarbonate freshwater. In a few
cases, we identified direct mixing of the deep saline water and shallow
groundwater. Stable water isotopes indicate that the shallow groundwater was
controlled by evaporation in arid conditions, while the saline waters were
diluted by apparently fossil meteoric water originated under wetter climatic
conditions. These geochemical and isotopic data, in combination with elevated
helium levels, suggest that exogenous fluids are the source of the saline
groundwater and originated from remnant seawater prior to dilution by old
meteoric water combined with further modification by water-rock interactions.
Samples with elevated methane concentrations (>14 ccSTP/kg) were strongly
associated with the sodium-chloride water located near dolerite intrusions, which
likely provide a preferential pathway for vertical migration of deeply sourced
hydrocarbon-rich saline waters to the surface. This pre-drill evaluation
indicates that the natural migration of methane- and salt-rich waters provides a
source of geogenic contamination to shallow aquifers prior to shale gas
development in the Karoo Basin.
PMID- 29381811
TI - Less can be more: A finite treatment approach for HBeAg-negative chronic
hepatitis B.
PMID- 29381809
TI - Tumor progression locus 2 in hepatocytes potentiates both liver and systemic
metabolic disorders in mice.
AB - : Tumor progression locus 2 (TPL2), a serine/threonine kinase, has been regarded
as a potentially interesting target for the treatment of various diseases with an
inflammatory component. However, the function of TPL2 in regulating hepatocyte
metabolism and liver inflammation during the progression of nonalcoholic fatty
liver disease (NAFLD) is poorly understood. Here, we report that TPL2 protein
expression was significantly increased in fatty liver from diverse species,
including humans, monkeys, and mice. Further investigations revealed that
compared to wild-type (WT) littermates, hepatocyte-specific TPL2 knockout (HKO)
mice exhibited improved lipid and glucose imbalance, reserved insulin
sensitivity, and alleviated inflammation in response to high-fat diet (HFD)
feeding. Overexpression of TPL2 in hepatocytes led to the opposite phenotype.
Regarding the mechanism, we found that mitogen-activated protein kinase kinase 7
(MKK7) was the specific substrate of TPL2 for c-Jun N-terminal kinase (JNK)
activation. TPL2-MKK7-JNK signaling in hepatocytes represents a promising
drugable target for treating NAFLD and associated metabolic disorders.
CONCLUSION: In hepatocytes, TPL2 acts as a key mediator that promotes both liver
and systemic metabolic disturbances by specifically increasing MKK7-JNK
activation. (Hepatology 2018).
PMID- 29381812
TI - Motor unit number index and neurophysiological index as candidate biomarkers of
presymptomatic motor neuron loss in amyotrophic lateral sclerosis.
AB - INTRODUCTION: Our objective was to determine the utility of motor unit number
index (MUNIX) and neurophysiological index (NI) as surrogate biomarkers of
disease progression in limbs without clinical signs of lower motor neuron (LMN)
involvement from patients with slowly progressive amyotrophic lateral sclerosis
(ALS). METHODS: Patients with slowly progressive ALS and at least 1 clinically
unaffected limb were prospectively enrolled. Clinical signs of LMN loss and
results from hand-held dynamometer (HHD), revised ALS Functional Rating Scale
(ALSFRS-R), mean-MUNIX (from 3 different muscles), and NI were longitudinally
recorded. RESULTS: Eighteen patients with 43 presymptomatic muscles were
evaluated. Twenty-seven muscles remained clinically unaffected during study, with
stable ALSFRS-R subscores and HHD measures. However, a significant decline in
mean-MUNIX and NI was detected. DISCUSSION: Mean-MUNIX and NI were more sensitive
than clinical measures at detecting LMN loss in presymptomatic limbs from
patients with slowly progressive ALS. Therefore, these electrophysiological
biomarkers should be included in early study phases as meaningful outcome
measures. Muscle Nerve 58: 204-212, 2018.
PMID- 29381813
TI - Clinical neuropsychology in the management of myotonic dystrophy.
PMID- 29381814
TI - Quality measures: Do they measure up?
PMID- 29381815
TI - Effects of polyphenols on doxorubicin-induced oral keratinocyte cytotoxicity and
anticancer potency against oral cancer cells.
AB - BACKGROUND: Normal human oral keratinocytes are highly sensitive to anticancer
drugs including doxorubicin. Resveratrol, epigallocatechin gallate, and tannic
acid are polyphenolic compounds that were reported to have cardioprotective
effect when combined with doxorubicin. However, it is unknown whether these
polyphenols could protect normal human oral keratinocytes against doxorubicin
induced cytotoxicity without weakening its cytotoxic potential against oral
cancer cells. Here, we examined the effects of the 3 polyphenolic compounds on
doxorubicin-induced cytotoxicity in normal human oral keratinocytes and also
investigated their effects on doxorubicin potency in HSC-2 human oral squamous
cell carcinoma cells. METHODS: Cell viability was evaluated, followed by the
analysis of apoptosis and necrosis. The changes in intracellular reactive oxygen
species at the early stage after treatment were also examined. RESULTS: The
results revealed that resveratrol in combination with doxorubicin additively
augmented doxorubicin cytotoxicity in both types of cells. However,
epigallocatechin gallate and tannic acid at a certain concentration mitigated the
doxorubicin-induced keratinocyte toxicity mainly due to reduced doxorubicin
induced necrosis in normal human oral keratinocytes without weaken doxorubicin
anticancer efficacy. The exact mechanism is still unknown but intracellular
reactive oxygen species might be not the sole factor. CONCLUSIONS: This study for
the first time reported the effects of resveratrol, epigallocatechin gallate, and
tannic acid on doxorubicin-induced cytotoxicity in normal oral keratinocytes and
oral cancer cells. The combined use of epigallocatechin gallate or tannic acid
with doxorubicin at a certain concentration could mitigate doxorubicin-induced
keratinocyte cytotoxicity without weakening doxorubicin anticancer efficacy.
PMID- 29381816
TI - Preferences for treatment among adolescents with Type 1 diabetes: a national
study using a discrete choice experiment model.
AB - AIM: To test the possibility of using a discrete choice experiment model, on a
national level in adolescents with Type 1 diabetes, in order to obtain a better
understanding of drivers of and barriers to diabetes self-care. METHODS: A survey
instrument was constructed and tested on a small group of the target population:
adolescents aged 15 to <18 years with Type 1 diabetes. All individuals in Sweden
belonging to this target group (N=2112) were then identified via the Swedish
paediatric diabetes quality registry SWEDIABKIDS, and were sent an invitation to
answer an online questionnaire. A valid response for the discrete choice
experiment analyses was achieved from 431 individuals. RESULTS: The included
respondents were not statistically different from non-participants in terms of
age and duration of diabetes, but more young women entered the study and the
participants had (on average) a significantly lower HbA1c value than the non
participants. Participants regarded as undesirable both non-severe hypoglycaemic
events (day and night) and hyperglycaemic events. Avoiding weight gain and even
achieving weight loss were the most important aspects among female respondents,
who were willing to trade off a substantial level of glycaemic control [13
mmol/mol (1.2%)] to avoid a weight gain of 3 kg. Hypothetical equipment
improvements were desired. CONCLUSIONS: The responses may provide useful
indications of the aspects that the respondents would prioritize given a real
life dilemma. For treatment effects, stratification along gender lines was
important, whereas the treatment administration aspects were stratified according
to treatment type because these aspects are closely related.
PMID- 29381817
TI - Oscillatory activity in the cortico-basal ganglia-thalamic neural circuits in
Parkinson's disease.
AB - Dopamine is an important neurotransmitter that maintains the balance within the
basal ganglia between the direct pathway, which promotes movement, and the
indirect pathway, which inhibits movement. Degeneration of dopaminergic neurons
in the substantia nigra increases the influence of the indirect pathway,
resulting in motor dysfunction in Parkinson's disease (PD). The direct and
indirect pathways are composed of basal ganglia and thalamic nuclei, which are
interconnected via independent parallel loop circuits with cortical areas and
often referred to as cortico-basal ganglia-thalamic (CBT) neural circuits. CBT
circuits have been useful in generating hypotheses to describe slowness in PD.
Recent work has focused on aberrant neural oscillations within CBT circuits.
Although beta (13-30 Hz) oscillations are a common feature of the CBT network,
there is growing evidence that abnormally exaggerated beta oscillations, observed
after dopamine loss in the CBT circuits, may contribute to motor symptoms of PD.
Disruption of abnormal beta oscillations has been associated with the improvement
of motor functions during pharmacological treatments, surgical lesions, and
electrical stimulation. However, it is not clear how abnormal oscillations
originate in the CBT motor network and resonate specifically in the beta band
after the loss of dopamine. Most studies have addressed these questions by
simultaneous recordings of oscillations in the motor cortex, basal ganglia
nuclei, and motor regions of the thalamus in animal models of parkinsonism as
well as in PD patients. This review further discusses previous and current
studies of the changes in oscillatory activity at the level of CBT neural network
in PD.
PMID- 29381818
TI - Role of omalizumab in insulin hypersensitivity: a case report and review of the
literature.
AB - BACKGROUND: Insulin allergy is a rare yet severe side effect of exogenous insulin
use. Management typically involves use of alternative antihyperglycaemic agents,
symptom control with antihistamines, use of different insulin formulations, and
induction of tolerance with incremental doses of insulin. This treatment regimen
is not always successful, and the use of omalizumab, an anti-IgE monoclonal
antibody, has been used to induce tolerance to insulin. CASE REPORT: G.M. is a 62
year-old man with Type 2 diabetes mellitus. His condition was not optimized on
oral agents, and insulin therapy was required. G.M. had anaphylaxis to insulin
NPH, and subsequent skin-prick testing was positive to insulin aspart, insulin
NPH, insulin glulisine, insulin detemir, regular insulin, insulin glargine 100
units/ml and insulin glargine 300 units/ml. He received incremental doses of
several insulin formulations; however, he experienced diffuse urticaria
preventing optimal glycaemic control. Three successful cases have been described
in the literature of omalizumab inducing tolerance to exogenous insulin;
therefore, G.M. was started on omalizumab. He subsequently tolerated treatment
doses of insulin glulisine and insulin detemir with no allergic reactions and
with improvement in glycaemic control. CONCLUSION: To our knowledge, this is the
first described case of allergy to insulin glargine 300 units/ml and reiterates
the potential use of omalizumab in insulin allergy. Further research is warranted
to determine if omalizumab should be considered standard of care in difficult-to
treat insulin hypersensitivity.
PMID- 29381819
TI - Behavioural signatures of backward planning in animals.
AB - Goal-directed planning in behavioural and neural sciences is theorized to involve
a prospective mental simulation that, starting from the animal's current state in
the environment, expands a decision tree in a forward fashion. Backward planning
in the artificial intelligence literature, however, suggests that agents expand a
mental tree in a backward fashion starting from a certain goal state they have in
mind. Here, we show that several behavioural patterns observed in animals and
humans, namely outcome-specific Pavlovian-to-instrumental transfer and
differential outcome effect, can be parsimoniously explained by backward
planning. Our basic assumption is that the presentation of a cue that has been
associated with a certain outcome triggers backward planning from that outcome
state. On the basis of evidence pointing to forward and backward planning models,
we discuss the possibility of brain using a bidirectional planning mechanism
where forward and backward trees are expanded in parallel to achieve higher
efficiency.
PMID- 29381820
TI - Reference tissue models in the assessment of 11 C-DTBZ binding to the VMAT2 in
rat striatum: A test-retest reproducibility study.
AB - Dopaminergic PET imaging is a useful tool to assess the dopaminergic integrity
and to follow-up longitudinal studies. The aim of this study was to evaluate the
reliability and reproducibility of different reference tissue-based methods to
determine the non-displaceable binding potential (BPND ) as a quantitative
measure of 11 C-DTBZ binding to the VMAT2 in rat striatum using cerebellum as
reference region. Eight healthy Wistar rats underwent two microPET scans at the
age of 12 (test) and 20 weeks (retest). BPND was determined using the simplified
reference tissue model, Logan reference tissue model, and multilinear reference
tissue models (MRTMo and MRTM2). Additionally, a striatal-to-cerebellar-ratio
(SCR) analysis was performed. The reproducibility between the two scans was
assessed using the interclass correlation coefficients (ICC) and the variability
index. Repeatability indices showed acceptable ICC = 0.66 (SCR) to excellent ICC
= 0.98 (MRTM2) reliability for this study and a variability ranging from 12.26%
(SCR) to 3.28% (MRTM2). To the best of our knowledge, this is the first report on
longitudinal studies for 11 C-DTBZ in rats using reference tissue methods.
Excellent intersubject and intrasubject reproducibility was obtained with the
multilinear reference MRTM2, suggesting this as the best method to compare
longitudinal studies, whereas the SCR method had poor reliability. Logan method,
however, is a method simple to compute that shows accurate reproducibility with a
reasonable level of inter- and intra-subject variability allowing crossover
studies to follow-up the uptake of 11 C-DTBZ in rat striatum.
PMID- 29381822
TI - Metabolomic response to coffee consumption: application to a three-stage clinical
trial.
AB - BACKGROUND: Coffee is widely consumed and contains many bioactive compounds, any
of which may impact pathways related to disease development. OBJECTIVE: To
identify individual metabolite changes in response to coffee. METHODS: We
profiled the metabolome of fasting serum samples collected from a previously
reported single-blinded, three-stage clinical trial. Forty-seven habitual coffee
consumers refrained from drinking coffee for 1 month, consumed four cups of
coffee/day in the second month and eight cups/day in the third month. Samples
collected after each coffee stage were subject to nontargeted metabolomic
profiling using UPLC-ESI-MS/MS. A total of 733 metabolites were included for
univariate and multivariate analyses. RESULTS: A total of 115 metabolites were
significantly associated with coffee intake (P < 0.05 and Q < 0.05). Eighty-two
were of known identity and mapped to one of 33 predefined biological pathways. We
observed a significant enrichment of metabolite members of five pathways (P <
0.05): (i) xanthine metabolism: includes caffeine metabolites, (ii) benzoate
metabolism: reflects polyphenol metabolite products of gut microbiota metabolism,
(iii) steroid: novel but may reflect phytosterol content of coffee, (iv) fatty
acid metabolism (acylcholine): novel link to coffee and (v) endocannabinoid:
novel link to coffee. CONCLUSIONS: The novel metabolites and candidate pathways
we have identified may provide new insight into the mechanisms by which coffee
may be exerting its health effects.
PMID- 29381821
TI - Ticagrelor attenuates myocardial ischaemia-reperfusion injury possibly through
downregulating galectin-3 expression in the infarct area of rats.
AB - AIMS: The full benefits of myocardial revascularization strategies applied to
acute myocardial infarction patients might be reduced by myocardial ischaemia and
reperfusion (I/R) injury. It is known that inflammation plays an important role
in the pathogenesis of I/R injury and galectin-3, a known inflammatory factor, is
actively involved in ischaemia-induced inflammation and fibrosis of various
organs. Previous studies demonstrated that anti-platelets therapy with
ticagrelor, a new P2Y12 receptor antagonist, could effectively attenuate
myocardial I/R injury and I/R injury-related inflammatory responses. It remains
unknown whether the cardioprotective effects of ticagrelor are also mediated by
modulating myocardial galectin-3 expression. METHODS: We determined the ratio of
infarct area (IA)/area at risk (AAR), expression of galectin-3, TNF-alpha and IL
6 in infarct area of rats treated with placebo (equal volume saline per gastric
gavage immediately after LAD ligation, then once daily till study end) or
ticagrelor (150 mg kg-1 dissolved in saline per gastric gavage immediately after
LAD ligation, then once daily till study end) at 24 h, 3 and 7 days post I (45
min)/R injury. Sham-operated rats served as control. RESULTS: Our results showed
that ticagrelor treatment significantly reduced IA/AAR ratio at 3 and 7 days post
I/R, downregulated mRNA and protein expression of galectin-3, as well as mRNA
expression of TNF-alpha and IL-6 in infarct area at 24 h, 3 and 7 days post I/R.
CONCLUSIONS: Our results suggest that the cardioprotective effects of ticagrelor
might partly be mediated by downregulating galectin-3 expression in infarct area
in this rat model of myocardial I/R injury.
PMID- 29381823
TI - The role of alpha oscillations in distractor inhibition during memory retention.
AB - Only small amounts of visual information, as determined by the capacity of
working memory, can be held in an active and accessible state. Thus, it is
important to select and maintain information that is relevant while ignoring
irrelevant information. However, the underlying neural mechanism of these
processes has yet to be identified. One potential candidate are alpha
oscillations (8-14 Hz), which have been shown to inhibit stimulus processing in
perceptual tasks. During memory maintenance, alpha power increases with set size
suggesting that alpha oscillations are involved either in memory maintenance or
in the inhibition of task-irrelevant information to protect relevant information
from interference. The need for such a protection should increase with the amount
of distracting information, but most previous studies did not show any
distractors. Therefore, we directly tested whether alpha oscillations are
involved in inhibition of distractors during memory maintenance. Participants
memorized the orientation of one or two target lines embedded among irrelevant
distractors. Distractors were either strong or weak and were present during the
retention interval after which participants reported the orientation of probed
targets. Computational modeling showed that performance decreased with increasing
set size and stronger distraction. Alpha power in the retention interval
generally increased with set size, replicating previous studies. However, here
stronger distractors reduced alpha power. This finding is in clear
contradistinction to previous suggestions, as alpha power decrease indicates
higher neuronal excitability. Thus, our data do not support the suggested role of
alpha oscillations in inhibition of distraction in working memory.
PMID- 29381824
TI - Transversus abdominis plane (TAP) block in laparoscopic colorectal surgery
improves postoperative pain management: a meta-analysis.
AB - AIM: Transversus abdominis plane (TAP) block is a locoregional anaesthesia
technique of growing interest in abdominal surgery. However, its efficacy
following laparoscopic colorectal surgery is still debated. This meta-analysis
aimed to assess the efficacy of TAP block after laparoscopic colorectal surgery.
METHOD: All comparative studies focusing on TAP block after laparoscopic
colorectal surgery have been systematically identified through the MEDLINE
database, reviewed and included. Meta-analysis was performed according to the
Mantel-Haenszel method for random effects. End-points included postoperative
opioid consumption, morbidity, time to first bowel movement and length of
hospital stay. RESULTS: A total of 13 studies, including 7 randomized controlled
trials, were included, comprising a total of 600 patients who underwent
laparoscopic colorectal surgery with TAP block, compared with 762 patients
without TAP block. Meta-analysis of these studies showed that TAP block was
associated with a significantly reduced postoperative opioid consumption on the
first day after surgery [weighted mean difference (WMD) -14.54 (-25.14; -3.94); P
= 0.007] and a significantly shorter time to first bowel movement [WMD -0.53 (
0.61; -0.44); P < 0.001] but failed to show any impact on length of hospital stay
[WMD -0.32 (-0.83; 0.20); P = 0.23] although no study considered length of stay
as its primary outcome. Finally, TAP block was not associated with a significant
increase in the postoperative overall complication rate [OR = 0.84 (0.62-1.14); P
= 0.27]. CONCLUSION: Transversus abdominis plane (TAP) block in laparoscopic
colorectal surgery improves postoperative opioid consumption and recovery of
postoperative digestive function without any significant drawback.
PMID- 29381825
TI - Proton pump inhibitors, Enterococcus, and the liver, oh my!
PMID- 29381827
TI - Effects of nutrition and hygiene education on oral health and growth among
toddlers in rural Uganda: follow-up of a cluster-randomised controlled trial.
AB - OBJECTIVE: To examine the effect of a nutrition and hygiene education
intervention on oral health behaviour and whether early onset of caries was
related to child growth in rural Uganda. METHODS: Follow-up study of a cluster
randomised controlled trial conducted between October 2013 and January 2015. Data
were available from 399 mother/child pairs (203 in the intervention and 198 in
the control group) of the original trial (78%) when the children were 36 months
old. Oral health behaviour was evaluated using questionnaires. Photographs of the
maxillary anterior teeth were examined for unmistakably carious lesions, and 115
water samples from the study area were analysed for fluoride concentration.
RESULTS: The frequency of cleaning of the child's teeth at 36 months was about
twice as high in the intervention as in the control group (84.3% vs. 46.6%; P =
0.0001). Cavitated carious lesions occurred more frequently in the control than
the intervention group (27.8% vs. 18.2%; P = 0.04). Extraction of 'false teeth'
(ebiino), a painful and crude traditional operation, was profoundly reduced in
the intervention group (8.9% vs. 24.7%; P = 0.001). There was no evidence of
association between the occurrence of caries and child growth. CONCLUSIONS: The
education intervention improved oral hygiene practices and reduced the
development and progression of caries and extraction of ebiino. Early childhood
caries was not clearly associated with child growth.
PMID- 29381826
TI - The effect of itraconazole and rifampicin on the pharmacokinetics of osimertinib.
AB - AIMS: We investigated the effects of a strong CYP3A4 inhibitor (itraconazole) or
inducer (rifampicin) on the pharmacokinetics of the epidermal growth factor
receptor-tyrosine kinase inhibitor osimertinib, in patients with advanced non
small cell lung cancer in two Phase I, open-label, two-part clinical studies.
Part one of both studies is reported. METHODS: In the itraconazole study
(NCT02157883), patients received single-dose osimertinib 80 mg on Days 1 and 10
and itraconazole (200 mg twice daily) on Days 6-18 orally. In the rifampicin
study (NCT02197247), patients received osimertinib 80 mg once daily on Days 1-77
and rifampicin 600 mg once daily on Days 29-49. RESULTS: In the itraconazole
study (n = 36), the geometric least squares mean (GMLSM) ratios (osimertinib plus
itraconazole/osimertinib alone) for Cmax and AUC were 80% (90% CI 73, 87) and
124% (90% CI 115, 135), respectively, below the predefined no-effect upper limit
of 200%. In the rifampicin study (n = 40), the GMLSM ratios (osimertinib plus
rifampicin/osimertinib alone) for Css,max and AUCtau were 27% (90% CI 24, 30) and
22% (90% CI 20, 24), respectively, below the predefined no-effect lower limit of
50%. The induction effect of rifampicin was apparent within 7 days of initiation;
osimertinib Css,max and AUCtau values returned to pre-rifampicin levels within 3
weeks of rifampicin discontinuation. No new osimertinib safety findings were
observed. CONCLUSIONS: Osimertinib can be co-administered with CYP3A4 inhibitors,
but strong CYP3A inducers should be avoided if possible.
PMID- 29381828
TI - Influence of visible light on cutaneous hyperchromias: Clinical efficacy of broad
spectrum sunscreens.
AB - INTRODUCTION: Cutaneous hyperchromias are disorders of skin pigmentation
involving increased melanin production and its irregular accumulation in skin
cells. The use of sunscreens is fundamental for the control of hyperchromias by
reducing the stimulation of pigmentation, as melanin synthesis is mainly
stimulated by solar radiation. Many studies have demonstrated that visible light
can induce significant skin damage. Considering the effects of visible light,
effective photoprotection should not be limited only to UV protection but should
also involve visible and infrared protection. OBJECTIVE: The aim of this study
was to evaluate the efficacy of UV-VIS sunscreens in protecting skin against
damages caused by solar radiation and the influence of visible light on the
appearance of cutaneous hyperchromias. METHODS: Forty volunteers aged 18 to 39
years with skin hyperpigmentation participated in the study. To evaluate the
efficacy of the formulations developed, the percentage of hyperpigmented area was
evaluated using high-resolution images-Visioface(r) Quick (Courage-Khazaka,
Germany) and the analysis of epidermal pigmentation was performed by RCM
Vivascope(r) 1500 (Lucid, USA). Also, the melanin index was determined using the
Mexameter(r) M X16 colorimeter (Courage-Khazaka, Germany). RESULTS: The developed
formulations were effective in the reduction in melanin index, epidermal
pigmentation, and percentage of hyperpigmented area. CONCLUSION: Finally, this
study discusses how the combination of UV filters and pigments can protect the
skin from solar radiation and reduces skin hyperpigmentations.
PMID- 29381829
TI - Maternal L-carnitine supplementation improves glucose and lipid profiles in
female offspring of dams exposed to cigarette smoke.
AB - Sex differences in disease susceptibility due to maternal programming have been
reported. We previously observed that maternal smoking induced renal disease and
neurological changes are restricted to males, while both male and female
offspring develop metabolic disorders. We have also found that maternal L
carnitine supplementation during gestation and lactation can significantly
improve glucose intolerance and hyperlipidaemia in male offspring. This study
aimed to determine whether such beneficial effects can also occur in female
offspring. Balb/c female mice were exposed to cigarette smoke (SE) 6 weeks prior
to gestation, during gestation and lactation. A subgroup of the SE dams was given
L-carnitine (1.5 mmol/L in drinking water) during gestation and lactation. Female
offspring were studied at 20 days (weaning) and 13 weeks (adulthood). Maternal
smoking increased liver weight (%) and blood glucose levels at 20 days, as well
as glucose intolerance and plasma triglycerides levels at adulthood (P < .05).
The hepatic lipid metabolic marker adipose triglyceride lipase was downregulated
in the SE offspring at 20 days (P < .05). At 13 weeks, the hepatic pro
inflammatory markers IL-1beta and TNF-alpha mRNA expression were upregulated,
while the anti-inflammatory marker IL-10 mRNA expression was downregulated in the
SE offspring (P < .05). Liver fibrosis was apparent at 20 days and 13 weeks.
Maternal L-carnitine supplementation either normalised or suppressed the
detrimental effects induced by maternal smoke exposure (P < .05). We conclude
that maternal L-carnitine supplementation improves metabolic parameters in the
female offspring of SE dams.
PMID- 29381830
TI - T-cell cross-reactivity may explain the large variation in how cancer patients
respond to checkpoint inhibitors.
AB - The therapeutic use of the immune system to specifically attack tumours has been
a long-standing vision among tumour immunologists. Recently, the use of
checkpoint inhibitors to turn-off immunosuppressive signals has proven to be
effective in enhancing T-cell reactivity against patient-specific neoantigens,
resulting from somatic mutations. Several of the identified T-cell epitopes share
similarity with common bacterial and viral antigens, suggesting the involvement
of pre-existing microbial cross-reactive T cells in rapid and durable tumour
regression seen in some patients. This notion of T-cell cross-reactivity is
further supported by the findings that intestinal bacteria can influence
checkpoint-blockade therapy. Moreover, early data indicate the presence of such T
cells in long-term survival breast cancer patients. This review highlights the
main challenges for cancer immunotherapy and discusses the potential contribution
of T-cell cross-reactivity in cancer immunotherapy and whether it can be used as
a biomarker to predict the responsiveness to checkpoint inhibitors.
PMID- 29381831
TI - Ptrf transgenic mice exhibit obesity and fatty liver.
AB - Polymerase I and transcript release factor (Ptrf, also known as Cavin1) is an
essential component in the biogenesis and function of caveolae. Ptrf knockout
mice or patients with PTRF mutations exhibit numerous pathologies including
markedly aberrant fuel metabolism, lipodystrophy and muscular dystrophy. In this
study, we generated Ptrf transgenic mice to explore its function in vivo.
Compared with wild-type (WT) mice, we found that the Ptrf transgenic mice showed
obesity with an increased level of ALT (alanine aminotransferase) and AST
(aspartate transaminase). Ptrf transgenic mice exhibited severe fat degeneration
and a higher degree of fat accumulation in the liver compared with WT mice.
Consistently, we found that the expression of the fat synthesis gene, Fasn, was
increased in the liver of Ptrf transgenic mice. Thus, Ptrf transgenic mice would
be a good model for investigating the molecular mechanism and therapeutic targets
of obesity and fatty liver associated diseases.
PMID- 29381832
TI - Do not disregard diagnostic clues of endocarditis: comment on the article by Garg
et al.
AB - We read with great interest the Clinicopathologic Conference by Garg et al.
published recently in Arthritis Care & Research about a patient with Bartonella
related endocarditis (1). However, we regret that the 'petechial pruritic rash'
on the lower extremities and the presence of elevated rheumatoid factor were
initially neglected in a context of glomerulonephritis. Indeed the rash was
poorly described. This article is protected by copyright. All rights reserved.
PMID- 29381833
TI - Do not disregard diagnostic clues of endocarditis: Reply to comment on the
article by Garg et al.
AB - The presentation of endocarditis with a cutaneous vasculitis has been well
reported. The concern of your team regarding the description of the rash is valid
but as noted in the case that the rash was part of his past presentation which
unfortunately was at a different hospital. Given the patient was transferred to
our hospital with altered mental status, it was difficult to get detailed past
history in regards of the distribution, symmetry and characteristics of the skin
rash. This article is protected by copyright. All rights reserved.
PMID- 29381834
TI - Occupational Therapy Treatment to Improve Upper Extremity Function in Individuals
with Early Systemic Sclerosis: A Pilot Study.
AB - OBJECTIVE: To determine the feasibility and preliminary effects of occupational
therapy to improve upper extremity function in patients with early systemic
sclerosis (SSc; scleroderma) who have upper extremity contractures. METHODS: A
single-group pilot clinical rehabilitation trial was conducted at the University
of Michigan Scleroderma Center. Patients with SSc and >=1 upper extremity
contracture (n = 21) participated in a total of 8 weekly in-person occupational
therapy sessions. The therapy consisted of thermal modalities, tissue
mobilization, and upper extremity mobility exercises. The participants were
instructed to perform upper extremity exercises at home between sessions.
Feasibility was measured by the percent enrollment as well as session attendance
and session duration. The primary outcome measure was the Shortened Disabilities
of the Arm, Shoulder and Hand measure (QuickDASH); secondary and exploratory
outcomes included the Patient-Reported Outcomes Measurement Information System
(PROMIS) physical function measures; objective measures of upper extremity
mobility, strength, and coordination; and skin thickening. Linear mixed models
were used to determine the effects of treatment on the primary and secondary
outcomes. RESULTS: Fifty percent of potentially eligible subjects (24 of 48) were
interested in participating. Twenty-one (88%) of the 24 subjects were enrolled,
and 19 (91%) of these 21 subjects completed all sessions. The mean +/- SD age of
the participants was 47.9 +/- 16.1 years; 100% had diffuse SSc, and the mean
disease duration was 3.1 years. At 8 weeks, participants had statistically
significant improvement in the QuickDASH and PROMIS physical function measure (P
= 0.0012 and P = 0.004, respectively). Approximately one-half of participants in
the sample achieved improvement in the QuickDASH and PROMIS measure that exceeded
minimally important differences. CONCLUSION: In-person treatment sessions were
feasible in the patients with SSc and resulted in statistically significant and
clinically meaningful improvements in upper extremity and physical function. In
future studies, the effects of SSc should be compared with those in a control
condition, and the durability of treatment effects should be examined.
PMID- 29381835
TI - Ethnographic Observational Study of the Biologic Initiation Conversation Between
Rheumatologists and Biologic-Naive Rheumatoid Arthritis Patients.
AB - OBJECTIVE: This ethnographic market research study investigated the biologic
initiation conversation between rheumatologists and biologic-naive patients with
rheumatoid arthritis to assess how therapy options, particularly mode of
administration, were discussed. METHODS: Consenting rheumatologists (n = 16) and
patients (n = 48) were videotaped during medical visits and interviewed by a
trained ethnographer. The content, structure, and timing of conversations
regarding biologic initiation were analyzed. RESULTS: The mean duration of
physician-patient visits was approximately 15 minutes; biologic therapies were
discussed for a mean of 5.6 minutes. Subcutaneous (SC) and intravenous (IV)
therapy options were mentioned in 45 and 35 visits, respectively, out of a total
of 48 visits. All patients had some familiarity with SC administration, but
nearly half of patients (22 of 48) were unfamiliar with IV therapy going into the
visit. IV administration was not defined or described by rheumatologists in 77%
of visits (27 of 35) mentioning IV therapy. Thus, 19 of 22 patients who were
initially unfamiliar with IV therapy remained unfamiliar after the visit.
Disparities in physician-patient perceptions were revealed, as all
rheumatologists (16 of 16) believed IV therapy would be less convenient than SC
therapy for patients, while 46% of patients (22 of 48) felt this way. In post
visit interviews, some patients seemed confused and overwhelmed, particularly
when presented with many treatment choices in a visit. Some patients stated they
would benefit from visual aids or summary sheets of key points. CONCLUSION: This
study revealed significant educational opportunities to improve the biologic
initiation conversation and indicated a disparity between patients' and
rheumatologists' perception of IV therapy.
PMID- 29381836
TI - Skin Induration in a Patient With Aplastic Anemia.
PMID- 29381837
TI - Ossification Pattern in Forelimbs of the Siamese Crocodile (Crocodylus
siamensis): Similarity in Ontogeny of Carpus Among Crocodylian Species.
AB - Crocodylians have highly derived elongated carpus, which is related to their use
of forelimbs in many types of gaits as well as in burrowing. The objective of
present study was to describe the ossification of the forelimb in five stages of
Siamese crocodile (Crocodylus siamensis). The ossification begins approximately
at stage 20 in arm and forearm bones moving sequentially to the metacarpal
elements. The first carpal elements with ossification centers are radiale +
intermedium and ulnare (stage 22-23), and their ossification mode is typical of
long bones. Between stages 22 and 24 distal carpals 3, 4, and 5 fuse together to
a single formation. In the stage 25, the ossification proceeds to the pisiform,
which starts ossifying late during the embryogenesis. The phalangeal formula of
the digits is 2,3,4,5,3. Although there are some interspecific differences, it
appears that all crocodylians have similarly uniform skeletal pattern, the
process of ossification, number of carpal elements and phalangeal formulas
probably due to their similar lifestyles. Anat Rec, 2018. (c) 2018 Wiley
Periodicals, Inc.
PMID- 29381838
TI - Supporting Arthritis and Employment Across the Life Course: A Qualitative Study.
AB - OBJECTIVE: To examine the need for and availability and use of formal and
informal workplace resources and to uncover differences across the life course in
adults with arthritis. METHODS: Focus groups and interviews were conducted with
young (aged 18-34 years; n = 7), middle-aged (35-54 years; n = 13), and older
adults (>=55 years; n = 25) with a diagnosis of inflammatory arthritis,
osteoarthritis, or other rheumatic disease. Participants were asked about their
employment experiences, formal and informal workplace resource needs, and
availability and use of workplace resources. Differences based on chronological,
functional, psychosocial, organizational, and lifespan dimensions of age were
examined. A modified grounded theory approach was used to inductively analyze the
data. RESULTS: Young, middle-aged, and older adult participants required similar
workplace resources. Across all participants, scheduling modifications tended to
be the most needed workplace resource. In contrast, the perceived availability
and use of formal workplace resources differed among participants. Young adult
participants and those who were newer to their jobs reported that workplace
resources were less available and utilized. Middle-aged and older adults reported
greater availability of workplace resources. Scheduling accommodations and at
work modifications were the workplace resources that were used most by middle
aged and older adults, respectively. CONCLUSION: Similar workplace resources
could meet the employment needs of individuals with arthritis across the life
course. Attention should be paid to young adults and those who are new to their
jobs, because they may perceive more barriers to accessing formal workplace
resources and be susceptible to work disability.
PMID- 29381839
TI - High-sensitivity 5-, 6-, and 7-color PNH WBC assays for both Canto II and Navios
platforms.
AB - BACKGROUND: Paroxysmal Nocturnal Hemoglobinuria (PNH) is a rare acquired
hematopoietic stem cell disorder characterized by an inability to make Glyco
Phosphatidyl-Inositol (GPI)-linked cell surface structures. Fluorescent
proaerolysin (FLAER-Alexa488) is increasingly used to detect GPI-deficient WBCs
by flow cytometry. However, FLAER is not available in all countries and is
expensive to obtain in others. An earlier study to compare FLAER-based and non
FLAER assays confirmed very good agreement between the two tubes suggesting a
cost effective simultaneous evaluation of PNH neutrophils and monocytes is
possible without FLAER. METHODS: We have used a single tube approach with a 7
color assay comprising FLAER-CD157-CD15-CD64-CD24-CD14-CD45. Conjugates were
carefully selected and validated so that stained samples could be analyzed on
either 10-color Navios or 8-color FACSCanto II platforms. The 6-color (minus
CD14) and 5-color (minus CD24 and CD14) versions were also developed and compared
with our predicate clinical lab 5-color assay comprising FLAER-CD157PE-CD64ECD
CD15PC5-CD45PC7. RESULTS/CONCLUSIONS: CD15-gated PNH neutrophil clone size was
quantified using either FLAER and CD157, FLAER and CD24, or CD157 and CD24. CD64
gated PNH monocyte clone size was quantified using either FLAER and CD157, FLAER
and CD14, or CD157 and CD14. Analysis of >40 PNH samples showed that the FLAER
based plots derive virtually identical data to the non-FLAER plot for neutrophils
(R2 = 1) and monocytes (R2 = 0.9999) and that closely similar data can be
acquired using both Canto II and Navios platforms with 7-, 6-, and 5-color
versions of the assay. Assessment of non-PNH samples confirmed extremely low
background rate of PNH phenotypes (neutrophils and monocytes) with all three
approaches. (c) 2018 International Clinical Cytometry Society.
PMID- 29381840
TI - Swimming Against the Current: A Qualitative Review of the Work Experiences and
Adaptations Made by Employees With Arthritis.
AB - OBJECTIVE: To describe the experiences and strategies of employees with arthritis
to maintain employment, and to use this information to build a conceptual model.
METHODS: We conducted a systematic review of qualitative studies that examined
the work experiences of employees with arthritis. Published studies on arthritis
and employment were searched from electronic databases (1980-2017) and
bibliographic reviews of relevant studies. We used meta-ethnography to synthesize
the findings. RESULTS: We reviewed 17 studies that reported on the experiences of
873 employees. We identified 11 main themes that highlight common issues
experienced by employees with arthritis and grouped these into 4 higher-order
categories: changing nature of the disease (emotional issues, cognitive
struggles, unpredictable physical symptoms), intrapersonal issues (personal
meaning of work, preserving a work identity), interpersonal issues (managing
disclosure, gaining coworker support, organizational culture issues), and work
sustainability strategies (making personal adjustments, using social support,
using workplace accommodations). Using these themes, we developed the Job
Sustainability Model to illustrate how disease, personal, and work-related
factors interact to influence what type of coping behaviors are used and when.
Initially, employees with arthritis rely on making personal adjustments, using
social support, and medical intervention. However, when these coping behaviors
fail to be effective, they draw upon workplace accommodations and resources.
CONCLUSION: Arthritis disrupts an employee's work life by impairing his or her
capacity to be a productive worker. Our results highlight how employees with
arthritis make strategic adaptations to maintain a productive work life for as
long as possible. The findings of this study have implications for work-related
interventions aimed at preserving employment.
PMID- 29381841
TI - Editorial: Epratuzumab: Reveille or Requiem? Teachable Moments for Lupus and
Sjogren's Syndrome Clinical Trials.
PMID- 29381842
TI - Increased Circulating Follicular Treg Cells Are Associated With Lower Levels of
Autoantibodies in Patients With Rheumatoid Arthritis in Stable Remission.
AB - OBJECTIVE: To examine the expression and changes in function of circulating
CD4+CXCR5+FoxP3+ follicular Treg (Tfr) cells in patients with active rheumatoid
arthritis (RA) and in patients with RA in stable remission, and to clarify the
role of Tfr cells in the pathogenesis of RA. METHODS: Levels of Tfr cells and
follicular helper T (Tfh) cells in the peripheral blood of 39 patients with
active RA, 39 patients with RA in stable remission, and 33 healthy controls were
detected by flow cytometry. The function of Tfr cells was measured by coculturing
them with Tfh cells and B cells. Activated CD45RA-FoxP3high Tfr cells were also
analyzed. Clinical indicators, including serum Ig and autoantibody levels, were
tested, and correlations with Tfr cells were systematically analyzed. The Disease
Activity Score in 28 joints (DAS28) was calculated, and correlation analysis with
Tfr cells was conducted. RESULTS: The level of CD4+CXCR5+FoxP3+ Tfr cells and the
Tfr cell:Tfh cell ratio in peripheral blood from patients with RA in stable
remission were significantly increased compared with the same measures in
patients with active RA and in healthy controls. The function of Tfr cells was
enhanced, and the activated CD45RA-FoxP3high Tfr cell subset was increased in
patients with RA in stable remission compared with healthy controls. Furthermore,
the number of Tfr cells in RA patients was inversely correlated with IgG,
rheumatoid factor, and anti-cyclic citrullinated peptide as well as with the
DAS28. CONCLUSION: Circulating Tfr cells are increased as patients with RA
achieve stable remission of disease, and increased Tfr cells can suppress
autoimmunity in RA patients to stabilize their condition. Our results provide
novel insight into RA pathogenesis.
PMID- 29381844
TI - ?
PMID- 29381843
TI - Efficacy of Epratuzumab, an Anti-CD22 Monoclonal IgG Antibody, in Systemic Lupus
Erythematosus Patients With Associated Sjogren's Syndrome: Post Hoc Analyses From
the EMBODY Trials.
AB - OBJECTIVE: EMBODY 1 (ClinicalTrials.gov identifier: NCT01262365) and EMBODY 2
(ClinicalTrials.gov identifier: NCT01261793) investigated the efficacy and safety
of epratuzumab, a CD22-targeted humanized monoclonal IgG antibody, in patients
with systemic lupus erythematosus (SLE). The studies showed no significant
difference from placebo in primary or secondary clinical outcome measures but did
demonstrate B cell-specific immunologic activity. The aim of this post hoc
analysis was to determine whether epratuzumab had a different clinical efficacy
profile in SLE patients with versus those without an associated diagnosis of
Sjogren's syndrome (SS). METHODS: The efficacy and safety of epratuzumab were
compared between 2 patient subpopulations randomized in EMBODY 1 and 2: SLE
patients with and those without a diagnosis of associated SS. British Isles Lupus
Assessment Group (BILAG) total score, BILAG-based Combined Lupus Assessment
(BICLA) clinical response to treatment, biologic markers (including B cells, IgG,
IgM, and IgA), and safety were assessed. RESULTS: A total of 1,584 patients were
randomized in the EMBODY 1 and EMBODY 2 trials; 113 patients were anti-SSA
positive and had a diagnosis of associated SS, and 1,375 patients (86.8%) had no
diagnosis of associated SS (918 patients were randomized to receive epratuzumab
and 457 to receive placebo). For patients with associated SS, but not those
without associated SS, a higher proportion of patients receiving epratuzumab
achieved a BICLA response and a reduction from baseline in BILAG total score. B
cell reduction was faster in patients with associated SS. The sensitivity of B
cells to epratuzumab as measured by the mean concentration producing 50% of the
maximum B cell count depletion was lower for patients with associated SS (9.5
MUg/ml) versus the total EMBODY population (87.1 MUg/ml). No difference in the
frequency of adverse events in those receiving placebo was reported. CONCLUSION:
Patients with SLE and associated SS treated with epratuzumab showed improvement
in SLE disease activity, which was associated with bioactivity, such as decreases
in B cell number and IgM level.
PMID- 29381845
TI - ?
PMID- 29381846
TI - ?
PMID- 29381847
TI - ?
PMID- 29381848
TI - ?
PMID- 29381849
TI - ?
PMID- 29381850
TI - ?
PMID- 29381851
TI - ?
PMID- 29381852
TI - Comparison between Soil- and Biochar-Derived Humic Acids: Composition,
Conformation, and Phenanthrene Sorption.
AB - Biochar-derived organic matter (BDOM) plays an important role in determining
biochar's application potential in soil remediation. However, little is known
about the physicochemical properties of BDOM and its sorption of hydrophobic
organic compounds (HOCs). Humic acids (HAs) were extracted from oxidized biochars
produced from plant straws and animal manures at 450 degrees C, and their
sorption of phenanthrene, a representative of HOCs, was investigated. The organic
carbon recovery of biochar-derived HAs (BDHAs) was 13.9-69.3%. The 13C NMR
spectra of BDHAs mainly consisted of aromatic and carboxylic C, while those of
soil-derived HAs (SDHAs) contained abundant signals in aliphatic region. BDHAs
and SDHAs had comparable CO2 cumulative surface areas. BDHAs were found to
exhibit higher phenanthrene sorption than SDHAs. After the removal of amorphous
aromatic components, the logKoc values of BDHAs were significantly decreased,
implying that amorphous aromatic C regulated phenanthrene sorption by BDHAs. In
contrast, aliphatic moieties dominated phenanthrene sorption by SDHAs, as
evidenced by the enhanced sorption after the removal of amorphous aromatics. This
study clearly demonstrated the contrasting characteristics and sorption behaviors
of BDHA and SDHA, indicating that biochar addition and subsequent weathering
could greatly affect native organic matter properties and the fate of HOCs in
biochar-amended soils.
PMID- 29381853
TI - Hierarchical On-Surface Synthesis of Graphene Nanoribbon Heterojunctions.
AB - Bottom-up graphene nanoribbon (GNR) heterojunctions are nanoscale strips of
graphene whose electronic structure abruptly changes across a covalently bonded
interface. Their rational design offers opportunities for profound technological
advancements enabled by their extraordinary structural and electronic properties.
Thus far, the most critical aspect of their synthesis, the control over sequence
and position of heterojunctions along the length of a ribbon, has been plagued by
randomness in monomer sequences emerging from step-growth copolymerization of
distinct monomers. All bottom-up GNR heterojunction structures created so far
have exhibited random sequences of heterojunctions and, while useful for
fundamental scientific studies, are difficult to incorporate into functional
nanodevices as a result. In contrast, we describe a hierarchical fabrication
strategy that allows the growth of bottom-up GNRs that preferentially exhibit a
single heterojunction interface rather than a random statistical sequence of
junctions along the ribbon. Such heterojunctions provide a viable platform that
could be directly used in functional GNR-based device applications at the
molecular scale. Our hierarchical GNR fabrication strategy is based on
differences in the dissociation energies of C-Br and C-I bonds that allow control
over the growth sequence of the block copolymers from which GNRs are formed and
consequently yields a significantly higher proportion of single-junction GNR
heterostructures. Scanning tunneling spectroscopy and density functional theory
calculations confirm that hierarchically grown heterojunctions between chevron
GNR (cGNR) and binaphthyl-cGNR segments exhibit straddling Type I band alignment
in structures that are only one atomic layer thick and 3 nm in width.
PMID- 29381855
TI - Controlled Evaluation of the Impacts of Surface Coatings on Silver Nanoparticle
Dissolution Rates.
AB - Silver nanoparticles (AgNPs) are increasingly being incorporated into a range of
consumer products and as such there is significant potential for the
environmental release of either the AgNPs themselves or Ag+ ions. When AgNPs are
exposed to environmental systems, the engineered surface coating can potentially
be displaced or covered by naturally abundant macromolecules. These capping
agents, either engineered or incidental, potentially block reactants from surface
sites and can alter nanoparticle transformation rates. We studied how surface
functionalization affects the dissolution of uniform arrays of AgNPs fabricated
by nanosphere lithography (NSL). Bovine serum albumin (BSA) and two molecular
weights of thiolated polyethylene glycol (PEG; 1000 and 5000 Da) were tested as
model capping agents. Dissolution experiments were conducted in air-saturated
phosphate buffer containing 550 mM NaCl. Tapping-mode atomic force microscopy
(AFM) was used to measure changes in AgNP height over time. The measured
dissolution rate for unfunctionalized AgNPs was 1.69 +/- 0.23 nm/d, while the
dissolution rates for BSA, PEG1000, and PEG5000 functionalized samples were 0.39
+/- 0.05, 0.20 +/- 0.10, and 0.14 +/- 0.07 nm/d, respectively. PEG provides a
steric barrier restricting mass transfer of reactants to sites on the AgNP
surface and thus diminishes the dissolution rate. The effects of BSA, however,
are more complicated with BSA initially enhancing dissolution, but providing
protection against dissolution over extended time.
PMID- 29381854
TI - Regioselective Synthesis of Pyranone-Fused Indazoles via Reductive Cyclization
and Alkyne Insertion.
AB - A novel and efficient method for the one-pot synthesis of 2 H-indazole from
readily available building blocks is reported. The reaction of 2
nitrobenzylamines with zinc and ammonium formate underwent partial reduction to
nitroso-benzylamine followed by an intramolecular cyclization to afford 2 H
indazole via N-N bond formation. The carboxylic acid moiety of indazole was
proceeded to regioselective alkyne insertion under ruthenium catalysis to form
pyranone-fused indazoles. The regioselectivity is influenced by the weak
coordination of indazole ring nitrogen to the metal center.
PMID- 29381856
TI - Biochemical Studies and Molecular Dynamic Simulations Reveal the Molecular Basis
of Conformational Changes in DNA Methyltransferase-1.
AB - DNA methyltransferase-1 (DNMT1) plays a crucial role in the maintenance of
genomic methylation patterns. The crystal structure of DNMT1 was determined in
two different states in which the helix that follows the catalytic loop was
either kinked (designated helix-kinked) or well folded (designated helix-straight
state). Here, we show that the proper structural transition between these two
states is required for DNMT1 activity. The mutations of N1248A and R1279D, which
did not affect interactions between DNMT1 and substrates or cofactors,
allosterically reduced enzymatic activities in vitro by decreasing kcat/ Km for
AdoMet. The crystallographic data combined with molecular dynamic (MD)
simulations indicated that the N1248A and R1279D mutants bias the catalytic helix
to either the kinked or straight conformation. In addition, genetic
complementation assays for the two mutants suggested that disturbing the
conformational transition reduced DNMT1 activity in cells, which could act
additively with existing DNMT inhibitors to decrease DNA methylation.
Collectively, our studies provide molecular insights into conformational changes
of the catalytic helix, which is essential for DNMT1 catalytic activity, and thus
aid in better understanding the relationship between DNMT1 dynamic switching and
enzymatic activity.
PMID- 29381857
TI - Improved Ionic Diffusion through the Mesoporous Carbon Skin on Silicon
Nanoparticles Embedded in Carbon for Ultrafast Lithium Storage.
AB - Because of their combined effects of outstanding mechanical stability, high
electrical conductivity, and high theoretical capacity, silicon (Si)
nanoparticles embedded in carbon are a promising candidate as electrode material
for practical utilization in Li-ion batteries (LIBs) to replace the conventional
graphite. However, because of the poor ionic diffusion of electrode materials,
the low-grade ultrafast cycling performance at high current densities remains a
considerable challenge. In the present study, seeking to improve the ionic
diffusion, we propose a novel design of mesoporous carbon skin on the Si
nanoparticles embedded in carbon by hydrothermal reaction, poly(methyl
methacrylate) coating process, and carbonization. The resultant electrode offers
a high specific discharge capacity with excellent cycling stability (1140 mA h g
1 at 100 mA g-1 after 100 cycles), superb high-rate performance (969 mA h g-1 at
2000 mA g-1), and outstanding ultrafast cycling stability (532 mA h g-1 at 2000
mA g-1 after 500 cycles). The battery performances are surpassing the previously
reported results for carbon and Si composite-based electrodes on LIBs. Therefore,
this novel approach provides multiple benefits in terms of the effective
accommodation of large volume expansions of the Si nanoparticles, a shorter Li
ion diffusion pathway, and stable electrochemical conditions from a faster ionic
diffusion during cycling.
PMID- 29381859
TI - High-Speed Live-Cell Interferometry: A New Method for Quantifying Tumor Drug
Resistance and Heterogeneity.
AB - We report the development of high-speed live-cell interferometry (HSLCI), a new
multisample, multidrug testing platform for directly measuring tumor therapy
response via real-time optical cell biomass measurements. As a proof of concept,
we show that HSLCI rapidly profiles changes in biomass in BRAF inhibitor (BRAFi)
sensitive parental melanoma cell lines and in their isogenic BRAFi-resistant
sublines. We show reproducible results from two different HSLCI platforms at two
institutions that generate biomass kinetic signatures capable of discriminating
between BRAFi-sensitive and -resistant melanoma cells within 24 h. Like other
quantitative phase imaging (QPI) modalities, HSLCI is well-suited to noninvasive
measurements of single cells and cell clusters, requiring no fluorescence or dye
labeling. HSLCI is substantially faster and more sensitive than field-standard
growth inhibition assays, and in terms of the number of cells measured
simultaneously, the number of drugs tested in parallel, and temporal measurement
range, it exceeds the state of the art by more than 10-fold. The accuracy and
speed of HSLCI in profiling tumor cell heterogeneity and therapy resistance are
promising features of potential tools to guide patient therapeutic selections.
PMID- 29381858
TI - Bioinorganic Explorations of Zn(II) Sequestration by Human S100 Host-Defense
Proteins.
AB - The human innate immune system launches a metal-withholding response to starve
invading microbial pathogens of essential metal nutrients. Zn(II)-sequestering
proteins of the human S100 family contribute to this process and include
calprotectin (CP, S100A8/S100A9 oligomer, calgranulin A/B oligomer), S100A12
(calgranulin C), and S100A7 (psoriasin). This Perspective highlights recent
advances in the Zn(II) coordination chemistry of these three proteins, as well as
select studies that evaluate Zn(II) sequestration as an antimicrobial mechanism.
PMID- 29381860
TI - Radiometallic Complexes of DO3A-Benzothiazole Aniline for Nuclear Medicine
Theranostics.
AB - To develop a radioactive metal complex platform for tumor theranostics, we
introduced three radiopharmaceutical derivatives of 1,4,7,10
tetraazacyclododecane-1,4,7-trisacetic acid-benzothiazole aniline (DO3A-BTA, L1)
labeled with medical radioisotopes for diagnosis (68Ga/64Cu) and therapy (177Lu).
The tumor-targeting ability of these complexes was demonstrated in a cellular
uptake experiment, in which 177Lu-L1 exhibited markedly higher uptake in HeLa
cells than the 177Lu-1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid
complex. According to in vivo positron emission tomography imaging, high
accumulation of 68Ga-L1 and 64Cu-L1 was clearly visualized in the tumor site,
while 177Lu-L1 showed therapeutic efficacy in therapy experiments. Consequently,
this molecular platform represents a useful approach in nuclear medicine toward
tumor-theranostic radiopharmaceuticals when 68Ga-L1 or 64Cu-L1 is used for
diagnosis, 177Lu-L1 is used for therapy, or two of the compounds are used in
conjunction with each other.
PMID- 29381861
TI - Discovery of Selective, Substrate-Competitive, and Passive Membrane Permeable
Glycogen Synthase Kinase-3beta Inhibitors: Synthesis, Biological Evaluation, and
Molecular Modeling of New C-Glycosylflavones.
AB - Glycogen synthase kinase-3beta (GSK-3beta) is a key enzyme responsible for tau
hyperphosphorylation and is a viable therapeutic target of Alzheimer's disease
(AD). We developed a new class of GSK-3beta inhibitors based on the 6- C
glycosylflavone isoorientin (1). The new inhibitors are passive membrane
permeable and constitutively attenuate GSK-3beta mediated tau
hyperphosphorylation and amyloid neurotoxicity in an AD cellular model. Enzymatic
assays and kinetic studies demonstrated that compound 30 is a GSK-3beta substrate
competitive inhibitor with distinct kinase selectivity, isoform-selectivity and
over 310-fold increased potency as compared to 1. Structure-activity relationship
analyses and in silico modeling suggest the mechanism of actions by which the
hydrophobic, pi-cation, and orthogonal multipolar interactions of 30 with the
substrate site are critical for the GSK-3beta inhibition and selectivity. The
results provide new insights into GSK-3beta drug discovery. The new inhibitors
are valuable chemical probes and drug leads with therapeutic potential to tackle
AD and other GSK-3beta relevant diseases.
PMID- 29381862
TI - Atmospheric Reaction of Cl with 4-Hydroxy-2-pentanone (4H2P): A Theoretical
Study.
AB - The kinetics and the mechanism of the reaction of 4-hydroxy-2-pentanone (4H2P)
with Cl atom were investigated using quantum theoretical calculations. Density
functional theory, CBS-QB3, and G3B3 methods are used to explore the reaction
pathways. Rice-Ramsperger-Kassel-Marcus theory is employed to obtain rate
constants of the reaction at atmospheric pressure and the temperature range 278
400 K. This study provides the first theoretical and kinetic determination of Cl
rate constant for reactions with 4H2P over a large temperature range. The
obtained rate constant 1.47 * 10-10 cm3 molecule-1 s-1 at 298 K is in reasonable
agreement with those obtained for C4-C5 hydroxyketones both theoretically and
experimentally. The results regarding the structure-reactivity relationship and
the atmospheric implications are discussed.
PMID- 29381863
TI - Manipulating the Ordered Nanostructure of Self-Assembled Monoolein and
Phytantriol Nanoparticles with Unsaturated Fatty Acids.
AB - Mesophase structures of self-assembled lyotropic liquid crystalline nanoparticles
are important factors that directly influence their ability to encapsulate and
release drugs and their biological activities. However, it is difficult to
predict and precisely control the mesophase behavior of these materials,
especially in complex systems with several components. In this study, we report
the controlled manipulation of mesophase structures of monoolein (MO) and
phytantriol (PHYT) nanoparticles by adding unsaturated fatty acids (FAs). By
using high throughput formulation and small-angle X-ray scattering
characterization methods, the effects of FAs chain length, cis-trans isomerism,
double bond location, and level of chain unsaturation on self-assembled systems
are determined. Additionally, the influence of temperature on the phase behavior
of these nanoparticles is analyzed. We found that in general, the addition of
unsaturated FAs to MO and PHYT induces the formation of mesophases with higher
Gaussian surface curvatures. As a result, a rich variety of lipid polymorphs are
found to correspond with the increasing amounts of FAs. These phases include
inverse bicontinuous cubic, inverse hexagonal, and discrete micellar cubic phases
and microemulsion. However, there are substantial differences between the phase
behavior of nanoparticles with trans FA, cis FAs with one double bond, and cis
FAs with multiple double bonds. Therefore, the material library produced in this
study will assist the selection and development of nanoparticle-based drug
delivery systems with desired mesophase.
PMID- 29381864
TI - Stress Responses of Aquatic Plants to Silver Nanoparticles.
AB - Silver nanoparticles (AgNPs) are increasingly used in consumer products,
biotechnology, and medicine, and are released into aquatic ecosystems through
wastewater discharge. This study investigated the phytotoxicity of AgNPs to
aquatic plants, Egeria densa and Juncus effusus by measuring physiologic and
enzymatic responses to AgNP exposure under three release scenarios: two chronic
(8.7 mg, weekly) exposures to either zerovalent AgNPs or sulfidized silver
nanoparticles; and a pulsed (450 mg, one-time) exposure to zerovalent AgNPs.
Plant enzymatic and biochemical stress responses were assessed using superoxide
dismutase (SOD) and peroxidase (POD) activity, malondialdehyde (MDA)
concentrations and chlorophyll content as markers of defense and phytotoxicity,
respectively. The high initial pulse treatment resulted in rapid changes in
physiological characteristics and silver concentration in plant tissue at the
beginning of each AgNPs exposure (6 h, 36 h, and 9 days), while continuous AgNP
and sulfidized AgNP chronic treatments gave delayed responses. Both E. densa and
J. effusus enhanced their tolerance to AgNPs toxicity by increasing POD and SOD
activities to scavenge free radicals but at different growth phases. Chlorophyll
did not change. After AgNPs exposure, MDA, an index of membrane damage, was
higher in submerged E. densa than emergent J. effusus, which suggested that
engineered nanoparticles exerted more stress to submerged macrophytes.
PMID- 29381865
TI - Directly Formed Alucone on Lithium Metal for High-Performance Li Batteries and Li
S Batteries with High Sulfur Mass Loading.
AB - Lithium metal is considered the "holy grail" of next-generation battery anodes.
However, severe parasitic reactions at the lithium-electrolyte interface deplete
the liquid electrolyte and the uncontrolled formation of high surface area and
dendritic lithium during cycling causes rapid capacity fading and battery
failure. Engineering a dendrite-free lithium metal anode is therefore critical
for the development of long-life batteries using lithium anodes. In this study,
we deposit a conformal, organic/inorganic hybrid coating, for the first time,
directly on lithium metal using molecular layer deposition (MLD) to alleviate
these problems. This hybrid organic/inorganic film with high cross-linking
structure can stabilize lithium against dendrite growth and minimize side
reactions, as indicated by scanning electron microscopy. We discovered that the
alucone coating yielded several times longer cycle life at high current rates
compared to the uncoated lithium and achieved a steady Coulombic efficiency of
99.5%, demonstrating that the highly cross-linking structured material with great
mechanical properties and good flexibility can effectively suppress dendrite
formation. The protected Li was further evaluated in lithium-sulfur (Li-S)
batteries with a high sulfur mass loading of ~5 mg/cm2. After 140 cycles at a
high current rate of ~1 mA/cm2, alucone-coated Li-S batteries delivered a
capacity of 657.7 mAh/g, 39.5% better than that of a bare lithium-sulfur battery.
These findings suggest that flexible coating with high cross-linking structure by
MLD is effective to enable lithium protection and offers a very promising avenue
for improved performance in the real applications of Li-S batteries.
PMID- 29381866
TI - Transient Sub-bandgap States in Halide Perovskite Thin Films.
AB - Metal halide perovskites are promising solar energy materials, but their
mechanism of action remains poorly understood. It has been conjectured that
energetically stabilized states such as those corresponding to polarons,
quasiparticles in which the carriers are dressed with phonons, are responsible
for their remarkable photophysical properties. Yet, no direct evidence of
polarons or other low-energy states have been reported despite extensive efforts.
Such states should manifest as below bandgap features in transient absorption and
photoluminescence measurements. Here, we use single-particle transient absorption
microscopy on MAPbI3 (MA = methylammonium) to unambiguously identify spectrally
narrow sub-bandgap states directly; we demonstrate that such signals are
completely averaged away in ensemble measurements. Carrier temperature-dependent
studies suggest that hot carriers are directed toward transient low-energy states
which are immune from permanent defects and traps, thereby giving rise to low
carrier recombination rates and ultimately high power conversion efficiency in
devices. The utilization of short-lived sub-bandgap states may be a key design
principle that propels widespread use of highly heterogeneous materials in
optoelectronic applications.
PMID- 29381867
TI - METLIN: A Technology Platform for Identifying Knowns and Unknowns.
AB - METLIN originated as a database to characterize known metabolites and has since
expanded into a technology platform for the identification of known and unknown
metabolites and other chemical entities. Through this effort it has become a
comprehensive resource containing over 1 million molecules including lipids,
amino acids, carbohydrates, toxins, small peptides, and natural products, among
other classes. METLIN's high-resolution tandem mass spectrometry (MS/MS)
database, which plays a key role in the identification process, has data
generated from both reference standards and their labeled stable isotope
analogues, facilitated by METLIN-guided analysis of isotope-labeled
microorganisms. The MS/MS data, coupled with the fragment similarity search
function, expand the tool's capabilities into the identification of unknowns.
Fragment similarity search is performed independent of the precursor mass,
relying solely on the fragment ions to identify similar structures within the
database. Stable isotope data also facilitate characterization by coupling the
similarity search output with the isotopic m/ z shifts. Examples of both are
demonstrated here with the characterization of four previously unknown
metabolites. METLIN also now features in silico MS/MS data, which has been made
possible through the creation of algorithms trained on METLIN's MS/MS data from
both standards and their isotope analogues. With these informatic and
experimental data features, METLIN is being designed to address the
characterization of known and unknown molecules.
PMID- 29381869
TI - Role of Solvent Polarity and Hydrogen-Bonding on Excited-State Fluorescence of 3
[(E)-{4-[Dimethylamino]benzylidene}amino]-2-naphthoic Acid (DMAMN): Isomerization
vs Rotomerization.
AB - The present experimental and theoretical study on a new chromophore DMAMN of the
type push-pi-pull (push = dimethylaniline, pi = imine, pull = 2-naphthoic acid),
allows understanding of the mechanism by which the molecular conformational
undergoes isomerization/rotomerization following electronic excitation. The
steady-state fluorescence spectra of this compound, carried out in solvents of
different polarities and proticities, showed significant changes in both the
shape and peak positions. The wavelength and intensity change depend on the
polarity and hydrogen-bonding environment. In highly polar solvents, the emission
is weak and red-shifted compared to that for cyclohexane, but it is more red
shifted in moderate aprotic polar solvents. In hydroxyl solvents, a new weak low
energy emission band appears at ~525 nm, attributed to the intermolecularly H
bonded open conformer. On the basis of the generated potential energy landscapes
of the ground state and low-lying excited state in the gas phase and solution, we
found that selective photon absorption, brings this molecule to a "bright" state,
from which N?C isomerization Z -> E, takes place. This isomerization in gas-phase
and low-polarity solvents leads to two minima with a barrier, whereas in highly
polar-protic media, it gives one minimum on the S1 surface with low DeltaES1/T1
(0.17 eV), facilitating deactivation via ISC.
PMID- 29381868
TI - Photochemical Conversion of Surrogate Emissions for Use in Toxicological Studies:
Role of Particulate- and Gas-Phase Products.
AB - The production of photochemical atmospheres under controlled conditions in an
irradiation chamber permits the manipulation of parameters that influence the
resulting air-pollutant chemistry and potential biological effects. To date, no
studies have examined how contrasting atmospheres with a similar Air Quality
Health Index (AQHI), but with differing ratios of criteria air pollutants, might
differentially affect health end points. Here, we produced two atmospheres with
similar AQHIs based on the final concentrations of ozone, nitrogen dioxide, and
particulate matter (PM2.5). One simulated atmosphere (SA-PM) generated from
irradiation of ~23 ppmC gasoline, 5 ppmC alpha-pinene, 529 ppb NO, and 3 MUg m-3
(NH4)2SO4 as a seed resulted in an average of 976 MUg m-3 PM2.5, 326 ppb NO2, and
141 ppb O3 (AQHI 97.7). The other atmosphere (SA-O3) generated from 8 ppmC
gasoline, 5 ppmC isoprene, 874 ppb NO, and 2 MUg m-3 (NH4)2SO4 resulted in an
average of 55 MUg m-3 PM2.5, 643 ppb NO2, and 430 ppb O3 (AQHI of 99.8). Chemical
speciation by gas chromatography showed that photo-oxidation degraded the organic
precursors and promoted the de novo formation of secondary reaction products such
as formaldehyde and acrolein. Further work in accompanying papers describe
toxicological outcomes from the two distinct photochemical atmospheres.
PMID- 29381870
TI - Robotically Assisted Sonic Therapy as a Noninvasive Nonthermal Ablation Modality:
Proof of Concept in a Porcine Liver Model.
AB - Purpose To determine the feasibility of creating a clinically relevant hepatic
ablation (ie, an ablation zone capable of treating a 2-cm liver tumor) by using
robotically assisted sonic therapy (RAST), a noninvasive and nonthermal focused
ultrasound therapy based on histotripsy. Materials and Methods This study was
approved by the institutional animal use and care committee. Ten female pigs were
treated with RAST in a single session with a prescribed 3-cm spherical treatment
region and immediately underwent abdominal magnetic resonance (MR) imaging. Three
pigs (acute group) were sacrificed immediately following MR imaging. Seven pigs
(chronic group) were survived for approximately 4 weeks and were reimaged with MR
imaging immediately before sacrifice. Animals underwent necropsy and harvesting
of the liver for histologic evaluation of the ablation zone. RAST ablations were
performed with a 700-kHz therapy transducer. Student t tests were performed to
compare prescribed versus achieved ablation diameter, difference of sphericity
from 1, and change in ablation zone volume from acute to chronic imaging. Results
Ablation zones had a sphericity index of 0.99 +/- 0.01 (standard deviation) (P <
.001 vs sphericity index of 1). Anteroposterior and transverse dimensions were
not significantly different from prescribed (3.4 +/- 0.7; P = .08 and 3.2 +/-
0.8; P = .29, respectively). The craniocaudal dimension was significantly larger
than prescribed (3.8 +/- 1.1; P = .04), likely because of respiratory motion. The
central ablation zone demonstrated complete cell destruction and a zone of
partial necrosis. A fibrous capsule surrounded the ablation zone by 4 weeks. On 4
week follow-up images, ablation zone volumes decreased by 64% (P < .001).
Conclusion RAST is capable of producing clinically relevant ablation zones in a
noninvasive manner in a porcine model. (c) RSNA, 2018.
PMID- 29381872
TI - Corrigendum.
PMID- 29381871
TI - Diffusion Properties and 3D Architecture of Human Lower Leg Muscles Assessed with
Ultra-High-Field-Strength Diffusion-Tensor MR Imaging and Tractography:
Reproducibility and Sensitivity to Sex Difference and Intramuscular Variability.
AB - Purpose To demonstrate the reproducibility of the diffusion properties and three
dimensional structural organization measurements of the lower leg muscles by
using diffusion-tensor imaging (DTI) assessed with ultra-high-field-strength (7.0
T) magnetic resonance (MR) imaging and tractography of skeletal muscle fibers. On
the basis of robust statistical mapping analyses, this study also aimed at
determining the sensitivity of the measurements to sex difference and
intramuscular variability. Materials and Methods All examinations were performed
with ethical review board approval; written informed consent was obtained from
all volunteers. Reproducibility of diffusion tensor indexes assessment including
eigenvalues, mean diffusivity, and fractional anisotropy (FA) as well as muscle
volume and architecture (ie, fiber length and pennation angle) were characterized
in lower leg muscles (n = 8). Intramuscular variability and sex differences were
characterized in young healthy men and women (n = 10 in each group). Student t
test, statistical parametric mapping, correlation coefficients (Spearman rho and
Pearson product-moment) and coefficient of variation (CV) were used for
statistical data analysis. Results High reproducibility of measurements (mean CV
+/- standard deviation, 4.6% +/- 3.8) was determined in diffusion properties and
architectural parameters. Significant sex differences were detected in FA (4.2%
in women for the entire lower leg; P = .001) and muscle volume (21.7% in men for
the entire lower leg; P = .008), whereas architecture parameters were almost
identical across sex. Additional differences were found independently of sex in
diffusion properties and architecture along several muscles of the lower leg.
Conclusion The high-spatial-resolution DTI assessed with 7.0-T MR imaging allows
a reproducible assessment of structural organization of superficial and deep
muscles, giving indirect information on muscle function. (c)RSNA, 2018 Online
supplemental material is available for this article.
PMID- 29381873
TI - ASCIP Awardees List.
PMID- 29381874
TI - Editors' Note.
PMID- 29381876
TI - Recent and emerging reproductive biology research in Australia and New Zealand:
highlights from the Society for Reproductive Biology Annual Meeting, 2017.
AB - Research in reproductive science is essential to promote new developments in
reproductive health and medicine, agriculture and conservation. The Society for
Reproductive Biology (SRB) 2017 conference held in Perth (WA, Australia) provided
a valuable update on current research programs in Australia and New Zealand. This
conference review delivers a dedicated summary of significant questions, emerging
concepts and innovative technologies presented in the symposia. This research
demonstrates significant advances in the identification of precursors for a
healthy pregnancy, birth and child, and discusses how these factors can influence
disease risk. A key theme included preconception parental health and its effect
on gametogenesis, embryo and fetal development and placental function. In
addition, the perturbation of key developmental checkpoints was shown to
contribute to a variety of pathological states that have the capacity to affect
health and fertility. Importantly, the symposia discussed in this review
emphasised the role of reproductive biology as a conduit for understanding the
transmission of non-communicable diseases, such as metabolic disorders and
cancers. The research presented at SRB 2017 has revealed key findings that have
the prospect to change not only the fertility of the present generation, but also
the health and reproductive capacity of future generations.
PMID- 29381877
TI - How I do colonoscopy.
PMID- 29381878
TI - Purpura fulminans - It's Not Always Sepsis.
PMID- 29381879
TI - Long-Term Skeletal Outcomes of Primary Hyperparathyroidism Patients After
Treatment with Parathyroidectomy: A Systematic Review and Meta-Analysis.
AB - The aim of the study was to assess and define the association between
parathyroidectomy (PTX) and long-term skeletal outcomes in primary
hyperparathyroidism (PHPT) patients. PubMed, EMBASE, Web of Science, and Cochrane
Central Register of Controlled Trials were systematically searched up to June 31,
2017, without language restriction. Any study comparing skeletal outcomes
[fracture risk or bone mineral density (BMD)] of PHPT patients after more than 12
months of PTX treatment versus non-PTX treatment was included. Pooled relative
risks or odds ratios with 95% confidence intervals and weighted mean difference
were calculated using random-effects models irrespective of statistical
heterogeneity assessed by I2 statistic. Finally, 5 randomized controlled trials
(RCTs, n=584) and 10 cohort studies (CSs, n=12202) were included. CSs suggest PTX
treatment versus non-PTX treatment is significantly associated with 36% reduction
in the risk of fracture, with no heterogeneity, and an increase in the lumbar
spine change by 0.55 WMD, with no heterogeneity. RCTs indicate PTX treatment
versus non-PTX treatment is significantly associated with BMD change of 0.97 WMD
at the lumbar spine with substantial heterogeneity, and 1.23 WMD at the femoral
neck with no heterogeneity. The existing CSs indicate PTX-treatment versus non
PTX-treatment might reduce the risk of fracture in PHPT patients. The existing
RCTs do not provide sufficient or precise evidence that PTX-treatment affects the
fracture risk of PHPT patients, but offer data that subsets of patients who could
potentially benefit from PTX-treatment can be identified.
PMID- 29381880
TI - Correction: Synergistic Effect of Free and Nano-encapsulated Chrysin-Curcumin on
Inhibition of hTERT Gene Expression in SW480 Colorectal Cancer Cell Line.
PMID- 29381881
TI - The Bronchus First and Vessels Simultaneously Stapled Technique: A Safe and
Simple Method for Video-Assisted Right Upper Lobe Lobectomy.
AB - OBJECTIVE: Video-assisted thoracic surgery lobectomy is a minimally invasive
procedure for major pulmonary resection. The purpose of this study was to present
a novel approach with a thoracoscope in the right upper lobe and to compare
different lobectomy methods at our institution. METHODS: We reviewed the medical
records of patients who underwent a thoracoscopic right upper lobectomy for lung
cancer between September 2015 and September 2016. We performed 128 thoracoscopic
right upper lobectomies: group A (n = 50) was treated with the bronchus-first and
vessels simultaneously stapled method and group B (n = 78) was treated with the
conventional isolation-ligation method. Preoperative mediastinal staging and
lymphadenectomy followed the National Comprehensive Cancer Network guidelines.
The intra- and postoperative outcomes were recorded and statistically compared.
RESULTS: All patients underwent successful thoracoscopic right upper
lobectomies. No significant differences in mean intraoperative blood loss,
massive hemorrhage (>500 mL), and postoperative complications were observed
between the two groups (p < 0.05). The mean operative time of group A was less
than that of group B (110.80 +/- 34.74 versus 167.01 +/- 48.38 minutes, p =
0.000). The mean duration of chest drainage in group A was 4.34 +/- 2.06 days,
which was shorter than that of group B (5.85 +/- 3.13 days, p = 0.017). No
significant differences were observed in the local recurrence and distant
recurrence between the two groups during the postoperative follow-up.
CONCLUSIONS: Thoracoscopic right upper lobectomy with the lobectomy bronchus
first and vessels simultaneously stapled method is a safe and efficient procedure
that leads to better recovery.
PMID- 29381882
TI - Surgical Accuracy of an Early Intervention Knee Implant Instrumentation System.
AB - Accuracy of component and limb alignment are critical parameters for the long
term success of unicompartmental knee implants. In this study, we performed a
laboratory evaluation of an instrumentation system which was designed for an
early intervention (EI) type of unicompartmental knee. The accuracy of fit was
evaluated by implanting in 20 sawbones full leg models. The overall alignment of
the limb was compared pre- and postoperatively. The accuracy of placement of each
component on its bone was measured. The mean overall alignment angle in the
frontal plane was within 1 degrees of target with less than 1 degrees standard
deviation. The components were positioned in frontal and sagittal planes with
maximum errors of 2 degrees . The angular accuracy was better than in studies
reported in the literature for manual instruments, and almost approached the
accuracy of computer-assisted systems. The position of the femoral component in
the recess was within 1 mm in most cases but the sagittal flexion angle was
variable with a standard deviation of 6 degrees . Evaluation of a surgical
technique in this way was a valuable method for determining accuracy and for
highlighting any deficiencies in the system which could then be corrected.
PMID- 29381883
TI - Outcomes Following Low-Profile Mesh Plate Osteosynthesis of Patella Fractures.
AB - Treatment of patella fractures is fraught with complications and historically
poor functional outcomes. A fixation method that allows for early mobilization
and decreases symptomatic hardware rates will improve knee range of motion,
postoperative functional status, and reoperation rates. The purpose of this study
was to evaluate the functional outcomes after locked plate osteosynthesis of
patella fractures at a Level 1 trauma center. A retrospective case series was
conducted of patients who underwent open reduction internal fixation (ORIF) of a
patella fracture using a locked mesh plating technique coupled with
neutralization of forces on the distal pole of the patella. Twelve patients were
evaluated at a mean follow-up of 19 months (range, 6-30) with physical exam,
functional outcomes, and radiographs. There were 9 women and 3 men with an
average age of 66.1 years (range, 53-75). Radiographic bony union was achieved in
all patients by 3-month follow-up. Visual Analog Pain Score averaged 1.7 (median,
1.0; range, 0-8), the mean Knee Outcome Score - Activities of Daily Living Scale
was 83.9 (median, 92.1; range, 45.7-100.0), the mean Short Form Musculoskeletal
Function Assessment (SMFA) Function Index was 9.9 (median, 3.7; range, 0.7-41.2),
and the mean SMFA Bother Index was 11.1 (median, 3.1; range, 0-62.5). The SF-36
Physical Component Score mean was 48.4 +/- 8.5 and the SF-36 Mental Component
Score mean was 54.1 +/- 9.6. No complications developed and there were no
reoperations for nonunion, infection, or symptomatic hardware. This study
demonstrates that locked plate osteosynthesis for operative patella fractures can
reliably achieve bony union with potentially superior functional outcomes as
compared with traditional methods. Further studies are needed to evaluate plate
fixation for patella fractures, but early results are promising.
PMID- 29381884
TI - Osteochondral Injuries of the Knee in Pediatric Patients.
AB - Osteochondral injuries in pediatric patients may occur as a result of a traumatic
injury or secondary to an osteochondritis dissecans (OCD) lesion. Lateral patella
dislocation is a common traumatic cause of osteochondral injury that typically
occurs at the medial facet of the patella or at the lateral aspect of the distal
femur. Multiple theories have been proposed for the cause of an OCD lesion in the
knee, including trauma or repetitive microtrauma, local vascular insufficiency,
and family history. The most "classic" location for OCD lesions of the knee is
the lateral aspect of the medial femoral condyle of the distal femur. Multiple
treatment options are available for both traumatic osteochondral injuries and OCD
lesions, with important determining factors of treatment being skeletal maturity
of the patient, instability of the fragment, lesion location, and size of the
lesion. Nonsurgical management is appropriate in some situations. Surgical
options range from simple fragment excision to internal fixation of the fracture
fragment to more complex cartilage restoration or replacement procedures. This
special focus section will discuss the diagnosis and treatment options for
traumatic osteochondral knee injuries, including the subset secondary to juvenile
OCD lesions.
PMID- 29381885
TI - Differential expression profiles of conserved Snail transcription factors in the
mouse testis.
AB - Snail transcription factors are key regulators of cellular transitions during
embryonic development and tumorigenesis. The closely related SNAI1 and SNAI2
proteins induce epithelial-mesenchymal transitions (EMTs), acting predominantly
as transcriptional repressors, while the functions of SNAI3 are unknown. An
initial examination of Snai2-deficient mice provided evidence of deficient
spermatogenesis. To address the hypothesis that Snail proteins are important for
male fertility, this study provides the first comprehensive cellular expression
profiles of all three mammalian Snail genes in the post-natal mouse testis. To
evaluate Snail transcript expression profiles, droplet digital (dd) PCR and in
situ hybridization were employed. Snai1, 2 and 3 transcripts are readily detected
at 7, 14, 28 days post-partum (dpp) and 7 weeks (adult). Unique cellular
expression was demonstrated for each by in situ hybridization and
immunohistochemistry using Western blot-validated antibodies. SNAI1 and SNAI2 are
in the nucleus of the most mature germ cell types at post-natal ages 10, 15 and
26. SNAI3 is only detected from 15 dpp onwards and is localized in the Sertoli
cell cytoplasm. In the adult testis, Snai1 and Snai2 transcripts are detected in
spermatogonia and spermatocytes, while Snai3 is in both germ and Sertoli cells.
SNAI1 protein is evident in nuclei of spermatogonia, spermatocytes, round
spermatids and elongated spermatids (Stages IX-XII). SNAI2 is present in the
nuclei of spermatogonia and spermatocytes, with a faint signal detected in round
spermatids. SNAI3 was detected only in Sertoli cell cytoplasm, as in juvenile
testes. Additionally, colocalization of SNAI1 and SNAI2 with previously
identified key binding partners, LSD1 and PRC2 complex components, provides
strong evidence that these important functional interactions are conserved during
spermatogenesis to control gene activity. These distinct expression profiles
suggest that each Snail family member has unique functions during
spermatogenesis.
PMID- 29381886
TI - Tubulins in C. elegans.
AB - The C. elegans tubulin family is composed of nine alpha-, six beta-, and one
gamma-tubulin. Tubulins are highly conserved, functioning as alpha-beta
heterodimers that assemble into microtubules. These cylindrical and ubiquitous
components of the cytoskeleton are critical for nearly all cellular and
developmental processes. C. elegans has provided a model for the study of
microtubules in multiple settings including separation of chromosomes, cellular
polarity, and neuronal sensation. Tubulins and microtubules interact with a long
list of other cellular proteins that regulate tubulin homeostasis, modify
microtubule dynamics, and control incorporation into or disassociation of higher
order cellular structures such as spindles or ciliary axonemes. A collection of
enzymes modifies tubulins, often at the variable carboxyl-terminal tail, adding
another layer of regulation to microtubule structure and function. Genetic and
cytological studies in C. elegans have revealed roles for tubulin and its
associated proteins in numerous contexts from embryogenesis to adult behavior.
PMID- 29381887
TI - Myotonia Congenita Can Be Mistaken as Paroxysmal Kinesigenic Dyskinesia.
PMID- 29381888
TI - Validity and Reliability Study of the Korean Tinetti Mobility Test for
Parkinson's Disease.
AB - OBJECTIVE: Postural instability and gait disturbance are the cardinal symptoms
associated with falling among patients with Parkinson's disease (PD). The Tinetti
mobility test (TMT) is a well-established measurement tool used to predict falls
among elderly people. However, the TMT has not been established or widely used
among PD patients in Korea. The purpose of this study was to evaluate the
reliability and validity of the Korean version of the TMT for PD patients.
METHODS: Twenty-four patients diagnosed with PD were enrolled in this study. For
the interrater reliability test, thirteen clinicians scored the TMT after
watching a video clip. We also used the test-retest method to determine
intrarater reliability. For concurrent validation, the unified Parkinson's
disease rating scale, Hoehn and Yahr staging, Berg Balance Scale, Timed-Up and Go
test, 10-m walk test, and gait analysis by three-dimensional motion capture were
also used. We analyzed receiver operating characteristic curve to predict
falling. RESULTS: The interrater reliability and intrarater reliability of the
Korean Tinetti balance scale were 0.97 and 0.98, respectively. The interrater
reliability and intra-rater reliability of the Korean Tinetti gait scale were
0.94 and 0.96, respectively. The Korean TMT scores were significantly correlated
with the other clinical scales and three-dimensional motion capture. The cutoff
values for predicting falling were 14 points (balance subscale) and 10 points
(gait subscale). CONCLUSION: We found that the Korean version of the TMT showed
excellent validity and reliability for gait and balance and had high sensitivity
and specificity for predicting falls among patients with PD.
PMID- 29381889
TI - Alteration in the Local and Global Functional Connectivity of Resting State
Networks in Parkinson's Disease.
AB - OBJECTIVE: Parkinson's disease (PD) is a neurodegenerative disorder that mainly
leads to the impairment of patients' motor function, as well as of cognition, as
it progresses. This study tried to investigate the impact of PD on the resting
state functional connectivity of the default mode network (DMN), as well as of
the entire brain. METHODS: Sixty patients with PD were included and compared to
60 matched normal control (NC) subjects. For the local connectivity analysis, the
resting state fMRI data were analyzed by seed-based correlation analyses, and
then a novel persistent homology analysis was implemented to examine the
connectivity from a global perspective. RESULTS: The functional connectivity of
the DMN was decreased in the PD group compared to the NC, with a stronger
difference in the medial prefrontal cortex. Moreover, the results of the
persistent homology analysis indicated that the PD group had a more locally
connected and less globally connected network compared to the NC. CONCLUSION: Our
findings suggest that the DMN is altered in PD, and persistent homology analysis,
as a useful measure of the topological characteristics of the networks from a
broader perspective, was able to identify changes in the large-scale functional
organization of the patients' brain.
PMID- 29381891
TI - Molecular and functional characterization of the adiponectin (AdipoQ) gene in
goat skeletal muscle satellite cells.
AB - Objective: It is commonly accepted that adiponectin binds to its two receptors to
regulate fatty acid metabolism in adipocytes. To better understand their
functions in the regulation of intramuscular adipogenesis in goats, we cloned the
three genes (adiponectin [AdipoQ], adiponectin receptor 1 [AdipoR1], and AdipoR2)
encoding these proteins and detected their mRNA distribution in different
tissues. We also determined the role of AdipoQ in the adipogenic differentiation
of goat skeletal muscle satellite cells (SMSCs). METHODS: SMSCs were isolated
using 1 mg/mL Pronase E from the longissimus dorsi muscles of 3-day-old female
Nanjiang brown goats. Adipogenic differentiation was induced in satellite cells
by transferring the cells to Dulbecco's modified Eagle's medium
supplemented with an isobutylmethylxanthine, dexamethasone and insulin cocktail.
The pEGFP-N1-AD plasmid was transfected into SMSCs using Lipofectamine 2000.
Expression of adiponectin in tissues and SMSCs was detected by quantitative
polymerase chain reaction and immunocytochemical staining. RESULTS: The three
genes were predominantly expressed in adipose and skeletal muscle tissues.
According to fluorescence and immunocytochemical analyses, adiponectin protein
expression was only observed in the cytoplasm, suggesting that adiponectin is
localized to the cytoplasm of goat SMSCs. In SMSCs overexpressing the AdipoQ
gene, adiponectin promoted SMSC differentiation into adipocytes and significantly
(p<0.05) up-regulated expression of AdipoR2, acetyl-CoA carboxylase, fatty-acid
synthase, and sterol regulatory element-binding protein-1, though expression of
CCAAT/enhancer-binding protein-alpha, peroxisome proliferator-activated receptor
gamma, and AdipoR1 did not change significantly. CONCLUSION: Adiponectin induced
SMSC differentiation into adipocytes, indicating that adiponectin may promote
intramuscular adipogenesis in goat SMSC.
PMID- 29381890
TI - Tau Positron Emission Tomography Imaging in Degenerative Parkinsonisms.
AB - In recent years, several radiotracers that selectively bind to pathological tau
proteins have been developed. Evidence is emerging that binding patterns of in
vivo tau positron emission tomography (PET) studies in Alzheimer's disease (AD)
patients closely resemble the distribution patterns of known neurofibrillary
tangle pathology, with the extent of tracer binding reflecting the clinical and
pathological progression of AD. In Lewy body diseases (LBD), tau PET imaging has
clearly revealed cortical tau burden with a distribution pattern distinct from AD
and increased cortical binding within the LBD spectrum. In progressive
supranuclear palsy, the globus pallidus and midbrain have shown increased binding
most prominently. Tau PET patterns in patients with corticobasal syndrome are
characterized by asymmetrical uptake in the motor cortex and underlying white
matter, as well as in the basal ganglia. Even in the patients with multiple
system atrophy, which is basically a synucleinopathy, 18F-flortaucipir, a widely
used tau PET tracer, also binds to the atrophic posterior putamen, possibly due
to off-target binding. These distinct patterns of tau-selective radiotracer
binding in the various degenerative parkinsonisms suggest its utility as a
potential imaging biomarker for the differential diagnosis of parkinsonisms.
PMID- 29381892
TI - Methodology effects on determining the energy concentration and the apparent
total tract digestibility of components in diets fed to growing pigs.
AB - Objective: An experiment was conducted to investigate the effects of different
diet formulations: F1 (Two complicated basal diets containing different crude
protein levels plus tested feedstuff) vs F2 (A simple corn soybean meal [SBM]
basal diet plus tested feedstuff) combined with total collection (TC) or chromic
oxide (Cr2O3) marker or acid-insoluble ash (AIA) marker method, and freeze-dry or
oven-dry (OD) technique on estimation of nutrient digestibility in diets fed to
growing pigs. METHODS: In F1, twelve barrows were allocated to two 6*4 Youden
Squares. The treatment diets included a high protein basal (HPB) diet, a low
protein basal (LPB) diet, a corn diet and a wheat bran (WB) diet formulated based
on the HPB diet, and a SBM diet and a rapeseed meal (RSM) diet formulated based
on the LPB diet. In F2, eight barrows were allocated to two 4*4 Latin Squares.
The treatment diets included a corn basal diet, a SBM basal diet formulated based
on the corn diet, and a WB diet and a RSM diet formulated based on the SBM diet.
RESULTS: Concentration of digestible (DE) and metabolizable energy (ME), and the
apparent total tract digestibility of gross energy, ash, neutral detergent fibre,
and acid detergent fibre determined by Cr2O3 marker method were greater than
those determined by TC and AIA marker methods in HPB, LPB, and RSM diets
formulated by F1 and in corn diet formulated by F2 (p<0.05). The DE values in WB
and both DE and ME values in SBM and RSM estimated using F1 were greater than
those estimated using F2 (p<0.05). CONCLUSION: From the accuracy aspect, the AIA
marker or TC method combined with OD technique is recommended for determining the
energy concentration and nutrient digestibility of components in diets fed to
growing pigs.
PMID- 29381893
TI - Effects of dietary fiber levels on cecal microbiota composition in geese.
AB - Objective: This study shows the effects of dietary fiber levels on cecal
microbiota composition in geese at day 70 according to pyrosequencing of the 16S
ribosomal RNA gene. METHODS: A total of 468 1-day-old healthy male Yangzhou
goslings with similar body weight were randomly divided into 3 groups with 6
replicates per group and 26 geese per replicate. Geese were fed diets with fiber
levels of 2.5% (low fiber level diet, Group I) and 6.1% (Group III) during days 1
70, respectively, or 4.3% for days 1-28 and 6.1% for days 29-70 (Group II).
RESULTS: Low fiber level diet decreased body weight, average daily gain during,
increased lower feed conversation rate of geese during day 1 to 70 (p<0.05). Low
fiber level diet decreased the total operational taxonomic units, Chao1 index and
Shannon index, whereas increased the Simpson index of cecal microbiota in geese
at day 70. Low fiber level diet decreased the relative abundance of
Bacteroidetes, Firmicutes, Bacteroides, and Paraprevotella in cecum of geese at
day 70. The similarity of cecal microbiota between low fiber level diet group and
other groups was smaller. CONCLUSION: This study indicates that the low fiber
level diet decreased diversity of microbiota, and relative abundance of some
beneficial microbiota in cecum of geese at day 70, implying that the low fiber
level diet has negative influence on performance by altering the diversity and
population of cecal microbiota in geese.
PMID- 29381894
TI - Effect of Broussonetia papyrifera L. (paper mulberry) silage on dry matter
intake, milk composition, antioxidant capacity and milk fatty acid profile in
dairy cows.
AB - Objective: This study was carried out to investigate the possible application of
Broussonetia papyrifera (B. papyrifera) silage as a functional feeding stuff in
dairy cattle. METHODS: Seventy-two Holstein cows were divided into four groups
randomly and allocated to 6 pens with 3 individuals in each group and fed the
original total mixed ratio (TMR) in the dairy farm or the new TMR with 5%, 10%,
and 15% B. papyrifera silage, separately. Feed intake were recorded, milk and
blood samples were collected, and milk composition, blood metabolites and milk
fatty acids composition were measure at the end of the experiment. RESULTS: Dry
matter intake of cows decreased when they fed on diet with B. papyrifera, but no
differences were observed in body condition score, milk yield, milk protein and
lactose, feed efficiency and serum metabolites between groups. Both 10% or 15% of
B. papyrifera silage in the diet significantly increased the immunoglobulin A
(IgA) and IgG in serum, 15% of B. papyrifera silage increased the content of
serum catalase, superoxide dismutase, total antioxidant capacity, and decreased
the content of 8-hydroxy-2'-deoxyguanosine. Furthermore, 10% or 15% of B.
papyrifera silage resulted in a significant decrease in the milk somatic cell
count, and increased the polyunsaturated fatty acids content in the milk.
CONCLUSION: The diets with 10% to 15% of B. papyrifera silage might enhance the
immune and antioxidant function of dairy cows and increase the polyunstaturated
fatty acid concentration in the milk.
PMID- 29381895
TI - Effect of inoculants and storage temperature on the microbial, chemical and
mycotoxin composition of corn silage.
AB - OBJECTIVE: To evaluate the effect of lactic acid bacteria and storage temperature
on the microbial, chemical and mycotoxin composition of corn silage. METHODS:
Corn was harvested at 32.8% dry matter, and chopped to 1 to 2 cm. The chopped
material was subjected to three treatments: i) control (distilled water); ii)
1*106 colony forming units (cfu)/g of Lactobacillus plantarum; iii) 1*106 cfu/g
of Pediococcus pentosaceus. Treatments in triplicate were ensiled for 55 d at 20
degrees C, 28 degrees C, and 37 degrees C in 1-L polythene jars following packing
to a density of approximately 800 kg/m3 of fresh matter, respectively. At silo
opening, microbial populations, fermentation characteristics, nutritive value and
mycotoxins of corn silage were determined. RESULTS: L. plantarum significantly
increased yeast number, water soluble carbohydrates, nitrate and deoxynivalenol
content, and significantly decreased the ammonia N value in corn silage compared
with the control (p<0.05). P. pentosaceus significantly increased lactic acid
bacteria and yeast number and content of deoxynivalenol, nivalenol, T-2 toxin and
zearalenone, while decreasing mold population and content of nitrate and 3-acetyl
deoxynivalneol in corn silage when stored at 20 degrees C compared to the control
(p<0.05). Storage temperature had a significant effect on deoxynivalenol,
nivalenol, ochratoxin A, and zearalenone level in corn silage (p<0.05).
CONCLUSION: Lactobacillus plantarum and Pediococcus pentosaceus did not decrease
the contents of mycotoxins or nitrate in corn silage stored at three
temperatures.
PMID- 29381896
TI - Effects of dietary spermine supplementation on cell cycle, apoptosis, and amino
acid transporters of the thymus and spleen in piglets.
AB - Objective: This study investigated whether spermine supplementation could
regulate cell cycle, apoptosis, and amino acid transporter-related genes
expression in the thymus and spleen of early weaned piglets. METHODS: Eighty
female piglets were randomly distributed to receive adequate nutrients
supplemented with spermine (0.4 mmol/kg body weight/24 h) or to be provided with
restricted nourishment supplemented with normal saline for 7 h or 3, 6, or 9 d in
pairs. RESULTS: Regardless of administration time, spermine supplementation
significantly up-regulated cyclin A2 gene expression but down-regulated p21 and
cyclin D3 mRNA levels in the thymus and spleen and reduced cyclin E2 gene
expression in the thymus of piglets (p< 0.05). Irrespective of the treatment
period, the reduced Bax and caspase-3 gene expressions and improved Bcl-2 mRNA
level were observed in the thymus and spleen of spermine-administrated piglets
(p<0.05). Regardless of supplementation time, spermine intake significantly
enhanced the expressions of amino acid transporter-related genes (SLC1A1, SLC1A5,
SLC7A1, SLC7A7, and SLC15A1) in both thymus and spleen, as well as SLC7A9 in the
spleen of piglets (p<0.05). In addition, extended spermine administration also
markedly promoted cell proliferation, depressed apoptosis and modulated amino
acid transport (p< 0.05), and such effects were the greatest during prolonged
spermine supplementation (6 d) compared to the other time periods (p<0.05).
CONCLUSION: Spermine supplementation may regulate cell cycle during the G1/S
phase, suppress apoptosis and modulate amino acid transport. A period of 6 d of
spermine supplementation is required to produce the optimal effects on
nutritional implications.
PMID- 29381897
TI - Effects of dietary beta-mannanase supplementation on the additivity of true
metabolizable energy values for broiler diets.
AB - OBJECTIVE: This experiment was conducted to determine the effects of dietary beta
mannanase on the additivity of true metabolizable energy (TME) and nitrogen
corrected true metabolizable energy (TMEn) for broiler diets. METHODS: A total of
144 21-day-old broilers were randomly allotted to 12 dietary treatments with 6
replicates. Five treatments consisted of 5 ingredients of corn, wheat, soybean
meal, corn distillers dried grains with solubles, or corn gluten meal. One mixed
diet containing 200 g/kg of those 5 ingredients also was prepared. Additional 6
treatments were prepared by mixing 0.5 g/kg dietary beta-mannanase with those 5
ingredients and the mixed diet. Based on a precision-fed chicken assay, TME and
TMEn values for 5 ingredients and the mixed diet as affected by dietary beta
mannanase were determined. RESULTS: Results indicated that when beta-mannanase
was not added to the diet, measured TME and TMEn values for the diet did not
differ from the predicted values for the diet, which validated the additivity.
However, for the diet containing beta-mannanase, measured TMEn value was greater
(p<0.05) than predicted TMEn value, indicating that the additivity was not
validated. CONCLUSION: In conclusion, the additivity of energy values for the
mixed diet may not be guaranteed if the diet contains beta-mannanase.
PMID- 29381898
TI - Effect of natural pre-converted nitrite sources on color development in raw and
cooked pork sausage.
AB - Objective: The effect of pre-converted nitrites from natural sources (spinach,
lettuce, celery, and red beet) on color development in raw and cooked pork
sausage was investigated in this study. METHODS: The pork sausage was
manufactured with six treatments: NC (negative control, nitrite free), PC
(positive control, 150 ppm sodium nitrite), FS (3.0% fermented spinach extracts),
FL (3.0% fermented lettuce extracts), FC (3.0% fermented celery extracts), and FR
(3.0% fermented red beet extracts). RESULTS: The pH value of the pre-converted
nitrites groups was lower than those treated with 150 ppm sodium nitrite
(p<0.05). The color values of raw and cooked pork sausage added with pre
converted nitrite showed slightly lower and/or similar lightness, lower redness,
and higher yellowness values than PC. Color development (redness values) of
cooked samples added with FS was higher than those of the NC and other treatments
(FL, FC, and FR). Additionally, treatments with FS and FL were most effective for
reducing thiobarbituric acid reactive substances and volatile basic nitrogen than
the NC. CONCLUSION: Effects of natural nitrites from fermented vegetables on
shelf stability of raw and cooked pork sausages were investigated. Fermented
spinach extract was much more useful for maintaining the color development, but
also inhibiting lipid and protein oxidation of cooked pork sausage. Therefore,
pre-converted nitrite from spinach as a natural nitrite could be used as another
natural nitrite source for making processed meat products.
PMID- 29381899
TI - Identification of quantitative trait loci for the fatty acid composition in
Korean native chicken.
AB - Objective: Fatty acid composition is one of the most important meat quality
traits because it can contribute to functional, sensorial, and nutritional
factors. In this study, quantitative trait locus (QTL) analyses for fatty acid
composition traits were investigated in thigh and breast meat of Korean native
chicken (KNC). METHODS: In total, 18 fatty acid composition traits were
investigated from each meat sample using 83 parents, and 595 F1 chicks of 20 week
old. Genotype assessment was performed using 171 informative DNA markers on 26
autosomes. The KNC linkage map was constructed by CRI-MAP software, which
calculated genetic distances, with map orders between markers. The half-sib and
full-sib QTL analyses were performed using GridQTL and SOLAR programs,
respectively. RESULTS: In total, 30 QTLs (12 in the thigh and 18 in the breast
meat) were detected by the half-sib analysis and 7 QTLs (3 in the thigh and 4 in
the breast meat) were identified by the full-sib analysis. CONCLUSION: With
further verification of the QTL regions using additional markers and positional
candidate gene studies, these results can provide valuable information for
determining causative mutations affecting the fatty acid composition of KNC meat.
Moreover, these findings may aid in the selection of birds with favorable fatty
acid composition traits.
PMID- 29381900
TI - Effects of different dietary ratio of metabolizable glucose and metabolizable
protein on growth performance, rumen fermentation, blood biochemical indices and
ruminal microbiota of 8 to 10-month-old dairy heifers.
AB - Objective: The aim of this experiment was to evaluate the effects of different
dietary ratio of metabolizable glucose (MG) to metabolizable protein (MP) on
growth performance, blood metabolites, rumen fermentation parameters and the
ruminal microbial community of 8 to 10-month-old heifers. METHODS: A total of 24
Holstein heifers weighing an average of 282.90 kg (8 month of age) were randomly
assigned to four groups of six. The heifers were fed one of four diets of
different dietary MG/MP (0.97, 1.07, 1.13, and 1.26). RESULTS: The results showed
that the ratio of MG/MP affected the growth performance, blood metabolites, rumen
fermentation parameters and the ruminal microbial community of heifers. The
average daily gain of heifers was enhanced by increasing the ratio of MG/MP
(p<0.05). The concentration of blood urea nitrogen, cholesterol, and low density
lipoprotein cholesterol as well as the concentration of total volatile fatty acid
in the rumen fluid of heifers decreased with the improvement in the ratio of
dietary MG/MP (p<0.05). However, the relative amount of Ruminococcus albus and
Butyrivibrio fibrisolvens in the rumen of heifers was increased significantly
(p<0.05) when the dietary MG/MP increased. At the same time, with the improvement
in dietary MG/MP, the amount of Fibrobacter succinogenes increased (p = 0.08).
CONCLUSION: A diet with an optimal ratio (1.13) of MG/MP was beneficial for the
improvement of growth, rumen fermentation, dietary protein and energy utilization
of 8 to 10-month-old dairy heifers in this experiment.
PMID- 29381901
TI - Dietary supplementation of finishing pigs with the docosahexaenoic acid-rich
microalgae, Aurantiochytrium limacinum: effects on performance, carcass
characteristics and tissue fatty acid profile.
AB - OBJECTIVE: The aim of this experiment was to evaluate the effect of dietary
supplementation with the docosahexaenoic acid (DHA)-rich microalgae,
Aurantiochytrium limacinum (AURA) on pig performance, carcass traits, and the
fatty acid composition of pork Longissimus lumborum (LL) and backfat. METHODS: A
total of 144 Pig Improvement Company (PIC)*Goland finishing pigs (72 females and
72 castrated males) of mean weight 117.1 (+/-13.1) kg were blocked by sex and
body weight and provided with 0% or 1% AURA in isonutritive and isocaloric diets.
A total of 24 pens provided 12 replicates per treatment. Animals were weighed on
day 0 and 28 with feed and water intake recorded per pen. After 31 days
supplementation (28 days of study and 3 days until the slaughtering date) three
animals per pen (n = 72) were slaughtered and the LL and backfat thickness, lean
meat content and dressing percentage were recorded for the carcasses. The fatty
acid (FA) profile of the LL and backfat was established by direct FA methyl ester
synthesis. RESULTS: No differences were observed for any performance parameters
or carcass traits. Supplementation with AURA resulted in significant changes to
the FA profiles of both the LL and backfat with male and female pigs responding
differently to supplementation in terms of particular FAs. Overall, pork LL
samples had significantly higher eicosapentaenoic acid (p<0.001) and DHA
concentrations (p<0.001), and higher omega-3 (n-3) FAs (p<0.001), as well as an
increased omega3:omega6 (n-3:n-6) ratio (p = 0.001). For backfat, supplementation
resulted in significantly higher amounts of DHA (p<0.001) and n-3 FAs (p<0.001).
CONCLUSION: These results indicate that dietary supplementation with 1% AURA over
a 31 day period can increase the FA composition of pork LL and backfat,
specifically the DHA, with no major impact on growth performance and carcass
traits.
PMID- 29381902
TI - Additivity of values for phosphorus digestibility in corn, soybean meal, and
canola meal in diets fed to growing pigs.
AB - Objective: This study was conducted to determine the apparent and standardized
total tract digestibility (ATTD and STTD) of phosphorus (P) in corn, soybean meal
(SBM), and canola meal (CM), and additivity of values for ATTD and STTD of P in
corn, SBM, and CM in diets fed to growing pigs. METHODS: Thirty-six growing
barrows (initial body weight of 21.6+/-1.7 kg) were placed in metabolism crates
and allotted to a completely randomized design with 6 diets and 6 pigs per diet.
Six diets were formulated using corn, SBM or CM as the sole source of P, or corn
and SBM, or corn and CM, or corn, SBM, and CM as the P source in each diet,
respectively. Fecal samples were collected for 5 d following a 7 d adaptation
period to the diets. RESULTS: Values for ATTD and STTD of P in corn, SBM, and CM
in growing pigs were 33.12% and 37.76%, 50.19% and 56.62%, 34.93% and 39.45%,
respectively. The ATTD and STTD of P in SBM were greater (p<0.05) than those in
corn and CM. However, there were no differences in the ATTD or STTD of P between
corn and CM. The determined STTD of P in the mixture of corn and SBM, corn and
CM, and corn, SBM, and CM is not different from the calculated STTD values.
CONCLUSION: Values for STTD of P in corn, SBM, and CM are additive in their
mixture fed to growing pigs.
PMID- 29381903
TI - A demonstration of the H3 trimethylation ChIP-seq analysis of galline follicular
mesenchymal cells and male germ cells.
AB - OBJECTIVE: Trimethylation of histone 3 (H3) at 4th lysine N-termini (H3K4me3) in
gene promoter region was the universal marker of active genes specific to cell
lineage. On the contrary, coexistence of trimethylation at 27th lysine (H3K27me3)
in the same loci-the bivalent H3K4m3/H3K27me3 was known to suspend the gene
transcription in germ cells, and could also be inherited to the developed stem
cell. In galline species, throughout example of H3K4m3 and H3K27me3 ChIP-seq
analysis was still not provided. We therefore designed and demonstrated such
procedures using ChIP-seq and mRNA-seq data of chicken follicular mesenchymal
cells and male germ cells. METHODS: Analytical workflow was designed and provided
in this study. ChIP-seq and RNA-seq datasets of follicular mesenchymal cells and
male germ cells were acquired and properly preprocessed. Peak calling by Model
based analysis of ChIP-seq 2 was performed to identify H3K4m3 or H3K27me3
enriched regions (Fold-change>=2, FDR<=0.01) in gene promoter regions.
Integrative genomics viewer was utilized for cellular retinoic acid binding
protein 1 (CRABP1), growth differentiation factor 10 (GDF10), and gremlin 1
(GREM1) gene explorations. RESULTS: The acquired results indicated that
follicular mesenchymal cells and germ cells shared several unique gene promoter
regions enriched with H3K4me3 (5,704 peaks) and also unique regions of bivalent
H3K4m3/H3K27me3 shared between all cell types and germ cells (1,909 peaks).
Subsequent observation of follicular mesenchyme-specific genes-CRABP1, GDF10, and
GREM1 correctly revealed vigorous transcriptions of these genes in follicular
mesenchymal cells. As expected, bivalent H3K4m3/H3K27me3 pattern was manifested
in gene promoter regions of germ cells, and thus suspended their transcriptions.
CONCLUSION: According the results, an example of chicken H3K4m3/H3K27me3 ChIP-seq
data analysis was successfully demonstrated in this study. Hopefully, the
provided methodology should hereby be useful for galline ChIP-seq data analysis
in the future.
PMID- 29381904
TI - Genetic parameter estimation for milk beta-hydroxybutyrate and acetone in early
lactation and its association with fat to protein ratio and energy balance in
Korean Holstein cattle.
AB - OBJECTIVE: The objective of this study was to estimate the genetic parameters for
milk beta-hydroxybutyrate (BHBA), acetone (Ac), fat protein ratio (FPR), and
energy balance (EB) using milk test day records and investigate the effect of
early lactation FPR and EB on milk ketone body concentrations. METHODS: Total
262,940 test-day records collected from Korea Animal Improvement Association
during the period of 2012 to 2016 were used in this study. BHBA and Ac
concentrations in milk were measured by Fourier transform infrared spectroscopy
(FTIR). FPR values were obtained using test day records of fat and protein
percentage. EB was calculated using previously developed equation based on
parity, lactation week, and milk composition data. Genetic parameters were
estimated by restricted maximum likelihood procedure based on repeatability model
using Wombat program. RESULTS: Elevated milk BHBA and Ac concentrations were
observed during the early lactation under the negative energy balance. Milk FPR
tends to decrease with the decreasing ketone body concentrations. Heritability
estimates for milk BHBA, Ac, EB, and FPR ranged from 0.09 to 0.14, 0.23 to 0.31,
0.19 to 0.52, and 0.16 to 0.42 respectively at parity 1, 2, 3, and 4. The overall
heritability for BHBA, Ac, EB and FPR were 0.29, 0.32, 0.58, and 0.38
respectively. A common pattern was observed in heritability of EB and FPR along
with parities. CONCLUSION: FPR and EB can be suggested as potential predictors
for risk of hyperketonemia. The heritability estimates of milk BHBA, Ac, EB, and
FPR indicate that the selective breeding may contribute to maintaining the milk
ketone bodies at optimum level during early lactation.
PMID- 29381905
TI - Genetic diversity analysis of Thai indigenous chickens based on complete
sequences of mitochondrial DNA D-loop region.
AB - OBJECTIVE: Complete mtDNA D-loop sequences of four Thai indigenous chicken
varieties, including Pra-dhu-hang-dam (PD), Leung-hang-khao (LK), Chee (CH), and
Dang (DA) were explored for genetic diversity and relationships with their
potential ancestor and possible associates to address chicken domestication in
Thailand. METHODS: A total of 220 complete mtDNA D-loop sequences of the four
Thai indigenous chicken varieties were obtained by Sanger direct sequencing of
polymerase chain reaction amplicons of 1,231 to 1,232 base pair in size. A
neighbor-joining dendrogram was constructed with reference complete mtDNA D-loop
sequences of Red Junglefowl (RJF) and those different chicken breeds available on
National Center for Biotechnology Information database. Genetic diversity indices
and neutrality test by Tajima's D test were performed. Genetic differences both
within and among populations were estimated using analysis of molecular variance
(AMOVA). Pairwise fixation index (FST) was conducted to evaluated genetic
relationships between these varieties. RESULTS: Twenty-three identified
haplotypes were classified in six haplogroups (A-E and H) with the majority
clustered in haplogroup A and B. Each variety was in multiple haplogroups with
haplogroups A, B, D, and E being shared by all studied varieties. The averaged
haplotype and nucleotide diversities were, respectively 0.8607 and 0.00579 with
non-significant Tajima's D values being observed in all populations. Haplogroup
distribution was closely related to that of RJF particularly Gallus gallus gallus
(G. g. gallus) and G. g. spadiceus. As denoted by AMOVA, the mean diversity was
mostly due to within-population variation (90.53%) while between-population
variation (9.47%) accounted for much less. By pairwise FST, LK was most closely
related to DA (FST = 0.00879) while DA was farthest from CH (FST = 0.24882).
CONCLUSION: All 4 Thai indigenous chickens are in close relationship with their
potential ancestor, the RJF. A contribution of shared, multiple maternal lineages
was in the nature of these varieties, which have been domesticated under neutral
selection.
PMID- 29381906
TI - Feeding Di-ammonium Phosphate as a Phosphorous Source in Finishing Lambs Reduced
Excretion of Phosphorus in Feces without Detrimental Effects on Animal
Performance.
AB - Objective: Phosphorous (P) sources with greater bioavailability might increase
animal production efficiency and decrease environmental pollution. The objective
of current study was to determine animal performance, nutrient digestibility,
blood metabolites and fecal P concentration in finishing lambs fed a diet with
either di-calcium phosphate (DCP) or di-ammonium phosphate (DAP) as a P source.
Methods: Twelve 4-month-old male lambs (initial body weight 24.87+/-3.4 kg) were
randomly allocated to a diet with either DCP or DAP (~261 g/kg of total diet P)
fed ad libitum for 93 days. Diets were iso-nitrogenous and iso-energetic and had
same calcium (Ca) and P concentrations. Results: The DAP contained 19.7 g/kg of
dry matter (DM) Ca, 185.4 g/kg DM P and 14623 ppm fluorine, while DCP contained
230.3 g/kg DM Ca, 195.2 g/kg DM P and 1039 ppm fluorine. The diet with DAP
contained 60 ppm fluorine while the diet with DCP contained 13 ppm fluorine.
Lambs fed the diet with DAP tended to have a greater daily DM intake compared to
those fed diet with DCP (P = 0.09). Lambs fed DAP had greater plasma P
concentration and alkaline phosphatase activity (P <= 0.01) compared with lambs
fed DCP. Dry matter and organic matter digestibility of the diets were similar
between two treatments at days 60 and 90, while they were greater in lambs fed
DCP (P < 0.05) at day 30 of the trial. Feeding DAP increased P digestibility
(58.7 vs. 50.2 %; P < 0.05) and decreased fecal P concentration in lambs compared
with feeding DCP (3.1 vs. 3.8 g/kg DM; P < 0.05). Conclusion: Providing ~261 g/kg
of total diet P as DAP in the diet of finishing lambs improved the
bioavailability of P in the body and decreased excretion of P in feces without
affecting lamb performance.
PMID- 29381907
TI - Comparison of microbial communities in swine manure at various temperatures and
storage times.
AB - Objective: This study was designed to investigate the effects of temperature and
storage time on the evolution of bacterial communities in swine manure. METHODS:
Manure was stored at -20 degrees C, 4 degrees C, 20 degrees C, or 37 degrees C
and sampled at 7-day intervals over 28 days of storage, for a total of 5 time
points. To assess the bacterial species present, 16S ribosomal RNA gene sequences
were analyzed using pyrosequencing. RESULTS: After normalization, 113,934
sequence reads were obtained, with an average length of 466.6+/-4.4 bp. The
diversity indices of the communities reduced as temperature and storage time
increased, and the slopes of rarefaction curves decreased from the second week in
samples stored at -20 degrees C and 4 degrees C. These results indicate that the
richness of the bacterial community in the manure reduced as temperature and
storage time increased. Firmicutes were the dominant phylum in all samples
examined, ranging from 89.3% to 98.8% of total reads, followed by Actinobacteria,
which accounted for 0.6% to 7.9%. A change in community composition was observed
in samples stored at 37 degrees C during the first 7 days, indicating that
temperature plays an important role in determining the microbiota of swine
manure. Clostridium, Turicibacter, Streptococcus, and Lactobacillus within
Firmicutes, and Corynebacterium within Actinobacteria were the most dominant
genera in fresh manure and all stored samples. CONCLUSION: Based on our findings,
we propose Clostridium as an indicator genus of swine manure decomposition in an
anaerobic environment. The proportions of dominant genera changed in samples
stored at 20 degrees C and 37 degrees C during the fourth week. Based on these
results, it was concluded that the microbial communities of swine manure change
rapidly as storage time and temperature increase.
PMID- 29381908
TI - Long-term changes in computed tomography and ultrasound utilization in a
pediatric emergency department.
AB - OBJECTIVE: Many studies have proposed reducing unnecessary use of computed
tomography (CT), and ongoing studies in pediatric populations are aiming to
decrease radiation dosages whenever possible. We aimed to evaluate the long-term
changes in the utilization patterns of CT and ultrasound (US) in pediatric
emergency departments (PEDs). METHODS: This retrospective study reviewed the
electronic medical data of patients who underwent CT and/or US in the PED of a
tertiary referral hospital from 2000 to 2014. We compared the changes in
utilization patterns of brain and abdominal CT scans in pediatric patients and
analyzed changes in abdominal US utilization in the PED. RESULTS: During the
study period, 196,371 patients visited the PED. A total of 12,996 brain and
abdominal CT scans and 12,424 abdominal US were performed in the PED. Comparison
of CT use in pediatric patients before and after 2007 showed statistically
decreasing trends after 2007, expressed as the coefficient values of the
differences in groups. The numbers of brain and abdominal CT scans showed a
significant decreasing trend in children, except for abdominal CT in adolescents.
The abdominal US/CT ratio in the PED showed a statistically significant increase
(2.68; 95% confidence interval, 1.87 to 3.49) except for the adolescent group
(5.82; 95% confidence interval, -2.06 to 13.69). CONCLUSION: Overall, CT use in
pediatric patients has decreased since 2007. Pediatric US use has also shown a
decreasing trend; however, the abdominal US/CT ratio in pediatric patients showed
an increasing trend, except for adolescents.
PMID- 29381909
TI - Metoprolol treatment of dual cocaine and bupropion cardiovascular and central
nervous system toxicity.
AB - Cardiovascular and central nervous system (CNS) toxicity, including
tachydysrhythmia, agitation, and seizures, may arise from cocaine or bupropion
use. We report acute toxicity from the concomitant use of cocaine and bupropion
in a 25-year-old female. She arrived agitated and uncooperative, with a history
of possible antecedent cocaine use. Her electrocardiogram demonstrated
tachycardia at 130 beats/min, with a corrected QT interval of 579 ms. Two doses
of 5 mg intravenous metoprolol were administered, which resolved the agitation,
tachydysrhythmia, and corrected QT interval prolongation. Her comprehensive
toxicology screen returned positive for both cocaine and bupropion. We believe
clinicians should be aware of the potential for synergistic cardiovascular and
CNS toxicity from concomitant cocaine and bupropion use. Metoprolol may represent
an effective initial treatment. Unlike benzodiazepines, metoprolol directly
counters the pharmacologic effects of stimulants without respiratory depression,
sedation, or paradoxical agitation. A lipophilic beta-blocker, metoprolol has
good penetration of the CNS and can counter stimulant-induced agitation.
PMID- 29381910
TI - Somatic KRAS mutation in an infant with linear nevus sebaceous syndrome
associated with lymphatic malformations: A case report and literature review.
AB - RATIONALE: Linear nevus sebaceous syndrome (LNSS) is a rare neurocutaneous
syndrome, characterized by nevus sebaceous,central nervous system (CNS), ocular
and skeletal abnormalities. The present study describes KRAS somatic mosaic
mutation in a case of LNSS with lymphatic malformations (LMs). PATIENT CONCERNS:
A 4-month-old female with a clinical diagnosis of LNSS presented with infantile
spasms, mental retardation, skull dysplasia, ocular abnormalities, congenital
atrial septal defect, and LMs. DIAGNOSIS: Cervical ultrasonography revealed a 4.6
* 4.6 * 2.2cm no echo packet with clear boundary in the subcutaneous tissues of
the right neck. The neck MRI indicated a cyst in the subcutaneous tissues of the
right neck. Whole-exome sequencing revealed a low-level heterozygous mutation of
the KRAS gene (c.35C > T; p.G12D, 19%) in the skin lesion sample. This mutation
was not present in the blood samples of the patient and her parents.
INTERVENTIONS: The patient received sclerotherapy with paicibanil (OK-432)
injection for the cyst. OUTCOMES: Following 1 year of treatment, the patient
exhibited fewer seizures. The mental and motor development was significantly
improved. The patient can currently walk with assistance and speak simple words.
LESSONS: LNSS is a rare, congenital neurocutaneous syndrome consisting of a
spectrum of abnormalities involving the skin, central nervous system, eyes, LMs
and other systems. LNSS can be caused by postzygotic somatic mutation in the RAS
family of genes. Multidisciplinary evaluation and treatment is needed.
PMID- 29381911
TI - Risk of macular degeneration affected by polymorphisms in Matrix
metalloproteinase-2: A case-control study in Chinese Han population.
AB - The purpose of this study was to investigate the correlation of single nucleotide
polymorphisms (SNPs) in Matrix metalloproteinase -2 (MMP-2) gene and the risk of
age-related macular degeneration (AMD) in Chinese Han population.A total of 126
AMD patients and 141 healthy controls participated in this study. Genotypes of
MMP-2 gene polymorphisms were identified by the polymerase chain reaction
restriction fragment length polymorphism (PCR-RFLP). chitest was used to detect
the differences of genotypes and alleles frequencies between case and control
groups. Relative risk of AMD was evaluated by odds ratios (ORs) with 95%
confidence intervals (CIs).Distribution of variant allele carriers (computed
tomography + TT genotypes) of MMP-2 gene rs243865 SNP was significantly different
between case and control groups, and might act as protective factors for the
onset of AMD (P = .044, OR = 0.583, 95% CI = 0.344-0.987). Nevertheless, the T
allele might reduce the AMD risk (P = .030, OR = 0.611, 95% CI = 0.390-0.956).
However, no significant association existed between rs243865 and AMD risk in the
subgroup analysis based on age. GA + AA genotypes of rs243866 SNP may associate
with a decreased risk of AMD in the age<=65 years subgroup (P = .028, OR = 0.399,
95% CI = 0.174-0.915).MMP-2 gene rs243865 and rs243866 SNPs associated with the
risk of AMD. Further studies should be performed to confirm the results.
PMID- 29381912
TI - Association of diabetes mellitus with thyroid cancer risk: A meta-analysis of
cohort studies.
AB - BACKGROUND: Diabetes mellitus (DM) is inconsistently associated with thyroid
cancer risk. The purpose of this study was to summarize findings from cohort
studies regarding the strength of the association of DM with subsequent thyroid
cancer risk. METHODS: Electronic searches were performed in PubMed, EmBase, and
the Cochrane Library to identify studies from inception to July 2016. Cohort
studies reporting risk estimates with 95% confidence intervals (CIs) of thyroid
cancer in DM and non-DM patients were included. A random-effects meta-analysis
was performed to the risk of thyroid cancer in DM compared with non-DM
participants. RESULTS: Sixteen cohort studies were included, with a total of
10,725,884 individuals. These studies reported a total of 8032 cases of thyroid
cancer. Overall, DM was associated with an increased risk of thyroid cancer
(relative risk [RR], 1.20; 95% CI, 1.09-1.33; P < .001). Further, there was no
significant difference was found between DM and non-DM for the risk of thyroid
cancer in men (RR, 1.14; 95% CI, 1.00-1.30; P = .057), while a significant
correlation was found in a pooled analysis in women (RR, 1.11; 95% CI, 1.06-1.17;
P < .001). Finally, subgroup analyses suggested that country and mean age might
correlate with the relationship between DM and the risk of thyroid cancer.
CONCLUSION: This study suggested that patients with DM have significantly
increased risk of thyroid cancer compared nondiabetics. This positive association
was prominent in women, and not significant in men. Further large-scale studies
are required to verify the nature of the association, which should be evaluated
in specific subpopulations.
PMID- 29381913
TI - The hypospadias classification affected the surgical outcomes of staged oral
mucosa graft urethroplasty in hypospadias reoperation: An observational study.
AB - The staged graft urethroplasty is a recommended technique for repairing complex
hypospadias. This retrospective study aimed to investigate the outcomes of this
technique in hypospadias patients undergoing reoperation and to analyze the
underlying contributing factors including age, meatus location, and graft and
suture type.We retrospectively analyzed 40 hypospadias patients undergoing
reoperation who received a staged oral graft urethroplasty, including 15 buccal
mucosal grafts and 25 lingual mucosal grafts. Median age at presentation was 18.5
years, and median follow-up was 17.5 months (range 8-30 months). The patients
were classified according to their original meatus location.Twenty-five
complications developed in 12 of 40 (30%) cases, including 6 fistulas (15%), 7
infections (17.5%), 9 cases of glans dehiscence (22.5%), and 3 cases of stenosis
(7.5%). There was no significant difference in the overall complication rates
between prepuberty and postpuberty groups. In addition, no significant difference
in complications was found between the 2 graft techniques. The complications were
significantly higher in the original perineal type compared with the original
penoscrotal type (7/10 vs 5/30, P = .0031). Seven patients who originally had
perineal hypospadias developed multiple complications.Based on this study, the
staged graft urethroplasty is an effective technique in reoperative hypospadias
repairs with reasonable complication risk. The hypospadias classification affects
the surgical outcomes.
PMID- 29381914
TI - Preoperative bathing with chlorhexidine reduces the incidence of surgical site
infections after total knee arthroplasty: A meta-analysis.
AB - BACKGROUND: Surgical site infection is a devastating postoperative complication,
and the occurrence ranges from 1% to 2% after total knee arthroplasty (TKA). The
efficacy of the preoperative use of chlorhexidine for reducing infection has been
debated. This meta-analysis aimed to examine the efficacy of the use of
chlorhexidine to prevent surgical site infections after TKA. METHODS: In February
2017, a systematic literature review was conducted using the following electronic
databases: PubMed, EMBASE, Web of Science, Cochrane Database of Systematic
Reviews, and the Google database. Data from randomized controlled trials (RCTs)
and retrospective comparative study (RCS) that compared the use of chlorhexidine
versus control washes to prep patients for TKA were retrieved. The primary
endpoint was to compare the total incidence of infection with and without the use
of chlorhexidine. The secondary outcomes were the incidence of infection in low
risk category patients, moderate-risk category patients, and high-risk category
patients. After testing for publication bias and heterogeneity between studies,
data were aggregated for random-effects modeling when necessary. RESULTS: Four
clinical trials that included 8787 patients (chlorhexidine group: n = 2615,
control group: n = 6172) were ultimately included in the meta-analysis.
Chlorhexidine was associated with a reduced total incidence of infection,
corresponding to a reduction of 1.69% [risk ratio (RR) = 0.22; 95% confidence
interval (95% CI) = 0.12-0.40; P = .000]. Similarly, chlorhexidine was associated
with a reduction in the incidence of infection among patients in the moderate
risk category (RR, 0.18; 95% CI, 0.05-0.63; P = .007) and the high-risk category
(RR, 0.13; 95% CI, 0.03-0.67; P = .014). There was no significant difference
between the incidence of infection in low-risk category patients with
chlorhexidine use compared with the use of control washes (RR, 0.60; 95% CI, 0.22
1.60; P = .330). CONCLUSION: The preoperative use of chlorhexidine could reduce
the total incidence of infection and the incidence of infection in moderate-risk
and high-risk category patients. The overall evidence and the number of included
studies was limited; thus, a greater number of high-quality RCTs is still needed
to further identify the effects of chlorhexidine on reducing the incidence of
infection after TKA.
PMID- 29381915
TI - Biomarkers identification for acute myocardial infarction detection via weighted
gene co-expression network analysis.
AB - The study aimed to seek potential biomarkers for acute myocardial infarction
(AMI) detection and treatment.The dataset GSE48060 was used, consisting of 52
peripheral blood samples (31 AMI samples and 21 normal controls). By limma
package, differentially expressed genes (DEGs) between 2 kinds of samples were
identified, followed by enrichment analysis, subpathway analysis, protein-protein
interaction (PPI) network analysis, and transcription factor network (TFN)
analysis. Weighted gene co-expression network analysis was used to further
extract key modules relating to AMI, followed by enrichment and TFN analyses.
Expression validation was performed via meta-analysis of 2 datasets, GSE22229 and
GSE29111.A set of 428 DEGs in AMI were screened out, and the upregulated toll
like receptor (TLR) family genes (TLR1, TLR2, and TLR10) were enriched in wound
response, immune response and inflammatory response functions, and downregulated
genes (GBP5, CXCL5, GZMA, CCL5, and CCL4) were correlated with immune response.
CCL5, GZMA, GZMB, TLR2, and formyl peptide receptor 1 (FPR1) were predicted as
crucial nodes in the PPI network. Signal transducer and activator of
transcription 1 (STAT1) was the key transcription factor (TF) with multiple
targets. The grey module was highly related to AMI. Genes in this module were
closely related to regulation of macrophage activation, and spermatogenic leucine
zipper 1 (SPZ1) was identified as a TF. Expressions of TLR2 and FPR1 were
confirmed via the integrated matrix.Several potential biomarkers for AMI
detection were identified, such as GZMB, GBP5, FPR1, TLR2, STAT1, and SPZ1. They
might exert their functions via regulation of immune and inflammation responses.
Genes in grey module play significant roles in AMI via regulation of macrophage
activation.
PMID- 29381916
TI - Blood culture-negative endocarditis: Improving the diagnostic yield using new
diagnostic tools.
AB - Blood culture-negative endocarditis (BCNE) may represent up to 70% of all
endocarditis cases, depending on series. From 2001 to 2009, we implemented in our
laboratory a multimodal diagnostic strategy for BCNE that included systematized
testing of blood, and when available, valvular biopsy specimens using
serological, broad range molecular, and histopathological assays. A causative
microorganism was identified in 62.7% of patients.In this study from January 2010
to December 2015, in an effort to increase the number of identified causative
microorganisms, we prospectively added to our diagnostic protocol specific real
time (RT) polymerase chain reaction (PCR) assays targeting various endocarditis
agents, and applied them to all patients with BCNE admitted to the 4 public
hospitals in Marseille, France.A total of 283 patients with BCNE were included in
the study. Of these, 177 were classified as having definite endocarditis. Using
our new multimodal diagnostic strategy, we identified an etiology in 138 patients
(78.0% of cases). Of these, 3 were not infective (2.2%) and 1 was diagnosed as
having Mycobacterium bovis BCG endocarditis. By adding specific PCR assays from
blood and valvular biopsies, which exhibited a significantly greater sensitivity
(P < 10) than other methods, causative agents, mostly enterococci, streptococci,
and zoonotic microorganisms, were identified in an additional 27 patients (14
from valves only, 11 from blood only, and 2 from both). Finally, in another 107
patients, a pathogen was detected using serology in 37, valve culture in 8, broad
spectrum PCR from valvular biopsies and blood in 19 and 2, respectively,
immunohistochemistry from valves in 3, and a combination of several assays in
38.By adding specific RT-PCR assays to our systematic PCR testing of patients
with BCNE, we increased the diagnostic efficiency by 24.3%, mostly by detecting
enterococci and streptococci that had not been detected by other diagnostic
methods, but also agents requiring specific management such as Mycoplasma hominis
and Tropheryma whipplei.
PMID- 29381917
TI - Changes of cervical sagittal alignments during motions in patients with cervical
kyphosis.
AB - Changes of cervical sagittal alignment during motion in cervical kyphosis
patients have never been published before. This study was to investigate the
changes and provide a better reference for orthopedic treatment.Randomized double
blind repeat trial was carried out on 60 patients with cervical kyphosis. On
standard position, hyper flexion, and hyper extension sagittal radiographs, the
following measurements were made: the C2-7 vertebral body spatial alignment angle
(?A), C2-7 vertebral lower terminal lamina tilt angle (?B), C2/3 to C6/7
segmental intervertebral space angle (?C), the distance from the posterior edge
of odontoid to C7 vertebral body (D value), and the difference of angle A, B, and
C between cervical flexion and extension movement. Another 60 healthy volunteers
were enrolled, of whom the cervical curve apex was determined using Borden's
method to compare change and distribution characteristics to patients with
cervical kyphosis and C value.In standard lateral position, ?A was positive and
increased from C2 to C7. In hyper extension position, ?A decreased with reducing
amplitude from C2 to C7 compared with the standard position, whereas in hyper
flexion position, the average value of ?A increased with decreasing amplitude
from C2 to C7. ?B followed similar change regularities as ?A with a larger mean
value. In cervical flexion and extension movement, ?A change of upper vertebral
body (?D) was almost equal to ?A change of lower vertebral body and ?C change
between the adjacent 2 vertebral bodies (?E). The curve apex distribution was
almost between C4 and C5 in cervical kyphosis patients. A significant difference
was observed between cervical kyphosis patients and normal people in C value and
D value.The correction of the cervical kyphosis can be carried out from the apex
of the cervical spine that provides a solid theoretical foundation for the
correction of the cervical kyphosis.
PMID- 29381918
TI - Diagnosis of tuberculous pleurisy with combination of adenosine deaminase and
interferon-gamma immunospot assay in a tuberculosis-endemic population: A
prospective cohort study.
AB - The aim of this study was to identify the optimal cut-off value of T cell enzyme
linked immunospot assay for tuberculosis (T-SPOT.TB) and evaluate its diagnostic
performance alone (in the peripheral blood) or in combination with the adenosine
deaminase (ADA) activity test (in peripheral blood and the pleural fluid) in
patients with tuberculous pleurisy.Adult patients presenting with pleural
effusion were included in this prospective cohort study. Tuberculous pleurisy was
diagnosed by T-SPOT.TB in peripheral blood and a combination of T-SPOT.TB and ADA
activity test in pleural fluid and peripheral blood. Receiver operating
characteristic (ROC) curve in combination with multivariate logistic regression
was used to evaluate the diagnostic performance of the assays.Among a total of
189 patients with suspected tuberculous pleurisy who were prospectively enrolled
in this study, 177 patients were validated for inclusion in the final analysis.
ROC analysis revealed that the area under the ROC curve (AUC) for T-SPOT.TB in
pleural fluid and peripheral blood was 0.918 and 0.881, respectively, and for the
ADA activity test in pleural fluid was 0.944. In addition, 95.5 spot-forming
cells (SFCs)/2.5 * 10 cells were determined as the optimal cut-off value for T
SPOT.TB in pleural fluid. Parallel combination of T-SPOT.TB and ADA activity test
in pleural fluid showed increased sensitivity (96.9%) and specificity (87.5%),
whereas serial combination showed increased specificity (97.5%). The combination
of 3 assays had the highest sensitivity at 97.9%, with an AUC value of 0.964.T
SPOT.TB in pleural fluid performed better than that in peripheral blood and the
ADA activity test in pleural fluid for tuberculous pleurisy diagnosis. The
optimal cut-off value of T-SPOT.TB in pleural fluid was 95.5 SFCs/2.5 * 10 cells.
Combination of 3 assays might be a promising approach for tuberculous pleurisy
diagnosis.
PMID- 29381919
TI - Cystic fibrosis respiratory tract salt concentration: An Exploratory Cohort
Study.
AB - In cystic fibrosis patients, electrolytic and osmolality imbalance secondary to
cystic fibrosis transmembrane conductance regulator mutations may impact on
mucoid secretion accumulation and secondary colonization by opportunistic
pathogens such as nontuberculous mycobacteria.We performed a noninvasive
exploratory prospective controlled clinical study comparing sputum salinity and
acid-base characteristics of cystic fibrosis and noncystic fibrosis control
patients. A total of 57 patients and 62 controls were included.Sputum salt
concentrations were 10.5 g/L (95% CI: 7.7-13.3) in patients and 7.4 g/L (95% CI:
5.9-8.9) in aged-matched controls, a difference that was found to be
statistically significant (P < .05). No difference in pH was observed between
patients and controls.These differences in respiratory secretions salt
concentrations could influence host-pathogen interactions in the context of
cystic fibrosis respiratory infections. We propose to include respiratory
secretion salt measurement as a routine analysis on cystic fibrosis patients'
sputum submitted for bacterial culture.
PMID- 29381920
TI - Corrective osteotomy with retrograde Fassier-Duval nail in an osteogenesis
imperfecta patient with bilateral genu valgum: A case report.
AB - RATIONALE: The treatment of osteogenesis imperfecta (OI) requires a
multidisciplinary approach to maximize function and reduce fracture incidence.
The aim of this case report was to discuss an alternative surgical approach to
stabilize a corrective osteotomy using the Fassier Duval (FD) system in an OI
patient. PATIENT CONCERNS: A 20-year-old OI woman presented with left thigh pain,
gait disturbance, and bilateral genu valgus deformities. DIAGNOSES: Physical
examination and standing radiographs revealed bilateral genu valgum with previous
fixation implants in the femoral and the left tibia. INTERVENTIONS: Staged
surgery was performed. A previous Ender pin was removed from the left femur, and
a FD nail was inserted in a retrograde fashion. An intercondylar fracture was
encountered while inserting the female rod in the distal left femur. After
removal of a previous Rush pin from the right femur, several complications were
also encountered during FD nailing of the right femur. The tip threads of the FD
male nail could not achieve adequate anchorage in the region of the greater
trochanter. To prevent male nail dropping, a horizontal stop Kirschner pin was
inserted close to the distal end of the female nail. OUTCOME: Despite
perioperative problems such as rod dropping and occurrence of an intercondylar
fracture of the left distal femur, bilateral retrograde nailing using the FD
system was successful. An accurate entry portal is important when performing
retrograde rodding. In addition, reaming the portal to a larger diameter in order
to accommodate the large head of the female nail can prevent intraoperative
intercondylar split, especially when combined with an osteotomy at the distal
femur. LESSONS: Selection of the proper surgical technique is dependent on both
the surgeon's experience and the condition of the patient. Although not an
optimal device, a FD nail can be used as an IM nail for corrective osteotomy at
the distal femur in an adult OI patient with a small femoral IM canal.
PMID- 29381921
TI - Immediate postoperative tracheal extubation in a liver transplant recipient with
encephalopathy and the Mayo end-stage liver disease score of 41: A CARE-compliant
case report revealed meaningful challenge in recovery after surgery (ERAS) for
liver transplantation.
AB - RATIONALE: Immediate postoperative tracheal extubation (IPTE) is one of the most
important subject in recovery after surgery (ERAS) for liver transplantation.
However, the criteria for IPTE is not uniform at present. PATIENT CONCERNS: We
reported a successful IPTE in a liver transplant recipient with encephalopathy
and a high Mayo end-stage liver disease (MELD) score of 41, which beyond the so
called criteria reported in the literature. The patient was 48-year-old man,
admitted in September 2016 for end-stage liver cirrhosis secondary to hepatitis
B. DIAGNOSES: End-stage liver cirrhosis secondary to hepatitis B with
encephalopathy and a high MELD score of 41. INTERVENTIONS: He was involved in our
ERAS project and was extubated at the end of the liver transplantation in the
operating room. OUTCOMES: As a result, the patient was not reintubated and had an
excellent postoperative recovery, staying in intensive care unit (ICU) for just 2
days and discharged home on day 10. LESSONS: We believed IPTE in liver transplant
recipients with severe liver dysfunction is a meaningful challenge in ERAS for
liver transplantation. Our case and literature review suggest 3 things: IPTE in
liver transplantation is generally feasible and safe; the encephalopathy or high
MELD score should not be the only limiting factor; and a more systematic
predicting system for IPTE in liver transplantation should be addressed in future
studies.
PMID- 29381922
TI - Immediate versus deferred stenting for patients undergoing primary or emergent
percutaneous coronary intervention: Protocol for a systematic review and meta
analysis.
AB - INTRODUCTION: Primary or emergent percutaneous coronary intervention (PCI) with
stenting is the standard treatment for patients with ST-segment elevation
myocardial infarction (STEMI) or non-STEMI acute coronary syndromes (ACS) at high
risk. The value of delayed stenting following balloon-facilitated reperfusion in
these patients is largely unknown. METHODS AND ANALYSIS: This systematic review
aims to assess whether delayed stenting (vs immediate stenting) improves
angiographic and cardiovascular clinical outcomes for patients with STEMI or non
STEMI ACS undergoing primary or emergent PCI. The primary endpoint is adverse
angiographic outcomes (no or slow coronary flow after final PCI), the main
secondary endpoint includes a composite of long-term (>=6 months) all-cause
mortality, recurrent ACS (recurrent myocardial infarction, unplanned
revascularization of the target vessel, etc.), hospital admission for heart
failure or any other cardiovascular cause. Relevant studies will be searched in
the Cochrane Central Register of Controlled Trials, MEDLINE, EMBASE, and other
electronic databases. Two authors will independently screen studies for
inclusion, consulting with a third author where necessary to resolve
discrepancies. The risk of bias of included studies will be assessed using the
Cochrane Collaboration risk of bias tool, and quality of evidence using the
Grades of Recommendation, Assessment, Development and Evaluation (GRADE)
approach. Results will be presented using risk ratios with 95% confidence
interval (CI) for dichotomous outcomes and standardized mean differences with 95%
CI for continuous outcomes. ETHICS AND DISSEMINATION: This systematic review and
meta-analysis protocol will not require ethical approval. We will disseminate the
findings of this systematic review and meta-analysis via publications in peer
reviewed journals.
PMID- 29381923
TI - Identification of regulatory role of DNA methylation in colon cancer gene
expression via systematic bioinformatics analysis.
AB - Colon cancer arises from the accumulations of genetic and epigenetic changes.
Currently, profiles of DNA methylation and gene expression of colon cancer have
not been elucidated clearly. This articles aims to characterize the profile of
DNA methylation and gene expression of colon cancer systemically, and acquire
candidate genes potentially regulated by altered methylation for this
disease.Data were downloaded from The Cancer Genome Atlas database.
Differentially methylated CpG sites (DMCs) and differentially methylated regions
(DMRs) were calculated via COHCAP. Differentially expressed genes (DEGs) were
identified by DESeq2. Weighted gene co-expression network analysis (WGCNA)
package in R was applied for WGCNA.Data of 275 solid tumor tissues and 19
adjacent tumor tissues of colon cancer were obtained. A total of 1828 DMCs,
including 1390 hypermethylated and 438 hypomethylated CpG sites, were identified
between tumor and normal groups. A total of 789 DEGs, containing 435 upregulated
genes and 354 downregulated genes were observed. It revealed that 8 DMRs-DEGs and
95 DMCs-DEGs pairs were significantly correlated. Furthermore, genes of yellow
and brown modules from WGCNA were significantly correlated with tumor/normal
status, and significantly enriched in peroxisome proliferator activated receptor
signaling pathway, glutamatergic synapse, and neuroactive ligand-receptor
interaction. Genes in the above 2 modules were also significantly enriched in
DMCs or DMRs-associated genes. Specifically, ADHFE1, HAND2, and GNAO1 were
hypermethylated and downregulated in colon cancer, suggesting that the low
expression levels of these genes may be regulated by DNA hypermethylation. In
addition, the 3 genes were involved in brown module of WGCNA, indicating their
important roles in colon cancer.The investigation of the relationship between DNA
methylation and gene expression may help to understand the effect of DNA
methylation alteration on genes expression, especially gene co-expression network
in the development of colon cancer. Genes such as ADHFE1, HAND2, and GNAO1 may be
served as potential candidates for diagnosis and therapy targets in colon cancer.
PMID- 29381924
TI - Health and quality of life in patients with medication overuse headache syndrome
after standardized inpatient rehabilitation: A cross-sectional pilot study.
AB - The aim of this pilot study was to determine health-related quality of life
(HRQoL) in patients with history of medication overuse headache (MOH) after
detoxification and a headache-specific inpatient rehabilitation program and to
receive necessary information for future prospective studies.HRQoL and headache
related disability were cross-sectionally measured by Short Form 36 (SF-36),
Hospital Anxiety and Depression Scale (HADS), Migraine Disability Score (MIDAS),
Coping Strategies Questionnaire (CSQ), and Symptom Checklist 90 revised (SCL-90
R). SF-36, HADS, and SCL-90-R data were compared to German population norms,
stratified by age, sex, and comorbidities.Fifty-one patients (72.5% females, mean
age 47.3 years) were included with an average headache duration of 25.3 years.
Moderate to high levels of headache were reported on the MIDAS VAS at 6.51 (range
0-10); SF-36 bodily pain was 40.3 (norm = 59.0, P < .001, 100 = best). Impaired
functioning averaged at 78.4 (100 = no impairment) on the MIDAS. In contrast, SF
36 physical functioning was comparable to the norm (mean: 78.4, norm = 81.8, P =
.63). All other SF-36 scales were significantly lower than expected from the norm
(all P < .001). The scales depression, anxiety, obsessive-compulsive, and
interpersonal sensitivity were significantly affected, whereas the levels of SCL
90-R schizophrenia nuclear and schizotypia were not lower than the norm. Coping
with pain was moderate.This pilot study is the first that presents a
comprehensive and simultaneously specific assessment of health and quality of
life of MOH patients after detoxification and inpatient rehabilitation. Moderate
to high levels of pain and self-reported disability owing to headache were
observed, whereas physical function on the SF-36 was not different from the
expected level of the norm. Mental health was substantially affected in several
dimensions, which had been described to reduce the ability to cope with pain. MOH
patients seem to have high expectations of functionality, low symptomatology, and
intact well-being.
PMID- 29381925
TI - Blood type AB predicts promising prognosis in gastric cancer patients with
positive preoperative serum CEA.
AB - Little is known about association between ABO blood groups and tumor markers in
gastric cancer (GC) patients. The aim of the present study was to assess the
prognostic value of ABO blood groups in GC patients with different levels of
preoperative serum carcinoembryonic antigen (CEA).From September 2008 to April
2015, a total of 3234 GC patients who received radical gastrectomy were
retrospectively analyzed. The clinicopathological characteristics including ABO
blood groups and preoperative CEA were recorded. The prognostic value of ABO
blood groups within different levels of serum CEA was analyzed.Overall, the ratio
of male to female patients was 3.5:1; the median age was 57.4 years (range 20
87). The median overall survival (OS) for GC patients with blood type A, B, AB,
and O were 52.6, 52.8, 53.8, and 53.6 months, respectively. There was no
significant difference for the survival of patients among the 4 groups (P =
.736). Also, no significant difference was found among the OS of the 4 blood
types with negative (P = .875) and positive (P = .131) preoperative serum CEA.
Further, we found that the OS of patients with positive preoperative serum CEA
and blood type AB was significantly higher than that with blood type non-AB (P =
.026). For patients with positive preoperative serum CEA, multivariate analysis
showed that ABO blood groups were an independent prognostic factor.Blood type AB
was a favorable prognostic factor for GC patients with positive preoperative
serum CEA.
PMID- 29381926
TI - The long-term survival benefits of high and low ligation of inferior mesenteric
artery in colorectal cancer surgery: A review and meta-analysis.
AB - BACKGROUND: The decision of ligation at the origin of the inferior mesenteric
artery (IMA) or below the origin of the left colic artery (LCA) has remained a
dilemma for surgeons in colorectal cancer surgery. The available studies are
controversial. The objective of this meta-analysis is to compare the predictive
significance of high versus low ligation in colorectal cancer surgery. METHODS: A
literature search done using Medline, EMBASE, GoogleScholar, and references. A
meta-analysis was performed to analyze the 5-year overall survival (OS) of the
high and low ligation using hazard ratio (HR) and 95% confidence interval (CI).
We further analyzed 2 subgroups considering the level of lymph nodes (LNs)
extension. That is IMA positive (+ve) and negative (-ve) LNs. Survival
differences were analyzed. RESULTS: A total of 3119 patients in 5 cohorts were
included in this meta-analysis. The pooled HR results showed significant OS
benefit of high ligation than low ligation (HR; 0.77, 95% CI: 0.66-0.89) in the
"IMA +ve" group with 33% decreased risk, while there is no statistical
significance in the "IMA -ve" (HR 0.66, 95% CI: 0.30-1.46) and the "all cases"
group (HR 0.69, 95% CI: 0.41-1.15). CONCLUSION: The pooled data showed high
ligation of IMA has a better survival benefit for the patients with IMA positive
LNs. It signifies high ligation should be recommended for the advanced cases or
with the suspected high risk of IMA lymphatic metastasis. The limited number of
articles demands future high-powered, well-designed randomized controlled trials
(RCTs) for the further reliable conclusion.
PMID- 29381927
TI - Comparison of coblation annuloplasty and radiofrequency thermocoagulation for
treatment of lumbar discogenic pain.
AB - This study aimed to compare the effectiveness and safety of coblation
annuloplasty and radiofrequency thermocoagulation for lumbar discogenic
pain.Patients who suffered from lumbar discogenic pain and underwent coblation
annuloplasty and radiofrequency thermocoagulation surgery were included. A
questionnaire, including the visual analo scale (VAS), MacNab criteria, pain
relief rate, and any complications due to surgery, was completed by the patients
with the help of a trained volunteer who was blinded to the study. Data were
collected at 1 week, and 1, 3, 6, and 12 months after surgery. Significant pain
relief was defined as postoperative pain relief >=50% compared with the
preoperative state. Any complications during or after surgery were also
recorded.A total of 122 patients were included; 37 patients were lost in the
follow-up and 85 were evaluated. Among these, 45 patients underwent coblation
annuloplasty (CA group, n = 45) and 40 underwent radiofrequency thermocoagulation
procedures (RF group, n = 40).VAS pain scores were decreased at 1 week and 1, 3,
6, and 12 months postoperatively compared with preoperation in both groups (P <
.05). The CA group had significantly lower VAS scores at 6 and 12 months of
follow-up than did the RF group (P < .05). According to the modified MacNab
criteria, the proportions of patients with excellent and/or good results at 3, 6,
and 12 months of follow-up were significantly higher in the CA group compared
with the RF group (P < .05).Only 2 patients reported soreness at the needle
insertion site in the CA group. However, 3 patients had soreness at the needle
insertion site, 3 had increased intensity of low back pain, 1 had intracranial
hypotension, and 2 had new numbness in the leg and foot in the RF group. At the 1
year follow-up, this numbness was present all of the time. No major complications
occurred in the CA group.Our study suggests that CA is a more effective and safe
minimally invasive procedure than RF for treating lumbar discogenic pain.
PMID- 29381928
TI - Association of the IL-13 polymorphisms rs1800925 and rs20541 with chronic
obstructive pulmonary disease risk: An updated meta-analysis.
AB - The aim of this study was to investigate if 2 common single nucleotide
polymorphisms (SNPs) in the interleukin-13 (IL-13) gene, rs1800925 and rs20541
are associated with chronic obstructive pulmonary disease (COPD) risk.Case
control association studies were retrieved systematically from PubMed, Scopus,
ISI Web of Science, China National Knowledge Infrastructure, and Wanfang
databases using standardized subject terms.Eleven studies including 3077
participants (1896 cases and 1181 controls) were analyzed. Evidence for a
positive association between the T allele of the IL-13 SNP rs1800925 and COPD
risk was found in the overall population (odds ratio [OR] = 1.57, 95% confidence
interval [95% CI]: 1.21-2.04, Pz = .001). In subgroup analysis according to
ethnicity, the T allele of rs1800925 was associated with an increased risk of
COPD in Asians (OR = 1.88, 95% CI: 1.23-2.87, Pz = .004) and Caucasians (OR =
1.30, 95% CI: 1.01-1.67, Pz = .041), respectively. For rs20541, the results
suggested an association between rs20541 and COPD risk in Caucasians under the
recessive model (OR = 2.79, 95% CI: 1.13-6.92, Pz = .026), whereas this SNP was
not associated with COPD in Asians.This meta-analysis suggests that the T allele
of rs1800925 is associated with the increased risk of COPD in both Asians and
Caucasians, whereas rs20541 is associated with the risk of COPD in Caucasians but
not in Asians.
PMID- 29381929
TI - Factors influencing the virological testing of cornea donors.
AB - To assess the influence of donor, environment, and logistical factors on the
results of virological testing of blood samples from cornea donors.Data from 670
consecutive cornea donors were analyzed retrospectively. Logistic regression
analysis was used to assess the influence of different factors on the results of
virological testing of blood samples from cornea donors.The mean annual rate of
donors with serology-reactive or not evaluable result was 14.8% (99 of 670)
(range 11.9%-16.9%). The cause of donor death by cancer increased the risk of
serology-reactive or not evaluable result (P = .0300). Prolonged time between
death and post mortem blood removal was associated with a higher rate of serology
reactive or not evaluable result (P < .0001). Mean monthly temperature including
warmer months, differentiating between septic and aseptic donors, sex, and donor
age had no significant impact on the results of virological testing of blood
samples from cornea donors.The cause of donor death by cancer and a prolonged
time between death and post mortem blood removal seem to be mainly responsible
for serology-reactive or not evaluable result of blood samples from cornea
donors. The percentage of discarded corneas caused by serology-reactive or not
evaluable result may be reduced by shortening the period of time between death
and post mortem blood removal.
PMID- 29381930
TI - Chronic autoimmune disorders are increased in coeliac disease: A case-control
study.
AB - Coeliac disease (CD) is an autoimmune disorder of the small bowel associated with
increased risk of additional autoimmune diseases (ADs).To investigate the
prevalence of ADs in a population of adult coeliac patients.This was a
retrospective case-control study. Data from coeliac patients and controls
referred to a tertiary center between 2013 and 2016 were collected. The frequency
of ADs and the unadjusted and adjusted odds ratios (ORs) for age, gender, disease
duration, and body mass index with their 95% confidence intervals (CIs) were
evaluated.Two hundred fifty-five patients with CD (median age 37.1 years; 206
women) were matched with 250 controls. ADs were more frequent (35.3%) in coeliac
patients than in controls (15.2%). Adjusted ORs for the presence of only 1, at
least 1, and more than 1 AD were 3.13 (95% CI 1.81-5.42, P < .0001), 3.31 (95% CI
2.00-5.46, P < .0001), and 3.93 (95% CI 1.49-10.36, P = .006), respectively.
Hashimoto thyroiditis was the most prevalent AD (24.3% vs. 10%) OR = 2.55 (95% CI
1.39-4.70, P < .0001), followed by psoriasis (4.3% vs. 1.6%), type 1 diabetes
(2.7% vs. 0.4%), and Sjogren syndrome (2.4% vs. 0.4%).These findings suggest a
need for a careful surveillance of autoimmune status, especially for Hashimoto
thyroiditis in patients with celiac disease.
PMID- 29381931
TI - Biomechanical evaluation of a novel integrated artificial axis: A finite element
study.
AB - Various modified instruments are used for the anterior reconstruction of the
tumor lesion affecting the second cervical vertebra, but there have been no
reports regarding individual integrated artificial axis (IAA) prosthesis
fabricated by selective laser melting. In the present work, a new type of IAA
prosthesis has been designed with a 3-dimensional (3D) finite element model of
normal occiput-the fourth cervical vertebra being established to assess its
biomechanics. For easy comparison, another 3D finite element model is also
established for the T-shaped Harms cage and an additional posterior fixation was
performed on each model. The models are tested under a preliminary loading of 40
N to simulate cervical physical action including flexion, extension, lateral
bending, and rotation. Under various loads from 4 different directions, the
maximum stress and displacement of the IAA are less than those of the modified T
shaped Harms cage. Except for flexion, the maximum stress of the third cervical
vertebra endplate of the IAA is smaller than that of the modified T-shaped Harms
cage. The new prosthesis with axis is a good choice for upper cervical operation,
which not only can greatly increase the operation stability of the upper cervical
segment but also could significantly reduce the risk of fixation failure due to
Harms cage subsidence.
PMID- 29381932
TI - Open reduction combined with CORA-based osteotomy of the ulna in the treatment of
missed Bado type I Monteggia injury: A retrospective study of 5 cases.
AB - Open reduction combined with ulnar osteotomy is the most common approach to
treating missed Monteggia injuries. The osteotomy is usually performed at the
proximal ulna to ensure better healing and fewer complications. The purpose of
this study is to present a center of rotation angulation (CORA)-based osteotomy
of the ulna for treating Bado type I Monteggia injuries.We retrospectively
reviewed the cases of patients who were treated with open reduction combined with
a CORA-based ulnar osteotomy between February 2014 and December 2016. Each
patient provided his or her internal control, and paired data of the involved and
uninvolved sides were analyzed to evaluate forearm rotation function.Five
patients (3 male, 2 female) with median age 5.7 years (range, 3.4-6.8 years) were
operated on by the senior author in our hospital. The median interval between the
original injury and the corrective surgery was 3 months (range, 1-4 months). In a
median follow-up of 10 months (range, 6-17 months), all patients obtained stable
reduction of the radial head and uneventful healing of the ulnar osteotomy. All
patients had pain-free elbows with no neurological or vascular complications and
no implant breakage. Patients showed excellent outcomes evaluated using the
Broberg and Morrey index.Open reduction with a CORA-based osteotomy of the ulna
for the treatment of missed Bado type I Monteggia injury with an obvious ulnar
bowing deformity resulted in stable reduction of the radial head and excellent
forearm function.
PMID- 29381933
TI - The diagnosis and endovascular therapy of renal artery aneurysm: A 32-patient
case report.
AB - RATIONALE: Renal aneurysm is a rare disease with the atypical symptoms and mostly
diagnosed by imaging modalities. Endovascular therapy is a one of treatment
methods. PATIENT CONCERNS: A retrospective analysis of 32 patients with renal
artery aneurysm, from June 2010 to May 2016 in our hospital, was made in our
study. All of them underwent therapy, and the effects and perioperative
characteristics of it were analyzed. DIAGNOSIS: 32 patients were taken contrast
enhanced CT and diuretic renal dynamic imaging to evaluate the state of illness.
INTERVENTIONS: The preoperative blood creatinine, perioperative hemoglobin and
relief of hypertension were performed. OUTCOMES: The preoperative blood
creatinine was slightly increasing, while the perioperative hemoglobin was
slightly decreasing. The relieving hypertension was performed in 9 of patients.
LESSONS: Endovascular therapy is a mature and feasible treatment method. There is
little effect on hemoglobin and renal function in postoperation, while it can
also decrease the blood pressure.
PMID- 29381934
TI - Pregnancy-associated hemophagocytic lymphohistiocytosis secondary to NK/T cells
lymphoma: A case report and literature review.
AB - RATIONALE: Hemophagocytic lymphohistiocytosis (HLH) occurs primarily in pediatric
population, or secondary to malignancy, infection, or autoimmune disease. This
disease is rare and prognosis is generally poor. Only a small number of cases
during pregnancy have been reported in literature. PATIENT CONCERNS: We report a
case of pregnancy-associated HLH secondary to natural killer (NK)/T cells
lymphoma. She was admitted at 30 weeks and 3 days of pregnancy with complaints of
abdominal pain and fever as high as 39.2 degrees C. The patient was found to have
splenomegaly, pancytopenia, and acute hepatic failure. DIAGNOSES: A subsequent
bone marrow biopsy revealed focal hemophagocytosis and atypical lymphoid cells.
The splenic pulp also contained a large number of tissue cells proliferating and
devouring mature red blood cells, lymphocytes, and cell debris. On the basis of
these findings, we diagnosed the case as pregnancy-associated hemophagocytic
lymphohistiocytosis secondary to NK/T cells lymphoma. INTERVENTIONS: Treatment
consisted with dexamethasone and etoposide in combination with rituximab.
OUTCOMES: Due to timely termination of pregnancy, the neonate was in good
condition. However, the patient died on the 18th day postoperation due to
multiorgan failure. LESSONS: We recommend that HLH be considered as differential
diagnosis in a pregnant patient complaining of persistent fever, cytopenia, or
declining clinical condition despite delivery of the baby. Prompt diagnosis and
treatment is essential and fetal outcomes should also be considered. The decision
to terminate a pregnancy and initiate chemotherapy during pregnancy with
malignancy-associated HLH (M-HLH) needs to be further investigated in a larger
cohort.
PMID- 29381935
TI - Salvage chemoradiotherapy with cisplatin and vinorelbine for postoperative
locoregional recurrence of non-small cell lung cancer.
AB - Although a few investigators have demonstrated the effect of concurrent
chemoradiotherapy (CRT) for postoperative recurrent non-small cell lung cancer
(NSCLC), the outcome of this treatment remains unclear. The aim of this study was
to elucidate the efficacy and tolerability of concurrent CRT with cisplatin
(CDDP) and vinorelbine (VNR) in patients with postoperative locoregional
recurrent NSCLC. A total of 40 patients who had received concurrent CRT with CDDP
and VNR between January 1999 and December 2014 were retrospectively analyzed.
Patients were treated with CDDP (80 mg/m on day 1) and VNR (20 mg/m on days 1 and
8) every 4 weeks. Radiotherapy was administered concurrently during cycle 1. The
delivered x-ray radiation dose was 60 Gy in all 37 patients who received x-ray
radiotherapy; 3 patients received proton beam radiation (66 Gy [RBE] in 1 patient
and 60 Gy [RBE] in 2 patients). The objective response rate was 85% (95%
confidence interval [CI], 70.9%-92.9%). The median progression-free survival was
20.3 months (95% CI, 12.9 months-not reached). The 2-year survival rate was 78.9%
(95% CI, 63.0%-89.1%). The most common grade >=3 toxicity was neutropenia (18%).
No grade >=3 radiation pneumonitis and no treatment-related deaths were
observed.Our study revealed that concurrent CRT with CDDP and VNR was active and
safe for patients with postoperative locoregional recurrent NSCLC. Salvage CRT
for postoperative locoregional recurrent NSCLC might be a promising treatment for
selected patients.
PMID- 29381937
TI - Case of a cardiac arrest patient who survived after extracorporeal
cardiopulmonary resuscitation and 1.5 hours of resuscitation: A case report.
AB - RATIONALE: Per the American Heart Association guidelines, extracorporeal
cardiopulmonary resuscitation should be considered for in-hospital patients with
easily reversible cardiac arrest. However, there are currently no consensus
recommendations regarding resuscitation for prolonged cardiac arrest cases.
PATIENT CONCERNS AND DIAGNOSIS: We encountered a 48-year-old man who survived a
cardiac arrest that lasted approximately 1.5 hours. He visited a local hospital's
emergency department complaining of chest pain and dyspnea that had started 3
days earlier. Immediately after arriving in the emergency department, a cardiac
arrest occurred; he was transferred to our hospital for extracorporeal membrane
oxygenation (ECMO). INTERVENTIONS: Resuscitation was performed with strict
adherence to the American Heart Association/American College of Cardiology
advanced cardiac life support guidelines until ECMO could be placed. OUTCOMES: On
hospital day 7, he had a full neurologic recovery. On hospital day 58, additional
treatments, including orthotopic heart transplantation, were considered
necessary; he was transferred to another hospital. LESSONS: To our knowledge,
this is the first case in South Korea of patient survival with good neurologic
outcomes after resuscitation that lasted as long as 1.5 hours. Documenting cases
of prolonged resuscitation may lead to updated guidelines and improvement of
outcomes of similar cases in future.
PMID- 29381936
TI - Genotype and clinical course in 2 Chinese Han siblings with Wilson disease
presenting with isolated disabling premature osteoarthritis: A case report.
AB - RATIONALE: Premature osteoarthritis (POA) is a rare condition in Wilson disease
(WD). Particularly, when POA is the only complaint of a WD patient for a long
time, there would be misdiagnosis or missed diagnosis and then treatment delay.
PATIENT CONCERNS AND DIAGNOSIS: Two Chinese Han siblings were diagnosed as WD by
corneal K-F rings, laboratory test, and mutation analysis. They presented with
isolated POA during the first 2 decades or more of their disease course, and were
of missed diagnosis during that long time. The older affected sib became disabled
due to his severe osteoarthritis when he was as young as 38 years old. Two
compound heterozygous pathogenic variants c.2790_2792del and c.2621C>T were
revealed in the ATP7B gene through targeted next-generation sequencing (NGS).
LESSONS: Adolescent-onset POA could be the only complaint of WD individual for at
least 2 decades. Long delay in the treatment of WD's POA could lead to disability
in early adulthood. Detailed physical examination, special biochemical test, and
genotyping through targeted NGS should greatly reduce diagnosis delay in atypical
WD patients with isolated POA phenotype.
PMID- 29381938
TI - Osteopoikilosis found incidentally in a 17-year-old adolescent with femoral shaft
fracture: A case report.
AB - RATIONALE: Osteopoikilosis is a rare and asymptomatic disease of the bone, which
is often discovered occasionally on radiography for irrelevant complaints.
Characterized by multiple, small, circular, or oval-shaped radiodense lesions, it
may be confused with bony metastatic tumors. PATIENT CONCERNS: The present study
describes a case of a 17-year-old adolescent who suffered from pain and movement
limitation of his left thigh following a fall from standing height. DIAGNOSES:
Plain radiographs showed spiral fracture in left femoral shaft; besides, multiple
scattered sclerotic lesions of variable size were also observed over the
bilateral proximal femurs, left distal femur, proximal tibia, and distal tibia
and fibula through X-rays, computed tomography, and magnetic resonance imaging.
The patient was finally diagnosed with left femoral shaft fracture and
osteopoikilosis. INTERVENTIONS: The patient underwent reduction and internal
fixation with intramedullary nail a week after injury. OUTCOMES: The patient was
discharged without any complications 12 days after the surgery. At the 3-month
follow-up, the patient recovered well and remained symptom-free with no changes
to his sclerotic lesions. LESSONS: Although this case is not so complicated, we
have to be cautious when differentiating osteopoikilosis and bony metastases in
clinical practice in future, which should avoid causing undue distress to both
the patients and doctors.
PMID- 29381939
TI - Utilizing flat-panel detector parenchymal blood volume imaging (FD-PBV) for
quantitative kidney perfusion analysis during the process of percutaneous
transluminal renal angioplasty (PTRA): A case report.
AB - RATIONALE: Traditional digital subtraction angiography (DSA) provides lumen
morphology of renal artery as indicators for vascular patency in patients with
renal artery stenosis (RAS). It, however, lacks hemodynamic information toward
target kidney. To solve this shortcoming, a novel technique, flat-panel detector
parenchymal blood volume imaging (FD-PBV), is introduced, which is able to
evaluate hemodynamic changes of target kidney intraoperatively. PATIENTS
CONCERNS: A 77-year-old female presented with hypertension, intermittent
dizziness, nausea, and fatigue. DIAGNOSES: Ninety-nine percent stenosis of left
RAS was found. INTERVENTIONS: Percutaneous transluminal renal angioplasty was
performed, along with FD-PBV acquisition protocol. OUTCOMES: Her symptoms
relieved gradually after procedure. Intuitive FD-PBV maps showed her renal
perfusion improved remarkably. Quantitative analysis of FD-PBV showed her kidney
volume was 47.02 and 75.61 cm with average density of contrast medium (CM) 58.1
HU and 311.5 HU before and after stenting. Follow-up at 6 months showed patency
of the stent and stable kidney blood perfusion. LESSONS: FD-PBV technique
possesses a remarkable value in quantitatively assessing the changes of kidney
blood perfusion and can be a useful auxiliary technique for DSA.
PMID- 29381940
TI - Topical steroids eye drops in conjunctival reactive lymphoid hyperplasia: Case
report.
AB - RATIONALE: Conjunctival lymphoproliferative lesions constitute a significant
diagnostic challenge and it is essential to exclude neoplastic lesions.
Histopathological and immunohistochemical tests are very useful in establishing
the correct diagnosis. Reactive lymphoid hyperplasia (RLH) is part of a spectrum
of lymphocytic infiltrative disorders. Evidence is scarce regarding appropriate
treatment of conjunctival RLH. We report a case treated with topical
corticosteroid. PATIENT CONCERNS: A 40 year-old female presented with a 7-month
history of a slow growth tumor in the superior conjunctiva of the right eye. Slit
lamp examination demonstrated salmon colored lesion in the upper conjunctiva,
with little conjunctival injection, but no significant neovascularization. There
was no eyelid involvement. DIAGNOSES: Ultrasound biomicroscopy showed lesion
depth (1.53 mm) and larger diameter (10.73 mm). Pathological examination revealed
a chronic inflammatory process with conjunctival folicular hyperplasia. The
immunohistochemistry examination showed predominance of CD20, CD23, and CD 3 e CD
5. INTERVENTION: We started topic prednisolone 1% 6 times daily. OUTCOMES: Six
months after starting treatment, the lesion completely resolved, without any side
effects or recurrence during three-year follow-up period. LESSONS: Conjunctival
RLH can be managed in various ways, depending on patient symptonm, comorbities,
and disease distribution. Surgical resection with cryotherapy, radiotherapy,
systemic corticosteroids, subconjunctival triamcinolone, and rituximab are some
options. There is no strong evidence in the literature of conjunctival RLH
successfully treated with topical eye drops corticosteroid. In this report, we
obtained completely resolution of conjunctival RLH with topical corticosteroid.
CONCLUSION: Topical eye drops corticosteroids are an alternative treatment for
selected cases of conjuncitval RLH with no orbital or eyelid involvement.
PMID- 29381941
TI - Symptomatic adjacent segment disease after single-lever anterior cervical
discectomy and fusion: Incidence and risk factors.
AB - The purpose of this study was to determine the incidence and risk factors of
symptomatic adjacent segment disease (ASD) following single-lever anterior
cervical discectomy and fusion (ACDF) for cervical degenerative diseases.From
January 2000 to December 2010, a total of 582 patients with cervical
radiculopathy and myelopathy who had undergone single-lever ACDF surgery in the
authors' institution were reviewed retrospectively. Patients who had a revision
surgery for symptomatic ASD were selected for this study. The authors analyzed
the incidence for ASD after single-lever ACDF. And univariate analysis and
logistic regression analysis were performed to identify the risk factors of
ASD.Among the 582 patients, 36 patients received subsequent surgical management
for ASD after initial single-lever ACDF for an overall prevalence of 6.2%. The
average onset time of ASD was 8.5 (2-15) years. The univariate analysis showed
that there were no significant differences in sex, duration of disease, BMI, DM,
smoking, operative levels, and follow-up period (P > .05) between the 2 groups
with and without ASD. There were statistically significant differences in age at
the time of operation (chi = 4.361, P = .037), and developmental canal stenosis
(chi = 4.181, P = .041) between patients with and without ASD. The variables of
age at the time of operation and developmental canal stenosis were included in a
logistic regression model. The logistic regression analysis revealed that age at
the time of operation <=50 years (P = .045, OR = 3.015, 95% CI = 1.024-8.882) and
developmental canal stenosis (P = .042, OR = 2.797, 95% CI = 1.039-7.527) were
the risk factors for ASD after single-lever ACDF.In the present study, the
incidence of symptomatic ASD after single-lever ACDF was 6.2%. And the age at the
time of operation <=50 years and developmental canal stenosis were the risk
factors for ASD. The patients <=50 years old at the time of operation or with
developmental canal stenosis are more likely to develop ASD after surgery, and
the risk of reoperation will increase.
PMID- 29381942
TI - Associations between dental anxiety and postoperative pain following extraction
of horizontally impacted wisdom teeth: A prospective observational study.
AB - The aim of the study is to identify associations between dental anxiety and
postoperative pain in patients undergoing extraction of horizontally impacted
wisdom teeth.A total of 119 volunteers provided demographic data, and completed
questionnaires, the State-Trait Anxiety Inventory (STAI), Chinese Index of Dental
Anxiety and Fear (C-IDAF)-4C, and the Numeric Rating Scale (NRS) for pain.Mean
SAI, TAI, and C-IDAF-4C scores were 42.5 +/- 8.7, 46.4 +/- 10.9, and 16.9 +/-
7.2, respectively. Mean postoperative pain level score was 3.0 +/- 1.8 (range:
0.3-8.4). SAI scores increased as preoperative pain levels increased (beta =
1.30, 95% confidence interval [CI]: 0.62-1.98, P < .001); females had higher SAI
scores than males (5.34; 95% CI: 1.74-8.95, P = .004). Multivariable analysis
revealed that females, bad exodontic experience, and higher predicted pain levels
were associated with higher IDAF-4C scores. SAI scores (gamma = 0.611, P < .001)
and TAI scores (gamma = 0.305, P < .001) increased as C-IDAF-4C scores increased.
Higher C-IDAF-4C scores and longer operative time were significantly associated
with higher levels of postoperative pain.Specific factors are associated with
anxiety and stress, and postoperative pain in patients undergoing wisdom teeth
extraction. Addressing these factors preoperatively may reduce stress and
anxiety, and lead to more favorable treatment outcomes.
PMID- 29381943
TI - Acute myeloid leukemia presenting as erythema nodosum: A case report.
AB - RATIONALE: Erythema nodosum (EN), a type of septal panniculitis, could be a rare
nonspecific cutaneous presentation of acute myeloid leukemia (AML). PATIENT
CONCERNS: A 58-year-old Chinese female was admitted for a 4-week history of
painful cutaneous lesions, accompanied by a sternal pain and fever. The lesions
once resolved spontaneously but then recurred. Physical examination revealed
warm, tender, indurated, rounded, erythematous to violaceous nodules in bilateral
lower extremities, ranging in diameter from 1 to 6 cm. Blood marrow examination
was compatible with AML-M2. DIAGNOSES: AML-M2 presenting as EN. INTERVENTIONS:
Daunorubicin and cytarabine were used in induction chemotherapy. The patient
achieved complete remission and her skin lesions disappeared simultaneously. Six
courses of consolidation chemotherapy were conducted in the following 6 months.
OUTCOMES: The patient died due to AML relapse. LESSONS: The case strengthens the
awareness of cutaneous involvement of AML and raises oncological vigilance in
patients with EN.
PMID- 29381944
TI - Hemorrhagic pericardial effusion as the debut of acquired hemophilia in a chronic
lymphocytic leukemia patient: A case report, and a review of acquired hemophilia
A-related hematological malignancies.
AB - BACKGROUND: Acquired hemophilia A (AHA) is a rare bleeding disease caused by
autoantibodies against factor VIII. Spontaneous bleeding symptoms usually affect
the skin and muscle, while pericardial effusion is an extremely rare
manifestation. In the elderly, anticoagulant treatment is frequent and bleeding
symptoms are usually associated with this. CLINICAL FINDINGS: We report a
hemorrhagic pericardial effusion as the AHA debut in a patient with untreated
chronic lymphocytic leukemia and anticoagulated with apixaban for atrial
fibrillation and chronic arterial ischemia. The patient was treated with
recombinant activated factor VII to control the active bleeding and
corticosteroids and cyclophosphamide to eradicate the inhibitor. In addition, a
briefly review of hematological malignancies associated to acquired hemophilia
was performed. PARTICULARITIES:: a) anticoagulant treatment may confuse the
suspicion of AHA and its diagnosis; b) hemorrhagic pericardial effusion is an
extremely rare presentation; c) bypassing agents raise the risk of
thromboembolism; d) hematological malignancies rarely cause AHA (<20% of cases).
CONCLUSION: A multidisciplinary team is needed to diagnose and manage AHA
effectively. The use of anticoagulants may lead to the misdiagnosis of clinical
symptoms. Chronic lymphocytic leukemia is one of the main causes of hematological
malignancies associated. The specific treatment of CLL is still recommended in
the event of active disease.
PMID- 29381945
TI - Development and clinical application of grading and classification criteria of
lumbar disc herniation.
AB - This study aimed to develop new grading and classification criteria for lumbar
disc herniation (LDH). First, from January 1993 to January 2003, we collected the
detailed information of 1127 patients with LDH and, based on that information,
developed a new grading classification termed the 6-score-V-type criteria wherein
conservative treatment is recommended for patients with type I, II, or IIIA,
surgical treatment is recommended for type IIIC, IV, and V, and 3 months of
conservative followed by surgery if no improvements are obtained during the
conservative treatment period is recommended for type IIIBe. The distribution of
types among the 1,127 patients was: type I (7.9%), type II (22.9%), type III
(34.1%), type IV (22.2%), and type V (12.6%). Type III cases were subdivided into
type IIIA (9.9%), type IIIB (13.3%), and IIIC (10.8%). Second, from February 2003
to December 2009, we treated a separate group of 1130 patients with LDH according
to this 6-score-V-type classification rubric and monitored them for 24 months.
Therapeutic efficacy was assessed in 1130 patients with a standard evaluation for
leg pain. Overall, 85.3% of the patients in the first year and 84.1% in the
second year had good or excellent response ratings. The inter-examiner
reliability was 98%. Assignment of therapeutic protocols according to the 6-score
V-type classification yielded satisfactory outcomes, indicating that the 6-score
V-type criteria are straightforward and practical.
PMID- 29381946
TI - Efficacy and safety of 9 nonoperative regimens for the treatment of spinal cord
injury: A network meta-analysis.
AB - OBJECTIVE: This network meta-analysis aims to compare the efficacy and safety of
9 nonoperative regimens (placebo, pregabalin, GM-1 ganglioside, venlafaxine
extended-release [venlafaxine XR], fampridine, conventional over-ground training
[OT], body-weight-supported treadmill training [BWSTT], robotic-assisted gait
training [RAGT] + OT and body-weight-supported over-ground training [BWSOT]) in
treating spinal cord injury (SCI). METHODS: Clinical controlled trials of 9
nonoperative regimens for SCI were retrieved in the electronic database.
Traditional pairwise and Bayesian network meta-analyses were performed to compare
the efficacy and safety of 9 nonoperative regimens for the treatment of SCI.
Weighted mean difference (WMD), odds ratios (OR), and surface under the
cumulative ranking curve (SUCRA) were calculated using the Markov Chain Monte
Carlo engine Open BUGS (V.3.4.0) and R (V.3.2.1) package gemtc (V.0.6). RESULTS:
A total of 9 clinical controlled trials meeting the inclusion criteria were
selected in this meta-analysis. On the aspect of efficacy, the results of
pairwise meta-analysis indicated that the RAGT + OT and BWSOT might have the best
efficacy in SCI patients in terms of a lower extremity motor score (LEMS)
compared with conventional OT; the efficacy of RAGT + OT on SCI patients was
relatively better than that of conventional OT in terms of walking index for
spinal cord injury (WISCI). With the aspect of safety, the constipation rate of
placebo on SCI patients was relatively higher than that of venlafaxine XR;
however, with respect to headache and urinary tract infection, there was no
significant difference in the safety of placebo, pregabalin, GM-1 ganglioside,
venlafaxine XR, and fampridine on SCI patients. The results of SUCRA values
suggested that BWSOT had the highest SUCRA value (75.25%) of LEMS; RAGT + OT had
the highest SUCRA value (88.50%) of WISCI; venlafaxine XR had the highest SUCRA
value (94.00%) of constipation; venlafaxine XR had the highest SUCRA value
(80.00%) of headache; GM-1 ganglioside had the highest SUCRA value (87.75%) of
urinary tract infection. CONCLUSION: Our results provide evidence that the RAGT +
OT and BWSOT might have the best efficacy in the treatment of SCI, and the
venlafaxine XR and GM-1 ganglioside showed adequate safety for SCI.
PMID- 29381947
TI - Intrahepatic splenosis mimics hepatocellular carcinoma in a patient with chronic
hepatitis B: A case report and literature review.
AB - BACKGROUND: Splenosis is a benign and relatively uncommon condition caused by
trauma or splenectomy or other procedures involving splenic tissue. It is usually
asymptomatic, and often diagnosed accidentally, especially misdiagnosed as
malignant tumor. METHODS: A 54-year-old man with prior history of chronic
hepatitis B virus infection and underwent splenectomy for traumatic splenic
rupture following a traffic accident 23 years previously was admitted to our
hospital and found a hepatic mass in the right upper quadrant during an imaging
examination. The diagnosis of his was not clear and finally he agreed to receive
a surgical treatment. RESULTS: During the operation, we found a mass in the right
posterior lobe of the liver and a hard nodule on the right side of the diaphragm,
both were completely resected, and postoperative histopathologic examination
revealed that all excised tissues were proved to have histological structure
typical for the spleen. CONCLUSIONS: The occurrence of intrahepatic splenosis is
rare with only few cases previously reported in the literature. It is a benign
disease and sometimes difficult to distinguish from diseases of the liver. The
need for positive surgical resection of splenosis is still controversial.
PMID- 29381948
TI - Primary extraskeletal myxoid chondrosarcoma in cerebellum: A case report with
literature review.
AB - RATIONALE: Extraskeletal myxoid chondrosarcoma (EMC) is a rare malignant neoplasm
of which intracranial EMC is the rarest. PATIENT CONCERNS: We present an unusual
case report of a 41-year-old woman who was sent to the emergency department for a
sudden headache and other symptoms related to increased intracranial pressure.
INTERVENTIONS: Emergent CT revealed an occupying lesion in the left cerebellum
with surrounding edema. A complete surgical excision of the lesion through a
transcortical approach was performed. After the operation, this patient received
adjuvant radiotherapy and temozolomide treatment. DIAGNOSES: Pathology diagnosis
was an intracranial EMC. OUTCOMES: The patient survives with no tumor recurrence
as of the last follow-up. Progression-free survival exceeded 20 months. LESSONS:
We have reviewed the literature and here summarize the diagnosis and treatment
options for intracranial EMC. Diagnosis and treatment options of this rare
disease are discussed.
PMID- 29381949
TI - Late-onset transient adrenal insufficiency in preterm twins with twin-to-twin
transfusion syndrome: A case report.
AB - RATIONALE: Late-onset transient adrenal insufficiency with circulatory collapse
is a rare condition that occurs in preterm infants. Although the incidence of
late-onset transient adrenal insufficiency in preterm infants has been reported
in Japan, reports from Western countries are lacking. In addition, no study has
investigated the effect of twin-to-twin transfusion syndrome (TTTS) in
monozygotic twins. PATIENT CONCERNS: A pair of extremely low birth weight twins
presented with TTTS. DIAGNOSES: Both twins developed late-onset adrenal
insufficiency with oliguria, hypotension, hyponatremia, and pulmonary edema at a
postnatal age of 24 days and 51 days, respectively. INTERVENTION: Temporary
administration of intravenous hydrocortisone was initiated. OUTCOMES: Their
symptoms improved dramatically and they survived the event without any neurologic
sequelae after 3 years of follow-up. LESSONS: Late-onset circulatory collapse may
occur, especially in extremely preterm infants, even at 2 months after birth.
Hydrocortisone therapy is an effective treatment to rescue circulatory collapse
caused by adrenal insufficiency in preterm infants and may not affect long-term
neuromotor and cognitive outcomes.
PMID- 29381950
TI - A 53-year-old man with a sclerosing rib lesion: A case report.
AB - RATIONALE: Sclerosing osteomyelitis of Garre is a rare condition that occurs most
commonly in tubular bones and the mandible. Its nontypical symptoms, low
morbidity, and insidious process make its diagnosis difficult at an early stage.
In this article, we reported a case of chronic sclerosing osteomyelitis which
occurred in flat bone. PATIENT CONCERNS: A 53-year-old man was diagnosed with rib
sclerosing osteomyelitis of Garre who had an 8-year course of intermittent local
pain and swelling, which radiated toward the left side of his chest wall. Chest
computed tomography (CT) showed irregular sclerosis of the diaphysis of the 10th
rib, with periosteal reaction and narrowing of the medullary cavity, and magnetic
resonance imaging (MRI) showed T2 heterogeneous low-signal intensity over the
10th rib. DIAGNOSES: Based on the features of the clinical signs and radiography
and biopsy of the lesion, diagnosis of rib sclerosing osteomyelitis of Garre was
made. INTERVENTIONS: The patient was treated with surgical excision of a 10-cm
long lesion after failed conservative treatment. OUTCOMES: Postoperatively, the
patient achieved good functional recovery at the 10-year follow-up. LESSONS: Rib
sclerosing osteomyelitis of Garre is an unusual condition and represents a
noninfective course in the rib with a low morbidity. The surgical management was
successful in relieving the patient's symptom.
PMID- 29381951
TI - WATCHMAN device-related thrombus successfully treated with apixaban: A case
report.
AB - RATIONALE: Among atrial fibrillation patients with high risk of bleeding, left
atrial appendage occlusion has emerged as an alternative to long-term oral
anticoagulation therapy for stroke prevention. Device-related thrombus remains a
major concern because it may result in recurrent embolic events. To date, there
is no consensus on the optimal method of treating device-related-thrombus.
PATIENT CONCERNS: A 78-year-old man with atrial fibrillation had an episode of
intracranial hemorrhage while taking warfarin. He subsequently underwent
percutaneous placement of a 30-mm Watchman device to the left atrial appendage.
He was prescribed dual anti-platelet therapy with aspirin and clopidogrel.
DIAGNOSIS: Reassessment echocardiography 3 months later found device-related
thrombus. INTERVENTIONS: The antithrombotic regimen was switched from dual
antiplatelet therapy to apixaban. OUTCOMES: Reassessment echocardiography 3
months later revealed complete resolution of the device-related thrombus.
Apixaban was stopped. He had dual antiplatelet therapy for 6 more months followed
by life-long aspirin. There was no bleeding complication since implantation of
Watchman device. LESSONS: We demonstrated successful treatment of device-related
thrombus with a short course of apixaban with complete resolution of thrombus.
Further randomized controlled trials are required to determine the choice and
duration of drug therapy for device-related thrombus.
PMID- 29381952
TI - Association between the number of natural teeth and diabetic retinopathy among
type 2 diabetes mellitus: The Korea national health and nutrition examination
survey.
AB - The aim of this study was to investigate the relationship between the number of
teeth and diabetic retinopathy among Korean population.This was a retrospective
analysis using data of total 45,811 individuals who participated in the Korea
National Health and Nutrition Examination Survey (KNHANES) 2008 to 2012. Among
these, 2593 (5.7%) participants were identified as having type 2 diabetes
mellitus. After excluding participants without ophthalmic evaluation or other
variables, 2078 (80%) participants were included. Demographic factors including
dental status were analyzed and compared between participants with and without
diabetic retinopathy.Among the 2078 type 2 diabetes, 358 (17.2%) had diabetic
retinopathy. Type 2 diabetes with fewer teeth were more likely to have diabetic
retinopathy (P < .001). Multivariate analysis showed that type 2 diabetes with <
20 teeth had an 8.7-fold risk of having vision-threatening diabetic retinopathy
when compared with type 2 diabetes with >=28 teeth (95% confidence interval: 2.69
28.3) after adjusting for age, sex, body mass index, smoking, drinking, exercise,
hypertension, diabetes mellitus duration, and glycated hemoglobin level.The
number of teeth was found to be an independent risk factor for diabetic
retinopathy. Thus, a comprehensive approach of dentists and ophthalmologists is
needed to minimize the complications of diabetes mellitus. Whether the teeth
number reflects microvascular changes of the retina among type 2 diabetes
warrants further investigation.
PMID- 29381953
TI - Case report: an unusual case of Brugada syndrome combined with a ventricular
septal defect: A case report.
AB - RATIONALE: Brugada syndrome (BrS) is a cardiac ion channel disease that is caused
by an autosomal dominant genetic abnormality. A ventricular septal defect is a
common congenital heart disease, in which genetic defects play a significant
role. PATIENT CONCERNS: We report an extremely rare case of a 42-year-old male
with congenital heart disease, who suffered recurrent syncope and
gastrointestinal bleeding. His electrocardiogram showed an unusual right bundle
branch block-like pattern and ST-segment elevation in leads V1-V3. DIAGNOSES: The
patient was eventually diagnosed with Brugada Syndrome Combined with a
Ventricular Septal Defect. INTERVENTIONS: The patient was treated with ICD
implants. OUTCOMES: We extracted his blood and performed whole exome sequencing.
Whole exome sequencing revealed mutations in genes, which encode ion channels and
proteins important for embryonic heart development. However, a novel mutation in
the SCN5A gene was also found. LESSONS: To our knowledge, this is the first
genetically proven case of BrS combined with a ventricular septal defect.
PMID- 29381954
TI - Effect of MDR1 C1236T polymorphism on cyclosporine pharmacokinetics: A systematic
review and meta-analysis.
AB - BACKGROUND: Cyclosporine (CsA) is one of the immunosuppressive drugs, whose
pharmacokinetic characteristics vary greatly among individuals. The published
data reveal conflicting effects of the polymorphism of MDR1 exon 12 SNP C1236T on
the pharmacokinetics of cyclosporine.This study aims to conduct a meta-analysis
to investigate the effect of SNP C1236T on the pharmacokinetics of cyclosporine.
METHODS: A literature retrieval was conducted to find the relevant papers in
databases including PubMed, Embase, Cochrane Library, China National Knowledge
Infrastructure (CNKI), Wan Fang Database (Wan Fang), Chinese Biomedical
Literature Database (CBM), VIP Database for Chinese Technical Periodicals (VIP)
electronic source for published studies until January 2017. The pharmacokinetic
parameters, including C0 (trough blood concentration), C2 (whole-blood levels at
2 hours after drug intake), Cmax (the maximum concentration), and daily dose were
extracted and a meta-analysis was performed by RevMan 5.3. RESULTS: A total of 11
papers concerning 1361 individuals were included in the meta-analysis. As for
dose adjusted C0, the results showed difference between subjects carrying CC
genotypes and TT genotypes (MD: 6.76, 95% CI [2.38, 11.14], P = .02]. As for C2,
the results showed significant difference between subjects carrying CC genotypes
and CT genotypes (MD: -18.50, 95% CI [-35.49, -1.52], P = .03), as well as CC
genotypes and TT genotypes (MD: -19.01, 95% CI (-35.85, -2.16), P = .03). As for
Cmax, daily dose, and C0, the overall results showed no major influence.
CONCLUSIONS: MDR1 C1236T polymorphism may have a minor effect on cyclosporine
pharmacokinetics in transplantation patients.
PMID- 29381955
TI - Spontaneous internal hemorrhage of a giant hepatic hemangioma: A case report.
AB - RATIONALE: Hepatic hemangioma, a benign liver tumor, can rarely spontaneously
rupture and hemorrhage, which is then associated with significant mortality. The
diagnosis of internal hemorrhage is challenging and the management is disputed.
PATIENT CONCERNS: We describe the case of a 52-year-old female patient with chief
complaints of fever and anemia, with no history of recent trauma. DIAGNOSES:
Ultrasound suggested the possibility of a liver abscess and computed tomography
(CT) examination revealed a giant space occupying lesion (SOL) (approximately 16
cm) in the right hepatic lobe, indicating a hepatic tumor or abscess. The patient
did not respond to antibiotics and blood transfusion. Liver needle biopsy
revealed blood clots suggestive of intratumoral hemorrhage, possibly of a hepatic
hemangioma. INTERVENTIONS: Interventional radiologic approach revealed active
bleeding at the phrenic artery that supplied the liver SOL. Thus, embolization
was performed and re-angiography showed no active bleeding from the embolized
vessel. The patient became afebrile, but fever recurred the next day. Hence, an
exploratory open right hemihepatectomy was undertaken and the intraoperative
frozen biopsy confirmed hepatic hemangioma with internal hemorrhage, but
malignancy could not be excluded for some focal tissues. Postoperative pathology
report confirmed the diagnosis of hepatic hemangioma with internal hemorrhage and
excluded malignancy. OUTCOMES: The fever subsided and the patient was discharged
in good health. A follow-up CT performed three months, postoperatively, indicated
compensatory growth of the left hepatic lobe. LESSONS: This case demonstrates
that the diagnosis of hepatic hemangioma with internal hemorrhage can be
confirmed by needle biopsy and surgical resection is the optimal treatment for
such a lesion.
PMID- 29381956
TI - 18F-Fluorodeoxyglucose positron emission tomography computed tomography detection
threshold in follicular lymphoma: A case report.
AB - RATIONALE: Follicular Lymphoma in situ is generally identified as reactive
follicular hyperplasia in which some of the hyperplastic germinal centers are
colonized by few lymphoma cells. These cells can be detected through their strong
18F-Fluorodeoxyglucose avidity. PATIENT CONCERNS: We report the case of a 70 year
old patient with arthralgia, weight loss and chronic fever over two months. A
paraneoplastic polymyalgia rheumatica was initially suspected on abnormal 18F
fluoro-deoxyglucose positron emission tomography (PET) pictures in two inguinal
lymph nodes with a standardized uptake value at 8.6 and 5.8. DIAGNOSES: The PET
lymph nodes were removed and histological examination revealed subtle lymph nodes
infiltration by follicular lymphoma in situ. The absolute number of the
follicular lymphoma cells determined using virtual imaging and 3D reconstruction
appeared very low with a total tumor cell volume estimated at around 0.026 mm for
one lymph node and 0.041 mm for the other. INTERVENTIONS: The patient has been
treated by corticotherapy alone. OUTCOMES: A long-time follow-up should be highly
suggested for this patient to avoid any risk of clinical progression to
follicular lymphoma. LESSONS: Our findings show that low amounts of follicular
lymphoma cells in reactive germinal center may reach a threshold of
hypermetabolism detectable with positron emission tomography imaging, suggesting
that tumor microenvironment also accounts for such as strong fluoro-deoxyglucose
avidity. Thus, a systematic immunohistochemistry with anti-BCL2 antibodies should
be performed on PET positive lymph node with apparent normal morphological
features.
PMID- 29381957
TI - Ectopic thyroid of the pancreas: A case report and literature review.
AB - RATIONALE: Ectopic thyroid is commonly found in the neck region. Intra-abdominal
ectopic thyroid is extremely rare, with only 2 cases reported in the pancreatic
region. Very few reports have described detailed imaging findings of intra
abdominal ectopic thyroid. PATIENT CONCERNS: A 73-year-old woman with aggravated
recurrent right upper quadrant pain was found to have a retroperitoneal mass at
the head of pancreas. Abdominal computed tomography (CT) showed a well-defined,
high attenuated (56HU) mass measured of 60 * 50 mm in diameter, that exhibited
heterogeneous contrast enhancement throughout the 3 phases. DIAGNOSIS:
Neuroendocrine neoplasm was suspected. INTERVENTIONS: Following discussions with
the patient, she refused fine needle aspiration cytology; however, she underwent
total resection of the mass and had an uneventful clinical course.
Histopathological examination showed thyroid tissue with TTF-1 and TGB
positivity, and BRAF negativity, indicating a benign variant. OUTCOMES: The
patient had no signs of relapse with normal thyroid hormone levels after 2 years
of follow up. LESSONS: Ectopic thyroid tissue should be considered when patients
present with similar imaging findings in abdomen. We review all reported cases of
abdominal ectopic thyroid tissue to provide specific evidence for the diagnosis
and treatment of this rare entity.
PMID- 29381958
TI - Should we consider IgG hypogammaglobulinemia a risk factor for severe
complications of Ludwig angina?: A case report and review of the literature.
AB - RATIONALE: Cervical necrotizing fasciitis (CNF) and descending necrotizing
mediastinitis (DNM) are rare forms of complication of Ludwig angina. These
potentially lethal infections are difficult to recognize in early stages and are
often associated with predisposing factors like diabetes and immunocompromised
states. Moreover, IgG hypogammaglobulinemia (hypo-IgG) is considered to be a risk
factor of mortality in patients with septic shock; however, it is not routinely
quantified in patients with extremely serious infections, particularly in cases
with no history or evidence of immunocompromising disorders. PATIENT CONCERNS: We
present a case of a 58-year-old woman who survived Ludwig angina, complicated by
CNF and DNM. Despite a rapid diagnosis, aggressive surgical debridement and broad
spectrum antibiotics, the infection and necrosis advanced, requiring multiple
surgical interventions and long intensive care unit (ICU) support. CONCLUSION: We
hypothesize that detecting a low level of endogenous IgG and treating with
adjuvant passive immunotherapy was key in determining a favorable outcome.
PMID- 29381959
TI - IgG4-related cerebral pseudotumor with perineural spreading along branches of the
trigeminal nerves causing compressive optic neuropathy: A case report.
AB - RATIONALE: Immunoglobulin G4-related disease (IgG4-RD) is characterized by tumor
like lesions, a dense lymphoplasmacytic infiltrate rich in IgG4-positive plasma
cells, storiform fibrosis, and obliterative phlebitis. IgG4-RD has been described
in a variety of organ systems; however, it rarely involves the central nervous
system. PATIENT CONCERNS: A 17-year-old woman visited our clinic with a complaint
of blurred vision for the past 5 months. She also reported a painless right
submandibular mass that had been present for 1 year. Her best-corrected visual
acuity (BCVA) was 2.0 LogMAR, with an almost total visual field defect in the
right eye. DIAGNOSES: Magnetic resonance imaging (MRI) revealed lobulated
parasellar tumors with perineural spreading along branches of the trigeminal
nerves causing right optic nerve compression. A craniotomy with tumor removal and
submandibular gland biopsy was performed. Histopathological analysis of the tumor
revealed stromal fibrosis with atypical lymphoid infiltrations. Histopathological
and immunohistochemical analysis of the submandibular gland confirmed the
diagnosis of IgG4-RD. INTERVENTIONS: The patient was administered 500mg/d of
pulse methylprednisolone for 3 days, 500mg of intravenous rituximab every 2 weeks
(for a total of 2 doses), and 500mg of intravenous pulse cyclophosphamide every
month (for a total of 3 doses). OUTCOMES: Two months after the initiation of
immunosuppressive therapy, the patient's BCVA returned to 0.1 LogMAR with visual
field defect recovery. The follow-up MRI showed the almost complete disappearance
of the previously contrast-enhanced lesions. LESSONS: Herein, we report a rare
case of IgG4-RD presenting as a parasellar tumor and present a review of the
related literature. Based on the case report, we propose that aggressive therapy
with glucocorticoid, rituximab, and cyclophosphamide may potentially be useful
for treating such cases.
PMID- 29381961
TI - Rare metastasis to paranasal sinuses from triple-negative breast cancer: A case
report and literature review.
AB - RATIONALE: Breast cancer, the most common form of cancer among women, rarely
metastases to the head and neck region. To date, there have been only 6 similar
cases in the literature, and most patients in these reports had very poor
prognosis. PATIENTS CONCERNS: We report a 61-year-old female presented pain and
numbness on the right side of the face 5 years after being diagnosed with triple
negative breast cancer. DIAGNOSIS: Magnetic resonance imaging (MRI) revealed a
tissue mass in the sphenoid sinus. The tissue biopsy confirmed metastasis of
breast cancer. INTERVENTION: The patient received initial chemotherapy and
radiotherapy plus 10 cycles of maintenance chemotherapy OUTCOMES:: The patient
got long-term progression-free survival time. The total time to progression was
32 months. LESSONS: Although breast cancer rarely metastasizes to the head and
neck region, awareness should be raised when breast cancer patients experience
headache or have sinus-related symptoms. Chemotherapy and radiotherapy may be
effective to treat paranasal sinus metastasis of triple-negative breast cancer,
and patients may achieve long-term survival.
PMID- 29381960
TI - Disabling tremor induced by long-term use of sodium valproate and lamotrigine:
Case report.
AB - RATIONALE: Sodium valproate (VPA) and lamotrigine (LTG) are widely used
antiepileptic drugs, disabling postural, and action tremors after using LTG with
VPA were reported in 1993. However, in this study, we describe a patient in whom
disabling resting-type tremor induced by 2-year use of VPA and LTG. PATIENT
CONCERNS: A 50-year old man was referred to department of neurology because of
involuntary upper limbs resting-type tremor with high amplitude that had begun 6
months previously and progressively worsened, and he could not work on the day of
visit. Furthermore, he had been treated with VPA, LTG, and benzhexol for 2 years
as he suffered from twitch of eyelids and facial region, and amantadine,
monolithic compound preparation (flupentixol and melitracen) were added in the
last 2 months because of tremor and anxiety. However, the treatment had no
benefit on improving involuntary movements of the patient. DIAGNOSES: Drug
induced disabling tremor was diagnosed. INTERVENTIONS AND OUTCOMES: LTG,
amantadine, and VPA were withdrawn, the remaining 2 drugs, benzhexol and compound
preparation (flupentixol and melitracen), were continued to use, and the patient
improved in 2.5 months after discontinuation of 3 drugs. There was no recurrence
at 6 months follow-up. LESSONS: Considering the wide and long-term utilization of
VPA and LTG, healthcare providers should be aware of them as a possible cause of
tremor. When necessary, an attempt of discontinuing the suspected drugs should be
made to confirm the diagnosis, instead of symptomatic treatment, especially when
the adverse event was severe and fatal.
PMID- 29381962
TI - Effects of treatment with chemotherapy and/or tamoxifen on the biomarkers of
cardiac injury and oxidative stress in women with breast cancer.
AB - There has been an increase in deaths from cardiovascular diseases following
breast cancer therapy. Evidence has shown that this outcome is, in part,
associated with cardiotoxicity induced by the chemotherapeutic drugs and the
increase in oxidative stress. The aim of this study was to evaluate the effects
of chemotherapy and hormone therapy with tamoxifen on the biomarkers of cardiac
injury and oxidative stress in women with breast cancer.Thirty women were
followed-up for 1 year and were divided into 3 groups according to the treatment
protocol: women treated only with tamoxifen and clinical follow up for 12 months
(Tam, n = 10); women treated only with chemotherapy for 6 months with clinical
follow up for an additional 6-month period (Chemo, n = 10); and women who
received chemotherapy for 6 months followed by a 6-month period only with
tamoxifen therapy and clinical follow up (Chemo + Tam, n = 10). Analysis of the
blood levels of cardiac troponin I (cTnI), advanced oxidation protein products
(AOPP) and the activity of the plasmatic isoform of the antioxidant enzyme
glutathione peroxidase (GPx) was performed before treatment (T0) and at 6 (T6)
and 12 (T12) months after treatment.The Chemo group showed higher levels of cTnI
(0.065 +/- 0.006 ng/mL, P < .05) and AOPP (4.99 +/- 0.84 MUmol/L, P < .05) and
reduced GPx activity (24.4 +/- 1.1 nM/min/mL, P < .05) at T12 than the Tam group
(cTnI: 0.031 +/- 0.001 ng/mL; AOPP: 1.40 +/- 0.10 MUmol/L; GPx: 28.0 +/- 0.7
nM/min/mL) and Chemo + Tam group (cTnI: 0.037 +/- 0.002 ng/mL; AOPP: 2.53 +/-
0.30 MUmol/L; GPx: 29.5 +/- 1.0 nM/min/mL).These data support the hypothesis that
long-term oxidative stress after chemotherapy may have an impact on
cardiovascular diseases and that tamoxifen has cardioprotective effects.
PMID- 29381963
TI - Apatinib concurrent gemcitabine for controlling malignant ascites in advanced
pancreatic cancer patient: A case report.
AB - RATIONALE: Malignant ascites (MA) is one of the poor prognostic factors for
advanced pancreatic cancer and can bring about serious symptoms. The improvement
of quality of life for patients is priority. However, there is no standard method
for the treatment for pancreatic cancer-mediated MA. Apatinib is a novel and
highly selective tyrosine kinase inhibitor targeting vascular endothelial growth
factor receptor-2. There are no reports of concurrent apatinib with gemcitabine
in patients with pancreatic cancer-mediated MA. PATIENT CONCERNS: Herein, we
presented a 64-year-old man patient who visited hospital due to abdominal pain
for 1 month. DIAGNOSES: He was initially diagnosed with pancreatic cancer and his
first symptom was MA. INTERVENTIONS: After failing in tube drainage and
gemcitabine therapy, the patient received gemcitabine combined apatinib orally
and after administrated 1 month, the MA was evaluated as nearly clear response
according to the RECIST 1.1 standard, and without further need of paracentesis.
The CEA and CA199 reached the lowest level after administrating for 2.5 months
during the treatment process. OUTCOMES: 10.5 months following apatinib
administration, the patient achieved a progression-free survival for more than 11
months. Hypertension (grade IV), hand-foot syndrome (grade I) and proteinuria
(grade II) were observed. LESSONS: It indicated that apatinib concurrent
gemcitabine may be a superior choice for pancreatic cancer-mediated MA. Further
clinical trials required to confirm its efficacy and safety.
PMID- 29381964
TI - Treatment of aggressive prolactinoma with temozolomide: A case report and review
of literature up to date.
AB - RATIONALE: Aggressive pituitary adenomas and pituitary carcinomas are rare and
demand multiple treatment strategies. Temozolomide, an orally active alkylating
chemotherapeutic agent, has recently been recommended as a salvage medication for
refractory pituitary adenomas or carcinomas. PATIENT CONCERNS: A 17-year-old male
presenting with aggressive prolactinoma that continued to progress despite
surgery, gamma knife, and dopamine agonists. DIAGNOSES: The diagnosis of
refractory aggressive prolactinoma was made on the basis of clinical findings and
the lack of efficacy of conventional treatment. INTERVENTIONS: The patient
received the most frequently recommended regimen of temozolomide treatment for 22
cycles. OUTCOMES: Temozolomide resulted in a remarkable shrinkage of tumor mass
and inhibition of prolactin secretion and this patient's clinical condition
improved progressively. LESSONS: Temozolomide can be used as a salvage treatment
to refractory pituitary tumors and o(6)-methylguanine-DNA methyltransferase
(MGMT) status is a significant predictor to the effectiveness of temozolomide
based on the existing literature.
PMID- 29381965
TI - Urachal borderline mucinous cystadenoma: A rare case report and literature
review.
AB - RATIONALE: Urachal borderline mucinous cystadenoma is very rare and has only 9
cases in the current literature with the biological behavior between adenoma and
adenocarcinoma. PATIENT CONCERNS: We reported a 41-year-old man with moderate
lower abdominal pain, and the imaging examination found an irregular cystic
lesion extending from umbilicus to the dome of urinary bladder with significant
separations and calcifications. DIAGNOSES: The diagnosis was confirmed according
to the specific anatomical location and pathological examination which was proved
as mucinous cystadenoma with low malignant potential. INTERVENTIONS: The patient
undertook radical excision and partial cystectomy. OUTCOMES: His postoperative
condition was good. LESSONS: Urachal borderline mucinous cystadenoma can be
located by image examination, which may also offer several diagnostic tips
according to separation, calcification, and enhancement in computed tomography
scan. When combined with pathological findings, qualitative diagnosis can be
determined. Surgical resection should be chosen as an optimal treatment. Our
present study reviewed the clinical and biological information of all previous
cases which were diagnosed as urachal borderline mucinous cystadenoma and we
supplemented more data for further study.
PMID- 29381966
TI - Hemolytic anemia in alcoholic liver disease: Zieve syndrome: A case report and
literature review.
AB - RATIONALE: Zieve syndrome, a rarely reported disease resulting from alcohol
abuse, consists of a triad of symptoms: hemolytic anemia, cholestatic jaundice,
and transient hyperlipidemia. It is largely under-recognized and under-reported,
possibly because of unawareness of the condition by physicians. Here, we report a
case of Zieve syndrome managed at the Jilin University First Bethune Hospital.
PATIENT CONCERNS: A 30-year-old Chinese woman presented with a 4-month history of
fatigue, yellowish discoloration of the eyes, and tea-colored urine. She had been
a heavy drinker for 2 years prior to onset of the disease with an average daily
alcohol intake of 60 g/d and more than 80 g/d for the previous 6 months.
DIAGNOSIS: The diagnosis of Zieve syndrome was confirmed based on hemolysis and
cholestatic jaundice secondary to alcoholic liver disease and heavy drinking.
Bone marrow biopsy and liver biopsy both supported the diagnosis. INTERVENTIONS:
We treated her with abstinence from alcohol and supportive therapy. OUTCOMES: The
patient was discharged 14 days after admission with an improvement in symptoms,
which continued to subside during the 2-month follow-up period. LESSONS: Doctors
confronted with hemolysis in a patient with alcoholic liver disease should be
aware of the under-reported Zieve syndrome. Recognition of this syndrome could
help doctors avoid unnecessary invasive procedures and emphasize the importance
of alcohol abstinence as the mainstay of management. Glucocorticoids may not be
useful in treating hemolytic anemia in Zieve syndrome.
PMID- 29381967
TI - Responses to crizotinib and disease monitoring with circulating tumor cells in
lung adenocarcinoma patient with MET exon 14 skipping mutation: A case report.
AB - RATIONALE: Mesenchymal-to-epithelial transition (MET) exon 14 skipping mutation
was a targetable alteration in nonsmall-cell lung cancer (NSCLC), and the MET
inhibitor of crizotinib had the most efficacy among all the targeted drugs. Most
of the cancer-related deaths are associated with metastasis. Circulating tumor
cells (CTCs) have been a valuable biomarker in assessing metastasis. Recent
experiences suggested that CTCs detection may help improve diagnosis and predict
prognosis for patients with NSCLC. However, few literatures have reported the
CTCs detection based on the (MET) exon 14 skipping, which are positive in NSCLC
patients. PATIENT CONCERNS: The patient, a 69-year-old Chinese male, with a 50
years history of smoking. Because of the cough, the patient went to the hospital
and found the upper right lung tumor and the right supraclavicular lymph node
enlarged. He was worried that it was cancer. DIAGNOSES: The patient was performed
biopsy of the right clavicle lymph node metastasis on October 12 and sent the
tissue specimen for pathological evaluation. Finally, the patient was diagnosed
to be with a pT3N3Mx stage IIIC lung adenocarcinoma. INTERVENTIONS: The patient
began to take orally crizotinib 250 mg twice a day for the medical therapy after
lymph node biopsy. At the same time, the CTCs were detected to observe the
prognosis of the patients. OUTCOMES: Compared with the first CTCs result, the
second test revealed a decrease in the amount of CTCs, while the mesenchymal CTCs
have increased, indicating the possibility of distal metastasis. LESSONS: This is
the first proof that CTCs can be quantitatively assayed by MET exon 14 skipping
mutation, which demonstrates the clinical response to crizotinib. More cases
should be reported and further evaluation for treatment options and prognosis
evaluation is necessary.
PMID- 29381968
TI - The polymorphism of rs266729 in adiponectin gene and type 2 diabetes mellitus: A
Meta-Analysis.
AB - Genome-wide association studies and meta-analyses indicate that the polymorphism
of rs266729 in adiponectin gene increases the risk of type 2 diabetes mellitus
(T2DM); however, these study methods have not been able to identify the
underlying genetic effect on the development of T2DM. A genetic model-free
approach was conducted to determine the underlying genetic model of inheritance
of T2DM because of rs266729 in adiponectin gene.We searched available studies on
the association between the rs266729 in adiponectin gene and T2DM in accordance
with the inclusion and exclusion criteria. Based on the information extracted
from the studies, generalized odds ratio value (GOR) was used to evaluate whether
the rs266729 polymorphism was a risk factor for T2DM. The parameter lambda was
calculated to estimate the genetic model, which was defined as the quotient of
natural logarithm odds ratio of GC to CC divided by the natural logarithm odds
ratio of GG to CC. Finally, binary logistic regression analysis was used to
calculate the genetic effect of rs266729 on T2DM.Data from 7 studies were
included in this meta-analysis. The total number of subjects was 12,323,
comprising 5,948 cases and 6,395 controls. Mean (standard deviation) age of cases
was 59.50 (11.53), and that of the controls was 53.80 (11.65), whereas the
proportion of male was 40.9 and 50.0%, respectively. GOR was 1.13 (1.02, 1.25)
and lambda was 0.47 (0.29, 0.64). The result of logistic regression indicated
that the G allele influenced the development of T2DM in the additive model,
whereas the genetic effect was 1.13 (1.06, 1.19). Sources of control populations
were the cause of between-study heterogeneity; nonetheless, there was no
publication bias among studies.The G allele of rs266729 in adiponectin gene
increases the risk of T2DM through an additive genetic model with an effect of
1.13 (1.06, 1.19).
PMID- 29381969
TI - HPV types in cervical cancer tissue in South Africa: A head-to-head comparison by
mRNA and DNA tests.
AB - Accurate identification of human papillomavirus (HPV)-types in cervical cancer
tissue may be important for tailoring tests for primary screening and types to be
included in a vaccine. The aim of this study was to compare test-performance of a
45-type HPV deoxyribonucleic acid (DNA)-test with a 9-type HPV messenger
ribonucleic acid (mRNA)-test in cervical cancer tissues.In a case-series design
188 women with diagnosed cervical cancer during the period January 2008 to July
1, 2011 at the Gynaecological Oncology Unit, University of Pretoria, South Africa
were recruited to the study. After cases with negative internal controls for
DNA/mRNA detection (n = 18) and unconfirmed histology (n = 3) of cervical cancer
were excluded, 167 women remained eligible for analysis. We compared 45 DNA-types
detected through general primer (GP)5/6 polymerase chain reaction (PCR) and
reverse line blot (RLB) genotyping with a modified version of the mRNA test
PreTect HPV-Proofer detecting 9 genotypes (16, 18, 31, 33, 35, 45, 51, 52,
58).Histological types were 92.2% squamous cell carcinoma, 4.8% adenocarcinoma,
and 3.0% adenosquamous carcinoma. Overall, HPV was detected in 95.2% (159/167) of
specimens. The DNA- and mRNA tests each rendered 153/167 (91.6%) HPV positive
results. When restricting the analysis to the 9 high-risk HPV-types included in
the mRNA test, 91.6% (153/167) and 88.0% (147/167) were positive by the mRNA- and
DNA-tests (P = .28), respectively. After hierarchical categorization of 9
comparable types, we found concordance in 66 of 67 specimens for HPV16, 25 of 27
specimens for HPV18, 19 of 21 specimens for HPV45, and only in 33 of 45 for
HPV31, 33, 35, 51, 52, 58. The positivity rate for the HPV types 16, 18, and 45
and the positivity rate for HPV 16, 18, 45, 33 and 35 by both tests was 66% to
68% and 80% to 83%, respectively.Overall and when considering established high
risk types, the mRNA test has at least as high detection rate as the DNA test.
The mRNA test can be an appropriate research tool to describe causative HPV-types
in cervical cancer tissue for health care planning purposes.
PMID- 29381970
TI - Impact of diabetes mellitus and chronic liver disease on the incidence of
dementia and all-cause mortality among patients with dementia.
AB - This study investigated the effects of the presence of type 2 diabetes mellitus
(T2D) and/or chronic liver disease (CLD) on the incidence and prognosis of
dementia during a 10-year period in Korea using a nationwide population-based
dataset from the Korea National Health Insurance Service.To assess the impact of
T2D and CLD on the incidence of dementia, we included subjects aged >=60 years
without dementia, T2D, and CLD from 2003 to 2005. We created another cohort for
evaluating the all-cause mortality in subjects with dementia between 2003 and
2005. The participants were categorized into 4 groups: control (neither CLD nor
T2D), CLD-only, T2D-only, and T2D-and-CLD groups, and they were followed up until
2013.The incidence of dementia was higher in the T2D-only group than in the
control and CLD-only groups (2.78 vs. 2.04 and 2.00 per 1000 person-years). After
adjustment for age, gender, and comorbid conditions, both T2D and CLD increased
the risk of any type of dementia; however, the impact of CLD alone was much lower
[hazard ratio (HR) 1.07, 95% confidence interval (CI): 1.06-1.08] than that of
T2D alone (HR 1.27, 95% CI: 1.27-1.28). The risk of dementia did not
significantly change in patients with the co-occurrence of T2D and CLD compared
to those with T2D alone. The all-cause mortality rate was the lowest in the
control group (2.59 per 1000 person-years) and the highest in the T2D-and-CLD
group (3.77 per 1000 person-years). Presence of T2D or CLD alone was associated
with higher mortality (HR 1.46 and HR 1.21, respectively) compared with in the
absence of both the diseases. Furthermore, the presence of both the diseases
further significantly increased the mortality rate compared to the presence of
each disease alone (HR 1.67, 95% CI: 1.65-1.69).In conclusion, this study found
that the incidence of dementia was much higher in patients with T2D. CLD was
associated with a modest increase in risk of dementia; however, there was no
additive effect with T2D. In the population with dementia, however, the presence
of CLD was associated with high mortality in patients with or without T2D.
PMID- 29381971
TI - Isolated intracranial Rosai-Dorfman disease mimicking petroclival meningioma in a
child: Case report and review of the literature.
AB - RATIONALE: Rosai -Dorfman disease (RDD) is a rare, idiopathic, and non-neoplastic
histioproliferative disease with distinctive entity of unknown etiology. Central
nervous system (CNS) RDD is uncommon, hence, isolated intracranial RDD is
extremely rare. So far only 6 cases of CNS RDD with the lesions originating from
petroclival region have been reported. We present a case of isolated intracranial
RDD mimicking petroclival meningioma. PATIENT CONCERNS: A 14-year-old girl was
admitted at our hospital with a 3-month history of dizziness, slowly progressing
headache, and 2-month history of instability in walking. Cranial nerve deficits,
including left facial paralysis, left facial numbness and left hearing loss, were
evident on examination. DIAGNOSES: Initial diagnosis of petroclival meningioma
was made according to preoperative magnetic resonance imaging. INTERVENTIONS: The
lesion was resected subtotally and pathology confirmed RDD. The patient received
gamma-knife treatment for the residual lesion. OUTCOMES: The patient recovered
well and the residual lesion significantly retrogressed on follow-up images.
LESSONS: Preoperative diagnosis of petroclival RDD is full of challenges.
Although surgical resection of lesions is an effective treatment option, total
resection is not highly recommended because the surgery-related defect must be
minimal. Patient with residual lesion can be put on steroid therapy and/or
radiotherapy, especially for IgG4 positive subset of RDD.
PMID- 29381972
TI - Outcomes of iontophoretic corneal collagen crosslinking in keratoconic eyes with
very thin corneas.
AB - The purpose of this retrospective study was to report the results of
iontophoretic corneal collagen crosslinking (I-CXL) with riboflavin and
ultraviolet A irradiation in patients affected by keratoconus, each with thinnest
pachymetry values of <400 MU (with epithelium) and not treatable using standard
epithelium-off technique.Fifteen eyes of 15 patients affected by progressive
keratoconus and with thinnest pachymetry values <400 MU underwent I-CXL. The
uncorrected (UDVA) and corrected (CDVA) distance visual acuity, maximum and
minimum keratometry (K max and K min) readings, corneal thickness at the thinnest
point (CTTP), endothelial cell density (ECD), and intraocular pressure (IOP) were
assessed before I-CXL, at 1, 3, 6, and 12 months postoperatively.The mean UDVA
and CDVA significantly increased 12 months after I-CXL (P = .002 for both
comparisons). The K max readings significantly decreased at 6 and 12 months
postoperatively (P = .04 and P = .02, respectively). The mean CTTP improved at
the end of the follow-up (P = .008). ECD was unchanged. No side effects or damage
to the limbal region was observed during the follow-up period.I-CXL has been
proved to be effective in halting keratoconus progression in eyes with very thin
corneas, with no side effects during the follow-up period. This procedure could
be used in patients with more advanced keratoconus.
PMID- 29381973
TI - Mucinous tumor arising in a giant sacrococcygeal teratoma: A rare case report.
AB - RATIONALE: Teratomas, which most frequently affect adult females, are the most
common type of germ cell tumor, it always comprises derivatives of at least 2
germ layers. The most common site of primary teratomas is the ovary.
Sacrococcygeal teratomas (SCTs), which are exceedingly rare in adults, are
generally found in newborns or children. PATIENT CONCERNS: A 39-year-old woman
presented to our clinic with a 1-year history of gradually aggravated difficulty
in micturition and defecation, and a tumor in her right buttock present since
birth. Appropriate preoperative examinations showed a large (15.6 cm * 12.2 cm *
30.0 cm) multicystic SCT. DIAGNOSES: Histologic examination confirmed a mucinous
tumor arising in a giant SCT. INTERVENTIONS: Abdominoperineal rectal resection
was performed. OUTCOMES: The patient recovered well and was discharged on day 33
of admission. LESSONS: We report the first case of a mucinous tumor arising in an
SCT, in which the teratoma presented mature tissue elements derived only from the
endodermal germ layer (keratinous debris).
PMID- 29381974
TI - Stroke risks and patterns of warfarin therapy among atrial fibrillation patients
post radiofrequency ablation: A real-world experience.
AB - We assessed the thromboembolic risks of atrial fibrillation (AF) patients who had
undergone radiofrequency ablation (RFA) using the CHADS2-VASc risk scoring system
and further investigated the patterns of warfarin use for thromboprophylaxis
according to patient thromboembolic risk scores.In this study, we analyzed the
stroke risks of patients who had undergone RFA for AF at our hospital between
March 2014 and June 2016 using the CHADS2, CHADS2-VASc, and Hypertension,
Abnormal renal/liver function, Stroke, Bleeding history or predisposition, Labile
international normalized ratio, Elderly (> 65 years) (HAS-BLED) scoring systems.
We retrieved medications, co-morbidities, and initial warfarin dosage data. The
primary outcome was the percentage of patients initiated with warfarin therapy
for stroke prophylaxis in AF who had a CHADS2-VASc score of 0.Totally, 309
patients were initiated with warfarin therapy for stroke prophylaxis in AF post
RFA. The baseline warfarin dosage was 2.76 +/- 0.61 mg. The baseline CHADS2-VASC
score was 2.93 +/- 1.96 and 40 (12.95%) had a CHADS2-VASC score of 0, 42 (13.6%)
had a CHADS2-VASCscore of 1, and 227 (73.5%) had a CHADS2-VASC score >=2. The
baseline CHADS2 score was 2.17 +/- 1.55 and 48 (15.5%) had a CHADS2 score of 0,
68 (22.0%) had a CHADS2 score of 1, and 193 (62.5%) had a CHADS2 score >=2. The
baseline HAS-BLED score was 1.25 +/- 0.91 and 69 (22.3%) had a HAS-BLED score of
0, 121 (39.2%) had a HAS-BLED score of 1, and 119 (38.5%) had a HAS-BLED score
>=2. Patients aged <65 years or 65 years, male and female patients, patients with
or without hypertension, coronary heart disease, or diabetes mellitus, and
patients with or without previous stroke/transient ischemic attack differed
significantly in stroke risks by CHADS2-VASC, CHADS2, and HAS-BLED scores for
stroke risks. Patients with different baseline international normalized ratio
differed significantly in CHADS2-VASC scores. Furthermore, angiotensin-converting
enzyme inhibitors or angiotensin receptor blockers and statins were of
statistical significance for stroke risks.The majority of AF patients post-RFAs
was of high stroke risk and received warfarin thromboprophylaxis in accordance
with national guidelines. Our findings suggest that low and intermediate stroke
risk patients should be evaluated for stroke risks and risk factors so that
tailored warfarin thromboprophylaxis therapy can be given and inappropriate use
of warfarin in AF patients can be avoided.
PMID- 29381975
TI - Comparison of intraoperative basal fluid requirements in distal pancreatectomy:
Laparotomy vs. laparoscopy: A retrospective cohort study.
AB - There has been recent progress in intraoperative fluid therapy. However, little
is known about intraoperative fluid therapy in laparoscopic surgery. The purpose
of this study is to determine whether there are differences in the basal fluid
requirements during surgery between laparotomy and laparoscopic distal
pancreatectomy.This retrospective cohort study analyzed the electronic medical
records of 253 patients who underwent distal pancreatectomy via either laparotomy
(73 patients) or laparoscopy (180 patients) between June 2006 and March 2016. The
volume of intraoperative fluid administered, postoperative complications, length
of hospital stay, and readmission rate were evaluated. The total volume of fluids
was calculated as the sum of the volume of crystalloid plus the volume of colloid
multiplied by 1.5 or 2.0.Patients who had laparotomy were older and had higher
American Society of Anesthesiologists classes. Anesthesia time was longer and
estimated blood loss was larger in laparotomy. More colloid (1.8 mL/kg per h vs.
1.2 mL/kg per h, P < .001) and more total calculated fluid (1.5 times: 11.7 mL/kg
per h vs. 10.6 mL/kg per h, P = .002; 2.0 times: 12.6 mL/kg per h vs. 11.2 mL/kg
per h, P = .001) were infused in laparotomy. Crystalloid (9.0 mL/kg per h vs. 8.9
mL/kg per h, P = .203) did not show significant difference. Postoperative
complications were more frequent (63% vs. 45%, P = .008), the hospital stay was
longer (18 days vs. 13.4 days, P < .001), and readmission rate was higher (15%
vs. 5.6%, P = .02) in laparotomy. By logistic regression analysis, we could find
that operation type (laparotomy vs. laparoscopy, odds ratio 1.900, 95% confidence
interval 1.072-3.368) and operation time (P = .004) had effect on
complications.In patients undergoing distal pancreatectomy, basal fluid
requirements were larger in laparotomy compared with laparoscopy. Operation time
and estimated blood loss had effects on fluid administration. Postoperative
complications were more frequent in laparotomy but we could not find
relationships with infused colloid or total calculated fluid volumes. Operation
type (laparotomy vs. laparoscopy) and operation time were the only related
factors to postoperative complications.
PMID- 29381976
TI - Thyroid-associated orbitopathy in patients with thyroid carcinoma: A case report
of 5 cases.
AB - RATIONALE: Thyroid-associated orbitopathy (TAO) is most often seen in patients
with autoimmune thyroid disease. Data about TAO occurred in patients with thyroid
carcinoma are rare. We give a report of 5 patients to present the clinical
characteristics, treatment, and prognosis of this type of case. PATIENT CONCERNS:
Five thyroid carcinoma patients presented with orbitopathy. Among them, two
patients (patient 1 and 4) were hyperthyroid and TSH receptor antibody (TRAb)
positive, two patients (patient 3 and 5) were euthyroid and displayed slightly
elevated TRAb titres, one patient (patient 2) was euthyroid and TRAb negative.
DIAGNOSES: They were diagnosed as thyroid carcinoma and TAO. INTERVENTIONS:
Patient 1 underwent total thyroidectomy, intravenous glucocorticoids (GCs)
therapy, orbital decompression surgery and oral GCs therapy. Patient 2 and 3 only
received total thyroidectomy. Patient 4 received sub-total thyroidectomy and oral
GCs therapy. patient 5 didn't received thyroidectomy and underwent intravenous
GCs therapy for 2 courses. OUTCOMES: Patient 1,2,3 showed an improvement of TAO
at the final follow-up. Patient 4,5 showed no improvement of TAO at the final
follow-up. LESSONS: When TAO present in patients with thyroid nodules, the
possibility of thyroid carcinoma should be considered, and the nature of these
nodules should be carefully evaluated. In some patients with thyroid carcinoma
and TAO, the remission of TAO can be seen post total thyroidectomy. But for other
patients, besides thyroidectomy, an adequate dose and course of intravenous GCs
treatment and even ocular surgery are also needed.
PMID- 29381977
TI - Bleeding from isolated gastric varices as complication of a mucinous cystic
neoplasm of the pancreas: A case report.
AB - INTRODUCTION: Bleeding from isolated gastric varices, though uncommon, may be
life threatening and may occur as a consequence of splenic vein thrombosis by
tumoral compression and subsequent left-sided portal hypertension. CASE OVERVIEW:
We report the case of a 37-year old woman, previously diagnosed with a benign
pancreatic cyst, who presented with severe gastric variceal bleeding. DIAGNOSIS,
THERAPEUTICS INTERVENTIONS, AND OUTCOMES: Abdominal ultrasound (US) and computed
tomography (CT) revealed enlargement and changed morphology of the cystic tumor
located on the body of the pancreas. Left-sided portal hypertension was disclosed
resulting from splenic vein occlusion. Salvage left spleno-pancreatectomy with
lymphadenectomy was undertaken with an excellent postoperative outcome.
Histological analysis established the diagnosis of mucinous cystic neoplasm with
foci of adenocarcinoma. CONCLUSION: Surgical treatment proved to be the election
one, leading to a steady hemostasis, removal of the lesion, positive diagnosis,
and resolution of the varices. Imaging follow-up of pancreatic cyst should also
assess vascular patency to identify the patient at risk for gastric bleeding and
to select patients who benefits from surgical resection.
PMID- 29381978
TI - Cholecystectomy with gallbladder bed cautery might be sufficient for T1bN0M0
neuroendocrine carcinoma of gallbladders: Cases report and literature review.
AB - INTRODUCTION: Neuroendocrine carcinoma was a rare kind tumor in gallbladders. So
far, there is no consensus of treatment of the gallbladder neuroendocrine
carcinoma. CASE PRESENTATION: Three patients, 1 male and 2 females, were admitted
in our hospital because of right upper quadrant pain. No one complained fever,
jaundice, weight loss, or carcinoid syndrome-related symptoms such as diarrhea,
flushing, edema, and wheezing. The MRI detected the broad base of gallbladder
tumors. However, they refused any radical surgery. We performed a laparoscopic
cholecystectomy with gallbladder bed cautery. The pathology results showed that 2
cases were mixed neuroendocrine carcinoma (NECs), and 1 case was a simple NEC.
Chromogranin A and synaptophysin were positive in all cases. The pathological TNM
stages of all patients were in the T1bN0M0 stage. The range of Ki-67 was from 40%
to 80%. During the at least 26 months' follow-up, there is no case with the
recurrence of the carcinoma without any chemotherapy or radiotherapy.
CONCLUSIONS: Cholecystectomy with gallbladder bed cautery might be enough for
treatment of T1bN0M0 gallbladder neuroendocrine carcinoma.
PMID- 29381979
TI - Multiple arterial thromboses due to cystic medial degeneration Erdheim-Gsell: A
case report.
AB - RATIONALE: Cystic medial degeneration Erdheim-Gsell is a vascular pathology
mainly of the large vessels, which is mostly associated with Marfan syndrome or
Ehlers-Danlos syndrome. The clinical findings of this entity are aneurysms of the
aorta or large peripheral arteries which usually present in an acute setting due
to rupture of an aneurysm. PATIENT CONCERNS: We present a case of a 43-year-old
Caucasian male with histologically proven cystic medial degeneration of the lower
limb vessels mimicking peripheral artery occlusive disease. Despite antiplatelet
and anticoagulant treatment, the patient suffered multiple vascular stenosis and
occlusions. DIAGNOSES: Multiple arterial stenoses and thromboses leading to
peripheral artery occlusive disease caused by cystic medial degeneration Erdheim
Gsell. INTERVENTIONS: Multiple surgical and endovascular interventions including
bypass graft and intra-arterial thrombolysis as well as oral antiplatelet and
anticoagulant therapy. OUTCOME: Despite dual antiplatelet therapy, anticoagulant
therapy with rivaroxaban and multiple surgical and endovascular interventions,
the patient developed recurrent arterial thromboses. The patient did not suffer
further thrombotic events since clopidogrel and phenprocoumon were administered.
LESSONS: Clinical presentation of cystic medial degeneration Erdheim-Gsell
mimicking peripheral artery occlusive disease is very unusual. Due to the fragile
vessel wall, patients with cystic medial degeneration might have a higher risk to
develop arterial thromboses, even under antiplatelet therapy or anticoagulant
treatment.
PMID- 29381980
TI - Circulating D-dimer level correlates with disease characteristics in
hepatoblastoma patients.
AB - OBJECTIVES: Hepatoblastoma (HB) is the most common pediatric liver malignancy,
typically affecting children within the first 4 years of life. However, only a
few validated blood biomarkers are used in clinical evaluation. The current study
explored the clinical application and significance of D-dimer levels in patients
with HB. METHOD: Forty-four patients with HB were included in this retrospective
study. D-dimer and plasma fibrinogen levels were examined, and their correlation
with other clinical features was analyzed. D-dimer and plasma fibrinogen levels
were examined between HB and other benign hepatic tumors. RESULTS: D-dimer levels
were significantly associated with high-risk HB features, such as advanced stage
and high alpha-fetoprotein (AFP) levels. Higher D-dimer levels were observed in
stage 4 patients compared with stage 1/2/3 patients (P = .028). Higher D-dimer
levels were also observed in patients with higher AFP levels before chemotherapy
compared with patients with lower AFP levels after chemotherapy (P< 0.001). In
addition, higher D-dimer levels were observed in HB compared with other benign
hepatic tumors such as hepatic hemangioma and hepatocellular adenoma (P = .012).
No significant difference in D-dimer levels was found between sex (P = .503) and
age (>=12 vs <12 months, P = .424). There was no significant difference in plasma
fibrinogen levels between sex or age and high-risk HB features, such as advanced
stage and high AFP levels. CONCLUSIONS: Elevated D-dimer levels could be a useful
determinant biomarker for high-risk features in patients with HB. This finding
also supports the clinical application of D-dimer in HB.
PMID- 29381981
TI - The effectiveness of acupuncture for chronic pain with depression: A systematic
review protocol.
AB - BACKGROUND: Chronic pain is a major public health problem and 30% to 45% of
sufferers experience severe depression. Acupuncture is often used to treat both
depression and a range of pain disorders. We aim to conduct a systematic review
of randomized controlled trials (RCTs) to evaluate the efficacy of acupuncture
for patients experiencing chronic pain with depression. METHODS: To identify
relevant RCTs, the following databases will be searched electronically from their
inception to July 1, 2017: PubMed, MEDLINE, EMBASE, Cochrane Central Register of
Controlled Trials, the Allied and Complementary Medicine Database, the Cumulative
Index to Nursing and Allied Health Literature, Chinese medical databases, and
others. Manual retrieval will also be conducted. RCTs that evaluated acupuncture
as the sole or adjunct treatment for patients with chronic pain and depression
will be included. The primary outcomes will be based on a visual analog pain
measurement scale and the Hamilton Depression Scale. The secondary outcomes will
include scores on a numerical rating scale, verbal rating scale, and the Hospital
Anxiety and Depression Scale. The study selection, data extraction, and study
quality evaluation will be performed independently by 2 researchers. If the data
permit, meta-analysis will be performed using RevMan V5.3 statistical software.
If the data are not appropriate for meta-analysis, descriptive analysis or
subgroup analysis will be conducted. The methodological quality of the included
trials will be assessed using the Cochrane risk-of-bias criteria and the
Standards for Reporting Interventions in Controlled Trials of Acupuncture
checklist. RESULTS: This study will provide a high-quality synthesis of current
evidence of acupuncture for chronic pain with depression from several scales
including visual analog pain measurement scale, the Hamilton Depression Scale, a
numerical rating scale, verbal rating scale and the Hospital Anxiety and
Depression Scale. CONCLUSION: The conclusion of our study will provide updated
evidence to judge whether acupuncture is an effective intervention for patients
suffered from chronic pain with depression.
PMID- 29381982
TI - Metabolic acidosis of chronic kidney disease and subclinical cardiovascular
disease markers: Friend or foe?
AB - The effect of chronic metabolic acidosis (MA) on cardiovascular disease (CVD) in
the setting of chronic kidney disease (CKD) is largely unknown. Therefore, we
aimed to study this relationship in nondialysis CKD patients.This cross
sectional, single-center study prospectively enrolled 95 clinically stable CKD
patients (median age 61 (58, 65) years, 60% male, median eGFR 27 (22, 32)
mL/min). Data on CKD etiology, CVD history, CVD traditional, and nontraditional
risk factors were obtained. Also, markers of subclinical CVD were assessed:
intima-media thickness (IMT), abdominal aortic calcifications (Kauppila score
AACs), cardio-ankle vascular index (CAVI), ankle-brachial index (ABI), ejection
fraction, and interventricular septum thickness. Using the serum bicarbonate
cutoff value of 22 mEq/L, comparisons between MA (<22 mEq/L; 43 patients) and non
MA (>=22 mEq/L; 52 patients) groups were performed.Vascular (40%),
tubulointerstitial (24%), and glomerular (22%) nephropathies were the main causes
of CKD. Twenty-three percent of patients had diabetes mellitus, but only 5% were
considered to have diabetic nephropathy. Patients with chronic MA had lower eGFR
(P < .01), higher iPTH (P = .01), higher serum phosphate (P < .01), and increased
serum cholesterol (P = .04) and triglycerides (P = .01).Higher ABI (P = .04),
lower IMT (P = .03), CAVI (P = .05), and AACs (P = .03) were found in patients
with chronic MA.Separate binomial logistic regression models were performed using
ABI (cutoff 0.9), CAVI (cutoff 9), IMT (cutoff 0.1 cm), and AACs (cutoff 1) as
dependent variables. MA was used as independent variable and adjustments were
made for iPTH, serum phosphate, eGFR, proteinuria, cholesterol, triglycerides,
CVD score. The absence of MA was retained as an independent predictor only for
the presence of AACs.In conclusion, the present study shows a potential
advantageous effect of MA on vascular calcifications in predialysis CKD patients.
Thus, a guideline relaxation of the serum bicarbonate target might prove to be
beneficial in CKD patients at high risk of vascular calcifications. However, one
should always consider the negative effects of MA. Therefore, additional research
is warranted before any clear clinical recommendation.
PMID- 29381983
TI - Preoperative intravenous glucocorticoids can decrease acute pain and
postoperative nausea and vomiting after total hip arthroplasty: A PRISMA
compliant meta-analysis.
AB - BACKGROUND: A systematic review and meta-analysis of published randomized
controlled trials (RCTs) were performed to assess the efficacy and safety of
preoperative intravenous glucocorticoids versus controls for the prevention of
postoperative acute pain and postoperative nausea and vomiting (PONV) after
primary total hip arthroplasty (THA). METHODS: A computer literature search of
electronic databases, including PubMed, Embase, the Cochrane Central Register of
Controlled Trials (CENTRAL), Web of Science, China National Knowledge
Infrastructure (CNKI), and China Wanfang database, was conducted to identify the
relevant RCTs comparing preoperative intravenous glucocorticoids versus placebos
for reducing acute pain and PONV in THA patients. The primary outcomes included
the use of the visual analog scale (VAS) with rest or mobilization at 6, 24, 48,
and 72 hours and the occurrence of PONV. The secondary outcome was total morphine
consumption. We calculated the risk ratio (RR) with a 95% confidence interval
(95% CI) for dichotomous outcomes, and the weighted mean difference (WMD) with a
95% CI for continuous outcomes. RESULTS: Pooled data from 7 RCTs (411 THAs)
favored preoperative intravenous glucocorticoids against acute pain intensity at
4, 24, and 48 hours (P < .05). There was no significant difference between the
VAS with rest or mobilization at 72 hours (P > .05). Subsequently, preoperative
intravenous glucocorticoids provided a total morphine-sparing effect of 9.36 mg
(WMD = -9.36, 95% CI = -12.33 to -6.38, P = .000). In addition, preoperative
intravenous glucocorticoids were associated with a significant reduction of the
occurrence of PONV (RR = 0.41, 95% CI = 0.30-0.57, P = .000). CONCLUSION:
Intravenous glucocorticoids can decrease early pain intensity and PONV after THA.
However, the low number of studies and variation in dosing regimens limits the
evidence for its use. Thus, more high-quality RCTs are still needed to identify
the optimal drug and the safety of intravenous glucocorticoids.
PMID- 29381984
TI - Periprosthetic bone remodeling of short cementless femoral stems in primary total
hip arthroplasty: A systematic review and meta-analysis of randomized-controlled
trials.
AB - BACKGROUND: Short-stem total hip arthroplasty (SHA) has been increasingly used in
the treatment of hip arthroplasty. However, it is unclear whether there is a
superiority of SHA in periprosthetic bone remodeling over standard stem total hip
arthroplasty (THA). This meta-analysis of randomized-controlled trials (RCTs)
compared the periprosthetic bone remodeling after SHA and THA. METHODS: PubMed
and Embase were screened for relevant publications up to May 2017. RCTs that
compared periprosthetic bone remodeling with bone mineral density (BMD) changes
between SHA and THA were included. Meta-analysis was conducted to calculate
weighted mean differences (WMDs) and 95% confidence intervals (CIs) using Stata
version 12.0. Quality appraisal was performed by 2 independent reviewers using
RevMan 5.3 software and Grades of Recommendation Assessment, Development, and
Evaluation criteria. RESULTS: Seven studies involving 910 patients and 5 SHA
designs (Proxima, Fitmore, Microplasty short, Unique custom, and Omnifit-HA 1017)
were included for meta-analysis. The pooled data showed no significant
differences in the percentage BMD changes in all Gruen zones, with Gruen zone 1
[mean difference (MD) = 11.33, 95% CI, -1.67 to 24.33; P = .09] and Gruen zone 7
(MD = 8.46, 95% CI, -1.73 to 18.65; P = .10). Subgroup analysis of short SHA
stems with lateral flare showed a significant less percentage BMD changes
compared with standard THA in Gruen zone 1 (MD = 27.57, 95% CI, 18.03-37.12; P <
.0001) and Gruen zone 7 (MD = 18.54, 95% CI, 8.27-28.81; P < .0001). CONCLUSION:
The study shows moderate-quality evidence that periprosthetic bone remodeling
around the analyzed SHA stems was similar to standard THA stems. However, short
SHA stems with lateral flare revealed a moderate- to low-quality evidence for
superiority over the standard THA and highlighted the importance of the different
SHA designs. Besides, it has to be noticed that despite a similar pattern of
periprosthetic bone remodeling, the femoral length where periprosthetic bone
remodeling occurs is clearly shorter in SHA. Due to the moderate- to low-quality
evidence and the limited stem designs analyzed, the further large-scale
multicenter RCTs including the most recent SHA designs are required. However, the
current findings should be considered by surgeons for counseling patients
regarding total hip replacement.
PMID- 29381985
TI - Sudden cardiac death owing to arrhythmogenic right ventricular cardiomyopathy:
Two case reports and systematic literature review.
AB - BACKGROUND AND OBJECTIVE: Arrhythmogenic right ventricular cardiomyopathy (ARVC)
is increasingly recognized in forensic practice with controversial diagnosis.
Here we described the epidemiological characteristics and reported the
pathogenetic mechanism, diagnostic challenges, and forensic implications of
Chinese ARVC autopsy cases. METHODS: Two cases of sudden cardiac death owing to
ARVC were reported. Retrospective analysis were performed on such 2 cases and 45
cases of separate ARVC complete autopsy case reports through Chinese literature
databases in the last 30 years. RESULTS: There were 27 males and 20 females, and
the mean age at death was 35 years. Sudden cardiac death was the first
manifestation observed in most patients, with no previous family and medical
history. Exercise, acute stress, increased cardiac workload, and ethanol are
frequently involved. The mean heart weight was 393 g (range, 240-590 g), and 10
cases had relative heart hypertrophy. Microscopic abnormalities included
replacement of myocardium by adipose infiltration in 68.09% cases and
fibroadipose in 31.91% cases; 80.85% cases were restricted to the right ventricle
(RV), whereas biventricular subtype was seen in the remaining 19.15% cases. The
preliminary quantitative histology showed 60.7% of fat tissues, 12.1% of
fibrosis, and 27.2% residual myocytes in RV. Inflammatory cell infiltration was
found in 25.53% cases, but myocyte necrosis was found in only 1 case. In 10.64%
of cases, cardiac conduction was infiltrated by fibrosis, adipose, or both.
CONCLUSION: In this review, the most characteristic and distinct histopathologic
features that are diagnostic or highly suggestive of ARVC for forensic
pathologists were identified. Combining gross and histological examinations with
postmortem genetic analysis is recommended for identifying ARVC.
PMID- 29381986
TI - ST segment elevation associated with hydrochloric acid ingestion: A case report.
AB - RATIONALE: Electrocardiography (ECG) was used to diagnose acute coronary
syndrome, but many other diseases may also result in ST segment change. We report
one case of ingested hydrochloric acid present with ST segment elevation in the
ECG. However, subsequent coronary angiography did not reveal significant coronary
occlusion. PATIENT CONCERNS: An 83-year-old female was transferred to our
emergency department (ED) from the branch hospital due to ingestion of toilet
bowl cleaner containing 9.5% hydrochloric acid. She complained about chest pain
and 12-lead ECG showed ST segment elevation at lead II, III, and aVF. The blood
examinations revealed elevation of aspartate transaminase (69 IU/L),
thrombocytopenia (62,000/MUL), and acidosis (pH 7.311, pCO2 27 mm Hg, HCO3 13.3
mmol/L). Creatine kinase-MB and troponin I did not elevate then. DIAGNOSES: After
transferred to our ED, coronary angiography was done within 1 hour. Angiography
showed 60% stenosis in the segment 7 of left anterior descending coronary artery
and 30% nonsignificant stenosis in the segment 2 of right coronary artery, with
no apical ballooning. No significant lesion consistent with ST segment elevation
myocardial infarction was found. INTERVENTIONS: Conservative treatment was
chosen. OUTCOMES: Bradycardia was followed by cardiac arrest that developed 4
hours later. Cardiopulmonary resuscitation was applied and the patient became
shock status after return of spontaneous circulation. The patient was admitted to
the intensive care unit and expired on next day. LESSONS: Patients of ingested
hydrochloric acid present with ST segment elevation in the ECG may not indicate
coronary artery disease. This ECG finding may be a poor prognostic index in such
patients.
PMID- 29381987
TI - A case report and literature review of barium sulphate aspiration during upper
gastrointestinal examination.
AB - RATIONALE: Even though barium sulphate aspiration during upper gastrointestinal
examination is a well-known phenomenon, complication such as long-term lung
injury and death may still occur. This may depend upon the concentration, amount,
anatomy, or certain predisposing factors. PATIENT CONCERNS: A 47-year-old woman
who had a barium swallow to screen for foreign body in esophagus. DIAGNOSES:
Chest radiographs demonstrated massive barium sulphate depositions in her trachea
and inferior lobe of right lung. INTERVENTIONS: A chest x-ray was done that
revealed massive barium sulphate depositions in her trachea and lower lobe of
right lung. As the patient did not have further complaints, she requested a
transfer to West China Hospital of Sichuan University, the hospital being near
her residence, for further treatment. She eventually recovered and was discharged
after 1 week. OUTCOMES: There were 23 articles (22 English and 1 Chinese with 17
men and 11 women) included in the study. The risk factors of barium sulphate
aspiration are dysphagia (10/28, 35.71%) followed by esophageal obstruction
caused by tumor (5/28, 17.86%) and foreign body in esophagus (3/28, 10.71%).
Infants (5/28, 17.86%) are also one of the high-risk population. Both the lungs
were affected in most of the patients (21/28, 75%). Majority of the presentation
in patients (21/28, 75%) were dyspnea, hypoxemia, acute respiratory distress
syndrome (ARDS), or respiratory failure. Few patients (7/28, 25%) showed no
symptoms or mild symptoms such as cough and fever. Barium sulphate aspiration can
be life-threatening with a high risk of death (nearly 40%). LESSONS: When
performing an upper gastrointestinal examination with barium sulphate, careful
consideration of concentration and amount of barium sulphate and that of risk
factors should be undertaken so as to avoid life-threatening aspiration.
PMID- 29381988
TI - Efficacy of acupuncture on menstrual frequency in women with polycystic ovary
syndrome: Protocol for a randomized, controlled trial.
AB - BACKGROUND: Acupuncture may improve the menstrual frequency of women with
polycystic ovary syndrome (PCOS). However, more sufficient data are needed to
improve the efficacy of acupuncture. METHODS: A total of 172 participants
diagnosed with PCOS would be randomly assigned to either the acupuncture group or
sham-acupuncture group, at a ratio of 1:1. Participants in both groups will
receive treatment for 12 weeks, 3 times a week. The primary outcome will be the
proportion of participants with at least a 50% increase from baseline in the
monthly menstrual frequency from baseline after 12 weeks intervention, while
secondary outcomes will be the difference in anthropometrics, serum hormone
level, ovarian morphology, anxiety and depression, and quality of life from
baseline to after 12 weeks intervention and to 12 weeks postintervention follow
up between groups. DISCUSSION: The aim of this study is to evaluate the efficacy
and safety of acupuncture for improving menstrual frequency and other symptoms of
patients with PCOS. The limitation of this trial is that it would be difficult to
blind the acupuncturists. In addition, these findings may not be suitable for
women with PCOS who are seeking pregnancy.
PMID- 29381989
TI - Application of gluteus maximus fasciocutaneous V-Y advancement flap combined with
resection in sacrococcygeal pressure ulcers: A CONSORT-compliant article.
AB - BACKGROUND: Traditional gluteus maximus myocutaneous flaps have generally been
used to fill tissue defects after resection of sacrococcygeal pressure ulcers.
However, postoperative complications were gradually revealed as increasing
operations were performed. This study aimed to introduce the innovative
application of gluteus maximus fasciocutaneous V-Y advancement flaps for
repairing tissue defects and to comparatively analyze the differences between the
innovative and traditional flaps. METHODS: A total of 32 cases were included in
this study. All the PU lesions were removed by resection. Sixteen cases used the
gluteus maximus myocutaneous flaps, and the remaining 16 cases used gluteus
maximus fasciocutaneous V-Y advancement flaps to fill the tissue defects after
surgery. Comparative analysis between the gluteus maximus myocutaneous flaps and
gluteus maximus fasciocutaneous V-Y advancement flaps was used to evaluate the 2
flaps based on the mean operating time, postoperative infection, paresthesia,
appearance of flaps, and recurrence. RESULTS: The gluteus maximus fasciocutaneous
V-Y advancement flaps required a reduced operating time and a more simple
operation compared with the gluteus maximus myocutaneous flaps. Although the
infectious risk of the gluteus maximus fasciocutaneous V-Y advancement flaps was
reduced compared with the gluteus maximus myocutaneous flaps, the gluteus maximus
myocutaneous flaps have a better appearance compared with the gluteus maximus
fasciocutaneous V-Y advancement flaps. Most importantly, no flap necrosis was
noted, and the recurrence rate during follow-up was reduced in cases using the
gluteus maximus fasciocutaneous V-Y advancement flaps. CONCLUSION: The combined
application of gluteus maximus fasciocutaneous V-Y advancement flaps with
surgical resection can reduce the postoperative complications and aid in the
treatment of sacrococcygeal pressure ulcers.
PMID- 29381990
TI - A case study of Ramsay Hunt Syndrome in conjunction with cranial polyneuritis.
AB - RATIONALE: Ramsay Hunt syndrome in conjunction with cranial polyneuritis is not
extensively documented, and is very easily misdiagnosed. PATIENT CONCERNS: A case
of a 53-year-old male with Ramsay Hunt syndrome in conjunction with cranial
polyneuritis is presented with early symptoms of vertigo, cephalalgia, and facial
palsy, followed by zoster oticus 10 days later. DIAGNOSES: Diagnosis was
challenging as this condition presents with multiple neuropathies, and attempting
to diagnose based on clinical symptoms was often misleading. Polymerase chain
reaction can be used to test for presence of the virus in the cerebrospinal
fluid, followed by targeted drug therapy. INTERVENTIONS: Acupuncture, in
conjunction with fire cupping, bloodletting around the afflicted region on the
face, as well as oral consumption of herbal medicine and vitamins for nerve
nourishment was given to treat this disease. OUTCOMES: Due to misdiagnosis
resulting in delayed treatment, peripheral facial paralysis was left as the main
sequelae, while other symptoms responded quickly to treatment. After a 6-month
follow-up, facial palsy was still present. LESSONS: Considering that targeted
antiviral therapy can be used to increase the effectiveness of treatment, early
diagnosis, and timely use of medication is critical.
PMID- 29381991
TI - RNA sequencing uncovers the key microRNAs potentially contributing to sudden
sensorineural hearing loss.
AB - This study aimed to identify miRNAs that may contribute to the pathogenesis of
sudden sensorineural hearing loss (SSNHL) by RNA-seq (RNA-sequencing).RNA was
extracted from SSNHL patients and healthy volunteers, respectively. Sequencing
was performed on HiSeq4000 platform. After filtering, clean reads were mapped to
the human reference genome hg19. Differential expression analysis of miRNAs
between the SSNHL samples and the normal samples was performed using DEseq to
identify differentially expressed microRNAs (DEMs). The target genes of the DEMs
were predicted using the online tool miRWalk, which were then mapped to DAVID
(https://david.ncifcrf.gov/) for functional annotation based on GO database and
for pathway enrichment analysis based on KEGG. Finally, a miRNA-target-protein
protein interaction (PPIs) network was constructed using the DEMs and their
target genes with interaction.Differential expression analysis reveals 24 DEMs
between the SSNHL group and control group. A total of 1083 target genes were
predicted. GO functional annotation analysis reveals that the target genes in the
top 10 terms are mainly related to the development of salivary glands,
neurotransmission, dendritic development, and other processes. KEGG pathway
enrichment analysis reveals that the target genes were functionally enriched in
pathways arachidonic acid metabolism, complement and coagulation cascades,
linoleic acid metabolism, and MAPK signaling pathway. In the miRNA-target-PPI
network, hsa-miR-34a/548n/15a/143/23a/210/1255a/18b/ /1180/99b had the most
target genes; genes YWHAG, GSK3B, CDC42, NR3C1, LCK, UNC119, SIN3A, and NFKB2,
interact with most other genes among all the predicted target genes.Hsa-miR
34a/15a/23a/210/18b/548n/143 is likely to have a role in the pathogenesis of
SSNHL.
PMID- 29381992
TI - The prevalence, metabolic risk and effects of lifestyle intervention for
metabolically healthy obesity: a systematic review and meta-analysis: A PRISMA
compliant article.
AB - BACKGROUND: We conducted a systematic review and meta-analysis to firstly obtain
a reliable estimation of the prevalence of metabolically healthy obese (MHO)
individuals in obesity, then assessed the risk of developing metabolic
abnormalities (MA) among MHO individuals. At last, we evaluated the effects of
traditional lifestyle interventions on metabolic level for MHO subjects. METHODS:
A systematic review and meta-analysis (PRISMA) guideline were conducted, and
original studies were searched up to December 31, 2016. The prevalence of MHO in
obesity from each study was pooled using random effects models. The relative
risks (RRs) were pooled to determine the risk of developing MA for MHO compared
with metabolically healthy normal-weight (MHNW) subjects. For the meta-analysis
of intervention studies, the mean difference and standardized mean differences
were both estimated for each metabolic parameter within each study, and then
pooled using a random-effects model. RESULTS: Overall, 40 population-based
studies reported the prevalence of MHO in obesity, 12 cohort studies and 7
intervention studies were included in the meta-analysis. About 35.0% obese
individuals were metabolically healthy in the obese subjects. There were dramatic
differences in the prevalence among different areas. However, 0.49 (95%
confidence intervals [CI]: 0.38 to 0.60) of the MHO individuals would develop one
or more MA within 10 years. Compared with MHNW subjects, the MHO subjects
presented higher risk of incident MA (pooled RR = 1.80, 95%CI: 1.53-2.11).
Following intervention, there was certain and significant improvement of
metabolic state for metabolically abnormal obesity (MAO) subjects. Only diastolic
blood pressure had reduced for MHO individuals after intervention. CONCLUSIONS:
Almost one-third of the obese individuals are in metabolic health. However, they
are still at higher risk of advancing to unhealthy state. Therefore, it is still
needed to advise MHO individuals to maintain or adopt a healthy lifestyle, so as
to counterbalance the adverse effects of obesity.
PMID- 29381993
TI - Effects of nefopam on emergence agitation after general anesthesia for nasal
surgery: A prospective, randomized, and controlled trial.
AB - BACKGROUND: Emergence agitation (EA) occurs frequently after nasal surgery. N
methyl-D-aspartate (NMDA) receptor antagonists and analgesics, such as fentanyl,
have been shown to prevent EA. Nefopam inhibits the NMDA receptor and shows a
potent analgesic effect. We investigated the effects of nefopam on EA in patients
undergoing nasal surgery. METHODS: In this prospective, double-blind study, 100
adult patients were allocated randomly to 1 of 2 groups (each n = 50). Patients
received 20 mg of nefopam in 98 mL of saline for 20 minutes immediately after
induction of anesthesia (nefopam group) or 100 mL of saline (control group) in
the same manner. After surgery, the incidence and degree of EA, time for
extubation, hemodynamic parameters, and adverse events were evaluated by an
observer blinded to the group allocation. RESULTS: The overall incidence of EA
was lower in the nefopam group than in the control group (34% [17/50] vs 54%
[27/50], respectively; P = .044). The incidence of severe EA was also lower in
the nefopam group than in the control group (8% [4/50] vs 38% [19/50],
respectively; P = .001). Heart rate (HR) was higher in the nefopam group than in
the control group from the end of surgery to 3 minutes after extubation (P =
.008). Time for extubation and adverse events were similar between groups.
CONCLUSIONS: Nefopam infusion is effective in preventing and reducing the
severity of EA after nasal surgery without a delay in extubation. However,
caution is required regarding the increase in HR.
PMID- 29381994
TI - The efficacy of combined therapy with metronidazole and broad-spectrum
antibiotics on postoperative outcomes for pediatric patients with perforated
appendicitis.
AB - The aim of this study was to evaluate the efficacy of combined therapy with
metronidazole and broad-spectrum antibiotics for patients with perforated
appendicitis who underwent surgical intervention.Broad-spectrum antibiotic
therapy is warranted in the treatment of perforated appendicitis. Metronidazole
has been used as anaerobic antimicrobial therapy. However, few studies about the
use of metronidazole in perforated appendicitis have been reported.The medical
records of 249 patients treated with metronidazole combined with broad-spectrum
antibiotics following perforated appendicitis surgery were reviewed
retrospectively and compared with the medical records of 149 patients treated
only with broad-spectrum antibiotics. Propensity score matching was performed to
adjust for selected baseline variables. Clinical outcomes, including
postoperative complications and length of hospital stay, were compared between
the 2 groups.No differences were found between the use of combined therapy with
metronidazole and the use of solely broad-spectrum antibiotic agents with regard
to postoperative duration of intravenous antibiotic treatment (6.8 +/- 1.3 vs 7.9
+/- 2.1 days, respectively, P = .18), inflammation variables at POD 5 (white
blood cell [WBC] [risk ratio [RR], 1.06; 95% confidence interval [CI], 0.67-1.93,
P = .15] and C-reactive protein [CRP] [RR, 1.18; 95% CI, 0.73-2.25, P = .36])
(Table 2), and the mean postoperative length of hospital stay (LOS) (RR, 0.68,
95% CI, 0.41-0.94, P = .41). There were also no differences in the incidence of
postoperative complications, including the intra-abdominal or pelvic abscess rate
(7[7.1%] vs 9[9.2%], respectively, P = .40), the incidence of wound infection
(14[14.3%] vs 15[15.3%], respectively, P = .50), and the 30-day readmission rate
(9[9.2%] vs 12[12.2%], respectively, P = .32).Regarding overall postoperative
outcomes and complications, our study demonstrated no beneficial clinical effects
of metronidazole administration in patients with perforated appendicitis who
underwent surgical intervention. Therefore, metronidazole is not indicated when
broad-spectrum antibiotics such as aminopenicillins with beta-lactam inhibitors
or carbapenems and select cephalosporins are used.
PMID- 29381995
TI - CXCL13 and TH1/Th2 cytokines in the serum and cerebrospinal fluid of
neurosyphilis patients.
AB - Neurosyphilis is a chronic infectious disease with involvement of central nervous
system infection by Treponema pallidum. This study was to investigate the
contents of B lymphocyte chemokine 1 (BLC-1/chemokine [C-X-C motif] ligand 13),
Th1 cytokines (Interleukin [IL]-2, IL-12, and Interferon [IFN]-gamma), and Th2
cytokines (IL-6 and IL-10) in serum and cerebrospinal fluid (CSF) of HIV-negative
patients with neurosyphilis before and after treatment, aiming to elucidate roles
of CXCL13 and Th1/Th2 cytokines in immune response to and pathogenesis of
neurosyphilis.Enzyme-linked immunosorbent assay was employed to detect the
contents of CXCL13, IL-2, IL-12, IFN-gamma, IL-6, and IL-10 in serum and CSF of
47 HIV-negative patients with neurosyphilis, 36 syphilis patients without
neurological involvement and 23 controls (noninfectious intracranial disease)
before, 3 and 12 months after treatment with high dose penicillin.Results showed
that there was no significant difference in blood CXCL13 content among 3 groups
(P > .05); CSF CXCL13 content in neurosyphilis patients was significantly higher
than in other 2 groups (P < .001), and positively related to leucocyte count,
protein concentration, and IgG index. IL-6 and IL-10 contents of the serum and
CSF in neurosyphilis patients were markedly higher than in other 2 groups (P <
.05 or .01), but IL-2, IL-12, and IFN-gamma of the serum and CSF were
significantly lower than in other 2 groups (P < .05 or .01). The IL-6, IL-10, IL
2, IL-12, and IFN-gamma contents of the serum and CSF were comparable between
control group and syphilis group (P > .05). CSF CXCL13 content was positively
related with IL-6 and IL-10 content, while negatively related to IL-12 content in
neurosyphilis patients. CSF IL-6 content was negatively related with IL-12
content. In neurosyphilis patients, the CSF CXCL13 content reduced significantly
at 3 and 12 months (P < .001), the CSF IL-2 and IL-12 contents increased
significantly at 12 months, and CSF IL-6 contents reduced significantly at 12
months after treatment (P < .05 or .01).It is concluded that neurosyphilis
patients did not have normal immune function. CXCL13 and Th1/Th2 cytokines are
involved in the immune response of neurosyphilis patients. CSF CXCL13 and Th1/Th2
cytokines contents may be used for the diagnosis and evaluation of therapeutic
efficacy of neurosyphilis.
PMID- 29381996
TI - Primary thyroid-like low-grade nasopharyngeal papillary adenocarcinoma: A case
report and literature review.
AB - RATIONALE: Primary thyroid-like low-grade nasopharyngeal papillary adenocarcinoma
(TL-LGNPPA) is an extremely rare malignant nasopharyngeal tumor with features
resembling papillary thyroid carcinoma including nuclear positive expression of
thyroid transcription factor-1 (TTF-1). PATIENT CONCERNS: A 64-year-old male
presented with nasal bleeding and a foreign body sensation of the nasopharynx.
Laryngoscopy revealed a 2.0-cm broad-based mass with a smooth surface on the
posterior wall of the nasopharynx. A biopsy was obtained. DIAGNOSES:
Histopathologic examination demonstrated tumor cells arranged in both papillary
and glandular architecture. The tumor cells express nuclear immunoreactivity for
TTF-1. The diagnosis of TL-LGNPPA was made. INTERVENTIONS: After the patient was
diagnosed with TL-LGNPPA, he underwent complete surgical resection. OUTCOMES:
There was no recurrence or evidence of metastatic disease at the 12-month follow
up. LESSONS: TL-LGNPPA is easy to misdiagnose as metastatic papillary thyroid
carcinoma or other relative primary adenocarcinomas. It is important to have a
broad differential diagnosis and know the key features of each entity because the
prognosis and clinical treatment of each may differ.
PMID- 29381997
TI - Vascular Ehlers-Danlos syndrome with cryptorchidism, recurrent pneumothorax, and
pulmonary capillary hemangiomatosis-like foci: A case report.
AB - RATIONALE: Vascular Ehlers-Danlos syndrome (vEDS) is a rare autosomal dominant
inherited collagen disorder caused by defects or deficiency of pro-alpha 1 chain
of type III procollagen encoded by COL3A1. vEDS is characterized not only by soft
tissue manifestations including hyperextensibility of skin and joint
hypermobility but also by early mortality due to rupture of arteries or vital
organs. Although pulmonary complications are not common, vEDS cases complicated
by pneumothorax, hemothorax, or intrapulmonary hematoma have been reported. When
a patient initially presents only with pulmonary complications, it is not easy
for clinicians to suspect vEDS. PATIENT CONCERNS: We report a case of an 18-year
old high school student, with a past history of cryptorchidism, presenting with
recurrent pneumothorax. DIAGNOSES: Routine laboratory findings were unremarkable.
Chest high resolution computed tomographic scan showed age-unmatched
hyperinflation of both lungs, atypical cystic changes and multifocal ground glass
opacities scattered in both lower lobes. His slender body shape, hyperflexible
joints, and hyperextensible skin provided clue to suspicion of a possible
connective tissue disorder. INTERVENTIONS: The histological examination of the
lung lesions showed excessive capillary proliferation in the pulmonary
interstitium and pleura allowing the diagnosis of pulmonary capillary
hemangiomatosis (PCH)-like foci. Genetic study revealed COL3A1 gene splicing site
mutation confirming his diagnosis as vEDS. OUTCOMES: Although his diagnosis vEDS
is notorious for fatal vascular complication, there was no evidence of such
complication at presentation. Fortunately, he has been followed up for 10 months
without pulmonary or vascular complications. LESSONS: To the best of our
knowledge, both cryptorchidism and PCH-like foci have never been reported yet as
complications of vEDS, suggesting our case might be a new variant of this
condition. This case emphasizes the importance of comprehensive physical
examination and history-taking, and the clinical suspicion of a possible
connective tissue disorder when we encounter cases with atypical presentation
and/or unique chest radiologic findings especially in young patients.
PMID- 29381998
TI - Genetic polymorphism analysis of cytochrome P4502E1 (CYP2E1) in a Chinese Tibetan
population.
AB - Cytochrome P4502E1 (CYP2E1) gene genetic polymorphisms vary markedly in frequency
among different ethnic and racial groups.We studied the genotype distributions
and allele frequencies of 3 CYP2E1 polymorphisms: CYP2E11A, CYP2E17A, and
CYP2E17C by polymerase chain reaction technique in a sample of 100 healthy
subjects representing Tibetan population.The frequencies of CYP2E11A, 7A, and 7C
alleles were 0.705, 0.125, and 0.170, respectively. Compared with other
populations, we found that the allele frequencies of the variants -352A>G
(rs2070672) and -333A>T (rs2070673) in this Tibetan population have significant
differences compared with European-American, African-American, Japanese, Korean,
and other different geographic areas in Chinese Han population. Furthermore, the
results of protein prediction revealed that the variant 6397G>A (rs61710826)
could influence the protein structure and function.These findings in this study
would be valuable for pharmacogenetics for drug therapy and drug discovery.
However, further studies in larger samples are warranted to confirm our results.
PMID- 29381999
TI - Abdominal surgery in patients with essential thrombocythemia: A case report and
systematic review of literature.
AB - INTRODUCTION: Essential thrombocythemia/thrombocytosis (ET) is characterized by
increased bleeding and thrombosis risk during the perioperative period. We report
the case of a woman with ET and sigmoid colon cancer, in whom the postoperative
course was complicated by anastomotic bleeding. A systematic review was conducted
to seek guidance for the management of such patient in the perioperative period.
METHODS: A systematic literature review was conducted using EMBASE, Medline, and
PubMed databases to detect relevant English language articles. Published studies
with full-text articles were included. Two authors independently searched and
extracted the data. Any differences were resolved by consensus. Studies on
abdominal surgery were manually retrieved. RESULTS: Four case reports (including
our case report) that described abdominal surgery in patients with ET were
included. All patients were females, with a mean age of 47 years. Laparoscopic
surgery was performed in 2 patients, and open surgery was performed in the other
2 patients. Two patients had postoperative bleeding that occurred on the first
postoperative day. There was one case of pseudohyperkalemia after surgery and one
case of Budd-Chiari syndrome caused by hepatic vein thrombosis. No guidelines for
patients with ET undergoing abdominal surgery were found. CONCLUSION: In
conclusion, there are currently no definitive guidelines for the perioperative
management of patients with ET. Furthermore, there are few reports of ET in
patients with malignancy undergoing surgery. Further studies in this unique group
of patients are required.
PMID- 29382000
TI - Endovascular management of renal artery aneurysms induced by neurofibromatosis
type 1: A case report.
AB - RATIONALE: Neurofibromatosis type 1 (NF-1) is an autosomal dominant disorder
characterized by cafe au lait macules and neurofibromatosis. Renal artery
aneurysms are relatively uncommon. Endovascular techniques are effective in
treating renal aneurysms but successful cases are rarely reported in NF-1 adults.
PATIENT CONCERNS: The patient was one 23-year-old female presented with
hypertension, multiple cafe-au-lait spots >=15 mm, and plexiform neurofibroma.
Renal artery aneurysms were found by ultrasound. DIAGNOSES: NF-1 was diagnosed
based on clinical manifestations and confirmed by gene test. Renal artery
aneurysms were diagnosed based on computed tomography. INTERVENTIONS: Bilateral
renal artery angiography was performed and 3 aneurysms were found sequentially on
the left anterior superior segmental artery. Microcoil embolization of aneurysms
was undertaken. OUTCOMES: The patient's blood pressure decreased after the
procedure with reduction of medicine. A 3-month follow-up unilateral selective
renal angiogram demonstrated little change in size of aneurysms, and no
opacification of the aneurysmal sac was found. Serum creatinine remained in
normal range at 3-month. LESSONS: Successful endovascular treatment for NF-1
related renal artery aneurysms in adults is reported for the 1st time with
preserved renal function and improved hypertension. Endovascular procedure is
considered to be feasible and effective for renal artery aneurysms induced by NF
1.
PMID- 29382001
TI - Multiple primary cancer in the female genital system: Two rare case reports and a
literature review.
AB - RATIONALE: Multiple primary cancer (MPC) refers to tumors that occur in one or
multiple organs within the same patient at the same time or at different periods.
MPC often occurs in the head and neck, but is rarely reported in the female
genital system. PATIENT CONCERNS: In the present study, we report 2 rare cases
that presented with tangible lower abdominal tumors. DIAGNOSES: Laboratory tests,
pelvic ultrasound (US), computed tomography (CT), and fast histopathological
examinations during surgery indicated a diagnosis of MPC. INTERVENTIONS: The 2
patients all received radical resections of multiple tumors. OUTCOMES:
Postsurgical histopathological and immunohistochemical examinations further
confirmed primary endometrial cancer and right ovarian cancer in Case 1, and
primary cervical cancer and left ovarian cancer of Case 2. The 2 patients all
recovered well without obvious complications. LESSONS: Our study demonstrated
that female genital MPC should be noted for patients with multiple genital
tumors. In addition, accurately diagnosis and radical surgical treatment should
be well performed.
PMID- 29382002
TI - Latent scurvy with tiredness and leg pain in alcoholics: An underestimated
disease three case reports.
AB - RATIONALE: Scurvy is often diagnosed at the state of well-established signs as,
for example, skin and visceral purpura, gums involvement, loss of healthy teeth,
which derive mostly from disturbance of collagen metabolism. Little is known
about the state of latent scurvy, which symptoms are nonspecific and may mimic
more common conditions such as weakness, leg pain, and muscle aching. PATIENT
CONCERNS: We report 3 cases of extreme lassitude and leg pain in alcoholics. In 2
of the 3 cases, discreet classic symptoms such as petechiae or hyperkeratosis of
the legs involving collagen metabolism were also present. DIAGNOSES: Latent
scurvy has been diagnosed thanks to historical experimental data reporting and
undetectable ascorbic acid levels. INTERVENTIONS: In addition to the treatments
recommended by the French Alcohol Society, patients were given oral vitamin C 500
mg to 1000 mg per day for at least three months. OUTCOMES: Vitamin C
supplementation allowed the regression of the symptoms, greatly improved the
quality of life, and gave the possibility to return to work. Cartinine, requiring
vitamin C for its hydroxylation, is an essential cofactor in the transport of
long-chain fatty acid into mitochondrial matrix. Therefore, it plays an important
role in energy production via beta-oxidation. It is thought that carnitine
metabolism impairment is responsible for weakness or muscle aching. LESSONS: We
recommend being aware of the possibility of latent scurvy in chronic alcohol
abusers. The vitamin C supplementation and dietetic recommendation eating fresh
fruit and vegetables may help to cure tiredness and to return more easily to
socialization and to work.
PMID- 29382003
TI - Hemoglobin Hornchurch [beta43 (CD2) Glu > Lys; HBB: c.130G > A] in a Chinese boy
complicated with thrombocytopenia: A case report and literature review.
AB - RATIONALE: Hemoglobin Hornchurch is regarded as an asymptomatic hemoglobinopathy
with no obvious hematological or clinical abnormalities. Recently, we identified
hemoglobin Hornchurch in a 13-year-old Chinese boy complicated with
thrombocytopenia, which displayed instability in isopropanol precipitation test.
PATIENT CONCERNS: In this case report, we reported a Chinese boy with hemoglobin
Hornchurch complicated by thrombocytopenia. The patients have been misdiagnosed
as aplastic anemia and myelodysplastic syndrome before. DIAGNOSES: Hemolysis
tests, high-performance liquid chromatography, and HBB gene sequencing identified
the E44K (G>A) mutation. Isopropanol precipitation test showed instability in
hemoglobin Hornchurch. INTERVENTIONS: The patient was given immunosuppressive
therapy for 3 months. OUTCOMES: His general conditions have improved along with
the recovery of the hemogram index. LESSONS: Further research is needed to
clarify the relation between structural abnormality and functional properties of
hemoglobin Hornchurch. This second case of hemoglobin Hornchurch indicates that
there might be more hemoglobin variants or their carriers in the Chinese
population.
PMID- 29382004
TI - Acute superior mesenteric vein thrombosis associated with abdominal trauma: A
rare case report and literature review.
AB - RATIONALE: Acute mesenteric vein thrombosis (MVT) is defined as new-onset
thrombosis of the mesenteric vein without evidence of collateralization, finally
resulting in extensive intestinal infarction. MVT may be idiopathic or be caused
by conditions responsible for thrombophilia and acquired risk factors. To date,
there have been few reports of MVT after trauma. Herein we describe our
experiences treating three patients with MVT. PATIENT CONCERNS: Case 1 was a 44
year-old man with transverse colon mesenteric hematoma after blunt abdominal
trauma. Case 2 was a 55-year-old man with jejunal transection after a traffic
accident. Case 3 was a 26-year-old man presented with multiple abdominal stab
bowel injury. DIAGNOSES: A 1-week follow-up abdominal computed tomography scan
showed superior mesenteric vein thrombosis in all of three patients.
INTERVENTIONS: All patients were treated with anticoagulant for 3 or 6 months.
OUTCOMES: MVTs were completely resolved without any complications. LESSONS: If
early diagnosis and treatment could be available, anticoagulation alone might be
adequate for the treatment of SMVT associated with trauma. Early anticoagulation
in patients with acute SMVT may avoid the grave prognosis observed in patients
with arterial thrombosis.
PMID- 29382005
TI - Successful treatment of pachydermoperiostosis patients with etoricoxib, aescin,
and arthroscopic synovectomy: Two case reports.
AB - RATIONALE: Pachydermoperiostosis (PDP) is a rare hereditary disorder that affects
the skin and bones. PDP is characterized by periostosis, digital clubbing, and
pachydermia. Previous studies demonstrated that increased prostaglandin E2 (PGE2)
levels resulting from defective protein degradation pathways play a crucial role
in PDP pathogenesis, and males were more commonly and severely affected than
females. Moreover, nearly all PDP patients suffer from refractory arthralgia.
Although several different treatment modalities are used for PDP, therapy for
this disease remains challenging. PATIENTS CONCERNS: Two cases of PDP showing
symptoms consistent with polyarthritis and arthralgia that mainly affected the
knees and ankles. DIAGNOSES: The diagnostic criteria for PDP include digital
clubbing, periostosis, and pachydermia. The 2 patients were diagnosed as PDP
based on the finger clubbing, facial cutis furrowing, knee and ankle arthritis,
and radiographic evidence of periosteal proliferation. INTERVENTIONS: Patient 1
had massive joint effusion that was treated by oral administration of etoricoxib
and aescin combined with arthroscopic synovectomy, whereas Patient 2 had mild
joint swelling and accepted only oral medication. OUTCOMES: Clinical symptoms of
the 2 patients greatly improved after the treatment. During the 1-year follow-up,
the patient experienced no adverse effects or recurrence. LESSONS: The
therapeutic results showed that oral etoricoxib could reduce inflammation and
retard progression of pachydermia, or even relieve facial skin furrowing, but had
limited efficacy for arthralgia. However, oral aescin had satisfactory efficacy
for arthralgia. Thus, etoricoxib combined with aescin is a safe and effective
treatment for PDP. Meanwhile, arthroscopic synovectomy can be used to treat joint
effusion, but had no therapeutic effect on arthralgia. Therefore, postoperative
oral medications would be needed as subsequent therapy for joint problems. In
conclusion, this study proposes an effective and safe treatment plan to address
symptoms experienced by PDP patients.
PMID- 29382006
TI - Pure acinic cell carcinoma of the breast: A case report and literature review.
AB - RATIONALE: Acinic cell carcinoma (AcCC) of the breast is a rare histological type
of malignant epithelial neoplasm exhibiting acinic cell differentiation. PATIENT
CONCERNS: A 52-year-old woman presented to the hospital with a palpable mass over
the upper outer quadrant of the right breast. DIAGNOSES: Physical examination
revealed an irregular mass in the lateral upper quadrant of the left breast,
approximately 1.5 cm in diameter. Histologically, the tumor cells were round to
oval, had displaced nuclei with striking single nucleoli and basophilic
cytoplasm, and contained large coarse cytoplasmic granules. They showed an
infiltrating growth pattern with a combination of cystic and cribriform feature.
We diagnosed the tumor as AcCC of the breast. INTERVENTIONS: The patient was
given a simple mastectomy and sentinel lymph node biopsy. After the surgery, AcCC
of the breast was confirmed histologically. OUTCOMES: The patient was symptom
free 3 months after surgery. LESSONS: AcCC of the breast is a very rare tumor,
and its prognosis appears to be good. Thus, treatment followed the guidelines for
invasive breast carcinoma and no further therapy was suggested by oncologists
based on the tumor biology.
PMID- 29382008
TI - Laparoscopic surgery assisted by colonoscopy for a submucosal cecal fecalith
presenting as acute appendicitis: A case report.
AB - RATIONALE: A cecal submucosal fecalith is extremely rare and is likely to be
misdiagnosed as appendicitis with an incarcerated fecalith. PATIENT CONCERNS:
This review presents the case of a female patient complaining of recurrent
abdominal pain in the right lower quadrant, similar to the clinical symptoms of
appendicitis. Physical examination revealed an abdominal tenderness in the right
lower quadrant without rebound tenderness or muscular tension. An ultrasound
examination found a mass located in the right lower abdomen. Computed tomography
showed a high-density shadow in the cecal cavity. DIAGNOSES: A fecalith was
detected in the submucosal cecal wall. The postoperative pathologic examination
showed that the fecalith was located in the submucosa. INTERVENTIONS: A partial
cecal excision was performed under laparoscopic surgery assisted by colonoscopy.
OUTCOMES: The patient was discharged 1 week after surgery without postoperative
complications. LESSONS: Fecaliths should be considered in the differential
diagnosis of submucosal occupying lesions of the cecum.
PMID- 29382007
TI - Concurrent injection of dexamethasone intravitreal implant and anti-angiogenic
agent in patients with macular edema: A retrospective cohort study.
AB - To evaluate the safety and efficiency in macular edema patients who concurrently
received a single injection of a dexamethasone intravitreal implant (DEX, 0.7 mg)
and ranibizumab (2.3 mg).A retrospective cohort study was conducted, and medical
records from 2012 to 2016 were reviewed. Patients who received concurrent DEX and
ranibizumab injections with a follow-up period of at least 3 months were enrolled
in the study group. An age and gender-matched group received ranibizumab
injections and was designated the control group. The best-corrected visual acuity
(BCVA), central macular thickness (CMT) and intraocular pressure (IOP) were
included in the analysis. Steroid-induced ocular hypertension (SIOH) is defined
as either an elevation of more than 10 mmHg from baseline or a single IOP
measurement of more than 30 mmHg.A total of 26 patients were enrolled in the
current study with 13 patients in each group. Both the BCVA (P = .04) and CMT (P
< .01) achieved significant improvement after the follow-up period in the study
group. The IOP increased after the injection but no significant elevation was
observed throughout the follow-up period in the study group (P = .15). For SIOH,
1 patient in the study group had an elevated IOP of 10 mmHg (7.7%) at 2
postoperative months, and no single IOP measurement of more than 30 mmHg was
obtained. Five patients (38.5%) in the study group received medical treatment
that successfully retarded their IOP elevation, and no individuals required
surgical management. In the control group, there were no significant fluctuations
concerning BCVA, CMT, and IOP, and no ocular hypertension was observed. According
to the inter-group analysis, the CMT and BCVA recovered more significantly in the
study group than in the control group.Concurrent injection of DEX and ranibizumab
is a preliminary method that shows effectiveness in treating ME. Furthermore,
safety is also guaranteed, with moderate levels of severity and transient IOP
elevation being observed. A future large-scale study is necessary to evaluate the
long-term effects and safety of this combined treatment.
PMID- 29382009
TI - Patellar tendon ossification after retrograde intramedullary nailing for distal
femoral shaft fracture: A case report and review of the literature.
AB - RATIONALE: Retrograde femoral nailing was one of the most important treatment
means for distal femoral shaft fracture. However, studies regarding heterotopic
ossification of the patellar tendon after retrograde intramedullary nailing for
distal femoral shaft fracture are limited. We herein present a rare complication,
namely heterotopic ossification of the patellar tendon, after retrograde
intramedullary nailing for displaced femoral shaft fracture. PATIENT CONCERNS: We
present a case of 25-year-old male with displaced femoral shaft fracture who was
treated by retrograde intramedullary nailing. DIAGNOSES: During the period of
follow-up, the patient developed symptomatic heterotopic ossification of the
patellar tendon with extensively hard ossification area. INTERVENTIONS: Open
surgery was recommended, but the patient has refused further treatment. OUTCOMES:
The patient resulted in pain and restricted the range of motion of the affected
knee. LESSONS: This case stresses the importance of longer-term follow-up and
further attention into the possibility of heterotopic ossification of the
patellar tendon.
PMID- 29382010
TI - CT and PET/CT findings of primary pulmonary diffuse large B-cell lymphoma: One
case report and literature review.
AB - RATIONALE: Primary pulmonary diffuse large B-cell lymphoma (PPL-DLBCL) is rare
and its clinical manifestations lack specificity. In this report, we present one
case of PPL-DLBCL with complete clinical and imaging data, including uncontrast
enhanced CT, contrast-enhanced CT, and positron emission tomography (PET)/CT.
Previous cases will also be reviewed and summarized. PATIENT CONCERNS: A 62-year
old woman was hospitalized due to a swelling pain located in the right side of
her back that persisted for >1 month. Local CT examination indicated a pulmonary
infection and anti-infective therapy was administered; however, her symptoms did
not improve. In the hospital, a lung CT scan with enhancement showed
hyperintensity of the right upper lobe (RUL), ill-defined margins, inhomogeneous
density, with air bronchograms, and mild-to-moderate enhancement. PET/CT showed a
slight hyperintensity of mass with high uptake (about 14.7 standardized uptake
value [SUV]), and no high uptake was found in other locations. INTERVENTIONS: A
CT-guided percutaneous needle biopsy with Tru-Cut needles was performed.
DIAGNOSES: The final diagnosis was PPL-DLBCL. OUTCOMES: After 4 rounds of
chemotherapy using the rituximab-cyclophosphamide hydroxydaunorubicin oncovin
prednisolone (R-CHOP) regimen, the patient's pain was significantly relieved.
LESSONS: Finally, the PPL-DLBCL manifestation was similar to other types of PPL
clinical manifestations and CT manifestations, but the PPL-DLBCL PET/CT showed an
apparent, high metabolism. CT-guided percutaneous transthoracic needle biopsy can
clearly diagnose the disease.
PMID- 29382011
TI - Biodegradable polymer drug-eluting stents versus first-generation durable polymer
drug-eluting stents: A systematic review and meta-analysis of 12 randomized
controlled trials.
AB - BACKGROUND: Even if drug-eluting stents (DES) showed beneficial effects in
patients with coronary artery diseases (CADs), limitations have been observed
with the first-generation durable polymer DES (DP-DES). Recently, biodegradable
polymer DES (BP-DES) have been approved to be used as an alternative to DP-DES,
with potential benefits. We aimed to systematically compare BP-DES with the first
generation DP-DES using a large number of randomized patients. METHODS:
Electronic databases were searched for randomized controlled trials (RCTs)
comparing BP-DES with first-generation DP-DES. The main endpoints were the long
term (>=2 years) adverse clinical outcomes that were reported with these 2 types
of DES. We calculated odds ratios (ORs) with 95% confidence intervals (CIs) and
the analysis was carried out by RevMan 5.3 software. RESULTS: Twelve trials with
a total number of 13,480 patients (7730 and 5750 patients were treated by BP-DES
and first-generation DP-DES, respectively) were included. During a long-term
follow-up period of >=2 years, mortality, myocardial infarction (MI), target
lesion revascularization (TLR), and major adverse cardiac events (MACEs) were not
significantly different between these 2 groups with OR: 0.84, 95% CI: 0.66-1.07;
P = .16, I = 0%, OR: 1.01, 95% CI: 0.45-2.27; P = .98, I = 0%, OR: 0.91, 95% CI:
0.75-1.11; P = .37, I = 0% and OR: 0.86, 95% CI: 0.44-1.67; P = .65, I = 0%,
respectively. Long-term total stent thrombosis (ST), definite ST, and probable ST
were also not significantly different between BP-DES and the first-generation DP
DES with OR: 0.77, 95% CI: 0.50-1.18; P = .22, I = 0%, OR: 0.71, 95% CI: 0.43
1.18; P = .19, I = 0% and OR: 1.31, 95% CI: 0.56-3.08; P = .53, I = 6%,
respectively. CONCLUSION: Long-term mortality, MI, TLR, MACEs, and ST were not
significantly different between BP-DES and the first-generation DP-DES. However,
the follow-up period was restricted to only 3 years in this analysis.
PMID- 29382012
TI - Coenzyme Q10 supplementation therapy for 2 children with proteinuria renal
disease and ADCK4 mutation: Case reports and literature review.
AB - RATIONALE: Mitochondrial nephropathy has a poor prognosis and often progresses to
the end-stage renal disease. Renal pathology often is focal segmental
glomerulosclerosis (FSGS) and does not respond to steroid therapy or
immunosuppressive therapy. Some patients are benefited from the therapy of
coenzyme Q10, which affect the synthesis pathway of coenzyme Q10. PATIENT
CONCERNS: Herein, we report 2 cases of children with proteinuria renal disease
with ADCK4 mutation. DIAGNOSES: Proteinuria renal disease with ADCK4 mutation.
INTERVENTIONS: Compound heterozygous mutation in ADCK4 gene were detected with
next-generation sequencing and confirmed by Sanger sequencing. Both of the
patients were given coenzyme Q10 supplementation therapy. OUTCOMES: The first
patient showed a decreased proteinuria after coenzyme Q10 supplementation
therapy, while the other was not improved. LESSONS: Based on the cases we
reported and from the literature, recognition of ADCK4 mutation through early and
accurate genetic screening could be helpful in avoiding unnecessary toxicities
and in preventing complications arising in mitochondrial nephropathy.
PMID- 29382013
TI - The pathogenesis of iodide mumps: A case report.
AB - RELATION: Iodide mumps is an uncommon condition, induced by iodide-containing
contrast, and is characterized by a rapid, painless enlargement of the bilateral
or unilateral salivary gland. At present, the pathogenesis of iodide mumps is not
yet clear. It may be related to an idiosyncratic reaction, a toxic accumulation
of iodine in the gland duct, or renal function damage leading to an iodine
excretion disorder. This paper reports the clinical manifestations and magnetic
resonance imaging results of one case of iodide mumps, which occurred after
digital subtraction angiography. PATIENT CONCERNS: A 66-year-old Chinese man
presented to our department with a 1-month speech barrier and 1 day of vomiting.
He had the history of high blood sugar, the history of high blood pressure and
the history of Vitiligo. He had no history of allergies and had never previously
received iodide-containing contrast. His renal function and other laboratory
examinations were normal. During the digital subtraction angiography (DSA), the
patient received approximately 130 mL of nonionic contrast agent (iodixanol).
Five hours postsurgery, the patient experienced bilateral parotid enlargement
with no other discomfort, such as pain, fever, skin redness, itching, hives,
nausea, vomiting, or respiratory abnormalities. DIAGNOSES: We thought the
diagnosis was iodide mumps. INTERVENTION: Intravenous dexamethasone (5 mg) was
administered. OUTCOME: 20 hours post-DSA, after which the bilateral parotid
shrunk. By 4 days postsurgery, the patient's bilateral parotid had recovered
completely. LESSONS: We found no obvious abnormal sequence signal in diffusion
magnetic resonance imaging or the corresponding apparent diffusion coefficient.
Our findings suggest that vasogenic edema may play an important role in the
pathogenesis of iodide mumps.
PMID- 29382014
TI - Calcifying fibrous tumor of stomach: A case report.
AB - RATIONALE: Calcifying fibrous tumor (CFT) is a rare benign soft tissue
mesenchymal neoplasm. Although the gastrointestinal (GI) tract is the most common
predilection site of CFT, the clinicians, even including pathologist, generally
consider it as GI stromal tumor (GIST) or other submucosal tumors such as
schwannoma and leiomyoma. PATIENT CONCERNS: A 55-year-old man presented with
complaints of epigastric discomfort and abdominal distention for more than 1
year. DIAGNOSES: On the basis of endoscopic and computed tomography examination,
preliminary diagnosis was GIST. INTERVENTIONS: Endoscopic submucosal dissection
(ESD) surgery was performed to remove the gastric mass. OUTCOMES: The
histopathological examination revealed a gastric CFT. LESSONS: We present a case
of gastric CFT, which was misdiagnosed as GIST based on endoscopic and radiologic
findings.
PMID- 29382015
TI - Acute myocardial infarction in a young woman with ulcerative colitis: A case
report and literature review.
AB - RATIONALE: Myocardial infarction due to nonatherosclerotic coronary thrombosis in
young woman with ulcerative colitis is rare. PATIENT CONCERNS: A 23-year-old
Chinese woman with a 3-year history of ulcerative colitis was admitted to the
coronary care unit due to prolonged chest pain. DIAGNOSES: Myocardial infarction
due to nonatherosclerotic coronary thrombosis was diagnosed in this young woman.
LESSONS: Coronary artery thrombosis in ulcerative colitis is a serious condition
and can occur in the young population.
PMID- 29382016
TI - Fatal pulmonary thromboembolism after Achilles tendon open repair: A rare case
report.
AB - RATIONALE: The operative procedure for Achilles tendon rupture is relatively
simple, but venous thromboembolism is serious complication with a high incidence
after Achilles tendon rupture. However, the guideline for thromboprophylaxis in
Achilles tendon rupture is unclear. PATIENT CONCERNS: The patient was 32-year-old
male and underwent Achilles tendon open repair surgery. He was healthy and there
are no abnormal findings other than Achilles tendon rupture. At 3 weeks after
operation, the episode of loss of consciousness with convulsive movement
occurred. The next day, suddenly cardiac arrest occurred. DIAGNOSES: Extensive
pulmonary thromboembolism in both pulmonary arteries was identified in chest
computed tomography and thrombus was also identified at the left popliteal vein
on ultrasonography. INTERVENTION: Anticoagulant therapy with heparin sodium was
performed to manage the pulmonary thromboembolism. OUTCOMES: Brain swelling after
ischemic brain damage, acute kidney injury, and pneumonia gradually occurred and
aggravated. His condition became worse and he died about 2 weeks after the
cardiac arrest episode. LESSONS: Although the incidence of venous thromboembolism
in Achilles tendon rupture is higher than that in lower leg injury patients,
guideline for thromboprophylaxis is unclear. We suggest that thromboprophylaxis
for Achilles tendon rupture should be considered and appropriate guidelines
should be established.
PMID- 29382017
TI - Accuracy of pleth variability index compared with inferior vena cava diameter to
predict fluid responsiveness in mechanically ventilated patients.
AB - In the intensive care unit (ICU), stable hemodynamics are very important.
Hemodynamic intervention is often effective against multiple organ failure, such
as in tissue hypoxia and shock. The administration of intravenous fluids is the
first step in regulating tissue perfusion.The main objective of this study is to
compare the performance between 2 methods namely pleth variability index (PVI)
and IVC distensibily index (dIVC).In this study, the hemodynamic measurements
were performed before and after passive leg raising (PLR). Measurements were
obtained, including, PVI, dIVC, and cardiac index (CI). Both CI and dIVC
measurements were evaluated by transesophageal probe and convex probe
respectively. The dIVC measurements were taken using M-mode, 2 cm from junction
between the right atrium and the inferior vena cava. The PVI was measured by
Masimo Radical-7 monitor, Masimo.A total of 72 patients were included. The dIVC
at a threshold value of >23.8% provided 80% sensitivity and 87.5% specificity to
predict fluid responsiveness and was statistically significant (P < .001), with
an AUC 0.928 (0.842-0.975). The PVI at a threshold value of >14% provided 95%
sensitivity and 81.2% specificity to predict fluid responsiveness and was
statistically significant (P < .001), with an AUC 0.939 (0.857-0.982).Both PVI
and dIVC can be used as a noninvasive method that can be easily applied at the
bedside in determining fluid responsiveness in all patients with mechanical
ventilation in intensive care.
PMID- 29382018
TI - Epidemiological association of olfactory dysfunction with hearing loss and
dysphonia in the Korean population: A cross-sectional study.
AB - The aim of the study is to investigate the association between olfactory
dysfunction (OD), hearing loss, and dysphonia.The cross-sectional data for 17,984
adults who completed the Korea National Health and Nutrition Examination Surveys
(2010-12) were analyzed. OD, hearing loss, and dysphonia were assessed using self
reporting questionnaires. The association of OD with hearing loss and dysphonia
was evaluated.Hearing loss and dysphonia were significantly more prevalent in
patients with OD than in those without OD (hearing loss, 28.1% vs 11.3%;
dysphonia, 11.1% vs 5.9%; both P < .0001). After adjusting for confounders,
including mental stress and metabolic syndrome, the risk of OD was significantly
associated with hearing loss and dysphonia, and was greater in those with
combined hearing loss and dysphonia than in both patients without these
dysfunctions and in those with a single dysfunction (odds ratio 3.115, 95%
confidence interval 1.973-4.917).OD was significantly associated with hearing
loss and dysphonia.
PMID- 29382019
TI - Infant Central Nervous System Aspergillosis with First-episode of Intracranial
Hemorrhage: A case report.
AB - RATIONALE: Central nervous system (CNS) aspergillosis has the characteristics of
multifocality, polymorphism, and coexistence of pathological types, and missed
diagnosis and misdiagnosis frequently occur at the initial stage. The thesis
reports a rare case of infant infection of CNS aspergillosis with the first
episode of intracranial hemorrhage. PATIENT CONCERNS: An 11-month-old female
infant suffered convulsion and coma two days after the onset of fever and emesis.
Its cranial computed tomography (CT) displayed subdural hemorrhage in the left
tentorium cerebelli and tests indicated normal cerebrospinal fluid (CSF). Three
days after being hospitalized, the infant had difficulty breathing and its CT
presents consolidation in the right lung. However, treatment with ceftriaxone
(ivgtt) had no effect on the baby. DIAGNOSIS: The patient's bronchoalveolar
lavage fluid (BALF) was cultured into Aspergillus spp, its galactomannan (GM)
antigen in CSF counted 3.0, higher than that in BALF which counted 2.6, and
cranial magnetic resonance imaging (MRI) revealed multiple ring reinforced
tubercles in sulci. Hence it was clinically diagnosed with CNS aspergillosis.
INTERVENTIONS: Voriconazole for intravenous injection. After the intravenous
injection, its trough concentration was 4.2 MUg/mL, and it was within the
recommended range. OUTCOMES: After one week's treatment with voriconazole, the
infant's consciousness was improved. Four weeks later, with normothermia and
clear consciousness, the patient was discharged. With oral administration of
voriconazole up to 16 weeks, its physical state suggests no relapse and cranial
MRI indicated disappearance of nodules in sulci. LESSONS: CNS aspergillosis with
first-episode of intracranial hemorrhage probably leads to misdiagnosis and GM
test combined with cranial MRI can augment its accuracy in the early diagnosis.
PMID- 29382020
TI - Failed percutaneous kyphoplasty in treatment of stage 3 Kummell disease: A case
report and literature review.
AB - RATIONALE: Albeit it is rare, the authors report a stage 3 Kummell disease case.
It is diagnosed by dynamic thoracic magnetic resonance imaging (MRI). Because
there is no established strategy on stage 3 Kummell disease, we performed
percutaneous kyphoplasty at first, but unfortunately made a revision surgery to
remove the polymethylmethacrylate (PMMA) cement after the failure of percutaneous
kyphoplasty. PATIENT CONCERNS: A 73-year-old lady with severe back pain due to
osteoporosis vertebral fracture was admitted to our hospital on June 23, 2016.
She underwent percutaneous kyphoplasty with the back pain improved shortly.
Unfortunately, she went back to our hospital due to aggravated back pain and
partial paralysis on July 25, 2016. DIAGNOSES: Kummell disease, lumbar stenosis,
lacunar infarction. OUTCOMES: Specially, the compression of spinal cord was
obvious in the hyperflexion position on dynamic MRI. Even though there was no
improvement in muscle strength, the patient was satisfied with the back pain
relief after percutaneous kyphoplasty. But, the same back pain reappeared after
about 1 month. Then, we took out the PMMA cement and performed posterior
vertebral column resection. At last, the back pain was relieved again but the
muscle strength was improved not obviously after operation. At the same time, the
kyphosis was corrected and the intravertebral stability was achieved. LESSONS:
Dynamic MRI is helpful in Kummell disease with neurologic symptoms, even if there
is no obvious compression in the neutral position. Furthermore, the
intravertebral instability is probably the main reason of the neurologic symptoms
in this case. Percutaneous kyphoplasty could not reconstruct the intravertebral
stability. Stage 3 Kummell disease with obvious intravertebral instability should
be treated by open surgery.
PMID- 29382021
TI - Altered effective connectivity of resting state networks by acupuncture
stimulation in stroke patients with left hemiplegia: A multivariate granger
analysis.
AB - The aim of this study was to explore the response feature of resting-state
networks (RSNs) of stroke patients with left hemiplegia by acupuncture
stimulation.Nineteen stroke patients with left hemiplegia and 17 controls were
recruited in this study. Resting-state functional magnetic resonance imaging data
before and after acupuncture were acquired using magnetic scanning. The
independent component analysis (ICA) was employed to extract RSNs related to
motion, sensation, cognition, and execution, including sensorimotor network
(SMN), left and right frontoparietal network (LFPN and RFPN), anterior and
posterior default mode network (aDMN, pDMN), visual network (VN), and salience
network (SN). Granger causality method was used to explore how acupuncture
stimulation affects the causality between intrinsic RSNs in stroke patients.
Compared with healthy subjects, stroke patients presented the more complex
effective connectivity. Before acupuncture stimulation, LFPN inputted most
information from other networks while DMN outputted most information to other
networks; however, the above results were reversal by acupuncture. In addition,
we found aDMN reside in between SMN and LFPN after acupuncture.The finding
suggested that acupuncture probably integrated the effective connectivity
internetwork by modulating multiple networks and transferring information between
LFPN and SMN by DMN as the relay station.
PMID- 29382022
TI - Optimum end-tidal concentration of sevoflurane to facilitate supraglottic airway
device insertion with propofol at induction allowing spontaneous respiration in
obese patients: A prospective observational study.
AB - Obese patients are more likely to encounter with difficult airway management, and
supraglottic airway device has been adopted to facilitate tracheal intubation.
The optimum anesthetic concentration for obese patients to insert a supraglottic
airway device with spontaneous respiration has not been investigated. This study
was designed to determine the end-tidal concentration of sevoflurane that would
provide acceptable condition for supraglottic airway device insertion with
propofol at induction in obese patients without using neuromuscular
blockade.Thirty elective obese patients [body mass index (BMI) 30-50 kg/m]
scheduled for bariatric surgery were enrolled in this study. Sevoflurane was
inhaled at a concentration of 5% after infusion of 1 mg/kg propofol (within 1
minute) according to lean body weight. The target concentration of sevoflurane
was initiated at 2.5% with 0.5% as a step size using a modified Dixon up-and-down
method. Five minutes after target concentration achieved, the insertion of
supraglottic airway device was attempted.The minimum alveolar concentration of
sevoflurane for successful insertion of supraglottic airway device calculated
using up-and-down method were 2.25 (0.53) % for obese patients. The values of the
effective concentration of sevoflurane for successful supraglottic airway device
insertion in 50% (EC50) and 95% (EC95) of the obese patients obtained by probit
regression analysis were 2.09% (95% confidence interval 1.48-2.68) and 3.31% (95%
confidence interval 2.70-8.12), respectively.We conclude that sevoflurane at a
minimum alveolar concentration of 2.25% can provide optimal conditions for
insertion of supraglottic airway device with spontaneous respiration in obese
patients with 1 mg/kg propofol at induction.
PMID- 29382023
TI - Case report: remarkable remission of SAPHO syndrome in response to Tripterygium
wilfordii hook f treatment.
AB - RATIONALE: SAPHO (synovitis, acne, pustulosis, hyperostosis, and osteitis)
syndrome is an autoinflammatory disease with no standardized treatment.
Tripterygium wilfordii hook f (TwHF) is a Chinese herb with immunosuppressive
effects and has been used to treat some chronic inflammatory diseases. However,
it has not been reported as a therapeutic option in SAPHO syndrome. Here we
present the first report in which a remarkable remission of SAPHO syndrome was
achieved in response to TwHF. PATIENT CONCERNS: A 57-year-old female patient
noted swelling and pain at the anterior chest wall and scattered rashes like
psoriasis vulgaris. Bone scintigraphy demonstrated the classic "bull's head" sign
and magnetic resonance images indicated bone marrow edema on T5. DIAGNOSES: The
diagnosis was made by dermatological and osteoarticular manifestations and
classical "bull's head" sign in bone scintigraphy. INTERVENTIONS: TwHF with a
priming dose of 20 mg 3 times per day and a gradual dose reduction of 20 mg per
day in every 3 months. Four months later, methotrexate was added with 10 mg per
week. OUTCOMES: Osteoarticular symptoms and radiological abnormalities were
improved dramatically. LESSONS: This case illustrates a promising strategy to
treat SAPHO syndrome.
PMID- 29382024
TI - Fimasartan-induced liver injury in a patient with no adverse reactions on other
types of angiotensin II receptor blockers: A case report.
AB - RATIONALE: Angiotensin II receptor blockers (ARBs) are widely used for patients
with hypertension, and fimasartan is a recently approved ARBs. Fimasartan can
cause headache, dizziness, itching, and coughing. There have been several reports
of hepatotoxicity in ARBs. However, there have not yet been published reports of
the hepatotoxicity of fimasartan. PATIENT CONCERNS: A 73-year-old man with
hypertension experienced liver injury after fimasartan administration. He had a
previous history of taking 3 types of ARBs each for more than 2 years before
taking fimasartan, and there were no side effects on ARBs except for fimasartan.
DIAGNOSES: Other factors that could cause liver injury were excluded in
diagnostic tests, and fimasartan was suspected to be the causative agent.
INTERVENTION: Fimasartan was immediately discontinued and the patient was managed
with supportive care via hepatotonics. DIAGNOSES: Other factors that could cause
liver injury were excluded in diagnostic tests, and fimasartan was suspected to
be the causative agent. OUTCOME: The liver injury due to fimasartan was confirmed
by histology and accidental redosing. LESSONS: We emphasize that liver function
should be monitored during fimasartan administration because fimasartan may cause
hepatotoxicity in patients who have no side effects with other types of ARBs. And
fimasartan-induced liver injury may appear later than other ARBs.
PMID- 29382026
TI - A case report of tongue metastasis from lung squamous cell carcinoma and
literature review: Erratum.
PMID- 29382027
TI - Candida vertebral osteomyelitis (CVO) 28 cases from a 10-year retrospective study
in France: Erratum.
PMID- 29382025
TI - Wendan decoction for primary insomnia: Protocol for a systematic review and meta
analysis.
AB - BACKGROUND: Insomnia is a widespread complaint in the general population. Wendan
decoction has been widely applied in the treatment of primary insomnia. However,
to our knowledge, there has been no systematic review or meta-analysis of
randomized controlled trails regarding the effectiveness of this treatment. Here,
we provide a protocol to evaluate the efficacy and safety of Wendan decoction for
primary insomnia. METHODS AND ANALYSIS: Relevant randomized controlled trials in
5 English databases [EMBASE, the Cochrane Central Register of Controlled Trials
(Cochrane Library), PubMed, the Allied and Complementary Medicine Databases
(AMED), and the Cumulative Index to Nursing and Allied Health Literature
(CINAHL)], 4 Chinese databases [Chinese Biomedical Literature Database (CBM),
Chinese Medical Current Content (CMCC), China National Knowledge Infrastructure
(CNKI), and Wanfang Database] will be comprehensively searched by 2 researchers
in October 2017. The therapeutic effects according to the Pittsburgh Sleep
Quality Index (PSQI) will be accepted as the primary outcomes. We will use RevMan
V.5.3 software as well to compute the data synthesis carefully when a
metaanalysis is allowed. RESULTS: This study will provide a high-quality
synthesis of current evidence of Wendan decoction for primary insomnia from
several aspects including PSQI, the total scores of the Insomnia Severity Index
(ISI), syndrome according to standards for assessing Traditional Chinese medicine
and adverse events. CONCLUSION: The conclusion of our systematic review will
provide evidence to judge whether Wendan decoction is an effective intervention
for patient with primary insomnia. ETHICS AND DISSEMINATION: The outcomes of this
systematic review will offer implications of the use of Wendan decoction
treatment for primary insomnia patients. This knowledge informing recommendations
will be provided by researchers who are interested in the treatment of primary
insomnia. The results of this study will be disseminated through presentation at
a conference and publication of the data in a peer-reviewed journal. PROSPERO
REGISTRATION NUMBER: PROSPERO CRD 42017065664.
PMID- 29382028
TI - Intraoperative conversion of artificial cervical disc replacement to anterior
cervical discectomy and fusion for iatrogenic fracture: A rare case report.
AB - RATIONALE: Artificial cervical disc replacement (ACDR) has been reported to be an
effective and safe surgical treatment for cervical spondylosis. However,
iatrogenic fracture during ACDR surgery has rarely been reported. Considering its
infrequency, we report a rare clinical case, with the aim of sharing our
experience and exploring when to convert ACDR to fusion intraoperatively.
PATIENTS CONCERNS: A 47-year-old woman felt neck pain with weakness and numbness
in both upper limbs for 5 months. DIAGNOSES: The patient had received discectomy
and fusion procedure on C3/4 and C5/6 to relieve her radicular pain nearly 4
years prior. Neurologic examination revealed a diminished sensation at the C6-C8
skin areas and level Grade-4 power in the left wrist extensor and grip muscle
strength. Magnetic resonance imaging (MRI) showed disc herniation compressing the
spinal cord at C6/7. Computed tomography (CT) scans showed intervertebral fusion
in both the C3/4 and C5/6 segments. INTERVENTIONS: Surgery was performed to
remove the plate and replace C6/7 with an artificial disc, but a transverse
cleavage endplate cortical fracture was detected after cutting the blades. Thus,
we terminated artificial cervical disc replacement intraoperatively and changed
to discectomy and fusion in C6/7. OUTCOMES: The patient's symptoms were
remarkably relieved. Postoperative CT scans showed a transverse cleavage fracture
in the backside of the C6 caudal endplate. Good fusion was achieved after 6
months. LESSONS: Iatrogenic fracture during ACDR is rare but does occur in clinic
practice. Complete examination and an alternative approach determined before
surgery are important for operative safety. Anterior cervical discectomy and
fusion (ACDF) is recommended as a feasible remedial surgical strategy if ACDR
fails intraoperatively.
PMID- 29382029
TI - Acute stent thrombosis after stent-assisted coiling in an intracranial aneurysm
patient carrying two reduced-function CYP2C19 alleles: A case report.
AB - RATIONALE: Stent thrombosis (ST) remains a thorny issue in spite of dual
antiplatelet treatment with aspirin plus clopidogrel after stent-assisted coiling
(SAC). We report a first case of acute ST after SAC in an intracranial aneurysm
(IA) patient who carries two reduced-function CYP2C19 alleles. PATIENT CONCERNS:
A 43-year-old Chinese male carrying two reduced-function CYP2C19 alleles was
treated with a loading dose of clopidogrel 300 mg and aspirin 300 mg before SAC.
Unfortunately, life-threatening ST appeared 0.5 h later after SAC. INTERVENTIONS:
A total of 100000U of urokinase was used to dissolve ST. Meanwhile, tirofiban and
nodroparin was also administrated to prevent recurrent thrombotic events.
OUTCOMES: A repeated angiography demonstrated a successful reperfusion after
thrombolytic treatment. LESSONS: The present case demonstrates that CYP2C19
allele carriers may lead to a suppressed antiplatelet effect of clopidogrel and a
high risk of ST in the meantime. Therefore, CYP2C19 genetic testing seems to be
able to identify patients-at-risk and optimal antiplatelet treatment should be
considered in these fragile populations.
PMID- 29382030
TI - A recurrence-free survivor with chemotherapy-refractory small cell lung cancer
after pneumonectomy: A case report and review of the literature.
AB - RATIONALE: The optimal therapeutic regimen for chemotherapy-refractory and node
positive small-cell lung cancer (SCLC) is criticizable for the lack of evidence.
PATIENT CONCERNS: A patient with locally advanced SCLC was insensitive to the
first-line chemotherapy of etoposide, irinotecan, and cisplatin. DIAGNOSES: The
patient was diagnosed as SCLC with mediastinal lymph node metastasis by
pathological staining. INTERVENTIONS: Salvage pneumonectomy and systematic lymph
node dissection combined with oral apatinib and mediastinal radiotherapy were
performed for him. OUTCOMES: The patient survived for more than 2 years without
recurrence after the operation and adjuvant therapy. LESSONS: For patients with
chemotherapy-resistant but resectable SCLC, a timely resection combined with
postoperative radiotherapy and apatinib might be effective.
PMID- 29382031
TI - Anterior scleritis following intravitreal injections in a patient with rheumatoid
arthritis: A case report.
AB - RATIONALE: Surgically induced scleritis is a rare complication following
ophthalmologic surgery such as cataract surgery, pterygium excision, strabismus
surgery, and retinal detachment repair. Rheumatoid arthritis (RA) is the
connective tissue disease most commonly associated with scleritis. PATIENT
CONCERNS: A 70-year-old woman visited our clinic with complaint of visual
disturbance, ocular pain, and conjunctival injection in her right eye of 1
month's duration. She had a stable state of rheumatoid factor positive RA and had
a history of multiple intravitreal injections placed in the symptomatic right eye
due to age-related macular degeneration. DIAGNOSES: Anterior scleritis induced by
multiple intravitreal injections. INTERVENTIONS: Topical and systemic steroids
were administered. OUTCOMES: Her symptoms and signs were relieved and no
significant recurrence has been occurred with the maintenance of low dose oral
steroid. LESSONS: Surgically induced scleritis can also be induced by not only
major surgical trauma but also by relatively minor trauma such as intravitreal
injection (especially in patients who have connective tissue disease such as RA).
PMID- 29382032
TI - A rare case of cavitary lung cancer complicated with mycotic pneumonia and
bullous emphysema: A case report and review of the literature.
AB - RATIONALE: The accurate diagnosis and staging of cavitary lung cancer is
challenging but essential for the choice of therapy; therefore, the differential
diagnosis of cystic pulmonary lesions needs to be elucidated. PATIENT CONCERNS: A
patient was admitted with multifocal thin-walled cystic lesions in chest computed
tomography. DIAGNOSES: The patient had been diagnosed as heterogeneous bullous
emphysema pathologically about 3 years ago. His diagnosis turned out to be
metastatic cavitary lung cancer complicated with fungal pneumonia this time.
INTERVENTIONS: The patient underwent lung volume reduction surgery during his
first hospitalization. Concurrent systemic chemotherapy and whole brain
radiotherapy were administered after the diagnosis of cystic lung cancer.
OUTCOMES: The patient was lost to follow-up after the chemoradiotherapy. LESSONS:
Cavitary lung cancer should always be kept in mind during differential diagnosis
of pulmonary cystic lesions. Pathological diagnosis by biopsy and surgery could
be considered to avoid delayed treatment of malignancy.
PMID- 29382033
TI - Efficacy and safety of chemoradiation therapy compared with chemotherapy for
esophageal carcinoma: An updated meta-analysis of randomized controlled trials.
AB - BACKGROUND: The role of the chemoradiation therapy (CRT) and chemotherapy (CT) in
the treatment of esophageal carcinoma (EC) remains controversial. Therefore, we
conducted this meta-analysis to compare the efficacy and safety of CRT with CT in
the treatment of EC patients. METHODS: PubMed, Embase, Web of Science, and The
Cochrane library were systematically reviewed for randomized controlled trials
(RCTs) that compared CRT with CT. Outcomes included overall survival (OS),
progression-free survival (PFS), pathological complete response (pCR), R0
resection, recurrence rate, mortality rate, and adverse events. Pooled estimates
were expressed with hazard ratio (HR) with 95% confidence intervals (95% CIs) and
risk ratio (RR) with 95% CIs. RESULTS: Eight RCTs involving 1274 patients were
included in this meta-analysis. Compared with CT, CRT was not associated with
significantly improved OS (HR = 0.91, 95% CI: 0.82, 1.01; P = .072) and PFS (RR =
3.62, 95% CI: 1.10, 11.95; P = .035). The pCR rate and R0 resection rate were
significant higher in the CRT group than that in the CT group (RR = 3.62, 95% CI:
1.10, 11.95, P = .035; RR = 1.18, 95% CI: 1.09, 1.27, P < .001; respectively). EC
patients who received CRT had a higher mortality rate (RR = 2.50, 95% CI: 1.14,
5.48; P = .022) than those treated with CT, and the incidence of grade 3 or 4
adverse events was similar between the 2 groups (RR = 0.91, 95% CI: 0.62, 1.32; P
= .612). CONCLUSION: On the basis of the current evidence, our results suggested
that CRT seemed to have benefit in the radical resection, but no effect in the
survival benefits. Further large-scale, well-conducted RCTs are needed to verify
our findings.
PMID- 29382034
TI - Characterisation of Polyphenol-Containing Extracts from Stachys mucronata and
Evaluation of Their Antiradical Activity.
AB - Background: The aromatic plant Stachys mucronata (Lamiaceae) is endemic to the
island of Crete (southern Greece), but as opposed to other native Greek members
of this family, this species has never been investigated in the past with regard
to its polyphenolic composition and antioxidant potency. Methods: Aerial parts of
S. mucronata were exhaustively extracted and partly fractionated through
partition, using n-butanol and dichloromethane. Results: Following an initial
examination, which consisted of estimating the total polyphenol content and the
antiradical activity, the n-butanol extract was found to be by far the richest in
polyphenols, exhibiting much stronger antiradical activity compared with the
dichloromethane counterpart. On this basis, the n-butanol extract was analysed by
liquid chromatography-diode array-mass spectrometry, to tentatively characterise
the principal polyphenolic components, which were shown to be flavonol but mainly
flavone derivatives. Conclusions: The most potent radical-scavenging compounds
were detected in the n-butanol fraction of the extracts, suggesting that the most
active antioxidants in S. mucronate are relatively polar. The analyses suggested
the major constituents to be derivatives of the flavone luteolin, accompanied by
apigenin analogues, as well as flavonol glycosides and chlorogenate conjugates.
PMID- 29382036
TI - Botulinum Toxin A for Sialorrhoea Associated with Neurological Disorders:
Evaluation of the Relationship between Effect of Treatment and the Number of
Glands Treated.
AB - Background: Sialorrhoea and drooling are disabling manifestations of different
neurological disorders. The aim of this study was to evaluate the effects of
botulinum neurotoxin type A (BoNT/A) injection on hypersalivation in 90 patients
with neurological diseases of different aetiologies, and to define the minimum
number of injected salivary glands to reduce sialorrhoea. Determining the minimum
number of glands that need to be engaged in order to have a significant reduction
in drooling may be very useful for establishing the minimum total dosage of
BoNT/A that may be considered effective in the treatment of hypersalivation.
Methods: Twenty-five mouse units (MU) of BoNT/A (onabotulinumtoxin A, Botox;
Allergan, Irvine, CA, USA; 100 MU/2 mL, 0.9% saline; or incobotulinumtoxin A,
Xeomin; Merz Pharma, Germany; 100 MU/2 mL, 0.9% saline) were percutaneously
injected into the parotid (p) glands and/or submandibular (s) glands under
ultrasound control. On this basis, patients were divided into three groups. In
group A (30 patients), BoNT/A injections were performed into four glands; in
group B (30 patients), into three glands, and in group C (30 patients), into two
glands. Patients treated in three glands (group B) were divided into two
subgroups based on the treated glands (2 p + 1 s = 15 patients; 2 s + 1 p = 15
patients). Similarly, patients being injected in two glands (group C) were
subdivided into three groups (2 p = 10 patients; 1 p + 1 s = 10 patients; 2 s =
10 patients). In patients who were injected in three and two salivary glands,
saline solution was injected into the remaining one and two glands, respectively.
Assessments were performed at baseline and at 2 weeks after the injections.
Results: BoNT/A significantly reduced sialorrhoea in 82 out of 90 patients. The
effect was more evident in patients who had four glands injected than when three
or two glands were injected. The injections into three glands were more effective
than injections into two glands. Conclusions: Our results have shown that BoNT/A
injections induced a significant reduction in sialorrhoea in most patients (91%).
In addition, we demonstrated that sialorrhoea associated with different
neurological diseases was better controlled when the number of treated glands was
higher.
PMID- 29382035
TI - Corylin Suppresses Hepatocellular Carcinoma Progression via the Inhibition of
Epithelial-Mesenchymal Transition, Mediated by Long Noncoding RNA GAS5.
AB - Corylin is a flavonoid extracted from the nuts of Psoralea corylifolia L.
(Fabaceae), which is a widely used anti-inflammatory and anticancer herb in
China. Recent studies revealed antioxidant, anti-inflammatory, and bone
differentiation-promoting effects of corylin. However, there are no studies
examining the anticancer activity of corylin. In this study, we used cells and
animal models to examine the antitumor effects of corylin on hepatocellular
carcinoma (HCC) and then studied its downstream regulatory mechanisms. The
results showed that corylin significantly inhibited the proliferation, migration,
and invasiveness of HCC cells and suppressed epithelial-mesenchymal transition.
We found that the anti-HCC mechanism of corylin's action lies in the upregulation
of tumor suppressor long noncoding RNA growth arrest-specific transcript 5 (GAS5)
and the activation of its downstream anticancer pathways. In animal experiments,
we also found that corylin can significantly inhibit tumor growth without
significant physiological toxicity. The above results suggest that corylin has
anti-HCC effects and good potential as a clinical treatment.
PMID- 29382037
TI - Resuspendable Powders of Lyophilized Chalcogen Particles with Activity against
Microorganisms.
AB - Many organic sulfur, selenium and tellurium compounds show considerable activity
against microorganisms, including bacteria and fungi. This pronounced activity is
often due to the specific, oxidizing redox behavior of the chalcogen-chalcogen
bond present in such molecules. Interestingly, similar chalcogen-chalcogen motifs
are also found in the elemental forms of these elements, and while those
materials are insoluble in aqueous media, it has recently been possible to unlock
their biological activities using naturally produced or homogenized suspensions
of respective chalcogen nanoparticles. Those suspensions can be employed readily
and often effectively against common pathogenic microorganisms, still their
practical uses are limited as such suspensions are difficult to transport, store
and apply. Using mannitol as stabilizer, it is now possible to lyophilize such
suspensions to produce solid forms of the nanoparticles, which upon resuspension
in water essentially retain their initial size and exhibit considerable
biological activity. The sequence of Nanosizing, Lyophilization and Resuspension
(NaLyRe) eventually provides access to a range of lyophilized materials which may
be considered as easy-to-handle, ready-to-use and at the same time as
bioavailable, active forms of otherwise insoluble or sparingly substances. In the
case of elemental sulfur, selenium and tellurium, this approach promises wider
practical applications, for instance in the medical or agricultural arena.
PMID- 29382038
TI - Extended Duration Vascular Endothelial Growth Factor Inhibition in the Eye:
Failures, Successes, and Future Possibilities.
AB - Vascular endothelial growth factor (VEGF) plays a pivotal role in the development
of neovascularization and edema from several common chorioretinal vascular
conditions. The intravitreally injected drugs (aflibercept, bevacizumab,
conbercept, pegaptanib, and ranibizumab) used to treat these conditions improve
the visual acuity and macular morphology in most patients. Monthly or bimonthly
injections were administered in the phase III pivotal trials but physicians
usually individualize therapy with pro re nata (PRN) or treat and extend
regimens. Despite these lower frequency treatment regimens, frequent injections
and clinic visits are still needed to produce satisfactory outcomes. Newly
developed drugs and refillable reservoirs with favorable pharmacokinetic profiles
may extend durations of action and require fewer office visits. However, we have
learned from previous experiences that the longer durations of action seen in
strategically designed phase III trials often do not translate to less frequent
injections in real-life clinical practice. Unfortunately, long-acting therapies
that produce soluble VEGF receptors (encapsulated cell technology and adenovirus
injected DNA) have failed in phase II trials. The development of longer duration
therapies remains a difficult and frustrating process, and frequent drug
injections are likely to remain the standard-of-care for years to come.
PMID- 29382039
TI - A Low-Cost Data Acquisition System for Automobile Dynamics Applications.
AB - This project addresses the need for the implementation of low-cost acquisition
technology in the field of vehicle engineering: the design, development,
manufacture, and verification of a low-cost Arduino-based data acquisition
platform to be used in <80 Hz data acquisition in vehicle dynamics, using low
cost accelerometers. In addition to this, a comparative study is carried out of
professional vibration acquisition technologies and low-cost systems, obtaining
optimum results for low- and medium-frequency operations with an error of 2.19%
on road tests. It is therefore concluded that these technologies are applicable
to the automobile industry, thereby allowing the project costs to be reduced and
thus facilitating access to this kind of research that requires limited
resources.
PMID- 29382040
TI - Sesquiterpene Lactones from Vernonia cinerascens Sch. Bip. and Their in Vitro
Antitrypanosomal Activity.
AB - In the endeavor to obtain new antitrypanosomal agents, particularly sesquiterpene
lactones, from Kenyan plants of the family Asteraceae, Vernonia cinerascens Sch.
Bip. was investigated. Bioactivity-guided fractionation and isolation in
conjunction with LC/MS-based dereplication has led to the identification of
vernodalol (1) and isolation of vernodalin (2), 11beta,13-dihydrovernodalin (3),
11beta,13-dihydrovernolide (4), vernolide (5), 11beta,13-dihydrohydroxyvernolide
(6), hydroxyvernolide (7), and a new germacrolide type sesquiterpene lactone
vernocinerascolide (8) from the dichloromethane extract of V. cinerascens leaves.
Compounds 3-8 were characterized by extensive analysis of their 1D and 2D NMR
spectroscopic and HR/MS spectrometric data. All the compounds were evaluated for
their in vitro biological activity against bloodstream forms of Trypanosoma
brucei rhodesiense and for cytotoxicity against the mammalian cell line L6.
Vernodalin (2) was the most active compound with an IC50 value of 0.16 uM and a
selectivity index of 35. Its closely related congener 11beta,13-dihydrovernodalin
(3) registered an IC50 value of 1.1 uM and a selectivity index of 4.2.
PMID- 29382041
TI - Association of Circulating Vitamin E (alpha- and gamma-Tocopherol) Levels with
Gallstone Disease.
AB - In addition to well-established risk factors like older age, female gender, and
adiposity, oxidative stress may play a role in the pathophysiology of gallstone
disease. Since vitamin E exerts important anti-oxidative functions, we
hypothesized that circulating vitamin E levels might be inversely associated with
prevalence of gallstone disease. In a cross-sectional study, we measured plasma
levels of alpha- and gamma-tocopherol using high performance liquid
chromatography in a community-based sample (582 individuals; median age 62 years;
38.5% women). Gallstone disease status was assessed by ultrasound. Multivariable
adjusted logistic regression models were used to estimate the association of
circulating alpha- and gamma-tocopherol/cholesterol ratio levels with prevalent
gallstone disease. Lower probabilities of having gallstone disease were observed
in the top (compared to the bottom) tertile of the plasma alpha
tocopherol/cholesterol ratio in multivariable-adjusted models (OR (Odds Ratio):
0.31; 95% CI (Confidence Interval): 0.13-0.76). A lower probability of having
gallstone disease was also observed for the gamma-tocopherol/cholesterol ratio,
though the association did not reach statistical significance (OR: 0.77; 95% CI:
0.35-1.69 for 3rd vs 1st tertile). In conclusion, our observations are consistent
with the concept that higher vitamin E levels might protect from gallstone
disease, a premise that needs to be further addressed in longitudinal studies.
PMID- 29382043
TI - Phase Transformation Induced Self-Healing Behavior of Al-Ag Alloy.
AB - Self-healing alloys are promising materials that can decrease the consequences of
accidents. To detect crack formation in a material is simple task that can be
performed by e.g., sonic or ultrasound detection, but it is not always possible
to immediately replace the damaged parts. In this situation, it is very
advantageous to have the chance to heal the crack during operation, which can be
done e.g., by annealing. In this paper, self-healing behavior was proven by TEM
(Transmission electron microscope) observation of crack healing after annealing.
The crack was observed in the rapidly solidified Al-30Ag alloy with non
equilibrium phase composition formed by a minor amount of Ag2Al and a
supersaturated solid solution of Ag in an fcc-Al matrix (fcc = face centered
cubic). After annealing at 450 degrees C, equilibrium phase composition was
obtained by forming a higher amount of Ag2Al. This phase transformation did not
allow the crack to be healed. Subsequent annealing at 550 degrees C caused
recrystallization to a supersaturated solid solution of Ag in fcc-Al, followed by
a return to the mixture of fcc-Al and Ag2Al by cooling, and this process was
accompanied by the closing of the crack. This observation proved the self-healing
possibilities of the Ag2Al phase. Practical application of this self-healing
behavior could be achieved through the dispersion of fine Ag2Al particles in a
structural material, which will enrich the material with self-healing properties.
PMID- 29382044
TI - Large Area Nanoparticle Alignment by Chemical Lift-Off Lithography.
AB - Nanoparticle alignment on the substrate attracts considerable attention due to
its wide application in different fields, such as mechanical control, small size
electronics, bio/chemical sensing, molecular manipulation, and energy harvesting.
However, precise nanoparticle positioning and deposition control with high
fidelity are still challenging. Herein, a straightforward strategy for high
quality nanoparticle-alignment by chemical lift-off lithography (CLL) is
demonstrated. This technique creates high resolution self-assembled monolayer
(SAM) chemical patterns on gold substrates, enabling nanoparticle-selective
deposition and precise alignment. The fabricated nanoparticle arrangement
geometries and dimensions are well-controllable in a large area. With proper
nanoparticle surface functionality control and adequate substrate molecular
manipulation, well-defined nanoparticle arrays with single-particle-wide
alignment resolution are achieved.
PMID- 29382042
TI - Extracellular Influences: Molecular Subclasses and the Microenvironment in
Pancreatic Cancer.
AB - Pancreatic ductal adenocarcinoma (PDAC) is the most prevalent form of pancreatic
cancer and carries the worst prognosis of all common cancers. Five-year survival
rates have not surpassed 6% for some decades and this lack of improvement in
outcome urges a better understanding of the PDAC-specific features which
contribute to this poor result. One of the most defining features of PDAC known
to contribute to its progression is the abundance of non-tumor cells and material
collectively known as the stroma. It is now well recognized that the different
non-cancer cell types, signalling molecules, and mechanical properties within a
tumor can have both tumor-promoting as well as -inhibitory effects. However, the
net effect of this intratumour heterogeneity is not well understood.
Heterogeneity in the stromal makeup between patients is even less well
established. Such intertumour heterogeneity is likely to be affected by the
relative contributions of individual stromal constituents, but how these
contributions exactly relate to existing classifications that demarcate
intertumour heterogeneity in PDAC is not fully known. In this review, we give an
overview of the available evidence by delineating the elements of the PDAC stroma
and their contribution to tumour growth. We do so by interpreting the
heterogeneity at the gene expression level in PDAC, and how stromal elements
contribute to, or interconnect, with this.
PMID- 29382045
TI - Interaction between Saikosaponin D, Paeoniflorin, and Human Serum Albumin.
AB - Saikosaponin D (SSD) and paeoniflorin (PF) are the major active constituents of
Bupleuri Radix and Paeonia lactiflora Pall, respectively, and have been widely
used in China to treat liver and other diseases for many centuries. We explored
the binding of SSD/PF to human serum albumin (HSA) by using
fluorospectrophotometry, circular dichroism (CD) and molecular docking. Both SSD
and PF produced a conformational change in HSA. Fluorescence quenching was
accompanied by a blue shift in the fluorescence spectra. Co-binding of PF and SSD
also induced quenching and a conformational change in HSA. The Stern-Volmer
equation showed that quenching was dominated by static quenching. The binding
constant for ternary interaction was below that for binary interaction. Site
competitive experiments demonstrated that SSD/PF bound to site I (subdomain IIA)
and site II (subdomain IIIA) in HSA. Analysis of thermodynamic parameters
indicated that hydrogen bonding and van der Waals forces were mostly responsible
for the binary association. Also, there was energy transfer upon binary
interaction. Molecular docking supported the experimental findings in
conformation, binding sites and binding forces.
PMID- 29382046
TI - How Do We Study the Dynamic Structure of Unstructured Proteins: A Case Study on
Nopp140 as an Example of a Large, Intrinsically Disordered Protein.
AB - Intrinsically disordered proteins (IDPs) represent approximately 30% of the human
genome and play key roles in cell proliferation and cellular signaling by
modulating the function of target proteins via protein-protein interactions. In
addition, IDPs are involved in various human disorders, such as cancer,
neurodegenerative diseases, and amyloidosis. To understand the underlying
molecular mechanism of IDPs, it is important to study their structural features
during their interactions with target proteins. However, conventional biochemical
and biophysical methods for analyzing proteins, such as X-ray crystallography,
have difficulty in characterizing the features of IDPs because they lack an
ordered three-dimensional structure. Here, we present biochemical and biophysical
studies on nucleolar phosphoprotein 140 (Nopp140), which mostly consists of
disordered regions, during its interaction with casein kinase 2 (CK2), which
plays a central role in cell growth. Surface plasmon resonance and electron
paramagnetic resonance studies were performed to characterize the interaction
between Nopp140 and CK2. A single-molecule fluorescence resonance energy transfer
study revealed conformational change in Nopp140 during its interaction with CK2.
These studies on Nopp140 can provide a good model system for understanding the
molecular function of IDPs.
PMID- 29382048
TI - A Molecular Method for the Identification of Honey Bee Subspecies Used by
Beekeepers in Russia.
AB - Apis mellifera L. includes several recognized subspecies that differ in their
biological properties and agricultural characteristics. Distinguishing between
honey bee subspecies is complicated. We analyzed the Folmer region of the COX1
gene in honey bee subspecies cultivated at bee farms in Russia and identified
subspecies-specific SNPs. DNA analysis revealed two clearly distinct haplogroups
in A. melliferamellifera. The first one was characterized by multiple cytosine
thymine (thymine-cytosine) transitions, one adenine-guanine substitution, and one
thymine-adenine substitution. The nucleotide sequence of the second haplogroup
coincided with sequences from other subspecies, except the unique C/A SNP at
position 421 of the 658-bp Folmer region. A. melliferacarnica and A.
melliferacarpatica could be distinguished from A. melliferamellifera and A.
melliferacaucasica by the presence of the A/G SNP at position 99 of the 658-bp
Folmer region. The G/A SNP at position 448 was typical for A. melliferacarnica.
A. melliferacaucasicaCOX1 sequence lacked all the above-mentioned sites. We
developed a procedure for rapid identification of honey bee subspecies by PCR
with restriction fragment length polymorphism (RFLP) using mutagenic primers. The
developed molecular method for honey bee subspecies identification is fast and
inexpensive.
PMID- 29382047
TI - Reviewing the Utility of EUS FNA to Advance Precision Medicine in Pancreatic
Cancer.
AB - Advanced pancreatic cancer (PC) is an aggressive malignancy with few effective
therapeutic options. While the evolution of precision medicine in recent decades
has changed the treatment landscape in many cancers, at present no targeted
therapies are used in the routine management of PC. Only a minority of patients
with PC present with surgically resectable disease, and in the remainder
obtaining high quality biopsy material for both diagnosis and molecular testing
can prove challenging. Endoscopic ultrasound-guided fine needle aspiration (EUS
FNA) is a widely used diagnostic procedure in PC, and allows tumour sampling in
patients with both early and late stage disease. This review will provide an
update on the role of EUS FNA as a diagnostic tool, as well as a source of
genetic material which can be used both for molecular analysis and for the
creation of valuable preclinical disease models. We will also consider relevant
clinical applications of EUS FNA in the management of PC, and the path towards
bringing precision medicine closer to the clinic in this challenging disease.
PMID- 29382049
TI - Nicotinic Acid Long-Term Effectiveness in a Patient with Bipolar Type II
Disorder: A Case of Vitamin Dependency.
AB - Nicotinic acid (NA), often called niacin, a form of vitamin B3, is a water
soluble nutrient found in animal and vegetarian foods. Vitamin B3 for healthy
people is considered to be needed in doses of less than 20 mg daily. In higher
doses, NA has been described to be beneficial in some patients with psychiatric
disorders. This report describes a male patient with bipolar type II disorder who
for many years had been treated with lithium and other medications applied in
affective disorders. These pharmacological drugs had beneficial effects but were
at times insufficient. When the patient was prescribed NA, he experienced a
comparatively strong effect. Slowly it was discovered that the patient could
lower and cease all medications except NA. For over 11 years he has been stable
and calm with NA and currently takes 1 g three times daily. When not taking NA,
he consistently became anxious and depressed within 2-3 days. The resumption of
NA resulted in a normal state usually within 1 day. This finding has been
described as a vitamin dependency. The paper discusses possible mechanisms for
the effect of NA in this patient. Further studies are needed to investigate the
prevalence of vitamin B3 dependency and the biochemical explanations for this
phenomenon.
PMID- 29382050
TI - Wearable Intrinsically Soft, Stretchable, Flexible Devices for Memories and
Computing.
AB - A recent trend in the development of high mass consumption electron devices is
towards electronic textiles (e-textiles), smart wearable devices, smart clothes,
and flexible or printable electronics. Intrinsically soft, stretchable, flexible,
Wearable Memories and Computing devices (WMCs) bring us closer to sci-fi
scenarios, where future electronic systems are totally integrated in our everyday
outfits and help us in achieving a higher comfort level, interacting for us with
other digital devices such as smartphones and domotics, or with analog devices,
such as our brain/peripheral nervous system. WMC will enable each of us to
contribute to open and big data systems as individual nodes, providing real-time
information about physical and environmental parameters (including air pollution
monitoring, sound and light pollution, chemical or radioactive fallout alert,
network availability, and so on). Furthermore, WMC could be directly connected to
human brain and enable extremely fast operation and unprecedented interface
complexity, directly mapping the continuous states available to biological
systems. This review focuses on recent advances in nanotechnology and materials
science and pays particular attention to any result and promising technology to
enable intrinsically soft, stretchable, flexible WMC.
PMID- 29382052
TI - Exploring the Gaps in Practical Ethical Guidance for Animal Welfare
Considerations of Field Interventions and Innovations Targeting Dogs and Cats.
AB - Domestic dogs (Canis lupus familiaris) and cats (Felis silvestris catus) are
common species targeted by nongovernmental or intergovernmental organizations,
veterinarians and government agencies worldwide, for field interventions (e.g.,
population management, rabies vaccination programs) or innovations (e.g.,
development of technologies or pharmaceuticals to improve animal welfare). We
have a moral responsibility to ensure that the conduct of this work is humane for
dogs or cats, and to consider the human communities in which the animals live.
Ethical review is widely accepted as being integral to responsible practice, and
it is fundamental to good science that underpins innovation. Despite the
necessity of field interventions or innovations to advance the welfare of
individuals or populations of animals, we found a lack of specific guidance and
review processes to help navigate ethical dilemmas surrounding the conduct of
such work. This can be detrimental to the wellbeing of animals and their human
communities. Here we identify the gaps in existing ethical frameworks
(specifically application of Reduction and Refinement principles, challenges of
obtaining meaningful informed consent with variations in the quality of human
animal relationships, and limited resources regarding considerations of local
stakeholders), and outline the need for additional tools to promote ethical
conduct in the field.
PMID- 29382053
TI - Fuzzy Traffic Control with Vehicle-to-Everything Communication.
AB - Traffic signal control (TSC) with vehicle-to everything (V2X) communication can
be a very efficient solution to traffic congestion problem. Ratio of vehicles
equipped with V2X communication capability in the traffic to the total number of
vehicles (called penetration rate PR) is still low, thus V2X based TSC systems
need to be supported by some other mechanisms. PR is the major factor that
affects the quality of TSC process along with the evaluation interval. Quality of
the TSC in each direction is a function of overall TSC quality of an
intersection. Hence, quality evaluation of each direction should follow the
evaluation of the overall intersection. Computational intelligence, more
specifically swarm algorithm, has been recently used in this field in a European
Framework Program FP7 supported project called COLOMBO. In this paper, using
COLOMBO framework, further investigations have been done and two new
methodologies using simple and fuzzy logic have been proposed. To evaluate the
performance of our proposed methods, a comparison with COLOMBOs approach has been
realized. The results reveal that TSC problem can be solved as a logical problem
rather than an optimization problem. Performance of the proposed approaches is
good enough to be suggested for future work under realistic scenarios even under
low PR.
PMID- 29382054
TI - Investigation of the Microstructure Evolution and Deformation Mechanisms of a Mg
Zn-Zr-RE Twin-Roll-Cast Magnesium Sheet by In-Situ Experimental Techniques.
AB - Twin roll casting (TRC), with a relatively fast solidification rate, is an
excellent production method with promising potential for producing wrought semi
or final Mg alloy products that can often suffer from poor formability. We
investigate in this study the effect of the TRC method and the subsequent heat
treatment on the microstructure and deformation mechanisms in Mg-Zn-Zr-Nd alloy
deformed at room temperature using the in-situ neutron diffraction and acoustic
emission techniques and ex-situ texture measurement and microscopy, respectively.
Although a higher work hardening is observed in the rolling direction due to the
more intensive -type dislocation activity, the difference in the mechanical
properties of the specimens deformed in the RD and TD directions is small in the
as-rolled condition. An additional heat treatment results in recrystallization
and significant anisotropy in the deformation. Due to the easier activation of
the extension twinning in the TD given by texture, the yield stress in the TD is
approximately 40% lower than that in the RD.
PMID- 29382051
TI - Coumarin: A Natural, Privileged and Versatile Scaffold for Bioactive Compounds.
AB - Many naturally occurring substances, traditionally used in popular medicines
around the world, contain the coumarin moiety. Coumarin represents a privileged
scaffold for medicinal chemists, because of its peculiar physicochemical
features, and the versatile and easy synthetic transformation into a large
variety of functionalized coumarins. As a consequence, a huge number of coumarin
derivatives have been designed, synthesized, and tested to address many
pharmacological targets in a selective way, e.g., selective enzyme inhibitors,
and more recently, a number of selected targets (multitarget ligands) involved in
multifactorial diseases, such as Alzheimer's and Parkinson's diseases. In this
review an overview of the most recent synthetic pathways leading to mono- and
polyfunctionalized coumarins will be presented, along with the main biological
pathways of their biosynthesis and metabolic transformations. The many existing
and recent reviews in the field prompted us to make some drastic selections, and
therefore, the review is focused on monoamine oxidase, cholinesterase, and
aromatase inhibitors, and on multitarget coumarins acting on selected targets of
neurodegenerative diseases.
PMID- 29382055
TI - Early-Life Iron Deficiency Reduces Brain Iron Content and Alters Brain Tissue
Composition Despite Iron Repletion: A Neuroimaging Assessment.
AB - Early-life iron deficiency has lifelong influences on brain structure and
cognitive function, however characterization of these changes often requires
invasive techniques. There is a need for non-invasive assessment of early-life
iron deficiency with potential to translate findings to the human clinical
setting. In this study, 28 male pigs were provided either a control diet (CONT; n
= 14; 23.5 mg Fe/L milk replacer) or an iron-deficient diet (ID; n = 14; 1.56 mg
Fe/L milk replacer) for phase 1 of the study, from postnatal day (PND) 2 until
32. Twenty pigs (n = 10/diet from phase 1 were used in phase 2 of the study from
PND 33 to 61, where all pigs were provided a common iron-sufficient diet,
regardless of their phase 1 dietary iron status. All pigs were subjected to
magnetic resonance imaging at PND 32 and again at PND 61, and quantitative
susceptibility mapping was used to assess brain iron content at both imaging time
points. Data collected on PND 61 were analyzed using voxel-based morphometry and
tract-based spatial statistics to determine tissue concentration difference and
white matter tract integrity, respectively. Quantitative susceptibility mapping
outcomes indicated reduced iron content in the pons, medulla, cerebellum, left
cortex, and left hippocampus of ID pigs compared with CONT pigs, regardless of
imaging time-point. In contrast, iron contents were increased in the olfactory
bulbs of ID pigs compared with CONT pigs. Voxel-based morphometric analysis
indicated increased grey and white matter concentrations in CONT pigs compared
with ID pigs that were evident at PND 61. Differences in tissue concentrations
were predominately located in cortical tissue as well as the cerebellum,
thalamus, caudate, internal capsule, and hippocampi. Tract-based spatial
statistics indicated increased fractional anisotropy values along subcortical
white matter tracts in CONT pigs compared with ID pigs that were evident on PND
61. All described differences were significant at p <= 0.05. Results from this
study indicate that neuroimaging can sensitively detect structural and
physiological changes due to early-life iron deficiency, including grey and white
matter volumes, iron contents, as well as reduced subcortical white matter
integrity, despite a subsequent period of dietary iron repletion.
PMID- 29382056
TI - Identification of Genetic Regions Associated with Scrotal Hernias in a Commercial
Swine Herd.
AB - In this paper, we have used two approaches to detect genetic associations with
scrotal hernias in commercial pigs. Firstly, we have investigated the effects of
runs of homozygosity (ROH) with the appearance of scrotal hernias, followed by a
Genome Wide Association Study (GWAS). The phenotype classification was based on
visual appearance of scrotal hernias. Each affected animal was matched to a
healthy control from the same pen. In the total, 68 animals were genotyped using
the Porcine SNP60 Beadchip, out of those, 41 animals had the presence of hernias
and 27 were healthy animals. Fifteen animals were removed from the analysis due
to differences in genetic background, leaving 18 healthy animals and 35 piglets
with scrotal hernia. Further, the detection of extended haplotypes shared ROH
were conducted for health (control) and affected (case) animals and a permutation
test was used to test whether the ROH segments were more frequent in case/case
pairs than non-case/case pairs. Using the ROH, we have identified an association
(p = 0.019) on chromosome 2(SSC2) being segregated on animals with the presence
of scrotal hernias. Using a GWAS, a region composed by 3 SNPs on the sexual
chromosome X (SSCX) were associated with scrotal hernias (p < 1.6 * 10-5), this
region harbors the Androgen Receptor Gene (AR).
PMID- 29382057
TI - Impact of Thermal Degradation of Cyanidin-3-O-Glucoside of Haskap Berry on
Cytotoxicity of Hepatocellular Carcinoma HepG2 and Breast Cancer MDA-MB-231
Cells.
AB - Cyanidin-3-O-glucoside (C3G), the predominant anthocyanin in haskap berries
(Lonicera caerulea L.), possesses antioxidant and many other biological
activities. This study investigated the impact of temperature and pH on the
degradation of the C3G-rich haskap fraction. The effect of the thermal
degradation products on the viability of hepatocellular carcinoma HepG2 and
breast cancer MDA-MB-231 cells was also studied in vitro. Using column
chromatography, the C3G-rich fraction was isolated from acetone extracts of
haskap berries. The C3G stability in these fractions was studied under elevated
temperatures (70 degrees C and 90 degrees C) at three different pH values (2.5,
4, and 7) by monitoring the concentration of C3G and its major degradation
products, protocatechuic acid (PCA) and phloroglucinaldehyde (PGA), using liquid
chromatography mass spectrometry. Significant degradation of C3G was observed at
elevated temperatures and at neutral pH. Conversely, the PCA and PGA
concentration increased at higher pH and temperature. Similar to C3G, neutral pH
also has a prominent effect on the degradation of PGA, which is further
accelerated by heating. The C3G-rich fraction exhibited dose-dependent inhibitory
effects on cell metabolic activity when the HepG2 cells were exposed for 48 h.
Interestingly, PGA but not PCA exhibited cytotoxic effects against both MDA-MB
231 and HepG2 cells. The results suggest that thermal food processing of haskap
could influence its biological properties due to the degradation of C3G.
PMID- 29382058
TI - Comparing Two Independent Satellite-Based Algorithms for Detecting and Tracking
Ash Clouds by Using SEVIRI Sensor.
AB - The Eyjafjallajokull (Iceland) volcanic eruption of April-May 2010 caused
unprecedented air-traffic disruption in Northern Europe, revealing some important
weaknesses of current operational ash-monitoring and forecasting systems and
encouraging the improvement of methods and procedures for supporting the
activities of Volcanic Ash Advisory Centers (VAACs) better. In this work, we
compare two established satellite-based algorithms for ash detection, namely
RSTASH and the operational London VAAC method, both exploiting sensor data of the
spinning enhanced visible and infrared imager (SEVIRI). We analyze similarities
and differences in the identification of ash clouds during the different phases
of the Eyjafjallajokull eruption. The work reveals, in some cases, a certain
complementary behavior of the two techniques, whose combination might improve the
identification of ash-affected areas in specific conditions. This is indicated by
the quantitative comparison of the merged SEVIRI ash product, achieved
integrating outputs of the RSTASH and London VAAC methods, with independent
atmospheric infrared sounder (AIRS) DDA (dust-detection algorithm) observations.
PMID- 29382061
TI - Securing Cooperative Spectrum Sensing Against Collusive SSDF Attack using XOR
Distance Analysis in Cognitive Radio Networks.
AB - Cooperative spectrum sensing (CSS) is considered as a powerful approach to
improve the utilization of scarce spectrum resources. However, if CSS assumes
that all secondary users (SU) are honest, it may offer opportunities for
attackers to conduct a spectrum sensing data falsification (SSDF) attack. To
suppress such a threat, recent efforts have been made to develop trust
mechanisms. Currently, some attackers can collude with each other to form a
collusive clique, and thus not only increase the power of SSDF attack but also
avoid the detection of a trust mechanism. Noting the duality of sensing data, we
propose a defense scheme called XDA from the perspective of XOR distance analysis
to suppress a collusive SSDF attack. In the XDA scheme, the XOR distance
calculation in line with the type of "0" and "1" historical sensing data is used
to measure the similarity between any two SUs. Noting that collusive SSDF
attackers hold high trust value and the minimum XOR distance, the algorithm to
detect collusive SSDF attackers is designed. Meanwhile, the XDA scheme can
perfect the trust mechanism to correct collusive SSDF attackers' trust value.
Simulation results show that the XDA scheme can enhance the accuracy of trust
evaluation, and thus successfully reduce the power of collusive SSDF attack
against CSS.
PMID- 29382059
TI - Nucleus Accumbens Deep Brain Stimulation in Patients with Substance Use Disorders
and Delay Discounting.
AB - Deep brain stimulation (DBS) of the nucleus accumbens (NAc) shows first promising
results in patients with severe substance use disorder (SUD), a patient group
known to have deficits in self-control. One facet of self-control is the ability
to forego smaller sooner rewards in favor of larger later rewards (delay
discounting, DD). The NAc has been suggested to integrate motivational
information to guide behavior while the consequences of NAc-DBS on DD are
unknown. To this end, nine patients with SUD performed a DD task with DBS on and
after a 24 h DBS off period. Furthermore, 18 healthy controls were measured to
assess possible alterations in DD in patients with SUD. Our findings implicate
that DD was not significantly modulated by NAc-DBS and also that patients with
SUD did not differ from healthy controls. While null results must be interpreted
with caution, the commonly observed association of impaired DD in SUD might
suggest a long-term effect of NAc-DBS that was not sufficiently modulated by a 24
h DBS off period.
PMID- 29382060
TI - Predicting the Effect of Single and Multiple Mutations on Protein Structural
Stability.
AB - Predicting how a point mutation alters a protein's stability can guide
pharmaceutical drug design initiatives which aim to counter the effects of
serious diseases. Conducting mutagenesis studies in physical proteins can give
insights about the effects of amino acid substitutions, but such wet-lab work is
prohibitive due to the time as well as financial resources needed to assess the
effect of even a single amino acid substitution. Computational methods for
predicting the effects of a mutation on a protein structure can complement wet
lab work, and varying approaches are available with promising accuracy rates. In
this work we compare and assess the utility of several machine learning methods
and their ability to predict the effects of single and double mutations. We in
silico generate mutant protein structures, and compute several rigidity metrics
for each of them. We use these as features for our Support Vector Regression
(SVR), Random Forest (RF), and Deep Neural Network (DNN) methods. We validate the
predictions of our in silico mutations against experimental Delta Delta G
stability data, and attain Pearson Correlation values upwards of 0.71 for single
mutations, and 0.81 for double mutations. We perform ablation studies to assess
which features contribute most to a model's success, and also introduce a voting
scheme to synthesize a single prediction from the individual predictions of the
three models.
PMID- 29382062
TI - Giving Voice to the Medically Under-Served: A Qualitative Co-Production Approach
to Explore Patient Medicine Experiences and Improve Services to Marginalized
Communities.
AB - BACKGROUND: With an aging population, the appropriate, effective and safe use of
medicines is a global health priority. However, "'medically under-served"
patients continue to experience significant inequalities around access to
healthcare services. AIM: This study forms part of a wider project to co-develop
and evaluate a digital educational intervention for community pharmacy. The aim
of this paper is to explore the medicine needs of patients from marginalized
communities and suggest practical way on how services could be better tailored to
their requirements. METHOD: Following ethical approval, qualitative data was
gathered from: (1) workshops with patients and professionals (n = 57 attendees);
and (2) qualitative semi-structured interviews (10 patients and 10 pharmacists).
RESULTS: Our findings revealed that patients from marginalized communities
reported poor management of their medical conditions and significant problems
with adherence to prescribed medicines. Their experience of pharmacy services was
found to be variable with many experiencing discrimination or disadvantage as a
result of their status. DISCUSSION: This study highlights the plight of medically
under-served communities and the need for policy makers to tailor services to an
individual's needs and circumstances. Furthermore, patients and professionals can
work in collaboration using a co-production approach to develop educational
interventions for pharmacy service improvements.
PMID- 29382063
TI - An Interdigital Electrode Probe for Detection, Localization and Evaluation of
Surface Notch-Type Damage in Metals.
AB - Available microwave notch-type damage detection sensors are typically based on
monitoring frequency shift or magnitude changes. However, frequency shift testing
needs sweep-frequency data that make scanning detection becomes difficult and
time-consuming. This work presents a microwave near-field nondestructive testing
sensor for detecting sub-millimeter notch-type damage detection in metallic
surfaces. The sensor is loaded with an interdigital electrode element in an open
ended coaxial. It is simple to fabricate and inexpensive, as it is etched on the
RC4003 patch by using printed circuit board technology. The detection is achieved
by monitoring changes in reflection amplitude, which is caused by perturbing the
electromagnetic field around the interdigital structure. The proposed sensor was
tested on a metallic plate with different defects, and the experimental results
indicated that the interdigital electrode probe can determine the orientation,
localization and dimension of surface notch-type damage.
PMID- 29382064
TI - Human Identification by Cross-Correlation and Pattern Matching of Personalized
Heartbeat: Influence of ECG Leads and Reference Database Size.
AB - Human identification (ID) is a biometric task, comparing single input sample to
many stored templates to identify an individual in a reference database. This
paper aims to present the perspectives of personalized heartbeat pattern for
reliable ECG-based identification. The investigations are using a database with
460 pairs of 12-lead resting electrocardiograms (ECG) with 10-s durations
recorded at time-instants T1 and T2 > T1 + 1 year. Intra-subject long-term ECG
stability and inter-subject variability of personalized PQRST (500 ms) and QRS
(100 ms) patterns is quantified via cross-correlation, amplitude ratio and
pattern matching between T1 and T2 using 7 features * 12-leads. Single and multi
lead ID models are trained on the first 230 ECG pairs. Their validation on 10,
20, ... 230 reference subjects (RS) from the remaining 230 ECG pairs shows: (i)
two best single-lead ID models using lead II for a small population RS = (10-140)
with identification accuracy AccID = (89.4-67.2)% and aVF for a large population
RS = (140-230) with AccID = (67.2-63.9)%; (ii) better performance of the 6-lead
limb vs. the 6-lead chest ID model-(91.4-76.1)% vs. (90.9-70)% for RS = (10-230);
(iii) best performance of the 12-lead ID model-(98.4-87.4)% for RS = (10-230).
The tolerable reference database size, keeping AccID > 80%, is RS = 30 in the
single-lead ID scenario (II); RS = 50 (6 chest leads); RS = 100 (6 limb leads),
RS > 230-maximal population in this study (12-lead ECG).
PMID- 29382065
TI - Electrospun Fibers as a Dressing Material for Drug and Biological Agent Delivery
in Wound Healing Applications.
AB - Wound healing is a complex tissue regeneration process that promotes the growth
of new tissue to provide the body with the necessary barrier from the outside
environment. In the class of non-healing wounds, diabetic wounds, and ulcers,
dressing materials that are available clinically (e.g., gels and creams) have
demonstrated only a slow improvement with current available technologies. Among
all available current technologies, electrospun fibers exhibit several
characteristics that may provide novel replacement dressing materials for the
above-mentioned wounds. Therefore, in this review, we focus on recent
achievements in electrospun drug-eluting fibers for wound healing applications.
In particular, we review drug release, including small molecule drugs, proteins
and peptides, and gene vectors from electrospun fibers with respect to wound
healing. Furthermore, we provide an overview on multifunctional dressing
materials based on electrospun fibers, including those that are capable of
achieving wound debridement and wound healing simultaneously as well as multi
drugs loading/types suitable for various stages of the healing process. Our
review provides important and sufficient information to inform the field in
development of fiber-based dressing materials for clinical treatment of non
healing wounds.
PMID- 29382067
TI - Relationship between the Size of the Samples and the Interpretation of the
Mercury Intrusion Results of an Artificial Sandstone.
AB - Mercury intrusion porosimetry (MIP) measurements are widely used to determine
pore throat size distribution (PSD) curves of porous materials. The pore throat
size of porous materials has been used to estimate their compressive strength and
air permeability. However, the effect of sample size on the determined PSD curves
is often overlooked. In pursuit of a better understanding of the effect of sample
size on mercury intrusion into porous materials, a combined experimental and
numerical approach was applied. Quartz sand and epoxy resin were mixed to form
artificial sandstone. Digital microstructures of the sandstone were obtained by
using X-ray computed tomography (CT scan) technique. PSD curves of the artificial
sandstone with different sample sizes were determined both by MIP measurement and
by simulation of mercury intrusion (i.e., MIP simulation). Percolation analysis
was performed on mercury-intruded pores in the digital microstructures. The PSD
curves determined both by MIP measurements and by MIP simulations show that there
was a significant effect of sample size on mercury intrusion before percolation
of mercury-intruded pores. The effect of sample size decreased with the
increasing pressure. After the mercury-intruded pores percolated through the
samples, the effect of sample size on mercury intrusion became minor. The pore
throat size of the artificial sandstone was used to estimate the air permeability
using the relation proposed in the literature. The calculated air permeability of
the smaller sandstone sample was higher. However, in principle, the air
permeability of sandstone samples should be independent of the sample size. Two
main conclusions can be drawn: (1) a fixed sample size should be used in MIP
measurements or MIP simulation so that the PSD curves of different samples can be
properly compared, (2) sample size needs to be considered when the pore throat
size determined by MIP measurement is used for estimating air permeability.
PMID- 29382066
TI - Resistance to the Antiproliferative In Vitro Effect of PI3K-Akt-mTOR Inhibition
in Primary Human Acute Myeloid Leukemia Cells Is Associated with Altered Cell
Metabolism.
AB - Constitutive signaling through the phosphatidylinositol-3-kinase-Akt-mechanistic
target of rapamycin (PI3K-Akt-mTOR) pathway is present in acute myeloid leukemia
(AML) cells. However, AML is a heterogeneous disease, and we therefore
investigated possible associations between cellular metabolism and sensitivity to
PI3K-Akt-mTOR pathway inhibitors. We performed non-targeted metabolite profiling
to compare the metabolome differences of primary human AML cells derived from
patients susceptible or resistant to the in vitro antiproliferative effects of
mTOR and PI3K inhibitors. In addition, the phosphorylation status of 18 proteins
involved in PI3K-Akt-mTOR signaling and the effect of the cyclooxygenase
inhibitor indomethacin on their phosphorylation status was investigated by flow
cytometry. Strong antiproliferative effects by inhibitors were observed only for
a subset of patients. We compared the metabolite profiles for responders and non
responders towards PI3K-mTOR inhibitors, and 627 metabolites could be detected.
Of these metabolites, 128 were annotated and 15 of the annotated metabolites
differed significantly between responders and non-responders, including
metabolites involved in energy, amino acid, and lipid metabolism. To conclude,
leukemia cells that are susceptible or resistant to PI3K-Akt-mTOR inhibitors
differ in energy, amino acid, and arachidonic acid metabolism, and modulation of
arachidonic acid metabolism alters the activation of mTOR and its downstream
mediators.
PMID- 29382068
TI - Higher Cytopathic Effects of a Zika Virus Brazilian Isolate from Bahia Compared
to a Canadian-Imported Thai Strain.
AB - Zika virus (ZIKV) is an emerging pathogen from the Flaviviridae family. It
represents a significant threat to global health due to its neurological and
fetal pathogenesis (including microcephaly and congenital malformations), and its
rapid dissemination across Latin America in recent years. The virus has spread
from Africa to Asia, the Pacific islands and the Americas with limited knowledge
about the pathogenesis associated with infection in recent years. Herein, we
compared the ability of the Canadian-imported Thai strain PLCal_ZV and the
Brazilian isolate HS-2015-BA-01 from Bahia to produce infectious ZIKV particles
and cytopathic effects in a cell proliferation assay. We also compared the
intracellular viral RNA accumulation of the two strains by quantitative RT-PCR
(reverse transcription polymerase chain reaction) analyses. Our observations show
that HS-2015-BA-01 is more cytopathic than PLCal_ZV in proliferation assays in
Vero, Human Embryonic Kidney HEK 293T and neuroblastoma SH-SY5Y cells.
Quantitative RT-PCR shows that the level of viral RNA is higher with HS-2015-BA
01 than with PLCal_ZV in two cell lines, but similar in a neuroblastoma cell
line. The two strains have 13 amino acids polymorphisms and we analyzed their
predicted protein secondary structure. The increased cytopathicity and RNA
accumulation of the Brazilian ZIKV isolate compared to the Thai isolate could
contribute to the increased pathogenicity observed during the Brazilian epidemic.
PMID- 29382069
TI - Diets Rich in Fruits and Vegetables Are Associated with Lower Cardiovascular
Disease Risk in Adolescents.
AB - Obesity and cardiovascular disease (CVD) risk are public health concerns in
adolescents, yet few studies have examined the association of their diet to CVD
risk factors. This study investigated associations between diet, body mass index
(BMI), waist circumference (WC), blood pressure (BP), and blood lipids in 163 16
17 year olds. Diet recall data were converted into Healthy Eating Index-2010
(HEI) to assess diet quality. Differences in diet between groups with normal or
obese BMI, normal or hypertensive BP, and normal or altered lipids were
determined. Associations between diet and BMI, WC, BP, and lipids, controlling
for race, gender, and socioeconomic status, were examined. Mean HEI was 49.2 (+/
12.0), with no differences observed between groups. HEI was not associated with
any CVD risk. Sweetened beverage consumption was higher in obese adolescents, and
positively related to total cholesterol (TC). Fruit intake was negatively related
to BMI and diastolic BP. Total vegetable intake was negatively related to
systolic BP. Greens and beans were negatively related to TC and LDL. Whole grains
were negatively related to HDL. This research suggests a cardioprotective effect
of diets rich in fruits and vegetables, as well as low in sweetened beverages in
adolescents.
PMID- 29382070
TI - Human Microbiome Acquisition and Bioinformatic Challenges in Metagenomic Studies.
AB - The study of the human microbiome has become a very popular topic. Our microbial
counterpart, in fact, appears to play an important role in human physiology and
health maintenance. Accordingly, microbiome alterations have been reported in an
increasing number of human diseases. Despite the huge amount of data produced to
date, less is known on how a microbial dysbiosis effectively contributes to a
specific pathology. To fill in this gap, other approaches for microbiome study,
more comprehensive than 16S rRNA gene sequencing, i.e., shotgun metagenomics and
metatranscriptomics, are becoming more widely used. Methods standardization and
the development of specific pipelines for data analysis are required to
contribute to and increase our understanding of the human microbiome relationship
with health and disease status.
PMID- 29382071
TI - Quality of Life (QoL) Survey in Hong Kong: Understanding the Importance of
Housing Environment and Needs of Residents from Different Housing Sectors.
AB - This study presents a Quality of Life (QoL) survey to understand the influence of
the housing environment and needs of residents from different housing sectors.
The research focuses on Hong Kong where living conditions have become the main
affect for people's QoL. Through a household survey using a standard instrument
"Word Health Organisation (WHO) Quality of Life-BREF", the article found that
among the four WHO QoL domains (Physical Health, Psychological Health, Social
Relations And Environment), Environment, particularly its constitute aspect
housing environment was the most influential factor for overall quality of life
for the public rental housing sector where low-income people live. This research
also found that different groups of people have differing needs of their housing
environments: the low-income group needs better location and privacy while the
medium and high-income groups need better architectural quality. Based on
differentiating their needs and wants, this research argues for prioritizing the
low-income group's needs for effectively improving their QoL.
PMID- 29382072
TI - Self-Learning Power Control in Wireless Sensor Networks.
AB - Current trends in interconnecting myriad smart objects to monetize on Internet of
Things applications have led to high-density communications in wireless sensor
networks. This aggravates the already over-congested unlicensed radio bands,
calling for new mechanisms to improve spectrum management and energy efficiency,
such as transmission power control. Existing protocols are based on simplistic
heuristics that often approach interference problems (i.e., packet loss, delay
and energy waste) by increasing power, leading to detrimental results. The scope
of this work is to investigate how machine learning may be used to bring wireless
nodes to the lowest possible transmission power level and, in turn, to respect
the quality requirements of the overall network. Lowering transmission power has
benefits in terms of both energy consumption and interference. We propose a
protocol of transmission power control through a reinforcement learning process
that we have set in a multi-agent system. The agents are independent learners
using the same exploration strategy and reward structure, leading to an overall
cooperative network. The simulation results show that the system converges to an
equilibrium where each node transmits at the minimum power while respecting high
packet reception ratio constraints. Consequently, the system benefits from low
energy consumption and packet delay.
PMID- 29382073
TI - Multi-Feature Classification of Multi-Sensor Satellite Imagery Based on Dual
Polarimetric Sentinel-1A, Landsat-8 OLI, and Hyperion Images for Urban Land-Cover
Classification.
AB - This paper focuses on evaluating the ability and contribution of using
backscatter intensity, texture, coherence, and color features extracted from
Sentinel-1A data for urban land cover classification and comparing different
multi-sensor land cover mapping methods to improve classification accuracy. Both
Landsat-8 OLI and Hyperion images were also acquired, in combination with
Sentinel-1A data, to explore the potential of different multi-sensor urban land
cover mapping methods to improve classification accuracy. The classification was
performed using a random forest (RF) method. The results showed that the optimal
window size of the combination of all texture features was 9 * 9, and the optimal
window size was different for each individual texture feature. For the four
different feature types, the texture features contributed the most to the
classification, followed by the coherence and backscatter intensity features; and
the color features had the least impact on the urban land cover classification.
Satisfactory classification results can be obtained using only the combination of
texture and coherence features, with an overall accuracy up to 91.55% and a kappa
coefficient up to 0.8935, respectively. Among all combinations of Sentinel-1A
derived features, the combination of the four features had the best
classification result. Multi-sensor urban land cover mapping obtained higher
classification accuracy. The combination of Sentinel-1A and Hyperion data
achieved higher classification accuracy compared to the combination of Sentinel
1A and Landsat-8 OLI images, with an overall accuracy of up to 99.12% and a kappa
coefficient up to 0.9889. When Sentinel-1A data was added to Hyperion images, the
overall accuracy and kappa coefficient were increased by 4.01% and 0.0519,
respectively.
PMID- 29382074
TI - Evidence for Dietary Fibre Modification in the Recovery and Prevention of
Reoccurrence of Acute, Uncomplicated Diverticulitis: A Systematic Literature
Review.
AB - In practice, nutrition recommendations vary widely for inpatient and discharge
management of acute, uncomplicated diverticulitis. This systematic review aims to
review the evidence and develop recommendations for dietary fibre modifications,
either alone or alongside probiotics or antibiotics, versus any comparator in
adults in any setting with or recently recovered from acute, uncomplicated
diverticulitis. Intervention and observational studies in any language were
located using four databases until March 2017. The Cochrane Risk of Bias tool and
GRADE were used to evaluate the overall quality of the evidence and to develop
recommendations. Eight studies were included. There was "very low" quality
evidence for comparing a liberalised and restricted fibre diet for inpatient
management to improve hospital length of stay, recovery, gastrointestinal
symptoms and reoccurrence. There was "very low" quality of evidence for using a
high dietary fibre diet as opposed to a standard or low dietary fibre diet
following resolution of an acute episode, to improve reoccurrence and
gastrointestinal symptoms. The results of this systematic review and GRADE
assessment conditionally recommend the use of liberalised diets as opposed to
dietary restrictions for adults with acute, uncomplicated diverticulitis. It also
strongly recommends a high dietary fibre diet aligning with dietary guidelines,
with or without dietary fibre supplementation, after the acute episode has
resolved.
PMID- 29382076
TI - Fungi as Endophytes in Artemisia thuscula: Juxtaposed Elements of Diversity and
Phylogeny.
AB - Artemisia is a plant genus highly studied for its medicinal applications. The
studies on the associated fungal endophytes are scarce. Ten plants specimens of
Artemisia thuscula from Tenerife and La Palma were sampled to isolate the
endophytic fungi. Identification of the endophytic fungi was based on morphology,
Internal Transcribed Spacer (ITS) and Large Subunit (LSU) regions sequencing and
indicates 37 fungal species affiliated to 25 fungal genera. Colonization rate
varied among plants (CR = 25% to 92.11%). The most dominant colonizers found were
Alternaria alternata (CF = 18.71%), Neofusicoccum sp. (CF = 8.39%) and Preussia
sp. (CF = 3.23). Tendency for host specificity of most endophytic fungal species
was observed. Sorensen-Dice index revealed that of 45 cases in the matrix, 27 of
them were of zero similarity. Further, only one case was found to have 57%
similarity (TF2 and TF7) and one case with 50% similarity (TF1 and TF4). The rest
of the cases had values ranging between 11% and 40% similarity. Diversity indices
like Brillouin, Margalef species richness, Simpson index of diversity and
Fisher's alpha, revealed plants from La Palma with higher values than plants from
Tenerife. Three nutrient media (i.e., potato dextrose agar-PDA, lignocellulose
agar-LCA, and tomato juice agar-V8) were used in a case study and revealed no
differences in terms of colonization rate when data was averaged. Colonization
frequency showed several species with preference for nutrient medium (63% of the
species were isolated from only one nutrient medium). For the phylogenetic
reconstruction using the Bayesian method, 54 endophytic fungal ITS sequences and
associated GenBank sequences were analyzed. Ten orders (Diaporthales,
Dothideales, Botryosphaeriales, Hypocreales, Trichosphaeriales, Amphisphaeriales,
Xylariales, Capnodiales, Pleosporales and Eurotiales) were recognized. Several
arrangements of genera draw the attention, like Aureobasidium (Dothideales) and
Aplosporella (Botryosphaeriales) which are clustered with a recent ancestor (BS =
0.97).
PMID- 29382075
TI - Systematic Structure-Activity Relationship (SAR) Exploration of Diarylmethane
Backbone and Discovery of A Highly Potent Novel Uric Acid Transporter 1 (URAT1)
Inhibitor.
AB - In order to systematically explore and better understand the structure-activity
relationship (SAR) of a diarylmethane backbone in the design of potent uric acid
transporter 1 (URAT1) inhibitors, 33 compounds (1a-1x and 1ha-1hi) were designed
and synthesized, and their in vitro URAT1 inhibitory activities (IC50) were
determined. The three-round systematic SAR exploration led to the discovery of a
highly potent novel URAT1 inhibitor, 1h, which was 200- and 8-fold more potent
than parent lesinurad and benzbromarone, respectively (IC50 = 0.035 MUM against
human URAT1 for 1h vs. 7.18 MUM and 0.28 MUM for lesinurad and benzbromarone,
respectively). Compound 1h is the most potent URAT1 inhibitor discovered in our
laboratories so far and also comparable to the most potent ones currently under
development in clinical trials. The present study demonstrates that the
diarylmethane backbone represents a very promising molecular scaffold for the
design of potent URAT1 inhibitors.
PMID- 29382077
TI - VEGF Triggers the Activation of Cofilin and the Arp2/3 Complex within the Growth
Cone.
AB - A crucial neuronal structure for the development and regeneration of neuronal
networks is the axonal growth cone. Affected by different guidance cues, it grows
in a predetermined direction to reach its final destination. One of those cues is
the vascular endothelial growth factor (VEGF), which was identified as a positive
effector for growth cone movement. These positive effects are mainly mediated by
a reorganization of the actin network. This study shows that VEGF triggers a
tight colocalization of cofilin and the Arp2/3 complex to the actin cytoskeleton
within chicken dorsal root ganglia (DRG). Live cell imaging after microinjection
of GFP (green fluorescent protein)-cofilin and RFP (red fluorescent protein)
LifeAct revealed that both labeled proteins rapidly redistributed within growth
cones, and showed a congruent distribution pattern after VEGF supplementation.
Disruption of signaling upstream of cofilin via blocking LIM-kinase (LIMK)
activity resulted in growth cones displaying regressive growth behavior.
Microinjection of GFP-p16b (a subunit of the Arp2/3 complex) and RFP-LifeAct
revealed that both proteins redistributed into lamellipodia of the growth cone
within minutes after VEGF stimulation. Disruption of the signaling to the Arp2/3
complex in the presence of VEGF by inhibition of N-WASP (neuronal Wiskott-Aldrich
Scott protein) caused retraction of growth cones. Hence, cofilin and the Arp2/3
complex appear to be downstream effector proteins of VEGF signaling to the actin
cytoskeleton of DRG growth cones. Our data suggest that VEGF simultaneously
affects different pathways for signaling to the actin cytoskeleton, since
activation of cofilin occurs via inhibition of LIMK, whereas activation of Arp2/3
is achieved by stimulation of N-WASP.
PMID- 29382078
TI - Vehicle Detection with Occlusion Handling, Tracking, and OC-SVM Classification: A
High Performance Vision-Based System.
AB - This paper presents a high performance vision-based system with a single static
camera for traffic surveillance, for moving vehicle detection with occlusion
handling, tracking, counting, and One Class Support Vector Machine (OC-SVM)
classification. In this approach, moving objects are first segmented from the
background using the adaptive Gaussian Mixture Model (GMM). After that, several
geometric features are extracted, such as vehicle area, height, width, centroid,
and bounding box. As occlusion is present, an algorithm was implemented to reduce
it. The tracking is performed with adaptive Kalman filter. Finally, the selected
geometric features: estimated area, height, and width are used by different
classifiers in order to sort vehicles into three classes: small, midsize, and
large. Extensive experimental results in eight real traffic videos with more than
4000 ground truth vehicles have shown that the improved system can run in real
time under an occlusion index of 0.312 and classify vehicles with a global
detection rate or recall, precision, and F-measure of up to 98.190%, and an F
measure of up to 99.051% for midsize vehicles.
PMID- 29382079
TI - A Simple Method to Reduce both Lactic Acid and Ammonium Production in Industrial
Animal Cell Culture.
AB - Fed-batch animal cell culture is the most common method for commercial production
of recombinant proteins. However, higher cell densities in these platforms are
still limited due to factors such as excessive ammonium production, lactic acid
production, nutrient limitation, and/or hyperosmotic stress related to nutrient
feeds and base additions to control pH. To partly overcome these factors, we
investigated a simple method to reduce both ammonium and lactic acid production
termed Lactate Supplementation and Adaptation (LSA) technology-through the use of
CHO cells adapted to a lactate-supplemented medium. Using this simple method, we
achieved a reduction of nearly 100% in lactic acid production with a simultaneous
50% reduction in ammonium production in batch shaker flasks cultures. In
subsequent fed-batch bioreactor cultures, lactic acid production and base
addition were both reduced eight-fold. Viable cell densities of 35 million cells
per mL and integral viable cell days of 273 million cell-days per mL were
achieved, both among the highest currently reported for a fed-batch animal cell
culture. Investigating the benefits of LSA technology in animal cell culture is
worthy of further consideration and may lead to process conditions more favorable
for advanced industrial applications.
PMID- 29382080
TI - Structural Studies of Predicted Ligand Binding Sites and Molecular Docking
Analysis of Slc2a4 as a Therapeutic Target for the Treatment of Cancer.
AB - Presently, many studies have focused on exploring in silico approaches in the
identification and development of alternative therapy for the treatment and
management of cancer. Solute carrier family-2-member-4-gene (Slc2a4) which
encodes glucose transporter 4 protein (GLUT4), has been identified as a promising
therapeutic target for cancer. Though Slc2a4 is known to play a major regulatory
role in the pathophysiology of type 2 diabetes, emerging evidence suggests that
successful pharmacological inhibition of this protein may lead to the development
of a novel drug candidate for the treatment of cancer. In this study, Slc2a4
protein sequence was retrieved and analysed using in silico approaches, and we
identified seven putative antimicrobial peptides (AMPs; RAB1-RAB7) as anti
cancer. The structures of the protein and AMPs were modelled using I-TASSER
server, and the overall quality of the Slc2a4 model was validated using PROCHECK.
Subsequently, the probable motifs and active site of the protein were forecasted.
Also, the molecular interaction between the AMPs and Slc2a4 was ascertained using
PatchDock. The result revealed that, all the AMPs are good Slc2a4 inhibitors with
RAB1 having the highest binding affinity of 12,392 and binding energy of -39.13
kcal/mol. Hence, this study reveals that all the generated AMPs can serve as
therapeutic drug in treating cancer by inhibiting Slc2a4 which is responsible for
the production of energy for cancer cells during angiogenesis. This is the first
report on AMPs as inhibitors of Slc2a4 for the treatment of cancer.
PMID- 29382081
TI - N-Salicyloyltryptamine, an N-Benzoyltryptamine Analogue, Induces Vasorelaxation
through Activation of the NO/sGC Pathway and Reduction of Calcium Influx.
AB - Benzoyltryptamine analogues act as neuroprotective and spasmolytic agents on
smooth muscles. In this study, we investigated the ability of N
salicyloyltryptamine (STP) to produce vasorelaxation and determined its
underlying mechanisms of action. Isolated rat mesenteric arteries with and
without functional endothelium were studied in an isometric contraction system in
the presence or absence of pharmacological inhibitors. Amperometric experiments
were used to measure the nitric oxide (NO) levels in CD31+ cells using flow
cytometry. GH3 cells were used to measure Ca2+ currents using the whole cell
patch clamp technique. STP caused endothelium-dependent and -independent
relaxation in mesenteric rings. The endothelial-dependent relaxations in response
to STP were markedly reduced by L-NAME (endothelial NO synthase-eNOS-inhibitor),
jHydroxocobalamin (NO scavenger, 30 uM) and ODQ (soluble Guanylyl Cyclase-sGC
inhibitor, 10 uM), but were not affected by the inhibition of the formation of
vasoactive prostanoids. These results were reinforced by the increased NO levels
observed in the amperometric experiments with freshly dispersed CD31+ cells. The
endothelium-independent effect appeared to involve the inhibition of voltage
gated Ca2+ channels, due to the inhibition of the concentration-response Ca2+
curves in depolarizing solution, the increased relaxation in rings that were pre
incubated with high extracellular KCl (80 mM), and the inhibition of macroscopic
Ca2+ currents. The present findings show that the activation of the NO/sGC/cGMP
pathway and the inhibition of gated-voltage Ca2+ channels are the mechanisms
underlying the effect of STP on mesenteric arteries.
PMID- 29382082
TI - Validation of the English Version of the 14-Item Mediterranean Diet Adherence
Screener of the PREDIMED Study, in People at High Cardiovascular Risk in the UK.
AB - The aim of this study was to examine the validity of the English version of the
PREvencion con DIetaMEDiterranea (PREDIMED) 14-item Mediterranean Diet Adherence
Screener (MEDAS), a brief questionnaire assessing adherence to the Mediterranean
diet (MedDiet), which was used in the PREDIMED trial for assessment and immediate
feedback. This instrument (MEDAS) was administered to 96 adults with a high
cardiovascular risk (66% women, mean age 68.3 +/- 6.0 years), recruited from
general practices in Bristol, UK. Participants then completed a 3-day estimated
food record, and the MEDAS was administered again one month later. A MedDiet
score (range = 0-14) was calculated from the MEDAS' administrations and food
record to assess concurrent validity and test-retest reliability. Predictive
validity was assessed by examining the association of the MEDAS-derived score
with cardiometabolic risk factors and dietary intakes derived from the food
records. The MEDAS-derived MedDiet score was higher by 1.47 points compared to
food records (5.47 vs.4.00, p < 0.001), correlated moderately with the record
derived score (r = 0.50, p < 0.001; ICC = 0.53, p < 0.001) and there was
borderline fair agreement between the two methods (kappa = 0.19, 95% CI 0.07
0.31, p = 0.002; 95% limits of agreement -2.2, 5.1). Exact agreement within score
categories and gross misclassificationwere 45.8% and 21.9%, respectively. The
distribution of dietary intakes, reported on the food records by the MEDAS
derived total MedDiet score, was in the expected direction, but no association
was observed with cardiometabolic risk factors. The two administrations of the
MEDAS produced similar mean total MedDiet scores (5.5 vs. 5.4, p = 0.706), which
were correlated (r and ICC = 0.69, p < 0.001) and agreed fairly (kappa = 0.38,
95% CI 0.24-0.52, p < 0.001; 95% limits of agreement -3.1, 3.2). The English
version of the MEDAS has acceptable accuracy and reliability for assessing
MedDiet adherence among individuals with a high cardiovascular risk, in the UK,
and can be used to rank individuals according to MedDiet adherence in research
and practice.